ISO-10303-21; HEADER; /* Generated by software containing ST-Developer * from STEP Tools, Inc. (www.steptools.com) */ FILE_DESCRIPTION( /* description */ (''), /* implementation_level */ '2;1'); FILE_NAME( /* name */ 'CylinderFan.step', /* time_stamp */ '2024-03-23T00:06:07+08:00', /* author */ (''), /* organization */ (''), /* preprocessor_version */ 'ST-DEVELOPER v20', /* originating_system */ 'Autodesk Translation Framework v12.20.1.177', /* authorisation */ ''); FILE_SCHEMA (('AUTOMOTIVE_DESIGN { 1 0 10303 214 3 1 1 }')); ENDSEC; DATA; #10=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#884,#885, #886,#887,#888,#889,#890,#891,#892,#893,#894,#895,#896,#897,#898,#899,#900, #901,#902,#903,#904,#905,#906,#907,#908,#909,#910,#911,#912,#913,#914,#915, #916,#917,#918,#919,#920,#921,#922,#923,#924,#925,#926,#927,#928,#929,#930, #931,#932,#933,#934,#935,#936,#937,#938,#939,#940,#941,#942,#943,#944,#945, #946,#947,#948,#949,#950,#951,#952,#953,#954,#955,#956,#957,#958,#959,#960, #961,#962,#963,#964,#965,#966,#967,#968,#969,#970,#971,#972,#973,#974,#975, #976,#977,#978,#979,#980,#981,#982,#983,#984,#985,#986,#987,#988,#989,#990, #991,#992,#993,#994,#995,#996,#997,#998,#999,#1000,#1001,#1002,#1003,#1004, #1005,#1006,#1007,#1008,#1009,#1010,#1011,#1012,#1013,#1014,#1015,#1016, #1017,#1018,#1019,#1020,#1021,#1022,#1023,#1024,#1025,#1026,#1027,#1028, #1029,#1030,#1031,#1032,#1033,#1034,#1035,#1036,#1037,#1038,#1039,#1040, #1041,#1042,#1043,#1044,#1045,#1046,#1047,#1048,#1049,#1050,#1051,#1052, #1053,#1054,#1055,#1056,#1057,#1058,#1059,#1060,#1061,#1062,#1063,#1064, #1065,#1066,#1067,#1068,#1069,#1070,#1071,#1072,#1073,#1074,#1075,#1076, #1077,#1078,#1079,#1080,#1081,#1082,#1083,#1084,#1085,#1086,#1087,#1088, #1089,#1090,#1091,#1092,#1093,#1094,#1095,#1096,#1097,#1098,#1099,#1100, #1101,#1102,#1103,#1104,#1105,#1106,#1107,#1108,#1109,#1110,#1111,#1112, #1113,#1114,#1115,#1116,#1117,#1118,#1119,#1120,#1121,#1122,#1123,#1124, #1125,#1126,#1127,#1128,#1129,#1130,#1131,#1132,#1133,#1134,#1135,#1136, #1137,#1138,#1139,#1140,#1141,#1142,#1143,#1144,#1145,#1146,#1147,#1148, #1149,#1150,#1151,#1152,#1153,#1154,#1155,#1156,#1157,#1158,#1159,#1160, #1161,#1162,#1163,#1164,#1165,#1166,#1167,#1168,#1169,#1170,#1171,#1172, #1173,#1174,#1175,#1176,#1177,#1178,#1179,#1180,#1181,#1182,#1183,#1184, #1185,#1186,#1187,#1188,#1189,#1190,#1191,#1192,#1193,#1194,#1195,#1196, #1197,#1198,#1199,#1200,#1201,#1202,#1203,#1204,#1205,#1206,#1207,#1208, #1209,#1210,#1211,#1212,#1213,#1214,#1215,#1216,#1217,#1218,#1219,#1220, #1221,#1222,#1223,#1224,#1225,#1226,#1227,#1228,#1229,#1230,#1231,#1232, #1233,#1234,#1235,#1236,#1237,#1238,#1239,#1240,#1241,#1242,#1243,#1244, #1245,#1246,#1247,#1248,#1249,#1250,#1251,#1252,#1253,#1254,#1255,#1256, #1257,#1258,#1259,#1260,#1261,#1262,#1263,#1264,#1265,#1266,#1267,#1268, #1269,#1270,#1271,#1272,#1273,#1274,#1275,#1276,#1277,#1278,#1279,#1280, #1281,#1282,#1283,#1284,#1285,#1286,#1287,#1288,#1289,#1290,#1291,#1292, #1293,#1294,#1295,#1296,#1297,#1298,#1299,#1300,#1301,#1302,#1303,#1304, #1305,#1306,#1307,#1308,#1309,#1310,#1311,#1312,#1313,#1314,#1315,#1316, #1317,#1318,#1319,#1320,#1321,#1322,#1323,#1324,#1325,#1326,#1327,#1328, #1329,#1330,#1331,#1332,#1333,#1334,#1335,#1336,#1337,#1338,#1339,#1340, #1341,#1342,#1343,#1344,#1345,#1346,#1347,#1348,#1349,#1350,#1351,#1352, #1353,#1354,#1355,#1356,#1357,#1358,#1359,#1360,#1361,#1362,#1363,#1364, #1365,#1366,#1367,#1368,#1369,#1370,#1371,#1372,#1373,#1374,#1375,#1376, #1377,#1378,#1379,#1380,#1381,#1382,#1383,#1384,#1385,#1386,#1387,#1388, #1389,#1390,#1391,#1392,#1393,#1394,#1395,#1396,#1397,#1398,#1399,#1400, #1401,#1402,#1403,#1404,#1405,#1406,#1407,#1408,#1409,#1410,#1411,#1412, #1413,#1414,#1415,#1416,#1417,#1418,#1419,#1420,#1421,#1422,#1423,#1424, #1425,#1426,#1427,#1428,#1429,#1430,#1431,#1432,#1433,#1434,#1435,#1436, #1437,#1438,#1439,#1440,#1441,#1442,#1443,#1444,#1445,#1446,#1447,#1448, #1449,#1450,#1451,#1452,#1453,#1454,#1455,#1456,#1457,#1458,#1459,#1460, #1461,#1462,#1463,#1464,#1465,#1466,#1467,#1468,#1469,#1470,#1471,#1472, #1473,#1474,#1475,#1476,#1477,#1478,#1479,#1480,#1481,#1482,#1483,#1484, #1485,#1486,#1487,#1488,#1489,#1490,#1491,#1492,#1493,#1494,#1495,#1496, #1497,#1498,#1499,#1500,#1501,#1502,#1503,#1504,#1505,#1506,#1507,#1508, #1509,#1510,#1511,#1512,#1513,#1514,#1515,#1516,#1517,#1518,#1519,#1520, #1521,#1522,#1523,#1524,#1525,#1526,#1527,#1528,#1529,#1530,#1531,#1532, #1533,#1534,#1535,#1536,#1537,#1538,#1539,#1540,#1541,#1542,#1543,#1544, #1545,#1546,#1547,#1548,#1549,#1550,#1551,#1552,#1553,#1554,#1555,#1556, #1557,#1558,#1559,#1560,#1561,#1562,#1563,#1564,#1565,#1566,#1567,#1568, #1569,#1570,#1571,#1572,#1573,#1574,#1575,#1576,#1577,#1578,#1579,#1580, #1581,#1582,#1583,#1584,#1585,#1586,#1587,#1588,#1589,#1590,#1591,#1592, #1593,#1594,#1595,#1596,#1597,#1598,#1599,#1600,#1601,#1602,#1603,#1604, #1605,#1606,#1607,#1608,#1609,#1610,#1611,#1612,#1613,#1614,#1615,#1616, #1617,#1618,#1619,#1620,#1621,#1622,#1623,#1624,#1625,#1626,#1627,#1628, #1629,#1630,#1631,#1632,#1633,#1634,#1635,#1636,#1637,#1638,#1639,#1640, #1641,#1642,#1643,#1644,#1645,#1646,#1647,#1648,#1649,#1650,#1651,#1652, #1653,#1654,#1655,#1656,#1657,#1658,#1659,#1660,#1661,#1662,#1663,#1664, #1665,#1666,#1667,#1668,#1669,#1670,#1671,#1672,#1673,#1674,#1675,#1676, #1677,#1678,#1679,#1680,#1681,#1682,#1683,#1684,#1685,#1686,#1687,#1688, #1689,#1690,#1691,#1692,#1693,#1694,#1695,#1696,#1697,#1698,#1699,#1700, #1701,#1702,#1703,#1704,#1705,#1706,#1707,#1708,#1709,#1710,#1711,#1712, #1713,#1714,#1715,#1716,#1717,#1718,#1719,#1720,#1721,#1722,#1723,#1724, #1725,#1726,#1727,#1728,#1729,#1730,#1731,#1732,#1733,#1734,#1735,#1736, #1737,#1738,#1739,#1740,#1741,#1742,#1743,#1744,#1745,#1746,#1747,#1748, #1749,#1750,#1751,#1752,#1753,#1754,#1755,#1756,#1757,#1758,#1759,#1760, #1761,#1762,#1763,#1764,#1765,#1766,#1767,#1768,#1769,#1770,#1771,#1772, #1773,#1774,#1775,#1776,#1777,#1778,#1779,#1780,#1781,#1782,#1783,#1784, #1785,#1786,#1787,#1788,#1789,#1790,#1791,#1792,#1793,#1794,#1795,#1796, #1797,#1798,#1799,#1800,#1801,#1802,#1803,#1804,#1805,#1806,#1807,#1808, #1809,#1810,#1811,#1812,#1813,#1814,#1815,#1816,#1817,#1818,#1819,#1820, #1821,#1822,#1823,#1824,#1825,#1826,#1827,#1828,#1829,#1830,#1831,#1832, #1833,#1834,#1835,#1836,#1837,#1838,#1839,#1840,#1841,#1842,#1843,#1844, #1845,#1846,#1847,#1848,#1849,#1850,#1851,#1852,#1853,#1854,#1855,#1856, #1857,#1858,#1859,#1860,#1861,#1862,#1863,#1864,#1865,#1866,#1867,#1868, #1869,#1870,#1871,#1872,#1873,#1874,#1875,#1876,#1877,#1878,#1879,#1880, #1881,#1882,#1883,#1884,#1885,#1886,#1887,#1888,#1889,#1890,#1891,#1892, #1893,#1894,#1895,#1896,#1897,#1898,#1899,#1900,#1901,#1902,#1903,#1904, #1905,#1906,#1907,#1908,#1909,#1910,#1911,#1912,#1913,#1914,#1915,#1916, #1917,#1918,#1919,#1920,#1921,#1922,#1923,#1924,#1925,#1926,#1927,#1928, #1929,#1930,#1931,#1932,#1933,#1934,#1935,#1936,#1937,#1938,#1939,#1940, #1941,#1942,#1943,#1944,#1945,#1946,#1947,#1948,#1949,#1950,#1951,#1952, #1953,#1954,#1955,#1956,#1957,#1958,#1959,#1960,#1961,#1962,#1963,#1964, #1965,#1966,#1967,#1968,#1969,#1970,#1971,#1972,#1973,#1974,#1975,#1976, #1977,#1978,#1979,#1980,#1981,#1982,#1983,#1984,#1985,#1986,#1987,#1988, #1989,#1990,#1991,#1992,#1993,#1994,#1995,#1996,#1997,#1998,#1999,#2000, #2001,#2002,#2003,#2004,#2005,#2006,#2007,#2008,#2009,#2010,#2011,#2012, #2013,#2014,#2015,#2016,#2017,#2018,#2019,#2020,#2021,#2022,#2023,#2024, #2025,#2026,#2027,#2028,#2029,#2030,#2031,#2032,#2033,#2034,#2035,#2036, #2037,#2038,#2039,#2040,#2041,#2042,#2043,#2044,#2045,#2046,#2047,#2048, #2049,#2050,#2051,#2052,#2053,#2054,#2055,#2056,#2057,#2058,#2059,#2060, #2061,#2062,#2063,#2064,#2065,#2066,#2067,#2068,#2069,#2070,#2071,#2072, #2073,#2074,#2075,#2076,#2077,#2078,#2079,#2080,#2081,#2082,#2083,#2084, #2085,#2086,#2087,#2088,#2089,#2090,#2091,#2092,#2093,#2094,#2095,#2096, #2097,#2098,#2099,#2100,#2101,#2102,#2103,#2104,#2105,#2106,#2107,#2108, #2109,#2110,#2111,#2112,#2113,#2114,#2115,#2116,#2117,#2118,#2119,#2120, #2121,#2122,#2123,#2124,#2125,#2126,#2127,#2128,#2129,#2130,#2131,#2132, #2133,#2134,#2135,#2136,#2137,#2138,#2139,#2140,#2141,#2142,#2143,#2144, #2145,#2146,#2147,#2148,#2149,#2150,#2151,#2152,#2153,#2154,#2155,#2156, #2157,#2158,#2159,#2160,#2161,#2162,#2163,#2164,#2165,#2166,#2167,#2168, #2169,#2170,#2171,#2172,#2173,#2174,#2175,#2176,#2177,#2178,#2179,#2180, #2181,#2182,#2183,#2184,#2185,#2186,#2187,#2188,#2189,#2190,#2191,#2192, #2193,#2194,#2195,#2196,#2197,#2198,#2199,#2200,#2201,#2202,#2203,#2204), #532365); #11=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#532920),#532608,#2204, (#575)); #12=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#532923),#532610,#2204, (#577)); #13=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#532920),#532609,#2204, (#576)); #14=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#532923),#532611,#2204, (#578)); #15=CONTEXT_DEPENDENT_OVER_RIDING_STYLED_ITEM('',(#532922),#532607,#2204, (#574)); #16=MAPPED_ITEM('',#17,#351384); #17=REPRESENTATION_MAP(#351384,#532606); #18=( BOUNDED_CURVE() B_SPLINE_CURVE(3,(#524945,#524946,#524947,#524948),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((4,4),(0.0306276689583522,1.),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,1.,1.,1.)) REPRESENTATION_ITEM('') ); #19=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#525804,#525805,#525806),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666387,0.558350975401024), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854478,1.19005359568536,1.2097473586927)) REPRESENTATION_ITEM('') ); #20=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#525813,#525814,#525815),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444819), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.15288606854489)) REPRESENTATION_ITEM('') ); #21=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#525823,#525824,#525825),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666387,0.558350975401026), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568538,1.20974735869271)) REPRESENTATION_ITEM('') ); #22=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#525832,#525833,#525834),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444817), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.1528860685449)) REPRESENTATION_ITEM('') ); #23=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#525842,#525843,#525844),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666385,0.558350975401022), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568537,1.20974735869271)) REPRESENTATION_ITEM('') ); #24=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#525851,#525852,#525853),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771367,1.28042132444832), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586927,1.19005359568536,1.15288606854478)) REPRESENTATION_ITEM('') ); #25=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#525856,#525857,#525858),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.423706197171351,0.558350975401023), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.17941351000692,1.1977973299105,1.2097473586927)) REPRESENTATION_ITEM('') ); #26=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#525863,#525864,#525865),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.19317020594321), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19779732991061,1.17941351000703)) REPRESENTATION_ITEM('') ); #27=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#525873,#525874,#525875),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666387,0.558350975401026), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568538,1.20974735869271)) REPRESENTATION_ITEM('') ); #28=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#525882,#525883,#525884),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771356,1.28042132444819), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.15288606854489)) REPRESENTATION_ITEM('') ); #29=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#525892,#525893,#525894),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666386,0.558350975401023), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854478,1.19005359568536,1.2097473586927)) REPRESENTATION_ITEM('') ); #30=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#525901,#525902,#525903),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771356,1.28042132444818), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.15288606854489)) REPRESENTATION_ITEM('') ); #31=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#525911,#525912,#525913),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666387,0.558350975401024), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568537,1.2097473586927)) REPRESENTATION_ITEM('') ); #32=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#525920,#525921,#525922),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444818), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.15288606854489)) REPRESENTATION_ITEM('') ); #33=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#525930,#525931,#525932),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666386,0.558350975401024), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568537,1.20974735869271)) REPRESENTATION_ITEM('') ); #34=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#525939,#525940,#525941),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444818), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.15288606854489)) REPRESENTATION_ITEM('') ); #35=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#525986,#525987,#525988),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666572,0.558350975401195), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854489,1.19005359568546,1.20974735869279)) REPRESENTATION_ITEM('') ); #36=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#525995,#525996,#525997),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444818), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.20974735869279,1.19005359568546,1.15288606854489)) REPRESENTATION_ITEM('') ); #37=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#526005,#526006,#526007),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666388,0.558350975401026), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568537,1.20974735869271)) REPRESENTATION_ITEM('') ); #38=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#526014,#526015,#526016),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444816), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.1528860685449)) REPRESENTATION_ITEM('') ); #39=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#526024,#526025,#526026),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666388,0.558350975401026), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568537,1.20974735869271)) REPRESENTATION_ITEM('') ); #40=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#526033,#526034,#526035),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444817), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.1528860685449)) REPRESENTATION_ITEM('') ); #41=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#526043,#526044,#526045),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666386,0.558350975401023), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568537,1.20974735869271)) REPRESENTATION_ITEM('') ); #42=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#526052,#526053,#526054),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771367,1.2804213244483), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.20974735869271,1.19005359568537,1.15288606854479)) REPRESENTATION_ITEM('') ); #43=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#526057,#526058,#526059),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.423706197171344,0.558350975401024), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.17941351000692,1.1977973299105,1.2097473586927)) REPRESENTATION_ITEM('') ); #44=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#526071,#526072,#526073),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771366,1.2804213244483), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586927,1.19005359568537,1.15288606854479)) REPRESENTATION_ITEM('') ); #45=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#526078,#526079,#526080),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666386,0.558350975401025), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568538,1.20974735869271)) REPRESENTATION_ITEM('') ); #46=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#526084,#526085,#526086),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444817), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.20974735869281,1.19005359568548,1.15288606854491)) REPRESENTATION_ITEM('') ); #47=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#526090,#526091,#526092),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666387,0.558350975401025), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854479,1.19005359568537,1.20974735869271)) REPRESENTATION_ITEM('') ); #48=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#526096,#526097,#526098),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771355,1.28042132444817), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19005359568547,1.1528860685449)) REPRESENTATION_ITEM('') ); #49=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#526107,#526108,#526109),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.336455078666388,0.558350975401025), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.15288606854478,1.19005359568536,1.2097473586927)) REPRESENTATION_ITEM('') ); #50=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#526111,#526112,#526113),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(1.05852542771356,1.19317020594322), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.2097473586928,1.19779732991061,1.17941351000702)) REPRESENTATION_ITEM('') ); #51=( BOUNDED_SURFACE() B_SPLINE_SURFACE(3,3,((#524916,#524917,#524918,#524919),(#524920,#524921, #524922,#524923),(#524924,#524925,#524926,#524927),(#524928,#524929,#524930, #524931),(#524932,#524933,#524934,#524935),(#524936,#524937,#524938,#524939), (#524940,#524941,#524942,#524943)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((4,3,4),(4,4),(-0.635528307263547,0.00408186307996069, 1.),(0.,1.),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.333333333333298,0.333333333333298,1.), (1.,0.333333333333298,0.333333333333298,1.),(1.,0.333333333333298,0.333333333333298, 1.),(1.,0.333333333333298,0.333333333333298,1.),(1.,0.333333333333298,0.333333333333298, 1.),(1.,0.333333333333298,0.333333333333298,1.),(1.,0.333333333333298,0.333333333333298, 1.))) REPRESENTATION_ITEM('') SURFACE() ); #52=( BOUNDED_SURFACE() B_SPLINE_SURFACE(3,3,((#525018,#525019,#525020,#525021),(#525022,#525023, #525024,#525025),(#525026,#525027,#525028,#525029),(#525030,#525031,#525032, #525033),(#525034,#525035,#525036,#525037),(#525038,#525039,#525040,#525041), (#525042,#525043,#525044,#525045)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((4,3,4),(4,4),(-0.119730781394121,0.,1.),(0., 1.),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.333333333333333,0.333333333333333,1.), (1.,0.333333333333333,0.333333333333333,1.),(1.,0.333333333333333,0.333333333333333, 1.),(1.,0.333333333333333,0.333333333333333,1.),(1.,0.333333333333333,0.333333333333333, 1.),(1.,0.333333333333333,0.333333333333333,1.),(1.,0.333333333333333,0.333333333333333, 1.))) REPRESENTATION_ITEM('') SURFACE() ); #53=TOROIDAL_SURFACE('',#349035,4.10833333333333,0.141666666666667); #54=TOROIDAL_SURFACE('',#349040,4.10833333333333,0.141666666666667); #55=TOROIDAL_SURFACE('',#349043,4.25,0.425000000000001); #56=TOROIDAL_SURFACE('',#349046,4.10833333333333,0.141666666666667); #57=TOROIDAL_SURFACE('',#349051,4.10833333333333,0.141666666666667); #58=TOROIDAL_SURFACE('',#349066,4.27,0.415); #59=TOROIDAL_SURFACE('',#349070,4.12833333333333,0.141666666666667); #60=TOROIDAL_SURFACE('',#349075,4.12833333333333,0.141666666666667); #61=TOROIDAL_SURFACE('',#349080,4.10833333333333,0.141666666666667); #62=TOROIDAL_SURFACE('',#349085,4.10833333333333,0.141666666666667); #63=TOROIDAL_SURFACE('',#349088,4.25,0.425000000000001); #64=TOROIDAL_SURFACE('',#349091,4.10833333333333,0.141666666666667); #65=TOROIDAL_SURFACE('',#349096,4.10833333333333,0.141666666666667); #66=TOROIDAL_SURFACE('',#349101,4.12833333333333,0.141666666666667); #67=TOROIDAL_SURFACE('',#349106,4.12833333333333,0.141666666666666); #68=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#519784,#519785,#519786,#519787, #519788,#519789),(#519790,#519791,#519792,#519793,#519794,#519795)), .UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,2,4),(0.,1.00002104133256),(1.63499979223499, 2.20469221263347,2.77438463303195),.UNSPECIFIED.); #69=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#520156,#520157,#520158,#520159, #520160,#520161),(#520162,#520163,#520164,#520165,#520166,#520167)), .UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,2,4),(0.,1.00001458904557),(3.50880067414764, 4.07849309454612,4.6481855149446),.UNSPECIFIED.); #70=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#520420,#520421,#520422,#520423), (#520424,#520425,#520426,#520427)),.UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,4), (0.,1.),(3.15895045879223,3.32903316875533),.UNSPECIFIED.); #71=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#520453,#520454,#520455,#520456, #520457,#520458),(#520459,#520460,#520461,#520462,#520463,#520464)), .UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,2,4),(0.,1.),(4.71238898038469,5.48910824118092, 6.26582750197716),.UNSPECIFIED.); #72=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#520560,#520561,#520562,#520563, #520564,#520565),(#520566,#520567,#520568,#520569,#520570,#520571)), .UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,2,4),(0.,1.),(3.15895045879222,3.93566971958846, 4.71238898038469),.UNSPECIFIED.); #73=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#520634,#520635,#520636,#520637), (#520638,#520639,#520640,#520641)),.UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,4), (0.,1.),(6.09574479201405,6.26582750197715),.UNSPECIFIED.); #74=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#520926,#520927,#520928,#520929), (#520930,#520931,#520932,#520933),(#520934,#520935,#520936,#520937)), .UNSPECIFIED.,.F.,.F.,.F.,(2,1,2),(4,4),(-0.0060004424615139,0.,1.),(3.04460905449018, 3.14159265358979),.UNSPECIFIED.); #75=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#520949,#520950,#520951,#520952), (#520953,#520954,#520955,#520956)),.UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,4), (0.,1.),(3.14159265358979,3.48142956304391),.UNSPECIFIED.); #76=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#520971,#520972,#520973,#520974), (#520975,#520976,#520977,#520978)),.UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,4), (0.,1.),(2.80175574413567,3.14159265358979),.UNSPECIFIED.); #77=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#520995,#520996,#520997,#520998), (#520999,#521000,#521001,#521002)),.UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,4), (0.,1.),(0.,0.339836909454123),.UNSPECIFIED.); #78=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#521017,#521018,#521019,#521020), (#521021,#521022,#521023,#521024)),.UNSPECIFIED.,.F.,.F.,.F.,(2,2),(4,4), (0.,1.),(2.80175574413568,3.14159265358979),.UNSPECIFIED.); #79=B_SPLINE_SURFACE_WITH_KNOTS('',1,3,((#521048,#521049,#521050,#521051), (#521052,#521053,#521054,#521055),(#521056,#521057,#521058,#521059)), .UNSPECIFIED.,.F.,.F.,.F.,(2,1,2),(4,4),(-0.00600044243415675,0.,1.),(3.14159265358979, 3.23857625268942),.UNSPECIFIED.); #80=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#524350,#524351,#524352,#524353, #524354,#524355,#524356,#524357,#524358,#524359,#524360,#524361,#524362, #524363,#524364,#524365,#524366,#524367,#524368,#524369,#524370,#524371, #524372,#524373),(#524374,#524375,#524376,#524377,#524378,#524379,#524380, #524381,#524382,#524383,#524384,#524385,#524386,#524387,#524388,#524389, #524390,#524391,#524392,#524393,#524394,#524395,#524396,#524397),(#524398, #524399,#524400,#524401,#524402,#524403,#524404,#524405,#524406,#524407, #524408,#524409,#524410,#524411,#524412,#524413,#524414,#524415,#524416, #524417,#524418,#524419,#524420,#524421),(#524422,#524423,#524424,#524425, #524426,#524427,#524428,#524429,#524430,#524431,#524432,#524433,#524434, #524435,#524436,#524437,#524438,#524439,#524440,#524441,#524442,#524443, #524444,#524445)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,4),(0.00693386621067668,0.0483242175311726),(14.6895753849103, 15.6457284434202,16.6018815019301,17.55803456044,18.5141876189499,19.4703406774598, 20.4264937359697,21.3826467944796,22.3387998529895,23.2949529114994,24.2511059700093, 25.2072590285192,26.1634120870291,27.119565145539,28.0757182040489,29.0318712625588, 29.9880243210687,30.9441773795786,31.9003304380885,32.8564834965984,33.8126365551083, 34.7687896136182),.UNSPECIFIED.); #81=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#524636,#524637,#524638,#524639, #524640,#524641,#524642,#524643,#524644,#524645,#524646,#524647,#524648, #524649,#524650,#524651,#524652,#524653,#524654,#524655,#524656,#524657, #524658,#524659),(#524660,#524661,#524662,#524663,#524664,#524665,#524666, #524667,#524668,#524669,#524670,#524671,#524672,#524673,#524674,#524675, #524676,#524677,#524678,#524679,#524680,#524681,#524682,#524683),(#524684, #524685,#524686,#524687,#524688,#524689,#524690,#524691,#524692,#524693, #524694,#524695,#524696,#524697,#524698,#524699,#524700,#524701,#524702, #524703,#524704,#524705,#524706,#524707),(#524708,#524709,#524710,#524711, #524712,#524713,#524714,#524715,#524716,#524717,#524718,#524719,#524720, #524721,#524722,#524723,#524724,#524725,#524726,#524727,#524728,#524729, #524730,#524731)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,4),(-5.02564215243405E-14,0.0413905540313562),(11.8510081451052, 12.8062366196733,13.7614650942413,14.7166935688094,15.6719220433775,16.6271505179455, 17.5823789925136,18.5376074670817,19.4928359416498,20.4480644162178,21.4032928907859, 22.358521365354,23.313749839922,24.2689783144901,25.2242067890582,26.1794352636263, 27.1346637381943,28.0898922127624,29.0451206873305,30.0003491618985,30.9555776364666, 31.9108061110347),.UNSPECIFIED.); #82=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#525675,#525676),(#525677,#525678), (#525679,#525680),(#525681,#525682)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2, 2),(0.0033140844331539,0.00371814176801589),(0.,0.015),.UNSPECIFIED.); #83=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#525694,#525695),(#525696,#525697), (#525698,#525699),(#525700,#525701)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2, 2),(0.00364444770158918,0.0040486112059512),(0.,0.015),.UNSPECIFIED.); #84=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#525751,#525752),(#525753,#525754), (#525755,#525756),(#525757,#525758)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2, 2),(0.0033140844331539,0.00371814176801585),(0.,0.015),.UNSPECIFIED.); #85=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#525770,#525771),(#525772,#525773), (#525774,#525775),(#525776,#525777)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(2, 2),(0.00364444770158922,0.0040486112059512),(0.,0.015),.UNSPECIFIED.); #86=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#526710,#526711,#526712,#526713, #526714,#526715,#526716,#526717,#526718,#526719,#526720,#526721,#526722, #526723,#526724,#526725,#526726,#526727,#526728,#526729,#526730,#526731, #526732,#526733,#526734,#526735,#526736,#526737,#526738,#526739,#526740, #526741,#526742,#526743,#526744,#526745,#526746,#526747,#526748,#526749, #526750,#526751,#526752,#526753,#526754,#526755,#526756),(#526757,#526758, #526759,#526760,#526761,#526762,#526763,#526764,#526765,#526766,#526767, #526768,#526769,#526770,#526771,#526772,#526773,#526774,#526775,#526776, #526777,#526778,#526779,#526780,#526781,#526782,#526783,#526784,#526785, #526786,#526787,#526788,#526789,#526790,#526791,#526792,#526793,#526794, #526795,#526796,#526797,#526798,#526799,#526800,#526801,#526802,#526803), (#526804,#526805,#526806,#526807,#526808,#526809,#526810,#526811,#526812, #526813,#526814,#526815,#526816,#526817,#526818,#526819,#526820,#526821, #526822,#526823,#526824,#526825,#526826,#526827,#526828,#526829,#526830, #526831,#526832,#526833,#526834,#526835,#526836,#526837,#526838,#526839, #526840,#526841,#526842,#526843,#526844,#526845,#526846,#526847,#526848, #526849,#526850),(#526851,#526852,#526853,#526854,#526855,#526856,#526857, #526858,#526859,#526860,#526861,#526862,#526863,#526864,#526865,#526866, #526867,#526868,#526869,#526870,#526871,#526872,#526873,#526874,#526875, #526876,#526877,#526878,#526879,#526880,#526881,#526882,#526883,#526884, #526885,#526886,#526887,#526888,#526889,#526890,#526891,#526892,#526893, #526894,#526895,#526896,#526897)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,4),(0.,0.0496809906637673),(8.29514371672427,9.29443768305219, 10.2937316493801,11.293025615708,12.292319582036,13.2916135483639,14.2909075146918, 15.2902014810197,16.2894954473476,17.2887894136756,18.2880833800035,19.2873773463314, 20.2866713126593,21.2859652789873,22.2852592453152,23.2845532116431,24.283847177971, 25.283141144299,26.2824351106269,27.2817290769548,28.2810230432827,29.2803170096106, 30.2796109759386,31.2789049422665,32.2781989085944,33.2774928749223,34.2767868412503, 35.2760808075782,36.2753747739061,37.274668740234,38.273962706562,39.2732566728899, 40.2725506392178,41.2718446055457,42.2711385718736,43.2704325382016,44.2697265045295, 45.2690204708574,46.2683144371853,47.2676084035133,48.2669023698412,49.2661963361691, 50.265490302497,51.264784268825,52.2640782351529),.UNSPECIFIED.); #87=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#527118,#527119,#527120,#527121, #527122,#527123,#527124,#527125,#527126,#527127,#527128,#527129,#527130, #527131,#527132,#527133,#527134,#527135,#527136,#527137,#527138,#527139, #527140,#527141,#527142,#527143,#527144,#527145,#527146,#527147,#527148, #527149,#527150,#527151,#527152,#527153,#527154,#527155,#527156,#527157, #527158,#527159,#527160,#527161,#527162,#527163,#527164),(#527165,#527166, #527167,#527168,#527169,#527170,#527171,#527172,#527173,#527174,#527175, #527176,#527177,#527178,#527179,#527180,#527181,#527182,#527183,#527184, #527185,#527186,#527187,#527188,#527189,#527190,#527191,#527192,#527193, #527194,#527195,#527196,#527197,#527198,#527199,#527200,#527201,#527202, #527203,#527204,#527205,#527206,#527207,#527208,#527209,#527210,#527211), (#527212,#527213,#527214,#527215,#527216,#527217,#527218,#527219,#527220, #527221,#527222,#527223,#527224,#527225,#527226,#527227,#527228,#527229, #527230,#527231,#527232,#527233,#527234,#527235,#527236,#527237,#527238, #527239,#527240,#527241,#527242,#527243,#527244,#527245,#527246,#527247, #527248,#527249,#527250,#527251,#527252,#527253,#527254,#527255,#527256, #527257,#527258),(#527259,#527260,#527261,#527262,#527263,#527264,#527265, #527266,#527267,#527268,#527269,#527270,#527271,#527272,#527273,#527274, #527275,#527276,#527277,#527278,#527279,#527280,#527281,#527282,#527283, #527284,#527285,#527286,#527287,#527288,#527289,#527290,#527291,#527292, #527293,#527294,#527295,#527296,#527297,#527298,#527299,#527300,#527301, #527302,#527303,#527304,#527305)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,4),(0.,0.0496809906637673),(11.6149723878395,12.6142663541674, 13.6135603204953,14.6128542868233,15.6121482531512,16.6114422194791,17.610736185807, 18.610030152135,19.6093241184629,20.6086180847908,21.6079120511187,22.6072060174466, 23.6064999837746,24.6057939501025,25.6050879164304,26.6043818827583,27.6036758490863, 28.6029698154142,29.6022637817421,30.60155774807,31.600851714398,32.6001456807259, 33.5994396470538,34.5987336133817,35.5980275797097,36.5973215460376,37.5966155123655, 38.5959094786934,39.5952034450213,40.5944974113493,41.5937913776772,42.5930853440051, 43.592379310333,44.591673276661,45.5909672429889,46.5902612093168,47.5895551756447, 48.5888491419727,49.5881431083006,50.5874370746285,51.5867310409564,52.5860250072843, 53.5853189736123,54.5846129399402,55.5839069062681),.UNSPECIFIED.); #88=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#528291,#528292),(#528293,#528294), (#528295,#528296),(#528297,#528298),(#528299,#528300),(#528301,#528302)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(2,2),(0.,0.329628389497,0.659256776023, 0.670371616447),(0.,0.02400000002904),.UNSPECIFIED.); #89=B_SPLINE_SURFACE_WITH_KNOTS('',3,1,((#528305,#528306),(#528307,#528308), (#528309,#528310),(#528311,#528312),(#528313,#528314),(#528315,#528316)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,1,4),(2,2),(0.,0.329628389497,0.659256776023, 0.670371616447),(0.,0.02400000002904),.UNSPECIFIED.); #90=ELLIPSE('',#347161,0.197989898732233,0.14); #91=ELLIPSE('',#347162,0.197989898732233,0.14); #92=ELLIPSE('',#347164,0.197989898732233,0.14); #93=ELLIPSE('',#347165,0.197989898732233,0.14); #94=ELLIPSE('',#347862,1.81019335983756,1.28); #95=ELLIPSE('',#347863,0.28356572915838,0.200511249999998); #96=ELLIPSE('',#347864,0.28356572915838,0.200511249999998); #97=ELLIPSE('',#347914,1.81019335983756,1.28); #98=ELLIPSE('',#347915,0.28356572915838,0.200511249999998); #99=ELLIPSE('',#347916,0.28356572915838,0.200511249999998); #100=ELLIPSE('',#348336,0.223606797749979,0.2); #101=ELLIPSE('',#348396,0.223606797749979,0.2); #102=ELLIPSE('',#348404,0.223606797749979,0.2); #103=ELLIPSE('',#348409,0.223606797749979,0.2); #104=ELLIPSE('',#348448,0.249858826427815,0.176676870506421); #105=ELLIPSE('',#348451,0.249858826427815,0.176676870506421); #106=ELLIPSE('',#348464,0.249858826427815,0.176676870506421); #107=ELLIPSE('',#348467,0.249858826427815,0.176676870506421); #108=ELLIPSE('',#348516,0.0972259329022809,0.070502406497278); #109=ELLIPSE('',#348517,0.266890079046204,0.214958102704807); #110=ELLIPSE('',#348526,0.0972259328296396,0.070502406471255); #111=ELLIPSE('',#348527,0.266890079316344,0.214958103138367); #112=B_SPLINE_CURVE_WITH_KNOTS('',3,(#515305,#515306,#515307,#515308), .UNSPECIFIED.,.F.,.F.,(4,4),(1.06702260507997E-6,0.000101001795100423), .UNSPECIFIED.); #113=B_SPLINE_CURVE_WITH_KNOTS('',3,(#515316,#515317,#515318,#515319), .UNSPECIFIED.,.F.,.F.,(4,4),(0.00218768688753205,0.00235131422638895), .UNSPECIFIED.); #114=B_SPLINE_CURVE_WITH_KNOTS('',3,(#516220,#516221,#516222,#516223), .UNSPECIFIED.,.F.,.F.,(4,4),(1.0670226050798E-6,0.000101001795100423), .UNSPECIFIED.); #115=B_SPLINE_CURVE_WITH_KNOTS('',3,(#516227,#516228,#516229,#516230), .UNSPECIFIED.,.F.,.F.,(4,4),(0.00218768688753207,0.00235131422638896), .UNSPECIFIED.); #116=B_SPLINE_CURVE_WITH_KNOTS('',3,(#519126,#519127,#519128,#519129), .UNSPECIFIED.,.F.,.F.,(4,4),(0.090843957923958,0.0965117342259624), .UNSPECIFIED.); #117=B_SPLINE_CURVE_WITH_KNOTS('',3,(#519131,#519132,#519133,#519134,#519135, #519136,#519137,#519138,#519139,#519140),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 4),(-0.0302734920705029,-0.0226725819531593,-0.0150716718358157,-0.00753583591790784, 0.),.UNSPECIFIED.); #118=B_SPLINE_CURVE_WITH_KNOTS('',3,(#519150,#519151,#519152,#519153), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0906587598536056,0.0963237485294807), .UNSPECIFIED.); #119=B_SPLINE_CURVE_WITH_KNOTS('',3,(#519160,#519161,#519162,#519163,#519164, #519165,#519166,#519167,#519168,#519169),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 4),(-0.030215436934997,-0.0226426832108964,-0.0150699294867959,-0.00753496474339794, 0.),.UNSPECIFIED.); #120=B_SPLINE_CURVE_WITH_KNOTS('',3,(#519226,#519227,#519228,#519229), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0906826250597903,-0.0850148487577868), .UNSPECIFIED.); #121=B_SPLINE_CURVE_WITH_KNOTS('',3,(#519233,#519234,#519235,#519236,#519237, #519238,#519239,#519240,#519241,#519242),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 4),(-0.0611456666808443,-0.0536098307629364,-0.0460739948450286,-0.038473084727685, -0.0308721746103414),.UNSPECIFIED.); #122=B_SPLINE_CURVE_WITH_KNOTS('',3,(#519325,#519326,#519327,#519328,#519329, #519330),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.0160943905565546,0.0227024018845947, 0.0302969331719855),.UNSPECIFIED.); #123=B_SPLINE_CURVE_WITH_KNOTS('',3,(#519360,#519361,#519362,#519363,#519364, #519365),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.0306405833778503,0.0382351146652412, 0.0448431259932813),.UNSPECIFIED.); #124=B_SPLINE_CURVE_WITH_KNOTS('',3,(#519369,#519370,#519371,#519372), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0905657966754296,-0.0849008079995554), .UNSPECIFIED.); #125=B_SPLINE_CURVE_WITH_KNOTS('',3,(#519374,#519375,#519376,#519377,#519378, #519379,#519380,#519381,#519382,#519383),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 4),(-0.0607654366014233,-0.0532304718580254,-0.0456955071146274,-0.0381227533905268, -0.0305499996664263),.UNSPECIFIED.); #126=B_SPLINE_CURVE_WITH_KNOTS('',3,(#519796,#519797,#519798,#519799,#519800, #519801,#519802,#519803,#519804,#519805,#519806,#519807,#519808,#519809, #519810,#519811,#519812,#519813,#519814,#519815,#519816,#519817,#519818, #519819,#519820),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(-0.0138825997223093, -0.0123371925914751,-0.0107247969879002,-0.0082940681047829,-0.00742092718611614, -0.00508736075199776,-0.00392161367855842,-0.00115617391972303,0.), .UNSPECIFIED.); #127=B_SPLINE_CURVE_WITH_KNOTS('',3,(#519821,#519822,#519823,#519824,#519825, #519826,#519827,#519828,#519829,#519830,#519831,#519832,#519833), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(1.63499979223499,2.01665435360103,2.20553751721391, 2.65216277841743,2.77438463303195),.UNSPECIFIED.); #128=B_SPLINE_CURVE_WITH_KNOTS('',3,(#520168,#520169,#520170,#520171,#520172, #520173,#520174,#520175,#520176,#520177,#520178,#520179,#520180,#520181, #520182,#520183,#520184,#520185,#520186,#520187,#520188,#520189,#520190, #520191,#520192),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(-0.0117998952326354, -0.00944933409284985,-0.0084666124559527,-0.00648313385745766,-0.0054922752567736, -0.00342621077794332,-0.00268406077943597,-0.00131356104766598,0.), .UNSPECIFIED.); #129=B_SPLINE_CURVE_WITH_KNOTS('',3,(#520193,#520194,#520195,#520196,#520197, #520198,#520199,#520200,#520201,#520202,#520203,#520204,#520205), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(3.50880067414764,3.9554259351556,4.07764778996566, 4.45930235126854,4.6481855149446),.UNSPECIFIED.); #130=B_SPLINE_CURVE_WITH_KNOTS('',3,(#520432,#520433,#520434,#520435), .UNSPECIFIED.,.F.,.F.,(4,4),(3.15895045879223,3.32903316875533), .UNSPECIFIED.); #131=B_SPLINE_CURVE_WITH_KNOTS('',3,(#520440,#520441,#520442,#520443,#520444, #520445,#520446,#520447,#520448,#520449,#520450,#520451,#520452), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(-0.0199087496326509,-0.0131444985426879, -0.0100503004315679,-0.00271275973446163,0.),.UNSPECIFIED.); #132=B_SPLINE_CURVE_WITH_KNOTS('',3,(#520468,#520469,#520470,#520471,#520472, #520473,#520474,#520475,#520476,#520477,#520478,#520479,#520480,#520481, #520482,#520483,#520484,#520485,#520486,#520487,#520488,#520489,#520490, #520491,#520492,#520493,#520494,#520495),.UNSPECIFIED.,.F.,.F.,(4,3,3,3, 3,3,3,3,3,4),(4.71238898038469,4.92398971651661,5.02942829103752,5.23598706616021, 5.37804069794945,5.49050236486356,5.73703556164237,5.89394203512973,6.11218381448645, 6.26582750197716),.UNSPECIFIED.); #133=B_SPLINE_CURVE_WITH_KNOTS('',3,(#520496,#520497,#520498,#520499,#520500, #520501,#520502,#520503,#520504,#520505,#520506,#520507,#520508,#520509, #520510,#520511,#520512,#520513,#520514),.UNSPECIFIED.,.F.,.F.,(4,3,3,3, 3,3,4),(4.71238898038469,4.98361481070178,5.29836895048691,5.49058957321017, 5.81247748983411,6.10854993206319,6.26582750197716),.UNSPECIFIED.); #134=B_SPLINE_CURVE_WITH_KNOTS('',3,(#520576,#520577,#520578,#520579,#520580, #520581,#520582,#520583,#520584,#520585,#520586,#520587,#520588,#520589, #520590,#520591,#520592,#520593,#520594,#520595,#520596,#520597,#520598, #520599,#520600,#520601,#520602,#520603),.UNSPECIFIED.,.F.,.F.,(4,3,3,3, 3,3,3,3,3,4),(3.15895045879222,3.37732121541738,3.53396063825457,3.78053851708835, 3.93427559590577,4.14780232683088,4.28624532386015,4.49175026437121,4.60475455826007, 4.71238898038469),.UNSPECIFIED.); #135=B_SPLINE_CURVE_WITH_KNOTS('',3,(#520606,#520607,#520608,#520609,#520610, #520611,#520612,#520613,#520614,#520615,#520616,#520617,#520618,#520619, #520620,#520621,#520622,#520623,#520624),.UNSPECIFIED.,.F.,.F.,(4,3,3,3, 3,3,4),(3.15895045879222,3.45205321740473,3.77240088007167,3.93418838755921, 4.2574278870067,4.53212365918579,4.71238898038469),.UNSPECIFIED.); #136=B_SPLINE_CURVE_WITH_KNOTS('',3,(#520645,#520646,#520647,#520648), .UNSPECIFIED.,.F.,.F.,(4,4),(6.09574479201405,6.26582750197715), .UNSPECIFIED.); #137=B_SPLINE_CURVE_WITH_KNOTS('',3,(#520650,#520651,#520652,#520653,#520654, #520655,#520656,#520657,#520658,#520659,#520660,#520661,#520662), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(-0.0199213431103657,-0.0125791609788651, -0.00986468526123734,-0.00309615537692809,0.),.UNSPECIFIED.); #138=B_SPLINE_CURVE_WITH_KNOTS('',3,(#520957,#520958,#520959,#520960,#520961, #520962,#520963),.UNSPECIFIED.,.F.,.F.,(4,3,4),(3.14159265358979,3.3347765620758, 3.48142956304391),.UNSPECIFIED.); #139=B_SPLINE_CURVE_WITH_KNOTS('',3,(#520964,#520965,#520966,#520967,#520968, #520969,#520970),.UNSPECIFIED.,.F.,.F.,(4,3,4),(3.14159265358979,3.41217448946248, 3.48142956304391),.UNSPECIFIED.); #140=B_SPLINE_CURVE_WITH_KNOTS('',3,(#520979,#520980,#520981,#520982,#520983, #520984,#520985),.UNSPECIFIED.,.F.,.F.,(4,3,4),(2.80175574413567,2.99493965262168, 3.14159265358979),.UNSPECIFIED.); #141=B_SPLINE_CURVE_WITH_KNOTS('',3,(#520986,#520987,#520988,#520989,#520990, #520991,#520992),.UNSPECIFIED.,.F.,.F.,(4,3,4),(2.80175574413567,3.07233758006169, 3.14159265358979),.UNSPECIFIED.); #142=B_SPLINE_CURVE_WITH_KNOTS('',3,(#521003,#521004,#521005,#521006,#521007, #521008,#521009),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.193183908486014,0.339836909454123), .UNSPECIFIED.); #143=B_SPLINE_CURVE_WITH_KNOTS('',3,(#521010,#521011,#521012,#521013,#521014, #521015,#521016),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.270581835895645,0.339836909454123), .UNSPECIFIED.); #144=B_SPLINE_CURVE_WITH_KNOTS('',3,(#521026,#521027,#521028,#521029,#521030, #521031,#521032),.UNSPECIFIED.,.F.,.F.,(4,3,4),(2.80175574413568,2.99493965262169, 3.14159265358979),.UNSPECIFIED.); #145=B_SPLINE_CURVE_WITH_KNOTS('',3,(#521035,#521036,#521037,#521038,#521039, #521040,#521041),.UNSPECIFIED.,.F.,.F.,(4,3,4),(2.80175574413568,3.07233758005598, 3.14159265358979),.UNSPECIFIED.); #146=B_SPLINE_CURVE_WITH_KNOTS('',3,(#524086,#524087,#524088,#524089,#524090, #524091,#524092,#524093,#524094,#524095,#524096,#524097,#524098), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(16.423325737203,17.1941125422253,18.1493410167933, 19.1045694913614,20.0597844208577),.UNSPECIFIED.); #147=B_SPLINE_CURVE_WITH_KNOTS('',3,(#524100,#524101,#524102,#524103,#524104, #524105,#524106,#524107),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(-1.10260377238209, -1.02907377668168,-0.94053880202567,-0.909050430329933),.UNSPECIFIED.); #148=B_SPLINE_CURVE_WITH_KNOTS('',3,(#524109,#524110,#524111,#524112,#524113, #524114),.UNSPECIFIED.,.F.,.F.,(4,2,4),(-0.193953105406528,-0.134570725646036, 0.),.UNSPECIFIED.); #149=B_SPLINE_CURVE_WITH_KNOTS('',3,(#524115,#524116,#524117,#524118,#524119, #524120,#524121,#524122,#524123,#524124,#524125,#524126,#524127,#524128, #524129,#524130,#524131,#524132,#524133),.UNSPECIFIED.,.F.,.F.,(4,3,3,3, 3,3,4),(-18.326034068565,-18.1669081116881,-17.2107550531783,-16.2546019946683, -15.2984489361584,-14.3422958776485,-13.5847584973979),.UNSPECIFIED.); #150=B_SPLINE_CURVE_WITH_KNOTS('',3,(#524138,#524139,#524140,#524141,#524142, #524143,#524144,#524145,#524146,#524147,#524148,#524149,#524150,#524151, #524152,#524153,#524154,#524155,#524156,#524157,#524158,#524159,#524160, #524161,#524162),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(10.1401404300234, 10.5075132202488,11.4627416948169,12.4179701693849,13.373198643953,14.3284271185211, 15.2836555930891,16.2388840676572,16.423325737203),.UNSPECIFIED.); #151=B_SPLINE_CURVE_WITH_KNOTS('',3,(#524163,#524164,#524165,#524166,#524167, #524168,#524169,#524170,#524171,#524172,#524173,#524174,#524175,#524176, #524177,#524178,#524179,#524180,#524181,#524182,#524183,#524184,#524185, #524186,#524187),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(-13.5847584973979, -13.3861428191386,-12.4299897606287,-11.4738367021188,-10.5176836436089, -9.56153058509902,-8.60537752658913,-7.64922446807922,-7.30157319021831), .UNSPECIFIED.); #152=B_SPLINE_CURVE_WITH_KNOTS('',3,(#524193,#524194,#524195,#524196,#524197, #524198,#524199),.UNSPECIFIED.,.F.,.F.,(4,3,4),(-1.01838788303871,-0.956153058509904, 0.),.UNSPECIFIED.); #153=B_SPLINE_CURVE_WITH_KNOTS('',3,(#524202,#524203,#524204,#524205,#524206, #524207,#524208,#524209,#524210,#524211,#524212,#524213,#524214), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(1.73375035229266,1.91045694913614,2.86568542370421, 3.82091389827228,3.85695512284377),.UNSPECIFIED.); #154=B_SPLINE_CURVE_WITH_KNOTS('',3,(#524220,#524221,#524222,#524223,#524224, #524225,#524226,#524227,#524228,#524229,#524230,#524231,#524232,#524233, #524234,#524235),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,4),(0.,0.955228474568069, 1.91045694913614,2.86568542370422,3.82091389827229,3.85695512284377), .UNSPECIFIED.); #155=B_SPLINE_CURVE_WITH_KNOTS('',3,(#524238,#524239,#524240,#524241,#524242, #524243,#524244,#524245,#524246,#524247,#524248,#524249,#524250,#524251, #524252,#524253,#524254,#524255,#524256,#524257,#524258,#524259), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(1.7337503522927,1.9123061170198, 2.86845917552971,3.82461223403961,4.78076529254951,5.73691835105941,6.69307140956931, 7.30157319021831),.UNSPECIFIED.); #156=B_SPLINE_CURVE_WITH_KNOTS('',3,(#524264,#524265,#524266,#524267,#524268, #524269,#524270,#524271,#524272,#524273,#524274,#524275,#524276,#524277, #524278,#524279,#524280,#524281,#524282,#524283,#524284,#524285), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(3.85695512284377,4.77614237284036, 5.73137084740843,6.6865993219765,7.64182779654457,8.59705627111264,9.55228474568071, 10.1401404300234),.UNSPECIFIED.); #157=B_SPLINE_CURVE_WITH_KNOTS('',3,(#524286,#524287,#524288,#524289,#524290, #524291,#524292,#524293,#524294,#524295,#524296,#524297,#524298,#524299, #524300,#524301,#524302,#524303,#524304,#524305,#524306,#524307,#524308, #524309,#524310),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(7.30157319021831, 7.64922446807922,8.60537752658913,9.56153058509902,10.5176836436089,11.4738367021188, 12.4299897606287,13.3861428191386,13.5847584973979),.UNSPECIFIED.); #158=B_SPLINE_CURVE_WITH_KNOTS('',3,(#524316,#524317,#524318,#524319), .UNSPECIFIED.,.F.,.F.,(4,4),(19.8679438045775,20.0792142287079), .UNSPECIFIED.); #159=B_SPLINE_CURVE_WITH_KNOTS('',3,(#524321,#524322,#524323,#524324,#524325, #524326,#524327,#524328),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(0.,0.120788760900945, 0.244123460963905,0.263395160677697),.UNSPECIFIED.); #160=B_SPLINE_CURVE_WITH_KNOTS('',3,(#524330,#524331,#524332,#524333,#524334, #524335,#524336,#524337,#524338,#524339),.UNSPECIFIED.,.F.,.F.,(4,2,2,2, 4),(0.714330004616733,0.731506752596738,0.844214192429642,0.923681920895519, 0.9896813946077),.UNSPECIFIED.); #161=B_SPLINE_CURVE_WITH_KNOTS('',3,(#524340,#524341,#524342,#524343,#524344, #524345,#524346,#524347,#524348,#524349),.UNSPECIFIED.,.F.,.F.,(4,3,3,4), (16.423325737203,17.1941125422261,18.1493410167952,18.3454638764152), .UNSPECIFIED.); #162=B_SPLINE_CURVE_WITH_KNOTS('',3,(#524446,#524447,#524448,#524449,#524450, #524451,#524452,#524453,#524454,#524455,#524456,#524457,#524458,#524459, #524460,#524461,#524462,#524463,#524464,#524465,#524466,#524467,#524468, #524469,#524470,#524471,#524472,#524473,#524474,#524475,#524476,#524477, #524478,#524479,#524480,#524481,#524482,#524483,#524484,#524485,#524486, #524487,#524488,#524489,#524490,#524491,#524492,#524493,#524494,#524495, #524496,#524497,#524498,#524499,#524500,#524501,#524502,#524503,#524504, #524505,#524506,#524507,#524508,#524509,#524510,#524511,#524512,#524513, #524514,#524515,#524516,#524517,#524518,#524519,#524520,#524521,#524522, #524523,#524524,#524525,#524526,#524527,#524528,#524529,#524530), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,4),(0.103091360581963,0.12353428073543,0.134578001848704,0.14059948516615, 0.17373852796752,0.207594760688,0.241310213203669,0.264918696932889,0.271119592425879, 0.276176589219814,0.309418357784853,0.342202086312084,0.374412140473536, 0.388826053493338,0.401016625201178,0.405824115633092,0.430178083037429, 0.462555486949897,0.49525536630823,0.518632621851283,0.527880014447086, 0.534463170923819,0.566692278308528,0.595587561394558,0.606234487632263, 0.611965500619303,0.643645569778901,0.674638288858555,0.682273410652648), .UNSPECIFIED.); #163=B_SPLINE_CURVE_WITH_KNOTS('',3,(#524531,#524532,#524533,#524534,#524535, #524536,#524537,#524538,#524539,#524540,#524541,#524542,#524543,#524544, #524545,#524546,#524547,#524548,#524549,#524550,#524551,#524552), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-7.30157319021831,-6.69307140956931, -5.73691835105941,-4.78076529254951,-3.82461223403961,-2.86845917552971, -1.91230611701981,-1.01838788303871),.UNSPECIFIED.); #164=B_SPLINE_CURVE_WITH_KNOTS('',3,(#524553,#524554,#524555,#524556,#524557, #524558,#524559,#524560,#524561,#524562,#524563,#524564,#524565,#524566, #524567,#524568,#524569,#524570,#524571,#524572,#524573,#524574,#524575, #524576,#524577,#524578,#524579,#524580,#524581,#524582,#524583,#524584, #524585,#524586,#524587,#524588,#524589,#524590,#524591,#524592,#524593, #524594,#524595,#524596,#524597,#524598,#524599,#524600,#524601,#524602, #524603,#524604,#524605,#524606,#524607,#524608,#524609,#524610,#524611, #524612,#524613),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,4),(0.25659118800266,0.287146966510574,0.318269765381052,0.349908841183501, 0.361363942597864,0.393813696515609,0.426105706284872,0.458634332276858, 0.491162958268844,0.52406489710764,0.556735476898334,0.567432371275957, 0.599832374215096,0.619113594819169,0.651615475491493,0.684698671585198, 0.71819591801006,0.745408846044681,0.779281708961714,0.813264997171785, 0.840363122633563),.UNSPECIFIED.); #165=B_SPLINE_CURVE_WITH_KNOTS('',3,(#524614,#524615,#524616,#524617,#524618, #524619,#524620,#524621,#524622,#524623,#524624,#524625,#524626,#524627, #524628,#524629,#524630,#524631,#524632,#524633,#524634,#524635), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(13.5847584973979,14.3422958776485, 15.2984489361584,16.2546019946683,17.2107550531783,18.1669081116881,19.1230611701981, 19.8679438045775),.UNSPECIFIED.); #166=B_SPLINE_CURVE_WITH_KNOTS('',3,(#524732,#524733,#524734,#524735,#524736, #524737,#524738,#524739,#524740,#524741,#524742,#524743,#524744,#524745, #524746,#524747,#524748,#524749,#524750,#524751,#524752,#524753,#524754, #524755,#524756,#524757,#524758,#524759,#524760,#524761,#524762,#524763, #524764,#524765,#524766,#524767,#524768,#524769,#524770,#524771,#524772, #524773,#524774,#524775,#524776,#524777,#524778,#524779,#524780,#524781, #524782,#524783,#524784,#524785,#524786,#524787,#524788,#524789,#524790, #524791,#524792),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,4),(0.197553796660536,0.231535945415472,0.265751623228186,0.274655636771517, 0.308444752383093,0.342116173053136,0.375964136135479,0.409812099217823, 0.442702617064738,0.475226147789479,0.506859845705881,0.524468042390475, 0.542136072343072,0.574087491380715,0.606479798146206,0.638840605688813, 0.667738609061765,0.69962878623807,0.731351614450269,0.762367513279535, 0.770800592559279),.UNSPECIFIED.); #167=B_SPLINE_CURVE_WITH_KNOTS('',3,(#524793,#524794,#524795,#524796,#524797, #524798,#524799,#524800,#524801,#524802,#524803,#524804,#524805,#524806, #524807,#524808,#524809,#524810,#524811,#524812,#524813,#524814), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(3.85695512284377,4.77614237284035, 5.73137084740842,6.6865993219765,7.64182779654457,8.59705627111264,9.55228474568071, 10.1401404300234),.UNSPECIFIED.); #168=B_SPLINE_CURVE_WITH_KNOTS('',3,(#524815,#524816,#524817,#524818,#524819, #524820,#524821,#524822,#524823,#524824,#524825,#524826,#524827,#524828, #524829,#524830,#524831,#524832,#524833,#524834,#524835,#524836,#524837, #524838,#524839,#524840,#524841,#524842,#524843,#524844,#524845,#524846, #524847,#524848,#524849,#524850,#524851,#524852,#524853,#524854,#524855, #524856,#524857,#524858,#524859,#524860,#524861,#524862,#524863,#524864, #524865,#524866,#524867,#524868,#524869,#524870,#524871,#524872,#524873, #524874,#524875,#524876,#524877,#524878,#524879,#524880,#524881,#524882, #524883,#524884,#524885,#524886,#524887,#524888,#524889,#524890), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3, 3,4),(0.,0.0301373732564671,0.0610668880588267,0.0928256598317153,0.120088450493417, 0.124631792255546,0.156651233112959,0.189091471221816,0.221324586112613, 0.243725435865792,0.249416875078833,0.25414220410304,0.287132364135632, 0.31092798512533,0.344298822218878,0.373498304675732,0.380318516389182, 0.384567245666018,0.417236098396679,0.450566102436749,0.484227127072338, 0.507644015772681,0.513688798017609,0.519341590959976,0.553244536676608, 0.577894681873714),.UNSPECIFIED.); #169=B_SPLINE_CURVE_WITH_KNOTS('',3,(#524891,#524892,#524893,#524894,#524895, #524896,#524897,#524898,#524899,#524900,#524901,#524902,#524903,#524904, #524905,#524906,#524907,#524908,#524909,#524910,#524911,#524912,#524913, #524914,#524915),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(10.1401404300234, 10.5075132202488,11.4627416948169,12.4179701693849,13.373198643953,14.3284271185211, 15.2836555930891,16.2388840676572,16.423325737203),.UNSPECIFIED.); #170=B_SPLINE_CURVE_WITH_KNOTS('',3,(#524950,#524951,#524952,#524953,#524954, #524955,#524956,#524957,#524958,#524959,#524960,#524961,#524962,#524963, #524964,#524965,#524966,#524967,#524968,#524969),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,2,2,2,2,4),(-0.300084456988397,-0.267654491435324,-0.229623692312708, -0.193115170787391,-0.172761008811399,-0.156442444751442,-0.13877680642052, -0.112883262176551,-0.0668185444856736,0.),.UNSPECIFIED.); #171=B_SPLINE_CURVE_WITH_KNOTS('',3,(#524971,#524972,#524973,#524974,#524975, #524976,#524977,#524978,#524979,#524980,#524981,#524982,#524983,#524984, #524985,#524986,#524987,#524988,#524989,#524990,#524991,#524992), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,4),(-0.34266485231954,-0.28805159589279, -0.24412528512265,-0.206154433670557,-0.185979872193928,-0.171345643523288, -0.15671223186611,-0.136541366957192,-0.098578600694933,-0.0546613582875133, 0.),.UNSPECIFIED.); #172=B_SPLINE_CURVE_WITH_KNOTS('',3,(#524994,#524995,#524996,#524997,#524998, #524999,#525000,#525001,#525002,#525003,#525004,#525005,#525006,#525007, #525008,#525009,#525010,#525011,#525012,#525013),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,2,2,2,2,4),(-0.389463990025639,-0.302780077273856,-0.242988462880462, -0.209377779983388,-0.186449164765296,-0.165270641337249,-0.1388569459936, -0.0914849656312801,-0.0421337063287963,0.),.UNSPECIFIED.); #173=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525014,#525015,#525016,#525017), .UNSPECIFIED.,.F.,.F.,(4,4),(0.112447310412115,1.),.UNSPECIFIED.); #174=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525047,#525048,#525049,#525050,#525051, #525052,#525053,#525054,#525055,#525056,#525057,#525058,#525059,#525060, #525061,#525062,#525063,#525064,#525065,#525066),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,2,2,2,2,4),(-0.300853426910385,-0.268618046728455,-0.230438459858207, -0.19372960793947,-0.173232577998753,-0.156788252288365,-0.138980999658642, -0.112887663251765,-0.0665435669691608,0.),.UNSPECIFIED.); #175=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525068,#525069,#525070,#525071,#525072, #525073,#525074,#525075,#525076,#525077,#525078,#525079,#525080,#525081, #525082,#525083,#525084,#525085,#525086,#525087,#525088,#525089), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,4),(-0.343759576221542,-0.289445588928786, -0.245261084129695,-0.206997795303271,-0.186654698709415,-0.171893494133276, -0.157133109613568,-0.1367937208601,-0.0985385260853773,-0.0543630260458251, 0.),.UNSPECIFIED.); #176=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525090,#525091,#525092,#525093,#525094, #525095,#525096,#525097,#525098,#525099,#525100,#525101,#525102,#525103, #525104,#525105,#525106,#525107,#525108,#525109),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,2,2,2,2,4),(-0.390217878420923,-0.303945003727842,-0.243828406555043, -0.209979561432347,-0.186881576414474,-0.16555315677337,-0.138970648841165, -0.0913683899369769,-0.0418548743430371,0.),.UNSPECIFIED.); #177=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525142,#525143,#525144,#525145,#525146, #525147,#525148,#525149,#525150,#525151),.UNSPECIFIED.,.F.,.F.,(4,3,3,4), (-4.09299088010945,-3.99717586531169,-2.99788189898377,-2.08103247056473), .UNSPECIFIED.); #178=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525154,#525155,#525156,#525157,#525158, #525159,#525160),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.999293966327921,1.12963424404508), .UNSPECIFIED.); #179=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525165,#525166,#525167,#525168,#525169, #525170,#525171,#525172,#525173,#525174,#525175,#525176,#525177,#525178, #525179,#525180,#525181,#525182,#525183,#525184,#525185,#525186), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-10.376176187289,-9.99293966327924, -8.99364569695131,-7.99435173062339,-6.99505776429547,-5.99576379796754, -4.99646983163962,-4.09299088010945),.UNSPECIFIED.); #180=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525187,#525188,#525189,#525190,#525191, #525192,#525193,#525194,#525195,#525196,#525197,#525198,#525199,#525200, #525201,#525202,#525203,#525204,#525205,#525206,#525207,#525208), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(1.12963424404508,1.99858793265585, 2.99788189898377,3.99717586531169,4.99646983163961,5.99576379796753,6.99505776429546, 7.41281955122468),.UNSPECIFIED.); #181=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525213,#525214,#525215,#525216,#525217, #525218,#525219,#525220,#525221,#525222,#525223,#525224,#525225,#525226, #525227,#525228,#525229,#525230,#525231,#525232,#525233,#525234), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-16.6593614944686,-15.9887034612468, -14.9894094949189,-13.9901155285909,-12.990821562263,-11.9915275959351, -10.9922336296072,-10.376176187289),.UNSPECIFIED.); #182=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525235,#525236,#525237,#525238,#525239, #525240,#525241,#525242,#525243,#525244,#525245,#525246,#525247,#525248, #525249,#525250,#525251,#525252,#525253,#525254,#525255,#525256), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(7.41281955122468,7.99435173062338, 8.9936456969513,9.99293966327923,10.9922336296072,11.9915275959351,12.990821562263, 13.6960048584043),.UNSPECIFIED.); #183=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525261,#525262,#525263,#525264,#525265, #525266,#525267,#525268,#525269,#525270,#525271,#525272,#525273,#525274, #525275,#525276,#525277,#525278,#525279,#525280,#525281,#525282), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-22.9425468016482,-21.9844672592143, -20.9851732928864,-19.9858793265585,-18.9865853602305,-17.9872913939026, -16.9879974275747,-16.6593614944686),.UNSPECIFIED.); #184=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525283,#525284,#525285,#525286,#525287, #525288,#525289,#525290,#525291,#525292,#525293,#525294,#525295,#525296, #525297,#525298,#525299,#525300,#525301,#525302,#525303,#525304), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(13.6960048584043,13.9901155285909, 14.9894094949188,15.9887034612468,16.9879974275747,17.9872913939026,18.9865853602305, 19.9791901655838),.UNSPECIFIED.); #185=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525309,#525310,#525311,#525312,#525313, #525314,#525315,#525316,#525317,#525318,#525319,#525320,#525321,#525322, #525323,#525324,#525325,#525326,#525327,#525328,#525329,#525330,#525331, #525332,#525333),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(-29.2257321088278, -28.9795250235098,-27.9802310571819,-26.9809370908539,-25.981643124526, -24.9823491581981,-23.9830551918702,-22.9837612255422,-22.9425468016482), .UNSPECIFIED.); #186=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525334,#525335,#525336,#525337,#525338, #525339,#525340,#525341,#525342,#525343,#525344,#525345,#525346,#525347, #525348,#525349,#525350,#525351,#525352,#525353,#525354,#525355,#525356, #525357,#525358),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(19.9791901655838, 19.9858793265585,20.9851732928864,21.9844672592143,22.9837612255422,23.9830551918702, 24.9823491581981,25.981643124526,26.2623754727634),.UNSPECIFIED.); #187=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525363,#525364,#525365,#525366,#525367, #525368,#525369,#525370,#525371,#525372,#525373,#525374,#525375,#525376, #525377,#525378,#525379,#525380,#525381,#525382,#525383,#525384), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-35.5089174160074,-34.9752888214773, -33.9759948551494,-32.9767008888215,-31.9774069224935,-30.9781129561656, -29.9788189898377,-29.2257321088278),.UNSPECIFIED.); #188=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525385,#525386,#525387,#525388,#525389, #525390,#525391,#525392,#525393,#525394,#525395,#525396,#525397,#525398, #525399,#525400,#525401,#525402,#525403,#525404,#525405,#525406), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(26.2623754727634,26.9809370908539, 27.9802310571818,28.9795250235098,29.9788189898377,30.9781129561656,31.9774069224935, 32.545560779943),.UNSPECIFIED.); #189=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525411,#525412,#525413,#525414,#525415, #525416,#525417,#525418,#525419,#525420,#525421,#525422,#525423,#525424, #525425,#525426,#525427,#525428,#525429,#525430,#525431,#525432), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-41.792102723187,-40.9710526194448, -39.9717586531169,-38.972464686789,-37.9731707204611,-36.9738767541332, -35.9745827878052,-35.5089174160074),.UNSPECIFIED.); #190=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525433,#525434,#525435,#525436,#525437, #525438,#525439,#525440,#525441,#525442,#525443,#525444,#525445,#525446, #525447,#525448,#525449,#525450,#525451,#525452,#525453,#525454), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(32.545560779943,32.9767008888215, 33.9759948551494,34.9752888214773,35.9745827878052,36.9738767541332,37.9731707204611, 38.8287460871226),.UNSPECIFIED.); #191=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525460,#525461,#525462,#525463), .UNSPECIFIED.,.F.,.F.,(4,4),(53.4070751110265,53.5028744357034), .UNSPECIFIED.); #192=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525469,#525470,#525471,#525472,#525473, #525474,#525475,#525476,#525477,#525478),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(47.1238898038469,47.5895551756447,48.5888491419727,49.5881431083006, 50.5874370746285,51.5867310409564,52.5860250072843,53.4070751110265), .UNSPECIFIED.); #193=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525481,#525482,#525483,#525484,#525485, #525486,#525487,#525488,#525489),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4), (47.1238898038469,47.2676084035133,48.2669023698412,49.2661963361691,50.265490302497, 51.264784268825,52.2640782351529),.UNSPECIFIED.); #194=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525494,#525495,#525496,#525497,#525498, #525499,#525500,#525501,#525502,#525503),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(40.8407044966673,41.5937913776772,42.5930853440051,43.592379310333, 44.591673276661,45.5909672429889,46.5902612093168,47.1238898038469), .UNSPECIFIED.); #195=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525504,#525505,#525506,#525507,#525508, #525509,#525510,#525511,#525512,#525513),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(40.8407044966673,41.2718446055457,42.2711385718736,43.2704325382016, 44.2697265045295,45.2690204708574,46.2683144371853,47.1238898038469), .UNSPECIFIED.); #196=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525518,#525519,#525520,#525521,#525522, #525523,#525524,#525525,#525526,#525527,#525528),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,1,4),(34.5575191894877,34.5987336133817,35.5980275797097, 36.5973215460376,37.5966155123655,38.5959094786934,39.5952034450213,40.5944974113493, 40.8407044966673),.UNSPECIFIED.); #197=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525529,#525530,#525531,#525532,#525533, #525534,#525535,#525536,#525537,#525538),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(34.5575191894877,35.2760808075782,36.2753747739061,37.274668740234, 38.273962706562,39.2732566728899,40.2725506392178,40.8407044966673), .UNSPECIFIED.); #198=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525543,#525544,#525545,#525546,#525547, #525548,#525549,#525550,#525551,#525552),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(28.2743338823081,28.6029698154142,29.6022637817421,30.60155774807, 31.600851714398,32.6001456807259,33.5994396470538,34.5575191894877), .UNSPECIFIED.); #199=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525553,#525554,#525555,#525556,#525557, #525558,#525559,#525560,#525561,#525562,#525563),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,1,4),(28.2743338823081,28.2810230432827,29.2803170096106, 30.2796109759386,31.2789049422665,32.2781989085944,33.2774928749223,34.2767868412503, 34.5575191894877),.UNSPECIFIED.); #200=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525568,#525569,#525570,#525571,#525572, #525573,#525574,#525575,#525576,#525577),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(21.9911485751285,22.6072060174466,23.6064999837746,24.6057939501025, 25.6050879164304,26.6043818827583,27.6036758490863,28.2743338823081), .UNSPECIFIED.); #201=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525578,#525579,#525580,#525581,#525582, #525583,#525584,#525585,#525586,#525587),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(21.9911485751285,22.2852592453152,23.2845532116431,24.283847177971, 25.283141144299,26.2824351106269,27.2817290769548,28.2743338823081), .UNSPECIFIED.); #202=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525592,#525593,#525594,#525595,#525596, #525597,#525598,#525599,#525600,#525601),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(15.7079632679489,16.6114422194791,17.610736185807,18.610030152135, 19.6093241184629,20.6086180847908,21.6079120511187,21.9911485751285), .UNSPECIFIED.); #203=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525602,#525603,#525604,#525605,#525606, #525607,#525608,#525609,#525610,#525611),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(15.7079632679489,16.2894954473476,17.2887894136756,18.2880833800035, 19.2873773463314,20.2866713126593,21.2859652789873,21.9911485751285), .UNSPECIFIED.); #204=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525684,#525685,#525686,#525687), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0033140844331539,0.00371814176801589), .UNSPECIFIED.); #205=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525689,#525690,#525691,#525692), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0033140844331539,0.00371814176801589), .UNSPECIFIED.); #206=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525704,#525705,#525706,#525707), .UNSPECIFIED.,.F.,.F.,(4,4),(0.00364444770158918,0.0040486112059512), .UNSPECIFIED.); #207=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525711,#525712,#525713,#525714), .UNSPECIFIED.,.F.,.F.,(4,4),(0.00364444770158918,0.0040486112059512), .UNSPECIFIED.); #208=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525760,#525761,#525762,#525763), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0033140844331539,0.00371814176801585), .UNSPECIFIED.); #209=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525765,#525766,#525767,#525768), .UNSPECIFIED.,.F.,.F.,(4,4),(0.0033140844331539,0.00371814176801585), .UNSPECIFIED.); #210=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525780,#525781,#525782,#525783), .UNSPECIFIED.,.F.,.F.,(4,4),(0.00364444770158922,0.0040486112059512), .UNSPECIFIED.); #211=B_SPLINE_CURVE_WITH_KNOTS('',3,(#525787,#525788,#525789,#525790), .UNSPECIFIED.,.F.,.F.,(4,4),(0.00364444770158922,0.0040486112059512), .UNSPECIFIED.); #212=B_SPLINE_CURVE_WITH_KNOTS('',3,(#526610,#526611,#526612,#526613,#526614, #526615,#526616,#526617,#526618,#526619),.UNSPECIFIED.,.F.,.F.,(4,3,3,4), (0.,0.000192671007650155,0.00590663818660522,0.0116206054170613), .UNSPECIFIED.); #213=B_SPLINE_CURVE_WITH_KNOTS('',3,(#526656,#526657,#526658,#526659,#526660, #526661,#526662,#526663,#526664,#526665),.UNSPECIFIED.,.F.,.F.,(4,3,3,4), (0.,0.000192671007662196,0.00590663818697436,0.0116206054177875), .UNSPECIFIED.); #214=B_SPLINE_CURVE_WITH_KNOTS('',3,(#526899,#526900,#526901,#526902,#526903, #526904,#526905,#526906,#526907,#526908,#526909,#526910,#526911,#526912, #526913,#526914,#526915,#526916,#526917,#526918,#526919,#526920,#526921, #526922,#526923,#526924,#526925,#526926,#526927,#526928,#526929,#526930, #526931,#526932,#526933,#526934,#526935,#526936,#526937,#526938,#526939, #526940,#526941,#526942,#526943,#526944,#526945,#526946,#526947,#526948, #526949,#526950,#526951,#526952,#526953,#526954,#526955,#526956,#526957, #526958,#526959,#526960,#526961,#526962,#526963,#526964,#526965,#526966, #526967,#526968,#526969,#526970,#526971,#526972,#526973,#526974,#526975, #526976,#526977,#526978,#526979,#526980,#526981,#526982,#526983,#526984, #526985,#526986,#526987,#526988,#526989),.UNSPECIFIED.,.F.,.F.,(4,3,3,3, 3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.0285093330704206, 0.0614893831048949,0.0950750047347584,0.12865077711916,0.133607597639049, 0.166609849397579,0.199721859059318,0.23245881851531,0.248861614177143, 0.262790371463612,0.267668044157908,0.299912097392439,0.331796640601207, 0.363681183809974,0.383336417214561,0.39023019547543,0.394841117114028, 0.426027205279981,0.457950907618488,0.489737274614171,0.503703144947493, 0.510958182705072,0.51768804680144,0.549072301708232,0.581345455852015, 0.596265037378251,0.602159584265498,0.632654695668001,0.662301900872703, 0.678164918283363),.UNSPECIFIED.); #215=B_SPLINE_CURVE_WITH_KNOTS('',3,(#526990,#526991,#526992,#526993,#526994, #526995,#526996,#526997,#526998,#526999,#527000,#527001,#527002), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,4),(38.8287460871226,38.972464686789,39.9717586531169, 40.9710526194448,41.8879020478639),.UNSPECIFIED.); #216=B_SPLINE_CURVE_WITH_KNOTS('',3,(#527004,#527005,#527006,#527007,#527008, #527009,#527010,#527011,#527012,#527013,#527014,#527015,#527016,#527017, #527018,#527019,#527020,#527021,#527022,#527023,#527024,#527025,#527026, #527027,#527028,#527029,#527030,#527031,#527032,#527033,#527034,#527035, #527036,#527037,#527038,#527039,#527040,#527041,#527042,#527043,#527044, #527045,#527046,#527047,#527048,#527049,#527050,#527051,#527052,#527053, #527054,#527055,#527056,#527057,#527058,#527059,#527060,#527061,#527062, #527063,#527064,#527065,#527066,#527067,#527068,#527069,#527070,#527071, #527072,#527073,#527074,#527075,#527076,#527077,#527078,#527079,#527080, #527081,#527082,#527083,#527084,#527085,#527086,#527087,#527088,#527089, #527090,#527091,#527092,#527093,#527094,#527095,#527096,#527097), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,4),(-0.678164918283374,-0.653019605872027,-0.623142040707636, -0.605244325234526,-0.598127537375138,-0.593289367946179,-0.562852118254754, -0.534592927615145,-0.522229531710317,-0.514794459358921,-0.509349180826916, -0.477783623631429,-0.445843812587846,-0.414246465123744,-0.394879497127279, -0.389944880814911,-0.357478284966471,-0.336778593137886,-0.305250982916031, -0.273129577641955,-0.264192482139836,-0.260014559496387,-0.227512739559339, -0.194619583036035,-0.161531774438317,-0.141340302633528,-0.133538604036132, -0.12864171610718,-0.0949838091748236,-0.0613860704948392,-0.0285745405382953, 0.),.UNSPECIFIED.); #217=B_SPLINE_CURVE_WITH_KNOTS('',3,(#527098,#527099,#527100,#527101,#527102, #527103,#527104,#527105,#527106),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4), (10.376176187289,11.293025615708,12.292319582036,13.2916135483639,14.2909075146918, 15.2902014810197,15.7079632679489),.UNSPECIFIED.); #218=B_SPLINE_CURVE_WITH_KNOTS('',3,(#527110,#527111,#527112,#527113,#527114, #527115,#527116,#527117),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(11.6149723878395, 12.6142663541674,13.6135603204953,14.6128542868233,15.6121482531512,15.7079632679489), .UNSPECIFIED.); #219=B_SPLINE_CURVE_WITH_KNOTS('',3,(#527307,#527308,#527309,#527310,#527311, #527312,#527313,#527314,#527315,#527316,#527317,#527318,#527319,#527320, #527321,#527322,#527323,#527324,#527325,#527326,#527327,#527328,#527329, #527330,#527331,#527332,#527333,#527334,#527335,#527336,#527337,#527338, #527339,#527340,#527341,#527342,#527343,#527344,#527345,#527346,#527347, #527348,#527349,#527350,#527351,#527352,#527353,#527354,#527355,#527356, #527357,#527358,#527359,#527360,#527361,#527362,#527363,#527364,#527365, #527366,#527367,#527368,#527369,#527370,#527371,#527372,#527373,#527374, #527375,#527376,#527377,#527378,#527379,#527380,#527381,#527382,#527383, #527384,#527385,#527386,#527387,#527388,#527389,#527390,#527391,#527392, #527393,#527394),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,3,3,3,3,3,3,4),(0.,0.025098827128634,0.0546812766780232,0.0850098133584217, 0.116981533109208,0.12254580657625,0.153533770230209,0.185048406798586, 0.216676050621239,0.232189490714173,0.238726187549451,0.24499111810923, 0.277196033452011,0.309061571811656,0.340783571364715,0.361751698333449, 0.366953417649479,0.37186672981132,0.403110689050352,0.435139726370491, 0.46776949712979,0.494477254251835,0.499492956769647,0.532622234096667, 0.565893225712488,0.580697252153649,0.586400060015005,0.62004730522801, 0.653133072678216,0.676273602864456),.UNSPECIFIED.); #220=B_SPLINE_CURVE_WITH_KNOTS('',3,(#527395,#527396,#527397,#527398,#527399, #527400,#527401,#527402,#527403,#527404,#527405,#527406,#527407,#527408, #527409,#527410,#527411,#527412,#527413,#527414,#527415,#527416,#527417, #527418,#527419,#527420,#527421,#527422,#527423,#527424,#527425,#527426, #527427,#527428,#527429,#527430,#527431,#527432,#527433,#527434,#527435, #527436,#527437,#527438,#527439,#527440,#527441,#527442,#527443,#527444, #527445,#527446,#527447,#527448,#527449,#527450,#527451,#527452,#527453, #527454,#527455,#527456,#527457,#527458,#527459,#527460,#527461,#527462, #527463,#527464,#527465,#527466,#527467,#527468,#527469,#527470,#527471, #527472,#527473,#527474,#527475,#527476,#527477,#527478,#527479,#527480, #527481,#527482,#527483,#527484,#527485,#527486,#527487,#527488,#527489, #527490,#527491),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,4),(-0.676273602864444,-0.647854836969252, -0.614569928831212,-0.595781536526981,-0.588261735721003,-0.581338516588787, -0.547915658510629,-0.518670657692241,-0.505875969834196,-0.497564081987036, -0.492497003294197,-0.459706691860455,-0.427052622346171,-0.395326402629319, -0.37498371496287,-0.369250427288735,-0.364284881756537,-0.332710863028501, -0.313955651963522,-0.282044806805112,-0.249865480036214,-0.243750075241434, -0.23880947729889,-0.207346137562904,-0.175721031330502,-0.144391818177301, -0.126342840905138,-0.121007380335594,-0.116401388569252,-0.0855654787951348, -0.0551238878059207,-0.0256441261431375,0.),.UNSPECIFIED.); #221=B_SPLINE_CURVE_WITH_KNOTS('',3,(#527492,#527493,#527494,#527495,#527496, #527497,#527498,#527499,#527500,#527501),.UNSPECIFIED.,.F.,.F.,(4,3,3,4), (-43.9689345184286,-42.9696405521007,-41.9703465857728,-41.792102723187), .UNSPECIFIED.); #222=B_SPLINE_CURVE_WITH_KNOTS('',3,(#527953,#527954,#527955,#527956,#527957, #527958,#527959,#527960,#527961,#527962),.UNSPECIFIED.,.F.,.F.,(4,3,3,4), (-0.0116206054177213,-0.00590663818694071,-0.000192671007661098,0.), .UNSPECIFIED.); #223=B_SPLINE_CURVE_WITH_KNOTS('',3,(#527980,#527981,#527982,#527983,#527984, #527985,#527986,#527987,#527988,#527989),.UNSPECIFIED.,.F.,.F.,(4,3,3,4), (-0.0116206054171199,-0.00590663818663498,-0.000192671007651125,0.), .UNSPECIFIED.); #224=CONICAL_SURFACE('',#347013,0.96,0.785398163397447); #225=CONICAL_SURFACE('',#347146,0.22,0.785398163397449); #226=CONICAL_SURFACE('',#347149,0.22,0.785398163397448); #227=CONICAL_SURFACE('',#347150,0.22,0.785398163397448); #228=CONICAL_SURFACE('',#347153,0.22,0.785398163397449); #229=CONICAL_SURFACE('',#347154,0.81,0.785398163397447); #230=CONICAL_SURFACE('',#347156,0.81,0.785398163397448); #231=CONICAL_SURFACE('',#347157,0.81,0.785398163397447); #232=CONICAL_SURFACE('',#347159,0.81,0.785398163397447); #233=CONICAL_SURFACE('',#347204,0.15,0.785398163397447); #234=CONICAL_SURFACE('',#347205,0.25,0.785398163397447); #235=CONICAL_SURFACE('',#347208,0.96,0.785398163397448); #236=CONICAL_SURFACE('',#348081,1.08,0.785398163397448); #237=CONICAL_SURFACE('',#348083,1.08,0.785398163397448); #238=CONICAL_SURFACE('',#348085,1.08,0.785398163397448); #239=CONICAL_SURFACE('',#348087,1.08,0.785398163397448); #240=CONICAL_SURFACE('',#349657,2.49999999999999,0.463647609000805); #241=CONICAL_SURFACE('',#349668,2.49999999999999,0.463647609000805); #242=CONICAL_SURFACE('',#349670,2.49999999999999,0.463647609000805); #243=CONICAL_SURFACE('',#349672,2.49999999999999,0.463647609000805); #244=CONICAL_SURFACE('',#349674,2.49999999999999,0.463647609000805); #245=CONICAL_SURFACE('',#349676,2.49999999999999,0.463647609000805); #246=CONICAL_SURFACE('',#349678,2.49999999999999,0.463647609000805); #247=CONICAL_SURFACE('',#349680,2.49999999999999,0.463647609000805); #248=CONICAL_SURFACE('',#349702,2.49999999999999,0.463647609000805); #249=CONICAL_SURFACE('',#349705,2.49999999999999,0.463647609000805); #250=CONICAL_SURFACE('',#349708,2.49999999999999,0.463647609000805); #251=CONICAL_SURFACE('',#349711,2.49999999999999,0.463647609000805); #252=CONICAL_SURFACE('',#349714,2.49999999999999,0.463647609000805); #253=CONICAL_SURFACE('',#349717,2.49999999999999,0.463647609000805); #254=CONICAL_SURFACE('',#349720,2.49999999999999,0.463647609000805); #255=CONICAL_SURFACE('',#349725,2.49999999999999,0.463647609000805); #256=SPHERICAL_SURFACE('',#346869,0.016); #257=SPHERICAL_SURFACE('',#346873,0.016); #258=SPHERICAL_SURFACE('',#346877,0.016); #259=SPHERICAL_SURFACE('',#346881,0.016); #260=SPHERICAL_SURFACE('',#346885,0.016); #261=SPHERICAL_SURFACE('',#346890,0.016); #262=SPHERICAL_SURFACE('',#346894,0.016); #263=SPHERICAL_SURFACE('',#346900,0.016); #264=SPHERICAL_SURFACE('',#346919,0.016); #265=SPHERICAL_SURFACE('',#346923,0.016); #266=SPHERICAL_SURFACE('',#346927,0.016); #267=SPHERICAL_SURFACE('',#346931,0.016); #268=SPHERICAL_SURFACE('',#346935,0.016); #269=SPHERICAL_SURFACE('',#346940,0.016); #270=SPHERICAL_SURFACE('',#346944,0.0159999999999999); #271=SPHERICAL_SURFACE('',#346950,0.016); #272=SPHERICAL_SURFACE('',#348184,0.15); #273=SPHERICAL_SURFACE('',#348188,0.15); #274=SPHERICAL_SURFACE('',#348324,0.15); #275=SPHERICAL_SURFACE('',#348328,0.15); #276=SPHERICAL_SURFACE('',#348702,0.04); #277=SPHERICAL_SURFACE('',#348706,0.04); #278=SPHERICAL_SURFACE('',#348710,0.04); #279=SPHERICAL_SURFACE('',#348714,0.04); #280=SPHERICAL_SURFACE('',#348718,0.04); #281=SPHERICAL_SURFACE('',#348723,0.04); #282=SPHERICAL_SURFACE('',#348727,0.04); #283=SPHERICAL_SURFACE('',#348733,0.04); #284=SPHERICAL_SURFACE('',#348752,0.04); #285=SPHERICAL_SURFACE('',#348756,0.04); #286=SPHERICAL_SURFACE('',#348760,0.04); #287=SPHERICAL_SURFACE('',#348764,0.04); #288=SPHERICAL_SURFACE('',#348768,0.04); #289=SPHERICAL_SURFACE('',#348773,0.04); #290=SPHERICAL_SURFACE('',#348777,0.04); #291=SPHERICAL_SURFACE('',#348783,0.04); #292=SPHERICAL_SURFACE('',#348811,0.032); #293=SPHERICAL_SURFACE('',#348815,0.032); #294=SPHERICAL_SURFACE('',#348819,0.032); #295=SPHERICAL_SURFACE('',#348823,0.0319999999999999); #296=SPHERICAL_SURFACE('',#348827,0.032); #297=SPHERICAL_SURFACE('',#348832,0.032); #298=SPHERICAL_SURFACE('',#348836,0.0319999999999999); #299=SPHERICAL_SURFACE('',#348842,0.032); #300=SPHERICAL_SURFACE('',#348861,0.032); #301=SPHERICAL_SURFACE('',#348865,0.0319999999999999); #302=SPHERICAL_SURFACE('',#348869,0.032); #303=SPHERICAL_SURFACE('',#348873,0.032); #304=SPHERICAL_SURFACE('',#348877,0.032); #305=SPHERICAL_SURFACE('',#348882,0.032); #306=SPHERICAL_SURFACE('',#348886,0.0319999999999999); #307=SPHERICAL_SURFACE('',#348892,0.032); #308=SPHERICAL_SURFACE('',#348920,0.04); #309=SPHERICAL_SURFACE('',#348924,0.04); #310=SPHERICAL_SURFACE('',#348928,0.04); #311=SPHERICAL_SURFACE('',#348932,0.04); #312=SPHERICAL_SURFACE('',#348936,0.04); #313=SPHERICAL_SURFACE('',#348941,0.04); #314=SPHERICAL_SURFACE('',#348945,0.0399999999999998); #315=SPHERICAL_SURFACE('',#348951,0.04); #316=SPHERICAL_SURFACE('',#348970,0.04); #317=SPHERICAL_SURFACE('',#348974,0.04); #318=SPHERICAL_SURFACE('',#348978,0.04); #319=SPHERICAL_SURFACE('',#348982,0.04); #320=SPHERICAL_SURFACE('',#348986,0.04); #321=SPHERICAL_SURFACE('',#348991,0.04); #322=SPHERICAL_SURFACE('',#348995,0.0400000000000002); #323=SPHERICAL_SURFACE('',#349001,0.04); #324=SPHERICAL_SURFACE('',#350723,0.04); #325=SPHERICAL_SURFACE('',#350727,0.04); #326=SPHERICAL_SURFACE('',#350731,0.04); #327=SPHERICAL_SURFACE('',#350735,0.04); #328=SPHERICAL_SURFACE('',#350739,0.04); #329=SPHERICAL_SURFACE('',#350744,0.04); #330=SPHERICAL_SURFACE('',#350748,0.04); #331=SPHERICAL_SURFACE('',#350754,0.04); #332=SPHERICAL_SURFACE('',#350773,0.04); #333=SPHERICAL_SURFACE('',#350777,0.04); #334=SPHERICAL_SURFACE('',#350781,0.04); #335=SPHERICAL_SURFACE('',#350785,0.04); #336=SPHERICAL_SURFACE('',#350789,0.04); #337=SPHERICAL_SURFACE('',#350794,0.04); #338=SPHERICAL_SURFACE('',#350798,0.04); #339=SPHERICAL_SURFACE('',#350804,0.04); #340=SPHERICAL_SURFACE('',#351203,0.04); #341=SPHERICAL_SURFACE('',#351207,0.04); #342=SPHERICAL_SURFACE('',#351211,0.04); #343=SPHERICAL_SURFACE('',#351215,0.04); #344=SPHERICAL_SURFACE('',#351219,0.04); #345=SPHERICAL_SURFACE('',#351224,0.04); #346=SPHERICAL_SURFACE('',#351228,0.0399999999999998); #347=SPHERICAL_SURFACE('',#351234,0.04); #348=SPHERICAL_SURFACE('',#351253,0.04); #349=SPHERICAL_SURFACE('',#351257,0.04); #350=SPHERICAL_SURFACE('',#351261,0.04); #351=SPHERICAL_SURFACE('',#351265,0.04); #352=SPHERICAL_SURFACE('',#351269,0.04); #353=SPHERICAL_SURFACE('',#351274,0.04); #354=SPHERICAL_SURFACE('',#351278,0.0400000000000002); #355=SPHERICAL_SURFACE('',#351284,0.04); #356=ITEM_DEFINED_TRANSFORMATION($,$,#346761,#346866); #357=ITEM_DEFINED_TRANSFORMATION($,$,#346868,#346987); #358=ITEM_DEFINED_TRANSFORMATION($,$,#346991,#348587); #359=ITEM_DEFINED_TRANSFORMATION($,$,#347297,#348588); #360=ITEM_DEFINED_TRANSFORMATION($,$,#347326,#348589); #361=ITEM_DEFINED_TRANSFORMATION($,$,#348558,#348590); #362=ITEM_DEFINED_TRANSFORMATION($,$,#347355,#348591); #363=ITEM_DEFINED_TRANSFORMATION($,$,#347384,#348592); #364=ITEM_DEFINED_TRANSFORMATION($,$,#347413,#348593); #365=ITEM_DEFINED_TRANSFORMATION($,$,#347442,#348594); #366=ITEM_DEFINED_TRANSFORMATION($,$,#347471,#348595); #367=ITEM_DEFINED_TRANSFORMATION($,$,#347500,#348596); #368=ITEM_DEFINED_TRANSFORMATION($,$,#347529,#348597); #369=ITEM_DEFINED_TRANSFORMATION($,$,#347558,#348598); #370=ITEM_DEFINED_TRANSFORMATION($,$,#347587,#348599); #371=ITEM_DEFINED_TRANSFORMATION($,$,#347616,#348600); #372=ITEM_DEFINED_TRANSFORMATION($,$,#347645,#348601); #373=ITEM_DEFINED_TRANSFORMATION($,$,#347674,#348602); #374=ITEM_DEFINED_TRANSFORMATION($,$,#347703,#348603); #375=ITEM_DEFINED_TRANSFORMATION($,$,#347766,#348604); #376=ITEM_DEFINED_TRANSFORMATION($,$,#348558,#348605); #377=ITEM_DEFINED_TRANSFORMATION($,$,#346990,#348606); #378=ITEM_DEFINED_TRANSFORMATION($,$,#346989,#348607); #379=ITEM_DEFINED_TRANSFORMATION($,$,#348609,#348699); #380=ITEM_DEFINED_TRANSFORMATION($,$,#348701,#348808); #381=ITEM_DEFINED_TRANSFORMATION($,$,#348810,#348917); #382=ITEM_DEFINED_TRANSFORMATION($,$,#348919,#349026); #383=ITEM_DEFINED_TRANSFORMATION($,$,#349028,#349209); #384=ITEM_DEFINED_TRANSFORMATION($,$,#349211,#349376); #385=ITEM_DEFINED_TRANSFORMATION($,$,#349378,#349543); #386=ITEM_DEFINED_TRANSFORMATION($,$,#349545,#349580); #387=ITEM_DEFINED_TRANSFORMATION($,$,#349582,#350217); #388=ITEM_DEFINED_TRANSFORMATION($,$,#350219,#350720); #389=ITEM_DEFINED_TRANSFORMATION($,$,#350722,#350829); #390=ITEM_DEFINED_TRANSFORMATION($,$,#350831,#350872); #391=ITEM_DEFINED_TRANSFORMATION($,$,#350874,#351069); #392=ITEM_DEFINED_TRANSFORMATION($,$,#351071,#351200); #393=ITEM_DEFINED_TRANSFORMATION($,$,#351202,#351309); #394=ITEM_DEFINED_TRANSFORMATION($,$,#346760,#351310); #395=ITEM_DEFINED_TRANSFORMATION($,$,#346867,#351311); #396=ITEM_DEFINED_TRANSFORMATION($,$,#346867,#351312); #397=ITEM_DEFINED_TRANSFORMATION($,$,#346867,#351313); #398=ITEM_DEFINED_TRANSFORMATION($,$,#348700,#351314); #399=ITEM_DEFINED_TRANSFORMATION($,$,#348700,#351315); #400=ITEM_DEFINED_TRANSFORMATION($,$,#348700,#351316); #401=ITEM_DEFINED_TRANSFORMATION($,$,#348700,#351317); #402=ITEM_DEFINED_TRANSFORMATION($,$,#348700,#351318); #403=ITEM_DEFINED_TRANSFORMATION($,$,#348700,#351319); #404=ITEM_DEFINED_TRANSFORMATION($,$,#348700,#351320); #405=ITEM_DEFINED_TRANSFORMATION($,$,#348700,#351321); #406=ITEM_DEFINED_TRANSFORMATION($,$,#348700,#351322); #407=ITEM_DEFINED_TRANSFORMATION($,$,#348700,#351323); #408=ITEM_DEFINED_TRANSFORMATION($,$,#348700,#351324); #409=ITEM_DEFINED_TRANSFORMATION($,$,#348700,#351325); #410=ITEM_DEFINED_TRANSFORMATION($,$,#348700,#351326); #411=ITEM_DEFINED_TRANSFORMATION($,$,#348700,#351327); #412=ITEM_DEFINED_TRANSFORMATION($,$,#348700,#351328); #413=ITEM_DEFINED_TRANSFORMATION($,$,#348700,#351329); #414=ITEM_DEFINED_TRANSFORMATION($,$,#348700,#351330); #415=ITEM_DEFINED_TRANSFORMATION($,$,#348700,#351331); #416=ITEM_DEFINED_TRANSFORMATION($,$,#348700,#351332); #417=ITEM_DEFINED_TRANSFORMATION($,$,#348700,#351333); #418=ITEM_DEFINED_TRANSFORMATION($,$,#348700,#351334); #419=ITEM_DEFINED_TRANSFORMATION($,$,#348700,#351335); #420=ITEM_DEFINED_TRANSFORMATION($,$,#346988,#351336); #421=ITEM_DEFINED_TRANSFORMATION($,$,#348608,#351337); #422=ITEM_DEFINED_TRANSFORMATION($,$,#348608,#351338); #423=ITEM_DEFINED_TRANSFORMATION($,$,#348700,#351339); #424=ITEM_DEFINED_TRANSFORMATION($,$,#348700,#351340); #425=ITEM_DEFINED_TRANSFORMATION($,$,#348809,#351341); #426=ITEM_DEFINED_TRANSFORMATION($,$,#348809,#351342); #427=ITEM_DEFINED_TRANSFORMATION($,$,#348809,#351343); #428=ITEM_DEFINED_TRANSFORMATION($,$,#348809,#351344); #429=ITEM_DEFINED_TRANSFORMATION($,$,#348809,#351345); #430=ITEM_DEFINED_TRANSFORMATION($,$,#348809,#351346); #431=ITEM_DEFINED_TRANSFORMATION($,$,#348809,#351347); #432=ITEM_DEFINED_TRANSFORMATION($,$,#348809,#351348); #433=ITEM_DEFINED_TRANSFORMATION($,$,#348809,#351349); #434=ITEM_DEFINED_TRANSFORMATION($,$,#348809,#351350); #435=ITEM_DEFINED_TRANSFORMATION($,$,#348809,#351351); #436=ITEM_DEFINED_TRANSFORMATION($,$,#348809,#351352); #437=ITEM_DEFINED_TRANSFORMATION($,$,#348809,#351353); #438=ITEM_DEFINED_TRANSFORMATION($,$,#348809,#351354); #439=ITEM_DEFINED_TRANSFORMATION($,$,#348918,#351355); #440=ITEM_DEFINED_TRANSFORMATION($,$,#348918,#351356); #441=ITEM_DEFINED_TRANSFORMATION($,$,#348918,#351357); #442=ITEM_DEFINED_TRANSFORMATION($,$,#348918,#351358); #443=ITEM_DEFINED_TRANSFORMATION($,$,#348918,#351359); #444=ITEM_DEFINED_TRANSFORMATION($,$,#348918,#351360); #445=ITEM_DEFINED_TRANSFORMATION($,$,#349027,#351361); #446=ITEM_DEFINED_TRANSFORMATION($,$,#349027,#351362); #447=ITEM_DEFINED_TRANSFORMATION($,$,#349210,#351363); #448=ITEM_DEFINED_TRANSFORMATION($,$,#349377,#351364); #449=ITEM_DEFINED_TRANSFORMATION($,$,#349377,#351365); #450=ITEM_DEFINED_TRANSFORMATION($,$,#349377,#351366); #451=ITEM_DEFINED_TRANSFORMATION($,$,#349377,#351367); #452=ITEM_DEFINED_TRANSFORMATION($,$,#349544,#351368); #453=ITEM_DEFINED_TRANSFORMATION($,$,#349581,#351369); #454=ITEM_DEFINED_TRANSFORMATION($,$,#350218,#351370); #455=ITEM_DEFINED_TRANSFORMATION($,$,#350721,#351371); #456=ITEM_DEFINED_TRANSFORMATION($,$,#350721,#351372); #457=ITEM_DEFINED_TRANSFORMATION($,$,#350830,#351373); #458=ITEM_DEFINED_TRANSFORMATION($,$,#350830,#351374); #459=ITEM_DEFINED_TRANSFORMATION($,$,#350873,#351375); #460=ITEM_DEFINED_TRANSFORMATION($,$,#351070,#351376); #461=ITEM_DEFINED_TRANSFORMATION($,$,#351201,#351377); #462=ITEM_DEFINED_TRANSFORMATION($,$,#326590,#351378); #463=ITEM_DEFINED_TRANSFORMATION($,$,#330157,#351379); #464=ITEM_DEFINED_TRANSFORMATION($,$,#338445,#351380); #465=ITEM_DEFINED_TRANSFORMATION($,$,#344785,#351381); #466=ITEM_DEFINED_TRANSFORMATION($,$,#345772,#351382); #467=ITEM_DEFINED_TRANSFORMATION($,$,#346759,#351383); #468=( REPRESENTATION_RELATIONSHIP($,$,#532614,#532613) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#356) SHAPE_REPRESENTATION_RELATIONSHIP() ); #469=( REPRESENTATION_RELATIONSHIP($,$,#532616,#532615) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#357) SHAPE_REPRESENTATION_RELATIONSHIP() ); #470=( REPRESENTATION_RELATIONSHIP($,$,#532620,#532619) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#358) SHAPE_REPRESENTATION_RELATIONSHIP() ); #471=( REPRESENTATION_RELATIONSHIP($,$,#532621,#532619) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#359) SHAPE_REPRESENTATION_RELATIONSHIP() ); #472=( REPRESENTATION_RELATIONSHIP($,$,#532622,#532619) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#360) SHAPE_REPRESENTATION_RELATIONSHIP() ); #473=( REPRESENTATION_RELATIONSHIP($,$,#532637,#532619) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#361) SHAPE_REPRESENTATION_RELATIONSHIP() ); #474=( REPRESENTATION_RELATIONSHIP($,$,#532623,#532619) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#362) SHAPE_REPRESENTATION_RELATIONSHIP() ); #475=( REPRESENTATION_RELATIONSHIP($,$,#532624,#532619) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#363) SHAPE_REPRESENTATION_RELATIONSHIP() ); #476=( REPRESENTATION_RELATIONSHIP($,$,#532625,#532619) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#364) SHAPE_REPRESENTATION_RELATIONSHIP() ); #477=( REPRESENTATION_RELATIONSHIP($,$,#532626,#532619) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#365) SHAPE_REPRESENTATION_RELATIONSHIP() ); #478=( REPRESENTATION_RELATIONSHIP($,$,#532627,#532619) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#366) SHAPE_REPRESENTATION_RELATIONSHIP() ); #479=( REPRESENTATION_RELATIONSHIP($,$,#532628,#532619) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#367) SHAPE_REPRESENTATION_RELATIONSHIP() ); #480=( REPRESENTATION_RELATIONSHIP($,$,#532629,#532619) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#368) SHAPE_REPRESENTATION_RELATIONSHIP() ); #481=( REPRESENTATION_RELATIONSHIP($,$,#532630,#532619) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#369) SHAPE_REPRESENTATION_RELATIONSHIP() ); #482=( REPRESENTATION_RELATIONSHIP($,$,#532631,#532619) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#370) SHAPE_REPRESENTATION_RELATIONSHIP() ); #483=( REPRESENTATION_RELATIONSHIP($,$,#532632,#532619) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#371) SHAPE_REPRESENTATION_RELATIONSHIP() ); #484=( REPRESENTATION_RELATIONSHIP($,$,#532633,#532619) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#372) SHAPE_REPRESENTATION_RELATIONSHIP() ); #485=( REPRESENTATION_RELATIONSHIP($,$,#532634,#532619) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#373) SHAPE_REPRESENTATION_RELATIONSHIP() ); #486=( REPRESENTATION_RELATIONSHIP($,$,#532635,#532619) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#374) SHAPE_REPRESENTATION_RELATIONSHIP() ); #487=( REPRESENTATION_RELATIONSHIP($,$,#532636,#532619) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#375) SHAPE_REPRESENTATION_RELATIONSHIP() ); #488=( REPRESENTATION_RELATIONSHIP($,$,#532637,#532619) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#376) SHAPE_REPRESENTATION_RELATIONSHIP() ); #489=( REPRESENTATION_RELATIONSHIP($,$,#532619,#532618) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#377) SHAPE_REPRESENTATION_RELATIONSHIP() ); #490=( REPRESENTATION_RELATIONSHIP($,$,#532618,#532617) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#378) SHAPE_REPRESENTATION_RELATIONSHIP() ); #491=( REPRESENTATION_RELATIONSHIP($,$,#532639,#532638) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#379) SHAPE_REPRESENTATION_RELATIONSHIP() ); #492=( REPRESENTATION_RELATIONSHIP($,$,#532641,#532640) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#380) SHAPE_REPRESENTATION_RELATIONSHIP() ); #493=( REPRESENTATION_RELATIONSHIP($,$,#532643,#532642) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#381) SHAPE_REPRESENTATION_RELATIONSHIP() ); #494=( REPRESENTATION_RELATIONSHIP($,$,#532645,#532644) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#382) SHAPE_REPRESENTATION_RELATIONSHIP() ); #495=( REPRESENTATION_RELATIONSHIP($,$,#532647,#532646) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#383) SHAPE_REPRESENTATION_RELATIONSHIP() ); #496=( REPRESENTATION_RELATIONSHIP($,$,#532649,#532648) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#384) SHAPE_REPRESENTATION_RELATIONSHIP() ); #497=( REPRESENTATION_RELATIONSHIP($,$,#532651,#532650) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#385) SHAPE_REPRESENTATION_RELATIONSHIP() ); #498=( REPRESENTATION_RELATIONSHIP($,$,#532653,#532652) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#386) SHAPE_REPRESENTATION_RELATIONSHIP() ); #499=( REPRESENTATION_RELATIONSHIP($,$,#532655,#532654) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#387) SHAPE_REPRESENTATION_RELATIONSHIP() ); #500=( REPRESENTATION_RELATIONSHIP($,$,#532657,#532656) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#388) SHAPE_REPRESENTATION_RELATIONSHIP() ); #501=( REPRESENTATION_RELATIONSHIP($,$,#532659,#532658) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#389) SHAPE_REPRESENTATION_RELATIONSHIP() ); #502=( REPRESENTATION_RELATIONSHIP($,$,#532661,#532660) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#390) SHAPE_REPRESENTATION_RELATIONSHIP() ); #503=( REPRESENTATION_RELATIONSHIP($,$,#532663,#532662) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#391) SHAPE_REPRESENTATION_RELATIONSHIP() ); #504=( REPRESENTATION_RELATIONSHIP($,$,#532665,#532664) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#392) SHAPE_REPRESENTATION_RELATIONSHIP() ); #505=( REPRESENTATION_RELATIONSHIP($,$,#532667,#532666) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#393) SHAPE_REPRESENTATION_RELATIONSHIP() ); #506=( REPRESENTATION_RELATIONSHIP($,$,#532613,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#394) SHAPE_REPRESENTATION_RELATIONSHIP() ); #507=( REPRESENTATION_RELATIONSHIP($,$,#532615,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#395) SHAPE_REPRESENTATION_RELATIONSHIP() ); #508=( REPRESENTATION_RELATIONSHIP($,$,#532615,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#396) SHAPE_REPRESENTATION_RELATIONSHIP() ); #509=( REPRESENTATION_RELATIONSHIP($,$,#532615,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#397) SHAPE_REPRESENTATION_RELATIONSHIP() ); #510=( REPRESENTATION_RELATIONSHIP($,$,#532640,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#398) SHAPE_REPRESENTATION_RELATIONSHIP() ); #511=( REPRESENTATION_RELATIONSHIP($,$,#532640,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#399) SHAPE_REPRESENTATION_RELATIONSHIP() ); #512=( REPRESENTATION_RELATIONSHIP($,$,#532640,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#400) SHAPE_REPRESENTATION_RELATIONSHIP() ); #513=( REPRESENTATION_RELATIONSHIP($,$,#532640,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#401) SHAPE_REPRESENTATION_RELATIONSHIP() ); #514=( REPRESENTATION_RELATIONSHIP($,$,#532640,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#402) SHAPE_REPRESENTATION_RELATIONSHIP() ); #515=( REPRESENTATION_RELATIONSHIP($,$,#532640,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#403) SHAPE_REPRESENTATION_RELATIONSHIP() ); #516=( REPRESENTATION_RELATIONSHIP($,$,#532640,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#404) SHAPE_REPRESENTATION_RELATIONSHIP() ); #517=( REPRESENTATION_RELATIONSHIP($,$,#532640,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#405) SHAPE_REPRESENTATION_RELATIONSHIP() ); #518=( REPRESENTATION_RELATIONSHIP($,$,#532640,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#406) SHAPE_REPRESENTATION_RELATIONSHIP() ); #519=( REPRESENTATION_RELATIONSHIP($,$,#532640,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#407) SHAPE_REPRESENTATION_RELATIONSHIP() ); #520=( REPRESENTATION_RELATIONSHIP($,$,#532640,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#408) SHAPE_REPRESENTATION_RELATIONSHIP() ); #521=( REPRESENTATION_RELATIONSHIP($,$,#532640,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#409) SHAPE_REPRESENTATION_RELATIONSHIP() ); #522=( REPRESENTATION_RELATIONSHIP($,$,#532640,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#410) SHAPE_REPRESENTATION_RELATIONSHIP() ); #523=( REPRESENTATION_RELATIONSHIP($,$,#532640,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#411) SHAPE_REPRESENTATION_RELATIONSHIP() ); #524=( REPRESENTATION_RELATIONSHIP($,$,#532640,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#412) SHAPE_REPRESENTATION_RELATIONSHIP() ); #525=( REPRESENTATION_RELATIONSHIP($,$,#532640,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#413) SHAPE_REPRESENTATION_RELATIONSHIP() ); #526=( REPRESENTATION_RELATIONSHIP($,$,#532640,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#414) SHAPE_REPRESENTATION_RELATIONSHIP() ); #527=( REPRESENTATION_RELATIONSHIP($,$,#532640,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#415) SHAPE_REPRESENTATION_RELATIONSHIP() ); #528=( REPRESENTATION_RELATIONSHIP($,$,#532640,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#416) SHAPE_REPRESENTATION_RELATIONSHIP() ); #529=( REPRESENTATION_RELATIONSHIP($,$,#532640,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#417) SHAPE_REPRESENTATION_RELATIONSHIP() ); #530=( REPRESENTATION_RELATIONSHIP($,$,#532640,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#418) SHAPE_REPRESENTATION_RELATIONSHIP() ); #531=( REPRESENTATION_RELATIONSHIP($,$,#532640,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#419) SHAPE_REPRESENTATION_RELATIONSHIP() ); #532=( REPRESENTATION_RELATIONSHIP($,$,#532617,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#420) SHAPE_REPRESENTATION_RELATIONSHIP() ); #533=( REPRESENTATION_RELATIONSHIP($,$,#532638,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#421) SHAPE_REPRESENTATION_RELATIONSHIP() ); #534=( REPRESENTATION_RELATIONSHIP($,$,#532638,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#422) SHAPE_REPRESENTATION_RELATIONSHIP() ); #535=( REPRESENTATION_RELATIONSHIP($,$,#532640,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#423) SHAPE_REPRESENTATION_RELATIONSHIP() ); #536=( REPRESENTATION_RELATIONSHIP($,$,#532640,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#424) SHAPE_REPRESENTATION_RELATIONSHIP() ); #537=( REPRESENTATION_RELATIONSHIP($,$,#532642,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#425) SHAPE_REPRESENTATION_RELATIONSHIP() ); #538=( REPRESENTATION_RELATIONSHIP($,$,#532642,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#426) SHAPE_REPRESENTATION_RELATIONSHIP() ); #539=( REPRESENTATION_RELATIONSHIP($,$,#532642,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#427) SHAPE_REPRESENTATION_RELATIONSHIP() ); #540=( REPRESENTATION_RELATIONSHIP($,$,#532642,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#428) SHAPE_REPRESENTATION_RELATIONSHIP() ); #541=( REPRESENTATION_RELATIONSHIP($,$,#532642,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#429) SHAPE_REPRESENTATION_RELATIONSHIP() ); #542=( REPRESENTATION_RELATIONSHIP($,$,#532642,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#430) SHAPE_REPRESENTATION_RELATIONSHIP() ); #543=( REPRESENTATION_RELATIONSHIP($,$,#532642,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#431) SHAPE_REPRESENTATION_RELATIONSHIP() ); #544=( REPRESENTATION_RELATIONSHIP($,$,#532642,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#432) SHAPE_REPRESENTATION_RELATIONSHIP() ); #545=( REPRESENTATION_RELATIONSHIP($,$,#532642,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#433) SHAPE_REPRESENTATION_RELATIONSHIP() ); #546=( REPRESENTATION_RELATIONSHIP($,$,#532642,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#434) SHAPE_REPRESENTATION_RELATIONSHIP() ); #547=( REPRESENTATION_RELATIONSHIP($,$,#532642,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#435) SHAPE_REPRESENTATION_RELATIONSHIP() ); #548=( REPRESENTATION_RELATIONSHIP($,$,#532642,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#436) SHAPE_REPRESENTATION_RELATIONSHIP() ); #549=( REPRESENTATION_RELATIONSHIP($,$,#532642,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#437) SHAPE_REPRESENTATION_RELATIONSHIP() ); #550=( REPRESENTATION_RELATIONSHIP($,$,#532642,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#438) SHAPE_REPRESENTATION_RELATIONSHIP() ); #551=( REPRESENTATION_RELATIONSHIP($,$,#532644,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#439) SHAPE_REPRESENTATION_RELATIONSHIP() ); #552=( REPRESENTATION_RELATIONSHIP($,$,#532644,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#440) SHAPE_REPRESENTATION_RELATIONSHIP() ); #553=( REPRESENTATION_RELATIONSHIP($,$,#532644,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#441) SHAPE_REPRESENTATION_RELATIONSHIP() ); #554=( REPRESENTATION_RELATIONSHIP($,$,#532644,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#442) SHAPE_REPRESENTATION_RELATIONSHIP() ); #555=( REPRESENTATION_RELATIONSHIP($,$,#532644,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#443) SHAPE_REPRESENTATION_RELATIONSHIP() ); #556=( REPRESENTATION_RELATIONSHIP($,$,#532644,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#444) SHAPE_REPRESENTATION_RELATIONSHIP() ); #557=( REPRESENTATION_RELATIONSHIP($,$,#532646,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#445) SHAPE_REPRESENTATION_RELATIONSHIP() ); #558=( REPRESENTATION_RELATIONSHIP($,$,#532646,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#446) SHAPE_REPRESENTATION_RELATIONSHIP() ); #559=( REPRESENTATION_RELATIONSHIP($,$,#532648,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#447) SHAPE_REPRESENTATION_RELATIONSHIP() ); #560=( REPRESENTATION_RELATIONSHIP($,$,#532650,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#448) SHAPE_REPRESENTATION_RELATIONSHIP() ); #561=( REPRESENTATION_RELATIONSHIP($,$,#532650,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#449) SHAPE_REPRESENTATION_RELATIONSHIP() ); #562=( REPRESENTATION_RELATIONSHIP($,$,#532650,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#450) SHAPE_REPRESENTATION_RELATIONSHIP() ); #563=( REPRESENTATION_RELATIONSHIP($,$,#532650,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#451) SHAPE_REPRESENTATION_RELATIONSHIP() ); #564=( REPRESENTATION_RELATIONSHIP($,$,#532652,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#452) SHAPE_REPRESENTATION_RELATIONSHIP() ); #565=( REPRESENTATION_RELATIONSHIP($,$,#532654,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#453) SHAPE_REPRESENTATION_RELATIONSHIP() ); #566=( REPRESENTATION_RELATIONSHIP($,$,#532656,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#454) SHAPE_REPRESENTATION_RELATIONSHIP() ); #567=( REPRESENTATION_RELATIONSHIP($,$,#532658,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#455) SHAPE_REPRESENTATION_RELATIONSHIP() ); #568=( REPRESENTATION_RELATIONSHIP($,$,#532658,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#456) SHAPE_REPRESENTATION_RELATIONSHIP() ); #569=( REPRESENTATION_RELATIONSHIP($,$,#532660,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#457) SHAPE_REPRESENTATION_RELATIONSHIP() ); #570=( REPRESENTATION_RELATIONSHIP($,$,#532660,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#458) SHAPE_REPRESENTATION_RELATIONSHIP() ); #571=( REPRESENTATION_RELATIONSHIP($,$,#532662,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#459) SHAPE_REPRESENTATION_RELATIONSHIP() ); #572=( REPRESENTATION_RELATIONSHIP($,$,#532664,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#460) SHAPE_REPRESENTATION_RELATIONSHIP() ); #573=( REPRESENTATION_RELATIONSHIP($,$,#532666,#532612) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#461) SHAPE_REPRESENTATION_RELATIONSHIP() ); #574=( REPRESENTATION_RELATIONSHIP($,$,#532607,#532606) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#462) SHAPE_REPRESENTATION_RELATIONSHIP() ); #575=( REPRESENTATION_RELATIONSHIP($,$,#532608,#532606) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#463) SHAPE_REPRESENTATION_RELATIONSHIP() ); #576=( REPRESENTATION_RELATIONSHIP($,$,#532609,#532606) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#464) SHAPE_REPRESENTATION_RELATIONSHIP() ); #577=( REPRESENTATION_RELATIONSHIP($,$,#532610,#532606) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#465) SHAPE_REPRESENTATION_RELATIONSHIP() ); #578=( REPRESENTATION_RELATIONSHIP($,$,#532611,#532606) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#466) SHAPE_REPRESENTATION_RELATIONSHIP() ); #579=( REPRESENTATION_RELATIONSHIP($,$,#532612,#532606) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#467) SHAPE_REPRESENTATION_RELATIONSHIP() ); #580=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#468,#532441); #581=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#469,#532444); #582=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#470,#532466); #583=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#471,#532467); #584=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#472,#532468); #585=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#473,#532469); #586=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#474,#532470); #587=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#475,#532471); #588=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#476,#532472); #589=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#477,#532473); #590=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#478,#532474); #591=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#479,#532475); #592=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#480,#532476); #593=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#481,#532477); #594=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#482,#532478); #595=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#483,#532479); #596=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#484,#532480); #597=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#485,#532481); #598=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#486,#532482); #599=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#487,#532483); #600=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#488,#532484); #601=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#489,#532485); #602=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#490,#532486); #603=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#491,#532489); #604=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#492,#532492); #605=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#493,#532495); #606=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#494,#532498); #607=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#495,#532501); #608=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#496,#532504); #609=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#497,#532507); #610=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#498,#532510); #611=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#499,#532513); #612=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#500,#532516); #613=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#501,#532519); #614=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#502,#532522); #615=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#503,#532525); #616=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#504,#532528); #617=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#505,#532531); #618=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#506,#532532); #619=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#507,#532533); #620=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#508,#532534); #621=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#509,#532535); #622=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#510,#532536); #623=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#511,#532537); #624=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#512,#532538); #625=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#513,#532539); #626=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#514,#532540); #627=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#515,#532541); #628=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#516,#532542); #629=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#517,#532543); #630=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#518,#532544); #631=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#519,#532545); #632=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#520,#532546); #633=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#521,#532547); #634=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#522,#532548); #635=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#523,#532549); #636=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#524,#532550); #637=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#525,#532551); #638=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#526,#532552); #639=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#527,#532553); #640=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#528,#532554); #641=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#529,#532555); #642=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#530,#532556); #643=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#531,#532557); #644=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#532,#532558); #645=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#533,#532559); #646=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#534,#532560); #647=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#535,#532561); #648=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#536,#532562); #649=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#537,#532563); #650=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#538,#532564); #651=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#539,#532565); #652=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#540,#532566); #653=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#541,#532567); #654=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#542,#532568); #655=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#543,#532569); #656=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#544,#532570); #657=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#545,#532571); #658=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#546,#532572); #659=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#547,#532573); #660=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#548,#532574); #661=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#549,#532575); #662=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#550,#532576); #663=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#551,#532577); #664=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#552,#532578); #665=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#553,#532579); #666=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#554,#532580); #667=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#555,#532581); #668=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#556,#532582); #669=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#557,#532583); #670=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#558,#532584); #671=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#559,#532585); #672=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#560,#532586); #673=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#561,#532587); #674=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#562,#532588); #675=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#563,#532589); #676=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#564,#532590); #677=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#565,#532591); #678=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#566,#532592); #679=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#567,#532593); #680=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#568,#532594); #681=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#569,#532595); #682=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#570,#532596); #683=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#571,#532597); #684=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#572,#532598); #685=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#573,#532599); #686=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#574,#532600); #687=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#575,#532601); #688=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#576,#532602); #689=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#577,#532603); #690=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#578,#532604); #691=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#579,#532605); #692=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model:1','Model:1','Model:1',#532676, #532677,'Model:1'); #693=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (7):1','Model (7):1', 'Model (7):1',#532678,#532679,'Model (7):1'); #694=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Housing:1', '629722000214_Housing:1','629722000214_Housing:1',#532682,#532683, '629722000214_Housing:1'); #695=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin1_Top:1', '629722000214_Pin1_Top:1','629722000214_Pin1_Top:1',#532682,#532684, '629722000214_Pin1_Top:1'); #696=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin4_Bottom:1', '629722000214_Pin4_Bottom:1','629722000214_Pin4_Bottom:1',#532682,#532685, '629722000214_Pin4_Bottom:1'); #697=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin4_Top:1', '629722000214_Pin4_Top:1','629722000214_Pin4_Top:1',#532682,#532700, '629722000214_Pin4_Top:1'); #698=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin1_Bottom:1', '629722000214_Pin1_Bottom:1','629722000214_Pin1_Bottom:1',#532682,#532686, '629722000214_Pin1_Bottom:1'); #699=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin3_Bottom:1', '629722000214_Pin3_Bottom:1','629722000214_Pin3_Bottom:1',#532682,#532687, '629722000214_Pin3_Bottom:1'); #700=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin3_Top:1', '629722000214_Pin3_Top:1','629722000214_Pin3_Top:1',#532682,#532688, '629722000214_Pin3_Top:1'); #701=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin2_Top:1', '629722000214_Pin2_Top:1','629722000214_Pin2_Top:1',#532682,#532689, '629722000214_Pin2_Top:1'); #702=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin2_Bottom:1', '629722000214_Pin2_Bottom:1','629722000214_Pin2_Bottom:1',#532682,#532690, '629722000214_Pin2_Bottom:1'); #703=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin1_Top_mir:1', '629722000214_Pin1_Top_mir:1','629722000214_Pin1_Top_mir:1',#532682,#532691, '629722000214_Pin1_Top_mir:1'); #704=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin1_Bottom_mir:1', '629722000214_Pin1_Bottom_mir:1','629722000214_Pin1_Bottom_mir:1',#532682, #532692,'629722000214_Pin1_Bottom_mir:1'); #705=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin2_Top_mir:1', '629722000214_Pin2_Top_mir:1','629722000214_Pin2_Top_mir:1',#532682,#532693, '629722000214_Pin2_Top_mir:1'); #706=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin2_Bottom_mir:1', '629722000214_Pin2_Bottom_mir:1','629722000214_Pin2_Bottom_mir:1',#532682, #532694,'629722000214_Pin2_Bottom_mir:1'); #707=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin3_Top_mir:1', '629722000214_Pin3_Top_mir:1','629722000214_Pin3_Top_mir:1',#532682,#532695, '629722000214_Pin3_Top_mir:1'); #708=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin3_Bottom_mir:1', '629722000214_Pin3_Bottom_mir:1','629722000214_Pin3_Bottom_mir:1',#532682, #532696,'629722000214_Pin3_Bottom_mir:1'); #709=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin4_Bottom_mir:1', '629722000214_Pin4_Bottom_mir:1','629722000214_Pin4_Bottom_mir:1',#532682, #532697,'629722000214_Pin4_Bottom_mir:1'); #710=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Shielding2:1', '629722000214_Shielding2:1','629722000214_Shielding2:1',#532682,#532698, '629722000214_Shielding2:1'); #711=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Shielding:1', '629722000214_Shielding:1','629722000214_Shielding:1',#532682,#532699, '629722000214_Shielding:1'); #712=NEXT_ASSEMBLY_USAGE_OCCURRENCE('629722000214_Pin4_Top:2', '629722000214_Pin4_Top:2','629722000214_Pin4_Top:2',#532682,#532700, '629722000214_Pin4_Top:2'); #713=NEXT_ASSEMBLY_USAGE_OCCURRENCE('34707316.f3d :1','34707316.f3d :1', '34707316.f3d :1',#532681,#532682,'34707316.f3d :1'); #714=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (19):1','Model (19):1', 'Model (19):1',#532680,#532681,'Model (19):1'); #715=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (20):1','Model (20):1', 'Model (20):1',#532701,#532702,'Model (20):1'); #716=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (10):1','Model (10):1', 'Model (10):1',#532703,#532704,'Model (10):1'); #717=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (1):1','Model (1):1', 'Model (1):1',#532705,#532706,'Model (1):1'); #718=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (2):1','Model (2):1', 'Model (2):1',#532707,#532708,'Model (2):1'); #719=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (3):1','Model (3):1', 'Model (3):1',#532709,#532710,'Model (3):1'); #720=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (4):1','Model (4):1', 'Model (4):1',#532711,#532712,'Model (4):1'); #721=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (5):1','Model (5):1', 'Model (5):1',#532713,#532714,'Model (5):1'); #722=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (6):1','Model (6):1', 'Model (6):1',#532715,#532716,'Model (6):1'); #723=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (8):1','Model (8):1', 'Model (8):1',#532717,#532718,'Model (8):1'); #724=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (9):1','Model (9):1', 'Model (9):1',#532719,#532720,'Model (9):1'); #725=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (11):1','Model (11):1', 'Model (11):1',#532721,#532722,'Model (11):1'); #726=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (12):1','Model (12):1', 'Model (12):1',#532723,#532724,'Model (12):1'); #727=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (13):1','Model (13):1', 'Model (13):1',#532725,#532726,'Model (13):1'); #728=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (14):1','Model (14):1', 'Model (14):1',#532727,#532728,'Model (14):1'); #729=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Model (15):1','Model (15):1', 'Model (15):1',#532729,#532730,'Model (15):1'); #730=NEXT_ASSEMBLY_USAGE_OCCURRENCE('2510-2.54-4P:JP3', '2510-2.54-4P:JP3','2510-2.54-4P:JP3',#532675,#532676, '2510-2.54-4P:JP3'); #731=NEXT_ASSEMBLY_USAGE_OCCURRENCE('LED0603:LED1','LED0603:LED1', 'LED0603:LED1',#532675,#532678,'LED0603:LED1'); #732=NEXT_ASSEMBLY_USAGE_OCCURRENCE('LED0603:LED2','LED0603:LED2', 'LED0603:LED2',#532675,#532678,'LED0603:LED2'); #733=NEXT_ASSEMBLY_USAGE_OCCURRENCE('LED0603:LED3','LED0603:LED3', 'LED0603:LED3',#532675,#532678,'LED0603:LED3'); #734=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R10','R0603:R10','R0603:R10', #532675,#532703,'R0603:R10'); #735=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R2','R0603:R2','R0603:R2',#532675, #532703,'R0603:R2'); #736=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R3','R0603:R3','R0603:R3',#532675, #532703,'R0603:R3'); #737=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R4','R0603:R4','R0603:R4',#532675, #532703,'R0603:R4'); #738=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R5','R0603:R5','R0603:R5',#532675, #532703,'R0603:R5'); #739=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R6','R0603:R6','R0603:R6',#532675, #532703,'R0603:R6'); #740=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R7','R0603:R7','R0603:R7',#532675, #532703,'R0603:R7'); #741=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R8','R0603:R8','R0603:R8',#532675, #532703,'R0603:R8'); #742=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R11','R0603:R11','R0603:R11', #532675,#532703,'R0603:R11'); #743=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R12','R0603:R12','R0603:R12', #532675,#532703,'R0603:R12'); #744=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R13','R0603:R13','R0603:R13', #532675,#532703,'R0603:R13'); #745=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R14','R0603:R14','R0603:R14', #532675,#532703,'R0603:R14'); #746=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R15','R0603:R15','R0603:R15', #532675,#532703,'R0603:R15'); #747=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R16','R0603:R16','R0603:R16', #532675,#532703,'R0603:R16'); #748=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R17','R0603:R17','R0603:R17', #532675,#532703,'R0603:R17'); #749=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R18','R0603:R18','R0603:R18', #532675,#532703,'R0603:R18'); #750=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R19','R0603:R19','R0603:R19', #532675,#532703,'R0603:R19'); #751=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R20','R0603:R20','R0603:R20', #532675,#532703,'R0603:R20'); #752=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R21','R0603:R21','R0603:R21', #532675,#532703,'R0603:R21'); #753=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R22','R0603:R22','R0603:R22', #532675,#532703,'R0603:R22'); #754=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R24','R0603:R24','R0603:R24', #532675,#532703,'R0603:R24'); #755=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R26','R0603:R26','R0603:R26', #532675,#532703,'R0603:R26'); #756=NEXT_ASSEMBLY_USAGE_OCCURRENCE('TYPE-C-16P-FH:J1', 'TYPE-C-16P-FH:J1','TYPE-C-16P-FH:J1',#532675,#532680, 'TYPE-C-16P-FH:J1'); #757=NEXT_ASSEMBLY_USAGE_OCCURRENCE('XH-2.50-2P:JP1','XH-2.50-2P:JP1', 'XH-2.50-2P:JP1',#532675,#532701,'XH-2.50-2P:JP1'); #758=NEXT_ASSEMBLY_USAGE_OCCURRENCE('XH-2.50-2P:JP2','XH-2.50-2P:JP2', 'XH-2.50-2P:JP2',#532675,#532701,'XH-2.50-2P:JP2'); #759=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R23','R0603:R23','R0603:R23', #532675,#532703,'R0603:R23'); #760=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R0603:R25','R0603:R25','R0603:R25', #532675,#532703,'R0603:R25'); #761=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C9','C0603:C9','C0603:C9',#532675, #532705,'C0603:C9'); #762=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C10','C0603:C10','C0603:C10', #532675,#532705,'C0603:C10'); #763=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C11','C0603:C11','C0603:C11', #532675,#532705,'C0603:C11'); #764=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C12','C0603:C12','C0603:C12', #532675,#532705,'C0603:C12'); #765=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C13','C0603:C13','C0603:C13', #532675,#532705,'C0603:C13'); #766=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C14','C0603:C14','C0603:C14', #532675,#532705,'C0603:C14'); #767=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C15','C0603:C15','C0603:C15', #532675,#532705,'C0603:C15'); #768=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C16','C0603:C16','C0603:C16', #532675,#532705,'C0603:C16'); #769=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C17','C0603:C17','C0603:C17', #532675,#532705,'C0603:C17'); #770=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C18','C0603:C18','C0603:C18', #532675,#532705,'C0603:C18'); #771=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C19','C0603:C19','C0603:C19', #532675,#532705,'C0603:C19'); #772=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C20','C0603:C20','C0603:C20', #532675,#532705,'C0603:C20'); #773=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C21','C0603:C21','C0603:C21', #532675,#532705,'C0603:C21'); #774=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C0603:C22','C0603:C22','C0603:C22', #532675,#532705,'C0603:C22'); #775=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C1210:C3','C1210:C3','C1210:C3',#532675, #532707,'C1210:C3'); #776=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C1210:C4','C1210:C4','C1210:C4',#532675, #532707,'C1210:C4'); #777=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C1210:C5','C1210:C5','C1210:C5',#532675, #532707,'C1210:C5'); #778=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C1210:C6','C1210:C6','C1210:C6',#532675, #532707,'C1210:C6'); #779=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C1210:C7','C1210:C7','C1210:C7',#532675, #532707,'C1210:C7'); #780=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C1210:C8','C1210:C8','C1210:C8',#532675, #532707,'C1210:C8'); #781=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CAP-PTH(D8.0-P3.50):C1', 'CAP-PTH(D8.0-P3.50):C1','CAP-PTH(D8.0-P3.50):C1',#532675,#532709, 'CAP-PTH(D8.0-P3.50):C1'); #782=NEXT_ASSEMBLY_USAGE_OCCURRENCE('CAP-PTH(D8.0-P3.50):C2', 'CAP-PTH(D8.0-P3.50):C2','CAP-PTH(D8.0-P3.50):C2',#532675,#532709, 'CAP-PTH(D8.0-P3.50):C2'); #783=NEXT_ASSEMBLY_USAGE_OCCURRENCE('DFN-8(3X3):Q1','DFN-8(3X3):Q1', 'DFN-8(3X3):Q1',#532675,#532711,'DFN-8(3X3):Q1'); #784=NEXT_ASSEMBLY_USAGE_OCCURRENCE('DFN-8(5X6):Q2','DFN-8(5X6):Q2', 'DFN-8(5X6):Q2',#532675,#532713,'DFN-8(5X6):Q2'); #785=NEXT_ASSEMBLY_USAGE_OCCURRENCE('DFN-8(5X6):Q3','DFN-8(5X6):Q3', 'DFN-8(5X6):Q3',#532675,#532713,'DFN-8(5X6):Q3'); #786=NEXT_ASSEMBLY_USAGE_OCCURRENCE('DFN-8(5X6):Q4','DFN-8(5X6):Q4', 'DFN-8(5X6):Q4',#532675,#532713,'DFN-8(5X6):Q4'); #787=NEXT_ASSEMBLY_USAGE_OCCURRENCE('DFN-8(5X6):Q5','DFN-8(5X6):Q5', 'DFN-8(5X6):Q5',#532675,#532713,'DFN-8(5X6):Q5'); #788=NEXT_ASSEMBLY_USAGE_OCCURRENCE('L12XX:L1','L12XX:L1','L12XX:L1',#532675, #532715,'L12XX:L1'); #789=NEXT_ASSEMBLY_USAGE_OCCURRENCE('POT-R1S1-RV097NS:VR1', 'POT-R1S1-RV097NS:VR1','POT-R1S1-RV097NS:VR1',#532675,#532717, 'POT-R1S1-RV097NS:VR1'); #790=NEXT_ASSEMBLY_USAGE_OCCURRENCE('QFN-48(7X7):U1','QFN-48(7X7):U1', 'QFN-48(7X7):U1',#532675,#532719,'QFN-48(7X7):U1'); #791=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R1206:R1','R1206:R1','R1206:R1',#532675, #532721,'R1206:R1'); #792=NEXT_ASSEMBLY_USAGE_OCCURRENCE('R1206:R9','R1206:R9','R1206:R9',#532675, #532721,'R1206:R9'); #793=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SOD-123FL:D1','SOD-123FL:D1', 'SOD-123FL:D1',#532675,#532723,'SOD-123FL:D1'); #794=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SOD-123FL:D2','SOD-123FL:D2', 'SOD-123FL:D2',#532675,#532723,'SOD-123FL:D2'); #795=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SOP-8(L5.0-W3.9-P1.27):U3', 'SOP-8(L5.0-W3.9-P1.27):U3','SOP-8(L5.0-W3.9-P1.27):U3',#532675,#532725, 'SOP-8(L5.0-W3.9-P1.27):U3'); #796=NEXT_ASSEMBLY_USAGE_OCCURRENCE('SOT23-5:U2','SOT23-5:U2', 'SOT23-5:U2',#532675,#532727,'SOT23-5:U2'); #797=NEXT_ASSEMBLY_USAGE_OCCURRENCE('C1206:C23','C1206:C23','C1206:C23', #532675,#532729,'C1206:C23'); #798=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Board:1','Board:1','Board:1',#532669, #532670,'Board:1'); #799=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1-copper:1','1-copper:1', '1-copper:1',#532669,#532671,'1-copper:1'); #800=NEXT_ASSEMBLY_USAGE_OCCURRENCE('16-copper:1','16-copper:1', '16-copper:1',#532669,#532672,'16-copper:1'); #801=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1-soldermask:1','1-soldermask:1', '1-soldermask:1',#532669,#532673,'1-soldermask:1'); #802=NEXT_ASSEMBLY_USAGE_OCCURRENCE('16-soldermask:1','16-soldermask:1', '16-soldermask:1',#532669,#532674,'16-soldermask:1'); #803=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Packages:1','Packages:1', 'Packages:1',#532669,#532675,'Packages:1'); #804=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532607,#844); #805=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532608,#845); #806=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532609,#846); #807=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532610,#847); #808=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532611,#848); #809=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532614,#849); #810=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532616,#850); #811=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532620,#851); #812=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532621,#852); #813=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532622,#853); #814=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532623,#854); #815=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532624,#855); #816=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532625,#856); #817=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532626,#857); #818=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532627,#858); #819=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532628,#859); #820=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532629,#860); #821=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532630,#861); #822=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532631,#862); #823=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532632,#863); #824=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532633,#864); #825=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532634,#865); #826=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532635,#866); #827=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532636,#867); #828=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532637,#868); #829=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532639,#869); #830=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532641,#870); #831=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532643,#871); #832=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532645,#872); #833=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532647,#873); #834=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532649,#874); #835=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532651,#875); #836=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532653,#876); #837=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532655,#877); #838=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532657,#878); #839=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532659,#879); #840=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532661,#880); #841=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532663,#881); #842=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532665,#882); #843=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#532667,#883); #844=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2205,#2206,#2207,#2208,#2209, #2210,#2211,#2212,#2213,#2214,#2215,#2216,#2217,#2218,#2219,#2220,#2221, #2222,#2223,#2224,#2225,#2226,#2227,#2228,#2229,#2230,#2231,#2232,#2233, #2234,#2235,#2236,#2237,#2238,#2239,#2240,#2241,#2242,#2243,#2244,#2245, #2246,#2247,#2248,#2249,#2250,#2251,#2252,#2253,#2254,#2255,#2256,#2257, #2258,#2259,#2260,#2261,#2262,#2263,#2264,#2265,#2266,#2267,#2268,#2269, #2270,#2271,#2272,#2273,#2274,#2275,#2276,#2277,#2278,#2279,#2280,#2281, #2282,#2283,#2284,#2285,#2286,#2287,#2288,#2289,#2290,#2291,#2292,#2293, #2294,#2295,#2296,#2297,#2298,#2299,#2300,#2301,#2302,#2303,#2304,#2305, #2306,#2307,#2308,#2309,#2310,#2311,#2312,#2313,#2314,#2315,#2316,#2317, #2318,#2319,#2320,#2321,#2322,#2323,#2324,#2325,#2326,#2327,#2328,#2329, #2330,#2331,#2332,#2333,#2334,#2335,#2336,#2337,#2338,#2339,#2340,#2341, #2342,#2343,#2344,#2345,#2346,#2347,#2348,#2349,#2350,#2351,#2352,#2353, #2354,#2355,#2356,#2357,#2358,#2359,#2360,#2361,#2362,#2363,#2364,#2365, #2366,#2367,#2368,#2369,#2370,#2371,#2372,#2373,#2374,#2375,#2376,#2377, #2378,#2379,#2380,#2381,#2382,#2383,#2384,#2385,#2386,#2387,#2388,#2389, #2390,#2391,#2392,#2393,#2394,#2395,#2396,#2397,#2398,#2399,#2400,#2401, #2402,#2403,#2404,#2405,#2406,#2407,#2408,#2409,#2410,#2411,#2412,#2413, #2414,#2415,#2416,#2417,#2418,#2419,#2420,#2421,#2422,#2423,#2424,#2425, #2426,#2427,#2428,#2429,#2430,#2431,#2432,#2433,#2434,#2435,#2436,#2437, #2438,#2439,#2440,#2441,#2442,#2443,#2444,#2445,#2446,#2447,#2448,#2449, #2450,#2451,#2452,#2453,#2454,#2455,#2456,#2457,#2458,#2459,#2460,#2461, #2462,#2463,#2464,#2465,#2466,#2467,#2468,#2469,#2470,#2471,#2472,#2473, #2474,#2475,#2476,#2477,#2478,#2479,#2480,#2481,#2482,#2483,#2484,#2485, #2486,#2487,#2488,#2489,#2490,#2491,#2492,#2493,#2494,#2495,#2496,#2497, #2498,#2499,#2500,#2501,#2502,#2503,#2504,#2505,#2506,#2507,#2508,#2509, #2510,#2511,#2512,#2513,#2514,#2515,#2516,#2517,#2518,#2519,#2520),#532304); #845=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2521,#2522,#2523,#2524,#2525, #2526,#2527,#2528,#2529,#2530,#2531,#2532,#2533,#2534,#2535,#2536,#2537, #2538,#2539,#2540,#2541,#2542,#2543,#2544,#2545,#2546,#2547,#2548,#2549, #2550,#2551,#2552,#2553,#2554,#2555,#2556,#2557,#2558,#2559,#2560,#2561, #2562,#2563,#2564,#2565,#2566,#2567,#2568,#2569,#2570,#2571,#2572,#2573, #2574,#2575,#2576,#2577,#2578,#2579,#2580,#2581,#2582,#2583,#2584,#2585, #2586,#2587,#2588,#2589,#2590,#2591,#2592,#2593,#2594,#2595,#2596,#2597, #2598,#2599,#2600,#2601,#2602,#2603,#2604,#2605,#2606,#2607,#2608,#2609, #2610,#2611,#2612,#2613,#2614,#2615,#2616,#2617,#2618,#2619,#2620,#2621, #2622,#2623,#2624,#2625,#2626,#2627,#2628,#2629,#2630,#2631,#2632,#2633, #2634,#2635,#2636,#2637,#2638,#2639,#2640,#2641,#2642,#2643,#2644,#2645, #2646,#2647,#2648,#2649,#2650,#2651,#2652,#2653,#2654,#2655,#2656,#2657, #2658,#2659,#2660,#2661,#2662,#2663,#2664,#2665,#2666,#2667,#2668,#2669, #2670,#2671,#2672,#2673,#2674,#2675,#2676,#2677,#2678,#2679,#2680,#2681, #2682,#2683,#2684,#2685,#2686,#2687,#2688,#2689,#2690,#2691,#2692,#2693, #2694,#2695,#2696,#2697,#2698,#2699,#2700,#2701,#2702,#2703,#2704,#2705, #2706,#2707,#2708,#2709,#2710,#2711,#2712,#2713,#2714,#2715,#2716,#2717, #2718,#2719,#2720,#2721,#2722,#2723,#2724,#2725,#2726,#2727,#2728,#2729, #2730,#2731,#2732,#2733,#2734,#2735,#2736,#2737,#2738,#2739,#2740,#2741, #2742,#2743,#2744,#2745,#2746,#2747,#2748,#2749,#2750,#2751,#2752,#2753, #2754,#2755,#2756,#2757,#2758,#2759,#2760,#2761,#2762,#2763,#2764,#2765, #2766,#2767,#2768,#2769,#2770,#2771,#2772,#2773,#2774,#2775,#2776,#2777, #2778,#2779,#2780,#2781,#2782,#2783,#2784,#2785,#2786,#2787,#2788,#2789, #2790,#2791,#2792,#2793,#2794,#2795,#2796,#2797,#2798,#2799,#2800,#2801, #2802,#2803),#532305); #846=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2804,#2805,#2806,#2807,#2808, #2809,#2810,#2811,#2812,#2813,#2814,#2815,#2816,#2817,#2818,#2819,#2820, #2821,#2822,#2823,#2824,#2825,#2826,#2827,#2828,#2829,#2830,#2831,#2832, #2833,#2834,#2835,#2836,#2837,#2838,#2839,#2840,#2841,#2842,#2843,#2844, #2845,#2846,#2847,#2848,#2849,#2850,#2851,#2852,#2853,#2854,#2855,#2856, #2857,#2858,#2859,#2860,#2861,#2862,#2863,#2864,#2865,#2866,#2867,#2868, #2869,#2870,#2871,#2872,#2873,#2874,#2875,#2876,#2877,#2878,#2879,#2880, #2881,#2882,#2883,#2884,#2885,#2886,#2887,#2888,#2889,#2890,#2891,#2892, #2893,#2894,#2895,#2896,#2897,#2898,#2899,#2900,#2901,#2902,#2903,#2904, #2905,#2906,#2907,#2908,#2909,#2910,#2911,#2912,#2913,#2914,#2915,#2916, #2917,#2918,#2919,#2920,#2921,#2922,#2923,#2924,#2925,#2926,#2927,#2928, #2929,#2930,#2931,#2932,#2933,#2934,#2935,#2936,#2937,#2938,#2939,#2940, #2941,#2942,#2943,#2944,#2945,#2946,#2947,#2948,#2949,#2950,#2951,#2952, #2953,#2954,#2955,#2956,#2957,#2958,#2959,#2960,#2961,#2962,#2963,#2964, #2965,#2966,#2967,#2968,#2969,#2970,#2971,#2972,#2973,#2974,#2975,#2976, #2977,#2978,#2979,#2980,#2981,#2982,#2983,#2984,#2985,#2986,#2987,#2988, #2989,#2990,#2991,#2992,#2993,#2994,#2995,#2996,#2997,#2998),#532306); #847=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#2999),#532307); #848=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3000),#532308); #849=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3001),#532311); #850=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3002,#3003,#3004,#3005,#3006), #532313); #851=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3007),#532317); #852=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3008),#532318); #853=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3009),#532319); #854=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3010),#532320); #855=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3011),#532321); #856=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3012),#532322); #857=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3013),#532323); #858=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3014),#532324); #859=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3015),#532325); #860=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3016),#532326); #861=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3017),#532327); #862=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3018),#532328); #863=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3019),#532329); #864=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3020),#532330); #865=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3021),#532331); #866=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3022),#532332); #867=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3023),#532333); #868=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3024),#532334); #869=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3025),#532336); #870=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3026,#3027,#3028),#532338); #871=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3029,#3030,#3031),#532340); #872=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3032,#3033,#3034),#532342); #873=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3035,#3036,#3037,#3038,#3039, #3040,#3041,#3042,#3043,#3044,#3045,#3046,#3047),#532344); #874=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3048,#3049,#3050,#3051,#3052, #3053,#3054,#3055,#3056,#3057,#3058,#3059,#3060,#3061,#3062,#3063,#3064, #3065),#532346); #875=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3066,#3067,#3068,#3069,#3070, #3071,#3072,#3073,#3074,#3075,#3076,#3077,#3078,#3079,#3080,#3081,#3082, #3083),#532348); #876=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3084,#3085,#3086,#3087,#3088), #532350); #877=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3089,#3090,#3091),#532352); #878=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3092,#3093,#3094,#3095,#3096, #3097,#3098,#3099,#3100,#3101,#3102,#3103,#3104,#3105,#3106,#3107,#3108, #3109,#3110,#3111,#3112,#3113,#3114,#3115,#3116,#3117,#3118,#3119,#3120, #3121,#3122,#3123,#3124,#3125,#3126,#3127,#3128,#3129,#3130,#3131,#3132, #3133,#3134,#3135,#3136,#3137,#3138,#3139,#3140,#3141),#532354); #879=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3142,#3143,#3144),#532356); #880=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3145,#3146,#3147,#3148,#3149, #3150),#532358); #881=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3151,#3152,#3153,#3154,#3155, #3156,#3157,#3158,#3159),#532360); #882=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3160,#3161,#3162,#3163,#3164, #3165),#532362); #883=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#3166,#3167,#3168),#532364); #884=STYLED_ITEM('',(#532922),#2205); #885=STYLED_ITEM('',(#532920),#2206); #886=STYLED_ITEM('',(#532920),#2207); #887=STYLED_ITEM('',(#532920),#2208); #888=STYLED_ITEM('',(#532920),#2209); #889=STYLED_ITEM('',(#532920),#2210); #890=STYLED_ITEM('',(#532920),#2211); #891=STYLED_ITEM('',(#532920),#2212); #892=STYLED_ITEM('',(#532920),#2213); #893=STYLED_ITEM('',(#532920),#2214); #894=STYLED_ITEM('',(#532920),#2215); #895=STYLED_ITEM('',(#532920),#2216); #896=STYLED_ITEM('',(#532920),#2217); #897=STYLED_ITEM('',(#532920),#2218); #898=STYLED_ITEM('',(#532920),#2219); #899=STYLED_ITEM('',(#532920),#2220); #900=STYLED_ITEM('',(#532920),#2221); #901=STYLED_ITEM('',(#532920),#2222); #902=STYLED_ITEM('',(#532920),#2223); #903=STYLED_ITEM('',(#532920),#2224); #904=STYLED_ITEM('',(#532920),#2225); #905=STYLED_ITEM('',(#532920),#2226); #906=STYLED_ITEM('',(#532920),#2227); #907=STYLED_ITEM('',(#532920),#2228); #908=STYLED_ITEM('',(#532920),#2229); #909=STYLED_ITEM('',(#532920),#2230); #910=STYLED_ITEM('',(#532920),#2231); #911=STYLED_ITEM('',(#532920),#2232); #912=STYLED_ITEM('',(#532920),#2233); #913=STYLED_ITEM('',(#532920),#2234); #914=STYLED_ITEM('',(#532920),#2235); #915=STYLED_ITEM('',(#532920),#2236); #916=STYLED_ITEM('',(#532920),#2237); #917=STYLED_ITEM('',(#532920),#2238); #918=STYLED_ITEM('',(#532920),#2239); #919=STYLED_ITEM('',(#532920),#2240); #920=STYLED_ITEM('',(#532920),#2241); #921=STYLED_ITEM('',(#532920),#2242); #922=STYLED_ITEM('',(#532920),#2243); #923=STYLED_ITEM('',(#532920),#2244); #924=STYLED_ITEM('',(#532920),#2245); #925=STYLED_ITEM('',(#532920),#2246); #926=STYLED_ITEM('',(#532920),#2247); #927=STYLED_ITEM('',(#532920),#2248); #928=STYLED_ITEM('',(#532920),#2249); #929=STYLED_ITEM('',(#532920),#2250); #930=STYLED_ITEM('',(#532920),#2251); #931=STYLED_ITEM('',(#532920),#2252); #932=STYLED_ITEM('',(#532920),#2253); #933=STYLED_ITEM('',(#532920),#2254); #934=STYLED_ITEM('',(#532920),#2255); #935=STYLED_ITEM('',(#532920),#2256); #936=STYLED_ITEM('',(#532920),#2257); #937=STYLED_ITEM('',(#532920),#2258); #938=STYLED_ITEM('',(#532920),#2259); #939=STYLED_ITEM('',(#532920),#2260); #940=STYLED_ITEM('',(#532920),#2261); #941=STYLED_ITEM('',(#532920),#2262); #942=STYLED_ITEM('',(#532920),#2263); #943=STYLED_ITEM('',(#532920),#2264); #944=STYLED_ITEM('',(#532920),#2265); #945=STYLED_ITEM('',(#532920),#2266); #946=STYLED_ITEM('',(#532920),#2267); #947=STYLED_ITEM('',(#532920),#2268); #948=STYLED_ITEM('',(#532920),#2269); #949=STYLED_ITEM('',(#532920),#2270); #950=STYLED_ITEM('',(#532920),#2271); #951=STYLED_ITEM('',(#532920),#2272); #952=STYLED_ITEM('',(#532920),#2273); #953=STYLED_ITEM('',(#532920),#2274); #954=STYLED_ITEM('',(#532920),#2275); #955=STYLED_ITEM('',(#532920),#2276); #956=STYLED_ITEM('',(#532920),#2277); #957=STYLED_ITEM('',(#532920),#2278); #958=STYLED_ITEM('',(#532920),#2279); #959=STYLED_ITEM('',(#532920),#2280); #960=STYLED_ITEM('',(#532920),#2281); #961=STYLED_ITEM('',(#532920),#2282); #962=STYLED_ITEM('',(#532920),#2283); #963=STYLED_ITEM('',(#532920),#2284); #964=STYLED_ITEM('',(#532920),#2285); #965=STYLED_ITEM('',(#532920),#2286); #966=STYLED_ITEM('',(#532920),#2287); #967=STYLED_ITEM('',(#532920),#2288); #968=STYLED_ITEM('',(#532920),#2289); #969=STYLED_ITEM('',(#532920),#2290); #970=STYLED_ITEM('',(#532920),#2291); #971=STYLED_ITEM('',(#532920),#2292); #972=STYLED_ITEM('',(#532920),#2293); #973=STYLED_ITEM('',(#532920),#2294); #974=STYLED_ITEM('',(#532920),#2295); #975=STYLED_ITEM('',(#532920),#2296); #976=STYLED_ITEM('',(#532920),#2297); #977=STYLED_ITEM('',(#532920),#2298); #978=STYLED_ITEM('',(#532920),#2299); #979=STYLED_ITEM('',(#532920),#2300); #980=STYLED_ITEM('',(#532920),#2301); #981=STYLED_ITEM('',(#532920),#2302); #982=STYLED_ITEM('',(#532920),#2303); #983=STYLED_ITEM('',(#532920),#2304); #984=STYLED_ITEM('',(#532920),#2305); #985=STYLED_ITEM('',(#532920),#2306); #986=STYLED_ITEM('',(#532920),#2307); #987=STYLED_ITEM('',(#532920),#2308); #988=STYLED_ITEM('',(#532920),#2309); #989=STYLED_ITEM('',(#532920),#2310); #990=STYLED_ITEM('',(#532920),#2311); #991=STYLED_ITEM('',(#532920),#2312); #992=STYLED_ITEM('',(#532920),#2313); #993=STYLED_ITEM('',(#532920),#2314); #994=STYLED_ITEM('',(#532920),#2315); #995=STYLED_ITEM('',(#532920),#2316); #996=STYLED_ITEM('',(#532920),#2317); #997=STYLED_ITEM('',(#532920),#2318); #998=STYLED_ITEM('',(#532920),#2319); #999=STYLED_ITEM('',(#532920),#2320); #1000=STYLED_ITEM('',(#532920),#2321); #1001=STYLED_ITEM('',(#532920),#2322); #1002=STYLED_ITEM('',(#532920),#2323); #1003=STYLED_ITEM('',(#532920),#2324); #1004=STYLED_ITEM('',(#532920),#2325); #1005=STYLED_ITEM('',(#532920),#2326); #1006=STYLED_ITEM('',(#532920),#2327); #1007=STYLED_ITEM('',(#532920),#2328); #1008=STYLED_ITEM('',(#532920),#2329); #1009=STYLED_ITEM('',(#532920),#2330); #1010=STYLED_ITEM('',(#532920),#2331); #1011=STYLED_ITEM('',(#532920),#2332); #1012=STYLED_ITEM('',(#532920),#2333); #1013=STYLED_ITEM('',(#532920),#2334); #1014=STYLED_ITEM('',(#532920),#2335); #1015=STYLED_ITEM('',(#532920),#2336); #1016=STYLED_ITEM('',(#532920),#2337); #1017=STYLED_ITEM('',(#532920),#2338); #1018=STYLED_ITEM('',(#532920),#2339); #1019=STYLED_ITEM('',(#532920),#2340); #1020=STYLED_ITEM('',(#532920),#2341); #1021=STYLED_ITEM('',(#532920),#2342); #1022=STYLED_ITEM('',(#532920),#2343); #1023=STYLED_ITEM('',(#532920),#2344); #1024=STYLED_ITEM('',(#532920),#2345); #1025=STYLED_ITEM('',(#532920),#2346); #1026=STYLED_ITEM('',(#532920),#2347); #1027=STYLED_ITEM('',(#532920),#2348); #1028=STYLED_ITEM('',(#532920),#2349); #1029=STYLED_ITEM('',(#532920),#2350); #1030=STYLED_ITEM('',(#532920),#2351); #1031=STYLED_ITEM('',(#532920),#2352); #1032=STYLED_ITEM('',(#532920),#2353); #1033=STYLED_ITEM('',(#532920),#2354); #1034=STYLED_ITEM('',(#532920),#2355); #1035=STYLED_ITEM('',(#532920),#2356); #1036=STYLED_ITEM('',(#532920),#2357); #1037=STYLED_ITEM('',(#532920),#2358); #1038=STYLED_ITEM('',(#532920),#2359); #1039=STYLED_ITEM('',(#532920),#2360); #1040=STYLED_ITEM('',(#532920),#2361); #1041=STYLED_ITEM('',(#532920),#2362); #1042=STYLED_ITEM('',(#532920),#2363); #1043=STYLED_ITEM('',(#532920),#2364); #1044=STYLED_ITEM('',(#532920),#2365); #1045=STYLED_ITEM('',(#532920),#2366); #1046=STYLED_ITEM('',(#532920),#2367); #1047=STYLED_ITEM('',(#532920),#2368); #1048=STYLED_ITEM('',(#532920),#2369); #1049=STYLED_ITEM('',(#532920),#2370); #1050=STYLED_ITEM('',(#532920),#2371); #1051=STYLED_ITEM('',(#532920),#2372); #1052=STYLED_ITEM('',(#532920),#2373); #1053=STYLED_ITEM('',(#532920),#2374); #1054=STYLED_ITEM('',(#532920),#2375); #1055=STYLED_ITEM('',(#532920),#2376); #1056=STYLED_ITEM('',(#532920),#2377); #1057=STYLED_ITEM('',(#532920),#2378); #1058=STYLED_ITEM('',(#532920),#2379); #1059=STYLED_ITEM('',(#532920),#2380); #1060=STYLED_ITEM('',(#532920),#2381); #1061=STYLED_ITEM('',(#532920),#2382); #1062=STYLED_ITEM('',(#532920),#2383); #1063=STYLED_ITEM('',(#532920),#2384); #1064=STYLED_ITEM('',(#532920),#2385); #1065=STYLED_ITEM('',(#532920),#2386); #1066=STYLED_ITEM('',(#532920),#2387); #1067=STYLED_ITEM('',(#532920),#2388); #1068=STYLED_ITEM('',(#532920),#2389); #1069=STYLED_ITEM('',(#532920),#2390); #1070=STYLED_ITEM('',(#532920),#2391); #1071=STYLED_ITEM('',(#532920),#2392); #1072=STYLED_ITEM('',(#532920),#2393); #1073=STYLED_ITEM('',(#532920),#2394); #1074=STYLED_ITEM('',(#532920),#2395); #1075=STYLED_ITEM('',(#532920),#2396); #1076=STYLED_ITEM('',(#532920),#2397); #1077=STYLED_ITEM('',(#532920),#2398); #1078=STYLED_ITEM('',(#532920),#2399); #1079=STYLED_ITEM('',(#532920),#2400); #1080=STYLED_ITEM('',(#532920),#2401); #1081=STYLED_ITEM('',(#532920),#2402); #1082=STYLED_ITEM('',(#532920),#2403); #1083=STYLED_ITEM('',(#532920),#2404); #1084=STYLED_ITEM('',(#532920),#2405); #1085=STYLED_ITEM('',(#532920),#2406); #1086=STYLED_ITEM('',(#532920),#2407); #1087=STYLED_ITEM('',(#532920),#2408); #1088=STYLED_ITEM('',(#532920),#2409); #1089=STYLED_ITEM('',(#532920),#2410); #1090=STYLED_ITEM('',(#532920),#2411); #1091=STYLED_ITEM('',(#532920),#2412); #1092=STYLED_ITEM('',(#532920),#2413); #1093=STYLED_ITEM('',(#532920),#2414); #1094=STYLED_ITEM('',(#532920),#2415); #1095=STYLED_ITEM('',(#532920),#2416); #1096=STYLED_ITEM('',(#532920),#2417); #1097=STYLED_ITEM('',(#532920),#2418); #1098=STYLED_ITEM('',(#532920),#2419); #1099=STYLED_ITEM('',(#532920),#2420); #1100=STYLED_ITEM('',(#532920),#2421); #1101=STYLED_ITEM('',(#532920),#2422); #1102=STYLED_ITEM('',(#532920),#2423); #1103=STYLED_ITEM('',(#532920),#2424); #1104=STYLED_ITEM('',(#532920),#2425); #1105=STYLED_ITEM('',(#532920),#2426); #1106=STYLED_ITEM('',(#532920),#2427); #1107=STYLED_ITEM('',(#532920),#2428); #1108=STYLED_ITEM('',(#532920),#2429); #1109=STYLED_ITEM('',(#532920),#2430); #1110=STYLED_ITEM('',(#532920),#2431); #1111=STYLED_ITEM('',(#532920),#2432); #1112=STYLED_ITEM('',(#532920),#2433); #1113=STYLED_ITEM('',(#532920),#2434); #1114=STYLED_ITEM('',(#532920),#2435); #1115=STYLED_ITEM('',(#532920),#2436); #1116=STYLED_ITEM('',(#532920),#2437); #1117=STYLED_ITEM('',(#532920),#2438); #1118=STYLED_ITEM('',(#532920),#2439); #1119=STYLED_ITEM('',(#532920),#2440); #1120=STYLED_ITEM('',(#532920),#2441); #1121=STYLED_ITEM('',(#532920),#2442); #1122=STYLED_ITEM('',(#532920),#2443); #1123=STYLED_ITEM('',(#532920),#2444); #1124=STYLED_ITEM('',(#532920),#2445); #1125=STYLED_ITEM('',(#532920),#2446); #1126=STYLED_ITEM('',(#532920),#2447); #1127=STYLED_ITEM('',(#532920),#2448); #1128=STYLED_ITEM('',(#532920),#2449); #1129=STYLED_ITEM('',(#532920),#2450); #1130=STYLED_ITEM('',(#532920),#2451); #1131=STYLED_ITEM('',(#532920),#2452); #1132=STYLED_ITEM('',(#532920),#2453); #1133=STYLED_ITEM('',(#532920),#2454); #1134=STYLED_ITEM('',(#532920),#2455); #1135=STYLED_ITEM('',(#532920),#2456); #1136=STYLED_ITEM('',(#532920),#2457); #1137=STYLED_ITEM('',(#532920),#2458); #1138=STYLED_ITEM('',(#532920),#2459); #1139=STYLED_ITEM('',(#532920),#2460); #1140=STYLED_ITEM('',(#532920),#2461); #1141=STYLED_ITEM('',(#532920),#2462); #1142=STYLED_ITEM('',(#532920),#2463); #1143=STYLED_ITEM('',(#532920),#2464); #1144=STYLED_ITEM('',(#532920),#2465); #1145=STYLED_ITEM('',(#532920),#2466); #1146=STYLED_ITEM('',(#532920),#2467); #1147=STYLED_ITEM('',(#532920),#2468); #1148=STYLED_ITEM('',(#532920),#2469); #1149=STYLED_ITEM('',(#532920),#2470); #1150=STYLED_ITEM('',(#532920),#2471); #1151=STYLED_ITEM('',(#532920),#2472); #1152=STYLED_ITEM('',(#532920),#2473); #1153=STYLED_ITEM('',(#532920),#2474); #1154=STYLED_ITEM('',(#532920),#2475); #1155=STYLED_ITEM('',(#532920),#2476); #1156=STYLED_ITEM('',(#532920),#2477); #1157=STYLED_ITEM('',(#532920),#2478); #1158=STYLED_ITEM('',(#532920),#2479); #1159=STYLED_ITEM('',(#532920),#2480); #1160=STYLED_ITEM('',(#532920),#2481); #1161=STYLED_ITEM('',(#532920),#2482); #1162=STYLED_ITEM('',(#532920),#2483); #1163=STYLED_ITEM('',(#532920),#2484); #1164=STYLED_ITEM('',(#532920),#2485); #1165=STYLED_ITEM('',(#532920),#2486); #1166=STYLED_ITEM('',(#532920),#2487); #1167=STYLED_ITEM('',(#532920),#2488); #1168=STYLED_ITEM('',(#532920),#2489); #1169=STYLED_ITEM('',(#532920),#2490); #1170=STYLED_ITEM('',(#532920),#2491); #1171=STYLED_ITEM('',(#532920),#2492); #1172=STYLED_ITEM('',(#532920),#2493); #1173=STYLED_ITEM('',(#532920),#2494); #1174=STYLED_ITEM('',(#532920),#2495); #1175=STYLED_ITEM('',(#532920),#2496); #1176=STYLED_ITEM('',(#532920),#2497); #1177=STYLED_ITEM('',(#532920),#2498); #1178=STYLED_ITEM('',(#532920),#2499); #1179=STYLED_ITEM('',(#532920),#2500); #1180=STYLED_ITEM('',(#532920),#2501); #1181=STYLED_ITEM('',(#532920),#2502); #1182=STYLED_ITEM('',(#532920),#2503); #1183=STYLED_ITEM('',(#532920),#2504); #1184=STYLED_ITEM('',(#532920),#2505); #1185=STYLED_ITEM('',(#532920),#2506); #1186=STYLED_ITEM('',(#532920),#2507); #1187=STYLED_ITEM('',(#532920),#2508); #1188=STYLED_ITEM('',(#532920),#2509); #1189=STYLED_ITEM('',(#532920),#2510); #1190=STYLED_ITEM('',(#532920),#2511); #1191=STYLED_ITEM('',(#532920),#2512); #1192=STYLED_ITEM('',(#532920),#2513); #1193=STYLED_ITEM('',(#532920),#2514); #1194=STYLED_ITEM('',(#532920),#2515); #1195=STYLED_ITEM('',(#532920),#2516); #1196=STYLED_ITEM('',(#532920),#2517); #1197=STYLED_ITEM('',(#532920),#2518); #1198=STYLED_ITEM('',(#532920),#2519); #1199=STYLED_ITEM('',(#532920),#2520); #1200=STYLED_ITEM('',(#532920),#2521); #1201=STYLED_ITEM('',(#532920),#2522); #1202=STYLED_ITEM('',(#532920),#2523); #1203=STYLED_ITEM('',(#532920),#2524); #1204=STYLED_ITEM('',(#532920),#2525); #1205=STYLED_ITEM('',(#532920),#2526); #1206=STYLED_ITEM('',(#532920),#2527); #1207=STYLED_ITEM('',(#532920),#2528); #1208=STYLED_ITEM('',(#532920),#2529); #1209=STYLED_ITEM('',(#532920),#2530); #1210=STYLED_ITEM('',(#532920),#2531); #1211=STYLED_ITEM('',(#532920),#2532); #1212=STYLED_ITEM('',(#532920),#2533); #1213=STYLED_ITEM('',(#532920),#2534); #1214=STYLED_ITEM('',(#532920),#2535); #1215=STYLED_ITEM('',(#532920),#2536); #1216=STYLED_ITEM('',(#532920),#2537); #1217=STYLED_ITEM('',(#532920),#2538); #1218=STYLED_ITEM('',(#532920),#2539); #1219=STYLED_ITEM('',(#532920),#2540); #1220=STYLED_ITEM('',(#532920),#2541); #1221=STYLED_ITEM('',(#532920),#2542); #1222=STYLED_ITEM('',(#532920),#2543); #1223=STYLED_ITEM('',(#532920),#2544); #1224=STYLED_ITEM('',(#532920),#2545); #1225=STYLED_ITEM('',(#532920),#2546); #1226=STYLED_ITEM('',(#532920),#2547); #1227=STYLED_ITEM('',(#532920),#2548); #1228=STYLED_ITEM('',(#532920),#2549); #1229=STYLED_ITEM('',(#532920),#2550); #1230=STYLED_ITEM('',(#532920),#2551); #1231=STYLED_ITEM('',(#532920),#2552); #1232=STYLED_ITEM('',(#532920),#2553); #1233=STYLED_ITEM('',(#532920),#2554); #1234=STYLED_ITEM('',(#532920),#2555); #1235=STYLED_ITEM('',(#532920),#2556); #1236=STYLED_ITEM('',(#532920),#2557); #1237=STYLED_ITEM('',(#532920),#2558); #1238=STYLED_ITEM('',(#532920),#2559); #1239=STYLED_ITEM('',(#532920),#2560); #1240=STYLED_ITEM('',(#532920),#2561); #1241=STYLED_ITEM('',(#532920),#2562); #1242=STYLED_ITEM('',(#532920),#2563); #1243=STYLED_ITEM('',(#532920),#2564); #1244=STYLED_ITEM('',(#532920),#2565); #1245=STYLED_ITEM('',(#532920),#2566); #1246=STYLED_ITEM('',(#532920),#2567); #1247=STYLED_ITEM('',(#532920),#2568); #1248=STYLED_ITEM('',(#532920),#2569); #1249=STYLED_ITEM('',(#532920),#2570); #1250=STYLED_ITEM('',(#532920),#2571); #1251=STYLED_ITEM('',(#532920),#2572); #1252=STYLED_ITEM('',(#532920),#2573); #1253=STYLED_ITEM('',(#532920),#2574); #1254=STYLED_ITEM('',(#532920),#2575); #1255=STYLED_ITEM('',(#532920),#2576); #1256=STYLED_ITEM('',(#532920),#2577); #1257=STYLED_ITEM('',(#532920),#2578); #1258=STYLED_ITEM('',(#532920),#2579); #1259=STYLED_ITEM('',(#532920),#2580); #1260=STYLED_ITEM('',(#532920),#2581); #1261=STYLED_ITEM('',(#532920),#2582); #1262=STYLED_ITEM('',(#532920),#2583); #1263=STYLED_ITEM('',(#532920),#2584); #1264=STYLED_ITEM('',(#532920),#2585); #1265=STYLED_ITEM('',(#532920),#2586); #1266=STYLED_ITEM('',(#532920),#2587); #1267=STYLED_ITEM('',(#532920),#2588); #1268=STYLED_ITEM('',(#532920),#2589); #1269=STYLED_ITEM('',(#532920),#2590); #1270=STYLED_ITEM('',(#532920),#2591); #1271=STYLED_ITEM('',(#532920),#2592); #1272=STYLED_ITEM('',(#532920),#2593); #1273=STYLED_ITEM('',(#532920),#2594); #1274=STYLED_ITEM('',(#532920),#2595); #1275=STYLED_ITEM('',(#532920),#2596); #1276=STYLED_ITEM('',(#532920),#2597); #1277=STYLED_ITEM('',(#532920),#2598); #1278=STYLED_ITEM('',(#532920),#2599); #1279=STYLED_ITEM('',(#532920),#2600); #1280=STYLED_ITEM('',(#532920),#2601); #1281=STYLED_ITEM('',(#532920),#2602); #1282=STYLED_ITEM('',(#532920),#2603); #1283=STYLED_ITEM('',(#532920),#2604); #1284=STYLED_ITEM('',(#532920),#2605); #1285=STYLED_ITEM('',(#532920),#2606); #1286=STYLED_ITEM('',(#532920),#2607); #1287=STYLED_ITEM('',(#532920),#2608); #1288=STYLED_ITEM('',(#532920),#2609); #1289=STYLED_ITEM('',(#532920),#2610); #1290=STYLED_ITEM('',(#532920),#2611); #1291=STYLED_ITEM('',(#532920),#2612); #1292=STYLED_ITEM('',(#532920),#2613); #1293=STYLED_ITEM('',(#532920),#2614); #1294=STYLED_ITEM('',(#532920),#2615); #1295=STYLED_ITEM('',(#532920),#2616); #1296=STYLED_ITEM('',(#532920),#2617); #1297=STYLED_ITEM('',(#532920),#2618); #1298=STYLED_ITEM('',(#532920),#2619); #1299=STYLED_ITEM('',(#532920),#2620); #1300=STYLED_ITEM('',(#532920),#2621); #1301=STYLED_ITEM('',(#532920),#2622); #1302=STYLED_ITEM('',(#532920),#2623); #1303=STYLED_ITEM('',(#532920),#2624); #1304=STYLED_ITEM('',(#532920),#2625); #1305=STYLED_ITEM('',(#532920),#2626); #1306=STYLED_ITEM('',(#532920),#2627); #1307=STYLED_ITEM('',(#532920),#2628); #1308=STYLED_ITEM('',(#532920),#2629); #1309=STYLED_ITEM('',(#532920),#2630); #1310=STYLED_ITEM('',(#532920),#2631); #1311=STYLED_ITEM('',(#532920),#2632); #1312=STYLED_ITEM('',(#532920),#2633); #1313=STYLED_ITEM('',(#532920),#2634); #1314=STYLED_ITEM('',(#532920),#2635); #1315=STYLED_ITEM('',(#532920),#2636); #1316=STYLED_ITEM('',(#532920),#2637); #1317=STYLED_ITEM('',(#532920),#2638); #1318=STYLED_ITEM('',(#532920),#2639); #1319=STYLED_ITEM('',(#532920),#2640); #1320=STYLED_ITEM('',(#532920),#2641); #1321=STYLED_ITEM('',(#532920),#2642); #1322=STYLED_ITEM('',(#532920),#2643); #1323=STYLED_ITEM('',(#532920),#2644); #1324=STYLED_ITEM('',(#532920),#2645); #1325=STYLED_ITEM('',(#532920),#2646); #1326=STYLED_ITEM('',(#532920),#2647); #1327=STYLED_ITEM('',(#532920),#2648); #1328=STYLED_ITEM('',(#532920),#2649); #1329=STYLED_ITEM('',(#532920),#2650); #1330=STYLED_ITEM('',(#532920),#2651); #1331=STYLED_ITEM('',(#532920),#2652); #1332=STYLED_ITEM('',(#532920),#2653); #1333=STYLED_ITEM('',(#532920),#2654); #1334=STYLED_ITEM('',(#532920),#2655); #1335=STYLED_ITEM('',(#532920),#2656); #1336=STYLED_ITEM('',(#532920),#2657); #1337=STYLED_ITEM('',(#532920),#2658); #1338=STYLED_ITEM('',(#532920),#2659); #1339=STYLED_ITEM('',(#532920),#2660); #1340=STYLED_ITEM('',(#532920),#2661); #1341=STYLED_ITEM('',(#532920),#2662); #1342=STYLED_ITEM('',(#532920),#2663); #1343=STYLED_ITEM('',(#532920),#2664); #1344=STYLED_ITEM('',(#532920),#2665); #1345=STYLED_ITEM('',(#532920),#2666); #1346=STYLED_ITEM('',(#532920),#2667); #1347=STYLED_ITEM('',(#532920),#2668); #1348=STYLED_ITEM('',(#532920),#2669); #1349=STYLED_ITEM('',(#532920),#2670); #1350=STYLED_ITEM('',(#532920),#2671); #1351=STYLED_ITEM('',(#532920),#2672); #1352=STYLED_ITEM('',(#532920),#2673); #1353=STYLED_ITEM('',(#532920),#2674); #1354=STYLED_ITEM('',(#532920),#2675); #1355=STYLED_ITEM('',(#532920),#2676); #1356=STYLED_ITEM('',(#532920),#2677); #1357=STYLED_ITEM('',(#532920),#2678); #1358=STYLED_ITEM('',(#532920),#2679); #1359=STYLED_ITEM('',(#532920),#2680); #1360=STYLED_ITEM('',(#532920),#2681); #1361=STYLED_ITEM('',(#532920),#2682); #1362=STYLED_ITEM('',(#532920),#2683); #1363=STYLED_ITEM('',(#532920),#2684); #1364=STYLED_ITEM('',(#532920),#2685); #1365=STYLED_ITEM('',(#532920),#2686); #1366=STYLED_ITEM('',(#532920),#2687); #1367=STYLED_ITEM('',(#532920),#2688); #1368=STYLED_ITEM('',(#532920),#2689); #1369=STYLED_ITEM('',(#532920),#2690); #1370=STYLED_ITEM('',(#532920),#2691); #1371=STYLED_ITEM('',(#532920),#2692); #1372=STYLED_ITEM('',(#532920),#2693); #1373=STYLED_ITEM('',(#532920),#2694); #1374=STYLED_ITEM('',(#532920),#2695); #1375=STYLED_ITEM('',(#532920),#2696); #1376=STYLED_ITEM('',(#532920),#2697); #1377=STYLED_ITEM('',(#532920),#2698); #1378=STYLED_ITEM('',(#532920),#2699); #1379=STYLED_ITEM('',(#532920),#2700); #1380=STYLED_ITEM('',(#532920),#2701); #1381=STYLED_ITEM('',(#532920),#2702); #1382=STYLED_ITEM('',(#532920),#2703); #1383=STYLED_ITEM('',(#532920),#2704); #1384=STYLED_ITEM('',(#532920),#2705); #1385=STYLED_ITEM('',(#532920),#2706); #1386=STYLED_ITEM('',(#532920),#2707); #1387=STYLED_ITEM('',(#532920),#2708); #1388=STYLED_ITEM('',(#532920),#2709); #1389=STYLED_ITEM('',(#532920),#2710); #1390=STYLED_ITEM('',(#532920),#2711); #1391=STYLED_ITEM('',(#532920),#2712); #1392=STYLED_ITEM('',(#532920),#2713); #1393=STYLED_ITEM('',(#532920),#2714); #1394=STYLED_ITEM('',(#532920),#2715); #1395=STYLED_ITEM('',(#532920),#2716); #1396=STYLED_ITEM('',(#532920),#2717); #1397=STYLED_ITEM('',(#532920),#2718); #1398=STYLED_ITEM('',(#532920),#2719); #1399=STYLED_ITEM('',(#532920),#2720); #1400=STYLED_ITEM('',(#532920),#2721); #1401=STYLED_ITEM('',(#532920),#2722); #1402=STYLED_ITEM('',(#532920),#2723); #1403=STYLED_ITEM('',(#532920),#2724); #1404=STYLED_ITEM('',(#532920),#2725); #1405=STYLED_ITEM('',(#532920),#2726); #1406=STYLED_ITEM('',(#532920),#2727); #1407=STYLED_ITEM('',(#532920),#2728); #1408=STYLED_ITEM('',(#532920),#2729); #1409=STYLED_ITEM('',(#532920),#2730); #1410=STYLED_ITEM('',(#532920),#2731); #1411=STYLED_ITEM('',(#532920),#2732); #1412=STYLED_ITEM('',(#532920),#2733); #1413=STYLED_ITEM('',(#532920),#2734); #1414=STYLED_ITEM('',(#532920),#2735); #1415=STYLED_ITEM('',(#532920),#2736); #1416=STYLED_ITEM('',(#532920),#2737); #1417=STYLED_ITEM('',(#532920),#2738); #1418=STYLED_ITEM('',(#532920),#2739); #1419=STYLED_ITEM('',(#532920),#2740); #1420=STYLED_ITEM('',(#532920),#2741); #1421=STYLED_ITEM('',(#532920),#2742); #1422=STYLED_ITEM('',(#532920),#2743); #1423=STYLED_ITEM('',(#532920),#2744); #1424=STYLED_ITEM('',(#532920),#2745); #1425=STYLED_ITEM('',(#532920),#2746); #1426=STYLED_ITEM('',(#532920),#2747); #1427=STYLED_ITEM('',(#532920),#2748); #1428=STYLED_ITEM('',(#532920),#2749); #1429=STYLED_ITEM('',(#532920),#2750); #1430=STYLED_ITEM('',(#532920),#2751); #1431=STYLED_ITEM('',(#532920),#2752); #1432=STYLED_ITEM('',(#532920),#2753); #1433=STYLED_ITEM('',(#532920),#2754); #1434=STYLED_ITEM('',(#532920),#2755); #1435=STYLED_ITEM('',(#532920),#2756); #1436=STYLED_ITEM('',(#532920),#2757); #1437=STYLED_ITEM('',(#532920),#2758); #1438=STYLED_ITEM('',(#532920),#2759); #1439=STYLED_ITEM('',(#532920),#2760); #1440=STYLED_ITEM('',(#532920),#2761); #1441=STYLED_ITEM('',(#532920),#2762); #1442=STYLED_ITEM('',(#532920),#2763); #1443=STYLED_ITEM('',(#532920),#2764); #1444=STYLED_ITEM('',(#532920),#2765); #1445=STYLED_ITEM('',(#532920),#2766); #1446=STYLED_ITEM('',(#532920),#2767); #1447=STYLED_ITEM('',(#532920),#2768); #1448=STYLED_ITEM('',(#532920),#2769); #1449=STYLED_ITEM('',(#532920),#2770); #1450=STYLED_ITEM('',(#532920),#2771); #1451=STYLED_ITEM('',(#532920),#2772); #1452=STYLED_ITEM('',(#532920),#2773); #1453=STYLED_ITEM('',(#532920),#2774); #1454=STYLED_ITEM('',(#532920),#2775); #1455=STYLED_ITEM('',(#532920),#2776); #1456=STYLED_ITEM('',(#532920),#2777); #1457=STYLED_ITEM('',(#532920),#2778); #1458=STYLED_ITEM('',(#532920),#2779); #1459=STYLED_ITEM('',(#532920),#2780); #1460=STYLED_ITEM('',(#532920),#2781); #1461=STYLED_ITEM('',(#532920),#2782); #1462=STYLED_ITEM('',(#532920),#2783); #1463=STYLED_ITEM('',(#532920),#2784); #1464=STYLED_ITEM('',(#532920),#2785); #1465=STYLED_ITEM('',(#532920),#2786); #1466=STYLED_ITEM('',(#532920),#2787); #1467=STYLED_ITEM('',(#532920),#2788); #1468=STYLED_ITEM('',(#532920),#2789); #1469=STYLED_ITEM('',(#532920),#2790); #1470=STYLED_ITEM('',(#532920),#2791); #1471=STYLED_ITEM('',(#532920),#2792); #1472=STYLED_ITEM('',(#532920),#2793); #1473=STYLED_ITEM('',(#532920),#2794); #1474=STYLED_ITEM('',(#532920),#2795); #1475=STYLED_ITEM('',(#532920),#2796); #1476=STYLED_ITEM('',(#532920),#2797); #1477=STYLED_ITEM('',(#532920),#2798); #1478=STYLED_ITEM('',(#532920),#2799); #1479=STYLED_ITEM('',(#532920),#2800); #1480=STYLED_ITEM('',(#532920),#2801); #1481=STYLED_ITEM('',(#532920),#2802); #1482=STYLED_ITEM('',(#532920),#2803); #1483=STYLED_ITEM('',(#532920),#2804); #1484=STYLED_ITEM('',(#532920),#2805); #1485=STYLED_ITEM('',(#532920),#2806); #1486=STYLED_ITEM('',(#532920),#2807); #1487=STYLED_ITEM('',(#532920),#2808); #1488=STYLED_ITEM('',(#532920),#2809); #1489=STYLED_ITEM('',(#532920),#2810); #1490=STYLED_ITEM('',(#532920),#2811); #1491=STYLED_ITEM('',(#532920),#2812); #1492=STYLED_ITEM('',(#532920),#2813); #1493=STYLED_ITEM('',(#532920),#2814); #1494=STYLED_ITEM('',(#532920),#2815); #1495=STYLED_ITEM('',(#532920),#2816); #1496=STYLED_ITEM('',(#532920),#2817); #1497=STYLED_ITEM('',(#532920),#2818); #1498=STYLED_ITEM('',(#532920),#2819); #1499=STYLED_ITEM('',(#532920),#2820); #1500=STYLED_ITEM('',(#532920),#2821); #1501=STYLED_ITEM('',(#532920),#2822); #1502=STYLED_ITEM('',(#532920),#2823); #1503=STYLED_ITEM('',(#532920),#2824); #1504=STYLED_ITEM('',(#532920),#2825); #1505=STYLED_ITEM('',(#532920),#2826); #1506=STYLED_ITEM('',(#532920),#2827); #1507=STYLED_ITEM('',(#532920),#2828); #1508=STYLED_ITEM('',(#532920),#2829); #1509=STYLED_ITEM('',(#532920),#2830); #1510=STYLED_ITEM('',(#532920),#2831); #1511=STYLED_ITEM('',(#532920),#2832); #1512=STYLED_ITEM('',(#532920),#2833); #1513=STYLED_ITEM('',(#532920),#2834); #1514=STYLED_ITEM('',(#532920),#2835); #1515=STYLED_ITEM('',(#532920),#2836); #1516=STYLED_ITEM('',(#532920),#2837); #1517=STYLED_ITEM('',(#532920),#2838); #1518=STYLED_ITEM('',(#532920),#2839); #1519=STYLED_ITEM('',(#532920),#2840); #1520=STYLED_ITEM('',(#532920),#2841); #1521=STYLED_ITEM('',(#532920),#2842); #1522=STYLED_ITEM('',(#532920),#2843); #1523=STYLED_ITEM('',(#532920),#2844); #1524=STYLED_ITEM('',(#532920),#2845); #1525=STYLED_ITEM('',(#532920),#2846); #1526=STYLED_ITEM('',(#532920),#2847); #1527=STYLED_ITEM('',(#532920),#2848); #1528=STYLED_ITEM('',(#532920),#2849); #1529=STYLED_ITEM('',(#532920),#2850); #1530=STYLED_ITEM('',(#532920),#2851); #1531=STYLED_ITEM('',(#532920),#2852); #1532=STYLED_ITEM('',(#532920),#2853); #1533=STYLED_ITEM('',(#532920),#2854); #1534=STYLED_ITEM('',(#532920),#2855); #1535=STYLED_ITEM('',(#532920),#2856); #1536=STYLED_ITEM('',(#532920),#2857); #1537=STYLED_ITEM('',(#532920),#2858); #1538=STYLED_ITEM('',(#532920),#2859); #1539=STYLED_ITEM('',(#532920),#2860); #1540=STYLED_ITEM('',(#532920),#2861); #1541=STYLED_ITEM('',(#532920),#2862); #1542=STYLED_ITEM('',(#532920),#2863); #1543=STYLED_ITEM('',(#532920),#2864); #1544=STYLED_ITEM('',(#532920),#2865); #1545=STYLED_ITEM('',(#532920),#2866); #1546=STYLED_ITEM('',(#532920),#2867); #1547=STYLED_ITEM('',(#532920),#2868); #1548=STYLED_ITEM('',(#532920),#2869); #1549=STYLED_ITEM('',(#532920),#2870); #1550=STYLED_ITEM('',(#532920),#2871); #1551=STYLED_ITEM('',(#532920),#2872); #1552=STYLED_ITEM('',(#532920),#2873); #1553=STYLED_ITEM('',(#532920),#2874); #1554=STYLED_ITEM('',(#532920),#2875); #1555=STYLED_ITEM('',(#532920),#2876); #1556=STYLED_ITEM('',(#532920),#2877); #1557=STYLED_ITEM('',(#532920),#2878); #1558=STYLED_ITEM('',(#532920),#2879); #1559=STYLED_ITEM('',(#532920),#2880); #1560=STYLED_ITEM('',(#532920),#2881); #1561=STYLED_ITEM('',(#532920),#2882); #1562=STYLED_ITEM('',(#532920),#2883); #1563=STYLED_ITEM('',(#532920),#2884); #1564=STYLED_ITEM('',(#532920),#2885); #1565=STYLED_ITEM('',(#532920),#2886); #1566=STYLED_ITEM('',(#532920),#2887); #1567=STYLED_ITEM('',(#532920),#2888); #1568=STYLED_ITEM('',(#532920),#2889); #1569=STYLED_ITEM('',(#532920),#2890); #1570=STYLED_ITEM('',(#532920),#2891); #1571=STYLED_ITEM('',(#532920),#2892); #1572=STYLED_ITEM('',(#532920),#2893); #1573=STYLED_ITEM('',(#532920),#2894); #1574=STYLED_ITEM('',(#532920),#2895); #1575=STYLED_ITEM('',(#532920),#2896); #1576=STYLED_ITEM('',(#532920),#2897); #1577=STYLED_ITEM('',(#532920),#2898); #1578=STYLED_ITEM('',(#532920),#2899); #1579=STYLED_ITEM('',(#532920),#2900); #1580=STYLED_ITEM('',(#532920),#2901); #1581=STYLED_ITEM('',(#532920),#2902); #1582=STYLED_ITEM('',(#532920),#2903); #1583=STYLED_ITEM('',(#532920),#2904); #1584=STYLED_ITEM('',(#532920),#2905); #1585=STYLED_ITEM('',(#532920),#2906); #1586=STYLED_ITEM('',(#532920),#2907); #1587=STYLED_ITEM('',(#532920),#2908); #1588=STYLED_ITEM('',(#532920),#2909); #1589=STYLED_ITEM('',(#532920),#2910); #1590=STYLED_ITEM('',(#532920),#2911); #1591=STYLED_ITEM('',(#532920),#2912); #1592=STYLED_ITEM('',(#532920),#2913); #1593=STYLED_ITEM('',(#532920),#2914); #1594=STYLED_ITEM('',(#532920),#2915); #1595=STYLED_ITEM('',(#532920),#2916); #1596=STYLED_ITEM('',(#532920),#2917); #1597=STYLED_ITEM('',(#532920),#2918); #1598=STYLED_ITEM('',(#532920),#2919); #1599=STYLED_ITEM('',(#532920),#2920); #1600=STYLED_ITEM('',(#532920),#2921); #1601=STYLED_ITEM('',(#532920),#2922); #1602=STYLED_ITEM('',(#532920),#2923); #1603=STYLED_ITEM('',(#532920),#2924); #1604=STYLED_ITEM('',(#532920),#2925); #1605=STYLED_ITEM('',(#532920),#2926); #1606=STYLED_ITEM('',(#532920),#2927); #1607=STYLED_ITEM('',(#532920),#2928); #1608=STYLED_ITEM('',(#532920),#2929); #1609=STYLED_ITEM('',(#532920),#2930); #1610=STYLED_ITEM('',(#532920),#2931); #1611=STYLED_ITEM('',(#532920),#2932); #1612=STYLED_ITEM('',(#532920),#2933); #1613=STYLED_ITEM('',(#532920),#2934); #1614=STYLED_ITEM('',(#532920),#2935); #1615=STYLED_ITEM('',(#532920),#2936); #1616=STYLED_ITEM('',(#532920),#2937); #1617=STYLED_ITEM('',(#532920),#2938); #1618=STYLED_ITEM('',(#532920),#2939); #1619=STYLED_ITEM('',(#532920),#2940); #1620=STYLED_ITEM('',(#532920),#2941); #1621=STYLED_ITEM('',(#532920),#2942); #1622=STYLED_ITEM('',(#532920),#2943); #1623=STYLED_ITEM('',(#532920),#2944); #1624=STYLED_ITEM('',(#532920),#2945); #1625=STYLED_ITEM('',(#532920),#2946); #1626=STYLED_ITEM('',(#532920),#2947); #1627=STYLED_ITEM('',(#532920),#2948); #1628=STYLED_ITEM('',(#532920),#2949); #1629=STYLED_ITEM('',(#532920),#2950); #1630=STYLED_ITEM('',(#532920),#2951); #1631=STYLED_ITEM('',(#532920),#2952); #1632=STYLED_ITEM('',(#532920),#2953); #1633=STYLED_ITEM('',(#532920),#2954); #1634=STYLED_ITEM('',(#532920),#2955); #1635=STYLED_ITEM('',(#532920),#2956); #1636=STYLED_ITEM('',(#532920),#2957); #1637=STYLED_ITEM('',(#532920),#2958); #1638=STYLED_ITEM('',(#532920),#2959); #1639=STYLED_ITEM('',(#532920),#2960); #1640=STYLED_ITEM('',(#532920),#2961); #1641=STYLED_ITEM('',(#532920),#2962); #1642=STYLED_ITEM('',(#532920),#2963); #1643=STYLED_ITEM('',(#532920),#2964); #1644=STYLED_ITEM('',(#532920),#2965); #1645=STYLED_ITEM('',(#532920),#2966); #1646=STYLED_ITEM('',(#532920),#2967); #1647=STYLED_ITEM('',(#532920),#2968); #1648=STYLED_ITEM('',(#532920),#2969); #1649=STYLED_ITEM('',(#532920),#2970); #1650=STYLED_ITEM('',(#532920),#2971); #1651=STYLED_ITEM('',(#532920),#2972); #1652=STYLED_ITEM('',(#532920),#2973); #1653=STYLED_ITEM('',(#532920),#2974); #1654=STYLED_ITEM('',(#532920),#2975); #1655=STYLED_ITEM('',(#532920),#2976); #1656=STYLED_ITEM('',(#532920),#2977); #1657=STYLED_ITEM('',(#532920),#2978); #1658=STYLED_ITEM('',(#532920),#2979); #1659=STYLED_ITEM('',(#532920),#2980); #1660=STYLED_ITEM('',(#532920),#2981); #1661=STYLED_ITEM('',(#532920),#2982); #1662=STYLED_ITEM('',(#532920),#2983); #1663=STYLED_ITEM('',(#532920),#2984); #1664=STYLED_ITEM('',(#532920),#2985); #1665=STYLED_ITEM('',(#532920),#2986); #1666=STYLED_ITEM('',(#532920),#2987); #1667=STYLED_ITEM('',(#532920),#2988); #1668=STYLED_ITEM('',(#532920),#2989); #1669=STYLED_ITEM('',(#532920),#2990); #1670=STYLED_ITEM('',(#532920),#2991); #1671=STYLED_ITEM('',(#532920),#2992); #1672=STYLED_ITEM('',(#532920),#2993); #1673=STYLED_ITEM('',(#532920),#2994); #1674=STYLED_ITEM('',(#532920),#2995); #1675=STYLED_ITEM('',(#532920),#2996); #1676=STYLED_ITEM('',(#532920),#2997); #1677=STYLED_ITEM('',(#532920),#2998); #1678=STYLED_ITEM('',(#532923),#2999); #1679=STYLED_ITEM('',(#532923),#3000); #1680=STYLED_ITEM('',(#532925),#322180); #1681=STYLED_ITEM('',(#532925),#322181); #1682=STYLED_ITEM('',(#532925),#322183); #1683=STYLED_ITEM('',(#532925),#322184); #1684=STYLED_ITEM('',(#532925),#322185); #1685=STYLED_ITEM('',(#532925),#322186); #1686=STYLED_ITEM('',(#532925),#322187); #1687=STYLED_ITEM('',(#532925),#322188); #1688=STYLED_ITEM('',(#532925),#322189); #1689=STYLED_ITEM('',(#532925),#322190); #1690=STYLED_ITEM('',(#532925),#322191); #1691=STYLED_ITEM('',(#532925),#322192); #1692=STYLED_ITEM('',(#532925),#322193); #1693=STYLED_ITEM('',(#532925),#322194); #1694=STYLED_ITEM('',(#532925),#322195); #1695=STYLED_ITEM('',(#532925),#322196); #1696=STYLED_ITEM('',(#532925),#322197); #1697=STYLED_ITEM('',(#532925),#322198); #1698=STYLED_ITEM('',(#532925),#322199); #1699=STYLED_ITEM('',(#532925),#322200); #1700=STYLED_ITEM('',(#532925),#322201); #1701=STYLED_ITEM('',(#532925),#322202); #1702=STYLED_ITEM('',(#532925),#322203); #1703=STYLED_ITEM('',(#532926),#322204); #1704=STYLED_ITEM('',(#532926),#322205); #1705=STYLED_ITEM('',(#532926),#322206); #1706=STYLED_ITEM('',(#532926),#322207); #1707=STYLED_ITEM('',(#532926),#322208); #1708=STYLED_ITEM('',(#532926),#322209); #1709=STYLED_ITEM('',(#532926),#322210); #1710=STYLED_ITEM('',(#532926),#322211); #1711=STYLED_ITEM('',(#532926),#322212); #1712=STYLED_ITEM('',(#532926),#322213); #1713=STYLED_ITEM('',(#532926),#322214); #1714=STYLED_ITEM('',(#532926),#322215); #1715=STYLED_ITEM('',(#532926),#322216); #1716=STYLED_ITEM('',(#532926),#322217); #1717=STYLED_ITEM('',(#532926),#322218); #1718=STYLED_ITEM('',(#532926),#322219); #1719=STYLED_ITEM('',(#532926),#322220); #1720=STYLED_ITEM('',(#532926),#322221); #1721=STYLED_ITEM('',(#532925),#322222); #1722=STYLED_ITEM('',(#532925),#322223); #1723=STYLED_ITEM('',(#532925),#322224); #1724=STYLED_ITEM('',(#532925),#322225); #1725=STYLED_ITEM('',(#532925),#322226); #1726=STYLED_ITEM('',(#532925),#322227); #1727=STYLED_ITEM('',(#532926),#322228); #1728=STYLED_ITEM('',(#532926),#322229); #1729=STYLED_ITEM('',(#532926),#322230); #1730=STYLED_ITEM('',(#532926),#322231); #1731=STYLED_ITEM('',(#532926),#322232); #1732=STYLED_ITEM('',(#532926),#322233); #1733=STYLED_ITEM('',(#532926),#322234); #1734=STYLED_ITEM('',(#532926),#322235); #1735=STYLED_ITEM('',(#532926),#322236); #1736=STYLED_ITEM('',(#532926),#322237); #1737=STYLED_ITEM('',(#532926),#322238); #1738=STYLED_ITEM('',(#532926),#322239); #1739=STYLED_ITEM('',(#532926),#322240); #1740=STYLED_ITEM('',(#532926),#322241); #1741=STYLED_ITEM('',(#532926),#322242); #1742=STYLED_ITEM('',(#532926),#322243); #1743=STYLED_ITEM('',(#532926),#322244); #1744=STYLED_ITEM('',(#532926),#322245); #1745=STYLED_ITEM('',(#532926),#322246); #1746=STYLED_ITEM('',(#532926),#322247); #1747=STYLED_ITEM('',(#532926),#322248); #1748=STYLED_ITEM('',(#532926),#322249); #1749=STYLED_ITEM('',(#532926),#322250); #1750=STYLED_ITEM('',(#532926),#322251); #1751=STYLED_ITEM('',(#532926),#322252); #1752=STYLED_ITEM('',(#532926),#322253); #1753=STYLED_ITEM('',(#532926),#322254); #1754=STYLED_ITEM('',(#532926),#322255); #1755=STYLED_ITEM('',(#532926),#322256); #1756=STYLED_ITEM('',(#532926),#322257); #1757=STYLED_ITEM('',(#532926),#322258); #1758=STYLED_ITEM('',(#532926),#322259); #1759=STYLED_ITEM('',(#532926),#322260); #1760=STYLED_ITEM('',(#532926),#322261); #1761=STYLED_ITEM('',(#532926),#322262); #1762=STYLED_ITEM('',(#532926),#322263); #1763=STYLED_ITEM('',(#532926),#322264); #1764=STYLED_ITEM('',(#532926),#322265); #1765=STYLED_ITEM('',(#532926),#322266); #1766=STYLED_ITEM('',(#532926),#322267); #1767=STYLED_ITEM('',(#532926),#322268); #1768=STYLED_ITEM('',(#532926),#322269); #1769=STYLED_ITEM('',(#532926),#322270); #1770=STYLED_ITEM('',(#532926),#322271); #1771=STYLED_ITEM('',(#532926),#322272); #1772=STYLED_ITEM('',(#532926),#322273); #1773=STYLED_ITEM('',(#532926),#322274); #1774=STYLED_ITEM('',(#532926),#322275); #1775=STYLED_ITEM('',(#532926),#322276); #1776=STYLED_ITEM('',(#532926),#322277); #1777=STYLED_ITEM('',(#532926),#322278); #1778=STYLED_ITEM('',(#532926),#322279); #1779=STYLED_ITEM('',(#532926),#322280); #1780=STYLED_ITEM('',(#532926),#322281); #1781=STYLED_ITEM('',(#532925),#322282); #1782=STYLED_ITEM('',(#532924),#3001); #1783=STYLED_ITEM('',(#532927),#3002); #1784=STYLED_ITEM('',(#532927),#3003); #1785=STYLED_ITEM('',(#532928),#3004); #1786=STYLED_ITEM('',(#532929),#3005); #1787=STYLED_ITEM('',(#532930),#3006); #1788=STYLED_ITEM('',(#532931),#3007); #1789=STYLED_ITEM('',(#532932),#3008); #1790=STYLED_ITEM('',(#532932),#3009); #1791=STYLED_ITEM('',(#532932),#3010); #1792=STYLED_ITEM('',(#532932),#3011); #1793=STYLED_ITEM('',(#532932),#3012); #1794=STYLED_ITEM('',(#532932),#3013); #1795=STYLED_ITEM('',(#532932),#3014); #1796=STYLED_ITEM('',(#532932),#3015); #1797=STYLED_ITEM('',(#532932),#3016); #1798=STYLED_ITEM('',(#532932),#3017); #1799=STYLED_ITEM('',(#532932),#3018); #1800=STYLED_ITEM('',(#532932),#3019); #1801=STYLED_ITEM('',(#532932),#3020); #1802=STYLED_ITEM('',(#532932),#3021); #1803=STYLED_ITEM('',(#532933),#3022); #1804=STYLED_ITEM('',(#532934),#3023); #1805=STYLED_ITEM('',(#532932),#3024); #1806=STYLED_ITEM('',(#532924),#323361); #1807=STYLED_ITEM('',(#532924),#323362); #1808=STYLED_ITEM('',(#532924),#323363); #1809=STYLED_ITEM('',(#532924),#323364); #1810=STYLED_ITEM('',(#532924),#323365); #1811=STYLED_ITEM('',(#532924),#323366); #1812=STYLED_ITEM('',(#532924),#323367); #1813=STYLED_ITEM('',(#532924),#323368); #1814=STYLED_ITEM('',(#532924),#323369); #1815=STYLED_ITEM('',(#532924),#323370); #1816=STYLED_ITEM('',(#532924),#323371); #1817=STYLED_ITEM('',(#532924),#323372); #1818=STYLED_ITEM('',(#532924),#323373); #1819=STYLED_ITEM('',(#532924),#323374); #1820=STYLED_ITEM('',(#532924),#323375); #1821=STYLED_ITEM('',(#532924),#323376); #1822=STYLED_ITEM('',(#532924),#323377); #1823=STYLED_ITEM('',(#532924),#323378); #1824=STYLED_ITEM('',(#532924),#323379); #1825=STYLED_ITEM('',(#532924),#323380); #1826=STYLED_ITEM('',(#532924),#323381); #1827=STYLED_ITEM('',(#532924),#323382); #1828=STYLED_ITEM('',(#532924),#323383); #1829=STYLED_ITEM('',(#532924),#323384); #1830=STYLED_ITEM('',(#532924),#323385); #1831=STYLED_ITEM('',(#532924),#323386); #1832=STYLED_ITEM('',(#532924),#323387); #1833=STYLED_ITEM('',(#532924),#323388); #1834=STYLED_ITEM('',(#532924),#323389); #1835=STYLED_ITEM('',(#532924),#323390); #1836=STYLED_ITEM('',(#532924),#323391); #1837=STYLED_ITEM('',(#532924),#323392); #1838=STYLED_ITEM('',(#532924),#323393); #1839=STYLED_ITEM('',(#532924),#323394); #1840=STYLED_ITEM('',(#532924),#323395); #1841=STYLED_ITEM('',(#532924),#323396); #1842=STYLED_ITEM('',(#532936),#323397); #1843=STYLED_ITEM('',(#532936),#323398); #1844=STYLED_ITEM('',(#532936),#323399); #1845=STYLED_ITEM('',(#532936),#323400); #1846=STYLED_ITEM('',(#532936),#323401); #1847=STYLED_ITEM('',(#532936),#323402); #1848=STYLED_ITEM('',(#532936),#323403); #1849=STYLED_ITEM('',(#532936),#323404); #1850=STYLED_ITEM('',(#532936),#323405); #1851=STYLED_ITEM('',(#532936),#323406); #1852=STYLED_ITEM('',(#532936),#323407); #1853=STYLED_ITEM('',(#532936),#323408); #1854=STYLED_ITEM('',(#532936),#323409); #1855=STYLED_ITEM('',(#532936),#323410); #1856=STYLED_ITEM('',(#532936),#323411); #1857=STYLED_ITEM('',(#532936),#323412); #1858=STYLED_ITEM('',(#532936),#323413); #1859=STYLED_ITEM('',(#532936),#323414); #1860=STYLED_ITEM('',(#532936),#323415); #1861=STYLED_ITEM('',(#532936),#323416); #1862=STYLED_ITEM('',(#532936),#323417); #1863=STYLED_ITEM('',(#532936),#323418); #1864=STYLED_ITEM('',(#532936),#323419); #1865=STYLED_ITEM('',(#532936),#323420); #1866=STYLED_ITEM('',(#532936),#323421); #1867=STYLED_ITEM('',(#532936),#323422); #1868=STYLED_ITEM('',(#532936),#323423); #1869=STYLED_ITEM('',(#532936),#323424); #1870=STYLED_ITEM('',(#532936),#323425); #1871=STYLED_ITEM('',(#532936),#323426); #1872=STYLED_ITEM('',(#532936),#323427); #1873=STYLED_ITEM('',(#532936),#323428); #1874=STYLED_ITEM('',(#532936),#323429); #1875=STYLED_ITEM('',(#532936),#323430); #1876=STYLED_ITEM('',(#532936),#323431); #1877=STYLED_ITEM('',(#532936),#323432); #1878=STYLED_ITEM('',(#532924),#323433); #1879=STYLED_ITEM('',(#532924),#323434); #1880=STYLED_ITEM('',(#532924),#323435); #1881=STYLED_ITEM('',(#532924),#323436); #1882=STYLED_ITEM('',(#532924),#323437); #1883=STYLED_ITEM('',(#532924),#323438); #1884=STYLED_ITEM('',(#532924),#323439); #1885=STYLED_ITEM('',(#532924),#323440); #1886=STYLED_ITEM('',(#532924),#323441); #1887=STYLED_ITEM('',(#532935),#3025); #1888=STYLED_ITEM('',(#532927),#3026); #1889=STYLED_ITEM('',(#532927),#3027); #1890=STYLED_ITEM('',(#532937),#3028); #1891=STYLED_ITEM('',(#532927),#3029); #1892=STYLED_ITEM('',(#532927),#3030); #1893=STYLED_ITEM('',(#532938),#3031); #1894=STYLED_ITEM('',(#532927),#3032); #1895=STYLED_ITEM('',(#532927),#3033); #1896=STYLED_ITEM('',(#532938),#3034); #1897=STYLED_ITEM('',(#532940),#323616); #1898=STYLED_ITEM('',(#532940),#323617); #1899=STYLED_ITEM('',(#532939),#3035); #1900=STYLED_ITEM('',(#532941),#3036); #1901=STYLED_ITEM('',(#532942),#3037); #1902=STYLED_ITEM('',(#532942),#3038); #1903=STYLED_ITEM('',(#532943),#3039); #1904=STYLED_ITEM('',(#532943),#3040); #1905=STYLED_ITEM('',(#532943),#3041); #1906=STYLED_ITEM('',(#532943),#3042); #1907=STYLED_ITEM('',(#532943),#3043); #1908=STYLED_ITEM('',(#532943),#3044); #1909=STYLED_ITEM('',(#532943),#3045); #1910=STYLED_ITEM('',(#532943),#3046); #1911=STYLED_ITEM('',(#532943),#3047); #1912=STYLED_ITEM('',(#532944),#3048); #1913=STYLED_ITEM('',(#532942),#3049); #1914=STYLED_ITEM('',(#532942),#3050); #1915=STYLED_ITEM('',(#532942),#3051); #1916=STYLED_ITEM('',(#532942),#3052); #1917=STYLED_ITEM('',(#532942),#3053); #1918=STYLED_ITEM('',(#532942),#3054); #1919=STYLED_ITEM('',(#532942),#3055); #1920=STYLED_ITEM('',(#532942),#3056); #1921=STYLED_ITEM('',(#532942),#3057); #1922=STYLED_ITEM('',(#532942),#3058); #1923=STYLED_ITEM('',(#532942),#3059); #1924=STYLED_ITEM('',(#532942),#3060); #1925=STYLED_ITEM('',(#532942),#3061); #1926=STYLED_ITEM('',(#532942),#3062); #1927=STYLED_ITEM('',(#532942),#3063); #1928=STYLED_ITEM('',(#532942),#3064); #1929=STYLED_ITEM('',(#532945),#3065); #1930=STYLED_ITEM('',(#532944),#3066); #1931=STYLED_ITEM('',(#532942),#3067); #1932=STYLED_ITEM('',(#532942),#3068); #1933=STYLED_ITEM('',(#532942),#3069); #1934=STYLED_ITEM('',(#532942),#3070); #1935=STYLED_ITEM('',(#532942),#3071); #1936=STYLED_ITEM('',(#532942),#3072); #1937=STYLED_ITEM('',(#532942),#3073); #1938=STYLED_ITEM('',(#532942),#3074); #1939=STYLED_ITEM('',(#532942),#3075); #1940=STYLED_ITEM('',(#532942),#3076); #1941=STYLED_ITEM('',(#532942),#3077); #1942=STYLED_ITEM('',(#532942),#3078); #1943=STYLED_ITEM('',(#532942),#3079); #1944=STYLED_ITEM('',(#532942),#3080); #1945=STYLED_ITEM('',(#532942),#3081); #1946=STYLED_ITEM('',(#532942),#3082); #1947=STYLED_ITEM('',(#532945),#3083); #1948=STYLED_ITEM('',(#532944),#3084); #1949=STYLED_ITEM('',(#532927),#3085); #1950=STYLED_ITEM('',(#532927),#3086); #1951=STYLED_ITEM('',(#532927),#3087); #1952=STYLED_ITEM('',(#532927),#3088); #1953=STYLED_ITEM('',(#532946),#3089); #1954=STYLED_ITEM('',(#532946),#3090); #1955=STYLED_ITEM('',(#532946),#324022); #1956=STYLED_ITEM('',(#532946),#324023); #1957=STYLED_ITEM('',(#532946),#324024); #1958=STYLED_ITEM('',(#532946),#324025); #1959=STYLED_ITEM('',(#532946),#324026); #1960=STYLED_ITEM('',(#532946),#324027); #1961=STYLED_ITEM('',(#532946),#324028); #1962=STYLED_ITEM('',(#532946),#324029); #1963=STYLED_ITEM('',(#532946),#324030); #1964=STYLED_ITEM('',(#532946),#324031); #1965=STYLED_ITEM('',(#532946),#324032); #1966=STYLED_ITEM('',(#532946),#324033); #1967=STYLED_ITEM('',(#532946),#324034); #1968=STYLED_ITEM('',(#532946),#324035); #1969=STYLED_ITEM('',(#532946),#324042); #1970=STYLED_ITEM('',(#532946),#324043); #1971=STYLED_ITEM('',(#532946),#324044); #1972=STYLED_ITEM('',(#532946),#324045); #1973=STYLED_ITEM('',(#532946),#324046); #1974=STYLED_ITEM('',(#532946),#324047); #1975=STYLED_ITEM('',(#532946),#324048); #1976=STYLED_ITEM('',(#532946),#324049); #1977=STYLED_ITEM('',(#532946),#324050); #1978=STYLED_ITEM('',(#532946),#324051); #1979=STYLED_ITEM('',(#532946),#324052); #1980=STYLED_ITEM('',(#532946),#324053); #1981=STYLED_ITEM('',(#532946),#324054); #1982=STYLED_ITEM('',(#532946),#324055); #1983=STYLED_ITEM('',(#532946),#324056); #1984=STYLED_ITEM('',(#532946),#324057); #1985=STYLED_ITEM('',(#532946),#324058); #1986=STYLED_ITEM('',(#532946),#324059); #1987=STYLED_ITEM('',(#532946),#324060); #1988=STYLED_ITEM('',(#532946),#324061); #1989=STYLED_ITEM('',(#532946),#324062); #1990=STYLED_ITEM('',(#532946),#324063); #1991=STYLED_ITEM('',(#532946),#324064); #1992=STYLED_ITEM('',(#532946),#324065); #1993=STYLED_ITEM('',(#532946),#324066); #1994=STYLED_ITEM('',(#532946),#324067); #1995=STYLED_ITEM('',(#532946),#324068); #1996=STYLED_ITEM('',(#532946),#324069); #1997=STYLED_ITEM('',(#532946),#324070); #1998=STYLED_ITEM('',(#532946),#324071); #1999=STYLED_ITEM('',(#532946),#324072); #2000=STYLED_ITEM('',(#532946),#324073); #2001=STYLED_ITEM('',(#532946),#324074); #2002=STYLED_ITEM('',(#532946),#324075); #2003=STYLED_ITEM('',(#532946),#324076); #2004=STYLED_ITEM('',(#532946),#324077); #2005=STYLED_ITEM('',(#532946),#324078); #2006=STYLED_ITEM('',(#532946),#324079); #2007=STYLED_ITEM('',(#532946),#324080); #2008=STYLED_ITEM('',(#532946),#324081); #2009=STYLED_ITEM('',(#532946),#324082); #2010=STYLED_ITEM('',(#532946),#324083); #2011=STYLED_ITEM('',(#532946),#324084); #2012=STYLED_ITEM('',(#532946),#324085); #2013=STYLED_ITEM('',(#532946),#324086); #2014=STYLED_ITEM('',(#532946),#324087); #2015=STYLED_ITEM('',(#532946),#324088); #2016=STYLED_ITEM('',(#532946),#324089); #2017=STYLED_ITEM('',(#532946),#324090); #2018=STYLED_ITEM('',(#532946),#324091); #2019=STYLED_ITEM('',(#532946),#324092); #2020=STYLED_ITEM('',(#532946),#324093); #2021=STYLED_ITEM('',(#532946),#324094); #2022=STYLED_ITEM('',(#532946),#324095); #2023=STYLED_ITEM('',(#532946),#324096); #2024=STYLED_ITEM('',(#532946),#324097); #2025=STYLED_ITEM('',(#532946),#324098); #2026=STYLED_ITEM('',(#532946),#324099); #2027=STYLED_ITEM('',(#532946),#324100); #2028=STYLED_ITEM('',(#532946),#324101); #2029=STYLED_ITEM('',(#532946),#324102); #2030=STYLED_ITEM('',(#532946),#324103); #2031=STYLED_ITEM('',(#532946),#324104); #2032=STYLED_ITEM('',(#532946),#324105); #2033=STYLED_ITEM('',(#532946),#324106); #2034=STYLED_ITEM('',(#532946),#324107); #2035=STYLED_ITEM('',(#532946),#324108); #2036=STYLED_ITEM('',(#532946),#324109); #2037=STYLED_ITEM('',(#532946),#324110); #2038=STYLED_ITEM('',(#532946),#324111); #2039=STYLED_ITEM('',(#532946),#324112); #2040=STYLED_ITEM('',(#532946),#324113); #2041=STYLED_ITEM('',(#532946),#324114); #2042=STYLED_ITEM('',(#532946),#324115); #2043=STYLED_ITEM('',(#532946),#324116); #2044=STYLED_ITEM('',(#532946),#324117); #2045=STYLED_ITEM('',(#532947),#324195); #2046=STYLED_ITEM('',(#532947),#324196); #2047=STYLED_ITEM('',(#532947),#324197); #2048=STYLED_ITEM('',(#532947),#324198); #2049=STYLED_ITEM('',(#532947),#324199); #2050=STYLED_ITEM('',(#532946),#324200); #2051=STYLED_ITEM('',(#532946),#324201); #2052=STYLED_ITEM('',(#532946),#324202); #2053=STYLED_ITEM('',(#532946),#324203); #2054=STYLED_ITEM('',(#532946),#324204); #2055=STYLED_ITEM('',(#532946),#324205); #2056=STYLED_ITEM('',(#532946),#324206); #2057=STYLED_ITEM('',(#532946),#324207); #2058=STYLED_ITEM('',(#532946),#324208); #2059=STYLED_ITEM('',(#532946),#324209); #2060=STYLED_ITEM('',(#532946),#324210); #2061=STYLED_ITEM('',(#532946),#324211); #2062=STYLED_ITEM('',(#532947),#324212); #2063=STYLED_ITEM('',(#532947),#324213); #2064=STYLED_ITEM('',(#532946),#324214); #2065=STYLED_ITEM('',(#532947),#324215); #2066=STYLED_ITEM('',(#532946),#324217); #2067=STYLED_ITEM('',(#532946),#324218); #2068=STYLED_ITEM('',(#532946),#324219); #2069=STYLED_ITEM('',(#532946),#324220); #2070=STYLED_ITEM('',(#532946),#324221); #2071=STYLED_ITEM('',(#532946),#324222); #2072=STYLED_ITEM('',(#532946),#324223); #2073=STYLED_ITEM('',(#532946),#324224); #2074=STYLED_ITEM('',(#532946),#324225); #2075=STYLED_ITEM('',(#532946),#324226); #2076=STYLED_ITEM('',(#532946),#324227); #2077=STYLED_ITEM('',(#532946),#324228); #2078=STYLED_ITEM('',(#532946),#324229); #2079=STYLED_ITEM('',(#532946),#324230); #2080=STYLED_ITEM('',(#532946),#324231); #2081=STYLED_ITEM('',(#532946),#324232); #2082=STYLED_ITEM('',(#532946),#324233); #2083=STYLED_ITEM('',(#532947),#324234); #2084=STYLED_ITEM('',(#532947),#324235); #2085=STYLED_ITEM('',(#532947),#324236); #2086=STYLED_ITEM('',(#532947),#324237); #2087=STYLED_ITEM('',(#532947),#324238); #2088=STYLED_ITEM('',(#532947),#324239); #2089=STYLED_ITEM('',(#532947),#324240); #2090=STYLED_ITEM('',(#532946),#324241); #2091=STYLED_ITEM('',(#532946),#324242); #2092=STYLED_ITEM('',(#532946),#324243); #2093=STYLED_ITEM('',(#532946),#324244); #2094=STYLED_ITEM('',(#532946),#324245); #2095=STYLED_ITEM('',(#532946),#324246); #2096=STYLED_ITEM('',(#532946),#324247); #2097=STYLED_ITEM('',(#532946),#324248); #2098=STYLED_ITEM('',(#532946),#324249); #2099=STYLED_ITEM('',(#532946),#324250); #2100=STYLED_ITEM('',(#532946),#324251); #2101=STYLED_ITEM('',(#532946),#324252); #2102=STYLED_ITEM('',(#532946),#324253); #2103=STYLED_ITEM('',(#532947),#324254); #2104=STYLED_ITEM('',(#532947),#324255); #2105=STYLED_ITEM('',(#532946),#324256); #2106=STYLED_ITEM('',(#532947),#324257); #2107=STYLED_ITEM('',(#532946),#324258); #2108=STYLED_ITEM('',(#532946),#324259); #2109=STYLED_ITEM('',(#532946),#324260); #2110=STYLED_ITEM('',(#532946),#324261); #2111=STYLED_ITEM('',(#532946),#324262); #2112=STYLED_ITEM('',(#532947),#324263); #2113=STYLED_ITEM('',(#532947),#324264); #2114=STYLED_ITEM('',(#532947),#324265); #2115=STYLED_ITEM('',(#532946),#324266); #2116=STYLED_ITEM('',(#532946),#324267); #2117=STYLED_ITEM('',(#532946),#324268); #2118=STYLED_ITEM('',(#532946),#324269); #2119=STYLED_ITEM('',(#532946),#324270); #2120=STYLED_ITEM('',(#532946),#324271); #2121=STYLED_ITEM('',(#532947),#324272); #2122=STYLED_ITEM('',(#532947),#324273); #2123=STYLED_ITEM('',(#532947),#324280); #2124=STYLED_ITEM('',(#532947),#324282); #2125=STYLED_ITEM('',(#532947),#324319); #2126=STYLED_ITEM('',(#532924),#3091); #2127=STYLED_ITEM('',(#532944),#3092); #2128=STYLED_ITEM('',(#532942),#3093); #2129=STYLED_ITEM('',(#532942),#3094); #2130=STYLED_ITEM('',(#532942),#3095); #2131=STYLED_ITEM('',(#532942),#3096); #2132=STYLED_ITEM('',(#532942),#3097); #2133=STYLED_ITEM('',(#532942),#3098); #2134=STYLED_ITEM('',(#532942),#3099); #2135=STYLED_ITEM('',(#532942),#3100); #2136=STYLED_ITEM('',(#532942),#3101); #2137=STYLED_ITEM('',(#532942),#3102); #2138=STYLED_ITEM('',(#532942),#3103); #2139=STYLED_ITEM('',(#532942),#3104); #2140=STYLED_ITEM('',(#532942),#3105); #2141=STYLED_ITEM('',(#532942),#3106); #2142=STYLED_ITEM('',(#532942),#3107); #2143=STYLED_ITEM('',(#532942),#3108); #2144=STYLED_ITEM('',(#532942),#3109); #2145=STYLED_ITEM('',(#532942),#3110); #2146=STYLED_ITEM('',(#532942),#3111); #2147=STYLED_ITEM('',(#532942),#3112); #2148=STYLED_ITEM('',(#532942),#3113); #2149=STYLED_ITEM('',(#532942),#3114); #2150=STYLED_ITEM('',(#532942),#3115); #2151=STYLED_ITEM('',(#532942),#3116); #2152=STYLED_ITEM('',(#532942),#3117); #2153=STYLED_ITEM('',(#532942),#3118); #2154=STYLED_ITEM('',(#532942),#3119); #2155=STYLED_ITEM('',(#532942),#3120); #2156=STYLED_ITEM('',(#532942),#3121); #2157=STYLED_ITEM('',(#532942),#3122); #2158=STYLED_ITEM('',(#532942),#3123); #2159=STYLED_ITEM('',(#532942),#3124); #2160=STYLED_ITEM('',(#532942),#3125); #2161=STYLED_ITEM('',(#532942),#3126); #2162=STYLED_ITEM('',(#532942),#3127); #2163=STYLED_ITEM('',(#532942),#3128); #2164=STYLED_ITEM('',(#532942),#3129); #2165=STYLED_ITEM('',(#532942),#3130); #2166=STYLED_ITEM('',(#532942),#3131); #2167=STYLED_ITEM('',(#532942),#3132); #2168=STYLED_ITEM('',(#532942),#3133); #2169=STYLED_ITEM('',(#532942),#3134); #2170=STYLED_ITEM('',(#532942),#3135); #2171=STYLED_ITEM('',(#532942),#3136); #2172=STYLED_ITEM('',(#532942),#3137); #2173=STYLED_ITEM('',(#532942),#3138); #2174=STYLED_ITEM('',(#532942),#3139); #2175=STYLED_ITEM('',(#532942),#3140); #2176=STYLED_ITEM('',(#532945),#3141); #2177=STYLED_ITEM('',(#532927),#3142); #2178=STYLED_ITEM('',(#532927),#3143); #2179=STYLED_ITEM('',(#532937),#3144); #2180=STYLED_ITEM('',(#532944),#3145); #2181=STYLED_ITEM('',(#532927),#3146); #2182=STYLED_ITEM('',(#532927),#3147); #2183=STYLED_ITEM('',(#532927),#3148); #2184=STYLED_ITEM('',(#532927),#3149); #2185=STYLED_ITEM('',(#532940),#3150); #2186=STYLED_ITEM('',(#532944),#3151); #2187=STYLED_ITEM('',(#532942),#3152); #2188=STYLED_ITEM('',(#532942),#3153); #2189=STYLED_ITEM('',(#532942),#3154); #2190=STYLED_ITEM('',(#532942),#3155); #2191=STYLED_ITEM('',(#532942),#3156); #2192=STYLED_ITEM('',(#532942),#3157); #2193=STYLED_ITEM('',(#532942),#3158); #2194=STYLED_ITEM('',(#532942),#3159); #2195=STYLED_ITEM('',(#532944),#3160); #2196=STYLED_ITEM('',(#532927),#3161); #2197=STYLED_ITEM('',(#532927),#3162); #2198=STYLED_ITEM('',(#532927),#3163); #2199=STYLED_ITEM('',(#532927),#3164); #2200=STYLED_ITEM('',(#532927),#3165); #2201=STYLED_ITEM('',(#532927),#3166); #2202=STYLED_ITEM('',(#532927),#3167); #2203=STYLED_ITEM('',(#532938),#3168); #2204=STYLED_ITEM('',(#532948),#16); #2205=MANIFOLD_SOLID_BREP('Board',#325184); #2206=MANIFOLD_SOLID_BREP('CopperHoles',#325185); #2207=MANIFOLD_SOLID_BREP('CopperHoles',#325186); #2208=MANIFOLD_SOLID_BREP('CopperHoles',#325187); #2209=MANIFOLD_SOLID_BREP('CopperHoles',#325188); #2210=MANIFOLD_SOLID_BREP('CopperHoles',#325189); #2211=MANIFOLD_SOLID_BREP('CopperHoles',#325190); #2212=MANIFOLD_SOLID_BREP('CopperHoles',#325191); #2213=MANIFOLD_SOLID_BREP('CopperHoles',#325192); #2214=MANIFOLD_SOLID_BREP('CopperHoles',#325193); #2215=MANIFOLD_SOLID_BREP('CopperHoles',#325194); #2216=MANIFOLD_SOLID_BREP('CopperHoles',#325195); #2217=MANIFOLD_SOLID_BREP('CopperHoles',#325196); #2218=MANIFOLD_SOLID_BREP('CopperHoles',#325197); #2219=MANIFOLD_SOLID_BREP('CopperHoles',#325198); #2220=MANIFOLD_SOLID_BREP('CopperHoles',#325199); #2221=MANIFOLD_SOLID_BREP('CopperHoles',#325200); #2222=MANIFOLD_SOLID_BREP('CopperHoles',#325201); #2223=MANIFOLD_SOLID_BREP('CopperHoles',#325202); #2224=MANIFOLD_SOLID_BREP('CopperHoles',#325203); #2225=MANIFOLD_SOLID_BREP('CopperHoles',#325204); #2226=MANIFOLD_SOLID_BREP('CopperHoles',#325205); #2227=MANIFOLD_SOLID_BREP('CopperHoles',#325206); #2228=MANIFOLD_SOLID_BREP('CopperHoles',#325207); #2229=MANIFOLD_SOLID_BREP('CopperHoles',#325208); #2230=MANIFOLD_SOLID_BREP('CopperHoles',#325209); #2231=MANIFOLD_SOLID_BREP('CopperHoles',#325210); #2232=MANIFOLD_SOLID_BREP('CopperHoles',#325211); #2233=MANIFOLD_SOLID_BREP('CopperHoles',#325212); #2234=MANIFOLD_SOLID_BREP('CopperHoles',#325213); #2235=MANIFOLD_SOLID_BREP('CopperHoles',#325214); #2236=MANIFOLD_SOLID_BREP('CopperHoles',#325215); #2237=MANIFOLD_SOLID_BREP('CopperHoles',#325216); #2238=MANIFOLD_SOLID_BREP('CopperHoles',#325217); #2239=MANIFOLD_SOLID_BREP('CopperHoles',#325218); #2240=MANIFOLD_SOLID_BREP('CopperHoles',#325219); #2241=MANIFOLD_SOLID_BREP('CopperHoles',#325220); #2242=MANIFOLD_SOLID_BREP('CopperHoles',#325221); #2243=MANIFOLD_SOLID_BREP('CopperHoles',#325222); #2244=MANIFOLD_SOLID_BREP('CopperHoles',#325223); #2245=MANIFOLD_SOLID_BREP('CopperHoles',#325224); #2246=MANIFOLD_SOLID_BREP('CopperHoles',#325225); #2247=MANIFOLD_SOLID_BREP('CopperHoles',#325226); #2248=MANIFOLD_SOLID_BREP('CopperHoles',#325227); #2249=MANIFOLD_SOLID_BREP('CopperHoles',#325228); #2250=MANIFOLD_SOLID_BREP('CopperHoles',#325229); #2251=MANIFOLD_SOLID_BREP('CopperHoles',#325230); #2252=MANIFOLD_SOLID_BREP('CopperHoles',#325231); #2253=MANIFOLD_SOLID_BREP('CopperHoles',#325232); #2254=MANIFOLD_SOLID_BREP('CopperHoles',#325233); #2255=MANIFOLD_SOLID_BREP('CopperHoles',#325234); #2256=MANIFOLD_SOLID_BREP('CopperHoles',#325235); #2257=MANIFOLD_SOLID_BREP('CopperHoles',#325236); #2258=MANIFOLD_SOLID_BREP('CopperHoles',#325237); #2259=MANIFOLD_SOLID_BREP('CopperHoles',#325238); #2260=MANIFOLD_SOLID_BREP('CopperHoles',#325239); #2261=MANIFOLD_SOLID_BREP('CopperHoles',#325240); #2262=MANIFOLD_SOLID_BREP('CopperHoles',#325241); #2263=MANIFOLD_SOLID_BREP('CopperHoles',#325242); #2264=MANIFOLD_SOLID_BREP('CopperHoles',#325243); #2265=MANIFOLD_SOLID_BREP('CopperHoles',#325244); #2266=MANIFOLD_SOLID_BREP('CopperHoles',#325245); #2267=MANIFOLD_SOLID_BREP('CopperHoles',#325246); #2268=MANIFOLD_SOLID_BREP('CopperHoles',#325247); #2269=MANIFOLD_SOLID_BREP('CopperHoles',#325248); #2270=MANIFOLD_SOLID_BREP('CopperHoles',#325249); #2271=MANIFOLD_SOLID_BREP('CopperHoles',#325250); #2272=MANIFOLD_SOLID_BREP('CopperHoles',#325251); #2273=MANIFOLD_SOLID_BREP('CopperHoles',#325252); #2274=MANIFOLD_SOLID_BREP('CopperHoles',#325253); #2275=MANIFOLD_SOLID_BREP('CopperHoles',#325254); #2276=MANIFOLD_SOLID_BREP('CopperHoles',#325255); #2277=MANIFOLD_SOLID_BREP('CopperHoles',#325256); #2278=MANIFOLD_SOLID_BREP('CopperHoles',#325257); #2279=MANIFOLD_SOLID_BREP('CopperHoles',#325258); #2280=MANIFOLD_SOLID_BREP('CopperHoles',#325259); #2281=MANIFOLD_SOLID_BREP('CopperHoles',#325260); #2282=MANIFOLD_SOLID_BREP('CopperHoles',#325261); #2283=MANIFOLD_SOLID_BREP('CopperHoles',#325262); #2284=MANIFOLD_SOLID_BREP('CopperHoles',#325263); #2285=MANIFOLD_SOLID_BREP('CopperHoles',#325264); #2286=MANIFOLD_SOLID_BREP('CopperHoles',#325265); #2287=MANIFOLD_SOLID_BREP('CopperHoles',#325266); #2288=MANIFOLD_SOLID_BREP('CopperHoles',#325267); #2289=MANIFOLD_SOLID_BREP('CopperHoles',#325268); #2290=MANIFOLD_SOLID_BREP('CopperHoles',#325269); #2291=MANIFOLD_SOLID_BREP('CopperHoles',#325270); #2292=MANIFOLD_SOLID_BREP('CopperHoles',#325271); #2293=MANIFOLD_SOLID_BREP('CopperHoles',#325272); #2294=MANIFOLD_SOLID_BREP('CopperHoles',#325273); #2295=MANIFOLD_SOLID_BREP('CopperHoles',#325274); #2296=MANIFOLD_SOLID_BREP('CopperHoles',#325275); #2297=MANIFOLD_SOLID_BREP('CopperHoles',#325276); #2298=MANIFOLD_SOLID_BREP('CopperHoles',#325277); #2299=MANIFOLD_SOLID_BREP('CopperHoles',#325278); #2300=MANIFOLD_SOLID_BREP('CopperHoles',#325279); #2301=MANIFOLD_SOLID_BREP('CopperHoles',#325280); #2302=MANIFOLD_SOLID_BREP('CopperHoles',#325281); #2303=MANIFOLD_SOLID_BREP('CopperHoles',#325282); #2304=MANIFOLD_SOLID_BREP('CopperHoles',#325283); #2305=MANIFOLD_SOLID_BREP('CopperHoles',#325284); #2306=MANIFOLD_SOLID_BREP('CopperHoles',#325285); #2307=MANIFOLD_SOLID_BREP('CopperHoles',#325286); #2308=MANIFOLD_SOLID_BREP('CopperHoles',#325287); #2309=MANIFOLD_SOLID_BREP('CopperHoles',#325288); #2310=MANIFOLD_SOLID_BREP('CopperHoles',#325289); #2311=MANIFOLD_SOLID_BREP('CopperHoles',#325290); #2312=MANIFOLD_SOLID_BREP('CopperHoles',#325291); #2313=MANIFOLD_SOLID_BREP('CopperHoles',#325292); #2314=MANIFOLD_SOLID_BREP('CopperHoles',#325293); #2315=MANIFOLD_SOLID_BREP('CopperHoles',#325294); #2316=MANIFOLD_SOLID_BREP('CopperHoles',#325295); #2317=MANIFOLD_SOLID_BREP('CopperHoles',#325296); #2318=MANIFOLD_SOLID_BREP('CopperHoles',#325297); #2319=MANIFOLD_SOLID_BREP('CopperHoles',#325298); #2320=MANIFOLD_SOLID_BREP('CopperHoles',#325299); #2321=MANIFOLD_SOLID_BREP('CopperHoles',#325300); #2322=MANIFOLD_SOLID_BREP('CopperHoles',#325301); #2323=MANIFOLD_SOLID_BREP('CopperHoles',#325302); #2324=MANIFOLD_SOLID_BREP('CopperHoles',#325303); #2325=MANIFOLD_SOLID_BREP('CopperHoles',#325304); #2326=MANIFOLD_SOLID_BREP('CopperHoles',#325305); #2327=MANIFOLD_SOLID_BREP('CopperHoles',#325306); #2328=MANIFOLD_SOLID_BREP('CopperHoles',#325307); #2329=MANIFOLD_SOLID_BREP('CopperHoles',#325308); #2330=MANIFOLD_SOLID_BREP('CopperHoles',#325309); #2331=MANIFOLD_SOLID_BREP('CopperHoles',#325310); #2332=MANIFOLD_SOLID_BREP('CopperHoles',#325311); #2333=MANIFOLD_SOLID_BREP('CopperHoles',#325312); #2334=MANIFOLD_SOLID_BREP('CopperHoles',#325313); #2335=MANIFOLD_SOLID_BREP('CopperHoles',#325314); #2336=MANIFOLD_SOLID_BREP('CopperHoles',#325315); #2337=MANIFOLD_SOLID_BREP('CopperHoles',#325316); #2338=MANIFOLD_SOLID_BREP('CopperHoles',#325317); #2339=MANIFOLD_SOLID_BREP('CopperHoles',#325318); #2340=MANIFOLD_SOLID_BREP('CopperHoles',#325319); #2341=MANIFOLD_SOLID_BREP('CopperHoles',#325320); #2342=MANIFOLD_SOLID_BREP('CopperHoles',#325321); #2343=MANIFOLD_SOLID_BREP('CopperHoles',#325322); #2344=MANIFOLD_SOLID_BREP('CopperHoles',#325323); #2345=MANIFOLD_SOLID_BREP('CopperHoles',#325324); #2346=MANIFOLD_SOLID_BREP('CopperHoles',#325325); #2347=MANIFOLD_SOLID_BREP('CopperHoles',#325326); #2348=MANIFOLD_SOLID_BREP('CopperHoles',#325327); #2349=MANIFOLD_SOLID_BREP('CopperHoles',#325328); #2350=MANIFOLD_SOLID_BREP('CopperHoles',#325329); #2351=MANIFOLD_SOLID_BREP('CopperHoles',#325330); #2352=MANIFOLD_SOLID_BREP('CopperHoles',#325331); #2353=MANIFOLD_SOLID_BREP('CopperHoles',#325332); #2354=MANIFOLD_SOLID_BREP('CopperHoles',#325333); #2355=MANIFOLD_SOLID_BREP('CopperHoles',#325334); #2356=MANIFOLD_SOLID_BREP('CopperHoles',#325335); #2357=MANIFOLD_SOLID_BREP('CopperHoles',#325336); #2358=MANIFOLD_SOLID_BREP('CopperHoles',#325337); #2359=MANIFOLD_SOLID_BREP('CopperHoles',#325338); #2360=MANIFOLD_SOLID_BREP('CopperHoles',#325339); #2361=MANIFOLD_SOLID_BREP('CopperHoles',#325340); #2362=MANIFOLD_SOLID_BREP('CopperHoles',#325341); #2363=MANIFOLD_SOLID_BREP('CopperHoles',#325342); #2364=MANIFOLD_SOLID_BREP('CopperHoles',#325343); #2365=MANIFOLD_SOLID_BREP('CopperHoles',#325344); #2366=MANIFOLD_SOLID_BREP('CopperHoles',#325345); #2367=MANIFOLD_SOLID_BREP('CopperHoles',#325346); #2368=MANIFOLD_SOLID_BREP('CopperHoles',#325347); #2369=MANIFOLD_SOLID_BREP('CopperHoles',#325348); #2370=MANIFOLD_SOLID_BREP('CopperHoles',#325349); #2371=MANIFOLD_SOLID_BREP('CopperHoles',#325350); #2372=MANIFOLD_SOLID_BREP('CopperHoles',#325351); #2373=MANIFOLD_SOLID_BREP('CopperHoles',#325352); #2374=MANIFOLD_SOLID_BREP('CopperHoles',#325353); #2375=MANIFOLD_SOLID_BREP('CopperHoles',#325354); #2376=MANIFOLD_SOLID_BREP('CopperHoles',#325355); #2377=MANIFOLD_SOLID_BREP('CopperHoles',#325356); #2378=MANIFOLD_SOLID_BREP('CopperHoles',#325357); #2379=MANIFOLD_SOLID_BREP('CopperHoles',#325358); #2380=MANIFOLD_SOLID_BREP('CopperHoles',#325359); #2381=MANIFOLD_SOLID_BREP('CopperHoles',#325360); #2382=MANIFOLD_SOLID_BREP('CopperHoles',#325361); #2383=MANIFOLD_SOLID_BREP('CopperHoles',#325362); #2384=MANIFOLD_SOLID_BREP('CopperHoles',#325363); #2385=MANIFOLD_SOLID_BREP('CopperHoles',#325364); #2386=MANIFOLD_SOLID_BREP('CopperHoles',#325365); #2387=MANIFOLD_SOLID_BREP('CopperHoles',#325366); #2388=MANIFOLD_SOLID_BREP('CopperHoles',#325367); #2389=MANIFOLD_SOLID_BREP('CopperHoles',#325368); #2390=MANIFOLD_SOLID_BREP('CopperHoles',#325369); #2391=MANIFOLD_SOLID_BREP('CopperHoles',#325370); #2392=MANIFOLD_SOLID_BREP('CopperHoles',#325371); #2393=MANIFOLD_SOLID_BREP('CopperHoles',#325372); #2394=MANIFOLD_SOLID_BREP('CopperHoles',#325373); #2395=MANIFOLD_SOLID_BREP('CopperHoles',#325374); #2396=MANIFOLD_SOLID_BREP('CopperHoles',#325375); #2397=MANIFOLD_SOLID_BREP('CopperHoles',#325376); #2398=MANIFOLD_SOLID_BREP('CopperHoles',#325377); #2399=MANIFOLD_SOLID_BREP('CopperHoles',#325378); #2400=MANIFOLD_SOLID_BREP('CopperHoles',#325379); #2401=MANIFOLD_SOLID_BREP('CopperHoles',#325380); #2402=MANIFOLD_SOLID_BREP('CopperHoles',#325381); #2403=MANIFOLD_SOLID_BREP('CopperHoles',#325382); #2404=MANIFOLD_SOLID_BREP('CopperHoles',#325383); #2405=MANIFOLD_SOLID_BREP('CopperHoles',#325384); #2406=MANIFOLD_SOLID_BREP('CopperHoles',#325385); #2407=MANIFOLD_SOLID_BREP('CopperHoles',#325386); #2408=MANIFOLD_SOLID_BREP('CopperHoles',#325387); #2409=MANIFOLD_SOLID_BREP('CopperHoles',#325388); #2410=MANIFOLD_SOLID_BREP('CopperHoles',#325389); #2411=MANIFOLD_SOLID_BREP('CopperHoles',#325390); #2412=MANIFOLD_SOLID_BREP('CopperHoles',#325391); #2413=MANIFOLD_SOLID_BREP('CopperHoles',#325392); #2414=MANIFOLD_SOLID_BREP('CopperHoles',#325393); #2415=MANIFOLD_SOLID_BREP('CopperHoles',#325394); #2416=MANIFOLD_SOLID_BREP('CopperHoles',#325395); #2417=MANIFOLD_SOLID_BREP('CopperHoles',#325396); #2418=MANIFOLD_SOLID_BREP('CopperHoles',#325397); #2419=MANIFOLD_SOLID_BREP('CopperHoles',#325398); #2420=MANIFOLD_SOLID_BREP('CopperHoles',#325399); #2421=MANIFOLD_SOLID_BREP('CopperHoles',#325400); #2422=MANIFOLD_SOLID_BREP('CopperHoles',#325401); #2423=MANIFOLD_SOLID_BREP('CopperHoles',#325402); #2424=MANIFOLD_SOLID_BREP('CopperHoles',#325403); #2425=MANIFOLD_SOLID_BREP('CopperHoles',#325404); #2426=MANIFOLD_SOLID_BREP('CopperHoles',#325405); #2427=MANIFOLD_SOLID_BREP('CopperHoles',#325406); #2428=MANIFOLD_SOLID_BREP('CopperHoles',#325407); #2429=MANIFOLD_SOLID_BREP('CopperHoles',#325408); #2430=MANIFOLD_SOLID_BREP('CopperHoles',#325409); #2431=MANIFOLD_SOLID_BREP('CopperHoles',#325410); #2432=MANIFOLD_SOLID_BREP('CopperHoles',#325411); #2433=MANIFOLD_SOLID_BREP('CopperHoles',#325412); #2434=MANIFOLD_SOLID_BREP('CopperHoles',#325413); #2435=MANIFOLD_SOLID_BREP('CopperHoles',#325414); #2436=MANIFOLD_SOLID_BREP('CopperHoles',#325415); #2437=MANIFOLD_SOLID_BREP('CopperHoles',#325416); #2438=MANIFOLD_SOLID_BREP('CopperHoles',#325417); #2439=MANIFOLD_SOLID_BREP('CopperHoles',#325418); #2440=MANIFOLD_SOLID_BREP('CopperHoles',#325419); #2441=MANIFOLD_SOLID_BREP('CopperHoles',#325420); #2442=MANIFOLD_SOLID_BREP('CopperHoles',#325421); #2443=MANIFOLD_SOLID_BREP('CopperHoles',#325422); #2444=MANIFOLD_SOLID_BREP('CopperHoles',#325423); #2445=MANIFOLD_SOLID_BREP('CopperHoles',#325424); #2446=MANIFOLD_SOLID_BREP('CopperHoles',#325425); #2447=MANIFOLD_SOLID_BREP('CopperHoles',#325426); #2448=MANIFOLD_SOLID_BREP('CopperHoles',#325427); #2449=MANIFOLD_SOLID_BREP('CopperHoles',#325428); #2450=MANIFOLD_SOLID_BREP('CopperHoles',#325429); #2451=MANIFOLD_SOLID_BREP('CopperHoles',#325430); #2452=MANIFOLD_SOLID_BREP('CopperHoles',#325431); #2453=MANIFOLD_SOLID_BREP('CopperHoles',#325432); #2454=MANIFOLD_SOLID_BREP('CopperHoles',#325433); #2455=MANIFOLD_SOLID_BREP('CopperHoles',#325434); #2456=MANIFOLD_SOLID_BREP('CopperHoles',#325435); #2457=MANIFOLD_SOLID_BREP('CopperHoles',#325436); #2458=MANIFOLD_SOLID_BREP('CopperHoles',#325437); #2459=MANIFOLD_SOLID_BREP('CopperHoles',#325438); #2460=MANIFOLD_SOLID_BREP('CopperHoles',#325439); #2461=MANIFOLD_SOLID_BREP('CopperHoles',#325440); #2462=MANIFOLD_SOLID_BREP('CopperHoles',#325441); #2463=MANIFOLD_SOLID_BREP('CopperHoles',#325442); #2464=MANIFOLD_SOLID_BREP('CopperHoles',#325443); #2465=MANIFOLD_SOLID_BREP('CopperHoles',#325444); #2466=MANIFOLD_SOLID_BREP('CopperHoles',#325445); #2467=MANIFOLD_SOLID_BREP('CopperHoles',#325446); #2468=MANIFOLD_SOLID_BREP('CopperHoles',#325447); #2469=MANIFOLD_SOLID_BREP('CopperHoles',#325448); #2470=MANIFOLD_SOLID_BREP('CopperHoles',#325449); #2471=MANIFOLD_SOLID_BREP('CopperHoles',#325450); #2472=MANIFOLD_SOLID_BREP('CopperHoles',#325451); #2473=MANIFOLD_SOLID_BREP('CopperHoles',#325452); #2474=MANIFOLD_SOLID_BREP('CopperHoles',#325453); #2475=MANIFOLD_SOLID_BREP('CopperHoles',#325454); #2476=MANIFOLD_SOLID_BREP('CopperHoles',#325455); #2477=MANIFOLD_SOLID_BREP('CopperHoles',#325456); #2478=MANIFOLD_SOLID_BREP('CopperHoles',#325457); #2479=MANIFOLD_SOLID_BREP('CopperHoles',#325458); #2480=MANIFOLD_SOLID_BREP('CopperHoles',#325459); #2481=MANIFOLD_SOLID_BREP('CopperHoles',#325460); #2482=MANIFOLD_SOLID_BREP('CopperHoles',#325461); #2483=MANIFOLD_SOLID_BREP('CopperHoles',#325462); #2484=MANIFOLD_SOLID_BREP('CopperHoles',#325463); #2485=MANIFOLD_SOLID_BREP('CopperHoles',#325464); #2486=MANIFOLD_SOLID_BREP('CopperHoles',#325465); #2487=MANIFOLD_SOLID_BREP('CopperHoles',#325466); #2488=MANIFOLD_SOLID_BREP('CopperHoles',#325467); #2489=MANIFOLD_SOLID_BREP('CopperHoles',#325468); #2490=MANIFOLD_SOLID_BREP('CopperHoles',#325469); #2491=MANIFOLD_SOLID_BREP('CopperHoles',#325470); #2492=MANIFOLD_SOLID_BREP('CopperHoles',#325471); #2493=MANIFOLD_SOLID_BREP('CopperHoles',#325472); #2494=MANIFOLD_SOLID_BREP('CopperHoles',#325473); #2495=MANIFOLD_SOLID_BREP('CopperHoles',#325474); #2496=MANIFOLD_SOLID_BREP('CopperHoles',#325475); #2497=MANIFOLD_SOLID_BREP('CopperHoles',#325476); #2498=MANIFOLD_SOLID_BREP('CopperHoles',#325477); #2499=MANIFOLD_SOLID_BREP('CopperHoles',#325478); #2500=MANIFOLD_SOLID_BREP('CopperHoles',#325479); #2501=MANIFOLD_SOLID_BREP('CopperHoles',#325480); #2502=MANIFOLD_SOLID_BREP('CopperHoles',#325481); #2503=MANIFOLD_SOLID_BREP('CopperHoles',#325482); #2504=MANIFOLD_SOLID_BREP('CopperHoles',#325483); #2505=MANIFOLD_SOLID_BREP('CopperHoles',#325484); #2506=MANIFOLD_SOLID_BREP('CopperHoles',#325485); #2507=MANIFOLD_SOLID_BREP('CopperHoles',#325486); #2508=MANIFOLD_SOLID_BREP('CopperHoles',#325487); #2509=MANIFOLD_SOLID_BREP('CopperHoles',#325488); #2510=MANIFOLD_SOLID_BREP('CopperHoles',#325489); #2511=MANIFOLD_SOLID_BREP('CopperHoles',#325490); #2512=MANIFOLD_SOLID_BREP('CopperHoles',#325491); #2513=MANIFOLD_SOLID_BREP('CopperHoles',#325492); #2514=MANIFOLD_SOLID_BREP('CopperHoles',#325493); #2515=MANIFOLD_SOLID_BREP('CopperHoles',#325494); #2516=MANIFOLD_SOLID_BREP('CopperHoles',#325495); #2517=MANIFOLD_SOLID_BREP('CopperHoles',#325496); #2518=MANIFOLD_SOLID_BREP('CopperHoles',#325497); #2519=MANIFOLD_SOLID_BREP('CopperHoles',#325498); #2520=MANIFOLD_SOLID_BREP('CopperHoles',#325499); #2521=MANIFOLD_SOLID_BREP('Body1',#325500); #2522=MANIFOLD_SOLID_BREP('Body1',#325501); #2523=MANIFOLD_SOLID_BREP('Body1',#325502); #2524=MANIFOLD_SOLID_BREP('Body1',#325503); #2525=MANIFOLD_SOLID_BREP('Body1',#325504); #2526=MANIFOLD_SOLID_BREP('Body1',#325505); #2527=MANIFOLD_SOLID_BREP('Body1',#325506); #2528=MANIFOLD_SOLID_BREP('Body1',#325507); #2529=MANIFOLD_SOLID_BREP('Body1',#325508); #2530=MANIFOLD_SOLID_BREP('Body1',#325509); #2531=MANIFOLD_SOLID_BREP('Body1',#325510); #2532=MANIFOLD_SOLID_BREP('Body1',#325511); #2533=MANIFOLD_SOLID_BREP('Body1',#325512); #2534=MANIFOLD_SOLID_BREP('Body1',#325513); #2535=MANIFOLD_SOLID_BREP('Body1',#325514); #2536=MANIFOLD_SOLID_BREP('Body1',#325515); #2537=MANIFOLD_SOLID_BREP('Body1',#325516); #2538=MANIFOLD_SOLID_BREP('Body1',#325517); #2539=MANIFOLD_SOLID_BREP('Body1',#325518); #2540=MANIFOLD_SOLID_BREP('Body1',#325519); #2541=MANIFOLD_SOLID_BREP('Body1',#325520); #2542=MANIFOLD_SOLID_BREP('Body1',#325521); #2543=MANIFOLD_SOLID_BREP('Body1',#325522); #2544=MANIFOLD_SOLID_BREP('Body1',#325523); #2545=MANIFOLD_SOLID_BREP('Body1',#325524); #2546=MANIFOLD_SOLID_BREP('Body1',#325525); #2547=MANIFOLD_SOLID_BREP('Body1',#325526); #2548=MANIFOLD_SOLID_BREP('Body1',#325527); #2549=MANIFOLD_SOLID_BREP('Body1',#325528); #2550=MANIFOLD_SOLID_BREP('Body1',#325529); #2551=MANIFOLD_SOLID_BREP('Body1',#325530); #2552=MANIFOLD_SOLID_BREP('Body1',#325531); #2553=MANIFOLD_SOLID_BREP('Body1',#325532); #2554=MANIFOLD_SOLID_BREP('Body1',#325533); #2555=MANIFOLD_SOLID_BREP('Body1',#325534); #2556=MANIFOLD_SOLID_BREP('Body1',#325535); #2557=MANIFOLD_SOLID_BREP('Body1',#325536); #2558=MANIFOLD_SOLID_BREP('Body1',#325537); #2559=MANIFOLD_SOLID_BREP('Body1',#325538); #2560=MANIFOLD_SOLID_BREP('Body1',#325539); #2561=MANIFOLD_SOLID_BREP('Body1',#325540); #2562=MANIFOLD_SOLID_BREP('Body1',#325541); #2563=MANIFOLD_SOLID_BREP('Body1',#325542); #2564=MANIFOLD_SOLID_BREP('Body1',#325543); #2565=MANIFOLD_SOLID_BREP('Body1',#325544); #2566=MANIFOLD_SOLID_BREP('Body1',#325545); #2567=MANIFOLD_SOLID_BREP('Body1',#325546); #2568=MANIFOLD_SOLID_BREP('Body1',#325547); #2569=MANIFOLD_SOLID_BREP('Body1',#325548); #2570=MANIFOLD_SOLID_BREP('Body1',#325549); #2571=MANIFOLD_SOLID_BREP('Body1',#325550); #2572=MANIFOLD_SOLID_BREP('Body1',#325551); #2573=MANIFOLD_SOLID_BREP('Body1',#325552); #2574=MANIFOLD_SOLID_BREP('Body1',#325553); #2575=MANIFOLD_SOLID_BREP('Body1',#325554); #2576=MANIFOLD_SOLID_BREP('Body1',#325555); #2577=MANIFOLD_SOLID_BREP('Body1',#325556); #2578=MANIFOLD_SOLID_BREP('Body1',#325557); #2579=MANIFOLD_SOLID_BREP('Body1',#325558); #2580=MANIFOLD_SOLID_BREP('Body1',#325559); #2581=MANIFOLD_SOLID_BREP('Body1',#325560); #2582=MANIFOLD_SOLID_BREP('Body1',#325561); #2583=MANIFOLD_SOLID_BREP('Body1',#325562); #2584=MANIFOLD_SOLID_BREP('Body1',#325563); #2585=MANIFOLD_SOLID_BREP('Body1',#325564); #2586=MANIFOLD_SOLID_BREP('Body1',#325565); #2587=MANIFOLD_SOLID_BREP('Body1',#325566); #2588=MANIFOLD_SOLID_BREP('Body1',#325567); #2589=MANIFOLD_SOLID_BREP('Body5',#325568); #2590=MANIFOLD_SOLID_BREP('Body5',#325569); #2591=MANIFOLD_SOLID_BREP('Body5',#325570); #2592=MANIFOLD_SOLID_BREP('Body5',#325571); #2593=MANIFOLD_SOLID_BREP('Body5',#325572); #2594=MANIFOLD_SOLID_BREP('Body5',#325573); #2595=MANIFOLD_SOLID_BREP('Body5',#325574); #2596=MANIFOLD_SOLID_BREP('Body5',#325575); #2597=MANIFOLD_SOLID_BREP('Body5',#325576); #2598=MANIFOLD_SOLID_BREP('Body5',#325577); #2599=MANIFOLD_SOLID_BREP('Body5',#325578); #2600=MANIFOLD_SOLID_BREP('Body5',#325579); #2601=MANIFOLD_SOLID_BREP('Body5',#325580); #2602=MANIFOLD_SOLID_BREP('Body5',#325581); #2603=MANIFOLD_SOLID_BREP('Body5',#325582); #2604=MANIFOLD_SOLID_BREP('Body5',#325583); #2605=MANIFOLD_SOLID_BREP('Body5',#325584); #2606=MANIFOLD_SOLID_BREP('Body5',#325585); #2607=MANIFOLD_SOLID_BREP('Body5',#325586); #2608=MANIFOLD_SOLID_BREP('Body5',#325587); #2609=MANIFOLD_SOLID_BREP('Body5',#325588); #2610=MANIFOLD_SOLID_BREP('Body5',#325589); #2611=MANIFOLD_SOLID_BREP('Body5',#325590); #2612=MANIFOLD_SOLID_BREP('Body5',#325591); #2613=MANIFOLD_SOLID_BREP('Body5',#325592); #2614=MANIFOLD_SOLID_BREP('Body5',#325593); #2615=MANIFOLD_SOLID_BREP('Body5',#325594); #2616=MANIFOLD_SOLID_BREP('Body5',#325595); #2617=MANIFOLD_SOLID_BREP('Body5',#325596); #2618=MANIFOLD_SOLID_BREP('Body5',#325597); #2619=MANIFOLD_SOLID_BREP('Body5',#325598); #2620=MANIFOLD_SOLID_BREP('Body5',#325599); #2621=MANIFOLD_SOLID_BREP('Body5',#325600); #2622=MANIFOLD_SOLID_BREP('Body5',#325601); #2623=MANIFOLD_SOLID_BREP('Body5',#325602); #2624=MANIFOLD_SOLID_BREP('Body5',#325603); #2625=MANIFOLD_SOLID_BREP('Body5',#325604); #2626=MANIFOLD_SOLID_BREP('Body5',#325605); #2627=MANIFOLD_SOLID_BREP('Body5',#325606); #2628=MANIFOLD_SOLID_BREP('Body5',#325607); #2629=MANIFOLD_SOLID_BREP('Body5',#325608); #2630=MANIFOLD_SOLID_BREP('Body5',#325609); #2631=MANIFOLD_SOLID_BREP('Body5',#325610); #2632=MANIFOLD_SOLID_BREP('Body5',#325611); #2633=MANIFOLD_SOLID_BREP('Body5',#325612); #2634=MANIFOLD_SOLID_BREP('Body5',#325613); #2635=MANIFOLD_SOLID_BREP('Body5',#325614); #2636=MANIFOLD_SOLID_BREP('Body5',#325615); #2637=MANIFOLD_SOLID_BREP('Body5',#325616); #2638=MANIFOLD_SOLID_BREP('Body5',#325617); #2639=MANIFOLD_SOLID_BREP('Body5',#325618); #2640=MANIFOLD_SOLID_BREP('Body5',#325619); #2641=MANIFOLD_SOLID_BREP('Body5',#325620); #2642=MANIFOLD_SOLID_BREP('Body5',#325621); #2643=MANIFOLD_SOLID_BREP('Body5',#325622); #2644=MANIFOLD_SOLID_BREP('Body5',#325623); #2645=MANIFOLD_SOLID_BREP('Body5',#325624); #2646=MANIFOLD_SOLID_BREP('Body5',#325625); #2647=MANIFOLD_SOLID_BREP('Body5',#325626); #2648=MANIFOLD_SOLID_BREP('Body5',#325627); #2649=MANIFOLD_SOLID_BREP('Body5',#325628); #2650=MANIFOLD_SOLID_BREP('Body5',#325629); #2651=MANIFOLD_SOLID_BREP('Body2',#325630); #2652=MANIFOLD_SOLID_BREP('Body2',#325631); #2653=MANIFOLD_SOLID_BREP('Body2',#325632); #2654=MANIFOLD_SOLID_BREP('Body2',#325633); #2655=MANIFOLD_SOLID_BREP('Body2',#325634); #2656=MANIFOLD_SOLID_BREP('Body2',#325635); #2657=MANIFOLD_SOLID_BREP('Body2',#325636); #2658=MANIFOLD_SOLID_BREP('Body2',#325637); #2659=MANIFOLD_SOLID_BREP('Body2',#325638); #2660=MANIFOLD_SOLID_BREP('Body2',#325639); #2661=MANIFOLD_SOLID_BREP('Body2',#325640); #2662=MANIFOLD_SOLID_BREP('Body2',#325641); #2663=MANIFOLD_SOLID_BREP('Body2',#325642); #2664=MANIFOLD_SOLID_BREP('Body2',#325643); #2665=MANIFOLD_SOLID_BREP('Body2',#325644); #2666=MANIFOLD_SOLID_BREP('Body2',#325645); #2667=MANIFOLD_SOLID_BREP('Body2',#325646); #2668=MANIFOLD_SOLID_BREP('Body2',#325647); #2669=MANIFOLD_SOLID_BREP('Body2',#325648); #2670=MANIFOLD_SOLID_BREP('Body2',#325649); #2671=MANIFOLD_SOLID_BREP('Body2',#325650); #2672=MANIFOLD_SOLID_BREP('Body2',#325651); #2673=MANIFOLD_SOLID_BREP('Body2',#325652); #2674=MANIFOLD_SOLID_BREP('Body3',#325653); #2675=MANIFOLD_SOLID_BREP('Body3',#325654); #2676=MANIFOLD_SOLID_BREP('Body3',#325655); #2677=MANIFOLD_SOLID_BREP('Body3',#325656); #2678=MANIFOLD_SOLID_BREP('Body3',#325657); #2679=MANIFOLD_SOLID_BREP('Body3',#325658); #2680=MANIFOLD_SOLID_BREP('Body3',#325659); #2681=MANIFOLD_SOLID_BREP('Body3',#325660); #2682=MANIFOLD_SOLID_BREP('Body3',#325661); #2683=MANIFOLD_SOLID_BREP('Body3',#325662); #2684=MANIFOLD_SOLID_BREP('Body3',#325663); #2685=MANIFOLD_SOLID_BREP('Body3',#325664); #2686=MANIFOLD_SOLID_BREP('Body3',#325665); #2687=MANIFOLD_SOLID_BREP('Body3',#325666); #2688=MANIFOLD_SOLID_BREP('Body3',#325667); #2689=MANIFOLD_SOLID_BREP('Body3',#325668); #2690=MANIFOLD_SOLID_BREP('Body3',#325669); #2691=MANIFOLD_SOLID_BREP('Body3',#325670); #2692=MANIFOLD_SOLID_BREP('Body3',#325671); #2693=MANIFOLD_SOLID_BREP('Body3',#325672); #2694=MANIFOLD_SOLID_BREP('Body3',#325673); #2695=MANIFOLD_SOLID_BREP('Body3',#325674); #2696=MANIFOLD_SOLID_BREP('Body3',#325675); #2697=MANIFOLD_SOLID_BREP('Body3',#325676); #2698=MANIFOLD_SOLID_BREP('Body3',#325677); #2699=MANIFOLD_SOLID_BREP('Body3',#325678); #2700=MANIFOLD_SOLID_BREP('Body3',#325679); #2701=MANIFOLD_SOLID_BREP('Body3',#325680); #2702=MANIFOLD_SOLID_BREP('Body3',#325681); #2703=MANIFOLD_SOLID_BREP('Body3',#325682); #2704=MANIFOLD_SOLID_BREP('Body3',#325683); #2705=MANIFOLD_SOLID_BREP('Body3',#325684); #2706=MANIFOLD_SOLID_BREP('Body3',#325685); #2707=MANIFOLD_SOLID_BREP('Body3',#325686); #2708=MANIFOLD_SOLID_BREP('Body3',#325687); #2709=MANIFOLD_SOLID_BREP('Body3',#325688); #2710=MANIFOLD_SOLID_BREP('Body3',#325689); #2711=MANIFOLD_SOLID_BREP('Body3',#325690); #2712=MANIFOLD_SOLID_BREP('Body3',#325691); #2713=MANIFOLD_SOLID_BREP('Body3',#325692); #2714=MANIFOLD_SOLID_BREP('Body3',#325693); #2715=MANIFOLD_SOLID_BREP('Body3',#325694); #2716=MANIFOLD_SOLID_BREP('Body3',#325695); #2717=MANIFOLD_SOLID_BREP('Body3',#325696); #2718=MANIFOLD_SOLID_BREP('Body3',#325697); #2719=MANIFOLD_SOLID_BREP('Body3',#325698); #2720=MANIFOLD_SOLID_BREP('Body3',#325699); #2721=MANIFOLD_SOLID_BREP('Body3',#325700); #2722=MANIFOLD_SOLID_BREP('Body3',#325701); #2723=MANIFOLD_SOLID_BREP('Body3',#325702); #2724=MANIFOLD_SOLID_BREP('Body3',#325703); #2725=MANIFOLD_SOLID_BREP('Body3',#325704); #2726=MANIFOLD_SOLID_BREP('Body3',#325705); #2727=MANIFOLD_SOLID_BREP('Body3',#325706); #2728=MANIFOLD_SOLID_BREP('Body3',#325707); #2729=MANIFOLD_SOLID_BREP('Body3',#325708); #2730=MANIFOLD_SOLID_BREP('Body3',#325709); #2731=MANIFOLD_SOLID_BREP('Body3',#325710); #2732=MANIFOLD_SOLID_BREP('Body3',#325711); #2733=MANIFOLD_SOLID_BREP('Body3',#325712); #2734=MANIFOLD_SOLID_BREP('Body3',#325713); #2735=MANIFOLD_SOLID_BREP('Body3',#325714); #2736=MANIFOLD_SOLID_BREP('Body3',#325715); #2737=MANIFOLD_SOLID_BREP('Body3',#325716); #2738=MANIFOLD_SOLID_BREP('Body3',#325717); #2739=MANIFOLD_SOLID_BREP('Body3',#325718); #2740=MANIFOLD_SOLID_BREP('Body3',#325719); #2741=MANIFOLD_SOLID_BREP('Body3',#325720); #2742=MANIFOLD_SOLID_BREP('Body3',#325721); #2743=MANIFOLD_SOLID_BREP('Body3',#325722); #2744=MANIFOLD_SOLID_BREP('Body3',#325723); #2745=MANIFOLD_SOLID_BREP('Body3',#325724); #2746=MANIFOLD_SOLID_BREP('Body3',#325725); #2747=MANIFOLD_SOLID_BREP('Body3',#325726); #2748=MANIFOLD_SOLID_BREP('Body3',#325727); #2749=MANIFOLD_SOLID_BREP('Body3',#325728); #2750=MANIFOLD_SOLID_BREP('Body3',#325729); #2751=MANIFOLD_SOLID_BREP('Body3',#325730); #2752=MANIFOLD_SOLID_BREP('Body3',#325731); #2753=MANIFOLD_SOLID_BREP('Body3',#325732); #2754=MANIFOLD_SOLID_BREP('Body3',#325733); #2755=MANIFOLD_SOLID_BREP('Body3',#325734); #2756=MANIFOLD_SOLID_BREP('Body3',#325735); #2757=MANIFOLD_SOLID_BREP('Body3',#325736); #2758=MANIFOLD_SOLID_BREP('Body3',#325737); #2759=MANIFOLD_SOLID_BREP('Body3',#325738); #2760=MANIFOLD_SOLID_BREP('Body3',#325739); #2761=MANIFOLD_SOLID_BREP('Body3',#325740); #2762=MANIFOLD_SOLID_BREP('Body3',#325741); #2763=MANIFOLD_SOLID_BREP('Body3',#325742); #2764=MANIFOLD_SOLID_BREP('Body3',#325743); #2765=MANIFOLD_SOLID_BREP('Body3',#325744); #2766=MANIFOLD_SOLID_BREP('Body3',#325745); #2767=MANIFOLD_SOLID_BREP('Body3',#325746); #2768=MANIFOLD_SOLID_BREP('Body3',#325747); #2769=MANIFOLD_SOLID_BREP('Body3',#325748); #2770=MANIFOLD_SOLID_BREP('Body3',#325749); #2771=MANIFOLD_SOLID_BREP('Body3',#325750); #2772=MANIFOLD_SOLID_BREP('Body3',#325751); #2773=MANIFOLD_SOLID_BREP('Body3',#325752); #2774=MANIFOLD_SOLID_BREP('Body3',#325753); #2775=MANIFOLD_SOLID_BREP('Body3',#325754); #2776=MANIFOLD_SOLID_BREP('Body3',#325755); #2777=MANIFOLD_SOLID_BREP('Body3',#325756); #2778=MANIFOLD_SOLID_BREP('Body3',#325757); #2779=MANIFOLD_SOLID_BREP('Body3',#325758); #2780=MANIFOLD_SOLID_BREP('Body3',#325759); #2781=MANIFOLD_SOLID_BREP('Body3',#325760); #2782=MANIFOLD_SOLID_BREP('Body3',#325761); #2783=MANIFOLD_SOLID_BREP('Body3',#325762); #2784=MANIFOLD_SOLID_BREP('Body3',#325763); #2785=MANIFOLD_SOLID_BREP('Body3',#325764); #2786=MANIFOLD_SOLID_BREP('Body3',#325765); #2787=MANIFOLD_SOLID_BREP('Body3',#325766); #2788=MANIFOLD_SOLID_BREP('Body3',#325767); #2789=MANIFOLD_SOLID_BREP('Body3',#325768); #2790=MANIFOLD_SOLID_BREP('Body3',#325769); #2791=MANIFOLD_SOLID_BREP('Body3',#325770); #2792=MANIFOLD_SOLID_BREP('Body3',#325771); #2793=MANIFOLD_SOLID_BREP('Body3',#325772); #2794=MANIFOLD_SOLID_BREP('Body3',#325773); #2795=MANIFOLD_SOLID_BREP('Body3',#325774); #2796=MANIFOLD_SOLID_BREP('Body3',#325775); #2797=MANIFOLD_SOLID_BREP('Body3',#325776); #2798=MANIFOLD_SOLID_BREP('Body3',#325777); #2799=MANIFOLD_SOLID_BREP('Body3',#325778); #2800=MANIFOLD_SOLID_BREP('Body3',#325779); #2801=MANIFOLD_SOLID_BREP('Body3',#325780); #2802=MANIFOLD_SOLID_BREP('Body3',#325781); #2803=MANIFOLD_SOLID_BREP('Body3',#325782); #2804=MANIFOLD_SOLID_BREP('Body1',#325783); #2805=MANIFOLD_SOLID_BREP('Body1',#325784); #2806=MANIFOLD_SOLID_BREP('Body1',#325785); #2807=MANIFOLD_SOLID_BREP('Body1',#325786); #2808=MANIFOLD_SOLID_BREP('Body1',#325787); #2809=MANIFOLD_SOLID_BREP('Body1',#325788); #2810=MANIFOLD_SOLID_BREP('Body1',#325789); #2811=MANIFOLD_SOLID_BREP('Body1',#325790); #2812=MANIFOLD_SOLID_BREP('Body1',#325791); #2813=MANIFOLD_SOLID_BREP('Body1',#325792); #2814=MANIFOLD_SOLID_BREP('Body1',#325793); #2815=MANIFOLD_SOLID_BREP('Body1',#325794); #2816=MANIFOLD_SOLID_BREP('Body1',#325795); #2817=MANIFOLD_SOLID_BREP('Body1',#325796); #2818=MANIFOLD_SOLID_BREP('Body1',#325797); #2819=MANIFOLD_SOLID_BREP('Body1',#325798); #2820=MANIFOLD_SOLID_BREP('Body1',#325799); #2821=MANIFOLD_SOLID_BREP('Body1',#325800); #2822=MANIFOLD_SOLID_BREP('Body1',#325801); #2823=MANIFOLD_SOLID_BREP('Body1',#325802); #2824=MANIFOLD_SOLID_BREP('Body1',#325803); #2825=MANIFOLD_SOLID_BREP('Body1',#325804); #2826=MANIFOLD_SOLID_BREP('Body1',#325805); #2827=MANIFOLD_SOLID_BREP('Body1',#325806); #2828=MANIFOLD_SOLID_BREP('Body1',#325807); #2829=MANIFOLD_SOLID_BREP('Body1',#325808); #2830=MANIFOLD_SOLID_BREP('Body1',#325809); #2831=MANIFOLD_SOLID_BREP('Body1',#325810); #2832=MANIFOLD_SOLID_BREP('Body1',#325811); #2833=MANIFOLD_SOLID_BREP('Body1',#325812); #2834=MANIFOLD_SOLID_BREP('Body1',#325813); #2835=MANIFOLD_SOLID_BREP('Body1',#325814); #2836=MANIFOLD_SOLID_BREP('Body1',#325815); #2837=MANIFOLD_SOLID_BREP('Body1',#325816); #2838=MANIFOLD_SOLID_BREP('Body1',#325817); #2839=MANIFOLD_SOLID_BREP('Body1',#325818); #2840=MANIFOLD_SOLID_BREP('Body1',#325819); #2841=MANIFOLD_SOLID_BREP('Body1',#325820); #2842=MANIFOLD_SOLID_BREP('Body1',#325821); #2843=MANIFOLD_SOLID_BREP('Body1',#325822); #2844=MANIFOLD_SOLID_BREP('Body1',#325823); #2845=MANIFOLD_SOLID_BREP('Body1',#325824); #2846=MANIFOLD_SOLID_BREP('Body1',#325825); #2847=MANIFOLD_SOLID_BREP('Body1',#325826); #2848=MANIFOLD_SOLID_BREP('Body1',#325827); #2849=MANIFOLD_SOLID_BREP('Body5',#325828); #2850=MANIFOLD_SOLID_BREP('Body5',#325829); #2851=MANIFOLD_SOLID_BREP('Body5',#325830); #2852=MANIFOLD_SOLID_BREP('Body5',#325831); #2853=MANIFOLD_SOLID_BREP('Body5',#325832); #2854=MANIFOLD_SOLID_BREP('Body5',#325833); #2855=MANIFOLD_SOLID_BREP('Body5',#325834); #2856=MANIFOLD_SOLID_BREP('Body5',#325835); #2857=MANIFOLD_SOLID_BREP('Body5',#325836); #2858=MANIFOLD_SOLID_BREP('Body5',#325837); #2859=MANIFOLD_SOLID_BREP('Body5',#325838); #2860=MANIFOLD_SOLID_BREP('Body5',#325839); #2861=MANIFOLD_SOLID_BREP('Body5',#325840); #2862=MANIFOLD_SOLID_BREP('Body5',#325841); #2863=MANIFOLD_SOLID_BREP('Body5',#325842); #2864=MANIFOLD_SOLID_BREP('Body5',#325843); #2865=MANIFOLD_SOLID_BREP('Body5',#325844); #2866=MANIFOLD_SOLID_BREP('Body5',#325845); #2867=MANIFOLD_SOLID_BREP('Body5',#325846); #2868=MANIFOLD_SOLID_BREP('Body5',#325847); #2869=MANIFOLD_SOLID_BREP('Body5',#325848); #2870=MANIFOLD_SOLID_BREP('Body5',#325849); #2871=MANIFOLD_SOLID_BREP('Body5',#325850); #2872=MANIFOLD_SOLID_BREP('Body5',#325851); #2873=MANIFOLD_SOLID_BREP('Body5',#325852); #2874=MANIFOLD_SOLID_BREP('Body5',#325853); #2875=MANIFOLD_SOLID_BREP('Body5',#325854); #2876=MANIFOLD_SOLID_BREP('Body5',#325855); #2877=MANIFOLD_SOLID_BREP('Body5',#325856); #2878=MANIFOLD_SOLID_BREP('Body5',#325857); #2879=MANIFOLD_SOLID_BREP('Body5',#325858); #2880=MANIFOLD_SOLID_BREP('Body5',#325859); #2881=MANIFOLD_SOLID_BREP('Body2',#325860); #2882=MANIFOLD_SOLID_BREP('Body2',#325861); #2883=MANIFOLD_SOLID_BREP('Body2',#325862); #2884=MANIFOLD_SOLID_BREP('Body2',#325863); #2885=MANIFOLD_SOLID_BREP('Body2',#325864); #2886=MANIFOLD_SOLID_BREP('Body2',#325865); #2887=MANIFOLD_SOLID_BREP('Body2',#325866); #2888=MANIFOLD_SOLID_BREP('Body2',#325867); #2889=MANIFOLD_SOLID_BREP('Body2',#325868); #2890=MANIFOLD_SOLID_BREP('Body2',#325869); #2891=MANIFOLD_SOLID_BREP('Body2',#325870); #2892=MANIFOLD_SOLID_BREP('Body2',#325871); #2893=MANIFOLD_SOLID_BREP('Body2',#325872); #2894=MANIFOLD_SOLID_BREP('Body2',#325873); #2895=MANIFOLD_SOLID_BREP('Body2',#325874); #2896=MANIFOLD_SOLID_BREP('Body2',#325875); #2897=MANIFOLD_SOLID_BREP('Body2',#325876); #2898=MANIFOLD_SOLID_BREP('Body2',#325877); #2899=MANIFOLD_SOLID_BREP('Body2',#325878); #2900=MANIFOLD_SOLID_BREP('Body2',#325879); #2901=MANIFOLD_SOLID_BREP('Body2',#325880); #2902=MANIFOLD_SOLID_BREP('Body2',#325881); #2903=MANIFOLD_SOLID_BREP('Body2',#325882); #2904=MANIFOLD_SOLID_BREP('Body3',#325883); #2905=MANIFOLD_SOLID_BREP('Body3',#325884); #2906=MANIFOLD_SOLID_BREP('Body3',#325885); #2907=MANIFOLD_SOLID_BREP('Body3',#325886); #2908=MANIFOLD_SOLID_BREP('Body3',#325887); #2909=MANIFOLD_SOLID_BREP('Body3',#325888); #2910=MANIFOLD_SOLID_BREP('Body3',#325889); #2911=MANIFOLD_SOLID_BREP('Body3',#325890); #2912=MANIFOLD_SOLID_BREP('Body3',#325891); #2913=MANIFOLD_SOLID_BREP('Body3',#325892); #2914=MANIFOLD_SOLID_BREP('Body3',#325893); #2915=MANIFOLD_SOLID_BREP('Body3',#325894); #2916=MANIFOLD_SOLID_BREP('Body3',#325895); #2917=MANIFOLD_SOLID_BREP('Body3',#325896); #2918=MANIFOLD_SOLID_BREP('Body3',#325897); #2919=MANIFOLD_SOLID_BREP('Body3',#325898); #2920=MANIFOLD_SOLID_BREP('Body3',#325899); #2921=MANIFOLD_SOLID_BREP('Body3',#325900); #2922=MANIFOLD_SOLID_BREP('Body3',#325901); #2923=MANIFOLD_SOLID_BREP('Body3',#325902); #2924=MANIFOLD_SOLID_BREP('Body3',#325903); #2925=MANIFOLD_SOLID_BREP('Body3',#325904); #2926=MANIFOLD_SOLID_BREP('Body3',#325905); #2927=MANIFOLD_SOLID_BREP('Body3',#325906); #2928=MANIFOLD_SOLID_BREP('Body3',#325907); #2929=MANIFOLD_SOLID_BREP('Body3',#325908); #2930=MANIFOLD_SOLID_BREP('Body3',#325909); #2931=MANIFOLD_SOLID_BREP('Body3',#325910); #2932=MANIFOLD_SOLID_BREP('Body3',#325911); #2933=MANIFOLD_SOLID_BREP('Body3',#325912); #2934=MANIFOLD_SOLID_BREP('Body3',#325913); #2935=MANIFOLD_SOLID_BREP('Body3',#325914); #2936=MANIFOLD_SOLID_BREP('Body3',#325915); #2937=MANIFOLD_SOLID_BREP('Body3',#325916); #2938=MANIFOLD_SOLID_BREP('Body3',#325917); #2939=MANIFOLD_SOLID_BREP('Body3',#325918); #2940=MANIFOLD_SOLID_BREP('Body3',#325919); #2941=MANIFOLD_SOLID_BREP('Body3',#325920); #2942=MANIFOLD_SOLID_BREP('Body3',#325921); #2943=MANIFOLD_SOLID_BREP('Body3',#325922); #2944=MANIFOLD_SOLID_BREP('Body3',#325923); #2945=MANIFOLD_SOLID_BREP('Body3',#325924); #2946=MANIFOLD_SOLID_BREP('Body3',#325925); #2947=MANIFOLD_SOLID_BREP('Body3',#325926); #2948=MANIFOLD_SOLID_BREP('Body3',#325927); #2949=MANIFOLD_SOLID_BREP('Body3',#325928); #2950=MANIFOLD_SOLID_BREP('Body3',#325929); #2951=MANIFOLD_SOLID_BREP('Body3',#325930); #2952=MANIFOLD_SOLID_BREP('Body3',#325931); #2953=MANIFOLD_SOLID_BREP('Body3',#325932); #2954=MANIFOLD_SOLID_BREP('Body3',#325933); #2955=MANIFOLD_SOLID_BREP('Body3',#325934); #2956=MANIFOLD_SOLID_BREP('Body3',#325935); #2957=MANIFOLD_SOLID_BREP('Body3',#325936); #2958=MANIFOLD_SOLID_BREP('Body3',#325937); #2959=MANIFOLD_SOLID_BREP('Body3',#325938); #2960=MANIFOLD_SOLID_BREP('Body3',#325939); #2961=MANIFOLD_SOLID_BREP('Body3',#325940); #2962=MANIFOLD_SOLID_BREP('Body3',#325941); #2963=MANIFOLD_SOLID_BREP('Body3',#325942); #2964=MANIFOLD_SOLID_BREP('Body3',#325943); #2965=MANIFOLD_SOLID_BREP('Body3',#325944); #2966=MANIFOLD_SOLID_BREP('Body3',#325945); #2967=MANIFOLD_SOLID_BREP('Body3',#325946); #2968=MANIFOLD_SOLID_BREP('Body3',#325947); #2969=MANIFOLD_SOLID_BREP('Body3',#325948); #2970=MANIFOLD_SOLID_BREP('Body3',#325949); #2971=MANIFOLD_SOLID_BREP('Body3',#325950); #2972=MANIFOLD_SOLID_BREP('Body3',#325951); #2973=MANIFOLD_SOLID_BREP('Body3',#325952); #2974=MANIFOLD_SOLID_BREP('Body3',#325953); #2975=MANIFOLD_SOLID_BREP('Body3',#325954); #2976=MANIFOLD_SOLID_BREP('Body3',#325955); #2977=MANIFOLD_SOLID_BREP('Body3',#325956); #2978=MANIFOLD_SOLID_BREP('Body3',#325957); #2979=MANIFOLD_SOLID_BREP('Body3',#325958); #2980=MANIFOLD_SOLID_BREP('Body3',#325959); #2981=MANIFOLD_SOLID_BREP('Body3',#325960); #2982=MANIFOLD_SOLID_BREP('Body3',#325961); #2983=MANIFOLD_SOLID_BREP('Body3',#325962); #2984=MANIFOLD_SOLID_BREP('Body3',#325963); #2985=MANIFOLD_SOLID_BREP('Body3',#325964); #2986=MANIFOLD_SOLID_BREP('Body3',#325965); #2987=MANIFOLD_SOLID_BREP('Body3',#325966); #2988=MANIFOLD_SOLID_BREP('Body3',#325967); #2989=MANIFOLD_SOLID_BREP('Body3',#325968); #2990=MANIFOLD_SOLID_BREP('Body3',#325969); #2991=MANIFOLD_SOLID_BREP('Body3',#325970); #2992=MANIFOLD_SOLID_BREP('Body3',#325971); #2993=MANIFOLD_SOLID_BREP('Body3',#325972); #2994=MANIFOLD_SOLID_BREP('Body3',#325973); #2995=MANIFOLD_SOLID_BREP('Body3',#325974); #2996=MANIFOLD_SOLID_BREP('Body3',#325975); #2997=MANIFOLD_SOLID_BREP('Body3',#325976); #2998=MANIFOLD_SOLID_BREP('Body3',#325977); #2999=MANIFOLD_SOLID_BREP('Body1',#325978); #3000=MANIFOLD_SOLID_BREP('Body1',#325979); #3001=MANIFOLD_SOLID_BREP('Body1',#325980); #3002=MANIFOLD_SOLID_BREP('Body1',#325981); #3003=MANIFOLD_SOLID_BREP('Body2',#325982); #3004=MANIFOLD_SOLID_BREP('Body3',#325983); #3005=MANIFOLD_SOLID_BREP('Body4',#325984); #3006=MANIFOLD_SOLID_BREP('Body5',#325985); #3007=MANIFOLD_SOLID_BREP('Body1',#325986); #3008=MANIFOLD_SOLID_BREP('Body1',#325987); #3009=MANIFOLD_SOLID_BREP('Body1',#325988); #3010=MANIFOLD_SOLID_BREP('Body1',#325989); #3011=MANIFOLD_SOLID_BREP('Body1',#325990); #3012=MANIFOLD_SOLID_BREP('Body1',#325991); #3013=MANIFOLD_SOLID_BREP('Body1',#325992); #3014=MANIFOLD_SOLID_BREP('Body1',#325993); #3015=MANIFOLD_SOLID_BREP('Body1',#325994); #3016=MANIFOLD_SOLID_BREP('Body1',#325995); #3017=MANIFOLD_SOLID_BREP('Body1',#325996); #3018=MANIFOLD_SOLID_BREP('Body1',#325997); #3019=MANIFOLD_SOLID_BREP('Body1',#325998); #3020=MANIFOLD_SOLID_BREP('Body1',#325999); #3021=MANIFOLD_SOLID_BREP('Body1',#326000); #3022=MANIFOLD_SOLID_BREP('Body1',#326001); #3023=MANIFOLD_SOLID_BREP('Body1',#326002); #3024=MANIFOLD_SOLID_BREP('Body1',#326003); #3025=MANIFOLD_SOLID_BREP('Body1',#326004); #3026=MANIFOLD_SOLID_BREP('Body1',#326005); #3027=MANIFOLD_SOLID_BREP('Body2',#326006); #3028=MANIFOLD_SOLID_BREP('Body3',#326007); #3029=MANIFOLD_SOLID_BREP('Body1',#326008); #3030=MANIFOLD_SOLID_BREP('Body2',#326009); #3031=MANIFOLD_SOLID_BREP('Body3',#326010); #3032=MANIFOLD_SOLID_BREP('Body1',#326011); #3033=MANIFOLD_SOLID_BREP('Body2',#326012); #3034=MANIFOLD_SOLID_BREP('Body3',#326013); #3035=MANIFOLD_SOLID_BREP('Body1',#326014); #3036=MANIFOLD_SOLID_BREP('Body2',#326015); #3037=MANIFOLD_SOLID_BREP('Body3',#326016); #3038=MANIFOLD_SOLID_BREP('Body4',#326017); #3039=MANIFOLD_SOLID_BREP('Body5',#326018); #3040=MANIFOLD_SOLID_BREP('Body6',#326019); #3041=MANIFOLD_SOLID_BREP('Body7',#326020); #3042=MANIFOLD_SOLID_BREP('Body8',#326021); #3043=MANIFOLD_SOLID_BREP('Body9',#326022); #3044=MANIFOLD_SOLID_BREP('Body10',#326023); #3045=MANIFOLD_SOLID_BREP('Body11',#326024); #3046=MANIFOLD_SOLID_BREP('Body12',#326025); #3047=MANIFOLD_SOLID_BREP('Body13',#326026); #3048=MANIFOLD_SOLID_BREP('Body1',#326027); #3049=MANIFOLD_SOLID_BREP('Body2',#326028); #3050=MANIFOLD_SOLID_BREP('Body3',#326029); #3051=MANIFOLD_SOLID_BREP('Body4',#326030); #3052=MANIFOLD_SOLID_BREP('Body5',#326031); #3053=MANIFOLD_SOLID_BREP('Body6',#326032); #3054=MANIFOLD_SOLID_BREP('Body7',#326033); #3055=MANIFOLD_SOLID_BREP('Body8',#326034); #3056=MANIFOLD_SOLID_BREP('Body9',#326035); #3057=MANIFOLD_SOLID_BREP('Body10',#326036); #3058=MANIFOLD_SOLID_BREP('Body11',#326037); #3059=MANIFOLD_SOLID_BREP('Body12',#326038); #3060=MANIFOLD_SOLID_BREP('Body13',#326039); #3061=MANIFOLD_SOLID_BREP('Body14',#326040); #3062=MANIFOLD_SOLID_BREP('Body15',#326041); #3063=MANIFOLD_SOLID_BREP('Body16',#326042); #3064=MANIFOLD_SOLID_BREP('Body17',#326043); #3065=MANIFOLD_SOLID_BREP('Body18',#326044); #3066=MANIFOLD_SOLID_BREP('Body1',#326045); #3067=MANIFOLD_SOLID_BREP('Body2',#326046); #3068=MANIFOLD_SOLID_BREP('Body3',#326047); #3069=MANIFOLD_SOLID_BREP('Body4',#326048); #3070=MANIFOLD_SOLID_BREP('Body5',#326049); #3071=MANIFOLD_SOLID_BREP('Body6',#326050); #3072=MANIFOLD_SOLID_BREP('Body7',#326051); #3073=MANIFOLD_SOLID_BREP('Body8',#326052); #3074=MANIFOLD_SOLID_BREP('Body9',#326053); #3075=MANIFOLD_SOLID_BREP('Body10',#326054); #3076=MANIFOLD_SOLID_BREP('Body11',#326055); #3077=MANIFOLD_SOLID_BREP('Body12',#326056); #3078=MANIFOLD_SOLID_BREP('Body13',#326057); #3079=MANIFOLD_SOLID_BREP('Body14',#326058); #3080=MANIFOLD_SOLID_BREP('Body15',#326059); #3081=MANIFOLD_SOLID_BREP('Body16',#326060); #3082=MANIFOLD_SOLID_BREP('Body17',#326061); #3083=MANIFOLD_SOLID_BREP('Body18',#326062); #3084=MANIFOLD_SOLID_BREP('Body1',#326063); #3085=MANIFOLD_SOLID_BREP('Body2',#326064); #3086=MANIFOLD_SOLID_BREP('Body3',#326065); #3087=MANIFOLD_SOLID_BREP('Body4',#326066); #3088=MANIFOLD_SOLID_BREP('Body5',#326067); #3089=MANIFOLD_SOLID_BREP('Body1',#326068); #3090=MANIFOLD_SOLID_BREP('Body2',#326069); #3091=MANIFOLD_SOLID_BREP('Body3',#326070); #3092=MANIFOLD_SOLID_BREP('Body1',#326071); #3093=MANIFOLD_SOLID_BREP('Body2',#326072); #3094=MANIFOLD_SOLID_BREP('Body3',#326073); #3095=MANIFOLD_SOLID_BREP('Body4',#326074); #3096=MANIFOLD_SOLID_BREP('Body5',#326075); #3097=MANIFOLD_SOLID_BREP('Body6',#326076); #3098=MANIFOLD_SOLID_BREP('Body7',#326077); #3099=MANIFOLD_SOLID_BREP('Body8',#326078); #3100=MANIFOLD_SOLID_BREP('Body9',#326079); #3101=MANIFOLD_SOLID_BREP('Body10',#326080); #3102=MANIFOLD_SOLID_BREP('Body11',#326081); #3103=MANIFOLD_SOLID_BREP('Body12',#326082); #3104=MANIFOLD_SOLID_BREP('Body13',#326083); #3105=MANIFOLD_SOLID_BREP('Body14',#326084); #3106=MANIFOLD_SOLID_BREP('Body15',#326085); #3107=MANIFOLD_SOLID_BREP('Body16',#326086); #3108=MANIFOLD_SOLID_BREP('Body17',#326087); #3109=MANIFOLD_SOLID_BREP('Body18',#326088); #3110=MANIFOLD_SOLID_BREP('Body19',#326089); #3111=MANIFOLD_SOLID_BREP('Body20',#326090); #3112=MANIFOLD_SOLID_BREP('Body21',#326091); #3113=MANIFOLD_SOLID_BREP('Body22',#326092); #3114=MANIFOLD_SOLID_BREP('Body23',#326093); #3115=MANIFOLD_SOLID_BREP('Body24',#326094); #3116=MANIFOLD_SOLID_BREP('Body25',#326095); #3117=MANIFOLD_SOLID_BREP('Body26',#326096); #3118=MANIFOLD_SOLID_BREP('Body27',#326097); #3119=MANIFOLD_SOLID_BREP('Body28',#326098); #3120=MANIFOLD_SOLID_BREP('Body29',#326099); #3121=MANIFOLD_SOLID_BREP('Body30',#326100); #3122=MANIFOLD_SOLID_BREP('Body31',#326101); #3123=MANIFOLD_SOLID_BREP('Body32',#326102); #3124=MANIFOLD_SOLID_BREP('Body33',#326103); #3125=MANIFOLD_SOLID_BREP('Body34',#326104); #3126=MANIFOLD_SOLID_BREP('Body35',#326105); #3127=MANIFOLD_SOLID_BREP('Body36',#326106); #3128=MANIFOLD_SOLID_BREP('Body37',#326107); #3129=MANIFOLD_SOLID_BREP('Body38',#326108); #3130=MANIFOLD_SOLID_BREP('Body39',#326109); #3131=MANIFOLD_SOLID_BREP('Body40',#326110); #3132=MANIFOLD_SOLID_BREP('Body41',#326111); #3133=MANIFOLD_SOLID_BREP('Body42',#326112); #3134=MANIFOLD_SOLID_BREP('Body43',#326113); #3135=MANIFOLD_SOLID_BREP('Body44',#326114); #3136=MANIFOLD_SOLID_BREP('Body45',#326115); #3137=MANIFOLD_SOLID_BREP('Body46',#326116); #3138=MANIFOLD_SOLID_BREP('Body47',#326117); #3139=MANIFOLD_SOLID_BREP('Body48',#326118); #3140=MANIFOLD_SOLID_BREP('Body49',#326119); #3141=MANIFOLD_SOLID_BREP('Body50',#326120); #3142=MANIFOLD_SOLID_BREP('Body1',#326121); #3143=MANIFOLD_SOLID_BREP('Body2',#326122); #3144=MANIFOLD_SOLID_BREP('Body3',#326123); #3145=MANIFOLD_SOLID_BREP('Body1',#326124); #3146=MANIFOLD_SOLID_BREP('Body2',#326125); #3147=MANIFOLD_SOLID_BREP('Body3',#326126); #3148=MANIFOLD_SOLID_BREP('Body4',#326127); #3149=MANIFOLD_SOLID_BREP('Body5',#326128); #3150=MANIFOLD_SOLID_BREP('Body6',#326129); #3151=MANIFOLD_SOLID_BREP('Body1',#326130); #3152=MANIFOLD_SOLID_BREP('Body2',#326131); #3153=MANIFOLD_SOLID_BREP('Body3',#326132); #3154=MANIFOLD_SOLID_BREP('Body4',#326133); #3155=MANIFOLD_SOLID_BREP('Body5',#326134); #3156=MANIFOLD_SOLID_BREP('Body6',#326135); #3157=MANIFOLD_SOLID_BREP('Body7',#326136); #3158=MANIFOLD_SOLID_BREP('Body8',#326137); #3159=MANIFOLD_SOLID_BREP('Body9',#326138); #3160=MANIFOLD_SOLID_BREP('Body1',#326139); #3161=MANIFOLD_SOLID_BREP('Body2',#326140); #3162=MANIFOLD_SOLID_BREP('Body3',#326141); #3163=MANIFOLD_SOLID_BREP('Body4',#326142); #3164=MANIFOLD_SOLID_BREP('Body5',#326143); #3165=MANIFOLD_SOLID_BREP('Body6',#326144); #3166=MANIFOLD_SOLID_BREP('Body1',#326145); #3167=MANIFOLD_SOLID_BREP('Body2',#326146); #3168=MANIFOLD_SOLID_BREP('Body3',#326147); #3169=FACE_BOUND('',#38006,.T.); #3170=FACE_BOUND('',#38007,.T.); #3171=FACE_BOUND('',#38008,.T.); #3172=FACE_BOUND('',#38009,.T.); #3173=FACE_BOUND('',#38010,.T.); #3174=FACE_BOUND('',#38011,.T.); #3175=FACE_BOUND('',#38012,.T.); #3176=FACE_BOUND('',#38013,.T.); #3177=FACE_BOUND('',#38014,.T.); #3178=FACE_BOUND('',#38015,.T.); #3179=FACE_BOUND('',#38016,.T.); #3180=FACE_BOUND('',#38017,.T.); #3181=FACE_BOUND('',#38018,.T.); #3182=FACE_BOUND('',#38019,.T.); #3183=FACE_BOUND('',#38020,.T.); #3184=FACE_BOUND('',#38021,.T.); #3185=FACE_BOUND('',#38022,.T.); #3186=FACE_BOUND('',#38023,.T.); #3187=FACE_BOUND('',#38024,.T.); #3188=FACE_BOUND('',#38025,.T.); #3189=FACE_BOUND('',#38026,.T.); #3190=FACE_BOUND('',#38027,.T.); #3191=FACE_BOUND('',#38028,.T.); #3192=FACE_BOUND('',#38029,.T.); #3193=FACE_BOUND('',#38030,.T.); #3194=FACE_BOUND('',#38031,.T.); #3195=FACE_BOUND('',#38032,.T.); #3196=FACE_BOUND('',#38033,.T.); #3197=FACE_BOUND('',#38034,.T.); #3198=FACE_BOUND('',#38035,.T.); #3199=FACE_BOUND('',#38036,.T.); #3200=FACE_BOUND('',#38037,.T.); #3201=FACE_BOUND('',#38038,.T.); #3202=FACE_BOUND('',#38039,.T.); #3203=FACE_BOUND('',#38040,.T.); #3204=FACE_BOUND('',#38041,.T.); #3205=FACE_BOUND('',#38042,.T.); #3206=FACE_BOUND('',#38043,.T.); #3207=FACE_BOUND('',#38044,.T.); #3208=FACE_BOUND('',#38045,.T.); #3209=FACE_BOUND('',#38046,.T.); #3210=FACE_BOUND('',#38047,.T.); #3211=FACE_BOUND('',#38048,.T.); #3212=FACE_BOUND('',#38049,.T.); #3213=FACE_BOUND('',#38050,.T.); #3214=FACE_BOUND('',#38051,.T.); #3215=FACE_BOUND('',#38052,.T.); #3216=FACE_BOUND('',#38053,.T.); #3217=FACE_BOUND('',#38054,.T.); #3218=FACE_BOUND('',#38055,.T.); #3219=FACE_BOUND('',#38056,.T.); #3220=FACE_BOUND('',#38057,.T.); #3221=FACE_BOUND('',#38058,.T.); #3222=FACE_BOUND('',#38059,.T.); #3223=FACE_BOUND('',#38060,.T.); #3224=FACE_BOUND('',#38061,.T.); #3225=FACE_BOUND('',#38062,.T.); #3226=FACE_BOUND('',#38063,.T.); #3227=FACE_BOUND('',#38064,.T.); #3228=FACE_BOUND('',#38065,.T.); #3229=FACE_BOUND('',#38066,.T.); #3230=FACE_BOUND('',#38067,.T.); #3231=FACE_BOUND('',#38068,.T.); #3232=FACE_BOUND('',#38069,.T.); #3233=FACE_BOUND('',#38070,.T.); #3234=FACE_BOUND('',#38071,.T.); #3235=FACE_BOUND('',#38072,.T.); #3236=FACE_BOUND('',#38073,.T.); #3237=FACE_BOUND('',#38074,.T.); #3238=FACE_BOUND('',#38075,.T.); #3239=FACE_BOUND('',#38076,.T.); #3240=FACE_BOUND('',#38077,.T.); #3241=FACE_BOUND('',#38078,.T.); #3242=FACE_BOUND('',#38079,.T.); #3243=FACE_BOUND('',#38080,.T.); #3244=FACE_BOUND('',#38081,.T.); #3245=FACE_BOUND('',#38082,.T.); #3246=FACE_BOUND('',#38083,.T.); #3247=FACE_BOUND('',#38084,.T.); #3248=FACE_BOUND('',#38085,.T.); #3249=FACE_BOUND('',#38086,.T.); #3250=FACE_BOUND('',#38087,.T.); #3251=FACE_BOUND('',#38088,.T.); #3252=FACE_BOUND('',#38089,.T.); #3253=FACE_BOUND('',#38090,.T.); #3254=FACE_BOUND('',#38091,.T.); #3255=FACE_BOUND('',#38092,.T.); #3256=FACE_BOUND('',#38093,.T.); #3257=FACE_BOUND('',#38094,.T.); #3258=FACE_BOUND('',#38095,.T.); #3259=FACE_BOUND('',#38096,.T.); #3260=FACE_BOUND('',#38097,.T.); #3261=FACE_BOUND('',#38098,.T.); #3262=FACE_BOUND('',#38099,.T.); #3263=FACE_BOUND('',#38100,.T.); #3264=FACE_BOUND('',#38101,.T.); #3265=FACE_BOUND('',#38102,.T.); #3266=FACE_BOUND('',#38103,.T.); #3267=FACE_BOUND('',#38104,.T.); #3268=FACE_BOUND('',#38105,.T.); #3269=FACE_BOUND('',#38106,.T.); #3270=FACE_BOUND('',#38107,.T.); #3271=FACE_BOUND('',#38108,.T.); #3272=FACE_BOUND('',#38109,.T.); #3273=FACE_BOUND('',#38110,.T.); #3274=FACE_BOUND('',#38111,.T.); #3275=FACE_BOUND('',#38112,.T.); #3276=FACE_BOUND('',#38113,.T.); #3277=FACE_BOUND('',#38114,.T.); #3278=FACE_BOUND('',#38115,.T.); #3279=FACE_BOUND('',#38116,.T.); #3280=FACE_BOUND('',#38117,.T.); #3281=FACE_BOUND('',#38118,.T.); #3282=FACE_BOUND('',#38119,.T.); #3283=FACE_BOUND('',#38120,.T.); #3284=FACE_BOUND('',#38121,.T.); #3285=FACE_BOUND('',#38122,.T.); #3286=FACE_BOUND('',#38123,.T.); #3287=FACE_BOUND('',#38124,.T.); #3288=FACE_BOUND('',#38125,.T.); #3289=FACE_BOUND('',#38126,.T.); #3290=FACE_BOUND('',#38127,.T.); #3291=FACE_BOUND('',#38128,.T.); #3292=FACE_BOUND('',#38129,.T.); #3293=FACE_BOUND('',#38130,.T.); #3294=FACE_BOUND('',#38131,.T.); #3295=FACE_BOUND('',#38132,.T.); #3296=FACE_BOUND('',#38133,.T.); #3297=FACE_BOUND('',#38134,.T.); #3298=FACE_BOUND('',#38135,.T.); #3299=FACE_BOUND('',#38136,.T.); #3300=FACE_BOUND('',#38137,.T.); #3301=FACE_BOUND('',#38138,.T.); #3302=FACE_BOUND('',#38139,.T.); #3303=FACE_BOUND('',#38140,.T.); #3304=FACE_BOUND('',#38141,.T.); #3305=FACE_BOUND('',#38142,.T.); #3306=FACE_BOUND('',#38143,.T.); #3307=FACE_BOUND('',#38144,.T.); #3308=FACE_BOUND('',#38145,.T.); #3309=FACE_BOUND('',#38146,.T.); #3310=FACE_BOUND('',#38147,.T.); #3311=FACE_BOUND('',#38148,.T.); #3312=FACE_BOUND('',#38149,.T.); #3313=FACE_BOUND('',#38150,.T.); #3314=FACE_BOUND('',#38151,.T.); #3315=FACE_BOUND('',#38152,.T.); #3316=FACE_BOUND('',#38153,.T.); #3317=FACE_BOUND('',#38154,.T.); #3318=FACE_BOUND('',#38155,.T.); #3319=FACE_BOUND('',#38156,.T.); #3320=FACE_BOUND('',#38157,.T.); #3321=FACE_BOUND('',#38158,.T.); #3322=FACE_BOUND('',#38159,.T.); #3323=FACE_BOUND('',#38160,.T.); #3324=FACE_BOUND('',#38161,.T.); #3325=FACE_BOUND('',#38162,.T.); #3326=FACE_BOUND('',#38163,.T.); #3327=FACE_BOUND('',#38164,.T.); #3328=FACE_BOUND('',#38165,.T.); #3329=FACE_BOUND('',#38166,.T.); #3330=FACE_BOUND('',#38167,.T.); #3331=FACE_BOUND('',#38168,.T.); #3332=FACE_BOUND('',#38169,.T.); #3333=FACE_BOUND('',#38170,.T.); #3334=FACE_BOUND('',#38171,.T.); #3335=FACE_BOUND('',#38172,.T.); #3336=FACE_BOUND('',#38173,.T.); #3337=FACE_BOUND('',#38174,.T.); #3338=FACE_BOUND('',#38175,.T.); #3339=FACE_BOUND('',#38176,.T.); #3340=FACE_BOUND('',#38177,.T.); #3341=FACE_BOUND('',#38178,.T.); #3342=FACE_BOUND('',#38179,.T.); #3343=FACE_BOUND('',#38180,.T.); #3344=FACE_BOUND('',#38181,.T.); #3345=FACE_BOUND('',#38182,.T.); #3346=FACE_BOUND('',#38183,.T.); #3347=FACE_BOUND('',#38184,.T.); #3348=FACE_BOUND('',#38185,.T.); #3349=FACE_BOUND('',#38186,.T.); #3350=FACE_BOUND('',#38187,.T.); #3351=FACE_BOUND('',#38188,.T.); #3352=FACE_BOUND('',#38189,.T.); #3353=FACE_BOUND('',#38190,.T.); #3354=FACE_BOUND('',#38191,.T.); #3355=FACE_BOUND('',#38192,.T.); #3356=FACE_BOUND('',#38193,.T.); #3357=FACE_BOUND('',#38194,.T.); #3358=FACE_BOUND('',#38195,.T.); #3359=FACE_BOUND('',#38196,.T.); #3360=FACE_BOUND('',#38197,.T.); #3361=FACE_BOUND('',#38198,.T.); #3362=FACE_BOUND('',#38199,.T.); #3363=FACE_BOUND('',#38200,.T.); #3364=FACE_BOUND('',#38201,.T.); #3365=FACE_BOUND('',#38202,.T.); #3366=FACE_BOUND('',#38203,.T.); #3367=FACE_BOUND('',#38204,.T.); #3368=FACE_BOUND('',#38205,.T.); #3369=FACE_BOUND('',#38206,.T.); #3370=FACE_BOUND('',#38207,.T.); #3371=FACE_BOUND('',#38208,.T.); #3372=FACE_BOUND('',#38209,.T.); #3373=FACE_BOUND('',#38210,.T.); #3374=FACE_BOUND('',#38211,.T.); #3375=FACE_BOUND('',#38212,.T.); #3376=FACE_BOUND('',#38213,.T.); #3377=FACE_BOUND('',#38214,.T.); #3378=FACE_BOUND('',#38215,.T.); #3379=FACE_BOUND('',#38216,.T.); #3380=FACE_BOUND('',#38217,.T.); #3381=FACE_BOUND('',#38218,.T.); #3382=FACE_BOUND('',#38219,.T.); #3383=FACE_BOUND('',#38220,.T.); #3384=FACE_BOUND('',#38221,.T.); #3385=FACE_BOUND('',#38222,.T.); #3386=FACE_BOUND('',#38223,.T.); #3387=FACE_BOUND('',#38224,.T.); #3388=FACE_BOUND('',#38225,.T.); #3389=FACE_BOUND('',#38226,.T.); #3390=FACE_BOUND('',#38227,.T.); #3391=FACE_BOUND('',#38228,.T.); #3392=FACE_BOUND('',#38229,.T.); #3393=FACE_BOUND('',#38230,.T.); #3394=FACE_BOUND('',#38231,.T.); #3395=FACE_BOUND('',#38232,.T.); #3396=FACE_BOUND('',#38233,.T.); #3397=FACE_BOUND('',#38234,.T.); #3398=FACE_BOUND('',#38235,.T.); #3399=FACE_BOUND('',#38236,.T.); #3400=FACE_BOUND('',#38237,.T.); #3401=FACE_BOUND('',#38238,.T.); #3402=FACE_BOUND('',#38239,.T.); #3403=FACE_BOUND('',#38240,.T.); #3404=FACE_BOUND('',#38241,.T.); #3405=FACE_BOUND('',#38242,.T.); #3406=FACE_BOUND('',#38243,.T.); #3407=FACE_BOUND('',#38244,.T.); #3408=FACE_BOUND('',#38245,.T.); #3409=FACE_BOUND('',#38246,.T.); #3410=FACE_BOUND('',#38247,.T.); #3411=FACE_BOUND('',#38248,.T.); #3412=FACE_BOUND('',#38249,.T.); #3413=FACE_BOUND('',#38250,.T.); #3414=FACE_BOUND('',#38251,.T.); #3415=FACE_BOUND('',#38252,.T.); #3416=FACE_BOUND('',#38253,.T.); #3417=FACE_BOUND('',#38254,.T.); #3418=FACE_BOUND('',#38255,.T.); #3419=FACE_BOUND('',#38256,.T.); #3420=FACE_BOUND('',#38257,.T.); #3421=FACE_BOUND('',#38258,.T.); #3422=FACE_BOUND('',#38259,.T.); #3423=FACE_BOUND('',#38260,.T.); #3424=FACE_BOUND('',#38261,.T.); #3425=FACE_BOUND('',#38262,.T.); #3426=FACE_BOUND('',#38263,.T.); #3427=FACE_BOUND('',#38264,.T.); #3428=FACE_BOUND('',#38265,.T.); #3429=FACE_BOUND('',#38266,.T.); #3430=FACE_BOUND('',#38267,.T.); #3431=FACE_BOUND('',#38268,.T.); #3432=FACE_BOUND('',#38269,.T.); #3433=FACE_BOUND('',#38270,.T.); #3434=FACE_BOUND('',#38271,.T.); #3435=FACE_BOUND('',#38272,.T.); #3436=FACE_BOUND('',#38273,.T.); #3437=FACE_BOUND('',#38274,.T.); #3438=FACE_BOUND('',#38275,.T.); #3439=FACE_BOUND('',#38276,.T.); #3440=FACE_BOUND('',#38277,.T.); #3441=FACE_BOUND('',#38278,.T.); #3442=FACE_BOUND('',#38279,.T.); #3443=FACE_BOUND('',#38280,.T.); #3444=FACE_BOUND('',#38281,.T.); #3445=FACE_BOUND('',#38282,.T.); #3446=FACE_BOUND('',#38283,.T.); #3447=FACE_BOUND('',#38284,.T.); #3448=FACE_BOUND('',#38285,.T.); #3449=FACE_BOUND('',#38286,.T.); #3450=FACE_BOUND('',#38287,.T.); #3451=FACE_BOUND('',#38288,.T.); #3452=FACE_BOUND('',#38289,.T.); #3453=FACE_BOUND('',#38290,.T.); #3454=FACE_BOUND('',#38291,.T.); #3455=FACE_BOUND('',#38292,.T.); #3456=FACE_BOUND('',#38293,.T.); #3457=FACE_BOUND('',#38294,.T.); #3458=FACE_BOUND('',#38295,.T.); #3459=FACE_BOUND('',#38296,.T.); #3460=FACE_BOUND('',#38297,.T.); #3461=FACE_BOUND('',#38298,.T.); #3462=FACE_BOUND('',#38299,.T.); #3463=FACE_BOUND('',#38300,.T.); #3464=FACE_BOUND('',#38301,.T.); #3465=FACE_BOUND('',#38302,.T.); #3466=FACE_BOUND('',#38303,.T.); #3467=FACE_BOUND('',#38304,.T.); #3468=FACE_BOUND('',#38305,.T.); #3469=FACE_BOUND('',#38306,.T.); #3470=FACE_BOUND('',#38307,.T.); #3471=FACE_BOUND('',#38308,.T.); #3472=FACE_BOUND('',#38309,.T.); #3473=FACE_BOUND('',#38310,.T.); #3474=FACE_BOUND('',#38311,.T.); #3475=FACE_BOUND('',#38312,.T.); #3476=FACE_BOUND('',#38313,.T.); #3477=FACE_BOUND('',#38314,.T.); #3478=FACE_BOUND('',#38315,.T.); #3479=FACE_BOUND('',#38316,.T.); #3480=FACE_BOUND('',#38317,.T.); #3481=FACE_BOUND('',#38318,.T.); #3482=FACE_BOUND('',#38319,.T.); #3483=FACE_BOUND('',#38320,.T.); #3484=FACE_BOUND('',#38321,.T.); #3485=FACE_BOUND('',#38322,.T.); #3486=FACE_BOUND('',#38324,.T.); #3487=FACE_BOUND('',#38325,.T.); #3488=FACE_BOUND('',#38326,.T.); #3489=FACE_BOUND('',#38327,.T.); #3490=FACE_BOUND('',#38328,.T.); #3491=FACE_BOUND('',#38329,.T.); #3492=FACE_BOUND('',#38330,.T.); #3493=FACE_BOUND('',#38331,.T.); #3494=FACE_BOUND('',#38332,.T.); #3495=FACE_BOUND('',#38333,.T.); #3496=FACE_BOUND('',#38334,.T.); #3497=FACE_BOUND('',#38335,.T.); #3498=FACE_BOUND('',#38336,.T.); #3499=FACE_BOUND('',#38337,.T.); #3500=FACE_BOUND('',#38338,.T.); #3501=FACE_BOUND('',#38339,.T.); #3502=FACE_BOUND('',#38340,.T.); #3503=FACE_BOUND('',#38341,.T.); #3504=FACE_BOUND('',#38342,.T.); #3505=FACE_BOUND('',#38343,.T.); #3506=FACE_BOUND('',#38344,.T.); #3507=FACE_BOUND('',#38345,.T.); #3508=FACE_BOUND('',#38346,.T.); #3509=FACE_BOUND('',#38347,.T.); #3510=FACE_BOUND('',#38348,.T.); #3511=FACE_BOUND('',#38349,.T.); #3512=FACE_BOUND('',#38350,.T.); #3513=FACE_BOUND('',#38351,.T.); #3514=FACE_BOUND('',#38352,.T.); #3515=FACE_BOUND('',#38353,.T.); #3516=FACE_BOUND('',#38354,.T.); #3517=FACE_BOUND('',#38355,.T.); #3518=FACE_BOUND('',#38356,.T.); #3519=FACE_BOUND('',#38357,.T.); #3520=FACE_BOUND('',#38358,.T.); #3521=FACE_BOUND('',#38359,.T.); #3522=FACE_BOUND('',#38360,.T.); #3523=FACE_BOUND('',#38361,.T.); #3524=FACE_BOUND('',#38362,.T.); #3525=FACE_BOUND('',#38363,.T.); #3526=FACE_BOUND('',#38364,.T.); #3527=FACE_BOUND('',#38365,.T.); #3528=FACE_BOUND('',#38366,.T.); #3529=FACE_BOUND('',#38367,.T.); #3530=FACE_BOUND('',#38368,.T.); #3531=FACE_BOUND('',#38369,.T.); #3532=FACE_BOUND('',#38370,.T.); #3533=FACE_BOUND('',#38371,.T.); #3534=FACE_BOUND('',#38372,.T.); #3535=FACE_BOUND('',#38373,.T.); #3536=FACE_BOUND('',#38374,.T.); #3537=FACE_BOUND('',#38375,.T.); #3538=FACE_BOUND('',#38376,.T.); #3539=FACE_BOUND('',#38377,.T.); #3540=FACE_BOUND('',#38378,.T.); #3541=FACE_BOUND('',#38379,.T.); #3542=FACE_BOUND('',#38380,.T.); #3543=FACE_BOUND('',#38381,.T.); #3544=FACE_BOUND('',#38382,.T.); #3545=FACE_BOUND('',#38383,.T.); #3546=FACE_BOUND('',#38384,.T.); #3547=FACE_BOUND('',#38385,.T.); #3548=FACE_BOUND('',#38386,.T.); #3549=FACE_BOUND('',#38387,.T.); #3550=FACE_BOUND('',#38388,.T.); #3551=FACE_BOUND('',#38389,.T.); #3552=FACE_BOUND('',#38390,.T.); #3553=FACE_BOUND('',#38391,.T.); #3554=FACE_BOUND('',#38392,.T.); #3555=FACE_BOUND('',#38393,.T.); #3556=FACE_BOUND('',#38394,.T.); #3557=FACE_BOUND('',#38395,.T.); #3558=FACE_BOUND('',#38396,.T.); #3559=FACE_BOUND('',#38397,.T.); #3560=FACE_BOUND('',#38398,.T.); #3561=FACE_BOUND('',#38399,.T.); #3562=FACE_BOUND('',#38400,.T.); #3563=FACE_BOUND('',#38401,.T.); #3564=FACE_BOUND('',#38402,.T.); #3565=FACE_BOUND('',#38403,.T.); #3566=FACE_BOUND('',#38404,.T.); #3567=FACE_BOUND('',#38405,.T.); #3568=FACE_BOUND('',#38406,.T.); #3569=FACE_BOUND('',#38407,.T.); #3570=FACE_BOUND('',#38408,.T.); #3571=FACE_BOUND('',#38409,.T.); #3572=FACE_BOUND('',#38410,.T.); #3573=FACE_BOUND('',#38411,.T.); #3574=FACE_BOUND('',#38412,.T.); #3575=FACE_BOUND('',#38413,.T.); #3576=FACE_BOUND('',#38414,.T.); #3577=FACE_BOUND('',#38415,.T.); #3578=FACE_BOUND('',#38416,.T.); #3579=FACE_BOUND('',#38417,.T.); #3580=FACE_BOUND('',#38418,.T.); #3581=FACE_BOUND('',#38419,.T.); #3582=FACE_BOUND('',#38420,.T.); #3583=FACE_BOUND('',#38421,.T.); #3584=FACE_BOUND('',#38422,.T.); #3585=FACE_BOUND('',#38423,.T.); #3586=FACE_BOUND('',#38424,.T.); #3587=FACE_BOUND('',#38425,.T.); #3588=FACE_BOUND('',#38426,.T.); #3589=FACE_BOUND('',#38427,.T.); #3590=FACE_BOUND('',#38428,.T.); #3591=FACE_BOUND('',#38429,.T.); #3592=FACE_BOUND('',#38430,.T.); #3593=FACE_BOUND('',#38431,.T.); #3594=FACE_BOUND('',#38432,.T.); #3595=FACE_BOUND('',#38433,.T.); #3596=FACE_BOUND('',#38434,.T.); #3597=FACE_BOUND('',#38435,.T.); #3598=FACE_BOUND('',#38436,.T.); #3599=FACE_BOUND('',#38437,.T.); #3600=FACE_BOUND('',#38438,.T.); #3601=FACE_BOUND('',#38439,.T.); #3602=FACE_BOUND('',#38440,.T.); #3603=FACE_BOUND('',#38441,.T.); #3604=FACE_BOUND('',#38442,.T.); #3605=FACE_BOUND('',#38443,.T.); #3606=FACE_BOUND('',#38444,.T.); #3607=FACE_BOUND('',#38445,.T.); #3608=FACE_BOUND('',#38446,.T.); #3609=FACE_BOUND('',#38447,.T.); #3610=FACE_BOUND('',#38448,.T.); #3611=FACE_BOUND('',#38449,.T.); #3612=FACE_BOUND('',#38450,.T.); #3613=FACE_BOUND('',#38451,.T.); #3614=FACE_BOUND('',#38452,.T.); #3615=FACE_BOUND('',#38453,.T.); #3616=FACE_BOUND('',#38454,.T.); #3617=FACE_BOUND('',#38455,.T.); #3618=FACE_BOUND('',#38456,.T.); #3619=FACE_BOUND('',#38457,.T.); #3620=FACE_BOUND('',#38458,.T.); #3621=FACE_BOUND('',#38459,.T.); #3622=FACE_BOUND('',#38460,.T.); #3623=FACE_BOUND('',#38461,.T.); #3624=FACE_BOUND('',#38462,.T.); #3625=FACE_BOUND('',#38463,.T.); #3626=FACE_BOUND('',#38464,.T.); #3627=FACE_BOUND('',#38465,.T.); #3628=FACE_BOUND('',#38466,.T.); #3629=FACE_BOUND('',#38467,.T.); #3630=FACE_BOUND('',#38468,.T.); #3631=FACE_BOUND('',#38469,.T.); #3632=FACE_BOUND('',#38470,.T.); #3633=FACE_BOUND('',#38471,.T.); #3634=FACE_BOUND('',#38472,.T.); #3635=FACE_BOUND('',#38473,.T.); #3636=FACE_BOUND('',#38474,.T.); #3637=FACE_BOUND('',#38475,.T.); #3638=FACE_BOUND('',#38476,.T.); #3639=FACE_BOUND('',#38477,.T.); #3640=FACE_BOUND('',#38478,.T.); #3641=FACE_BOUND('',#38479,.T.); #3642=FACE_BOUND('',#38480,.T.); #3643=FACE_BOUND('',#38481,.T.); #3644=FACE_BOUND('',#38482,.T.); #3645=FACE_BOUND('',#38483,.T.); #3646=FACE_BOUND('',#38484,.T.); #3647=FACE_BOUND('',#38485,.T.); #3648=FACE_BOUND('',#38486,.T.); #3649=FACE_BOUND('',#38487,.T.); #3650=FACE_BOUND('',#38488,.T.); #3651=FACE_BOUND('',#38489,.T.); #3652=FACE_BOUND('',#38490,.T.); #3653=FACE_BOUND('',#38491,.T.); #3654=FACE_BOUND('',#38492,.T.); #3655=FACE_BOUND('',#38493,.T.); #3656=FACE_BOUND('',#38494,.T.); #3657=FACE_BOUND('',#38495,.T.); #3658=FACE_BOUND('',#38496,.T.); #3659=FACE_BOUND('',#38497,.T.); #3660=FACE_BOUND('',#38498,.T.); #3661=FACE_BOUND('',#38499,.T.); #3662=FACE_BOUND('',#38500,.T.); #3663=FACE_BOUND('',#38501,.T.); #3664=FACE_BOUND('',#38502,.T.); #3665=FACE_BOUND('',#38503,.T.); #3666=FACE_BOUND('',#38504,.T.); #3667=FACE_BOUND('',#38505,.T.); #3668=FACE_BOUND('',#38506,.T.); #3669=FACE_BOUND('',#38507,.T.); #3670=FACE_BOUND('',#38508,.T.); #3671=FACE_BOUND('',#38509,.T.); #3672=FACE_BOUND('',#38510,.T.); #3673=FACE_BOUND('',#38511,.T.); #3674=FACE_BOUND('',#38512,.T.); #3675=FACE_BOUND('',#38513,.T.); #3676=FACE_BOUND('',#38514,.T.); #3677=FACE_BOUND('',#38515,.T.); #3678=FACE_BOUND('',#38516,.T.); #3679=FACE_BOUND('',#38517,.T.); #3680=FACE_BOUND('',#38518,.T.); #3681=FACE_BOUND('',#38519,.T.); #3682=FACE_BOUND('',#38520,.T.); #3683=FACE_BOUND('',#38521,.T.); #3684=FACE_BOUND('',#38522,.T.); #3685=FACE_BOUND('',#38523,.T.); #3686=FACE_BOUND('',#38524,.T.); #3687=FACE_BOUND('',#38525,.T.); #3688=FACE_BOUND('',#38526,.T.); #3689=FACE_BOUND('',#38527,.T.); #3690=FACE_BOUND('',#38528,.T.); #3691=FACE_BOUND('',#38529,.T.); #3692=FACE_BOUND('',#38530,.T.); #3693=FACE_BOUND('',#38531,.T.); #3694=FACE_BOUND('',#38532,.T.); #3695=FACE_BOUND('',#38533,.T.); #3696=FACE_BOUND('',#38534,.T.); #3697=FACE_BOUND('',#38535,.T.); #3698=FACE_BOUND('',#38536,.T.); #3699=FACE_BOUND('',#38537,.T.); #3700=FACE_BOUND('',#38538,.T.); #3701=FACE_BOUND('',#38539,.T.); #3702=FACE_BOUND('',#38540,.T.); #3703=FACE_BOUND('',#38541,.T.); #3704=FACE_BOUND('',#38542,.T.); #3705=FACE_BOUND('',#38543,.T.); #3706=FACE_BOUND('',#38544,.T.); #3707=FACE_BOUND('',#38545,.T.); #3708=FACE_BOUND('',#38546,.T.); #3709=FACE_BOUND('',#38547,.T.); #3710=FACE_BOUND('',#38548,.T.); #3711=FACE_BOUND('',#38549,.T.); #3712=FACE_BOUND('',#38550,.T.); #3713=FACE_BOUND('',#38551,.T.); #3714=FACE_BOUND('',#38552,.T.); #3715=FACE_BOUND('',#38553,.T.); #3716=FACE_BOUND('',#38554,.T.); #3717=FACE_BOUND('',#38555,.T.); #3718=FACE_BOUND('',#38556,.T.); #3719=FACE_BOUND('',#38557,.T.); #3720=FACE_BOUND('',#38558,.T.); #3721=FACE_BOUND('',#38559,.T.); #3722=FACE_BOUND('',#38560,.T.); #3723=FACE_BOUND('',#38561,.T.); #3724=FACE_BOUND('',#38562,.T.); #3725=FACE_BOUND('',#38563,.T.); #3726=FACE_BOUND('',#38564,.T.); #3727=FACE_BOUND('',#38565,.T.); #3728=FACE_BOUND('',#38566,.T.); #3729=FACE_BOUND('',#38567,.T.); #3730=FACE_BOUND('',#38568,.T.); #3731=FACE_BOUND('',#38569,.T.); #3732=FACE_BOUND('',#38570,.T.); #3733=FACE_BOUND('',#38571,.T.); #3734=FACE_BOUND('',#38572,.T.); #3735=FACE_BOUND('',#38573,.T.); #3736=FACE_BOUND('',#38574,.T.); #3737=FACE_BOUND('',#38575,.T.); #3738=FACE_BOUND('',#38576,.T.); #3739=FACE_BOUND('',#38577,.T.); #3740=FACE_BOUND('',#38578,.T.); #3741=FACE_BOUND('',#38579,.T.); #3742=FACE_BOUND('',#38580,.T.); #3743=FACE_BOUND('',#38581,.T.); #3744=FACE_BOUND('',#38582,.T.); #3745=FACE_BOUND('',#38583,.T.); #3746=FACE_BOUND('',#38584,.T.); #3747=FACE_BOUND('',#38585,.T.); #3748=FACE_BOUND('',#38586,.T.); #3749=FACE_BOUND('',#38587,.T.); #3750=FACE_BOUND('',#38588,.T.); #3751=FACE_BOUND('',#38589,.T.); #3752=FACE_BOUND('',#38590,.T.); #3753=FACE_BOUND('',#38591,.T.); #3754=FACE_BOUND('',#38592,.T.); #3755=FACE_BOUND('',#38593,.T.); #3756=FACE_BOUND('',#38594,.T.); #3757=FACE_BOUND('',#38595,.T.); #3758=FACE_BOUND('',#38596,.T.); #3759=FACE_BOUND('',#38597,.T.); #3760=FACE_BOUND('',#38598,.T.); #3761=FACE_BOUND('',#38599,.T.); #3762=FACE_BOUND('',#38600,.T.); #3763=FACE_BOUND('',#38601,.T.); #3764=FACE_BOUND('',#38602,.T.); #3765=FACE_BOUND('',#38603,.T.); #3766=FACE_BOUND('',#38604,.T.); #3767=FACE_BOUND('',#38605,.T.); #3768=FACE_BOUND('',#38606,.T.); #3769=FACE_BOUND('',#38607,.T.); #3770=FACE_BOUND('',#38608,.T.); #3771=FACE_BOUND('',#38609,.T.); #3772=FACE_BOUND('',#38610,.T.); #3773=FACE_BOUND('',#38611,.T.); #3774=FACE_BOUND('',#38612,.T.); #3775=FACE_BOUND('',#38613,.T.); #3776=FACE_BOUND('',#38614,.T.); #3777=FACE_BOUND('',#38615,.T.); #3778=FACE_BOUND('',#38616,.T.); #3779=FACE_BOUND('',#38617,.T.); #3780=FACE_BOUND('',#38618,.T.); #3781=FACE_BOUND('',#38619,.T.); #3782=FACE_BOUND('',#38620,.T.); #3783=FACE_BOUND('',#38621,.T.); #3784=FACE_BOUND('',#38622,.T.); #3785=FACE_BOUND('',#38623,.T.); #3786=FACE_BOUND('',#38624,.T.); #3787=FACE_BOUND('',#38625,.T.); #3788=FACE_BOUND('',#38626,.T.); #3789=FACE_BOUND('',#38627,.T.); #3790=FACE_BOUND('',#38628,.T.); #3791=FACE_BOUND('',#38629,.T.); #3792=FACE_BOUND('',#38630,.T.); #3793=FACE_BOUND('',#38631,.T.); #3794=FACE_BOUND('',#38632,.T.); #3795=FACE_BOUND('',#38633,.T.); #3796=FACE_BOUND('',#38634,.T.); #3797=FACE_BOUND('',#38635,.T.); #3798=FACE_BOUND('',#38636,.T.); #3799=FACE_BOUND('',#38637,.T.); #3800=FACE_BOUND('',#38638,.T.); #3801=FACE_BOUND('',#38639,.T.); #3802=FACE_BOUND('',#38640,.T.); #3803=FACE_BOUND('',#38644,.T.); #3804=FACE_BOUND('',#38646,.T.); #3805=FACE_BOUND('',#38650,.T.); #3806=FACE_BOUND('',#38652,.T.); #3807=FACE_BOUND('',#38656,.T.); #3808=FACE_BOUND('',#38658,.T.); #3809=FACE_BOUND('',#38662,.T.); #3810=FACE_BOUND('',#38664,.T.); #3811=FACE_BOUND('',#38668,.T.); #3812=FACE_BOUND('',#38670,.T.); #3813=FACE_BOUND('',#38674,.T.); #3814=FACE_BOUND('',#38676,.T.); #3815=FACE_BOUND('',#38680,.T.); #3816=FACE_BOUND('',#38682,.T.); #3817=FACE_BOUND('',#38686,.T.); #3818=FACE_BOUND('',#38688,.T.); #3819=FACE_BOUND('',#38692,.T.); #3820=FACE_BOUND('',#38694,.T.); #3821=FACE_BOUND('',#38698,.T.); #3822=FACE_BOUND('',#38700,.T.); #3823=FACE_BOUND('',#38704,.T.); #3824=FACE_BOUND('',#38706,.T.); #3825=FACE_BOUND('',#38710,.T.); #3826=FACE_BOUND('',#38712,.T.); #3827=FACE_BOUND('',#38716,.T.); #3828=FACE_BOUND('',#38718,.T.); #3829=FACE_BOUND('',#38722,.T.); #3830=FACE_BOUND('',#38724,.T.); #3831=FACE_BOUND('',#38728,.T.); #3832=FACE_BOUND('',#38730,.T.); #3833=FACE_BOUND('',#38734,.T.); #3834=FACE_BOUND('',#38736,.T.); #3835=FACE_BOUND('',#38740,.T.); #3836=FACE_BOUND('',#38742,.T.); #3837=FACE_BOUND('',#38746,.T.); #3838=FACE_BOUND('',#38748,.T.); #3839=FACE_BOUND('',#38752,.T.); #3840=FACE_BOUND('',#38754,.T.); #3841=FACE_BOUND('',#38758,.T.); #3842=FACE_BOUND('',#38760,.T.); #3843=FACE_BOUND('',#38764,.T.); #3844=FACE_BOUND('',#38766,.T.); #3845=FACE_BOUND('',#38770,.T.); #3846=FACE_BOUND('',#38772,.T.); #3847=FACE_BOUND('',#38776,.T.); #3848=FACE_BOUND('',#38778,.T.); #3849=FACE_BOUND('',#38782,.T.); #3850=FACE_BOUND('',#38784,.T.); #3851=FACE_BOUND('',#38788,.T.); #3852=FACE_BOUND('',#38790,.T.); #3853=FACE_BOUND('',#38794,.T.); #3854=FACE_BOUND('',#38796,.T.); #3855=FACE_BOUND('',#38800,.T.); #3856=FACE_BOUND('',#38802,.T.); #3857=FACE_BOUND('',#38806,.T.); #3858=FACE_BOUND('',#38808,.T.); #3859=FACE_BOUND('',#38812,.T.); #3860=FACE_BOUND('',#38814,.T.); #3861=FACE_BOUND('',#38818,.T.); #3862=FACE_BOUND('',#38820,.T.); #3863=FACE_BOUND('',#38824,.T.); #3864=FACE_BOUND('',#38826,.T.); #3865=FACE_BOUND('',#38830,.T.); #3866=FACE_BOUND('',#38832,.T.); #3867=FACE_BOUND('',#38836,.T.); #3868=FACE_BOUND('',#38838,.T.); #3869=FACE_BOUND('',#38842,.T.); #3870=FACE_BOUND('',#38844,.T.); #3871=FACE_BOUND('',#38848,.T.); #3872=FACE_BOUND('',#38850,.T.); #3873=FACE_BOUND('',#38854,.T.); #3874=FACE_BOUND('',#38856,.T.); #3875=FACE_BOUND('',#38860,.T.); #3876=FACE_BOUND('',#38862,.T.); #3877=FACE_BOUND('',#38866,.T.); #3878=FACE_BOUND('',#38868,.T.); #3879=FACE_BOUND('',#38872,.T.); #3880=FACE_BOUND('',#38874,.T.); #3881=FACE_BOUND('',#38878,.T.); #3882=FACE_BOUND('',#38880,.T.); #3883=FACE_BOUND('',#38884,.T.); #3884=FACE_BOUND('',#38886,.T.); #3885=FACE_BOUND('',#38890,.T.); #3886=FACE_BOUND('',#38892,.T.); #3887=FACE_BOUND('',#38896,.T.); #3888=FACE_BOUND('',#38898,.T.); #3889=FACE_BOUND('',#38902,.T.); #3890=FACE_BOUND('',#38904,.T.); #3891=FACE_BOUND('',#38908,.T.); #3892=FACE_BOUND('',#38910,.T.); #3893=FACE_BOUND('',#38914,.T.); #3894=FACE_BOUND('',#38916,.T.); #3895=FACE_BOUND('',#38920,.T.); #3896=FACE_BOUND('',#38922,.T.); #3897=FACE_BOUND('',#38926,.T.); #3898=FACE_BOUND('',#38928,.T.); #3899=FACE_BOUND('',#38932,.T.); #3900=FACE_BOUND('',#38934,.T.); #3901=FACE_BOUND('',#38938,.T.); #3902=FACE_BOUND('',#38940,.T.); #3903=FACE_BOUND('',#38944,.T.); #3904=FACE_BOUND('',#38946,.T.); #3905=FACE_BOUND('',#38950,.T.); #3906=FACE_BOUND('',#38952,.T.); #3907=FACE_BOUND('',#38956,.T.); #3908=FACE_BOUND('',#38958,.T.); #3909=FACE_BOUND('',#38962,.T.); #3910=FACE_BOUND('',#38964,.T.); #3911=FACE_BOUND('',#38968,.T.); #3912=FACE_BOUND('',#38970,.T.); #3913=FACE_BOUND('',#38974,.T.); #3914=FACE_BOUND('',#38976,.T.); #3915=FACE_BOUND('',#38980,.T.); #3916=FACE_BOUND('',#38982,.T.); #3917=FACE_BOUND('',#38986,.T.); #3918=FACE_BOUND('',#38988,.T.); #3919=FACE_BOUND('',#38992,.T.); #3920=FACE_BOUND('',#38994,.T.); #3921=FACE_BOUND('',#38998,.T.); #3922=FACE_BOUND('',#39000,.T.); #3923=FACE_BOUND('',#39004,.T.); #3924=FACE_BOUND('',#39006,.T.); #3925=FACE_BOUND('',#39010,.T.); #3926=FACE_BOUND('',#39012,.T.); #3927=FACE_BOUND('',#39016,.T.); #3928=FACE_BOUND('',#39018,.T.); #3929=FACE_BOUND('',#39022,.T.); #3930=FACE_BOUND('',#39024,.T.); #3931=FACE_BOUND('',#39028,.T.); #3932=FACE_BOUND('',#39030,.T.); #3933=FACE_BOUND('',#39034,.T.); #3934=FACE_BOUND('',#39036,.T.); #3935=FACE_BOUND('',#39040,.T.); #3936=FACE_BOUND('',#39042,.T.); #3937=FACE_BOUND('',#39046,.T.); #3938=FACE_BOUND('',#39048,.T.); #3939=FACE_BOUND('',#39052,.T.); #3940=FACE_BOUND('',#39054,.T.); #3941=FACE_BOUND('',#39058,.T.); #3942=FACE_BOUND('',#39060,.T.); #3943=FACE_BOUND('',#39064,.T.); #3944=FACE_BOUND('',#39066,.T.); #3945=FACE_BOUND('',#39070,.T.); #3946=FACE_BOUND('',#39072,.T.); #3947=FACE_BOUND('',#39076,.T.); #3948=FACE_BOUND('',#39078,.T.); #3949=FACE_BOUND('',#39082,.T.); #3950=FACE_BOUND('',#39084,.T.); #3951=FACE_BOUND('',#39088,.T.); #3952=FACE_BOUND('',#39090,.T.); #3953=FACE_BOUND('',#39094,.T.); #3954=FACE_BOUND('',#39096,.T.); #3955=FACE_BOUND('',#39100,.T.); #3956=FACE_BOUND('',#39102,.T.); #3957=FACE_BOUND('',#39106,.T.); #3958=FACE_BOUND('',#39108,.T.); #3959=FACE_BOUND('',#39112,.T.); #3960=FACE_BOUND('',#39114,.T.); #3961=FACE_BOUND('',#39118,.T.); #3962=FACE_BOUND('',#39120,.T.); #3963=FACE_BOUND('',#39124,.T.); #3964=FACE_BOUND('',#39126,.T.); #3965=FACE_BOUND('',#39130,.T.); #3966=FACE_BOUND('',#39132,.T.); #3967=FACE_BOUND('',#39136,.T.); #3968=FACE_BOUND('',#39138,.T.); #3969=FACE_BOUND('',#39142,.T.); #3970=FACE_BOUND('',#39144,.T.); #3971=FACE_BOUND('',#39148,.T.); #3972=FACE_BOUND('',#39150,.T.); #3973=FACE_BOUND('',#39154,.T.); #3974=FACE_BOUND('',#39156,.T.); #3975=FACE_BOUND('',#39160,.T.); #3976=FACE_BOUND('',#39162,.T.); #3977=FACE_BOUND('',#39166,.T.); #3978=FACE_BOUND('',#39168,.T.); #3979=FACE_BOUND('',#39172,.T.); #3980=FACE_BOUND('',#39174,.T.); #3981=FACE_BOUND('',#39178,.T.); #3982=FACE_BOUND('',#39180,.T.); #3983=FACE_BOUND('',#39184,.T.); #3984=FACE_BOUND('',#39186,.T.); #3985=FACE_BOUND('',#39190,.T.); #3986=FACE_BOUND('',#39192,.T.); #3987=FACE_BOUND('',#39196,.T.); #3988=FACE_BOUND('',#39198,.T.); #3989=FACE_BOUND('',#39202,.T.); #3990=FACE_BOUND('',#39204,.T.); #3991=FACE_BOUND('',#39208,.T.); #3992=FACE_BOUND('',#39210,.T.); #3993=FACE_BOUND('',#39214,.T.); #3994=FACE_BOUND('',#39216,.T.); #3995=FACE_BOUND('',#39220,.T.); #3996=FACE_BOUND('',#39222,.T.); #3997=FACE_BOUND('',#39226,.T.); #3998=FACE_BOUND('',#39228,.T.); #3999=FACE_BOUND('',#39232,.T.); #4000=FACE_BOUND('',#39234,.T.); #4001=FACE_BOUND('',#39238,.T.); #4002=FACE_BOUND('',#39240,.T.); #4003=FACE_BOUND('',#39244,.T.); #4004=FACE_BOUND('',#39246,.T.); #4005=FACE_BOUND('',#39250,.T.); #4006=FACE_BOUND('',#39252,.T.); #4007=FACE_BOUND('',#39256,.T.); #4008=FACE_BOUND('',#39258,.T.); #4009=FACE_BOUND('',#39262,.T.); #4010=FACE_BOUND('',#39264,.T.); #4011=FACE_BOUND('',#39268,.T.); #4012=FACE_BOUND('',#39270,.T.); #4013=FACE_BOUND('',#39274,.T.); #4014=FACE_BOUND('',#39276,.T.); #4015=FACE_BOUND('',#39280,.T.); #4016=FACE_BOUND('',#39282,.T.); #4017=FACE_BOUND('',#39286,.T.); #4018=FACE_BOUND('',#39288,.T.); #4019=FACE_BOUND('',#39292,.T.); #4020=FACE_BOUND('',#39294,.T.); #4021=FACE_BOUND('',#39298,.T.); #4022=FACE_BOUND('',#39300,.T.); #4023=FACE_BOUND('',#39304,.T.); #4024=FACE_BOUND('',#39306,.T.); #4025=FACE_BOUND('',#39310,.T.); #4026=FACE_BOUND('',#39312,.T.); #4027=FACE_BOUND('',#39316,.T.); #4028=FACE_BOUND('',#39318,.T.); #4029=FACE_BOUND('',#39322,.T.); #4030=FACE_BOUND('',#39324,.T.); #4031=FACE_BOUND('',#39328,.T.); #4032=FACE_BOUND('',#39330,.T.); #4033=FACE_BOUND('',#39334,.T.); #4034=FACE_BOUND('',#39336,.T.); #4035=FACE_BOUND('',#39340,.T.); #4036=FACE_BOUND('',#39342,.T.); #4037=FACE_BOUND('',#39346,.T.); #4038=FACE_BOUND('',#39348,.T.); #4039=FACE_BOUND('',#39352,.T.); #4040=FACE_BOUND('',#39354,.T.); #4041=FACE_BOUND('',#39358,.T.); #4042=FACE_BOUND('',#39360,.T.); #4043=FACE_BOUND('',#39364,.T.); #4044=FACE_BOUND('',#39366,.T.); #4045=FACE_BOUND('',#39370,.T.); #4046=FACE_BOUND('',#39372,.T.); #4047=FACE_BOUND('',#39376,.T.); #4048=FACE_BOUND('',#39378,.T.); #4049=FACE_BOUND('',#39382,.T.); #4050=FACE_BOUND('',#39384,.T.); #4051=FACE_BOUND('',#39388,.T.); #4052=FACE_BOUND('',#39390,.T.); #4053=FACE_BOUND('',#39394,.T.); #4054=FACE_BOUND('',#39396,.T.); #4055=FACE_BOUND('',#39400,.T.); #4056=FACE_BOUND('',#39402,.T.); #4057=FACE_BOUND('',#39406,.T.); #4058=FACE_BOUND('',#39408,.T.); #4059=FACE_BOUND('',#39412,.T.); #4060=FACE_BOUND('',#39414,.T.); #4061=FACE_BOUND('',#39418,.T.); #4062=FACE_BOUND('',#39420,.T.); #4063=FACE_BOUND('',#39424,.T.); #4064=FACE_BOUND('',#39426,.T.); #4065=FACE_BOUND('',#39430,.T.); #4066=FACE_BOUND('',#39432,.T.); #4067=FACE_BOUND('',#39442,.T.); #4068=FACE_BOUND('',#39444,.T.); #4069=FACE_BOUND('',#39448,.T.); #4070=FACE_BOUND('',#39450,.T.); #4071=FACE_BOUND('',#39460,.T.); #4072=FACE_BOUND('',#39462,.T.); #4073=FACE_BOUND('',#39466,.T.); #4074=FACE_BOUND('',#39468,.T.); #4075=FACE_BOUND('',#39478,.T.); #4076=FACE_BOUND('',#39480,.T.); #4077=FACE_BOUND('',#39484,.T.); #4078=FACE_BOUND('',#39486,.T.); #4079=FACE_BOUND('',#39490,.T.); #4080=FACE_BOUND('',#39492,.T.); #4081=FACE_BOUND('',#39496,.T.); #4082=FACE_BOUND('',#39498,.T.); #4083=FACE_BOUND('',#39502,.T.); #4084=FACE_BOUND('',#39504,.T.); #4085=FACE_BOUND('',#39508,.T.); #4086=FACE_BOUND('',#39510,.T.); #4087=FACE_BOUND('',#39514,.T.); #4088=FACE_BOUND('',#39516,.T.); #4089=FACE_BOUND('',#39520,.T.); #4090=FACE_BOUND('',#39522,.T.); #4091=FACE_BOUND('',#39526,.T.); #4092=FACE_BOUND('',#39528,.T.); #4093=FACE_BOUND('',#39532,.T.); #4094=FACE_BOUND('',#39534,.T.); #4095=FACE_BOUND('',#39538,.T.); #4096=FACE_BOUND('',#39540,.T.); #4097=FACE_BOUND('',#39550,.T.); #4098=FACE_BOUND('',#39552,.T.); #4099=FACE_BOUND('',#39556,.T.); #4100=FACE_BOUND('',#39558,.T.); #4101=FACE_BOUND('',#39562,.T.); #4102=FACE_BOUND('',#39564,.T.); #4103=FACE_BOUND('',#39568,.T.); #4104=FACE_BOUND('',#39570,.T.); #4105=FACE_BOUND('',#39580,.T.); #4106=FACE_BOUND('',#39582,.T.); #4107=FACE_BOUND('',#39586,.T.); #4108=FACE_BOUND('',#39588,.T.); #4109=FACE_BOUND('',#39598,.T.); #4110=FACE_BOUND('',#39600,.T.); #4111=FACE_BOUND('',#39604,.T.); #4112=FACE_BOUND('',#39606,.T.); #4113=FACE_BOUND('',#39610,.T.); #4114=FACE_BOUND('',#39612,.T.); #4115=FACE_BOUND('',#39616,.T.); #4116=FACE_BOUND('',#39618,.T.); #4117=FACE_BOUND('',#39622,.T.); #4118=FACE_BOUND('',#39624,.T.); #4119=FACE_BOUND('',#39628,.T.); #4120=FACE_BOUND('',#39630,.T.); #4121=FACE_BOUND('',#39634,.T.); #4122=FACE_BOUND('',#39636,.T.); #4123=FACE_BOUND('',#39640,.T.); #4124=FACE_BOUND('',#39642,.T.); #4125=FACE_BOUND('',#39646,.T.); #4126=FACE_BOUND('',#39648,.T.); #4127=FACE_BOUND('',#39652,.T.); #4128=FACE_BOUND('',#39654,.T.); #4129=FACE_BOUND('',#39658,.T.); #4130=FACE_BOUND('',#39660,.T.); #4131=FACE_BOUND('',#39664,.T.); #4132=FACE_BOUND('',#39666,.T.); #4133=FACE_BOUND('',#39670,.T.); #4134=FACE_BOUND('',#39672,.T.); #4135=FACE_BOUND('',#39676,.T.); #4136=FACE_BOUND('',#39678,.T.); #4137=FACE_BOUND('',#39682,.T.); #4138=FACE_BOUND('',#39684,.T.); #4139=FACE_BOUND('',#39688,.T.); #4140=FACE_BOUND('',#39690,.T.); #4141=FACE_BOUND('',#39694,.T.); #4142=FACE_BOUND('',#39696,.T.); #4143=FACE_BOUND('',#39700,.T.); #4144=FACE_BOUND('',#39702,.T.); #4145=FACE_BOUND('',#39706,.T.); #4146=FACE_BOUND('',#39708,.T.); #4147=FACE_BOUND('',#39712,.T.); #4148=FACE_BOUND('',#39714,.T.); #4149=FACE_BOUND('',#39718,.T.); #4150=FACE_BOUND('',#39720,.T.); #4151=FACE_BOUND('',#39724,.T.); #4152=FACE_BOUND('',#39726,.T.); #4153=FACE_BOUND('',#39730,.T.); #4154=FACE_BOUND('',#39732,.T.); #4155=FACE_BOUND('',#39736,.T.); #4156=FACE_BOUND('',#39738,.T.); #4157=FACE_BOUND('',#39742,.T.); #4158=FACE_BOUND('',#39744,.T.); #4159=FACE_BOUND('',#39748,.T.); #4160=FACE_BOUND('',#39750,.T.); #4161=FACE_BOUND('',#39754,.T.); #4162=FACE_BOUND('',#39756,.T.); #4163=FACE_BOUND('',#39760,.T.); #4164=FACE_BOUND('',#39762,.T.); #4165=FACE_BOUND('',#39766,.T.); #4166=FACE_BOUND('',#39768,.T.); #4167=FACE_BOUND('',#39772,.T.); #4168=FACE_BOUND('',#39774,.T.); #4169=FACE_BOUND('',#39778,.T.); #4170=FACE_BOUND('',#39780,.T.); #4171=FACE_BOUND('',#39784,.T.); #4172=FACE_BOUND('',#39786,.T.); #4173=FACE_BOUND('',#39790,.T.); #4174=FACE_BOUND('',#39792,.T.); #4175=FACE_BOUND('',#39796,.T.); #4176=FACE_BOUND('',#39798,.T.); #4177=FACE_BOUND('',#39802,.T.); #4178=FACE_BOUND('',#39804,.T.); #4179=FACE_BOUND('',#39808,.T.); #4180=FACE_BOUND('',#39810,.T.); #4181=FACE_BOUND('',#39814,.T.); #4182=FACE_BOUND('',#39816,.T.); #4183=FACE_BOUND('',#39820,.T.); #4184=FACE_BOUND('',#39822,.T.); #4185=FACE_BOUND('',#39826,.T.); #4186=FACE_BOUND('',#39828,.T.); #4187=FACE_BOUND('',#39832,.T.); #4188=FACE_BOUND('',#39834,.T.); #4189=FACE_BOUND('',#39838,.T.); #4190=FACE_BOUND('',#39840,.T.); #4191=FACE_BOUND('',#39844,.T.); #4192=FACE_BOUND('',#39846,.T.); #4193=FACE_BOUND('',#39850,.T.); #4194=FACE_BOUND('',#39852,.T.); #4195=FACE_BOUND('',#39856,.T.); #4196=FACE_BOUND('',#39858,.T.); #4197=FACE_BOUND('',#39862,.T.); #4198=FACE_BOUND('',#39864,.T.); #4199=FACE_BOUND('',#39868,.T.); #4200=FACE_BOUND('',#39870,.T.); #4201=FACE_BOUND('',#39874,.T.); #4202=FACE_BOUND('',#39876,.T.); #4203=FACE_BOUND('',#39880,.T.); #4204=FACE_BOUND('',#39882,.T.); #4205=FACE_BOUND('',#39886,.T.); #4206=FACE_BOUND('',#39888,.T.); #4207=FACE_BOUND('',#39892,.T.); #4208=FACE_BOUND('',#39894,.T.); #4209=FACE_BOUND('',#39898,.T.); #4210=FACE_BOUND('',#39900,.T.); #4211=FACE_BOUND('',#39904,.T.); #4212=FACE_BOUND('',#39906,.T.); #4213=FACE_BOUND('',#39910,.T.); #4214=FACE_BOUND('',#39912,.T.); #4215=FACE_BOUND('',#39916,.T.); #4216=FACE_BOUND('',#39918,.T.); #4217=FACE_BOUND('',#39922,.T.); #4218=FACE_BOUND('',#39924,.T.); #4219=FACE_BOUND('',#39928,.T.); #4220=FACE_BOUND('',#39930,.T.); #4221=FACE_BOUND('',#39934,.T.); #4222=FACE_BOUND('',#39936,.T.); #4223=FACE_BOUND('',#39940,.T.); #4224=FACE_BOUND('',#39942,.T.); #4225=FACE_BOUND('',#39946,.T.); #4226=FACE_BOUND('',#39948,.T.); #4227=FACE_BOUND('',#39952,.T.); #4228=FACE_BOUND('',#39954,.T.); #4229=FACE_BOUND('',#39958,.T.); #4230=FACE_BOUND('',#39960,.T.); #4231=FACE_BOUND('',#39964,.T.); #4232=FACE_BOUND('',#39966,.T.); #4233=FACE_BOUND('',#39970,.T.); #4234=FACE_BOUND('',#39972,.T.); #4235=FACE_BOUND('',#39976,.T.); #4236=FACE_BOUND('',#39978,.T.); #4237=FACE_BOUND('',#39982,.T.); #4238=FACE_BOUND('',#39984,.T.); #4239=FACE_BOUND('',#39988,.T.); #4240=FACE_BOUND('',#39990,.T.); #4241=FACE_BOUND('',#39994,.T.); #4242=FACE_BOUND('',#39996,.T.); #4243=FACE_BOUND('',#40000,.T.); #4244=FACE_BOUND('',#40002,.T.); #4245=FACE_BOUND('',#40006,.T.); #4246=FACE_BOUND('',#40008,.T.); #4247=FACE_BOUND('',#40012,.T.); #4248=FACE_BOUND('',#40014,.T.); #4249=FACE_BOUND('',#40018,.T.); #4250=FACE_BOUND('',#40020,.T.); #4251=FACE_BOUND('',#40024,.T.); #4252=FACE_BOUND('',#40026,.T.); #4253=FACE_BOUND('',#40030,.T.); #4254=FACE_BOUND('',#40032,.T.); #4255=FACE_BOUND('',#40036,.T.); #4256=FACE_BOUND('',#40038,.T.); #4257=FACE_BOUND('',#40042,.T.); #4258=FACE_BOUND('',#40044,.T.); #4259=FACE_BOUND('',#40048,.T.); #4260=FACE_BOUND('',#40050,.T.); #4261=FACE_BOUND('',#40054,.T.); #4262=FACE_BOUND('',#40056,.T.); #4263=FACE_BOUND('',#40060,.T.); #4264=FACE_BOUND('',#40062,.T.); #4265=FACE_BOUND('',#40066,.T.); #4266=FACE_BOUND('',#40068,.T.); #4267=FACE_BOUND('',#40072,.T.); #4268=FACE_BOUND('',#40074,.T.); #4269=FACE_BOUND('',#40078,.T.); #4270=FACE_BOUND('',#40080,.T.); #4271=FACE_BOUND('',#40084,.T.); #4272=FACE_BOUND('',#40086,.T.); #4273=FACE_BOUND('',#40090,.T.); #4274=FACE_BOUND('',#40092,.T.); #4275=FACE_BOUND('',#40096,.T.); #4276=FACE_BOUND('',#40098,.T.); #4277=FACE_BOUND('',#40102,.T.); #4278=FACE_BOUND('',#40104,.T.); #4279=FACE_BOUND('',#40108,.T.); #4280=FACE_BOUND('',#40110,.T.); #4281=FACE_BOUND('',#40114,.T.); #4282=FACE_BOUND('',#40116,.T.); #4283=FACE_BOUND('',#40120,.T.); #4284=FACE_BOUND('',#40122,.T.); #4285=FACE_BOUND('',#40126,.T.); #4286=FACE_BOUND('',#40128,.T.); #4287=FACE_BOUND('',#40132,.T.); #4288=FACE_BOUND('',#40134,.T.); #4289=FACE_BOUND('',#40138,.T.); #4290=FACE_BOUND('',#40140,.T.); #4291=FACE_BOUND('',#40144,.T.); #4292=FACE_BOUND('',#40146,.T.); #4293=FACE_BOUND('',#40150,.T.); #4294=FACE_BOUND('',#40152,.T.); #4295=FACE_BOUND('',#40156,.T.); #4296=FACE_BOUND('',#40158,.T.); #4297=FACE_BOUND('',#40162,.T.); #4298=FACE_BOUND('',#40164,.T.); #4299=FACE_BOUND('',#40168,.T.); #4300=FACE_BOUND('',#40170,.T.); #4301=FACE_BOUND('',#40174,.T.); #4302=FACE_BOUND('',#40176,.T.); #4303=FACE_BOUND('',#40180,.T.); #4304=FACE_BOUND('',#40182,.T.); #4305=FACE_BOUND('',#40186,.T.); #4306=FACE_BOUND('',#40188,.T.); #4307=FACE_BOUND('',#40192,.T.); #4308=FACE_BOUND('',#40194,.T.); #4309=FACE_BOUND('',#40198,.T.); #4310=FACE_BOUND('',#40200,.T.); #4311=FACE_BOUND('',#40204,.T.); #4312=FACE_BOUND('',#40206,.T.); #4313=FACE_BOUND('',#40210,.T.); #4314=FACE_BOUND('',#40212,.T.); #4315=FACE_BOUND('',#40216,.T.); #4316=FACE_BOUND('',#40218,.T.); #4317=FACE_BOUND('',#40222,.T.); #4318=FACE_BOUND('',#40224,.T.); #4319=FACE_BOUND('',#40228,.T.); #4320=FACE_BOUND('',#40230,.T.); #4321=FACE_BOUND('',#40234,.T.); #4322=FACE_BOUND('',#40236,.T.); #4323=FACE_BOUND('',#40240,.T.); #4324=FACE_BOUND('',#40242,.T.); #4325=FACE_BOUND('',#40246,.T.); #4326=FACE_BOUND('',#40248,.T.); #4327=FACE_BOUND('',#40252,.T.); #4328=FACE_BOUND('',#40254,.T.); #4329=FACE_BOUND('',#40258,.T.); #4330=FACE_BOUND('',#40260,.T.); #4331=FACE_BOUND('',#40264,.T.); #4332=FACE_BOUND('',#40266,.T.); #4333=FACE_BOUND('',#40270,.T.); #4334=FACE_BOUND('',#40272,.T.); #4335=FACE_BOUND('',#40276,.T.); #4336=FACE_BOUND('',#40278,.T.); #4337=FACE_BOUND('',#40282,.T.); #4338=FACE_BOUND('',#40284,.T.); #4339=FACE_BOUND('',#40288,.T.); #4340=FACE_BOUND('',#40290,.T.); #4341=FACE_BOUND('',#40294,.T.); #4342=FACE_BOUND('',#40296,.T.); #4343=FACE_BOUND('',#40300,.T.); #4344=FACE_BOUND('',#40302,.T.); #4345=FACE_BOUND('',#40306,.T.); #4346=FACE_BOUND('',#40308,.T.); #4347=FACE_BOUND('',#40312,.T.); #4348=FACE_BOUND('',#40314,.T.); #4349=FACE_BOUND('',#40318,.T.); #4350=FACE_BOUND('',#40320,.T.); #4351=FACE_BOUND('',#40324,.T.); #4352=FACE_BOUND('',#40326,.T.); #4353=FACE_BOUND('',#40330,.T.); #4354=FACE_BOUND('',#40332,.T.); #4355=FACE_BOUND('',#40336,.T.); #4356=FACE_BOUND('',#40338,.T.); #4357=FACE_BOUND('',#40342,.T.); #4358=FACE_BOUND('',#40344,.T.); #4359=FACE_BOUND('',#40348,.T.); #4360=FACE_BOUND('',#40350,.T.); #4361=FACE_BOUND('',#40354,.T.); #4362=FACE_BOUND('',#40356,.T.); #4363=FACE_BOUND('',#40360,.T.); #4364=FACE_BOUND('',#40362,.T.); #4365=FACE_BOUND('',#40366,.T.); #4366=FACE_BOUND('',#40368,.T.); #4367=FACE_BOUND('',#40372,.T.); #4368=FACE_BOUND('',#40374,.T.); #4369=FACE_BOUND('',#40378,.T.); #4370=FACE_BOUND('',#40380,.T.); #4371=FACE_BOUND('',#40384,.T.); #4372=FACE_BOUND('',#40386,.T.); #4373=FACE_BOUND('',#40390,.T.); #4374=FACE_BOUND('',#40392,.T.); #4375=FACE_BOUND('',#40396,.T.); #4376=FACE_BOUND('',#40398,.T.); #4377=FACE_BOUND('',#40402,.T.); #4378=FACE_BOUND('',#40404,.T.); #4379=FACE_BOUND('',#40408,.T.); #4380=FACE_BOUND('',#40410,.T.); #4381=FACE_BOUND('',#40414,.T.); #4382=FACE_BOUND('',#40416,.T.); #4383=FACE_BOUND('',#40420,.T.); #4384=FACE_BOUND('',#40422,.T.); #4385=FACE_BOUND('',#40426,.T.); #4386=FACE_BOUND('',#40428,.T.); #4387=FACE_BOUND('',#40432,.T.); #4388=FACE_BOUND('',#40434,.T.); #4389=FACE_BOUND('',#40438,.T.); #4390=FACE_BOUND('',#40440,.T.); #4391=FACE_BOUND('',#40444,.T.); #4392=FACE_BOUND('',#40446,.T.); #4393=FACE_BOUND('',#40450,.T.); #4394=FACE_BOUND('',#40452,.T.); #4395=FACE_BOUND('',#40456,.T.); #4396=FACE_BOUND('',#40458,.T.); #4397=FACE_BOUND('',#40462,.T.); #4398=FACE_BOUND('',#40464,.T.); #4399=FACE_BOUND('',#40468,.T.); #4400=FACE_BOUND('',#40470,.T.); #4401=FACE_BOUND('',#40474,.T.); #4402=FACE_BOUND('',#40476,.T.); #4403=FACE_BOUND('',#40480,.T.); #4404=FACE_BOUND('',#40482,.T.); #4405=FACE_BOUND('',#40486,.T.); #4406=FACE_BOUND('',#40488,.T.); #4407=FACE_BOUND('',#40492,.T.); #4408=FACE_BOUND('',#40494,.T.); #4409=FACE_BOUND('',#40498,.T.); #4410=FACE_BOUND('',#40500,.T.); #4411=FACE_BOUND('',#40504,.T.); #4412=FACE_BOUND('',#40506,.T.); #4413=FACE_BOUND('',#40510,.T.); #4414=FACE_BOUND('',#40512,.T.); #4415=FACE_BOUND('',#40516,.T.); #4416=FACE_BOUND('',#40518,.T.); #4417=FACE_BOUND('',#40522,.T.); #4418=FACE_BOUND('',#40524,.T.); #4419=FACE_BOUND('',#40528,.T.); #4420=FACE_BOUND('',#40530,.T.); #4421=FACE_BOUND('',#40534,.T.); #4422=FACE_BOUND('',#40536,.T.); #4423=FACE_BOUND('',#40540,.T.); #4424=FACE_BOUND('',#40542,.T.); #4425=FACE_BOUND('',#40546,.T.); #4426=FACE_BOUND('',#40548,.T.); #4427=FACE_BOUND('',#40552,.T.); #4428=FACE_BOUND('',#40554,.T.); #4429=FACE_BOUND('',#40558,.T.); #4430=FACE_BOUND('',#40560,.T.); #4431=FACE_BOUND('',#40564,.T.); #4432=FACE_BOUND('',#40566,.T.); #4433=FACE_BOUND('',#40572,.T.); #4434=FACE_BOUND('',#40574,.T.); #4435=FACE_BOUND('',#40640,.T.); #4436=FACE_BOUND('',#40642,.T.); #4437=FACE_BOUND('',#40654,.T.); #4438=FACE_BOUND('',#40655,.T.); #4439=FACE_BOUND('',#40657,.T.); #4440=FACE_BOUND('',#40658,.T.); #4441=FACE_BOUND('',#40664,.T.); #4442=FACE_BOUND('',#40666,.T.); #4443=FACE_BOUND('',#40672,.T.); #4444=FACE_BOUND('',#40674,.T.); #4445=FACE_BOUND('',#40690,.T.); #4446=FACE_BOUND('',#40692,.T.); #4447=FACE_BOUND('',#40705,.T.); #4448=FACE_BOUND('',#40707,.T.); #4449=FACE_BOUND('',#40830,.T.); #4450=FACE_BOUND('',#40832,.T.); #4451=FACE_BOUND('',#40851,.T.); #4452=FACE_BOUND('',#40853,.T.); #4453=FACE_BOUND('',#40868,.T.); #4454=FACE_BOUND('',#40870,.T.); #4455=FACE_BOUND('',#40887,.T.); #4456=FACE_BOUND('',#40889,.T.); #4457=FACE_BOUND('',#40901,.T.); #4458=FACE_BOUND('',#40902,.T.); #4459=FACE_BOUND('',#40904,.T.); #4460=FACE_BOUND('',#40905,.T.); #4461=FACE_BOUND('',#40924,.T.); #4462=FACE_BOUND('',#40926,.T.); #4463=FACE_BOUND('',#40951,.T.); #4464=FACE_BOUND('',#40953,.T.); #4465=FACE_BOUND('',#41008,.T.); #4466=FACE_BOUND('',#41010,.T.); #4467=FACE_BOUND('',#41024,.T.); #4468=FACE_BOUND('',#41026,.T.); #4469=FACE_BOUND('',#41152,.T.); #4470=FACE_BOUND('',#41154,.T.); #4471=FACE_BOUND('',#41173,.T.); #4472=FACE_BOUND('',#41175,.T.); #4473=FACE_BOUND('',#41191,.T.); #4474=FACE_BOUND('',#41193,.T.); #4475=FACE_BOUND('',#41214,.T.); #4476=FACE_BOUND('',#41216,.T.); #4477=FACE_BOUND('',#41232,.T.); #4478=FACE_BOUND('',#41234,.T.); #4479=FACE_BOUND('',#41255,.T.); #4480=FACE_BOUND('',#41257,.T.); #4481=FACE_BOUND('',#41271,.T.); #4482=FACE_BOUND('',#41273,.T.); #4483=FACE_BOUND('',#41287,.T.); #4484=FACE_BOUND('',#41289,.T.); #4485=FACE_BOUND('',#41315,.T.); #4486=FACE_BOUND('',#41317,.T.); #4487=FACE_BOUND('',#41337,.T.); #4488=FACE_BOUND('',#41339,.T.); #4489=FACE_BOUND('',#41365,.T.); #4490=FACE_BOUND('',#41367,.T.); #4491=FACE_BOUND('',#41400,.T.); #4492=FACE_BOUND('',#41402,.T.); #4493=FACE_BOUND('',#41429,.T.); #4494=FACE_BOUND('',#41431,.T.); #4495=FACE_BOUND('',#41449,.T.); #4496=FACE_BOUND('',#41451,.T.); #4497=FACE_BOUND('',#41497,.T.); #4498=FACE_BOUND('',#41499,.T.); #4499=FACE_BOUND('',#41523,.T.); #4500=FACE_BOUND('',#41525,.T.); #4501=FACE_BOUND('',#41532,.T.); #4502=FACE_BOUND('',#41534,.T.); #4503=FACE_BOUND('',#41541,.T.); #4504=FACE_BOUND('',#41543,.T.); #4505=FACE_BOUND('',#41560,.T.); #4506=FACE_BOUND('',#41562,.T.); #4507=FACE_BOUND('',#41568,.T.); #4508=FACE_BOUND('',#41570,.T.); #4509=FACE_BOUND('',#41581,.T.); #4510=FACE_BOUND('',#41582,.T.); #4511=FACE_BOUND('',#41584,.T.); #4512=FACE_BOUND('',#41585,.T.); #4513=FACE_BOUND('',#41591,.T.); #4514=FACE_BOUND('',#41593,.T.); #4515=FACE_BOUND('',#41600,.T.); #4516=FACE_BOUND('',#41602,.T.); #4517=FACE_BOUND('',#41648,.T.); #4518=FACE_BOUND('',#41650,.T.); #4519=FACE_BOUND('',#41674,.T.); #4520=FACE_BOUND('',#41676,.T.); #4521=FACE_BOUND('',#41724,.T.); #4522=FACE_BOUND('',#41726,.T.); #4523=FACE_BOUND('',#41751,.T.); #4524=FACE_BOUND('',#41753,.T.); #4525=FACE_BOUND('',#41768,.T.); #4526=FACE_BOUND('',#41770,.T.); #4527=FACE_BOUND('',#41821,.T.); #4528=FACE_BOUND('',#41823,.T.); #4529=FACE_BOUND('',#41848,.T.); #4530=FACE_BOUND('',#41849,.T.); #4531=FACE_BOUND('',#41851,.T.); #4532=FACE_BOUND('',#41852,.T.); #4533=FACE_BOUND('',#42014,.T.); #4534=FACE_BOUND('',#42015,.T.); #4535=FACE_BOUND('',#42016,.T.); #4536=FACE_BOUND('',#42017,.T.); #4537=FACE_BOUND('',#42018,.T.); #4538=FACE_BOUND('',#42019,.T.); #4539=FACE_BOUND('',#42020,.T.); #4540=FACE_BOUND('',#42021,.T.); #4541=FACE_BOUND('',#42022,.T.); #4542=FACE_BOUND('',#42023,.T.); #4543=FACE_BOUND('',#42024,.T.); #4544=FACE_BOUND('',#42026,.T.); #4545=FACE_BOUND('',#42027,.T.); #4546=FACE_BOUND('',#42028,.T.); #4547=FACE_BOUND('',#42029,.T.); #4548=FACE_BOUND('',#42030,.T.); #4549=FACE_BOUND('',#42031,.T.); #4550=FACE_BOUND('',#42032,.T.); #4551=FACE_BOUND('',#42033,.T.); #4552=FACE_BOUND('',#42034,.T.); #4553=FACE_BOUND('',#42035,.T.); #4554=FACE_BOUND('',#42036,.T.); #4555=FACE_BOUND('',#42042,.T.); #4556=FACE_BOUND('',#42044,.T.); #4557=FACE_BOUND('',#42229,.T.); #4558=FACE_BOUND('',#42230,.T.); #4559=FACE_BOUND('',#42231,.T.); #4560=FACE_BOUND('',#42233,.T.); #4561=FACE_BOUND('',#42234,.T.); #4562=FACE_BOUND('',#42235,.T.); #4563=FACE_BOUND('',#42559,.T.); #4564=FACE_BOUND('',#42560,.T.); #4565=FACE_BOUND('',#42561,.T.); #4566=FACE_BOUND('',#42562,.T.); #4567=FACE_BOUND('',#42563,.T.); #4568=FACE_BOUND('',#42564,.T.); #4569=FACE_BOUND('',#42565,.T.); #4570=FACE_BOUND('',#42566,.T.); #4571=FACE_BOUND('',#42567,.T.); #4572=FACE_BOUND('',#42568,.T.); #4573=FACE_BOUND('',#42569,.T.); #4574=FACE_BOUND('',#42570,.T.); #4575=FACE_BOUND('',#42572,.T.); #4576=FACE_BOUND('',#42573,.T.); #4577=FACE_BOUND('',#42574,.T.); #4578=FACE_BOUND('',#42575,.T.); #4579=FACE_BOUND('',#42576,.T.); #4580=FACE_BOUND('',#42577,.T.); #4581=FACE_BOUND('',#42578,.T.); #4582=FACE_BOUND('',#42579,.T.); #4583=FACE_BOUND('',#42580,.T.); #4584=FACE_BOUND('',#42581,.T.); #4585=FACE_BOUND('',#42582,.T.); #4586=FACE_BOUND('',#42583,.T.); #4587=FACE_BOUND('',#43641,.T.); #4588=FACE_BOUND('',#43642,.T.); #4589=FACE_BOUND('',#43643,.T.); #4590=FACE_BOUND('',#43644,.T.); #4591=FACE_BOUND('',#43645,.T.); #4592=FACE_BOUND('',#43646,.T.); #4593=FACE_BOUND('',#43647,.T.); #4594=FACE_BOUND('',#43648,.T.); #4595=FACE_BOUND('',#43649,.T.); #4596=FACE_BOUND('',#43650,.T.); #4597=FACE_BOUND('',#43651,.T.); #4598=FACE_BOUND('',#43652,.T.); #4599=FACE_BOUND('',#43653,.T.); #4600=FACE_BOUND('',#43654,.T.); #4601=FACE_BOUND('',#43655,.T.); #4602=FACE_BOUND('',#43656,.T.); #4603=FACE_BOUND('',#43657,.T.); #4604=FACE_BOUND('',#43658,.T.); #4605=FACE_BOUND('',#43659,.T.); #4606=FACE_BOUND('',#43660,.T.); #4607=FACE_BOUND('',#43661,.T.); #4608=FACE_BOUND('',#43662,.T.); #4609=FACE_BOUND('',#43663,.T.); #4610=FACE_BOUND('',#43664,.T.); #4611=FACE_BOUND('',#43665,.T.); #4612=FACE_BOUND('',#43666,.T.); #4613=FACE_BOUND('',#43667,.T.); #4614=FACE_BOUND('',#43668,.T.); #4615=FACE_BOUND('',#43669,.T.); #4616=FACE_BOUND('',#43670,.T.); #4617=FACE_BOUND('',#43671,.T.); #4618=FACE_BOUND('',#43672,.T.); #4619=FACE_BOUND('',#43673,.T.); #4620=FACE_BOUND('',#43674,.T.); #4621=FACE_BOUND('',#43675,.T.); #4622=FACE_BOUND('',#43676,.T.); #4623=FACE_BOUND('',#43677,.T.); #4624=FACE_BOUND('',#43678,.T.); #4625=FACE_BOUND('',#43679,.T.); #4626=FACE_BOUND('',#43680,.T.); #4627=FACE_BOUND('',#43681,.T.); #4628=FACE_BOUND('',#43682,.T.); #4629=FACE_BOUND('',#43683,.T.); #4630=FACE_BOUND('',#43684,.T.); #4631=FACE_BOUND('',#43685,.T.); #4632=FACE_BOUND('',#43686,.T.); #4633=FACE_BOUND('',#43687,.T.); #4634=FACE_BOUND('',#43688,.T.); #4635=FACE_BOUND('',#43689,.T.); #4636=FACE_BOUND('',#43690,.T.); #4637=FACE_BOUND('',#43691,.T.); #4638=FACE_BOUND('',#43692,.T.); #4639=FACE_BOUND('',#43693,.T.); #4640=FACE_BOUND('',#43694,.T.); #4641=FACE_BOUND('',#43695,.T.); #4642=FACE_BOUND('',#43696,.T.); #4643=FACE_BOUND('',#43697,.T.); #4644=FACE_BOUND('',#43698,.T.); #4645=FACE_BOUND('',#43699,.T.); #4646=FACE_BOUND('',#43700,.T.); #4647=FACE_BOUND('',#43701,.T.); #4648=FACE_BOUND('',#43702,.T.); #4649=FACE_BOUND('',#43703,.T.); #4650=FACE_BOUND('',#43704,.T.); #4651=FACE_BOUND('',#43705,.T.); #4652=FACE_BOUND('',#43706,.T.); #4653=FACE_BOUND('',#43707,.T.); #4654=FACE_BOUND('',#43708,.T.); #4655=FACE_BOUND('',#43709,.T.); #4656=FACE_BOUND('',#43710,.T.); #4657=FACE_BOUND('',#43712,.T.); #4658=FACE_BOUND('',#43713,.T.); #4659=FACE_BOUND('',#43714,.T.); #4660=FACE_BOUND('',#43715,.T.); #4661=FACE_BOUND('',#43716,.T.); #4662=FACE_BOUND('',#43717,.T.); #4663=FACE_BOUND('',#43718,.T.); #4664=FACE_BOUND('',#43719,.T.); #4665=FACE_BOUND('',#43720,.T.); #4666=FACE_BOUND('',#43721,.T.); #4667=FACE_BOUND('',#43722,.T.); #4668=FACE_BOUND('',#43723,.T.); #4669=FACE_BOUND('',#43724,.T.); #4670=FACE_BOUND('',#43725,.T.); #4671=FACE_BOUND('',#43726,.T.); #4672=FACE_BOUND('',#43727,.T.); #4673=FACE_BOUND('',#43728,.T.); #4674=FACE_BOUND('',#43729,.T.); #4675=FACE_BOUND('',#43730,.T.); #4676=FACE_BOUND('',#43731,.T.); #4677=FACE_BOUND('',#43732,.T.); #4678=FACE_BOUND('',#43733,.T.); #4679=FACE_BOUND('',#43734,.T.); #4680=FACE_BOUND('',#43735,.T.); #4681=FACE_BOUND('',#43736,.T.); #4682=FACE_BOUND('',#43737,.T.); #4683=FACE_BOUND('',#43738,.T.); #4684=FACE_BOUND('',#43739,.T.); #4685=FACE_BOUND('',#43740,.T.); #4686=FACE_BOUND('',#43741,.T.); #4687=FACE_BOUND('',#43742,.T.); #4688=FACE_BOUND('',#43743,.T.); #4689=FACE_BOUND('',#43744,.T.); #4690=FACE_BOUND('',#43745,.T.); #4691=FACE_BOUND('',#43746,.T.); #4692=FACE_BOUND('',#43747,.T.); #4693=FACE_BOUND('',#43748,.T.); #4694=FACE_BOUND('',#43749,.T.); #4695=FACE_BOUND('',#43750,.T.); #4696=FACE_BOUND('',#43751,.T.); #4697=FACE_BOUND('',#43752,.T.); #4698=FACE_BOUND('',#43753,.T.); #4699=FACE_BOUND('',#43754,.T.); #4700=FACE_BOUND('',#43755,.T.); #4701=FACE_BOUND('',#43756,.T.); #4702=FACE_BOUND('',#43757,.T.); #4703=FACE_BOUND('',#43758,.T.); #4704=FACE_BOUND('',#43759,.T.); #4705=FACE_BOUND('',#43760,.T.); #4706=FACE_BOUND('',#43761,.T.); #4707=FACE_BOUND('',#43762,.T.); #4708=FACE_BOUND('',#43763,.T.); #4709=FACE_BOUND('',#43764,.T.); #4710=FACE_BOUND('',#43765,.T.); #4711=FACE_BOUND('',#43766,.T.); #4712=FACE_BOUND('',#43767,.T.); #4713=FACE_BOUND('',#43768,.T.); #4714=FACE_BOUND('',#43769,.T.); #4715=FACE_BOUND('',#43770,.T.); #4716=FACE_BOUND('',#43771,.T.); #4717=FACE_BOUND('',#43772,.T.); #4718=FACE_BOUND('',#43773,.T.); #4719=FACE_BOUND('',#43774,.T.); #4720=FACE_BOUND('',#43775,.T.); #4721=FACE_BOUND('',#43776,.T.); #4722=FACE_BOUND('',#43777,.T.); #4723=FACE_BOUND('',#43778,.T.); #4724=FACE_BOUND('',#43779,.T.); #4725=FACE_BOUND('',#43780,.T.); #4726=FACE_BOUND('',#43781,.T.); #4727=FACE_BOUND('',#44083,.T.); #4728=FACE_BOUND('',#44084,.T.); #4729=FACE_BOUND('',#44085,.T.); #4730=FACE_BOUND('',#44087,.T.); #4731=FACE_BOUND('',#44088,.T.); #4732=FACE_BOUND('',#44089,.T.); #4733=FACE_BOUND('',#44488,.T.); #4734=FACE_BOUND('',#44489,.T.); #4735=FACE_BOUND('',#44490,.T.); #4736=FACE_BOUND('',#44491,.T.); #4737=FACE_BOUND('',#44492,.T.); #4738=FACE_BOUND('',#44493,.T.); #4739=FACE_BOUND('',#44494,.T.); #4740=FACE_BOUND('',#44495,.T.); #4741=FACE_BOUND('',#44496,.T.); #4742=FACE_BOUND('',#44497,.T.); #4743=FACE_BOUND('',#44498,.T.); #4744=FACE_BOUND('',#44499,.T.); #4745=FACE_BOUND('',#44500,.T.); #4746=FACE_BOUND('',#44501,.T.); #4747=FACE_BOUND('',#44502,.T.); #4748=FACE_BOUND('',#44503,.T.); #4749=FACE_BOUND('',#44504,.T.); #4750=FACE_BOUND('',#44505,.T.); #4751=FACE_BOUND('',#44506,.T.); #4752=FACE_BOUND('',#44507,.T.); #4753=FACE_BOUND('',#44508,.T.); #4754=FACE_BOUND('',#44509,.T.); #4755=FACE_BOUND('',#44510,.T.); #4756=FACE_BOUND('',#44511,.T.); #4757=FACE_BOUND('',#44512,.T.); #4758=FACE_BOUND('',#44513,.T.); #4759=FACE_BOUND('',#44514,.T.); #4760=FACE_BOUND('',#44515,.T.); #4761=FACE_BOUND('',#44516,.T.); #4762=FACE_BOUND('',#44517,.T.); #4763=FACE_BOUND('',#44518,.T.); #4764=FACE_BOUND('',#44519,.T.); #4765=FACE_BOUND('',#44520,.T.); #4766=FACE_BOUND('',#44521,.T.); #4767=FACE_BOUND('',#44522,.T.); #4768=FACE_BOUND('',#44523,.T.); #4769=FACE_BOUND('',#44524,.T.); #4770=FACE_BOUND('',#44525,.T.); #4771=FACE_BOUND('',#44526,.T.); #4772=FACE_BOUND('',#44527,.T.); #4773=FACE_BOUND('',#44528,.T.); #4774=FACE_BOUND('',#44529,.T.); #4775=FACE_BOUND('',#44530,.T.); #4776=FACE_BOUND('',#44531,.T.); #4777=FACE_BOUND('',#44532,.T.); #4778=FACE_BOUND('',#44533,.T.); #4779=FACE_BOUND('',#44534,.T.); #4780=FACE_BOUND('',#44535,.T.); #4781=FACE_BOUND('',#44536,.T.); #4782=FACE_BOUND('',#44538,.T.); #4783=FACE_BOUND('',#44539,.T.); #4784=FACE_BOUND('',#44540,.T.); #4785=FACE_BOUND('',#44541,.T.); #4786=FACE_BOUND('',#44542,.T.); #4787=FACE_BOUND('',#44543,.T.); #4788=FACE_BOUND('',#44544,.T.); #4789=FACE_BOUND('',#44545,.T.); #4790=FACE_BOUND('',#44546,.T.); #4791=FACE_BOUND('',#44547,.T.); #4792=FACE_BOUND('',#44548,.T.); #4793=FACE_BOUND('',#44549,.T.); #4794=FACE_BOUND('',#44550,.T.); #4795=FACE_BOUND('',#44551,.T.); #4796=FACE_BOUND('',#44552,.T.); #4797=FACE_BOUND('',#44553,.T.); #4798=FACE_BOUND('',#44554,.T.); #4799=FACE_BOUND('',#44555,.T.); #4800=FACE_BOUND('',#44556,.T.); #4801=FACE_BOUND('',#44557,.T.); #4802=FACE_BOUND('',#44558,.T.); #4803=FACE_BOUND('',#44559,.T.); #4804=FACE_BOUND('',#44560,.T.); #4805=FACE_BOUND('',#44561,.T.); #4806=FACE_BOUND('',#44562,.T.); #4807=FACE_BOUND('',#44563,.T.); #4808=FACE_BOUND('',#44564,.T.); #4809=FACE_BOUND('',#44565,.T.); #4810=FACE_BOUND('',#44566,.T.); #4811=FACE_BOUND('',#44567,.T.); #4812=FACE_BOUND('',#44568,.T.); #4813=FACE_BOUND('',#44569,.T.); #4814=FACE_BOUND('',#44570,.T.); #4815=FACE_BOUND('',#44571,.T.); #4816=FACE_BOUND('',#44572,.T.); #4817=FACE_BOUND('',#44573,.T.); #4818=FACE_BOUND('',#44574,.T.); #4819=FACE_BOUND('',#44575,.T.); #4820=FACE_BOUND('',#44576,.T.); #4821=FACE_BOUND('',#44577,.T.); #4822=FACE_BOUND('',#44578,.T.); #4823=FACE_BOUND('',#44579,.T.); #4824=FACE_BOUND('',#44580,.T.); #4825=FACE_BOUND('',#44581,.T.); #4826=FACE_BOUND('',#44582,.T.); #4827=FACE_BOUND('',#44583,.T.); #4828=FACE_BOUND('',#44584,.T.); #4829=FACE_BOUND('',#44585,.T.); #4830=FACE_BOUND('',#44586,.T.); #4831=FACE_BOUND('',#45189,.T.); #4832=FACE_BOUND('',#45190,.T.); #4833=FACE_BOUND('',#45192,.T.); #4834=FACE_BOUND('',#45193,.T.); #4835=FACE_BOUND('',#45273,.T.); #4836=FACE_BOUND('',#45274,.T.); #4837=FACE_BOUND('',#45275,.T.); #4838=FACE_BOUND('',#45276,.T.); #4839=FACE_BOUND('',#45277,.T.); #4840=FACE_BOUND('',#45278,.T.); #4841=FACE_BOUND('',#45279,.T.); #4842=FACE_BOUND('',#45280,.T.); #4843=FACE_BOUND('',#45281,.T.); #4844=FACE_BOUND('',#45282,.T.); #4845=FACE_BOUND('',#45283,.T.); #4846=FACE_BOUND('',#45284,.T.); #4847=FACE_BOUND('',#45285,.T.); #4848=FACE_BOUND('',#45286,.T.); #4849=FACE_BOUND('',#45287,.T.); #4850=FACE_BOUND('',#45289,.T.); #4851=FACE_BOUND('',#45290,.T.); #4852=FACE_BOUND('',#45291,.T.); #4853=FACE_BOUND('',#45292,.T.); #4854=FACE_BOUND('',#45293,.T.); #4855=FACE_BOUND('',#45294,.T.); #4856=FACE_BOUND('',#45295,.T.); #4857=FACE_BOUND('',#45296,.T.); #4858=FACE_BOUND('',#45297,.T.); #4859=FACE_BOUND('',#45298,.T.); #4860=FACE_BOUND('',#45299,.T.); #4861=FACE_BOUND('',#45300,.T.); #4862=FACE_BOUND('',#45301,.T.); #4863=FACE_BOUND('',#45302,.T.); #4864=FACE_BOUND('',#45303,.T.); #4865=FACE_BOUND('',#45348,.T.); #4866=FACE_BOUND('',#45350,.T.); #4867=FACE_BOUND('',#45416,.T.); #4868=FACE_BOUND('',#45417,.T.); #4869=FACE_BOUND('',#45418,.T.); #4870=FACE_BOUND('',#45419,.T.); #4871=FACE_BOUND('',#45420,.T.); #4872=FACE_BOUND('',#45421,.T.); #4873=FACE_BOUND('',#45422,.T.); #4874=FACE_BOUND('',#45423,.T.); #4875=FACE_BOUND('',#45424,.T.); #4876=FACE_BOUND('',#45425,.T.); #4877=FACE_BOUND('',#45426,.T.); #4878=FACE_BOUND('',#45427,.T.); #4879=FACE_BOUND('',#45428,.T.); #4880=FACE_BOUND('',#45429,.T.); #4881=FACE_BOUND('',#45430,.T.); #4882=FACE_BOUND('',#45432,.T.); #4883=FACE_BOUND('',#45433,.T.); #4884=FACE_BOUND('',#45434,.T.); #4885=FACE_BOUND('',#45435,.T.); #4886=FACE_BOUND('',#45436,.T.); #4887=FACE_BOUND('',#45437,.T.); #4888=FACE_BOUND('',#45438,.T.); #4889=FACE_BOUND('',#45439,.T.); #4890=FACE_BOUND('',#45440,.T.); #4891=FACE_BOUND('',#45441,.T.); #4892=FACE_BOUND('',#45442,.T.); #4893=FACE_BOUND('',#45443,.T.); #4894=FACE_BOUND('',#45444,.T.); #4895=FACE_BOUND('',#45445,.T.); #4896=FACE_BOUND('',#45446,.T.); #4897=FACE_BOUND('',#45491,.T.); #4898=FACE_BOUND('',#45493,.T.); #4899=FACE_BOUND('',#45611,.T.); #4900=FACE_BOUND('',#45612,.T.); #4901=FACE_BOUND('',#45613,.T.); #4902=FACE_BOUND('',#45614,.T.); #4903=FACE_BOUND('',#45615,.T.); #4904=FACE_BOUND('',#45616,.T.); #4905=FACE_BOUND('',#45617,.T.); #4906=FACE_BOUND('',#45618,.T.); #4907=FACE_BOUND('',#45619,.T.); #4908=FACE_BOUND('',#45620,.T.); #4909=FACE_BOUND('',#45621,.T.); #4910=FACE_BOUND('',#45622,.T.); #4911=FACE_BOUND('',#45623,.T.); #4912=FACE_BOUND('',#45624,.T.); #4913=FACE_BOUND('',#45625,.T.); #4914=FACE_BOUND('',#45626,.T.); #4915=FACE_BOUND('',#45627,.T.); #4916=FACE_BOUND('',#45628,.T.); #4917=FACE_BOUND('',#45629,.T.); #4918=FACE_BOUND('',#45630,.T.); #4919=FACE_BOUND('',#45631,.T.); #4920=FACE_BOUND('',#45632,.T.); #4921=FACE_BOUND('',#45633,.T.); #4922=FACE_BOUND('',#45634,.T.); #4923=FACE_BOUND('',#45635,.T.); #4924=FACE_BOUND('',#45636,.T.); #4925=FACE_BOUND('',#45637,.T.); #4926=FACE_BOUND('',#45638,.T.); #4927=FACE_BOUND('',#45639,.T.); #4928=FACE_BOUND('',#45640,.T.); #4929=FACE_BOUND('',#45641,.T.); #4930=FACE_BOUND('',#45643,.T.); #4931=FACE_BOUND('',#45644,.T.); #4932=FACE_BOUND('',#45645,.T.); #4933=FACE_BOUND('',#45646,.T.); #4934=FACE_BOUND('',#45647,.T.); #4935=FACE_BOUND('',#45648,.T.); #4936=FACE_BOUND('',#45649,.T.); #4937=FACE_BOUND('',#45650,.T.); #4938=FACE_BOUND('',#45651,.T.); #4939=FACE_BOUND('',#45652,.T.); #4940=FACE_BOUND('',#45653,.T.); #4941=FACE_BOUND('',#45654,.T.); #4942=FACE_BOUND('',#45655,.T.); #4943=FACE_BOUND('',#45656,.T.); #4944=FACE_BOUND('',#45657,.T.); #4945=FACE_BOUND('',#45658,.T.); #4946=FACE_BOUND('',#45659,.T.); #4947=FACE_BOUND('',#45660,.T.); #4948=FACE_BOUND('',#45661,.T.); #4949=FACE_BOUND('',#45662,.T.); #4950=FACE_BOUND('',#45663,.T.); #4951=FACE_BOUND('',#45664,.T.); #4952=FACE_BOUND('',#45665,.T.); #4953=FACE_BOUND('',#45666,.T.); #4954=FACE_BOUND('',#45667,.T.); #4955=FACE_BOUND('',#45668,.T.); #4956=FACE_BOUND('',#45669,.T.); #4957=FACE_BOUND('',#45670,.T.); #4958=FACE_BOUND('',#45671,.T.); #4959=FACE_BOUND('',#45672,.T.); #4960=FACE_BOUND('',#45673,.T.); #4961=FACE_BOUND('',#45697,.T.); #4962=FACE_BOUND('',#45699,.T.); #4963=FACE_BOUND('',#45836,.T.); #4964=FACE_BOUND('',#45837,.T.); #4965=FACE_BOUND('',#45838,.T.); #4966=FACE_BOUND('',#45839,.T.); #4967=FACE_BOUND('',#45840,.T.); #4968=FACE_BOUND('',#45841,.T.); #4969=FACE_BOUND('',#45842,.T.); #4970=FACE_BOUND('',#45843,.T.); #4971=FACE_BOUND('',#45844,.T.); #4972=FACE_BOUND('',#45845,.T.); #4973=FACE_BOUND('',#45846,.T.); #4974=FACE_BOUND('',#45848,.T.); #4975=FACE_BOUND('',#45849,.T.); #4976=FACE_BOUND('',#45850,.T.); #4977=FACE_BOUND('',#45851,.T.); #4978=FACE_BOUND('',#45852,.T.); #4979=FACE_BOUND('',#45853,.T.); #4980=FACE_BOUND('',#45854,.T.); #4981=FACE_BOUND('',#45855,.T.); #4982=FACE_BOUND('',#45856,.T.); #4983=FACE_BOUND('',#45857,.T.); #4984=FACE_BOUND('',#45858,.T.); #4985=FACE_BOUND('',#45971,.T.); #4986=FACE_BOUND('',#45972,.T.); #4987=FACE_BOUND('',#45973,.T.); #4988=FACE_BOUND('',#45974,.T.); #4989=FACE_BOUND('',#45975,.T.); #4990=FACE_BOUND('',#45976,.T.); #4991=FACE_BOUND('',#45977,.T.); #4992=FACE_BOUND('',#45978,.T.); #4993=FACE_BOUND('',#45979,.T.); #4994=FACE_BOUND('',#45980,.T.); #4995=FACE_BOUND('',#45981,.T.); #4996=FACE_BOUND('',#45982,.T.); #4997=FACE_BOUND('',#45983,.T.); #4998=FACE_BOUND('',#45984,.T.); #4999=FACE_BOUND('',#45985,.T.); #5000=FACE_BOUND('',#45986,.T.); #5001=FACE_BOUND('',#45987,.T.); #5002=FACE_BOUND('',#45988,.T.); #5003=FACE_BOUND('',#45989,.T.); #5004=FACE_BOUND('',#45990,.T.); #5005=FACE_BOUND('',#45991,.T.); #5006=FACE_BOUND('',#45992,.T.); #5007=FACE_BOUND('',#45993,.T.); #5008=FACE_BOUND('',#45994,.T.); #5009=FACE_BOUND('',#45995,.T.); #5010=FACE_BOUND('',#45996,.T.); #5011=FACE_BOUND('',#45997,.T.); #5012=FACE_BOUND('',#45998,.T.); #5013=FACE_BOUND('',#45999,.T.); #5014=FACE_BOUND('',#46000,.T.); #5015=FACE_BOUND('',#46001,.T.); #5016=FACE_BOUND('',#46003,.T.); #5017=FACE_BOUND('',#46004,.T.); #5018=FACE_BOUND('',#46005,.T.); #5019=FACE_BOUND('',#46006,.T.); #5020=FACE_BOUND('',#46007,.T.); #5021=FACE_BOUND('',#46008,.T.); #5022=FACE_BOUND('',#46009,.T.); #5023=FACE_BOUND('',#46010,.T.); #5024=FACE_BOUND('',#46011,.T.); #5025=FACE_BOUND('',#46012,.T.); #5026=FACE_BOUND('',#46013,.T.); #5027=FACE_BOUND('',#46014,.T.); #5028=FACE_BOUND('',#46015,.T.); #5029=FACE_BOUND('',#46016,.T.); #5030=FACE_BOUND('',#46017,.T.); #5031=FACE_BOUND('',#46018,.T.); #5032=FACE_BOUND('',#46019,.T.); #5033=FACE_BOUND('',#46020,.T.); #5034=FACE_BOUND('',#46021,.T.); #5035=FACE_BOUND('',#46022,.T.); #5036=FACE_BOUND('',#46023,.T.); #5037=FACE_BOUND('',#46024,.T.); #5038=FACE_BOUND('',#46025,.T.); #5039=FACE_BOUND('',#46026,.T.); #5040=FACE_BOUND('',#46027,.T.); #5041=FACE_BOUND('',#46028,.T.); #5042=FACE_BOUND('',#46029,.T.); #5043=FACE_BOUND('',#46030,.T.); #5044=FACE_BOUND('',#46031,.T.); #5045=FACE_BOUND('',#46032,.T.); #5046=FACE_BOUND('',#46033,.T.); #5047=FACE_BOUND('',#46057,.T.); #5048=FACE_BOUND('',#46059,.T.); #5049=FACE_BOUND('',#46399,.T.); #5050=FACE_BOUND('',#46400,.T.); #5051=FACE_BOUND('',#46401,.T.); #5052=FACE_BOUND('',#46402,.T.); #5053=FACE_BOUND('',#46403,.T.); #5054=FACE_BOUND('',#46404,.T.); #5055=FACE_BOUND('',#46405,.T.); #5056=FACE_BOUND('',#46406,.T.); #5057=FACE_BOUND('',#46407,.T.); #5058=FACE_BOUND('',#46408,.T.); #5059=FACE_BOUND('',#46409,.T.); #5060=FACE_BOUND('',#46410,.T.); #5061=FACE_BOUND('',#46411,.T.); #5062=FACE_BOUND('',#46412,.T.); #5063=FACE_BOUND('',#46413,.T.); #5064=FACE_BOUND('',#46414,.T.); #5065=FACE_BOUND('',#46415,.T.); #5066=FACE_BOUND('',#46417,.T.); #5067=FACE_BOUND('',#46418,.T.); #5068=FACE_BOUND('',#46419,.T.); #5069=FACE_BOUND('',#46420,.T.); #5070=FACE_BOUND('',#46421,.T.); #5071=FACE_BOUND('',#46422,.T.); #5072=FACE_BOUND('',#46423,.T.); #5073=FACE_BOUND('',#46424,.T.); #5074=FACE_BOUND('',#46425,.T.); #5075=FACE_BOUND('',#46426,.T.); #5076=FACE_BOUND('',#46427,.T.); #5077=FACE_BOUND('',#46428,.T.); #5078=FACE_BOUND('',#46429,.T.); #5079=FACE_BOUND('',#46430,.T.); #5080=FACE_BOUND('',#46431,.T.); #5081=FACE_BOUND('',#46432,.T.); #5082=FACE_BOUND('',#46433,.T.); #5083=FACE_BOUND('',#46575,.T.); #5084=FACE_BOUND('',#46577,.T.); #5085=FACE_BOUND('',#46583,.T.); #5086=FACE_BOUND('',#46585,.T.); #5087=FACE_BOUND('',#46591,.T.); #5088=FACE_BOUND('',#46593,.T.); #5089=FACE_BOUND('',#46599,.T.); #5090=FACE_BOUND('',#46601,.T.); #5091=FACE_BOUND('',#46608,.T.); #5092=FACE_BOUND('',#46610,.T.); #5093=FACE_BOUND('',#46616,.T.); #5094=FACE_BOUND('',#46618,.T.); #5095=FACE_BOUND('',#46624,.T.); #5096=FACE_BOUND('',#46626,.T.); #5097=FACE_BOUND('',#46632,.T.); #5098=FACE_BOUND('',#46634,.T.); #5099=FACE_BOUND('',#46640,.T.); #5100=FACE_BOUND('',#46642,.T.); #5101=FACE_BOUND('',#46704,.T.); #5102=FACE_BOUND('',#46706,.T.); #5103=FACE_BOUND('',#46784,.T.); #5104=FACE_BOUND('',#46786,.T.); #5105=FACE_BOUND('',#46848,.T.); #5106=FACE_BOUND('',#46850,.T.); #5107=FACE_BOUND('',#46857,.T.); #5108=FACE_BOUND('',#46859,.T.); #5109=FACE_BOUND('',#46921,.T.); #5110=FACE_BOUND('',#46923,.T.); #5111=FACE_BOUND('',#47001,.T.); #5112=FACE_BOUND('',#47003,.T.); #5113=FACE_BOUND('',#47009,.T.); #5114=FACE_BOUND('',#47011,.T.); #5115=FACE_BOUND('',#47017,.T.); #5116=FACE_BOUND('',#47019,.T.); #5117=FACE_BOUND('',#47081,.T.); #5118=FACE_BOUND('',#47083,.T.); #5119=FACE_BOUND('',#47089,.T.); #5120=FACE_BOUND('',#47091,.T.); #5121=FACE_BOUND('',#47097,.T.); #5122=FACE_BOUND('',#47099,.T.); #5123=FACE_BOUND('',#47105,.T.); #5124=FACE_BOUND('',#47107,.T.); #5125=FACE_BOUND('',#47114,.T.); #5126=FACE_BOUND('',#47116,.T.); #5127=FACE_BOUND('',#47122,.T.); #5128=FACE_BOUND('',#47124,.T.); #5129=FACE_BOUND('',#47214,.T.); #5130=FACE_BOUND('',#47215,.T.); #5131=FACE_BOUND('',#47216,.T.); #5132=FACE_BOUND('',#47217,.T.); #5133=FACE_BOUND('',#47218,.T.); #5134=FACE_BOUND('',#47219,.T.); #5135=FACE_BOUND('',#47221,.T.); #5136=FACE_BOUND('',#47222,.T.); #5137=FACE_BOUND('',#47223,.T.); #5138=FACE_BOUND('',#47224,.T.); #5139=FACE_BOUND('',#47225,.T.); #5140=FACE_BOUND('',#47226,.T.); #5141=FACE_BOUND('',#47394,.T.); #5142=FACE_BOUND('',#47395,.T.); #5143=FACE_BOUND('',#47396,.T.); #5144=FACE_BOUND('',#47397,.T.); #5145=FACE_BOUND('',#47398,.T.); #5146=FACE_BOUND('',#47399,.T.); #5147=FACE_BOUND('',#47401,.T.); #5148=FACE_BOUND('',#47402,.T.); #5149=FACE_BOUND('',#47403,.T.); #5150=FACE_BOUND('',#47404,.T.); #5151=FACE_BOUND('',#47405,.T.); #5152=FACE_BOUND('',#47406,.T.); #5153=FACE_BOUND('',#47454,.T.); #5154=FACE_BOUND('',#47455,.T.); #5155=FACE_BOUND('',#47456,.T.); #5156=FACE_BOUND('',#47457,.T.); #5157=FACE_BOUND('',#47458,.T.); #5158=FACE_BOUND('',#47459,.T.); #5159=FACE_BOUND('',#47461,.T.); #5160=FACE_BOUND('',#47462,.T.); #5161=FACE_BOUND('',#47463,.T.); #5162=FACE_BOUND('',#47464,.T.); #5163=FACE_BOUND('',#47465,.T.); #5164=FACE_BOUND('',#47466,.T.); #5165=FACE_BOUND('',#47533,.T.); #5166=FACE_BOUND('',#47534,.T.); #5167=FACE_BOUND('',#47535,.T.); #5168=FACE_BOUND('',#47536,.T.); #5169=FACE_BOUND('',#47537,.T.); #5170=FACE_BOUND('',#47538,.T.); #5171=FACE_BOUND('',#47539,.T.); #5172=FACE_BOUND('',#47540,.T.); #5173=FACE_BOUND('',#47541,.T.); #5174=FACE_BOUND('',#47542,.T.); #5175=FACE_BOUND('',#47543,.T.); #5176=FACE_BOUND('',#47544,.T.); #5177=FACE_BOUND('',#47545,.T.); #5178=FACE_BOUND('',#47546,.T.); #5179=FACE_BOUND('',#47547,.T.); #5180=FACE_BOUND('',#47548,.T.); #5181=FACE_BOUND('',#47549,.T.); #5182=FACE_BOUND('',#47550,.T.); #5183=FACE_BOUND('',#47551,.T.); #5184=FACE_BOUND('',#47552,.T.); #5185=FACE_BOUND('',#47553,.T.); #5186=FACE_BOUND('',#47554,.T.); #5187=FACE_BOUND('',#47555,.T.); #5188=FACE_BOUND('',#47556,.T.); #5189=FACE_BOUND('',#47557,.T.); #5190=FACE_BOUND('',#47558,.T.); #5191=FACE_BOUND('',#47559,.T.); #5192=FACE_BOUND('',#47560,.T.); #5193=FACE_BOUND('',#47561,.T.); #5194=FACE_BOUND('',#47562,.T.); #5195=FACE_BOUND('',#47563,.T.); #5196=FACE_BOUND('',#47564,.T.); #5197=FACE_BOUND('',#47565,.T.); #5198=FACE_BOUND('',#47566,.T.); #5199=FACE_BOUND('',#47567,.T.); #5200=FACE_BOUND('',#47568,.T.); #5201=FACE_BOUND('',#47569,.T.); #5202=FACE_BOUND('',#47570,.T.); #5203=FACE_BOUND('',#47571,.T.); #5204=FACE_BOUND('',#47572,.T.); #5205=FACE_BOUND('',#47573,.T.); #5206=FACE_BOUND('',#47574,.T.); #5207=FACE_BOUND('',#47575,.T.); #5208=FACE_BOUND('',#47576,.T.); #5209=FACE_BOUND('',#47577,.T.); #5210=FACE_BOUND('',#47578,.T.); #5211=FACE_BOUND('',#47579,.T.); #5212=FACE_BOUND('',#47580,.T.); #5213=FACE_BOUND('',#47581,.T.); #5214=FACE_BOUND('',#47583,.T.); #5215=FACE_BOUND('',#47584,.T.); #5216=FACE_BOUND('',#47585,.T.); #5217=FACE_BOUND('',#47586,.T.); #5218=FACE_BOUND('',#47587,.T.); #5219=FACE_BOUND('',#47588,.T.); #5220=FACE_BOUND('',#47589,.T.); #5221=FACE_BOUND('',#47590,.T.); #5222=FACE_BOUND('',#47591,.T.); #5223=FACE_BOUND('',#47592,.T.); #5224=FACE_BOUND('',#47593,.T.); #5225=FACE_BOUND('',#47594,.T.); #5226=FACE_BOUND('',#47595,.T.); #5227=FACE_BOUND('',#47596,.T.); #5228=FACE_BOUND('',#47597,.T.); #5229=FACE_BOUND('',#47598,.T.); #5230=FACE_BOUND('',#47599,.T.); #5231=FACE_BOUND('',#47600,.T.); #5232=FACE_BOUND('',#47601,.T.); #5233=FACE_BOUND('',#47602,.T.); #5234=FACE_BOUND('',#47603,.T.); #5235=FACE_BOUND('',#47604,.T.); #5236=FACE_BOUND('',#47605,.T.); #5237=FACE_BOUND('',#47606,.T.); #5238=FACE_BOUND('',#47607,.T.); #5239=FACE_BOUND('',#47608,.T.); #5240=FACE_BOUND('',#47609,.T.); #5241=FACE_BOUND('',#47610,.T.); #5242=FACE_BOUND('',#47611,.T.); #5243=FACE_BOUND('',#47612,.T.); #5244=FACE_BOUND('',#47613,.T.); #5245=FACE_BOUND('',#47614,.T.); #5246=FACE_BOUND('',#47615,.T.); #5247=FACE_BOUND('',#47616,.T.); #5248=FACE_BOUND('',#47617,.T.); #5249=FACE_BOUND('',#47618,.T.); #5250=FACE_BOUND('',#47619,.T.); #5251=FACE_BOUND('',#47620,.T.); #5252=FACE_BOUND('',#47621,.T.); #5253=FACE_BOUND('',#47622,.T.); #5254=FACE_BOUND('',#47623,.T.); #5255=FACE_BOUND('',#47624,.T.); #5256=FACE_BOUND('',#47625,.T.); #5257=FACE_BOUND('',#47626,.T.); #5258=FACE_BOUND('',#47627,.T.); #5259=FACE_BOUND('',#47628,.T.); #5260=FACE_BOUND('',#47629,.T.); #5261=FACE_BOUND('',#47630,.T.); #5262=FACE_BOUND('',#47631,.T.); #5263=FACE_BOUND('',#47746,.T.); #5264=FACE_BOUND('',#47748,.T.); #5265=FACE_BOUND('',#47767,.T.); #5266=FACE_BOUND('',#47769,.T.); #5267=FACE_BOUND('',#47856,.T.); #5268=FACE_BOUND('',#47858,.T.); #5269=FACE_BOUND('',#47865,.T.); #5270=FACE_BOUND('',#47867,.T.); #5271=FACE_BOUND('',#47904,.T.); #5272=FACE_BOUND('',#47906,.T.); #5273=FACE_BOUND('',#47913,.T.); #5274=FACE_BOUND('',#47915,.T.); #5275=FACE_BOUND('',#47993,.T.); #5276=FACE_BOUND('',#47994,.T.); #5277=FACE_BOUND('',#47995,.T.); #5278=FACE_BOUND('',#47996,.T.); #5279=FACE_BOUND('',#47997,.T.); #5280=FACE_BOUND('',#47998,.T.); #5281=FACE_BOUND('',#48000,.T.); #5282=FACE_BOUND('',#48001,.T.); #5283=FACE_BOUND('',#48002,.T.); #5284=FACE_BOUND('',#48003,.T.); #5285=FACE_BOUND('',#48004,.T.); #5286=FACE_BOUND('',#48005,.T.); #5287=FACE_BOUND('',#48037,.T.); #5288=FACE_BOUND('',#48038,.T.); #5289=FACE_BOUND('',#48042,.T.); #5290=FACE_BOUND('',#48043,.T.); #5291=FACE_BOUND('',#48175,.T.); #5292=FACE_BOUND('',#48177,.T.); #5293=FACE_BOUND('',#48197,.T.); #5294=FACE_BOUND('',#48198,.T.); #5295=FACE_BOUND('',#48200,.T.); #5296=FACE_BOUND('',#48201,.T.); #5297=FACE_BOUND('',#48215,.T.); #5298=FACE_BOUND('',#48216,.T.); #5299=FACE_BOUND('',#48218,.T.); #5300=FACE_BOUND('',#48219,.T.); #5301=FACE_BOUND('',#48233,.T.); #5302=FACE_BOUND('',#48234,.T.); #5303=FACE_BOUND('',#48236,.T.); #5304=FACE_BOUND('',#48237,.T.); #5305=FACE_BOUND('',#48251,.T.); #5306=FACE_BOUND('',#48252,.T.); #5307=FACE_BOUND('',#48254,.T.); #5308=FACE_BOUND('',#48255,.T.); #5309=FACE_BOUND('',#48333,.T.); #5310=FACE_BOUND('',#48334,.T.); #5311=FACE_BOUND('',#48336,.T.); #5312=FACE_BOUND('',#48337,.T.); #5313=FACE_BOUND('',#48350,.T.); #5314=FACE_BOUND('',#48352,.T.); #5315=FACE_BOUND('',#48368,.T.); #5316=FACE_BOUND('',#48370,.T.); #5317=FACE_BOUND('',#48418,.T.); #5318=FACE_BOUND('',#48419,.T.); #5319=FACE_BOUND('',#48421,.T.); #5320=FACE_BOUND('',#48422,.T.); #5321=FACE_BOUND('',#48437,.T.); #5322=FACE_BOUND('',#48438,.T.); #5323=FACE_BOUND('',#48440,.T.); #5324=FACE_BOUND('',#48441,.T.); #5325=FACE_BOUND('',#48468,.T.); #5326=FACE_BOUND('',#48470,.T.); #5327=FACE_BOUND('',#48499,.T.); #5328=FACE_BOUND('',#48501,.T.); #5329=FACE_BOUND('',#48566,.T.); #5330=FACE_BOUND('',#48567,.T.); #5331=FACE_BOUND('',#48569,.T.); #5332=FACE_BOUND('',#48570,.T.); #5333=FACE_BOUND('',#48582,.T.); #5334=FACE_BOUND('',#48583,.T.); #5335=FACE_BOUND('',#48585,.T.); #5336=FACE_BOUND('',#48586,.T.); #5337=FACE_BOUND('',#48598,.T.); #5338=FACE_BOUND('',#48599,.T.); #5339=FACE_BOUND('',#48601,.T.); #5340=FACE_BOUND('',#48602,.T.); #5341=FACE_BOUND('',#48614,.T.); #5342=FACE_BOUND('',#48615,.T.); #5343=FACE_BOUND('',#48617,.T.); #5344=FACE_BOUND('',#48618,.T.); #5345=FACE_BOUND('',#48635,.T.); #5346=FACE_BOUND('',#48636,.T.); #5347=FACE_BOUND('',#48638,.T.); #5348=FACE_BOUND('',#48639,.T.); #5349=FACE_BOUND('',#48646,.T.); #5350=FACE_BOUND('',#48648,.T.); #5351=FACE_BOUND('',#48655,.T.); #5352=FACE_BOUND('',#48657,.T.); #5353=FACE_BOUND('',#48664,.T.); #5354=FACE_BOUND('',#48666,.T.); #5355=FACE_BOUND('',#48679,.T.); #5356=FACE_BOUND('',#48681,.T.); #5357=FACE_BOUND('',#48746,.T.); #5358=FACE_BOUND('',#48747,.T.); #5359=FACE_BOUND('',#48749,.T.); #5360=FACE_BOUND('',#48750,.T.); #5361=FACE_BOUND('',#48761,.T.); #5362=FACE_BOUND('',#48762,.T.); #5363=FACE_BOUND('',#48764,.T.); #5364=FACE_BOUND('',#48765,.T.); #5365=FACE_BOUND('',#48778,.T.); #5366=FACE_BOUND('',#48780,.T.); #5367=FACE_BOUND('',#48793,.T.); #5368=FACE_BOUND('',#48795,.T.); #5369=FACE_BOUND('',#48815,.T.); #5370=FACE_BOUND('',#48817,.T.); #5371=FACE_BOUND('',#48835,.T.); #5372=FACE_BOUND('',#48837,.T.); #5373=FACE_BOUND('',#48843,.T.); #5374=FACE_BOUND('',#48845,.T.); #5375=FACE_BOUND('',#48869,.T.); #5376=FACE_BOUND('',#48871,.T.); #5377=FACE_BOUND('',#48889,.T.); #5378=FACE_BOUND('',#48891,.T.); #5379=FACE_BOUND('',#48965,.T.); #5380=FACE_BOUND('',#48967,.T.); #5381=FACE_BOUND('',#48978,.T.); #5382=FACE_BOUND('',#48979,.T.); #5383=FACE_BOUND('',#48981,.T.); #5384=FACE_BOUND('',#48982,.T.); #5385=FACE_BOUND('',#48989,.T.); #5386=FACE_BOUND('',#48991,.T.); #5387=FACE_BOUND('',#49018,.T.); #5388=FACE_BOUND('',#49019,.T.); #5389=FACE_BOUND('',#49021,.T.); #5390=FACE_BOUND('',#49022,.T.); #5391=FACE_BOUND('',#49034,.T.); #5392=FACE_BOUND('',#49035,.T.); #5393=FACE_BOUND('',#49037,.T.); #5394=FACE_BOUND('',#49038,.T.); #5395=FACE_BOUND('',#49045,.T.); #5396=FACE_BOUND('',#49047,.T.); #5397=FACE_BOUND('',#49143,.T.); #5398=FACE_BOUND('',#49144,.T.); #5399=FACE_BOUND('',#49145,.T.); #5400=FACE_BOUND('',#49146,.T.); #5401=FACE_BOUND('',#49147,.T.); #5402=FACE_BOUND('',#49149,.T.); #5403=FACE_BOUND('',#49150,.T.); #5404=FACE_BOUND('',#49151,.T.); #5405=FACE_BOUND('',#49152,.T.); #5406=FACE_BOUND('',#49153,.T.); #5407=FACE_BOUND('',#49204,.T.); #5408=FACE_BOUND('',#49206,.T.); #5409=FACE_BOUND('',#49317,.T.); #5410=FACE_BOUND('',#49318,.T.); #5411=FACE_BOUND('',#49319,.T.); #5412=FACE_BOUND('',#49320,.T.); #5413=FACE_BOUND('',#49321,.T.); #5414=FACE_BOUND('',#49322,.T.); #5415=FACE_BOUND('',#49323,.T.); #5416=FACE_BOUND('',#49324,.T.); #5417=FACE_BOUND('',#49325,.T.); #5418=FACE_BOUND('',#49326,.T.); #5419=FACE_BOUND('',#49327,.T.); #5420=FACE_BOUND('',#49328,.T.); #5421=FACE_BOUND('',#49330,.T.); #5422=FACE_BOUND('',#49331,.T.); #5423=FACE_BOUND('',#49332,.T.); #5424=FACE_BOUND('',#49333,.T.); #5425=FACE_BOUND('',#49334,.T.); #5426=FACE_BOUND('',#49335,.T.); #5427=FACE_BOUND('',#49336,.T.); #5428=FACE_BOUND('',#49337,.T.); #5429=FACE_BOUND('',#49338,.T.); #5430=FACE_BOUND('',#49339,.T.); #5431=FACE_BOUND('',#49340,.T.); #5432=FACE_BOUND('',#49341,.T.); #5433=FACE_BOUND('',#51257,.T.); #5434=FACE_BOUND('',#51258,.T.); #5435=FACE_BOUND('',#51259,.T.); #5436=FACE_BOUND('',#51260,.T.); #5437=FACE_BOUND('',#51261,.T.); #5438=FACE_BOUND('',#51262,.T.); #5439=FACE_BOUND('',#51263,.T.); #5440=FACE_BOUND('',#51264,.T.); #5441=FACE_BOUND('',#51265,.T.); #5442=FACE_BOUND('',#51266,.T.); #5443=FACE_BOUND('',#51267,.T.); #5444=FACE_BOUND('',#51268,.T.); #5445=FACE_BOUND('',#51269,.T.); #5446=FACE_BOUND('',#51270,.T.); #5447=FACE_BOUND('',#51271,.T.); #5448=FACE_BOUND('',#51272,.T.); #5449=FACE_BOUND('',#51273,.T.); #5450=FACE_BOUND('',#51274,.T.); #5451=FACE_BOUND('',#51275,.T.); #5452=FACE_BOUND('',#51276,.T.); #5453=FACE_BOUND('',#51277,.T.); #5454=FACE_BOUND('',#51278,.T.); #5455=FACE_BOUND('',#51279,.T.); #5456=FACE_BOUND('',#51280,.T.); #5457=FACE_BOUND('',#51281,.T.); #5458=FACE_BOUND('',#51282,.T.); #5459=FACE_BOUND('',#51283,.T.); #5460=FACE_BOUND('',#51284,.T.); #5461=FACE_BOUND('',#51285,.T.); #5462=FACE_BOUND('',#51286,.T.); #5463=FACE_BOUND('',#51287,.T.); #5464=FACE_BOUND('',#51288,.T.); #5465=FACE_BOUND('',#51289,.T.); #5466=FACE_BOUND('',#51290,.T.); #5467=FACE_BOUND('',#51291,.T.); #5468=FACE_BOUND('',#51292,.T.); #5469=FACE_BOUND('',#51293,.T.); #5470=FACE_BOUND('',#51294,.T.); #5471=FACE_BOUND('',#51295,.T.); #5472=FACE_BOUND('',#51296,.T.); #5473=FACE_BOUND('',#51297,.T.); #5474=FACE_BOUND('',#51298,.T.); #5475=FACE_BOUND('',#51299,.T.); #5476=FACE_BOUND('',#51300,.T.); #5477=FACE_BOUND('',#51301,.T.); #5478=FACE_BOUND('',#51302,.T.); #5479=FACE_BOUND('',#51303,.T.); #5480=FACE_BOUND('',#51304,.T.); #5481=FACE_BOUND('',#51305,.T.); #5482=FACE_BOUND('',#51306,.T.); #5483=FACE_BOUND('',#51307,.T.); #5484=FACE_BOUND('',#51308,.T.); #5485=FACE_BOUND('',#51309,.T.); #5486=FACE_BOUND('',#51310,.T.); #5487=FACE_BOUND('',#51311,.T.); #5488=FACE_BOUND('',#51312,.T.); #5489=FACE_BOUND('',#51313,.T.); #5490=FACE_BOUND('',#51314,.T.); #5491=FACE_BOUND('',#51315,.T.); #5492=FACE_BOUND('',#51316,.T.); #5493=FACE_BOUND('',#51317,.T.); #5494=FACE_BOUND('',#51318,.T.); #5495=FACE_BOUND('',#51319,.T.); #5496=FACE_BOUND('',#51320,.T.); #5497=FACE_BOUND('',#51321,.T.); #5498=FACE_BOUND('',#51322,.T.); #5499=FACE_BOUND('',#51323,.T.); #5500=FACE_BOUND('',#51324,.T.); #5501=FACE_BOUND('',#51325,.T.); #5502=FACE_BOUND('',#51326,.T.); #5503=FACE_BOUND('',#51327,.T.); #5504=FACE_BOUND('',#51328,.T.); #5505=FACE_BOUND('',#51329,.T.); #5506=FACE_BOUND('',#51330,.T.); #5507=FACE_BOUND('',#51331,.T.); #5508=FACE_BOUND('',#51332,.T.); #5509=FACE_BOUND('',#51333,.T.); #5510=FACE_BOUND('',#51334,.T.); #5511=FACE_BOUND('',#51335,.T.); #5512=FACE_BOUND('',#51336,.T.); #5513=FACE_BOUND('',#51337,.T.); #5514=FACE_BOUND('',#51338,.T.); #5515=FACE_BOUND('',#51339,.T.); #5516=FACE_BOUND('',#51340,.T.); #5517=FACE_BOUND('',#51341,.T.); #5518=FACE_BOUND('',#51342,.T.); #5519=FACE_BOUND('',#51343,.T.); #5520=FACE_BOUND('',#51344,.T.); #5521=FACE_BOUND('',#51345,.T.); #5522=FACE_BOUND('',#51346,.T.); #5523=FACE_BOUND('',#51347,.T.); #5524=FACE_BOUND('',#51348,.T.); #5525=FACE_BOUND('',#51349,.T.); #5526=FACE_BOUND('',#51350,.T.); #5527=FACE_BOUND('',#51351,.T.); #5528=FACE_BOUND('',#51352,.T.); #5529=FACE_BOUND('',#51353,.T.); #5530=FACE_BOUND('',#51354,.T.); #5531=FACE_BOUND('',#51355,.T.); #5532=FACE_BOUND('',#51356,.T.); #5533=FACE_BOUND('',#51357,.T.); #5534=FACE_BOUND('',#51358,.T.); #5535=FACE_BOUND('',#51359,.T.); #5536=FACE_BOUND('',#51360,.T.); #5537=FACE_BOUND('',#51361,.T.); #5538=FACE_BOUND('',#51362,.T.); #5539=FACE_BOUND('',#51363,.T.); #5540=FACE_BOUND('',#51364,.T.); #5541=FACE_BOUND('',#51365,.T.); #5542=FACE_BOUND('',#51366,.T.); #5543=FACE_BOUND('',#51367,.T.); #5544=FACE_BOUND('',#51368,.T.); #5545=FACE_BOUND('',#51369,.T.); #5546=FACE_BOUND('',#51370,.T.); #5547=FACE_BOUND('',#51371,.T.); #5548=FACE_BOUND('',#51372,.T.); #5549=FACE_BOUND('',#51373,.T.); #5550=FACE_BOUND('',#51374,.T.); #5551=FACE_BOUND('',#51375,.T.); #5552=FACE_BOUND('',#51376,.T.); #5553=FACE_BOUND('',#51377,.T.); #5554=FACE_BOUND('',#51378,.T.); #5555=FACE_BOUND('',#51379,.T.); #5556=FACE_BOUND('',#51380,.T.); #5557=FACE_BOUND('',#51381,.T.); #5558=FACE_BOUND('',#51382,.T.); #5559=FACE_BOUND('',#51383,.T.); #5560=FACE_BOUND('',#51384,.T.); #5561=FACE_BOUND('',#51385,.T.); #5562=FACE_BOUND('',#51386,.T.); #5563=FACE_BOUND('',#51387,.T.); #5564=FACE_BOUND('',#51388,.T.); #5565=FACE_BOUND('',#51389,.T.); #5566=FACE_BOUND('',#51390,.T.); #5567=FACE_BOUND('',#51391,.T.); #5568=FACE_BOUND('',#51392,.T.); #5569=FACE_BOUND('',#51393,.T.); #5570=FACE_BOUND('',#51394,.T.); #5571=FACE_BOUND('',#51395,.T.); #5572=FACE_BOUND('',#51396,.T.); #5573=FACE_BOUND('',#51397,.T.); #5574=FACE_BOUND('',#51398,.T.); #5575=FACE_BOUND('',#51399,.T.); #5576=FACE_BOUND('',#51400,.T.); #5577=FACE_BOUND('',#51401,.T.); #5578=FACE_BOUND('',#51403,.T.); #5579=FACE_BOUND('',#51404,.T.); #5580=FACE_BOUND('',#51405,.T.); #5581=FACE_BOUND('',#51406,.T.); #5582=FACE_BOUND('',#51407,.T.); #5583=FACE_BOUND('',#51408,.T.); #5584=FACE_BOUND('',#51409,.T.); #5585=FACE_BOUND('',#51410,.T.); #5586=FACE_BOUND('',#51411,.T.); #5587=FACE_BOUND('',#51412,.T.); #5588=FACE_BOUND('',#51413,.T.); #5589=FACE_BOUND('',#51414,.T.); #5590=FACE_BOUND('',#51415,.T.); #5591=FACE_BOUND('',#51416,.T.); #5592=FACE_BOUND('',#51417,.T.); #5593=FACE_BOUND('',#51418,.T.); #5594=FACE_BOUND('',#51419,.T.); #5595=FACE_BOUND('',#51420,.T.); #5596=FACE_BOUND('',#51421,.T.); #5597=FACE_BOUND('',#51422,.T.); #5598=FACE_BOUND('',#51423,.T.); #5599=FACE_BOUND('',#51424,.T.); #5600=FACE_BOUND('',#51425,.T.); #5601=FACE_BOUND('',#51426,.T.); #5602=FACE_BOUND('',#51427,.T.); #5603=FACE_BOUND('',#51428,.T.); #5604=FACE_BOUND('',#51429,.T.); #5605=FACE_BOUND('',#51430,.T.); #5606=FACE_BOUND('',#51431,.T.); #5607=FACE_BOUND('',#51432,.T.); #5608=FACE_BOUND('',#51433,.T.); #5609=FACE_BOUND('',#51434,.T.); #5610=FACE_BOUND('',#51435,.T.); #5611=FACE_BOUND('',#51436,.T.); #5612=FACE_BOUND('',#51437,.T.); #5613=FACE_BOUND('',#51438,.T.); #5614=FACE_BOUND('',#51439,.T.); #5615=FACE_BOUND('',#51440,.T.); #5616=FACE_BOUND('',#51441,.T.); #5617=FACE_BOUND('',#51442,.T.); #5618=FACE_BOUND('',#51443,.T.); #5619=FACE_BOUND('',#51444,.T.); #5620=FACE_BOUND('',#51445,.T.); #5621=FACE_BOUND('',#51446,.T.); #5622=FACE_BOUND('',#51447,.T.); #5623=FACE_BOUND('',#51448,.T.); #5624=FACE_BOUND('',#51449,.T.); #5625=FACE_BOUND('',#51450,.T.); #5626=FACE_BOUND('',#51451,.T.); #5627=FACE_BOUND('',#51452,.T.); #5628=FACE_BOUND('',#51453,.T.); #5629=FACE_BOUND('',#51454,.T.); #5630=FACE_BOUND('',#51455,.T.); #5631=FACE_BOUND('',#51456,.T.); #5632=FACE_BOUND('',#51457,.T.); #5633=FACE_BOUND('',#51458,.T.); #5634=FACE_BOUND('',#51459,.T.); #5635=FACE_BOUND('',#51460,.T.); #5636=FACE_BOUND('',#51461,.T.); #5637=FACE_BOUND('',#51462,.T.); #5638=FACE_BOUND('',#51463,.T.); #5639=FACE_BOUND('',#51464,.T.); #5640=FACE_BOUND('',#51465,.T.); #5641=FACE_BOUND('',#51466,.T.); #5642=FACE_BOUND('',#51467,.T.); #5643=FACE_BOUND('',#51468,.T.); #5644=FACE_BOUND('',#51469,.T.); #5645=FACE_BOUND('',#51470,.T.); #5646=FACE_BOUND('',#51471,.T.); #5647=FACE_BOUND('',#51472,.T.); #5648=FACE_BOUND('',#51473,.T.); #5649=FACE_BOUND('',#51474,.T.); #5650=FACE_BOUND('',#51475,.T.); #5651=FACE_BOUND('',#51476,.T.); #5652=FACE_BOUND('',#51477,.T.); #5653=FACE_BOUND('',#51478,.T.); #5654=FACE_BOUND('',#51479,.T.); #5655=FACE_BOUND('',#51480,.T.); #5656=FACE_BOUND('',#51481,.T.); #5657=FACE_BOUND('',#51482,.T.); #5658=FACE_BOUND('',#51483,.T.); #5659=FACE_BOUND('',#51484,.T.); #5660=FACE_BOUND('',#51485,.T.); #5661=FACE_BOUND('',#51486,.T.); #5662=FACE_BOUND('',#51487,.T.); #5663=FACE_BOUND('',#51488,.T.); #5664=FACE_BOUND('',#51489,.T.); #5665=FACE_BOUND('',#51490,.T.); #5666=FACE_BOUND('',#51491,.T.); #5667=FACE_BOUND('',#51492,.T.); #5668=FACE_BOUND('',#51493,.T.); #5669=FACE_BOUND('',#51494,.T.); #5670=FACE_BOUND('',#51495,.T.); #5671=FACE_BOUND('',#51496,.T.); #5672=FACE_BOUND('',#51497,.T.); #5673=FACE_BOUND('',#51498,.T.); #5674=FACE_BOUND('',#51499,.T.); #5675=FACE_BOUND('',#51500,.T.); #5676=FACE_BOUND('',#51501,.T.); #5677=FACE_BOUND('',#51502,.T.); #5678=FACE_BOUND('',#51503,.T.); #5679=FACE_BOUND('',#51504,.T.); #5680=FACE_BOUND('',#51505,.T.); #5681=FACE_BOUND('',#51506,.T.); #5682=FACE_BOUND('',#51507,.T.); #5683=FACE_BOUND('',#51508,.T.); #5684=FACE_BOUND('',#51509,.T.); #5685=FACE_BOUND('',#51510,.T.); #5686=FACE_BOUND('',#51511,.T.); #5687=FACE_BOUND('',#51512,.T.); #5688=FACE_BOUND('',#51513,.T.); #5689=FACE_BOUND('',#51514,.T.); #5690=FACE_BOUND('',#51515,.T.); #5691=FACE_BOUND('',#51516,.T.); #5692=FACE_BOUND('',#51517,.T.); #5693=FACE_BOUND('',#51518,.T.); #5694=FACE_BOUND('',#51519,.T.); #5695=FACE_BOUND('',#51520,.T.); #5696=FACE_BOUND('',#51521,.T.); #5697=FACE_BOUND('',#51522,.T.); #5698=FACE_BOUND('',#51523,.T.); #5699=FACE_BOUND('',#51524,.T.); #5700=FACE_BOUND('',#51525,.T.); #5701=FACE_BOUND('',#51526,.T.); #5702=FACE_BOUND('',#51527,.T.); #5703=FACE_BOUND('',#51528,.T.); #5704=FACE_BOUND('',#51529,.T.); #5705=FACE_BOUND('',#51530,.T.); #5706=FACE_BOUND('',#51531,.T.); #5707=FACE_BOUND('',#51532,.T.); #5708=FACE_BOUND('',#51533,.T.); #5709=FACE_BOUND('',#51534,.T.); #5710=FACE_BOUND('',#51535,.T.); #5711=FACE_BOUND('',#51536,.T.); #5712=FACE_BOUND('',#51537,.T.); #5713=FACE_BOUND('',#51538,.T.); #5714=FACE_BOUND('',#51539,.T.); #5715=FACE_BOUND('',#51540,.T.); #5716=FACE_BOUND('',#51541,.T.); #5717=FACE_BOUND('',#51542,.T.); #5718=FACE_BOUND('',#51543,.T.); #5719=FACE_BOUND('',#51544,.T.); #5720=FACE_BOUND('',#51545,.T.); #5721=FACE_BOUND('',#51546,.T.); #5722=FACE_BOUND('',#51547,.T.); #5723=FACE_BOUND('',#51948,.T.); #5724=FACE_BOUND('',#51949,.T.); #5725=FACE_BOUND('',#51950,.T.); #5726=FACE_BOUND('',#51951,.T.); #5727=FACE_BOUND('',#51952,.T.); #5728=FACE_BOUND('',#51953,.T.); #5729=FACE_BOUND('',#51954,.T.); #5730=FACE_BOUND('',#51955,.T.); #5731=FACE_BOUND('',#51956,.T.); #5732=FACE_BOUND('',#51957,.T.); #5733=FACE_BOUND('',#51958,.T.); #5734=FACE_BOUND('',#51959,.T.); #5735=FACE_BOUND('',#51960,.T.); #5736=FACE_BOUND('',#51961,.T.); #5737=FACE_BOUND('',#51962,.T.); #5738=FACE_BOUND('',#51963,.T.); #5739=FACE_BOUND('',#51965,.T.); #5740=FACE_BOUND('',#51966,.T.); #5741=FACE_BOUND('',#51967,.T.); #5742=FACE_BOUND('',#51968,.T.); #5743=FACE_BOUND('',#51969,.T.); #5744=FACE_BOUND('',#51970,.T.); #5745=FACE_BOUND('',#51971,.T.); #5746=FACE_BOUND('',#51972,.T.); #5747=FACE_BOUND('',#51973,.T.); #5748=FACE_BOUND('',#51974,.T.); #5749=FACE_BOUND('',#51975,.T.); #5750=FACE_BOUND('',#51976,.T.); #5751=FACE_BOUND('',#51977,.T.); #5752=FACE_BOUND('',#51978,.T.); #5753=FACE_BOUND('',#51979,.T.); #5754=FACE_BOUND('',#51980,.T.); #5755=FACE_BOUND('',#52068,.T.); #5756=FACE_BOUND('',#52069,.T.); #5757=FACE_BOUND('',#52070,.T.); #5758=FACE_BOUND('',#52071,.T.); #5759=FACE_BOUND('',#52072,.T.); #5760=FACE_BOUND('',#52073,.T.); #5761=FACE_BOUND('',#52074,.T.); #5762=FACE_BOUND('',#52075,.T.); #5763=FACE_BOUND('',#52076,.T.); #5764=FACE_BOUND('',#52077,.T.); #5765=FACE_BOUND('',#52078,.T.); #5766=FACE_BOUND('',#52079,.T.); #5767=FACE_BOUND('',#52080,.T.); #5768=FACE_BOUND('',#52081,.T.); #5769=FACE_BOUND('',#52082,.T.); #5770=FACE_BOUND('',#52083,.T.); #5771=FACE_BOUND('',#52085,.T.); #5772=FACE_BOUND('',#52086,.T.); #5773=FACE_BOUND('',#52087,.T.); #5774=FACE_BOUND('',#52088,.T.); #5775=FACE_BOUND('',#52089,.T.); #5776=FACE_BOUND('',#52090,.T.); #5777=FACE_BOUND('',#52091,.T.); #5778=FACE_BOUND('',#52092,.T.); #5779=FACE_BOUND('',#52093,.T.); #5780=FACE_BOUND('',#52094,.T.); #5781=FACE_BOUND('',#52095,.T.); #5782=FACE_BOUND('',#52096,.T.); #5783=FACE_BOUND('',#52097,.T.); #5784=FACE_BOUND('',#52098,.T.); #5785=FACE_BOUND('',#52099,.T.); #5786=FACE_BOUND('',#52100,.T.); #5787=FACE_BOUND('',#52177,.T.); #5788=FACE_BOUND('',#52178,.T.); #5789=FACE_BOUND('',#52179,.T.); #5790=FACE_BOUND('',#52180,.T.); #5791=FACE_BOUND('',#52181,.T.); #5792=FACE_BOUND('',#52182,.T.); #5793=FACE_BOUND('',#52183,.T.); #5794=FACE_BOUND('',#52184,.T.); #5795=FACE_BOUND('',#52185,.T.); #5796=FACE_BOUND('',#52186,.T.); #5797=FACE_BOUND('',#52187,.T.); #5798=FACE_BOUND('',#52188,.T.); #5799=FACE_BOUND('',#52189,.T.); #5800=FACE_BOUND('',#52190,.T.); #5801=FACE_BOUND('',#52191,.T.); #5802=FACE_BOUND('',#52192,.T.); #5803=FACE_BOUND('',#52193,.T.); #5804=FACE_BOUND('',#52194,.T.); #5805=FACE_BOUND('',#52195,.T.); #5806=FACE_BOUND('',#52196,.T.); #5807=FACE_BOUND('',#52197,.T.); #5808=FACE_BOUND('',#52198,.T.); #5809=FACE_BOUND('',#52199,.T.); #5810=FACE_BOUND('',#52200,.T.); #5811=FACE_BOUND('',#52201,.T.); #5812=FACE_BOUND('',#52202,.T.); #5813=FACE_BOUND('',#52203,.T.); #5814=FACE_BOUND('',#52204,.T.); #5815=FACE_BOUND('',#52205,.T.); #5816=FACE_BOUND('',#52206,.T.); #5817=FACE_BOUND('',#52208,.T.); #5818=FACE_BOUND('',#52209,.T.); #5819=FACE_BOUND('',#52210,.T.); #5820=FACE_BOUND('',#52211,.T.); #5821=FACE_BOUND('',#52212,.T.); #5822=FACE_BOUND('',#52213,.T.); #5823=FACE_BOUND('',#52214,.T.); #5824=FACE_BOUND('',#52215,.T.); #5825=FACE_BOUND('',#52216,.T.); #5826=FACE_BOUND('',#52217,.T.); #5827=FACE_BOUND('',#52218,.T.); #5828=FACE_BOUND('',#52219,.T.); #5829=FACE_BOUND('',#52220,.T.); #5830=FACE_BOUND('',#52221,.T.); #5831=FACE_BOUND('',#52222,.T.); #5832=FACE_BOUND('',#52223,.T.); #5833=FACE_BOUND('',#52224,.T.); #5834=FACE_BOUND('',#52225,.T.); #5835=FACE_BOUND('',#52226,.T.); #5836=FACE_BOUND('',#52227,.T.); #5837=FACE_BOUND('',#52228,.T.); #5838=FACE_BOUND('',#52229,.T.); #5839=FACE_BOUND('',#52230,.T.); #5840=FACE_BOUND('',#52231,.T.); #5841=FACE_BOUND('',#52232,.T.); #5842=FACE_BOUND('',#52233,.T.); #5843=FACE_BOUND('',#52234,.T.); #5844=FACE_BOUND('',#52235,.T.); #5845=FACE_BOUND('',#52236,.T.); #5846=FACE_BOUND('',#52237,.T.); #5847=FACE_BOUND('',#52248,.T.); #5848=FACE_BOUND('',#52249,.T.); #5849=FACE_BOUND('',#52251,.T.); #5850=FACE_BOUND('',#52252,.T.); #5851=FACE_BOUND('',#52288,.T.); #5852=FACE_BOUND('',#52289,.T.); #5853=FACE_BOUND('',#52290,.T.); #5854=FACE_BOUND('',#52291,.T.); #5855=FACE_BOUND('',#52292,.T.); #5856=FACE_BOUND('',#52293,.T.); #5857=FACE_BOUND('',#52294,.T.); #5858=FACE_BOUND('',#52295,.T.); #5859=FACE_BOUND('',#52296,.T.); #5860=FACE_BOUND('',#52297,.T.); #5861=FACE_BOUND('',#52299,.T.); #5862=FACE_BOUND('',#52300,.T.); #5863=FACE_BOUND('',#52301,.T.); #5864=FACE_BOUND('',#52302,.T.); #5865=FACE_BOUND('',#52303,.T.); #5866=FACE_BOUND('',#52304,.T.); #5867=FACE_BOUND('',#52305,.T.); #5868=FACE_BOUND('',#52306,.T.); #5869=FACE_BOUND('',#52307,.T.); #5870=FACE_BOUND('',#52308,.T.); #5871=FACE_BOUND('',#52314,.T.); #5872=FACE_BOUND('',#52316,.T.); #5873=FACE_BOUND('',#52393,.T.); #5874=FACE_BOUND('',#52394,.T.); #5875=FACE_BOUND('',#52395,.T.); #5876=FACE_BOUND('',#52396,.T.); #5877=FACE_BOUND('',#52397,.T.); #5878=FACE_BOUND('',#52398,.T.); #5879=FACE_BOUND('',#52399,.T.); #5880=FACE_BOUND('',#52400,.T.); #5881=FACE_BOUND('',#52401,.T.); #5882=FACE_BOUND('',#52402,.T.); #5883=FACE_BOUND('',#52403,.T.); #5884=FACE_BOUND('',#52404,.T.); #5885=FACE_BOUND('',#52405,.T.); #5886=FACE_BOUND('',#52406,.T.); #5887=FACE_BOUND('',#52407,.T.); #5888=FACE_BOUND('',#52408,.T.); #5889=FACE_BOUND('',#52409,.T.); #5890=FACE_BOUND('',#52410,.T.); #5891=FACE_BOUND('',#52411,.T.); #5892=FACE_BOUND('',#52412,.T.); #5893=FACE_BOUND('',#52413,.T.); #5894=FACE_BOUND('',#52414,.T.); #5895=FACE_BOUND('',#52415,.T.); #5896=FACE_BOUND('',#52416,.T.); #5897=FACE_BOUND('',#52417,.T.); #5898=FACE_BOUND('',#52418,.T.); #5899=FACE_BOUND('',#52419,.T.); #5900=FACE_BOUND('',#52420,.T.); #5901=FACE_BOUND('',#52421,.T.); #5902=FACE_BOUND('',#52422,.T.); #5903=FACE_BOUND('',#52424,.T.); #5904=FACE_BOUND('',#52425,.T.); #5905=FACE_BOUND('',#52426,.T.); #5906=FACE_BOUND('',#52427,.T.); #5907=FACE_BOUND('',#52428,.T.); #5908=FACE_BOUND('',#52429,.T.); #5909=FACE_BOUND('',#52430,.T.); #5910=FACE_BOUND('',#52431,.T.); #5911=FACE_BOUND('',#52432,.T.); #5912=FACE_BOUND('',#52433,.T.); #5913=FACE_BOUND('',#52434,.T.); #5914=FACE_BOUND('',#52435,.T.); #5915=FACE_BOUND('',#52436,.T.); #5916=FACE_BOUND('',#52437,.T.); #5917=FACE_BOUND('',#52438,.T.); #5918=FACE_BOUND('',#52439,.T.); #5919=FACE_BOUND('',#52440,.T.); #5920=FACE_BOUND('',#52441,.T.); #5921=FACE_BOUND('',#52442,.T.); #5922=FACE_BOUND('',#52443,.T.); #5923=FACE_BOUND('',#52444,.T.); #5924=FACE_BOUND('',#52445,.T.); #5925=FACE_BOUND('',#52446,.T.); #5926=FACE_BOUND('',#52447,.T.); #5927=FACE_BOUND('',#52448,.T.); #5928=FACE_BOUND('',#52449,.T.); #5929=FACE_BOUND('',#52450,.T.); #5930=FACE_BOUND('',#52451,.T.); #5931=FACE_BOUND('',#52452,.T.); #5932=FACE_BOUND('',#52453,.T.); #5933=FACE_BOUND('',#52464,.T.); #5934=FACE_BOUND('',#52465,.T.); #5935=FACE_BOUND('',#52467,.T.); #5936=FACE_BOUND('',#52468,.T.); #5937=FACE_BOUND('',#52474,.T.); #5938=FACE_BOUND('',#52476,.T.); #5939=FACE_BOUND('',#52660,.T.); #5940=FACE_BOUND('',#52661,.T.); #5941=FACE_BOUND('',#52662,.T.); #5942=FACE_BOUND('',#52663,.T.); #5943=FACE_BOUND('',#52664,.T.); #5944=FACE_BOUND('',#52665,.T.); #5945=FACE_BOUND('',#52666,.T.); #5946=FACE_BOUND('',#52667,.T.); #5947=FACE_BOUND('',#52668,.T.); #5948=FACE_BOUND('',#52669,.T.); #5949=FACE_BOUND('',#52670,.T.); #5950=FACE_BOUND('',#52671,.T.); #5951=FACE_BOUND('',#52672,.T.); #5952=FACE_BOUND('',#52673,.T.); #5953=FACE_BOUND('',#52674,.T.); #5954=FACE_BOUND('',#52675,.T.); #5955=FACE_BOUND('',#52677,.T.); #5956=FACE_BOUND('',#52678,.T.); #5957=FACE_BOUND('',#52679,.T.); #5958=FACE_BOUND('',#52680,.T.); #5959=FACE_BOUND('',#52681,.T.); #5960=FACE_BOUND('',#52682,.T.); #5961=FACE_BOUND('',#52683,.T.); #5962=FACE_BOUND('',#52684,.T.); #5963=FACE_BOUND('',#52685,.T.); #5964=FACE_BOUND('',#52686,.T.); #5965=FACE_BOUND('',#52687,.T.); #5966=FACE_BOUND('',#52688,.T.); #5967=FACE_BOUND('',#52689,.T.); #5968=FACE_BOUND('',#52690,.T.); #5969=FACE_BOUND('',#52691,.T.); #5970=FACE_BOUND('',#52692,.T.); #5971=FACE_BOUND('',#52698,.T.); #5972=FACE_BOUND('',#52700,.T.); #5973=FACE_BOUND('',#52706,.T.); #5974=FACE_BOUND('',#52708,.T.); #5975=FACE_BOUND('',#52714,.T.); #5976=FACE_BOUND('',#52716,.T.); #5977=FACE_BOUND('',#52722,.T.); #5978=FACE_BOUND('',#52724,.T.); #5979=FACE_BOUND('',#52731,.T.); #5980=FACE_BOUND('',#52733,.T.); #5981=FACE_BOUND('',#52739,.T.); #5982=FACE_BOUND('',#52741,.T.); #5983=FACE_BOUND('',#52747,.T.); #5984=FACE_BOUND('',#52749,.T.); #5985=FACE_BOUND('',#52755,.T.); #5986=FACE_BOUND('',#52757,.T.); #5987=FACE_BOUND('',#52763,.T.); #5988=FACE_BOUND('',#52765,.T.); #5989=FACE_BOUND('',#52827,.T.); #5990=FACE_BOUND('',#52829,.T.); #5991=FACE_BOUND('',#52907,.T.); #5992=FACE_BOUND('',#52909,.T.); #5993=FACE_BOUND('',#52971,.T.); #5994=FACE_BOUND('',#52973,.T.); #5995=FACE_BOUND('',#52980,.T.); #5996=FACE_BOUND('',#52982,.T.); #5997=FACE_BOUND('',#53044,.T.); #5998=FACE_BOUND('',#53046,.T.); #5999=FACE_BOUND('',#53124,.T.); #6000=FACE_BOUND('',#53126,.T.); #6001=FACE_BOUND('',#53132,.T.); #6002=FACE_BOUND('',#53134,.T.); #6003=FACE_BOUND('',#53140,.T.); #6004=FACE_BOUND('',#53142,.T.); #6005=FACE_BOUND('',#53204,.T.); #6006=FACE_BOUND('',#53206,.T.); #6007=FACE_BOUND('',#53212,.T.); #6008=FACE_BOUND('',#53214,.T.); #6009=FACE_BOUND('',#53220,.T.); #6010=FACE_BOUND('',#53222,.T.); #6011=FACE_BOUND('',#53228,.T.); #6012=FACE_BOUND('',#53230,.T.); #6013=FACE_BOUND('',#53237,.T.); #6014=FACE_BOUND('',#53239,.T.); #6015=FACE_BOUND('',#53245,.T.); #6016=FACE_BOUND('',#53247,.T.); #6017=FACE_BOUND('',#53393,.T.); #6018=FACE_BOUND('',#53394,.T.); #6019=FACE_BOUND('',#53396,.T.); #6020=FACE_BOUND('',#53397,.T.); #6021=FACE_BOUND('',#53411,.T.); #6022=FACE_BOUND('',#53412,.T.); #6023=FACE_BOUND('',#53414,.T.); #6024=FACE_BOUND('',#53415,.T.); #6025=FACE_BOUND('',#53518,.T.); #6026=FACE_BOUND('',#53520,.T.); #6027=FACE_BOUND('',#53565,.T.); #6028=FACE_BOUND('',#53566,.T.); #6029=FACE_BOUND('',#53567,.T.); #6030=FACE_BOUND('',#53568,.T.); #6031=FACE_BOUND('',#53569,.T.); #6032=FACE_BOUND('',#53570,.T.); #6033=FACE_BOUND('',#53571,.T.); #6034=FACE_BOUND('',#53572,.T.); #6035=FACE_BOUND('',#53573,.T.); #6036=FACE_BOUND('',#53574,.T.); #6037=FACE_BOUND('',#53575,.T.); #6038=FACE_BOUND('',#53576,.T.); #6039=FACE_BOUND('',#53577,.T.); #6040=FACE_BOUND('',#53578,.T.); #6041=FACE_BOUND('',#53579,.T.); #6042=FACE_BOUND('',#53581,.T.); #6043=FACE_BOUND('',#53582,.T.); #6044=FACE_BOUND('',#53583,.T.); #6045=FACE_BOUND('',#53584,.T.); #6046=FACE_BOUND('',#53585,.T.); #6047=FACE_BOUND('',#53586,.T.); #6048=FACE_BOUND('',#53587,.T.); #6049=FACE_BOUND('',#53588,.T.); #6050=FACE_BOUND('',#53589,.T.); #6051=FACE_BOUND('',#53590,.T.); #6052=FACE_BOUND('',#53591,.T.); #6053=FACE_BOUND('',#53592,.T.); #6054=FACE_BOUND('',#53593,.T.); #6055=FACE_BOUND('',#53594,.T.); #6056=FACE_BOUND('',#53595,.T.); #6057=FACE_BOUND('',#53620,.T.); #6058=FACE_BOUND('',#53622,.T.); #6059=FACE_BOUND('',#53667,.T.); #6060=FACE_BOUND('',#53668,.T.); #6061=FACE_BOUND('',#53669,.T.); #6062=FACE_BOUND('',#53670,.T.); #6063=FACE_BOUND('',#53671,.T.); #6064=FACE_BOUND('',#53672,.T.); #6065=FACE_BOUND('',#53673,.T.); #6066=FACE_BOUND('',#53674,.T.); #6067=FACE_BOUND('',#53675,.T.); #6068=FACE_BOUND('',#53676,.T.); #6069=FACE_BOUND('',#53677,.T.); #6070=FACE_BOUND('',#53678,.T.); #6071=FACE_BOUND('',#53679,.T.); #6072=FACE_BOUND('',#53680,.T.); #6073=FACE_BOUND('',#53681,.T.); #6074=FACE_BOUND('',#53683,.T.); #6075=FACE_BOUND('',#53684,.T.); #6076=FACE_BOUND('',#53685,.T.); #6077=FACE_BOUND('',#53686,.T.); #6078=FACE_BOUND('',#53687,.T.); #6079=FACE_BOUND('',#53688,.T.); #6080=FACE_BOUND('',#53689,.T.); #6081=FACE_BOUND('',#53690,.T.); #6082=FACE_BOUND('',#53691,.T.); #6083=FACE_BOUND('',#53692,.T.); #6084=FACE_BOUND('',#53693,.T.); #6085=FACE_BOUND('',#53694,.T.); #6086=FACE_BOUND('',#53695,.T.); #6087=FACE_BOUND('',#53696,.T.); #6088=FACE_BOUND('',#53697,.T.); #6089=FACE_BOUND('',#53722,.T.); #6090=FACE_BOUND('',#53724,.T.); #6091=FACE_BOUND('',#53731,.T.); #6092=FACE_BOUND('',#53733,.T.); #6093=FACE_BOUND('',#53740,.T.); #6094=FACE_BOUND('',#53742,.T.); #6095=FACE_BOUND('',#53763,.T.); #6096=FACE_BOUND('',#53764,.T.); #6097=FACE_BOUND('',#53765,.T.); #6098=FACE_BOUND('',#53766,.T.); #6099=FACE_BOUND('',#53767,.T.); #6100=FACE_BOUND('',#53768,.T.); #6101=FACE_BOUND('',#53769,.T.); #6102=FACE_BOUND('',#53770,.T.); #6103=FACE_BOUND('',#53771,.T.); #6104=FACE_BOUND('',#53772,.T.); #6105=FACE_BOUND('',#53773,.T.); #6106=FACE_BOUND('',#53774,.T.); #6107=FACE_BOUND('',#53775,.T.); #6108=FACE_BOUND('',#53776,.T.); #6109=FACE_BOUND('',#53777,.T.); #6110=FACE_BOUND('',#53779,.T.); #6111=FACE_BOUND('',#53780,.T.); #6112=FACE_BOUND('',#53781,.T.); #6113=FACE_BOUND('',#53782,.T.); #6114=FACE_BOUND('',#53783,.T.); #6115=FACE_BOUND('',#53784,.T.); #6116=FACE_BOUND('',#53785,.T.); #6117=FACE_BOUND('',#53786,.T.); #6118=FACE_BOUND('',#53787,.T.); #6119=FACE_BOUND('',#53788,.T.); #6120=FACE_BOUND('',#53789,.T.); #6121=FACE_BOUND('',#53790,.T.); #6122=FACE_BOUND('',#53791,.T.); #6123=FACE_BOUND('',#53792,.T.); #6124=FACE_BOUND('',#53793,.T.); #6125=FACE_BOUND('',#53934,.T.); #6126=FACE_BOUND('',#53935,.T.); #6127=FACE_BOUND('',#53936,.T.); #6128=FACE_BOUND('',#53937,.T.); #6129=FACE_BOUND('',#53938,.T.); #6130=FACE_BOUND('',#53939,.T.); #6131=FACE_BOUND('',#53940,.T.); #6132=FACE_BOUND('',#53941,.T.); #6133=FACE_BOUND('',#53942,.T.); #6134=FACE_BOUND('',#53943,.T.); #6135=FACE_BOUND('',#53944,.T.); #6136=FACE_BOUND('',#53945,.T.); #6137=FACE_BOUND('',#53946,.T.); #6138=FACE_BOUND('',#53947,.T.); #6139=FACE_BOUND('',#53948,.T.); #6140=FACE_BOUND('',#53950,.T.); #6141=FACE_BOUND('',#53951,.T.); #6142=FACE_BOUND('',#53952,.T.); #6143=FACE_BOUND('',#53953,.T.); #6144=FACE_BOUND('',#53954,.T.); #6145=FACE_BOUND('',#53955,.T.); #6146=FACE_BOUND('',#53956,.T.); #6147=FACE_BOUND('',#53957,.T.); #6148=FACE_BOUND('',#53958,.T.); #6149=FACE_BOUND('',#53959,.T.); #6150=FACE_BOUND('',#53960,.T.); #6151=FACE_BOUND('',#53961,.T.); #6152=FACE_BOUND('',#53962,.T.); #6153=FACE_BOUND('',#53963,.T.); #6154=FACE_BOUND('',#53964,.T.); #6155=FACE_BOUND('',#54007,.T.); #6156=FACE_BOUND('',#54009,.T.); #6157=FACE_BOUND('',#54017,.T.); #6158=FACE_BOUND('',#54018,.T.); #6159=FACE_BOUND('',#54020,.T.); #6160=FACE_BOUND('',#54021,.T.); #6161=FACE_BOUND('',#54029,.T.); #6162=FACE_BOUND('',#54030,.T.); #6163=FACE_BOUND('',#54032,.T.); #6164=FACE_BOUND('',#54033,.T.); #6165=FACE_BOUND('',#54040,.T.); #6166=FACE_BOUND('',#54042,.T.); #6167=FACE_BOUND('',#54380,.T.); #6168=FACE_BOUND('',#54381,.T.); #6169=FACE_BOUND('',#54382,.T.); #6170=FACE_BOUND('',#54383,.T.); #6171=FACE_BOUND('',#54384,.T.); #6172=FACE_BOUND('',#54385,.T.); #6173=FACE_BOUND('',#54386,.T.); #6174=FACE_BOUND('',#54387,.T.); #6175=FACE_BOUND('',#54388,.T.); #6176=FACE_BOUND('',#54389,.T.); #6177=FACE_BOUND('',#54390,.T.); #6178=FACE_BOUND('',#54391,.T.); #6179=FACE_BOUND('',#54392,.T.); #6180=FACE_BOUND('',#54393,.T.); #6181=FACE_BOUND('',#54394,.T.); #6182=FACE_BOUND('',#54395,.T.); #6183=FACE_BOUND('',#54396,.T.); #6184=FACE_BOUND('',#54397,.T.); #6185=FACE_BOUND('',#54398,.T.); #6186=FACE_BOUND('',#54399,.T.); #6187=FACE_BOUND('',#54400,.T.); #6188=FACE_BOUND('',#54401,.T.); #6189=FACE_BOUND('',#54402,.T.); #6190=FACE_BOUND('',#54403,.T.); #6191=FACE_BOUND('',#54404,.T.); #6192=FACE_BOUND('',#54405,.T.); #6193=FACE_BOUND('',#54406,.T.); #6194=FACE_BOUND('',#54407,.T.); #6195=FACE_BOUND('',#54408,.T.); #6196=FACE_BOUND('',#54409,.T.); #6197=FACE_BOUND('',#54410,.T.); #6198=FACE_BOUND('',#54411,.T.); #6199=FACE_BOUND('',#54412,.T.); #6200=FACE_BOUND('',#54413,.T.); #6201=FACE_BOUND('',#54414,.T.); #6202=FACE_BOUND('',#54415,.T.); #6203=FACE_BOUND('',#54416,.T.); #6204=FACE_BOUND('',#54417,.T.); #6205=FACE_BOUND('',#54418,.T.); #6206=FACE_BOUND('',#54419,.T.); #6207=FACE_BOUND('',#54420,.T.); #6208=FACE_BOUND('',#54421,.T.); #6209=FACE_BOUND('',#54422,.T.); #6210=FACE_BOUND('',#54423,.T.); #6211=FACE_BOUND('',#54424,.T.); #6212=FACE_BOUND('',#54425,.T.); #6213=FACE_BOUND('',#54426,.T.); #6214=FACE_BOUND('',#54427,.T.); #6215=FACE_BOUND('',#54428,.T.); #6216=FACE_BOUND('',#54429,.T.); #6217=FACE_BOUND('',#54430,.T.); #6218=FACE_BOUND('',#54431,.T.); #6219=FACE_BOUND('',#54432,.T.); #6220=FACE_BOUND('',#54433,.T.); #6221=FACE_BOUND('',#54434,.T.); #6222=FACE_BOUND('',#54435,.T.); #6223=FACE_BOUND('',#54436,.T.); #6224=FACE_BOUND('',#54437,.T.); #6225=FACE_BOUND('',#54438,.T.); #6226=FACE_BOUND('',#54439,.T.); #6227=FACE_BOUND('',#54440,.T.); #6228=FACE_BOUND('',#54441,.T.); #6229=FACE_BOUND('',#54442,.T.); #6230=FACE_BOUND('',#54443,.T.); #6231=FACE_BOUND('',#54444,.T.); #6232=FACE_BOUND('',#54445,.T.); #6233=FACE_BOUND('',#54446,.T.); #6234=FACE_BOUND('',#54447,.T.); #6235=FACE_BOUND('',#54448,.T.); #6236=FACE_BOUND('',#54449,.T.); #6237=FACE_BOUND('',#54450,.T.); #6238=FACE_BOUND('',#54451,.T.); #6239=FACE_BOUND('',#54452,.T.); #6240=FACE_BOUND('',#54453,.T.); #6241=FACE_BOUND('',#54454,.T.); #6242=FACE_BOUND('',#54455,.T.); #6243=FACE_BOUND('',#54456,.T.); #6244=FACE_BOUND('',#54457,.T.); #6245=FACE_BOUND('',#54458,.T.); #6246=FACE_BOUND('',#54459,.T.); #6247=FACE_BOUND('',#54460,.T.); #6248=FACE_BOUND('',#54461,.T.); #6249=FACE_BOUND('',#54462,.T.); #6250=FACE_BOUND('',#54463,.T.); #6251=FACE_BOUND('',#54464,.T.); #6252=FACE_BOUND('',#54465,.T.); #6253=FACE_BOUND('',#54466,.T.); #6254=FACE_BOUND('',#54467,.T.); #6255=FACE_BOUND('',#54468,.T.); #6256=FACE_BOUND('',#54469,.T.); #6257=FACE_BOUND('',#54470,.T.); #6258=FACE_BOUND('',#54471,.T.); #6259=FACE_BOUND('',#54472,.T.); #6260=FACE_BOUND('',#54473,.T.); #6261=FACE_BOUND('',#54474,.T.); #6262=FACE_BOUND('',#54475,.T.); #6263=FACE_BOUND('',#54476,.T.); #6264=FACE_BOUND('',#54477,.T.); #6265=FACE_BOUND('',#54478,.T.); #6266=FACE_BOUND('',#54479,.T.); #6267=FACE_BOUND('',#54480,.T.); #6268=FACE_BOUND('',#54481,.T.); #6269=FACE_BOUND('',#54482,.T.); #6270=FACE_BOUND('',#54483,.T.); #6271=FACE_BOUND('',#54484,.T.); #6272=FACE_BOUND('',#54485,.T.); #6273=FACE_BOUND('',#54486,.T.); #6274=FACE_BOUND('',#54487,.T.); #6275=FACE_BOUND('',#54488,.T.); #6276=FACE_BOUND('',#54489,.T.); #6277=FACE_BOUND('',#54490,.T.); #6278=FACE_BOUND('',#54491,.T.); #6279=FACE_BOUND('',#54492,.T.); #6280=FACE_BOUND('',#54493,.T.); #6281=FACE_BOUND('',#54494,.T.); #6282=FACE_BOUND('',#54495,.T.); #6283=FACE_BOUND('',#54496,.T.); #6284=FACE_BOUND('',#54497,.T.); #6285=FACE_BOUND('',#54498,.T.); #6286=FACE_BOUND('',#54499,.T.); #6287=FACE_BOUND('',#54500,.T.); #6288=FACE_BOUND('',#54501,.T.); #6289=FACE_BOUND('',#54502,.T.); #6290=FACE_BOUND('',#54503,.T.); #6291=FACE_BOUND('',#54504,.T.); #6292=FACE_BOUND('',#54505,.T.); #6293=FACE_BOUND('',#54506,.T.); #6294=FACE_BOUND('',#54507,.T.); #6295=FACE_BOUND('',#54508,.T.); #6296=FACE_BOUND('',#54509,.T.); #6297=FACE_BOUND('',#54510,.T.); #6298=FACE_BOUND('',#54511,.T.); #6299=FACE_BOUND('',#54512,.T.); #6300=FACE_BOUND('',#54513,.T.); #6301=FACE_BOUND('',#54514,.T.); #6302=FACE_BOUND('',#54515,.T.); #6303=FACE_BOUND('',#54516,.T.); #6304=FACE_BOUND('',#54517,.T.); #6305=FACE_BOUND('',#54518,.T.); #6306=FACE_BOUND('',#54519,.T.); #6307=FACE_BOUND('',#54520,.T.); #6308=FACE_BOUND('',#54521,.T.); #6309=FACE_BOUND('',#54522,.T.); #6310=FACE_BOUND('',#54523,.T.); #6311=FACE_BOUND('',#54524,.T.); #6312=FACE_BOUND('',#54525,.T.); #6313=FACE_BOUND('',#54526,.T.); #6314=FACE_BOUND('',#54527,.T.); #6315=FACE_BOUND('',#54528,.T.); #6316=FACE_BOUND('',#54529,.T.); #6317=FACE_BOUND('',#54530,.T.); #6318=FACE_BOUND('',#54531,.T.); #6319=FACE_BOUND('',#54532,.T.); #6320=FACE_BOUND('',#54533,.T.); #6321=FACE_BOUND('',#54534,.T.); #6322=FACE_BOUND('',#54535,.T.); #6323=FACE_BOUND('',#54536,.T.); #6324=FACE_BOUND('',#54537,.T.); #6325=FACE_BOUND('',#54538,.T.); #6326=FACE_BOUND('',#54539,.T.); #6327=FACE_BOUND('',#54540,.T.); #6328=FACE_BOUND('',#54541,.T.); #6329=FACE_BOUND('',#54542,.T.); #6330=FACE_BOUND('',#54543,.T.); #6331=FACE_BOUND('',#54544,.T.); #6332=FACE_BOUND('',#54545,.T.); #6333=FACE_BOUND('',#54546,.T.); #6334=FACE_BOUND('',#54547,.T.); #6335=FACE_BOUND('',#54548,.T.); #6336=FACE_BOUND('',#54549,.T.); #6337=FACE_BOUND('',#54550,.T.); #6338=FACE_BOUND('',#54551,.T.); #6339=FACE_BOUND('',#54552,.T.); #6340=FACE_BOUND('',#54553,.T.); #6341=FACE_BOUND('',#54554,.T.); #6342=FACE_BOUND('',#54555,.T.); #6343=FACE_BOUND('',#54556,.T.); #6344=FACE_BOUND('',#54557,.T.); #6345=FACE_BOUND('',#54558,.T.); #6346=FACE_BOUND('',#54559,.T.); #6347=FACE_BOUND('',#54560,.T.); #6348=FACE_BOUND('',#54561,.T.); #6349=FACE_BOUND('',#54562,.T.); #6350=FACE_BOUND('',#54563,.T.); #6351=FACE_BOUND('',#54564,.T.); #6352=FACE_BOUND('',#54565,.T.); #6353=FACE_BOUND('',#54566,.T.); #6354=FACE_BOUND('',#54567,.T.); #6355=FACE_BOUND('',#54568,.T.); #6356=FACE_BOUND('',#54569,.T.); #6357=FACE_BOUND('',#54570,.T.); #6358=FACE_BOUND('',#54571,.T.); #6359=FACE_BOUND('',#54572,.T.); #6360=FACE_BOUND('',#54573,.T.); #6361=FACE_BOUND('',#54574,.T.); #6362=FACE_BOUND('',#54575,.T.); #6363=FACE_BOUND('',#54576,.T.); #6364=FACE_BOUND('',#54577,.T.); #6365=FACE_BOUND('',#54578,.T.); #6366=FACE_BOUND('',#54579,.T.); #6367=FACE_BOUND('',#54580,.T.); #6368=FACE_BOUND('',#54581,.T.); #6369=FACE_BOUND('',#54582,.T.); #6370=FACE_BOUND('',#54583,.T.); #6371=FACE_BOUND('',#54584,.T.); #6372=FACE_BOUND('',#54585,.T.); #6373=FACE_BOUND('',#54586,.T.); #6374=FACE_BOUND('',#54587,.T.); #6375=FACE_BOUND('',#54588,.T.); #6376=FACE_BOUND('',#54589,.T.); #6377=FACE_BOUND('',#54590,.T.); #6378=FACE_BOUND('',#54591,.T.); #6379=FACE_BOUND('',#54592,.T.); #6380=FACE_BOUND('',#54593,.T.); #6381=FACE_BOUND('',#54594,.T.); #6382=FACE_BOUND('',#54595,.T.); #6383=FACE_BOUND('',#54596,.T.); #6384=FACE_BOUND('',#54597,.T.); #6385=FACE_BOUND('',#54598,.T.); #6386=FACE_BOUND('',#54599,.T.); #6387=FACE_BOUND('',#54600,.T.); #6388=FACE_BOUND('',#54601,.T.); #6389=FACE_BOUND('',#54602,.T.); #6390=FACE_BOUND('',#54603,.T.); #6391=FACE_BOUND('',#54604,.T.); #6392=FACE_BOUND('',#54605,.T.); #6393=FACE_BOUND('',#54606,.T.); #6394=FACE_BOUND('',#54607,.T.); #6395=FACE_BOUND('',#54608,.T.); #6396=FACE_BOUND('',#54609,.T.); #6397=FACE_BOUND('',#54610,.T.); #6398=FACE_BOUND('',#54611,.T.); #6399=FACE_BOUND('',#54612,.T.); #6400=FACE_BOUND('',#54613,.T.); #6401=FACE_BOUND('',#54614,.T.); #6402=FACE_BOUND('',#54615,.T.); #6403=FACE_BOUND('',#54616,.T.); #6404=FACE_BOUND('',#54617,.T.); #6405=FACE_BOUND('',#54618,.T.); #6406=FACE_BOUND('',#54619,.T.); #6407=FACE_BOUND('',#54620,.T.); #6408=FACE_BOUND('',#54621,.T.); #6409=FACE_BOUND('',#54622,.T.); #6410=FACE_BOUND('',#54623,.T.); #6411=FACE_BOUND('',#54624,.T.); #6412=FACE_BOUND('',#54625,.T.); #6413=FACE_BOUND('',#54626,.T.); #6414=FACE_BOUND('',#54627,.T.); #6415=FACE_BOUND('',#54628,.T.); #6416=FACE_BOUND('',#54629,.T.); #6417=FACE_BOUND('',#54630,.T.); #6418=FACE_BOUND('',#54631,.T.); #6419=FACE_BOUND('',#54632,.T.); #6420=FACE_BOUND('',#54633,.T.); #6421=FACE_BOUND('',#54634,.T.); #6422=FACE_BOUND('',#54635,.T.); #6423=FACE_BOUND('',#54636,.T.); #6424=FACE_BOUND('',#54637,.T.); #6425=FACE_BOUND('',#54638,.T.); #6426=FACE_BOUND('',#54639,.T.); #6427=FACE_BOUND('',#54640,.T.); #6428=FACE_BOUND('',#54641,.T.); #6429=FACE_BOUND('',#54642,.T.); #6430=FACE_BOUND('',#54643,.T.); #6431=FACE_BOUND('',#54644,.T.); #6432=FACE_BOUND('',#54645,.T.); #6433=FACE_BOUND('',#54646,.T.); #6434=FACE_BOUND('',#54647,.T.); #6435=FACE_BOUND('',#54648,.T.); #6436=FACE_BOUND('',#54649,.T.); #6437=FACE_BOUND('',#54650,.T.); #6438=FACE_BOUND('',#54651,.T.); #6439=FACE_BOUND('',#54652,.T.); #6440=FACE_BOUND('',#54653,.T.); #6441=FACE_BOUND('',#54654,.T.); #6442=FACE_BOUND('',#54655,.T.); #6443=FACE_BOUND('',#54656,.T.); #6444=FACE_BOUND('',#54657,.T.); #6445=FACE_BOUND('',#54658,.T.); #6446=FACE_BOUND('',#54659,.T.); #6447=FACE_BOUND('',#54660,.T.); #6448=FACE_BOUND('',#54661,.T.); #6449=FACE_BOUND('',#54662,.T.); #6450=FACE_BOUND('',#54663,.T.); #6451=FACE_BOUND('',#54664,.T.); #6452=FACE_BOUND('',#54665,.T.); #6453=FACE_BOUND('',#54666,.T.); #6454=FACE_BOUND('',#54667,.T.); #6455=FACE_BOUND('',#54668,.T.); #6456=FACE_BOUND('',#54669,.T.); #6457=FACE_BOUND('',#54670,.T.); #6458=FACE_BOUND('',#54671,.T.); #6459=FACE_BOUND('',#54672,.T.); #6460=FACE_BOUND('',#54673,.T.); #6461=FACE_BOUND('',#54674,.T.); #6462=FACE_BOUND('',#54675,.T.); #6463=FACE_BOUND('',#54676,.T.); #6464=FACE_BOUND('',#54677,.T.); #6465=FACE_BOUND('',#54678,.T.); #6466=FACE_BOUND('',#54679,.T.); #6467=FACE_BOUND('',#54680,.T.); #6468=FACE_BOUND('',#54681,.T.); #6469=FACE_BOUND('',#54682,.T.); #6470=FACE_BOUND('',#54683,.T.); #6471=FACE_BOUND('',#54684,.T.); #6472=FACE_BOUND('',#54685,.T.); #6473=FACE_BOUND('',#54686,.T.); #6474=FACE_BOUND('',#54687,.T.); #6475=FACE_BOUND('',#54688,.T.); #6476=FACE_BOUND('',#54689,.T.); #6477=FACE_BOUND('',#54690,.T.); #6478=FACE_BOUND('',#54691,.T.); #6479=FACE_BOUND('',#54692,.T.); #6480=FACE_BOUND('',#54693,.T.); #6481=FACE_BOUND('',#54694,.T.); #6482=FACE_BOUND('',#54695,.T.); #6483=FACE_BOUND('',#54696,.T.); #6484=FACE_BOUND('',#54698,.T.); #6485=FACE_BOUND('',#54699,.T.); #6486=FACE_BOUND('',#54700,.T.); #6487=FACE_BOUND('',#54701,.T.); #6488=FACE_BOUND('',#54702,.T.); #6489=FACE_BOUND('',#54703,.T.); #6490=FACE_BOUND('',#54704,.T.); #6491=FACE_BOUND('',#54705,.T.); #6492=FACE_BOUND('',#54706,.T.); #6493=FACE_BOUND('',#54707,.T.); #6494=FACE_BOUND('',#54708,.T.); #6495=FACE_BOUND('',#54709,.T.); #6496=FACE_BOUND('',#54710,.T.); #6497=FACE_BOUND('',#54711,.T.); #6498=FACE_BOUND('',#54712,.T.); #6499=FACE_BOUND('',#54713,.T.); #6500=FACE_BOUND('',#54714,.T.); #6501=FACE_BOUND('',#54715,.T.); #6502=FACE_BOUND('',#54716,.T.); #6503=FACE_BOUND('',#54717,.T.); #6504=FACE_BOUND('',#54718,.T.); #6505=FACE_BOUND('',#54719,.T.); #6506=FACE_BOUND('',#54720,.T.); #6507=FACE_BOUND('',#54721,.T.); #6508=FACE_BOUND('',#54722,.T.); #6509=FACE_BOUND('',#54723,.T.); #6510=FACE_BOUND('',#54724,.T.); #6511=FACE_BOUND('',#54725,.T.); #6512=FACE_BOUND('',#54726,.T.); #6513=FACE_BOUND('',#54727,.T.); #6514=FACE_BOUND('',#54728,.T.); #6515=FACE_BOUND('',#54729,.T.); #6516=FACE_BOUND('',#54730,.T.); #6517=FACE_BOUND('',#54731,.T.); #6518=FACE_BOUND('',#54732,.T.); #6519=FACE_BOUND('',#54733,.T.); #6520=FACE_BOUND('',#54734,.T.); #6521=FACE_BOUND('',#54735,.T.); #6522=FACE_BOUND('',#54736,.T.); #6523=FACE_BOUND('',#54737,.T.); #6524=FACE_BOUND('',#54738,.T.); #6525=FACE_BOUND('',#54739,.T.); #6526=FACE_BOUND('',#54740,.T.); #6527=FACE_BOUND('',#54741,.T.); #6528=FACE_BOUND('',#54742,.T.); #6529=FACE_BOUND('',#54743,.T.); #6530=FACE_BOUND('',#54744,.T.); #6531=FACE_BOUND('',#54745,.T.); #6532=FACE_BOUND('',#54746,.T.); #6533=FACE_BOUND('',#54747,.T.); #6534=FACE_BOUND('',#54748,.T.); #6535=FACE_BOUND('',#54749,.T.); #6536=FACE_BOUND('',#54750,.T.); #6537=FACE_BOUND('',#54751,.T.); #6538=FACE_BOUND('',#54752,.T.); #6539=FACE_BOUND('',#54753,.T.); #6540=FACE_BOUND('',#54754,.T.); #6541=FACE_BOUND('',#54755,.T.); #6542=FACE_BOUND('',#54756,.T.); #6543=FACE_BOUND('',#54757,.T.); #6544=FACE_BOUND('',#54758,.T.); #6545=FACE_BOUND('',#54759,.T.); #6546=FACE_BOUND('',#54760,.T.); #6547=FACE_BOUND('',#54761,.T.); #6548=FACE_BOUND('',#54762,.T.); #6549=FACE_BOUND('',#54763,.T.); #6550=FACE_BOUND('',#54764,.T.); #6551=FACE_BOUND('',#54765,.T.); #6552=FACE_BOUND('',#54766,.T.); #6553=FACE_BOUND('',#54767,.T.); #6554=FACE_BOUND('',#54768,.T.); #6555=FACE_BOUND('',#54769,.T.); #6556=FACE_BOUND('',#54770,.T.); #6557=FACE_BOUND('',#54771,.T.); #6558=FACE_BOUND('',#54772,.T.); #6559=FACE_BOUND('',#54773,.T.); #6560=FACE_BOUND('',#54774,.T.); #6561=FACE_BOUND('',#54775,.T.); #6562=FACE_BOUND('',#54776,.T.); #6563=FACE_BOUND('',#54777,.T.); #6564=FACE_BOUND('',#54778,.T.); #6565=FACE_BOUND('',#54779,.T.); #6566=FACE_BOUND('',#54780,.T.); #6567=FACE_BOUND('',#54781,.T.); #6568=FACE_BOUND('',#54782,.T.); #6569=FACE_BOUND('',#54783,.T.); #6570=FACE_BOUND('',#54784,.T.); #6571=FACE_BOUND('',#54785,.T.); #6572=FACE_BOUND('',#54786,.T.); #6573=FACE_BOUND('',#54787,.T.); #6574=FACE_BOUND('',#54788,.T.); #6575=FACE_BOUND('',#54789,.T.); #6576=FACE_BOUND('',#54790,.T.); #6577=FACE_BOUND('',#54791,.T.); #6578=FACE_BOUND('',#54792,.T.); #6579=FACE_BOUND('',#54793,.T.); #6580=FACE_BOUND('',#54794,.T.); #6581=FACE_BOUND('',#54795,.T.); #6582=FACE_BOUND('',#54796,.T.); #6583=FACE_BOUND('',#54797,.T.); #6584=FACE_BOUND('',#54798,.T.); #6585=FACE_BOUND('',#54799,.T.); #6586=FACE_BOUND('',#54800,.T.); #6587=FACE_BOUND('',#54801,.T.); #6588=FACE_BOUND('',#54802,.T.); #6589=FACE_BOUND('',#54803,.T.); #6590=FACE_BOUND('',#54804,.T.); #6591=FACE_BOUND('',#54805,.T.); #6592=FACE_BOUND('',#54806,.T.); #6593=FACE_BOUND('',#54807,.T.); #6594=FACE_BOUND('',#54808,.T.); #6595=FACE_BOUND('',#54809,.T.); #6596=FACE_BOUND('',#54810,.T.); #6597=FACE_BOUND('',#54811,.T.); #6598=FACE_BOUND('',#54812,.T.); #6599=FACE_BOUND('',#54813,.T.); #6600=FACE_BOUND('',#54814,.T.); #6601=FACE_BOUND('',#54815,.T.); #6602=FACE_BOUND('',#54816,.T.); #6603=FACE_BOUND('',#54817,.T.); #6604=FACE_BOUND('',#54818,.T.); #6605=FACE_BOUND('',#54819,.T.); #6606=FACE_BOUND('',#54820,.T.); #6607=FACE_BOUND('',#54821,.T.); #6608=FACE_BOUND('',#54822,.T.); #6609=FACE_BOUND('',#54823,.T.); #6610=FACE_BOUND('',#54824,.T.); #6611=FACE_BOUND('',#54825,.T.); #6612=FACE_BOUND('',#54826,.T.); #6613=FACE_BOUND('',#54827,.T.); #6614=FACE_BOUND('',#54828,.T.); #6615=FACE_BOUND('',#54829,.T.); #6616=FACE_BOUND('',#54830,.T.); #6617=FACE_BOUND('',#54831,.T.); #6618=FACE_BOUND('',#54832,.T.); #6619=FACE_BOUND('',#54833,.T.); #6620=FACE_BOUND('',#54834,.T.); #6621=FACE_BOUND('',#54835,.T.); #6622=FACE_BOUND('',#54836,.T.); #6623=FACE_BOUND('',#54837,.T.); #6624=FACE_BOUND('',#54838,.T.); #6625=FACE_BOUND('',#54839,.T.); #6626=FACE_BOUND('',#54840,.T.); #6627=FACE_BOUND('',#54841,.T.); #6628=FACE_BOUND('',#54842,.T.); #6629=FACE_BOUND('',#54843,.T.); #6630=FACE_BOUND('',#54844,.T.); #6631=FACE_BOUND('',#54845,.T.); #6632=FACE_BOUND('',#54846,.T.); #6633=FACE_BOUND('',#54847,.T.); #6634=FACE_BOUND('',#54848,.T.); #6635=FACE_BOUND('',#54849,.T.); #6636=FACE_BOUND('',#54850,.T.); #6637=FACE_BOUND('',#54851,.T.); #6638=FACE_BOUND('',#54852,.T.); #6639=FACE_BOUND('',#54853,.T.); #6640=FACE_BOUND('',#54854,.T.); #6641=FACE_BOUND('',#54855,.T.); #6642=FACE_BOUND('',#54856,.T.); #6643=FACE_BOUND('',#54857,.T.); #6644=FACE_BOUND('',#54858,.T.); #6645=FACE_BOUND('',#54859,.T.); #6646=FACE_BOUND('',#54860,.T.); #6647=FACE_BOUND('',#54861,.T.); #6648=FACE_BOUND('',#54862,.T.); #6649=FACE_BOUND('',#54863,.T.); #6650=FACE_BOUND('',#54864,.T.); #6651=FACE_BOUND('',#54865,.T.); #6652=FACE_BOUND('',#54866,.T.); #6653=FACE_BOUND('',#54867,.T.); #6654=FACE_BOUND('',#54868,.T.); #6655=FACE_BOUND('',#54869,.T.); #6656=FACE_BOUND('',#54870,.T.); #6657=FACE_BOUND('',#54871,.T.); #6658=FACE_BOUND('',#54872,.T.); #6659=FACE_BOUND('',#54873,.T.); #6660=FACE_BOUND('',#54874,.T.); #6661=FACE_BOUND('',#54875,.T.); #6662=FACE_BOUND('',#54876,.T.); #6663=FACE_BOUND('',#54877,.T.); #6664=FACE_BOUND('',#54878,.T.); #6665=FACE_BOUND('',#54879,.T.); #6666=FACE_BOUND('',#54880,.T.); #6667=FACE_BOUND('',#54881,.T.); #6668=FACE_BOUND('',#54882,.T.); #6669=FACE_BOUND('',#54883,.T.); #6670=FACE_BOUND('',#54884,.T.); #6671=FACE_BOUND('',#54885,.T.); #6672=FACE_BOUND('',#54886,.T.); #6673=FACE_BOUND('',#54887,.T.); #6674=FACE_BOUND('',#54888,.T.); #6675=FACE_BOUND('',#54889,.T.); #6676=FACE_BOUND('',#54890,.T.); #6677=FACE_BOUND('',#54891,.T.); #6678=FACE_BOUND('',#54892,.T.); #6679=FACE_BOUND('',#54893,.T.); #6680=FACE_BOUND('',#54894,.T.); #6681=FACE_BOUND('',#54895,.T.); #6682=FACE_BOUND('',#54896,.T.); #6683=FACE_BOUND('',#54897,.T.); #6684=FACE_BOUND('',#54898,.T.); #6685=FACE_BOUND('',#54899,.T.); #6686=FACE_BOUND('',#54900,.T.); #6687=FACE_BOUND('',#54901,.T.); #6688=FACE_BOUND('',#54902,.T.); #6689=FACE_BOUND('',#54903,.T.); #6690=FACE_BOUND('',#54904,.T.); #6691=FACE_BOUND('',#54905,.T.); #6692=FACE_BOUND('',#54906,.T.); #6693=FACE_BOUND('',#54907,.T.); #6694=FACE_BOUND('',#54908,.T.); #6695=FACE_BOUND('',#54909,.T.); #6696=FACE_BOUND('',#54910,.T.); #6697=FACE_BOUND('',#54911,.T.); #6698=FACE_BOUND('',#54912,.T.); #6699=FACE_BOUND('',#54913,.T.); #6700=FACE_BOUND('',#54914,.T.); #6701=FACE_BOUND('',#54915,.T.); #6702=FACE_BOUND('',#54916,.T.); #6703=FACE_BOUND('',#54917,.T.); #6704=FACE_BOUND('',#54918,.T.); #6705=FACE_BOUND('',#54919,.T.); #6706=FACE_BOUND('',#54920,.T.); #6707=FACE_BOUND('',#54921,.T.); #6708=FACE_BOUND('',#54922,.T.); #6709=FACE_BOUND('',#54923,.T.); #6710=FACE_BOUND('',#54924,.T.); #6711=FACE_BOUND('',#54925,.T.); #6712=FACE_BOUND('',#54926,.T.); #6713=FACE_BOUND('',#54927,.T.); #6714=FACE_BOUND('',#54928,.T.); #6715=FACE_BOUND('',#54929,.T.); #6716=FACE_BOUND('',#54930,.T.); #6717=FACE_BOUND('',#54931,.T.); #6718=FACE_BOUND('',#54932,.T.); #6719=FACE_BOUND('',#54933,.T.); #6720=FACE_BOUND('',#54934,.T.); #6721=FACE_BOUND('',#54935,.T.); #6722=FACE_BOUND('',#54936,.T.); #6723=FACE_BOUND('',#54937,.T.); #6724=FACE_BOUND('',#54938,.T.); #6725=FACE_BOUND('',#54939,.T.); #6726=FACE_BOUND('',#54940,.T.); #6727=FACE_BOUND('',#54941,.T.); #6728=FACE_BOUND('',#54942,.T.); #6729=FACE_BOUND('',#54943,.T.); #6730=FACE_BOUND('',#54944,.T.); #6731=FACE_BOUND('',#54945,.T.); #6732=FACE_BOUND('',#54946,.T.); #6733=FACE_BOUND('',#54947,.T.); #6734=FACE_BOUND('',#54948,.T.); #6735=FACE_BOUND('',#54949,.T.); #6736=FACE_BOUND('',#54950,.T.); #6737=FACE_BOUND('',#54951,.T.); #6738=FACE_BOUND('',#54952,.T.); #6739=FACE_BOUND('',#54953,.T.); #6740=FACE_BOUND('',#54954,.T.); #6741=FACE_BOUND('',#54955,.T.); #6742=FACE_BOUND('',#54956,.T.); #6743=FACE_BOUND('',#54957,.T.); #6744=FACE_BOUND('',#54958,.T.); #6745=FACE_BOUND('',#54959,.T.); #6746=FACE_BOUND('',#54960,.T.); #6747=FACE_BOUND('',#54961,.T.); #6748=FACE_BOUND('',#54962,.T.); #6749=FACE_BOUND('',#54963,.T.); #6750=FACE_BOUND('',#54964,.T.); #6751=FACE_BOUND('',#54965,.T.); #6752=FACE_BOUND('',#54966,.T.); #6753=FACE_BOUND('',#54967,.T.); #6754=FACE_BOUND('',#54968,.T.); #6755=FACE_BOUND('',#54969,.T.); #6756=FACE_BOUND('',#54970,.T.); #6757=FACE_BOUND('',#54971,.T.); #6758=FACE_BOUND('',#54972,.T.); #6759=FACE_BOUND('',#54973,.T.); #6760=FACE_BOUND('',#54974,.T.); #6761=FACE_BOUND('',#54975,.T.); #6762=FACE_BOUND('',#54976,.T.); #6763=FACE_BOUND('',#54977,.T.); #6764=FACE_BOUND('',#54978,.T.); #6765=FACE_BOUND('',#54979,.T.); #6766=FACE_BOUND('',#54980,.T.); #6767=FACE_BOUND('',#54981,.T.); #6768=FACE_BOUND('',#54982,.T.); #6769=FACE_BOUND('',#54983,.T.); #6770=FACE_BOUND('',#54984,.T.); #6771=FACE_BOUND('',#54985,.T.); #6772=FACE_BOUND('',#54986,.T.); #6773=FACE_BOUND('',#54987,.T.); #6774=FACE_BOUND('',#54988,.T.); #6775=FACE_BOUND('',#54989,.T.); #6776=FACE_BOUND('',#54990,.T.); #6777=FACE_BOUND('',#54991,.T.); #6778=FACE_BOUND('',#54992,.T.); #6779=FACE_BOUND('',#54993,.T.); #6780=FACE_BOUND('',#54994,.T.); #6781=FACE_BOUND('',#54995,.T.); #6782=FACE_BOUND('',#54996,.T.); #6783=FACE_BOUND('',#54997,.T.); #6784=FACE_BOUND('',#54998,.T.); #6785=FACE_BOUND('',#54999,.T.); #6786=FACE_BOUND('',#55000,.T.); #6787=FACE_BOUND('',#55001,.T.); #6788=FACE_BOUND('',#55002,.T.); #6789=FACE_BOUND('',#55003,.T.); #6790=FACE_BOUND('',#55004,.T.); #6791=FACE_BOUND('',#55005,.T.); #6792=FACE_BOUND('',#55006,.T.); #6793=FACE_BOUND('',#55007,.T.); #6794=FACE_BOUND('',#55008,.T.); #6795=FACE_BOUND('',#55009,.T.); #6796=FACE_BOUND('',#55010,.T.); #6797=FACE_BOUND('',#55011,.T.); #6798=FACE_BOUND('',#55012,.T.); #6799=FACE_BOUND('',#55013,.T.); #6800=FACE_BOUND('',#55014,.T.); #6801=FACE_BOUND('',#55352,.T.); #6802=FACE_BOUND('',#55353,.T.); #6803=FACE_BOUND('',#55354,.T.); #6804=FACE_BOUND('',#55355,.T.); #6805=FACE_BOUND('',#55356,.T.); #6806=FACE_BOUND('',#55357,.T.); #6807=FACE_BOUND('',#55358,.T.); #6808=FACE_BOUND('',#55359,.T.); #6809=FACE_BOUND('',#55360,.T.); #6810=FACE_BOUND('',#55361,.T.); #6811=FACE_BOUND('',#55362,.T.); #6812=FACE_BOUND('',#55363,.T.); #6813=FACE_BOUND('',#55364,.T.); #6814=FACE_BOUND('',#55365,.T.); #6815=FACE_BOUND('',#55366,.T.); #6816=FACE_BOUND('',#55367,.T.); #6817=FACE_BOUND('',#55368,.T.); #6818=FACE_BOUND('',#55369,.T.); #6819=FACE_BOUND('',#55370,.T.); #6820=FACE_BOUND('',#55371,.T.); #6821=FACE_BOUND('',#55372,.T.); #6822=FACE_BOUND('',#55373,.T.); #6823=FACE_BOUND('',#55374,.T.); #6824=FACE_BOUND('',#55375,.T.); #6825=FACE_BOUND('',#55376,.T.); #6826=FACE_BOUND('',#55377,.T.); #6827=FACE_BOUND('',#55378,.T.); #6828=FACE_BOUND('',#55379,.T.); #6829=FACE_BOUND('',#55380,.T.); #6830=FACE_BOUND('',#55381,.T.); #6831=FACE_BOUND('',#55382,.T.); #6832=FACE_BOUND('',#55383,.T.); #6833=FACE_BOUND('',#55384,.T.); #6834=FACE_BOUND('',#55385,.T.); #6835=FACE_BOUND('',#55386,.T.); #6836=FACE_BOUND('',#55387,.T.); #6837=FACE_BOUND('',#55388,.T.); #6838=FACE_BOUND('',#55389,.T.); #6839=FACE_BOUND('',#55390,.T.); #6840=FACE_BOUND('',#55391,.T.); #6841=FACE_BOUND('',#55392,.T.); #6842=FACE_BOUND('',#55393,.T.); #6843=FACE_BOUND('',#55394,.T.); #6844=FACE_BOUND('',#55395,.T.); #6845=FACE_BOUND('',#55396,.T.); #6846=FACE_BOUND('',#55397,.T.); #6847=FACE_BOUND('',#55398,.T.); #6848=FACE_BOUND('',#55399,.T.); #6849=FACE_BOUND('',#55400,.T.); #6850=FACE_BOUND('',#55401,.T.); #6851=FACE_BOUND('',#55402,.T.); #6852=FACE_BOUND('',#55403,.T.); #6853=FACE_BOUND('',#55404,.T.); #6854=FACE_BOUND('',#55405,.T.); #6855=FACE_BOUND('',#55406,.T.); #6856=FACE_BOUND('',#55407,.T.); #6857=FACE_BOUND('',#55408,.T.); #6858=FACE_BOUND('',#55409,.T.); #6859=FACE_BOUND('',#55410,.T.); #6860=FACE_BOUND('',#55411,.T.); #6861=FACE_BOUND('',#55412,.T.); #6862=FACE_BOUND('',#55413,.T.); #6863=FACE_BOUND('',#55414,.T.); #6864=FACE_BOUND('',#55415,.T.); #6865=FACE_BOUND('',#55416,.T.); #6866=FACE_BOUND('',#55417,.T.); #6867=FACE_BOUND('',#55418,.T.); #6868=FACE_BOUND('',#55419,.T.); #6869=FACE_BOUND('',#55420,.T.); #6870=FACE_BOUND('',#55421,.T.); #6871=FACE_BOUND('',#55422,.T.); #6872=FACE_BOUND('',#55423,.T.); #6873=FACE_BOUND('',#55424,.T.); #6874=FACE_BOUND('',#55425,.T.); #6875=FACE_BOUND('',#55426,.T.); #6876=FACE_BOUND('',#55427,.T.); #6877=FACE_BOUND('',#55428,.T.); #6878=FACE_BOUND('',#55429,.T.); #6879=FACE_BOUND('',#55430,.T.); #6880=FACE_BOUND('',#55431,.T.); #6881=FACE_BOUND('',#55432,.T.); #6882=FACE_BOUND('',#55433,.T.); #6883=FACE_BOUND('',#55434,.T.); #6884=FACE_BOUND('',#55435,.T.); #6885=FACE_BOUND('',#55436,.T.); #6886=FACE_BOUND('',#55437,.T.); #6887=FACE_BOUND('',#55438,.T.); #6888=FACE_BOUND('',#55439,.T.); #6889=FACE_BOUND('',#55440,.T.); #6890=FACE_BOUND('',#55441,.T.); #6891=FACE_BOUND('',#55442,.T.); #6892=FACE_BOUND('',#55443,.T.); #6893=FACE_BOUND('',#55444,.T.); #6894=FACE_BOUND('',#55445,.T.); #6895=FACE_BOUND('',#55446,.T.); #6896=FACE_BOUND('',#55447,.T.); #6897=FACE_BOUND('',#55448,.T.); #6898=FACE_BOUND('',#55449,.T.); #6899=FACE_BOUND('',#55450,.T.); #6900=FACE_BOUND('',#55451,.T.); #6901=FACE_BOUND('',#55452,.T.); #6902=FACE_BOUND('',#55453,.T.); #6903=FACE_BOUND('',#55454,.T.); #6904=FACE_BOUND('',#55455,.T.); #6905=FACE_BOUND('',#55456,.T.); #6906=FACE_BOUND('',#55457,.T.); #6907=FACE_BOUND('',#55458,.T.); #6908=FACE_BOUND('',#55459,.T.); #6909=FACE_BOUND('',#55460,.T.); #6910=FACE_BOUND('',#55461,.T.); #6911=FACE_BOUND('',#55462,.T.); #6912=FACE_BOUND('',#55463,.T.); #6913=FACE_BOUND('',#55464,.T.); #6914=FACE_BOUND('',#55465,.T.); #6915=FACE_BOUND('',#55466,.T.); #6916=FACE_BOUND('',#55467,.T.); #6917=FACE_BOUND('',#55468,.T.); #6918=FACE_BOUND('',#55469,.T.); #6919=FACE_BOUND('',#55470,.T.); #6920=FACE_BOUND('',#55471,.T.); #6921=FACE_BOUND('',#55472,.T.); #6922=FACE_BOUND('',#55473,.T.); #6923=FACE_BOUND('',#55474,.T.); #6924=FACE_BOUND('',#55475,.T.); #6925=FACE_BOUND('',#55476,.T.); #6926=FACE_BOUND('',#55477,.T.); #6927=FACE_BOUND('',#55478,.T.); #6928=FACE_BOUND('',#55479,.T.); #6929=FACE_BOUND('',#55480,.T.); #6930=FACE_BOUND('',#55481,.T.); #6931=FACE_BOUND('',#55482,.T.); #6932=FACE_BOUND('',#55483,.T.); #6933=FACE_BOUND('',#55484,.T.); #6934=FACE_BOUND('',#55485,.T.); #6935=FACE_BOUND('',#55486,.T.); #6936=FACE_BOUND('',#55487,.T.); #6937=FACE_BOUND('',#55488,.T.); #6938=FACE_BOUND('',#55489,.T.); #6939=FACE_BOUND('',#55490,.T.); #6940=FACE_BOUND('',#55491,.T.); #6941=FACE_BOUND('',#55492,.T.); #6942=FACE_BOUND('',#55493,.T.); #6943=FACE_BOUND('',#55494,.T.); #6944=FACE_BOUND('',#55495,.T.); #6945=FACE_BOUND('',#55496,.T.); #6946=FACE_BOUND('',#55497,.T.); #6947=FACE_BOUND('',#55498,.T.); #6948=FACE_BOUND('',#55499,.T.); #6949=FACE_BOUND('',#55500,.T.); #6950=FACE_BOUND('',#55501,.T.); #6951=FACE_BOUND('',#55502,.T.); #6952=FACE_BOUND('',#55503,.T.); #6953=FACE_BOUND('',#55504,.T.); #6954=FACE_BOUND('',#55505,.T.); #6955=FACE_BOUND('',#55506,.T.); #6956=FACE_BOUND('',#55507,.T.); #6957=FACE_BOUND('',#55508,.T.); #6958=FACE_BOUND('',#55509,.T.); #6959=FACE_BOUND('',#55510,.T.); #6960=FACE_BOUND('',#55511,.T.); #6961=FACE_BOUND('',#55512,.T.); #6962=FACE_BOUND('',#55513,.T.); #6963=FACE_BOUND('',#55514,.T.); #6964=FACE_BOUND('',#55515,.T.); #6965=FACE_BOUND('',#55516,.T.); #6966=FACE_BOUND('',#55517,.T.); #6967=FACE_BOUND('',#55518,.T.); #6968=FACE_BOUND('',#55519,.T.); #6969=FACE_BOUND('',#55520,.T.); #6970=FACE_BOUND('',#55521,.T.); #6971=FACE_BOUND('',#55522,.T.); #6972=FACE_BOUND('',#55523,.T.); #6973=FACE_BOUND('',#55524,.T.); #6974=FACE_BOUND('',#55525,.T.); #6975=FACE_BOUND('',#55526,.T.); #6976=FACE_BOUND('',#55527,.T.); #6977=FACE_BOUND('',#55528,.T.); #6978=FACE_BOUND('',#55529,.T.); #6979=FACE_BOUND('',#55530,.T.); #6980=FACE_BOUND('',#55531,.T.); #6981=FACE_BOUND('',#55532,.T.); #6982=FACE_BOUND('',#55533,.T.); #6983=FACE_BOUND('',#55534,.T.); #6984=FACE_BOUND('',#55535,.T.); #6985=FACE_BOUND('',#55536,.T.); #6986=FACE_BOUND('',#55537,.T.); #6987=FACE_BOUND('',#55538,.T.); #6988=FACE_BOUND('',#55539,.T.); #6989=FACE_BOUND('',#55540,.T.); #6990=FACE_BOUND('',#55541,.T.); #6991=FACE_BOUND('',#55542,.T.); #6992=FACE_BOUND('',#55543,.T.); #6993=FACE_BOUND('',#55544,.T.); #6994=FACE_BOUND('',#55545,.T.); #6995=FACE_BOUND('',#55546,.T.); #6996=FACE_BOUND('',#55547,.T.); #6997=FACE_BOUND('',#55548,.T.); #6998=FACE_BOUND('',#55549,.T.); #6999=FACE_BOUND('',#55550,.T.); #7000=FACE_BOUND('',#55551,.T.); #7001=FACE_BOUND('',#55552,.T.); #7002=FACE_BOUND('',#55553,.T.); #7003=FACE_BOUND('',#55554,.T.); #7004=FACE_BOUND('',#55555,.T.); #7005=FACE_BOUND('',#55556,.T.); #7006=FACE_BOUND('',#55557,.T.); #7007=FACE_BOUND('',#55558,.T.); #7008=FACE_BOUND('',#55559,.T.); #7009=FACE_BOUND('',#55560,.T.); #7010=FACE_BOUND('',#55561,.T.); #7011=FACE_BOUND('',#55562,.T.); #7012=FACE_BOUND('',#55563,.T.); #7013=FACE_BOUND('',#55564,.T.); #7014=FACE_BOUND('',#55565,.T.); #7015=FACE_BOUND('',#55566,.T.); #7016=FACE_BOUND('',#55567,.T.); #7017=FACE_BOUND('',#55568,.T.); #7018=FACE_BOUND('',#55569,.T.); #7019=FACE_BOUND('',#55570,.T.); #7020=FACE_BOUND('',#55571,.T.); #7021=FACE_BOUND('',#55572,.T.); #7022=FACE_BOUND('',#55573,.T.); #7023=FACE_BOUND('',#55574,.T.); #7024=FACE_BOUND('',#55575,.T.); #7025=FACE_BOUND('',#55576,.T.); #7026=FACE_BOUND('',#55577,.T.); #7027=FACE_BOUND('',#55578,.T.); #7028=FACE_BOUND('',#55579,.T.); #7029=FACE_BOUND('',#55580,.T.); #7030=FACE_BOUND('',#55581,.T.); #7031=FACE_BOUND('',#55582,.T.); #7032=FACE_BOUND('',#55583,.T.); #7033=FACE_BOUND('',#55584,.T.); #7034=FACE_BOUND('',#55585,.T.); #7035=FACE_BOUND('',#55586,.T.); #7036=FACE_BOUND('',#55587,.T.); #7037=FACE_BOUND('',#55588,.T.); #7038=FACE_BOUND('',#55589,.T.); #7039=FACE_BOUND('',#55590,.T.); #7040=FACE_BOUND('',#55591,.T.); #7041=FACE_BOUND('',#55592,.T.); #7042=FACE_BOUND('',#55593,.T.); #7043=FACE_BOUND('',#55594,.T.); #7044=FACE_BOUND('',#55595,.T.); #7045=FACE_BOUND('',#55596,.T.); #7046=FACE_BOUND('',#55597,.T.); #7047=FACE_BOUND('',#55598,.T.); #7048=FACE_BOUND('',#55599,.T.); #7049=FACE_BOUND('',#55600,.T.); #7050=FACE_BOUND('',#55601,.T.); #7051=FACE_BOUND('',#55602,.T.); #7052=FACE_BOUND('',#55603,.T.); #7053=FACE_BOUND('',#55604,.T.); #7054=FACE_BOUND('',#55605,.T.); #7055=FACE_BOUND('',#55606,.T.); #7056=FACE_BOUND('',#55607,.T.); #7057=FACE_BOUND('',#55608,.T.); #7058=FACE_BOUND('',#55609,.T.); #7059=FACE_BOUND('',#55610,.T.); #7060=FACE_BOUND('',#55611,.T.); #7061=FACE_BOUND('',#55612,.T.); #7062=FACE_BOUND('',#55613,.T.); #7063=FACE_BOUND('',#55614,.T.); #7064=FACE_BOUND('',#55615,.T.); #7065=FACE_BOUND('',#55616,.T.); #7066=FACE_BOUND('',#55617,.T.); #7067=FACE_BOUND('',#55618,.T.); #7068=FACE_BOUND('',#55619,.T.); #7069=FACE_BOUND('',#55620,.T.); #7070=FACE_BOUND('',#55621,.T.); #7071=FACE_BOUND('',#55622,.T.); #7072=FACE_BOUND('',#55623,.T.); #7073=FACE_BOUND('',#55624,.T.); #7074=FACE_BOUND('',#55625,.T.); #7075=FACE_BOUND('',#55626,.T.); #7076=FACE_BOUND('',#55627,.T.); #7077=FACE_BOUND('',#55628,.T.); #7078=FACE_BOUND('',#55629,.T.); #7079=FACE_BOUND('',#55630,.T.); #7080=FACE_BOUND('',#55631,.T.); #7081=FACE_BOUND('',#55632,.T.); #7082=FACE_BOUND('',#55633,.T.); #7083=FACE_BOUND('',#55634,.T.); #7084=FACE_BOUND('',#55635,.T.); #7085=FACE_BOUND('',#55636,.T.); #7086=FACE_BOUND('',#55637,.T.); #7087=FACE_BOUND('',#55638,.T.); #7088=FACE_BOUND('',#55639,.T.); #7089=FACE_BOUND('',#55640,.T.); #7090=FACE_BOUND('',#55641,.T.); #7091=FACE_BOUND('',#55642,.T.); #7092=FACE_BOUND('',#55643,.T.); #7093=FACE_BOUND('',#55644,.T.); #7094=FACE_BOUND('',#55645,.T.); #7095=FACE_BOUND('',#55646,.T.); #7096=FACE_BOUND('',#55647,.T.); #7097=FACE_BOUND('',#55648,.T.); #7098=FACE_BOUND('',#55649,.T.); #7099=FACE_BOUND('',#55650,.T.); #7100=FACE_BOUND('',#55651,.T.); #7101=FACE_BOUND('',#55652,.T.); #7102=FACE_BOUND('',#55653,.T.); #7103=FACE_BOUND('',#55654,.T.); #7104=FACE_BOUND('',#55655,.T.); #7105=FACE_BOUND('',#55656,.T.); #7106=FACE_BOUND('',#55657,.T.); #7107=FACE_BOUND('',#55658,.T.); #7108=FACE_BOUND('',#55659,.T.); #7109=FACE_BOUND('',#55660,.T.); #7110=FACE_BOUND('',#55661,.T.); #7111=FACE_BOUND('',#55662,.T.); #7112=FACE_BOUND('',#55663,.T.); #7113=FACE_BOUND('',#55664,.T.); #7114=FACE_BOUND('',#55665,.T.); #7115=FACE_BOUND('',#55666,.T.); #7116=FACE_BOUND('',#55667,.T.); #7117=FACE_BOUND('',#55668,.T.); #7118=FACE_BOUND('',#55670,.T.); #7119=FACE_BOUND('',#55671,.T.); #7120=FACE_BOUND('',#55672,.T.); #7121=FACE_BOUND('',#55673,.T.); #7122=FACE_BOUND('',#55674,.T.); #7123=FACE_BOUND('',#55675,.T.); #7124=FACE_BOUND('',#55676,.T.); #7125=FACE_BOUND('',#55677,.T.); #7126=FACE_BOUND('',#55678,.T.); #7127=FACE_BOUND('',#55679,.T.); #7128=FACE_BOUND('',#55680,.T.); #7129=FACE_BOUND('',#55681,.T.); #7130=FACE_BOUND('',#55682,.T.); #7131=FACE_BOUND('',#55683,.T.); #7132=FACE_BOUND('',#55684,.T.); #7133=FACE_BOUND('',#55685,.T.); #7134=FACE_BOUND('',#55686,.T.); #7135=FACE_BOUND('',#55687,.T.); #7136=FACE_BOUND('',#55688,.T.); #7137=FACE_BOUND('',#55689,.T.); #7138=FACE_BOUND('',#55690,.T.); #7139=FACE_BOUND('',#55691,.T.); #7140=FACE_BOUND('',#55692,.T.); #7141=FACE_BOUND('',#55693,.T.); #7142=FACE_BOUND('',#55694,.T.); #7143=FACE_BOUND('',#55695,.T.); #7144=FACE_BOUND('',#55696,.T.); #7145=FACE_BOUND('',#55697,.T.); #7146=FACE_BOUND('',#55698,.T.); #7147=FACE_BOUND('',#55699,.T.); #7148=FACE_BOUND('',#55700,.T.); #7149=FACE_BOUND('',#55701,.T.); #7150=FACE_BOUND('',#55702,.T.); #7151=FACE_BOUND('',#55703,.T.); #7152=FACE_BOUND('',#55704,.T.); #7153=FACE_BOUND('',#55705,.T.); #7154=FACE_BOUND('',#55706,.T.); #7155=FACE_BOUND('',#55707,.T.); #7156=FACE_BOUND('',#55708,.T.); #7157=FACE_BOUND('',#55709,.T.); #7158=FACE_BOUND('',#55710,.T.); #7159=FACE_BOUND('',#55711,.T.); #7160=FACE_BOUND('',#55712,.T.); #7161=FACE_BOUND('',#55713,.T.); #7162=FACE_BOUND('',#55714,.T.); #7163=FACE_BOUND('',#55715,.T.); #7164=FACE_BOUND('',#55716,.T.); #7165=FACE_BOUND('',#55717,.T.); #7166=FACE_BOUND('',#55718,.T.); #7167=FACE_BOUND('',#55719,.T.); #7168=FACE_BOUND('',#55720,.T.); #7169=FACE_BOUND('',#55721,.T.); #7170=FACE_BOUND('',#55722,.T.); #7171=FACE_BOUND('',#55723,.T.); #7172=FACE_BOUND('',#55724,.T.); #7173=FACE_BOUND('',#55725,.T.); #7174=FACE_BOUND('',#55726,.T.); #7175=FACE_BOUND('',#55727,.T.); #7176=FACE_BOUND('',#55728,.T.); #7177=FACE_BOUND('',#55729,.T.); #7178=FACE_BOUND('',#55730,.T.); #7179=FACE_BOUND('',#55731,.T.); #7180=FACE_BOUND('',#55732,.T.); #7181=FACE_BOUND('',#55733,.T.); #7182=FACE_BOUND('',#55734,.T.); #7183=FACE_BOUND('',#55735,.T.); #7184=FACE_BOUND('',#55736,.T.); #7185=FACE_BOUND('',#55737,.T.); #7186=FACE_BOUND('',#55738,.T.); #7187=FACE_BOUND('',#55739,.T.); #7188=FACE_BOUND('',#55740,.T.); #7189=FACE_BOUND('',#55741,.T.); #7190=FACE_BOUND('',#55742,.T.); #7191=FACE_BOUND('',#55743,.T.); #7192=FACE_BOUND('',#55744,.T.); #7193=FACE_BOUND('',#55745,.T.); #7194=FACE_BOUND('',#55746,.T.); #7195=FACE_BOUND('',#55747,.T.); #7196=FACE_BOUND('',#55748,.T.); #7197=FACE_BOUND('',#55749,.T.); #7198=FACE_BOUND('',#55750,.T.); #7199=FACE_BOUND('',#55751,.T.); #7200=FACE_BOUND('',#55752,.T.); #7201=FACE_BOUND('',#55753,.T.); #7202=FACE_BOUND('',#55754,.T.); #7203=FACE_BOUND('',#55755,.T.); #7204=FACE_BOUND('',#55756,.T.); #7205=FACE_BOUND('',#55757,.T.); #7206=FACE_BOUND('',#55758,.T.); #7207=FACE_BOUND('',#55759,.T.); #7208=FACE_BOUND('',#55760,.T.); #7209=FACE_BOUND('',#55761,.T.); #7210=FACE_BOUND('',#55762,.T.); #7211=FACE_BOUND('',#55763,.T.); #7212=FACE_BOUND('',#55764,.T.); #7213=FACE_BOUND('',#55765,.T.); #7214=FACE_BOUND('',#55766,.T.); #7215=FACE_BOUND('',#55767,.T.); #7216=FACE_BOUND('',#55768,.T.); #7217=FACE_BOUND('',#55769,.T.); #7218=FACE_BOUND('',#55770,.T.); #7219=FACE_BOUND('',#55771,.T.); #7220=FACE_BOUND('',#55772,.T.); #7221=FACE_BOUND('',#55773,.T.); #7222=FACE_BOUND('',#55774,.T.); #7223=FACE_BOUND('',#55775,.T.); #7224=FACE_BOUND('',#55776,.T.); #7225=FACE_BOUND('',#55777,.T.); #7226=FACE_BOUND('',#55778,.T.); #7227=FACE_BOUND('',#55779,.T.); #7228=FACE_BOUND('',#55780,.T.); #7229=FACE_BOUND('',#55781,.T.); #7230=FACE_BOUND('',#55782,.T.); #7231=FACE_BOUND('',#55783,.T.); #7232=FACE_BOUND('',#55784,.T.); #7233=FACE_BOUND('',#55785,.T.); #7234=FACE_BOUND('',#55786,.T.); #7235=FACE_BOUND('',#55787,.T.); #7236=FACE_BOUND('',#55788,.T.); #7237=FACE_BOUND('',#55789,.T.); #7238=FACE_BOUND('',#55790,.T.); #7239=FACE_BOUND('',#55791,.T.); #7240=FACE_BOUND('',#55792,.T.); #7241=FACE_BOUND('',#55793,.T.); #7242=FACE_BOUND('',#55794,.T.); #7243=FACE_BOUND('',#55795,.T.); #7244=FACE_BOUND('',#55796,.T.); #7245=FACE_BOUND('',#55797,.T.); #7246=FACE_BOUND('',#55798,.T.); #7247=FACE_BOUND('',#55799,.T.); #7248=FACE_BOUND('',#55800,.T.); #7249=FACE_BOUND('',#55801,.T.); #7250=FACE_BOUND('',#55802,.T.); #7251=FACE_BOUND('',#55803,.T.); #7252=FACE_BOUND('',#55804,.T.); #7253=FACE_BOUND('',#55805,.T.); #7254=FACE_BOUND('',#55806,.T.); #7255=FACE_BOUND('',#55807,.T.); #7256=FACE_BOUND('',#55808,.T.); #7257=FACE_BOUND('',#55809,.T.); #7258=FACE_BOUND('',#55810,.T.); #7259=FACE_BOUND('',#55811,.T.); #7260=FACE_BOUND('',#55812,.T.); #7261=FACE_BOUND('',#55813,.T.); #7262=FACE_BOUND('',#55814,.T.); #7263=FACE_BOUND('',#55815,.T.); #7264=FACE_BOUND('',#55816,.T.); #7265=FACE_BOUND('',#55817,.T.); #7266=FACE_BOUND('',#55818,.T.); #7267=FACE_BOUND('',#55819,.T.); #7268=FACE_BOUND('',#55820,.T.); #7269=FACE_BOUND('',#55821,.T.); #7270=FACE_BOUND('',#55822,.T.); #7271=FACE_BOUND('',#55823,.T.); #7272=FACE_BOUND('',#55824,.T.); #7273=FACE_BOUND('',#55825,.T.); #7274=FACE_BOUND('',#55826,.T.); #7275=FACE_BOUND('',#55827,.T.); #7276=FACE_BOUND('',#55828,.T.); #7277=FACE_BOUND('',#55829,.T.); #7278=FACE_BOUND('',#55830,.T.); #7279=FACE_BOUND('',#55831,.T.); #7280=FACE_BOUND('',#55832,.T.); #7281=FACE_BOUND('',#55833,.T.); #7282=FACE_BOUND('',#55834,.T.); #7283=FACE_BOUND('',#55835,.T.); #7284=FACE_BOUND('',#55836,.T.); #7285=FACE_BOUND('',#55837,.T.); #7286=FACE_BOUND('',#55838,.T.); #7287=FACE_BOUND('',#55839,.T.); #7288=FACE_BOUND('',#55840,.T.); #7289=FACE_BOUND('',#55841,.T.); #7290=FACE_BOUND('',#55842,.T.); #7291=FACE_BOUND('',#55843,.T.); #7292=FACE_BOUND('',#55844,.T.); #7293=FACE_BOUND('',#55845,.T.); #7294=FACE_BOUND('',#55846,.T.); #7295=FACE_BOUND('',#55847,.T.); #7296=FACE_BOUND('',#55848,.T.); #7297=FACE_BOUND('',#55849,.T.); #7298=FACE_BOUND('',#55850,.T.); #7299=FACE_BOUND('',#55851,.T.); #7300=FACE_BOUND('',#55852,.T.); #7301=FACE_BOUND('',#55853,.T.); #7302=FACE_BOUND('',#55854,.T.); #7303=FACE_BOUND('',#55855,.T.); #7304=FACE_BOUND('',#55856,.T.); #7305=FACE_BOUND('',#55857,.T.); #7306=FACE_BOUND('',#55858,.T.); #7307=FACE_BOUND('',#55859,.T.); #7308=FACE_BOUND('',#55860,.T.); #7309=FACE_BOUND('',#55861,.T.); #7310=FACE_BOUND('',#55862,.T.); #7311=FACE_BOUND('',#55863,.T.); #7312=FACE_BOUND('',#55864,.T.); #7313=FACE_BOUND('',#55865,.T.); #7314=FACE_BOUND('',#55866,.T.); #7315=FACE_BOUND('',#55867,.T.); #7316=FACE_BOUND('',#55868,.T.); #7317=FACE_BOUND('',#55869,.T.); #7318=FACE_BOUND('',#55870,.T.); #7319=FACE_BOUND('',#55871,.T.); #7320=FACE_BOUND('',#55872,.T.); #7321=FACE_BOUND('',#55873,.T.); #7322=FACE_BOUND('',#55874,.T.); #7323=FACE_BOUND('',#55875,.T.); #7324=FACE_BOUND('',#55876,.T.); #7325=FACE_BOUND('',#55877,.T.); #7326=FACE_BOUND('',#55878,.T.); #7327=FACE_BOUND('',#55879,.T.); #7328=FACE_BOUND('',#55880,.T.); #7329=FACE_BOUND('',#55881,.T.); #7330=FACE_BOUND('',#55882,.T.); #7331=FACE_BOUND('',#55883,.T.); #7332=FACE_BOUND('',#55884,.T.); #7333=FACE_BOUND('',#55885,.T.); #7334=FACE_BOUND('',#55886,.T.); #7335=FACE_BOUND('',#55887,.T.); #7336=FACE_BOUND('',#55888,.T.); #7337=FACE_BOUND('',#55889,.T.); #7338=FACE_BOUND('',#55890,.T.); #7339=FACE_BOUND('',#55891,.T.); #7340=FACE_BOUND('',#55892,.T.); #7341=FACE_BOUND('',#55893,.T.); #7342=FACE_BOUND('',#55894,.T.); #7343=FACE_BOUND('',#55895,.T.); #7344=FACE_BOUND('',#55896,.T.); #7345=FACE_BOUND('',#55897,.T.); #7346=FACE_BOUND('',#55898,.T.); #7347=FACE_BOUND('',#55899,.T.); #7348=FACE_BOUND('',#55900,.T.); #7349=FACE_BOUND('',#55901,.T.); #7350=FACE_BOUND('',#55902,.T.); #7351=FACE_BOUND('',#55903,.T.); #7352=FACE_BOUND('',#55904,.T.); #7353=FACE_BOUND('',#55905,.T.); #7354=FACE_BOUND('',#55906,.T.); #7355=FACE_BOUND('',#55907,.T.); #7356=FACE_BOUND('',#55908,.T.); #7357=FACE_BOUND('',#55909,.T.); #7358=FACE_BOUND('',#55910,.T.); #7359=FACE_BOUND('',#55911,.T.); #7360=FACE_BOUND('',#55912,.T.); #7361=FACE_BOUND('',#55913,.T.); #7362=FACE_BOUND('',#55914,.T.); #7363=FACE_BOUND('',#55915,.T.); #7364=FACE_BOUND('',#55916,.T.); #7365=FACE_BOUND('',#55917,.T.); #7366=FACE_BOUND('',#55918,.T.); #7367=FACE_BOUND('',#55919,.T.); #7368=FACE_BOUND('',#55920,.T.); #7369=FACE_BOUND('',#55921,.T.); #7370=FACE_BOUND('',#55922,.T.); #7371=FACE_BOUND('',#55923,.T.); #7372=FACE_BOUND('',#55924,.T.); #7373=FACE_BOUND('',#55925,.T.); #7374=FACE_BOUND('',#55926,.T.); #7375=FACE_BOUND('',#55927,.T.); #7376=FACE_BOUND('',#55928,.T.); #7377=FACE_BOUND('',#55929,.T.); #7378=FACE_BOUND('',#55930,.T.); #7379=FACE_BOUND('',#55931,.T.); #7380=FACE_BOUND('',#55932,.T.); #7381=FACE_BOUND('',#55933,.T.); #7382=FACE_BOUND('',#55934,.T.); #7383=FACE_BOUND('',#55935,.T.); #7384=FACE_BOUND('',#55936,.T.); #7385=FACE_BOUND('',#55937,.T.); #7386=FACE_BOUND('',#55938,.T.); #7387=FACE_BOUND('',#55939,.T.); #7388=FACE_BOUND('',#55940,.T.); #7389=FACE_BOUND('',#55941,.T.); #7390=FACE_BOUND('',#55942,.T.); #7391=FACE_BOUND('',#55943,.T.); #7392=FACE_BOUND('',#55944,.T.); #7393=FACE_BOUND('',#55945,.T.); #7394=FACE_BOUND('',#55946,.T.); #7395=FACE_BOUND('',#55947,.T.); #7396=FACE_BOUND('',#55948,.T.); #7397=FACE_BOUND('',#55949,.T.); #7398=FACE_BOUND('',#55950,.T.); #7399=FACE_BOUND('',#55951,.T.); #7400=FACE_BOUND('',#55952,.T.); #7401=FACE_BOUND('',#55953,.T.); #7402=FACE_BOUND('',#55954,.T.); #7403=FACE_BOUND('',#55955,.T.); #7404=FACE_BOUND('',#55956,.T.); #7405=FACE_BOUND('',#55957,.T.); #7406=FACE_BOUND('',#55958,.T.); #7407=FACE_BOUND('',#55959,.T.); #7408=FACE_BOUND('',#55960,.T.); #7409=FACE_BOUND('',#55961,.T.); #7410=FACE_BOUND('',#55962,.T.); #7411=FACE_BOUND('',#55963,.T.); #7412=FACE_BOUND('',#55964,.T.); #7413=FACE_BOUND('',#55965,.T.); #7414=FACE_BOUND('',#55966,.T.); #7415=FACE_BOUND('',#55967,.T.); #7416=FACE_BOUND('',#55968,.T.); #7417=FACE_BOUND('',#55969,.T.); #7418=FACE_BOUND('',#55970,.T.); #7419=FACE_BOUND('',#55971,.T.); #7420=FACE_BOUND('',#55972,.T.); #7421=FACE_BOUND('',#55973,.T.); #7422=FACE_BOUND('',#55974,.T.); #7423=FACE_BOUND('',#55975,.T.); #7424=FACE_BOUND('',#55976,.T.); #7425=FACE_BOUND('',#55977,.T.); #7426=FACE_BOUND('',#55978,.T.); #7427=FACE_BOUND('',#55979,.T.); #7428=FACE_BOUND('',#55980,.T.); #7429=FACE_BOUND('',#55981,.T.); #7430=FACE_BOUND('',#55982,.T.); #7431=FACE_BOUND('',#55983,.T.); #7432=FACE_BOUND('',#55984,.T.); #7433=FACE_BOUND('',#55985,.T.); #7434=FACE_BOUND('',#55986,.T.); #7435=FACE_BOUND('',#55994,.T.); #7436=FACE_BOUND('',#55995,.T.); #7437=FACE_BOUND('',#55996,.T.); #7438=FACE_BOUND('',#55997,.T.); #7439=FACE_BOUND('',#55999,.T.); #7440=FACE_BOUND('',#56001,.T.); #7441=FACE_BOUND('',#56003,.T.); #7442=FACE_BOUND('',#56007,.T.); #7443=FACE_BOUND('',#56272,.T.); #7444=FACE_BOUND('',#56328,.T.); #7445=FACE_BOUND('',#56329,.T.); #7446=FACE_BOUND('',#56746,.T.); #7447=FACE_BOUND('',#56748,.T.); #7448=FACE_BOUND('',#56754,.T.); #7449=FACE_BOUND('',#56755,.T.); #7450=FACE_BOUND('',#56757,.T.); #7451=FACE_BOUND('',#56760,.T.); #7452=FACE_BOUND('',#56768,.T.); #7453=FACE_BOUND('',#56775,.T.); #7454=FACE_BOUND('',#56778,.T.); #7455=FACE_BOUND('',#56794,.T.); #7456=FACE_BOUND('',#56797,.T.); #7457=FACE_BOUND('',#56798,.T.); #7458=FACE_BOUND('',#57078,.T.); #7459=FACE_BOUND('',#57082,.T.); #7460=FACE_BOUND('',#57084,.T.); #7461=FACE_BOUND('',#57089,.T.); #7462=FACE_BOUND('',#57200,.T.); #7463=FACE_BOUND('',#57202,.T.); #7464=FACE_BOUND('',#57204,.T.); #7465=FACE_BOUND('',#57205,.T.); #7466=FACE_BOUND('',#57466,.T.); #7467=FACE_BOUND('',#57554,.T.); #7468=FACE_BOUND('',#57685,.T.); #7469=FACE_BOUND('',#57844,.T.); #7470=FACE_BOUND('',#57846,.T.); #7471=FACE_BOUND('',#57860,.T.); #7472=FACE_BOUND('',#58045,.T.); #7473=FACE_BOUND('',#58046,.T.); #7474=FACE_BOUND('',#58059,.T.); #7475=FACE_BOUND('',#58081,.T.); #7476=FACE_BOUND('',#58114,.T.); #7477=FACE_BOUND('',#58115,.T.); #7478=FACE_BOUND('',#58116,.T.); #7479=FACE_BOUND('',#58273,.T.); #7480=FACE_BOUND('',#58774,.T.); #7481=FACE_BOUND('',#58903,.T.); #7482=PLANE('',#327080); #7483=PLANE('',#327084); #7484=PLANE('',#327091); #7485=PLANE('',#327095); #7486=PLANE('',#327108); #7487=PLANE('',#327112); #7488=PLANE('',#327146); #7489=PLANE('',#327150); #7490=PLANE('',#327157); #7491=PLANE('',#327161); #7492=PLANE('',#327168); #7493=PLANE('',#327172); #7494=PLANE('',#327575); #7495=PLANE('',#327576); #7496=PLANE('',#327583); #7497=PLANE('',#327584); #7498=PLANE('',#327591); #7499=PLANE('',#327592); #7500=PLANE('',#327599); #7501=PLANE('',#327600); #7502=PLANE('',#327607); #7503=PLANE('',#327608); #7504=PLANE('',#327615); #7505=PLANE('',#327616); #7506=PLANE('',#327623); #7507=PLANE('',#327624); #7508=PLANE('',#327631); #7509=PLANE('',#327632); #7510=PLANE('',#327639); #7511=PLANE('',#327640); #7512=PLANE('',#327647); #7513=PLANE('',#327648); #7514=PLANE('',#327655); #7515=PLANE('',#327656); #7516=PLANE('',#327663); #7517=PLANE('',#327664); #7518=PLANE('',#327671); #7519=PLANE('',#327672); #7520=PLANE('',#327679); #7521=PLANE('',#327680); #7522=PLANE('',#327687); #7523=PLANE('',#327688); #7524=PLANE('',#327695); #7525=PLANE('',#327696); #7526=PLANE('',#327703); #7527=PLANE('',#327704); #7528=PLANE('',#327711); #7529=PLANE('',#327712); #7530=PLANE('',#327719); #7531=PLANE('',#327720); #7532=PLANE('',#327727); #7533=PLANE('',#327728); #7534=PLANE('',#327735); #7535=PLANE('',#327736); #7536=PLANE('',#327743); #7537=PLANE('',#327744); #7538=PLANE('',#327751); #7539=PLANE('',#327752); #7540=PLANE('',#327759); #7541=PLANE('',#327760); #7542=PLANE('',#327767); #7543=PLANE('',#327768); #7544=PLANE('',#327775); #7545=PLANE('',#327776); #7546=PLANE('',#327783); #7547=PLANE('',#327784); #7548=PLANE('',#327791); #7549=PLANE('',#327792); #7550=PLANE('',#327799); #7551=PLANE('',#327800); #7552=PLANE('',#327807); #7553=PLANE('',#327808); #7554=PLANE('',#327815); #7555=PLANE('',#327816); #7556=PLANE('',#327823); #7557=PLANE('',#327824); #7558=PLANE('',#327831); #7559=PLANE('',#327832); #7560=PLANE('',#327839); #7561=PLANE('',#327840); #7562=PLANE('',#327847); #7563=PLANE('',#327848); #7564=PLANE('',#327855); #7565=PLANE('',#327856); #7566=PLANE('',#327863); #7567=PLANE('',#327864); #7568=PLANE('',#327871); #7569=PLANE('',#327872); #7570=PLANE('',#327879); #7571=PLANE('',#327880); #7572=PLANE('',#327887); #7573=PLANE('',#327888); #7574=PLANE('',#327895); #7575=PLANE('',#327896); #7576=PLANE('',#327903); #7577=PLANE('',#327904); #7578=PLANE('',#327911); #7579=PLANE('',#327912); #7580=PLANE('',#327919); #7581=PLANE('',#327920); #7582=PLANE('',#327927); #7583=PLANE('',#327928); #7584=PLANE('',#327935); #7585=PLANE('',#327936); #7586=PLANE('',#327943); #7587=PLANE('',#327944); #7588=PLANE('',#327951); #7589=PLANE('',#327952); #7590=PLANE('',#327959); #7591=PLANE('',#327960); #7592=PLANE('',#327967); #7593=PLANE('',#327968); #7594=PLANE('',#327975); #7595=PLANE('',#327976); #7596=PLANE('',#327983); #7597=PLANE('',#327984); #7598=PLANE('',#327991); #7599=PLANE('',#327992); #7600=PLANE('',#327999); #7601=PLANE('',#328000); #7602=PLANE('',#328007); #7603=PLANE('',#328008); #7604=PLANE('',#328015); #7605=PLANE('',#328016); #7606=PLANE('',#328023); #7607=PLANE('',#328024); #7608=PLANE('',#328031); #7609=PLANE('',#328032); #7610=PLANE('',#328039); #7611=PLANE('',#328040); #7612=PLANE('',#328047); #7613=PLANE('',#328048); #7614=PLANE('',#328055); #7615=PLANE('',#328056); #7616=PLANE('',#328063); #7617=PLANE('',#328064); #7618=PLANE('',#328071); #7619=PLANE('',#328072); #7620=PLANE('',#328079); #7621=PLANE('',#328080); #7622=PLANE('',#328087); #7623=PLANE('',#328088); #7624=PLANE('',#328095); #7625=PLANE('',#328096); #7626=PLANE('',#328103); #7627=PLANE('',#328104); #7628=PLANE('',#328111); #7629=PLANE('',#328112); #7630=PLANE('',#328119); #7631=PLANE('',#328120); #7632=PLANE('',#328127); #7633=PLANE('',#328128); #7634=PLANE('',#328135); #7635=PLANE('',#328136); #7636=PLANE('',#328143); #7637=PLANE('',#328144); #7638=PLANE('',#328151); #7639=PLANE('',#328152); #7640=PLANE('',#328159); #7641=PLANE('',#328160); #7642=PLANE('',#328167); #7643=PLANE('',#328168); #7644=PLANE('',#328175); #7645=PLANE('',#328176); #7646=PLANE('',#328183); #7647=PLANE('',#328184); #7648=PLANE('',#328191); #7649=PLANE('',#328192); #7650=PLANE('',#328199); #7651=PLANE('',#328200); #7652=PLANE('',#328207); #7653=PLANE('',#328208); #7654=PLANE('',#328215); #7655=PLANE('',#328216); #7656=PLANE('',#328223); #7657=PLANE('',#328224); #7658=PLANE('',#328231); #7659=PLANE('',#328232); #7660=PLANE('',#328239); #7661=PLANE('',#328240); #7662=PLANE('',#328247); #7663=PLANE('',#328248); #7664=PLANE('',#328255); #7665=PLANE('',#328256); #7666=PLANE('',#328263); #7667=PLANE('',#328264); #7668=PLANE('',#328271); #7669=PLANE('',#328272); #7670=PLANE('',#328279); #7671=PLANE('',#328280); #7672=PLANE('',#328287); #7673=PLANE('',#328288); #7674=PLANE('',#328295); #7675=PLANE('',#328296); #7676=PLANE('',#328303); #7677=PLANE('',#328304); #7678=PLANE('',#328311); #7679=PLANE('',#328312); #7680=PLANE('',#328319); #7681=PLANE('',#328320); #7682=PLANE('',#328327); #7683=PLANE('',#328328); #7684=PLANE('',#328335); #7685=PLANE('',#328336); #7686=PLANE('',#328343); #7687=PLANE('',#328344); #7688=PLANE('',#328351); #7689=PLANE('',#328352); #7690=PLANE('',#328359); #7691=PLANE('',#328360); #7692=PLANE('',#328367); #7693=PLANE('',#328368); #7694=PLANE('',#328375); #7695=PLANE('',#328376); #7696=PLANE('',#328383); #7697=PLANE('',#328384); #7698=PLANE('',#328391); #7699=PLANE('',#328392); #7700=PLANE('',#328399); #7701=PLANE('',#328400); #7702=PLANE('',#328407); #7703=PLANE('',#328408); #7704=PLANE('',#328415); #7705=PLANE('',#328416); #7706=PLANE('',#328423); #7707=PLANE('',#328424); #7708=PLANE('',#328431); #7709=PLANE('',#328432); #7710=PLANE('',#328439); #7711=PLANE('',#328440); #7712=PLANE('',#328447); #7713=PLANE('',#328448); #7714=PLANE('',#328455); #7715=PLANE('',#328456); #7716=PLANE('',#328463); #7717=PLANE('',#328464); #7718=PLANE('',#328471); #7719=PLANE('',#328472); #7720=PLANE('',#328479); #7721=PLANE('',#328480); #7722=PLANE('',#328487); #7723=PLANE('',#328488); #7724=PLANE('',#328495); #7725=PLANE('',#328496); #7726=PLANE('',#328503); #7727=PLANE('',#328504); #7728=PLANE('',#328511); #7729=PLANE('',#328512); #7730=PLANE('',#328519); #7731=PLANE('',#328520); #7732=PLANE('',#328527); #7733=PLANE('',#328528); #7734=PLANE('',#328535); #7735=PLANE('',#328536); #7736=PLANE('',#328543); #7737=PLANE('',#328544); #7738=PLANE('',#328551); #7739=PLANE('',#328552); #7740=PLANE('',#328559); #7741=PLANE('',#328560); #7742=PLANE('',#328567); #7743=PLANE('',#328568); #7744=PLANE('',#328575); #7745=PLANE('',#328576); #7746=PLANE('',#328583); #7747=PLANE('',#328584); #7748=PLANE('',#328591); #7749=PLANE('',#328592); #7750=PLANE('',#328599); #7751=PLANE('',#328600); #7752=PLANE('',#328607); #7753=PLANE('',#328608); #7754=PLANE('',#328615); #7755=PLANE('',#328616); #7756=PLANE('',#328623); #7757=PLANE('',#328624); #7758=PLANE('',#328631); #7759=PLANE('',#328632); #7760=PLANE('',#328633); #7761=PLANE('',#328637); #7762=PLANE('',#328641); #7763=PLANE('',#328645); #7764=PLANE('',#328649); #7765=PLANE('',#328650); #7766=PLANE('',#328657); #7767=PLANE('',#328658); #7768=PLANE('',#328659); #7769=PLANE('',#328663); #7770=PLANE('',#328667); #7771=PLANE('',#328671); #7772=PLANE('',#328675); #7773=PLANE('',#328676); #7774=PLANE('',#328683); #7775=PLANE('',#328684); #7776=PLANE('',#328685); #7777=PLANE('',#328689); #7778=PLANE('',#328693); #7779=PLANE('',#328697); #7780=PLANE('',#328701); #7781=PLANE('',#328702); #7782=PLANE('',#328709); #7783=PLANE('',#328710); #7784=PLANE('',#328717); #7785=PLANE('',#328718); #7786=PLANE('',#328725); #7787=PLANE('',#328726); #7788=PLANE('',#328733); #7789=PLANE('',#328734); #7790=PLANE('',#328741); #7791=PLANE('',#328742); #7792=PLANE('',#328749); #7793=PLANE('',#328750); #7794=PLANE('',#328757); #7795=PLANE('',#328758); #7796=PLANE('',#328765); #7797=PLANE('',#328766); #7798=PLANE('',#328773); #7799=PLANE('',#328774); #7800=PLANE('',#328781); #7801=PLANE('',#328782); #7802=PLANE('',#328783); #7803=PLANE('',#328787); #7804=PLANE('',#328791); #7805=PLANE('',#328795); #7806=PLANE('',#328799); #7807=PLANE('',#328800); #7808=PLANE('',#328807); #7809=PLANE('',#328808); #7810=PLANE('',#328815); #7811=PLANE('',#328816); #7812=PLANE('',#328823); #7813=PLANE('',#328824); #7814=PLANE('',#328825); #7815=PLANE('',#328829); #7816=PLANE('',#328833); #7817=PLANE('',#328837); #7818=PLANE('',#328841); #7819=PLANE('',#328842); #7820=PLANE('',#328849); #7821=PLANE('',#328850); #7822=PLANE('',#328851); #7823=PLANE('',#328855); #7824=PLANE('',#328859); #7825=PLANE('',#328863); #7826=PLANE('',#328867); #7827=PLANE('',#328868); #7828=PLANE('',#328875); #7829=PLANE('',#328876); #7830=PLANE('',#328883); #7831=PLANE('',#328884); #7832=PLANE('',#328891); #7833=PLANE('',#328892); #7834=PLANE('',#328899); #7835=PLANE('',#328900); #7836=PLANE('',#328907); #7837=PLANE('',#328908); #7838=PLANE('',#328915); #7839=PLANE('',#328916); #7840=PLANE('',#328923); #7841=PLANE('',#328924); #7842=PLANE('',#328931); #7843=PLANE('',#328932); #7844=PLANE('',#328939); #7845=PLANE('',#328940); #7846=PLANE('',#328947); #7847=PLANE('',#328948); #7848=PLANE('',#328955); #7849=PLANE('',#328956); #7850=PLANE('',#328963); #7851=PLANE('',#328964); #7852=PLANE('',#328971); #7853=PLANE('',#328972); #7854=PLANE('',#328979); #7855=PLANE('',#328980); #7856=PLANE('',#328987); #7857=PLANE('',#328988); #7858=PLANE('',#328995); #7859=PLANE('',#328996); #7860=PLANE('',#329003); #7861=PLANE('',#329004); #7862=PLANE('',#329011); #7863=PLANE('',#329012); #7864=PLANE('',#329019); #7865=PLANE('',#329020); #7866=PLANE('',#329027); #7867=PLANE('',#329028); #7868=PLANE('',#329035); #7869=PLANE('',#329036); #7870=PLANE('',#329043); #7871=PLANE('',#329044); #7872=PLANE('',#329051); #7873=PLANE('',#329052); #7874=PLANE('',#329059); #7875=PLANE('',#329060); #7876=PLANE('',#329067); #7877=PLANE('',#329068); #7878=PLANE('',#329075); #7879=PLANE('',#329076); #7880=PLANE('',#329083); #7881=PLANE('',#329084); #7882=PLANE('',#329091); #7883=PLANE('',#329092); #7884=PLANE('',#329099); #7885=PLANE('',#329100); #7886=PLANE('',#329107); #7887=PLANE('',#329108); #7888=PLANE('',#329115); #7889=PLANE('',#329116); #7890=PLANE('',#329123); #7891=PLANE('',#329124); #7892=PLANE('',#329131); #7893=PLANE('',#329132); #7894=PLANE('',#329139); #7895=PLANE('',#329140); #7896=PLANE('',#329147); #7897=PLANE('',#329148); #7898=PLANE('',#329155); #7899=PLANE('',#329156); #7900=PLANE('',#329163); #7901=PLANE('',#329164); #7902=PLANE('',#329171); #7903=PLANE('',#329172); #7904=PLANE('',#329179); #7905=PLANE('',#329180); #7906=PLANE('',#329187); #7907=PLANE('',#329188); #7908=PLANE('',#329195); #7909=PLANE('',#329196); #7910=PLANE('',#329203); #7911=PLANE('',#329204); #7912=PLANE('',#329211); #7913=PLANE('',#329212); #7914=PLANE('',#329219); #7915=PLANE('',#329220); #7916=PLANE('',#329227); #7917=PLANE('',#329228); #7918=PLANE('',#329235); #7919=PLANE('',#329236); #7920=PLANE('',#329243); #7921=PLANE('',#329244); #7922=PLANE('',#329251); #7923=PLANE('',#329252); #7924=PLANE('',#329259); #7925=PLANE('',#329260); #7926=PLANE('',#329267); #7927=PLANE('',#329268); #7928=PLANE('',#329275); #7929=PLANE('',#329276); #7930=PLANE('',#329283); #7931=PLANE('',#329284); #7932=PLANE('',#329291); #7933=PLANE('',#329292); #7934=PLANE('',#329299); #7935=PLANE('',#329300); #7936=PLANE('',#329307); #7937=PLANE('',#329308); #7938=PLANE('',#329315); #7939=PLANE('',#329316); #7940=PLANE('',#329323); #7941=PLANE('',#329324); #7942=PLANE('',#329331); #7943=PLANE('',#329332); #7944=PLANE('',#329339); #7945=PLANE('',#329340); #7946=PLANE('',#329347); #7947=PLANE('',#329348); #7948=PLANE('',#329355); #7949=PLANE('',#329356); #7950=PLANE('',#329363); #7951=PLANE('',#329364); #7952=PLANE('',#329371); #7953=PLANE('',#329372); #7954=PLANE('',#329379); #7955=PLANE('',#329380); #7956=PLANE('',#329387); #7957=PLANE('',#329388); #7958=PLANE('',#329395); #7959=PLANE('',#329396); #7960=PLANE('',#329403); #7961=PLANE('',#329404); #7962=PLANE('',#329411); #7963=PLANE('',#329412); #7964=PLANE('',#329419); #7965=PLANE('',#329420); #7966=PLANE('',#329427); #7967=PLANE('',#329428); #7968=PLANE('',#329435); #7969=PLANE('',#329436); #7970=PLANE('',#329443); #7971=PLANE('',#329444); #7972=PLANE('',#329451); #7973=PLANE('',#329452); #7974=PLANE('',#329459); #7975=PLANE('',#329460); #7976=PLANE('',#329467); #7977=PLANE('',#329468); #7978=PLANE('',#329475); #7979=PLANE('',#329476); #7980=PLANE('',#329483); #7981=PLANE('',#329484); #7982=PLANE('',#329491); #7983=PLANE('',#329492); #7984=PLANE('',#329499); #7985=PLANE('',#329500); #7986=PLANE('',#329507); #7987=PLANE('',#329508); #7988=PLANE('',#329515); #7989=PLANE('',#329516); #7990=PLANE('',#329523); #7991=PLANE('',#329524); #7992=PLANE('',#329531); #7993=PLANE('',#329532); #7994=PLANE('',#329539); #7995=PLANE('',#329540); #7996=PLANE('',#329547); #7997=PLANE('',#329548); #7998=PLANE('',#329555); #7999=PLANE('',#329556); #8000=PLANE('',#329563); #8001=PLANE('',#329564); #8002=PLANE('',#329571); #8003=PLANE('',#329572); #8004=PLANE('',#329579); #8005=PLANE('',#329580); #8006=PLANE('',#329587); #8007=PLANE('',#329588); #8008=PLANE('',#329595); #8009=PLANE('',#329596); #8010=PLANE('',#329603); #8011=PLANE('',#329604); #8012=PLANE('',#329611); #8013=PLANE('',#329612); #8014=PLANE('',#329619); #8015=PLANE('',#329620); #8016=PLANE('',#329627); #8017=PLANE('',#329628); #8018=PLANE('',#329635); #8019=PLANE('',#329636); #8020=PLANE('',#329643); #8021=PLANE('',#329644); #8022=PLANE('',#329651); #8023=PLANE('',#329652); #8024=PLANE('',#329659); #8025=PLANE('',#329660); #8026=PLANE('',#329667); #8027=PLANE('',#329668); #8028=PLANE('',#329675); #8029=PLANE('',#329676); #8030=PLANE('',#329683); #8031=PLANE('',#329684); #8032=PLANE('',#329691); #8033=PLANE('',#329692); #8034=PLANE('',#329699); #8035=PLANE('',#329700); #8036=PLANE('',#329707); #8037=PLANE('',#329708); #8038=PLANE('',#329715); #8039=PLANE('',#329716); #8040=PLANE('',#329723); #8041=PLANE('',#329724); #8042=PLANE('',#329731); #8043=PLANE('',#329732); #8044=PLANE('',#329739); #8045=PLANE('',#329740); #8046=PLANE('',#329747); #8047=PLANE('',#329748); #8048=PLANE('',#329755); #8049=PLANE('',#329756); #8050=PLANE('',#329763); #8051=PLANE('',#329764); #8052=PLANE('',#329771); #8053=PLANE('',#329772); #8054=PLANE('',#329779); #8055=PLANE('',#329780); #8056=PLANE('',#329787); #8057=PLANE('',#329788); #8058=PLANE('',#329795); #8059=PLANE('',#329796); #8060=PLANE('',#329803); #8061=PLANE('',#329804); #8062=PLANE('',#329811); #8063=PLANE('',#329812); #8064=PLANE('',#329819); #8065=PLANE('',#329820); #8066=PLANE('',#329827); #8067=PLANE('',#329828); #8068=PLANE('',#329835); #8069=PLANE('',#329836); #8070=PLANE('',#329843); #8071=PLANE('',#329844); #8072=PLANE('',#329851); #8073=PLANE('',#329852); #8074=PLANE('',#329859); #8075=PLANE('',#329860); #8076=PLANE('',#329867); #8077=PLANE('',#329868); #8078=PLANE('',#329875); #8079=PLANE('',#329876); #8080=PLANE('',#329883); #8081=PLANE('',#329884); #8082=PLANE('',#329891); #8083=PLANE('',#329892); #8084=PLANE('',#329899); #8085=PLANE('',#329900); #8086=PLANE('',#329907); #8087=PLANE('',#329908); #8088=PLANE('',#329915); #8089=PLANE('',#329916); #8090=PLANE('',#329923); #8091=PLANE('',#329924); #8092=PLANE('',#329931); #8093=PLANE('',#329932); #8094=PLANE('',#329939); #8095=PLANE('',#329940); #8096=PLANE('',#329947); #8097=PLANE('',#329948); #8098=PLANE('',#329955); #8099=PLANE('',#329956); #8100=PLANE('',#329963); #8101=PLANE('',#329964); #8102=PLANE('',#329971); #8103=PLANE('',#329972); #8104=PLANE('',#329979); #8105=PLANE('',#329980); #8106=PLANE('',#329987); #8107=PLANE('',#329988); #8108=PLANE('',#329995); #8109=PLANE('',#329996); #8110=PLANE('',#330003); #8111=PLANE('',#330004); #8112=PLANE('',#330011); #8113=PLANE('',#330012); #8114=PLANE('',#330019); #8115=PLANE('',#330020); #8116=PLANE('',#330027); #8117=PLANE('',#330028); #8118=PLANE('',#330035); #8119=PLANE('',#330036); #8120=PLANE('',#330043); #8121=PLANE('',#330044); #8122=PLANE('',#330051); #8123=PLANE('',#330052); #8124=PLANE('',#330059); #8125=PLANE('',#330060); #8126=PLANE('',#330067); #8127=PLANE('',#330068); #8128=PLANE('',#330075); #8129=PLANE('',#330076); #8130=PLANE('',#330083); #8131=PLANE('',#330084); #8132=PLANE('',#330091); #8133=PLANE('',#330092); #8134=PLANE('',#330099); #8135=PLANE('',#330100); #8136=PLANE('',#330107); #8137=PLANE('',#330108); #8138=PLANE('',#330115); #8139=PLANE('',#330116); #8140=PLANE('',#330123); #8141=PLANE('',#330124); #8142=PLANE('',#330131); #8143=PLANE('',#330132); #8144=PLANE('',#330139); #8145=PLANE('',#330140); #8146=PLANE('',#330147); #8147=PLANE('',#330148); #8148=PLANE('',#330155); #8149=PLANE('',#330156); #8150=PLANE('',#330161); #8151=PLANE('',#330168); #8152=PLANE('',#330169); #8153=PLANE('',#330170); #8154=PLANE('',#330171); #8155=PLANE('',#330172); #8156=PLANE('',#330173); #8157=PLANE('',#330174); #8158=PLANE('',#330175); #8159=PLANE('',#330176); #8160=PLANE('',#330177); #8161=PLANE('',#330178); #8162=PLANE('',#330179); #8163=PLANE('',#330180); #8164=PLANE('',#330181); #8165=PLANE('',#330182); #8166=PLANE('',#330183); #8167=PLANE('',#330184); #8168=PLANE('',#330185); #8169=PLANE('',#330186); #8170=PLANE('',#330187); #8171=PLANE('',#330188); #8172=PLANE('',#330189); #8173=PLANE('',#330190); #8174=PLANE('',#330191); #8175=PLANE('',#330192); #8176=PLANE('',#330193); #8177=PLANE('',#330194); #8178=PLANE('',#330195); #8179=PLANE('',#330196); #8180=PLANE('',#330197); #8181=PLANE('',#330198); #8182=PLANE('',#330199); #8183=PLANE('',#330200); #8184=PLANE('',#330201); #8185=PLANE('',#330202); #8186=PLANE('',#330203); #8187=PLANE('',#330204); #8188=PLANE('',#330205); #8189=PLANE('',#330206); #8190=PLANE('',#330207); #8191=PLANE('',#330208); #8192=PLANE('',#330209); #8193=PLANE('',#330210); #8194=PLANE('',#330211); #8195=PLANE('',#330212); #8196=PLANE('',#330213); #8197=PLANE('',#330214); #8198=PLANE('',#330215); #8199=PLANE('',#330216); #8200=PLANE('',#330217); #8201=PLANE('',#330218); #8202=PLANE('',#330219); #8203=PLANE('',#330220); #8204=PLANE('',#330221); #8205=PLANE('',#330222); #8206=PLANE('',#330223); #8207=PLANE('',#330224); #8208=PLANE('',#330225); #8209=PLANE('',#330226); #8210=PLANE('',#330227); #8211=PLANE('',#330228); #8212=PLANE('',#330229); #8213=PLANE('',#330233); #8214=PLANE('',#330240); #8215=PLANE('',#330241); #8216=PLANE('',#330248); #8217=PLANE('',#330255); #8218=PLANE('',#330262); #8219=PLANE('',#330266); #8220=PLANE('',#330267); #8221=PLANE('',#330271); #8222=PLANE('',#330278); #8223=PLANE('',#330279); #8224=PLANE('',#330283); #8225=PLANE('',#330290); #8226=PLANE('',#330291); #8227=PLANE('',#330295); #8228=PLANE('',#330299); #8229=PLANE('',#330303); #8230=PLANE('',#330304); #8231=PLANE('',#330305); #8232=PLANE('',#330306); #8233=PLANE('',#330307); #8234=PLANE('',#330308); #8235=PLANE('',#330309); #8236=PLANE('',#330316); #8237=PLANE('',#330317); #8238=PLANE('',#330321); #8239=PLANE('',#330325); #8240=PLANE('',#330326); #8241=PLANE('',#330327); #8242=PLANE('',#330328); #8243=PLANE('',#330329); #8244=PLANE('',#330330); #8245=PLANE('',#330331); #8246=PLANE('',#330335); #8247=PLANE('',#330336); #8248=PLANE('',#330337); #8249=PLANE('',#330338); #8250=PLANE('',#330339); #8251=PLANE('',#330340); #8252=PLANE('',#330341); #8253=PLANE('',#330342); #8254=PLANE('',#330343); #8255=PLANE('',#330344); #8256=PLANE('',#330348); #8257=PLANE('',#330352); #8258=PLANE('',#330353); #8259=PLANE('',#330354); #8260=PLANE('',#330355); #8261=PLANE('',#330356); #8262=PLANE('',#330357); #8263=PLANE('',#330358); #8264=PLANE('',#330362); #8265=PLANE('',#330363); #8266=PLANE('',#330364); #8267=PLANE('',#330365); #8268=PLANE('',#330366); #8269=PLANE('',#330367); #8270=PLANE('',#330368); #8271=PLANE('',#330369); #8272=PLANE('',#330373); #8273=PLANE('',#330374); #8274=PLANE('',#330375); #8275=PLANE('',#330376); #8276=PLANE('',#330377); #8277=PLANE('',#330378); #8278=PLANE('',#330379); #8279=PLANE('',#330383); #8280=PLANE('',#330384); #8281=PLANE('',#330388); #8282=PLANE('',#330389); #8283=PLANE('',#330390); #8284=PLANE('',#330391); #8285=PLANE('',#330392); #8286=PLANE('',#330393); #8287=PLANE('',#330394); #8288=PLANE('',#330398); #8289=PLANE('',#330399); #8290=PLANE('',#330400); #8291=PLANE('',#330401); #8292=PLANE('',#330402); #8293=PLANE('',#330406); #8294=PLANE('',#330410); #8295=PLANE('',#330411); #8296=PLANE('',#330412); #8297=PLANE('',#330413); #8298=PLANE('',#330414); #8299=PLANE('',#330415); #8300=PLANE('',#330416); #8301=PLANE('',#330417); #8302=PLANE('',#330418); #8303=PLANE('',#330419); #8304=PLANE('',#330420); #8305=PLANE('',#330424); #8306=PLANE('',#330428); #8307=PLANE('',#330429); #8308=PLANE('',#330430); #8309=PLANE('',#330431); #8310=PLANE('',#330432); #8311=PLANE('',#330436); #8312=PLANE('',#330437); #8313=PLANE('',#330438); #8314=PLANE('',#330439); #8315=PLANE('',#330440); #8316=PLANE('',#330441); #8317=PLANE('',#330442); #8318=PLANE('',#330446); #8319=PLANE('',#330447); #8320=PLANE('',#330451); #8321=PLANE('',#330452); #8322=PLANE('',#330453); #8323=PLANE('',#330454); #8324=PLANE('',#330455); #8325=PLANE('',#330456); #8326=PLANE('',#330457); #8327=PLANE('',#330461); #8328=PLANE('',#330462); #8329=PLANE('',#330463); #8330=PLANE('',#330464); #8331=PLANE('',#330468); #8332=PLANE('',#330472); #8333=PLANE('',#330473); #8334=PLANE('',#330477); #8335=PLANE('',#330478); #8336=PLANE('',#330482); #8337=PLANE('',#330483); #8338=PLANE('',#330484); #8339=PLANE('',#330485); #8340=PLANE('',#330486); #8341=PLANE('',#330487); #8342=PLANE('',#330488); #8343=PLANE('',#330489); #8344=PLANE('',#330493); #8345=PLANE('',#330494); #8346=PLANE('',#330498); #8347=PLANE('',#330502); #8348=PLANE('',#330503); #8349=PLANE('',#330507); #8350=PLANE('',#330511); #8351=PLANE('',#330512); #8352=PLANE('',#330516); #8353=PLANE('',#330517); #8354=PLANE('',#330518); #8355=PLANE('',#330519); #8356=PLANE('',#330520); #8357=PLANE('',#330521); #8358=PLANE('',#330522); #8359=PLANE('',#330523); #8360=PLANE('',#330527); #8361=PLANE('',#330531); #8362=PLANE('',#330532); #8363=PLANE('',#330536); #8364=PLANE('',#330540); #8365=PLANE('',#330541); #8366=PLANE('',#330542); #8367=PLANE('',#330543); #8368=PLANE('',#330544); #8369=PLANE('',#330545); #8370=PLANE('',#330546); #8371=PLANE('',#330550); #8372=PLANE('',#330554); #8373=PLANE('',#330555); #8374=PLANE('',#330559); #8375=PLANE('',#330563); #8376=PLANE('',#330567); #8377=PLANE('',#330568); #8378=PLANE('',#330569); #8379=PLANE('',#330570); #8380=PLANE('',#330571); #8381=PLANE('',#330572); #8382=PLANE('',#330573); #8383=PLANE('',#330574); #8384=PLANE('',#330575); #8385=PLANE('',#330579); #8386=PLANE('',#330580); #8387=PLANE('',#330587); #8388=PLANE('',#330594); #8389=PLANE('',#330601); #8390=PLANE('',#330605); #8391=PLANE('',#330606); #8392=PLANE('',#330610); #8393=PLANE('',#330614); #8394=PLANE('',#330615); #8395=PLANE('',#330619); #8396=PLANE('',#330620); #8397=PLANE('',#330621); #8398=PLANE('',#330622); #8399=PLANE('',#330623); #8400=PLANE('',#330624); #8401=PLANE('',#330625); #8402=PLANE('',#330626); #8403=PLANE('',#330630); #8404=PLANE('',#330634); #8405=PLANE('',#330635); #8406=PLANE('',#330639); #8407=PLANE('',#330643); #8408=PLANE('',#330644); #8409=PLANE('',#330648); #8410=PLANE('',#330649); #8411=PLANE('',#330653); #8412=PLANE('',#330654); #8413=PLANE('',#330655); #8414=PLANE('',#330656); #8415=PLANE('',#330657); #8416=PLANE('',#330658); #8417=PLANE('',#330659); #8418=PLANE('',#330660); #8419=PLANE('',#330664); #8420=PLANE('',#330665); #8421=PLANE('',#330669); #8422=PLANE('',#330673); #8423=PLANE('',#330674); #8424=PLANE('',#330675); #8425=PLANE('',#330676); #8426=PLANE('',#330677); #8427=PLANE('',#330678); #8428=PLANE('',#330682); #8429=PLANE('',#330686); #8430=PLANE('',#330687); #8431=PLANE('',#330688); #8432=PLANE('',#330692); #8433=PLANE('',#330693); #8434=PLANE('',#330694); #8435=PLANE('',#330695); #8436=PLANE('',#330696); #8437=PLANE('',#330697); #8438=PLANE('',#330698); #8439=PLANE('',#330702); #8440=PLANE('',#330706); #8441=PLANE('',#330707); #8442=PLANE('',#330708); #8443=PLANE('',#330709); #8444=PLANE('',#330710); #8445=PLANE('',#330711); #8446=PLANE('',#330712); #8447=PLANE('',#330716); #8448=PLANE('',#330720); #8449=PLANE('',#330721); #8450=PLANE('',#330725); #8451=PLANE('',#330729); #8452=PLANE('',#330733); #8453=PLANE('',#330734); #8454=PLANE('',#330735); #8455=PLANE('',#330736); #8456=PLANE('',#330737); #8457=PLANE('',#330738); #8458=PLANE('',#330739); #8459=PLANE('',#330743); #8460=PLANE('',#330744); #8461=PLANE('',#330745); #8462=PLANE('',#330746); #8463=PLANE('',#330750); #8464=PLANE('',#330754); #8465=PLANE('',#330755); #8466=PLANE('',#330759); #8467=PLANE('',#330763); #8468=PLANE('',#330764); #8469=PLANE('',#330765); #8470=PLANE('',#330766); #8471=PLANE('',#330767); #8472=PLANE('',#330768); #8473=PLANE('',#330769); #8474=PLANE('',#330776); #8475=PLANE('',#330777); #8476=PLANE('',#330778); #8477=PLANE('',#330779); #8478=PLANE('',#330780); #8479=PLANE('',#330781); #8480=PLANE('',#330782); #8481=PLANE('',#330786); #8482=PLANE('',#330787); #8483=PLANE('',#330788); #8484=PLANE('',#330792); #8485=PLANE('',#330796); #8486=PLANE('',#330800); #8487=PLANE('',#330801); #8488=PLANE('',#330805); #8489=PLANE('',#330806); #8490=PLANE('',#330807); #8491=PLANE('',#330808); #8492=PLANE('',#330809); #8493=PLANE('',#330810); #8494=PLANE('',#330811); #8495=PLANE('',#330815); #8496=PLANE('',#330816); #8497=PLANE('',#330820); #8498=PLANE('',#330821); #8499=PLANE('',#330822); #8500=PLANE('',#330823); #8501=PLANE('',#330827); #8502=PLANE('',#330831); #8503=PLANE('',#330832); #8504=PLANE('',#330833); #8505=PLANE('',#330834); #8506=PLANE('',#330835); #8507=PLANE('',#330836); #8508=PLANE('',#330837); #8509=PLANE('',#330838); #8510=PLANE('',#330839); #8511=PLANE('',#330840); #8512=PLANE('',#330841); #8513=PLANE('',#330845); #8514=PLANE('',#330849); #8515=PLANE('',#330850); #8516=PLANE('',#330851); #8517=PLANE('',#330852); #8518=PLANE('',#330856); #8519=PLANE('',#330857); #8520=PLANE('',#330861); #8521=PLANE('',#330862); #8522=PLANE('',#330863); #8523=PLANE('',#330864); #8524=PLANE('',#330865); #8525=PLANE('',#330866); #8526=PLANE('',#330867); #8527=PLANE('',#330871); #8528=PLANE('',#330872); #8529=PLANE('',#330876); #8530=PLANE('',#330880); #8531=PLANE('',#330884); #8532=PLANE('',#330885); #8533=PLANE('',#330886); #8534=PLANE('',#330890); #8535=PLANE('',#330891); #8536=PLANE('',#330892); #8537=PLANE('',#330893); #8538=PLANE('',#330894); #8539=PLANE('',#330895); #8540=PLANE('',#330896); #8541=PLANE('',#330897); #8542=PLANE('',#330901); #8543=PLANE('',#330902); #8544=PLANE('',#330906); #8545=PLANE('',#330907); #8546=PLANE('',#330908); #8547=PLANE('',#330909); #8548=PLANE('',#330910); #8549=PLANE('',#330911); #8550=PLANE('',#330912); #8551=PLANE('',#330916); #8552=PLANE('',#330917); #8553=PLANE('',#330918); #8554=PLANE('',#330919); #8555=PLANE('',#330920); #8556=PLANE('',#330921); #8557=PLANE('',#330925); #8558=PLANE('',#330926); #8559=PLANE('',#330930); #8560=PLANE('',#330931); #8561=PLANE('',#330932); #8562=PLANE('',#330936); #8563=PLANE('',#330937); #8564=PLANE('',#330944); #8565=PLANE('',#330948); #8566=PLANE('',#330949); #8567=PLANE('',#330953); #8568=PLANE('',#330954); #8569=PLANE('',#330955); #8570=PLANE('',#330956); #8571=PLANE('',#330957); #8572=PLANE('',#330958); #8573=PLANE('',#330959); #8574=PLANE('',#330963); #8575=PLANE('',#330967); #8576=PLANE('',#330968); #8577=PLANE('',#330972); #8578=PLANE('',#330973); #8579=PLANE('',#330974); #8580=PLANE('',#330975); #8581=PLANE('',#330976); #8582=PLANE('',#330977); #8583=PLANE('',#330978); #8584=PLANE('',#330979); #8585=PLANE('',#330983); #8586=PLANE('',#330987); #8587=PLANE('',#330988); #8588=PLANE('',#330992); #8589=PLANE('',#330999); #8590=PLANE('',#331000); #8591=PLANE('',#331001); #8592=PLANE('',#331002); #8593=PLANE('',#331003); #8594=PLANE('',#331004); #8595=PLANE('',#331005); #8596=PLANE('',#331009); #8597=PLANE('',#331013); #8598=PLANE('',#331014); #8599=PLANE('',#331018); #8600=PLANE('',#331019); #8601=PLANE('',#331020); #8602=PLANE('',#331021); #8603=PLANE('',#331022); #8604=PLANE('',#331026); #8605=PLANE('',#331027); #8606=PLANE('',#331031); #8607=PLANE('',#331038); #8608=PLANE('',#331039); #8609=PLANE('',#331043); #8610=PLANE('',#331044); #8611=PLANE('',#331045); #8612=PLANE('',#331046); #8613=PLANE('',#331047); #8614=PLANE('',#331051); #8615=PLANE('',#331055); #8616=PLANE('',#331059); #8617=PLANE('',#331060); #8618=PLANE('',#331061); #8619=PLANE('',#331062); #8620=PLANE('',#331063); #8621=PLANE('',#331064); #8622=PLANE('',#331065); #8623=PLANE('',#331072); #8624=PLANE('',#331073); #8625=PLANE('',#331077); #8626=PLANE('',#331078); #8627=PLANE('',#331079); #8628=PLANE('',#331080); #8629=PLANE('',#331081); #8630=PLANE('',#331082); #8631=PLANE('',#331086); #8632=PLANE('',#331087); #8633=PLANE('',#331094); #8634=PLANE('',#331098); #8635=PLANE('',#331099); #8636=PLANE('',#331103); #8637=PLANE('',#331104); #8638=PLANE('',#331105); #8639=PLANE('',#331106); #8640=PLANE('',#331110); #8641=PLANE('',#331114); #8642=PLANE('',#331115); #8643=PLANE('',#331116); #8644=PLANE('',#331117); #8645=PLANE('',#331118); #8646=PLANE('',#331119); #8647=PLANE('',#331120); #8648=PLANE('',#331127); #8649=PLANE('',#331128); #8650=PLANE('',#331132); #8651=PLANE('',#331139); #8652=PLANE('',#331140); #8653=PLANE('',#331141); #8654=PLANE('',#331142); #8655=PLANE('',#331143); #8656=PLANE('',#331144); #8657=PLANE('',#331145); #8658=PLANE('',#331149); #8659=PLANE('',#331150); #8660=PLANE('',#331154); #8661=PLANE('',#331155); #8662=PLANE('',#331156); #8663=PLANE('',#331157); #8664=PLANE('',#331158); #8665=PLANE('',#331162); #8666=PLANE('',#331163); #8667=PLANE('',#331164); #8668=PLANE('',#331165); #8669=PLANE('',#331166); #8670=PLANE('',#331173); #8671=PLANE('',#331177); #8672=PLANE('',#331181); #8673=PLANE('',#331185); #8674=PLANE('',#331189); #8675=PLANE('',#331190); #8676=PLANE('',#331191); #8677=PLANE('',#331192); #8678=PLANE('',#331196); #8679=PLANE('',#331197); #8680=PLANE('',#331198); #8681=PLANE('',#331199); #8682=PLANE('',#331200); #8683=PLANE('',#331201); #8684=PLANE('',#331202); #8685=PLANE('',#331203); #8686=PLANE('',#331210); #8687=PLANE('',#331214); #8688=PLANE('',#331218); #8689=PLANE('',#331222); #8690=PLANE('',#331223); #8691=PLANE('',#331224); #8692=PLANE('',#331228); #8693=PLANE('',#331229); #8694=PLANE('',#331230); #8695=PLANE('',#331231); #8696=PLANE('',#331232); #8697=PLANE('',#331236); #8698=PLANE('',#331240); #8699=PLANE('',#331244); #8700=PLANE('',#331248); #8701=PLANE('',#331255); #8702=PLANE('',#331256); #8703=PLANE('',#331257); #8704=PLANE('',#331258); #8705=PLANE('',#331259); #8706=PLANE('',#331263); #8707=PLANE('',#331264); #8708=PLANE('',#331265); #8709=PLANE('',#331266); #8710=PLANE('',#331267); #8711=PLANE('',#331268); #8712=PLANE('',#331269); #8713=PLANE('',#331270); #8714=PLANE('',#331274); #8715=PLANE('',#331278); #8716=PLANE('',#331279); #8717=PLANE('',#331280); #8718=PLANE('',#331281); #8719=PLANE('',#331282); #8720=PLANE('',#331283); #8721=PLANE('',#331284); #8722=PLANE('',#331285); #8723=PLANE('',#331286); #8724=PLANE('',#331290); #8725=PLANE('',#331294); #8726=PLANE('',#331295); #8727=PLANE('',#331296); #8728=PLANE('',#331297); #8729=PLANE('',#331298); #8730=PLANE('',#331299); #8731=PLANE('',#331300); #8732=PLANE('',#331304); #8733=PLANE('',#331305); #8734=PLANE('',#331306); #8735=PLANE('',#331307); #8736=PLANE('',#331308); #8737=PLANE('',#331309); #8738=PLANE('',#331313); #8739=PLANE('',#331317); #8740=PLANE('',#331321); #8741=PLANE('',#331322); #8742=PLANE('',#331323); #8743=PLANE('',#331324); #8744=PLANE('',#331328); #8745=PLANE('',#331329); #8746=PLANE('',#331330); #8747=PLANE('',#331331); #8748=PLANE('',#331332); #8749=PLANE('',#331333); #8750=PLANE('',#331334); #8751=PLANE('',#331338); #8752=PLANE('',#331342); #8753=PLANE('',#331346); #8754=PLANE('',#331347); #8755=PLANE('',#331351); #8756=PLANE('',#331352); #8757=PLANE('',#331356); #8758=PLANE('',#331357); #8759=PLANE('',#331358); #8760=PLANE('',#331359); #8761=PLANE('',#331360); #8762=PLANE('',#331361); #8763=PLANE('',#331362); #8764=PLANE('',#331366); #8765=PLANE('',#331373); #8766=PLANE('',#331374); #8767=PLANE('',#331378); #8768=PLANE('',#331379); #8769=PLANE('',#331380); #8770=PLANE('',#331384); #8771=PLANE('',#331385); #8772=PLANE('',#331386); #8773=PLANE('',#331387); #8774=PLANE('',#331388); #8775=PLANE('',#331389); #8776=PLANE('',#331390); #8777=PLANE('',#331391); #8778=PLANE('',#331392); #8779=PLANE('',#331393); #8780=PLANE('',#331397); #8781=PLANE('',#331401); #8782=PLANE('',#331402); #8783=PLANE('',#331403); #8784=PLANE('',#331407); #8785=PLANE('',#331411); #8786=PLANE('',#331412); #8787=PLANE('',#331413); #8788=PLANE('',#331420); #8789=PLANE('',#331424); #8790=PLANE('',#331428); #8791=PLANE('',#331429); #8792=PLANE('',#331430); #8793=PLANE('',#331434); #8794=PLANE('',#331438); #8795=PLANE('',#331439); #8796=PLANE('',#331440); #8797=PLANE('',#331441); #8798=PLANE('',#331442); #8799=PLANE('',#331443); #8800=PLANE('',#331444); #8801=PLANE('',#331445); #8802=PLANE('',#331446); #8803=PLANE('',#331447); #8804=PLANE('',#331448); #8805=PLANE('',#331452); #8806=PLANE('',#331453); #8807=PLANE('',#331454); #8808=PLANE('',#331455); #8809=PLANE('',#331456); #8810=PLANE('',#331460); #8811=PLANE('',#331461); #8812=PLANE('',#331465); #8813=PLANE('',#331466); #8814=PLANE('',#331473); #8815=PLANE('',#331477); #8816=PLANE('',#331478); #8817=PLANE('',#331482); #8818=PLANE('',#331483); #8819=PLANE('',#331484); #8820=PLANE('',#331485); #8821=PLANE('',#331486); #8822=PLANE('',#331490); #8823=PLANE('',#331491); #8824=PLANE('',#331492); #8825=PLANE('',#331493); #8826=PLANE('',#331494); #8827=PLANE('',#331495); #8828=PLANE('',#331499); #8829=PLANE('',#331500); #8830=PLANE('',#331501); #8831=PLANE('',#331502); #8832=PLANE('',#331503); #8833=PLANE('',#331504); #8834=PLANE('',#331508); #8835=PLANE('',#331512); #8836=PLANE('',#331516); #8837=PLANE('',#331517); #8838=PLANE('',#331518); #8839=PLANE('',#331519); #8840=PLANE('',#331520); #8841=PLANE('',#331521); #8842=PLANE('',#331522); #8843=PLANE('',#331523); #8844=PLANE('',#331524); #8845=PLANE('',#331528); #8846=PLANE('',#331529); #8847=PLANE('',#331533); #8848=PLANE('',#331540); #8849=PLANE('',#331541); #8850=PLANE('',#331548); #8851=PLANE('',#331552); #8852=PLANE('',#331559); #8853=PLANE('',#331563); #8854=PLANE('',#331564); #8855=PLANE('',#331568); #8856=PLANE('',#331575); #8857=PLANE('',#331576); #8858=PLANE('',#331580); #8859=PLANE('',#331581); #8860=PLANE('',#331582); #8861=PLANE('',#331583); #8862=PLANE('',#331584); #8863=PLANE('',#331585); #8864=PLANE('',#331589); #8865=PLANE('',#331590); #8866=PLANE('',#331591); #8867=PLANE('',#331592); #8868=PLANE('',#331593); #8869=PLANE('',#331594); #8870=PLANE('',#331595); #8871=PLANE('',#331596); #8872=PLANE('',#331597); #8873=PLANE('',#331598); #8874=PLANE('',#331599); #8875=PLANE('',#331600); #8876=PLANE('',#331604); #8877=PLANE('',#331608); #8878=PLANE('',#331609); #8879=PLANE('',#331610); #8880=PLANE('',#331614); #8881=PLANE('',#331618); #8882=PLANE('',#331625); #8883=PLANE('',#331626); #8884=PLANE('',#331627); #8885=PLANE('',#331631); #8886=PLANE('',#331635); #8887=PLANE('',#331636); #8888=PLANE('',#331637); #8889=PLANE('',#331641); #8890=PLANE('',#331645); #8891=PLANE('',#331646); #8892=PLANE('',#331647); #8893=PLANE('',#331648); #8894=PLANE('',#331649); #8895=PLANE('',#331650); #8896=PLANE('',#331651); #8897=PLANE('',#331652); #8898=PLANE('',#331653); #8899=PLANE('',#331657); #8900=PLANE('',#331658); #8901=PLANE('',#331659); #8902=PLANE('',#331660); #8903=PLANE('',#331664); #8904=PLANE('',#331668); #8905=PLANE('',#331669); #8906=PLANE('',#331673); #8907=PLANE('',#331680); #8908=PLANE('',#331681); #8909=PLANE('',#331685); #8910=PLANE('',#331686); #8911=PLANE('',#331687); #8912=PLANE('',#331688); #8913=PLANE('',#331689); #8914=PLANE('',#331690); #8915=PLANE('',#331691); #8916=PLANE('',#331692); #8917=PLANE('',#331693); #8918=PLANE('',#331694); #8919=PLANE('',#331695); #8920=PLANE('',#331696); #8921=PLANE('',#331697); #8922=PLANE('',#331698); #8923=PLANE('',#331699); #8924=PLANE('',#331700); #8925=PLANE('',#331701); #8926=PLANE('',#331702); #8927=PLANE('',#331703); #8928=PLANE('',#331704); #8929=PLANE('',#331705); #8930=PLANE('',#331706); #8931=PLANE('',#331707); #8932=PLANE('',#331708); #8933=PLANE('',#331709); #8934=PLANE('',#331710); #8935=PLANE('',#331711); #8936=PLANE('',#331712); #8937=PLANE('',#331713); #8938=PLANE('',#331714); #8939=PLANE('',#331715); #8940=PLANE('',#331716); #8941=PLANE('',#331717); #8942=PLANE('',#331718); #8943=PLANE('',#331719); #8944=PLANE('',#331723); #8945=PLANE('',#331724); #8946=PLANE('',#331725); #8947=PLANE('',#331726); #8948=PLANE('',#331730); #8949=PLANE('',#331734); #8950=PLANE('',#331738); #8951=PLANE('',#331745); #8952=PLANE('',#331746); #8953=PLANE('',#331747); #8954=PLANE('',#331748); #8955=PLANE('',#331749); #8956=PLANE('',#331750); #8957=PLANE('',#331751); #8958=PLANE('',#331755); #8959=PLANE('',#331759); #8960=PLANE('',#331763); #8961=PLANE('',#331767); #8962=PLANE('',#331768); #8963=PLANE('',#331769); #8964=PLANE('',#331770); #8965=PLANE('',#331771); #8966=PLANE('',#331772); #8967=PLANE('',#331773); #8968=PLANE('',#331774); #8969=PLANE('',#331775); #8970=PLANE('',#331779); #8971=PLANE('',#331783); #8972=PLANE('',#331784); #8973=PLANE('',#331785); #8974=PLANE('',#331789); #8975=PLANE('',#331790); #8976=PLANE('',#331794); #8977=PLANE('',#331801); #8978=PLANE('',#331802); #8979=PLANE('',#331803); #8980=PLANE('',#331804); #8981=PLANE('',#331805); #8982=PLANE('',#331806); #8983=PLANE('',#331810); #8984=PLANE('',#331814); #8985=PLANE('',#331815); #8986=PLANE('',#331816); #8987=PLANE('',#331817); #8988=PLANE('',#331818); #8989=PLANE('',#331819); #8990=PLANE('',#331823); #8991=PLANE('',#331827); #8992=PLANE('',#331828); #8993=PLANE('',#331829); #8994=PLANE('',#331833); #8995=PLANE('',#331837); #8996=PLANE('',#331838); #8997=PLANE('',#331839); #8998=PLANE('',#331840); #8999=PLANE('',#331841); #9000=PLANE('',#331842); #9001=PLANE('',#331843); #9002=PLANE('',#331844); #9003=PLANE('',#331845); #9004=PLANE('',#331849); #9005=PLANE('',#331853); #9006=PLANE('',#331854); #9007=PLANE('',#331855); #9008=PLANE('',#331859); #9009=PLANE('',#331863); #9010=PLANE('',#331864); #9011=PLANE('',#331865); #9012=PLANE('',#331866); #9013=PLANE('',#331867); #9014=PLANE('',#331868); #9015=PLANE('',#331869); #9016=PLANE('',#331873); #9017=PLANE('',#331877); #9018=PLANE('',#331878); #9019=PLANE('',#331879); #9020=PLANE('',#331880); #9021=PLANE('',#331881); #9022=PLANE('',#331882); #9023=PLANE('',#331883); #9024=PLANE('',#331887); #9025=PLANE('',#331888); #9026=PLANE('',#331895); #9027=PLANE('',#331896); #9028=PLANE('',#331903); #9029=PLANE('',#331904); #9030=PLANE('',#331911); #9031=PLANE('',#331912); #9032=PLANE('',#331913); #9033=PLANE('',#331914); #9034=PLANE('',#331915); #9035=PLANE('',#331916); #9036=PLANE('',#331917); #9037=PLANE('',#331918); #9038=PLANE('',#331919); #9039=PLANE('',#331920); #9040=PLANE('',#331924); #9041=PLANE('',#331928); #9042=PLANE('',#331929); #9043=PLANE('',#331930); #9044=PLANE('',#331931); #9045=PLANE('',#331932); #9046=PLANE('',#331933); #9047=PLANE('',#331937); #9048=PLANE('',#331938); #9049=PLANE('',#331939); #9050=PLANE('',#331940); #9051=PLANE('',#331941); #9052=PLANE('',#331942); #9053=PLANE('',#331943); #9054=PLANE('',#331944); #9055=PLANE('',#331945); #9056=PLANE('',#331946); #9057=PLANE('',#331947); #9058=PLANE('',#331981); #9059=PLANE('',#331982); #9060=PLANE('',#331983); #9061=PLANE('',#331984); #9062=PLANE('',#331988); #9063=PLANE('',#331992); #9064=PLANE('',#331993); #9065=PLANE('',#331994); #9066=PLANE('',#331995); #9067=PLANE('',#331996); #9068=PLANE('',#331997); #9069=PLANE('',#331998); #9070=PLANE('',#331999); #9071=PLANE('',#332000); #9072=PLANE('',#332001); #9073=PLANE('',#332002); #9074=PLANE('',#332003); #9075=PLANE('',#332004); #9076=PLANE('',#332005); #9077=PLANE('',#332006); #9078=PLANE('',#332007); #9079=PLANE('',#332008); #9080=PLANE('',#332009); #9081=PLANE('',#332010); #9082=PLANE('',#332011); #9083=PLANE('',#332012); #9084=PLANE('',#332013); #9085=PLANE('',#332014); #9086=PLANE('',#332015); #9087=PLANE('',#332016); #9088=PLANE('',#332017); #9089=PLANE('',#332018); #9090=PLANE('',#332019); #9091=PLANE('',#332020); #9092=PLANE('',#332021); #9093=PLANE('',#332022); #9094=PLANE('',#332023); #9095=PLANE('',#332024); #9096=PLANE('',#332025); #9097=PLANE('',#332026); #9098=PLANE('',#332027); #9099=PLANE('',#332028); #9100=PLANE('',#332029); #9101=PLANE('',#332030); #9102=PLANE('',#332031); #9103=PLANE('',#332032); #9104=PLANE('',#332033); #9105=PLANE('',#332034); #9106=PLANE('',#332035); #9107=PLANE('',#332036); #9108=PLANE('',#332037); #9109=PLANE('',#332038); #9110=PLANE('',#332039); #9111=PLANE('',#332040); #9112=PLANE('',#332041); #9113=PLANE('',#332042); #9114=PLANE('',#332043); #9115=PLANE('',#332044); #9116=PLANE('',#332045); #9117=PLANE('',#332046); #9118=PLANE('',#332047); #9119=PLANE('',#332048); #9120=PLANE('',#332049); #9121=PLANE('',#332050); #9122=PLANE('',#332051); #9123=PLANE('',#332052); #9124=PLANE('',#332053); #9125=PLANE('',#332054); #9126=PLANE('',#332055); #9127=PLANE('',#332056); #9128=PLANE('',#332057); #9129=PLANE('',#332058); #9130=PLANE('',#332059); #9131=PLANE('',#332060); #9132=PLANE('',#332061); #9133=PLANE('',#332062); #9134=PLANE('',#332063); #9135=PLANE('',#332064); #9136=PLANE('',#332065); #9137=PLANE('',#332066); #9138=PLANE('',#332067); #9139=PLANE('',#332068); #9140=PLANE('',#332069); #9141=PLANE('',#332070); #9142=PLANE('',#332071); #9143=PLANE('',#332072); #9144=PLANE('',#332073); #9145=PLANE('',#332074); #9146=PLANE('',#332075); #9147=PLANE('',#332076); #9148=PLANE('',#332077); #9149=PLANE('',#332078); #9150=PLANE('',#332079); #9151=PLANE('',#332080); #9152=PLANE('',#332081); #9153=PLANE('',#332082); #9154=PLANE('',#332083); #9155=PLANE('',#332084); #9156=PLANE('',#332085); #9157=PLANE('',#332086); #9158=PLANE('',#332087); #9159=PLANE('',#332088); #9160=PLANE('',#332089); #9161=PLANE('',#332090); #9162=PLANE('',#332091); #9163=PLANE('',#332092); #9164=PLANE('',#332093); #9165=PLANE('',#332094); #9166=PLANE('',#332095); #9167=PLANE('',#332096); #9168=PLANE('',#332097); #9169=PLANE('',#332098); #9170=PLANE('',#332099); #9171=PLANE('',#332100); #9172=PLANE('',#332101); #9173=PLANE('',#332102); #9174=PLANE('',#332103); #9175=PLANE('',#332104); #9176=PLANE('',#332105); #9177=PLANE('',#332106); #9178=PLANE('',#332107); #9179=PLANE('',#332108); #9180=PLANE('',#332109); #9181=PLANE('',#332113); #9182=PLANE('',#332117); #9183=PLANE('',#332118); #9184=PLANE('',#332119); #9185=PLANE('',#332120); #9186=PLANE('',#332121); #9187=PLANE('',#332122); #9188=PLANE('',#332123); #9189=PLANE('',#332127); #9190=PLANE('',#332134); #9191=PLANE('',#332135); #9192=PLANE('',#332136); #9193=PLANE('',#332137); #9194=PLANE('',#332138); #9195=PLANE('',#332139); #9196=PLANE('',#332140); #9197=PLANE('',#332141); #9198=PLANE('',#332142); #9199=PLANE('',#332143); #9200=PLANE('',#332144); #9201=PLANE('',#332145); #9202=PLANE('',#332146); #9203=PLANE('',#332147); #9204=PLANE('',#332148); #9205=PLANE('',#332149); #9206=PLANE('',#332150); #9207=PLANE('',#332151); #9208=PLANE('',#332152); #9209=PLANE('',#332153); #9210=PLANE('',#332154); #9211=PLANE('',#332155); #9212=PLANE('',#332156); #9213=PLANE('',#332157); #9214=PLANE('',#332158); #9215=PLANE('',#332159); #9216=PLANE('',#332160); #9217=PLANE('',#332161); #9218=PLANE('',#332162); #9219=PLANE('',#332163); #9220=PLANE('',#332164); #9221=PLANE('',#332165); #9222=PLANE('',#332166); #9223=PLANE('',#332167); #9224=PLANE('',#332168); #9225=PLANE('',#332169); #9226=PLANE('',#332170); #9227=PLANE('',#332171); #9228=PLANE('',#332172); #9229=PLANE('',#332173); #9230=PLANE('',#332174); #9231=PLANE('',#332175); #9232=PLANE('',#332176); #9233=PLANE('',#332177); #9234=PLANE('',#332178); #9235=PLANE('',#332179); #9236=PLANE('',#332180); #9237=PLANE('',#332181); #9238=PLANE('',#332182); #9239=PLANE('',#332183); #9240=PLANE('',#332184); #9241=PLANE('',#332185); #9242=PLANE('',#332186); #9243=PLANE('',#332187); #9244=PLANE('',#332188); #9245=PLANE('',#332189); #9246=PLANE('',#332190); #9247=PLANE('',#332191); #9248=PLANE('',#332192); #9249=PLANE('',#332193); #9250=PLANE('',#332194); #9251=PLANE('',#332195); #9252=PLANE('',#332196); #9253=PLANE('',#332197); #9254=PLANE('',#332198); #9255=PLANE('',#332199); #9256=PLANE('',#332200); #9257=PLANE('',#332201); #9258=PLANE('',#332202); #9259=PLANE('',#332203); #9260=PLANE('',#332204); #9261=PLANE('',#332205); #9262=PLANE('',#332206); #9263=PLANE('',#332207); #9264=PLANE('',#332208); #9265=PLANE('',#332209); #9266=PLANE('',#332210); #9267=PLANE('',#332211); #9268=PLANE('',#332212); #9269=PLANE('',#332213); #9270=PLANE('',#332214); #9271=PLANE('',#332215); #9272=PLANE('',#332216); #9273=PLANE('',#332217); #9274=PLANE('',#332218); #9275=PLANE('',#332219); #9276=PLANE('',#332220); #9277=PLANE('',#332221); #9278=PLANE('',#332222); #9279=PLANE('',#332223); #9280=PLANE('',#332224); #9281=PLANE('',#332225); #9282=PLANE('',#332235); #9283=PLANE('',#332236); #9284=PLANE('',#332237); #9285=PLANE('',#332238); #9286=PLANE('',#332239); #9287=PLANE('',#332240); #9288=PLANE('',#332241); #9289=PLANE('',#332242); #9290=PLANE('',#332243); #9291=PLANE('',#332244); #9292=PLANE('',#332245); #9293=PLANE('',#332246); #9294=PLANE('',#332247); #9295=PLANE('',#332248); #9296=PLANE('',#332249); #9297=PLANE('',#332250); #9298=PLANE('',#332251); #9299=PLANE('',#332252); #9300=PLANE('',#332253); #9301=PLANE('',#332254); #9302=PLANE('',#332255); #9303=PLANE('',#332256); #9304=PLANE('',#332257); #9305=PLANE('',#332258); #9306=PLANE('',#332259); #9307=PLANE('',#332260); #9308=PLANE('',#332261); #9309=PLANE('',#332262); #9310=PLANE('',#332263); #9311=PLANE('',#332264); #9312=PLANE('',#332265); #9313=PLANE('',#332266); #9314=PLANE('',#332267); #9315=PLANE('',#332268); #9316=PLANE('',#332269); #9317=PLANE('',#332270); #9318=PLANE('',#332271); #9319=PLANE('',#332272); #9320=PLANE('',#332273); #9321=PLANE('',#332274); #9322=PLANE('',#332275); #9323=PLANE('',#332276); #9324=PLANE('',#332277); #9325=PLANE('',#332278); #9326=PLANE('',#332279); #9327=PLANE('',#332280); #9328=PLANE('',#332281); #9329=PLANE('',#332282); #9330=PLANE('',#332283); #9331=PLANE('',#332284); #9332=PLANE('',#332285); #9333=PLANE('',#332286); #9334=PLANE('',#332287); #9335=PLANE('',#332288); #9336=PLANE('',#332289); #9337=PLANE('',#332290); #9338=PLANE('',#332291); #9339=PLANE('',#332292); #9340=PLANE('',#332293); #9341=PLANE('',#332294); #9342=PLANE('',#332295); #9343=PLANE('',#332296); #9344=PLANE('',#332297); #9345=PLANE('',#332298); #9346=PLANE('',#332299); #9347=PLANE('',#332300); #9348=PLANE('',#332301); #9349=PLANE('',#332302); #9350=PLANE('',#332303); #9351=PLANE('',#332304); #9352=PLANE('',#332305); #9353=PLANE('',#332306); #9354=PLANE('',#332307); #9355=PLANE('',#332308); #9356=PLANE('',#332309); #9357=PLANE('',#332310); #9358=PLANE('',#332311); #9359=PLANE('',#332312); #9360=PLANE('',#332313); #9361=PLANE('',#332314); #9362=PLANE('',#332315); #9363=PLANE('',#332316); #9364=PLANE('',#332317); #9365=PLANE('',#332318); #9366=PLANE('',#332319); #9367=PLANE('',#332320); #9368=PLANE('',#332321); #9369=PLANE('',#332322); #9370=PLANE('',#332323); #9371=PLANE('',#332324); #9372=PLANE('',#332325); #9373=PLANE('',#332326); #9374=PLANE('',#332327); #9375=PLANE('',#332328); #9376=PLANE('',#332329); #9377=PLANE('',#332330); #9378=PLANE('',#332331); #9379=PLANE('',#332332); #9380=PLANE('',#332333); #9381=PLANE('',#332334); #9382=PLANE('',#332335); #9383=PLANE('',#332336); #9384=PLANE('',#332337); #9385=PLANE('',#332338); #9386=PLANE('',#332339); #9387=PLANE('',#332340); #9388=PLANE('',#332341); #9389=PLANE('',#332342); #9390=PLANE('',#332343); #9391=PLANE('',#332344); #9392=PLANE('',#332345); #9393=PLANE('',#332346); #9394=PLANE('',#332347); #9395=PLANE('',#332348); #9396=PLANE('',#332349); #9397=PLANE('',#332350); #9398=PLANE('',#332351); #9399=PLANE('',#332352); #9400=PLANE('',#332353); #9401=PLANE('',#332354); #9402=PLANE('',#332355); #9403=PLANE('',#332356); #9404=PLANE('',#332357); #9405=PLANE('',#332358); #9406=PLANE('',#332359); #9407=PLANE('',#332360); #9408=PLANE('',#332361); #9409=PLANE('',#332362); #9410=PLANE('',#332363); #9411=PLANE('',#332364); #9412=PLANE('',#332365); #9413=PLANE('',#332366); #9414=PLANE('',#332367); #9415=PLANE('',#332368); #9416=PLANE('',#332369); #9417=PLANE('',#332370); #9418=PLANE('',#332371); #9419=PLANE('',#332372); #9420=PLANE('',#332373); #9421=PLANE('',#332374); #9422=PLANE('',#332375); #9423=PLANE('',#332376); #9424=PLANE('',#332377); #9425=PLANE('',#332378); #9426=PLANE('',#332379); #9427=PLANE('',#332380); #9428=PLANE('',#332381); #9429=PLANE('',#332382); #9430=PLANE('',#332383); #9431=PLANE('',#332384); #9432=PLANE('',#332385); #9433=PLANE('',#332386); #9434=PLANE('',#332387); #9435=PLANE('',#332388); #9436=PLANE('',#332389); #9437=PLANE('',#332390); #9438=PLANE('',#332391); #9439=PLANE('',#332392); #9440=PLANE('',#332393); #9441=PLANE('',#332394); #9442=PLANE('',#332395); #9443=PLANE('',#332396); #9444=PLANE('',#332397); #9445=PLANE('',#332398); #9446=PLANE('',#332399); #9447=PLANE('',#332400); #9448=PLANE('',#332401); #9449=PLANE('',#332402); #9450=PLANE('',#332403); #9451=PLANE('',#332404); #9452=PLANE('',#332405); #9453=PLANE('',#332406); #9454=PLANE('',#332407); #9455=PLANE('',#332411); #9456=PLANE('',#332415); #9457=PLANE('',#332446); #9458=PLANE('',#332447); #9459=PLANE('',#332448); #9460=PLANE('',#332449); #9461=PLANE('',#332450); #9462=PLANE('',#332451); #9463=PLANE('',#332452); #9464=PLANE('',#332453); #9465=PLANE('',#332454); #9466=PLANE('',#332455); #9467=PLANE('',#332456); #9468=PLANE('',#332457); #9469=PLANE('',#332458); #9470=PLANE('',#332459); #9471=PLANE('',#332460); #9472=PLANE('',#332461); #9473=PLANE('',#332462); #9474=PLANE('',#332463); #9475=PLANE('',#332464); #9476=PLANE('',#332465); #9477=PLANE('',#332466); #9478=PLANE('',#332467); #9479=PLANE('',#332468); #9480=PLANE('',#332469); #9481=PLANE('',#332470); #9482=PLANE('',#332471); #9483=PLANE('',#332472); #9484=PLANE('',#332473); #9485=PLANE('',#332474); #9486=PLANE('',#332475); #9487=PLANE('',#332476); #9488=PLANE('',#332477); #9489=PLANE('',#332478); #9490=PLANE('',#332479); #9491=PLANE('',#332480); #9492=PLANE('',#332481); #9493=PLANE('',#332482); #9494=PLANE('',#332483); #9495=PLANE('',#332484); #9496=PLANE('',#332485); #9497=PLANE('',#332486); #9498=PLANE('',#332487); #9499=PLANE('',#332488); #9500=PLANE('',#332489); #9501=PLANE('',#332490); #9502=PLANE('',#332491); #9503=PLANE('',#332492); #9504=PLANE('',#332493); #9505=PLANE('',#332494); #9506=PLANE('',#332495); #9507=PLANE('',#332496); #9508=PLANE('',#332497); #9509=PLANE('',#332498); #9510=PLANE('',#332499); #9511=PLANE('',#332500); #9512=PLANE('',#332501); #9513=PLANE('',#332502); #9514=PLANE('',#332503); #9515=PLANE('',#332504); #9516=PLANE('',#332505); #9517=PLANE('',#332506); #9518=PLANE('',#332507); #9519=PLANE('',#332508); #9520=PLANE('',#332509); #9521=PLANE('',#332510); #9522=PLANE('',#332511); #9523=PLANE('',#332512); #9524=PLANE('',#332513); #9525=PLANE('',#332514); #9526=PLANE('',#332515); #9527=PLANE('',#332516); #9528=PLANE('',#332517); #9529=PLANE('',#332518); #9530=PLANE('',#332519); #9531=PLANE('',#332520); #9532=PLANE('',#332521); #9533=PLANE('',#332522); #9534=PLANE('',#332523); #9535=PLANE('',#332524); #9536=PLANE('',#332525); #9537=PLANE('',#332526); #9538=PLANE('',#332527); #9539=PLANE('',#332528); #9540=PLANE('',#332529); #9541=PLANE('',#332530); #9542=PLANE('',#332531); #9543=PLANE('',#332532); #9544=PLANE('',#332533); #9545=PLANE('',#332534); #9546=PLANE('',#332535); #9547=PLANE('',#332536); #9548=PLANE('',#332537); #9549=PLANE('',#332538); #9550=PLANE('',#332539); #9551=PLANE('',#332540); #9552=PLANE('',#332541); #9553=PLANE('',#332542); #9554=PLANE('',#332543); #9555=PLANE('',#332544); #9556=PLANE('',#332545); #9557=PLANE('',#332546); #9558=PLANE('',#332547); #9559=PLANE('',#332548); #9560=PLANE('',#332549); #9561=PLANE('',#332550); #9562=PLANE('',#332551); #9563=PLANE('',#332552); #9564=PLANE('',#332553); #9565=PLANE('',#332554); #9566=PLANE('',#332555); #9567=PLANE('',#332556); #9568=PLANE('',#332557); #9569=PLANE('',#332558); #9570=PLANE('',#332559); #9571=PLANE('',#332560); #9572=PLANE('',#332561); #9573=PLANE('',#332562); #9574=PLANE('',#332563); #9575=PLANE('',#332564); #9576=PLANE('',#332565); #9577=PLANE('',#332566); #9578=PLANE('',#332567); #9579=PLANE('',#332568); #9580=PLANE('',#332569); #9581=PLANE('',#332570); #9582=PLANE('',#332571); #9583=PLANE('',#332572); #9584=PLANE('',#332573); #9585=PLANE('',#332574); #9586=PLANE('',#332575); #9587=PLANE('',#332576); #9588=PLANE('',#332577); #9589=PLANE('',#332578); #9590=PLANE('',#332579); #9591=PLANE('',#332580); #9592=PLANE('',#332581); #9593=PLANE('',#332582); #9594=PLANE('',#332583); #9595=PLANE('',#332584); #9596=PLANE('',#332585); #9597=PLANE('',#332586); #9598=PLANE('',#332587); #9599=PLANE('',#332588); #9600=PLANE('',#332589); #9601=PLANE('',#332590); #9602=PLANE('',#332591); #9603=PLANE('',#332592); #9604=PLANE('',#332593); #9605=PLANE('',#332594); #9606=PLANE('',#332595); #9607=PLANE('',#332596); #9608=PLANE('',#332597); #9609=PLANE('',#332598); #9610=PLANE('',#332599); #9611=PLANE('',#332600); #9612=PLANE('',#332601); #9613=PLANE('',#332602); #9614=PLANE('',#332603); #9615=PLANE('',#332604); #9616=PLANE('',#332605); #9617=PLANE('',#332606); #9618=PLANE('',#332607); #9619=PLANE('',#332608); #9620=PLANE('',#332609); #9621=PLANE('',#332610); #9622=PLANE('',#332611); #9623=PLANE('',#332612); #9624=PLANE('',#332613); #9625=PLANE('',#332614); #9626=PLANE('',#332615); #9627=PLANE('',#332616); #9628=PLANE('',#332617); #9629=PLANE('',#332618); #9630=PLANE('',#332619); #9631=PLANE('',#332620); #9632=PLANE('',#332621); #9633=PLANE('',#332622); #9634=PLANE('',#332623); #9635=PLANE('',#332624); #9636=PLANE('',#332625); #9637=PLANE('',#332626); #9638=PLANE('',#332627); #9639=PLANE('',#332628); #9640=PLANE('',#332629); #9641=PLANE('',#332630); #9642=PLANE('',#332631); #9643=PLANE('',#332632); #9644=PLANE('',#332633); #9645=PLANE('',#332634); #9646=PLANE('',#332635); #9647=PLANE('',#332636); #9648=PLANE('',#332637); #9649=PLANE('',#332638); #9650=PLANE('',#332639); #9651=PLANE('',#332640); #9652=PLANE('',#332641); #9653=PLANE('',#332642); #9654=PLANE('',#332643); #9655=PLANE('',#332644); #9656=PLANE('',#332645); #9657=PLANE('',#332646); #9658=PLANE('',#332647); #9659=PLANE('',#332648); #9660=PLANE('',#332649); #9661=PLANE('',#332650); #9662=PLANE('',#332651); #9663=PLANE('',#332652); #9664=PLANE('',#332653); #9665=PLANE('',#332654); #9666=PLANE('',#332655); #9667=PLANE('',#332656); #9668=PLANE('',#332657); #9669=PLANE('',#332658); #9670=PLANE('',#332659); #9671=PLANE('',#332660); #9672=PLANE('',#332661); #9673=PLANE('',#332662); #9674=PLANE('',#332663); #9675=PLANE('',#332664); #9676=PLANE('',#332665); #9677=PLANE('',#332666); #9678=PLANE('',#332667); #9679=PLANE('',#332668); #9680=PLANE('',#332669); #9681=PLANE('',#332670); #9682=PLANE('',#332671); #9683=PLANE('',#332672); #9684=PLANE('',#332673); #9685=PLANE('',#332674); #9686=PLANE('',#332675); #9687=PLANE('',#332676); #9688=PLANE('',#332677); #9689=PLANE('',#332678); #9690=PLANE('',#332679); #9691=PLANE('',#332680); #9692=PLANE('',#332681); #9693=PLANE('',#332682); #9694=PLANE('',#332683); #9695=PLANE('',#332684); #9696=PLANE('',#332685); #9697=PLANE('',#332686); #9698=PLANE('',#332687); #9699=PLANE('',#332688); #9700=PLANE('',#332689); #9701=PLANE('',#332690); #9702=PLANE('',#332691); #9703=PLANE('',#332692); #9704=PLANE('',#332693); #9705=PLANE('',#332694); #9706=PLANE('',#332695); #9707=PLANE('',#332696); #9708=PLANE('',#332697); #9709=PLANE('',#332698); #9710=PLANE('',#332699); #9711=PLANE('',#332700); #9712=PLANE('',#332701); #9713=PLANE('',#332702); #9714=PLANE('',#332703); #9715=PLANE('',#332704); #9716=PLANE('',#332705); #9717=PLANE('',#332706); #9718=PLANE('',#332707); #9719=PLANE('',#332708); #9720=PLANE('',#332709); #9721=PLANE('',#332710); #9722=PLANE('',#332711); #9723=PLANE('',#332712); #9724=PLANE('',#332713); #9725=PLANE('',#332714); #9726=PLANE('',#332715); #9727=PLANE('',#332716); #9728=PLANE('',#332717); #9729=PLANE('',#332718); #9730=PLANE('',#332719); #9731=PLANE('',#332825); #9732=PLANE('',#332829); #9733=PLANE('',#332845); #9734=PLANE('',#332849); #9735=PLANE('',#332853); #9736=PLANE('',#332857); #9737=PLANE('',#332861); #9738=PLANE('',#332865); #9739=PLANE('',#332926); #9740=PLANE('',#332927); #9741=PLANE('',#332928); #9742=PLANE('',#332929); #9743=PLANE('',#332930); #9744=PLANE('',#332931); #9745=PLANE('',#332932); #9746=PLANE('',#332933); #9747=PLANE('',#332934); #9748=PLANE('',#332935); #9749=PLANE('',#332936); #9750=PLANE('',#332937); #9751=PLANE('',#332938); #9752=PLANE('',#332939); #9753=PLANE('',#332940); #9754=PLANE('',#332941); #9755=PLANE('',#332942); #9756=PLANE('',#332943); #9757=PLANE('',#332944); #9758=PLANE('',#332945); #9759=PLANE('',#332946); #9760=PLANE('',#332947); #9761=PLANE('',#332948); #9762=PLANE('',#332949); #9763=PLANE('',#332950); #9764=PLANE('',#332951); #9765=PLANE('',#332952); #9766=PLANE('',#332953); #9767=PLANE('',#332954); #9768=PLANE('',#332955); #9769=PLANE('',#332956); #9770=PLANE('',#332957); #9771=PLANE('',#332958); #9772=PLANE('',#332959); #9773=PLANE('',#332960); #9774=PLANE('',#332961); #9775=PLANE('',#332962); #9776=PLANE('',#332963); #9777=PLANE('',#332964); #9778=PLANE('',#332965); #9779=PLANE('',#332966); #9780=PLANE('',#332967); #9781=PLANE('',#332968); #9782=PLANE('',#332969); #9783=PLANE('',#332970); #9784=PLANE('',#332971); #9785=PLANE('',#332972); #9786=PLANE('',#332973); #9787=PLANE('',#332974); #9788=PLANE('',#332975); #9789=PLANE('',#332976); #9790=PLANE('',#332977); #9791=PLANE('',#332978); #9792=PLANE('',#332979); #9793=PLANE('',#332980); #9794=PLANE('',#332981); #9795=PLANE('',#332982); #9796=PLANE('',#332983); #9797=PLANE('',#332984); #9798=PLANE('',#332985); #9799=PLANE('',#332986); #9800=PLANE('',#332987); #9801=PLANE('',#332988); #9802=PLANE('',#332989); #9803=PLANE('',#332990); #9804=PLANE('',#332991); #9805=PLANE('',#332992); #9806=PLANE('',#332993); #9807=PLANE('',#332994); #9808=PLANE('',#332995); #9809=PLANE('',#332996); #9810=PLANE('',#332997); #9811=PLANE('',#332998); #9812=PLANE('',#332999); #9813=PLANE('',#333000); #9814=PLANE('',#333001); #9815=PLANE('',#333002); #9816=PLANE('',#333003); #9817=PLANE('',#333004); #9818=PLANE('',#333005); #9819=PLANE('',#333006); #9820=PLANE('',#333007); #9821=PLANE('',#333008); #9822=PLANE('',#333009); #9823=PLANE('',#333010); #9824=PLANE('',#333011); #9825=PLANE('',#333012); #9826=PLANE('',#333013); #9827=PLANE('',#333014); #9828=PLANE('',#333015); #9829=PLANE('',#333016); #9830=PLANE('',#333017); #9831=PLANE('',#333018); #9832=PLANE('',#333019); #9833=PLANE('',#333020); #9834=PLANE('',#333021); #9835=PLANE('',#333022); #9836=PLANE('',#333023); #9837=PLANE('',#333024); #9838=PLANE('',#333025); #9839=PLANE('',#333026); #9840=PLANE('',#333027); #9841=PLANE('',#333028); #9842=PLANE('',#333029); #9843=PLANE('',#333030); #9844=PLANE('',#333031); #9845=PLANE('',#333032); #9846=PLANE('',#333033); #9847=PLANE('',#333034); #9848=PLANE('',#333035); #9849=PLANE('',#333036); #9850=PLANE('',#333037); #9851=PLANE('',#333038); #9852=PLANE('',#333039); #9853=PLANE('',#333040); #9854=PLANE('',#333041); #9855=PLANE('',#333042); #9856=PLANE('',#333043); #9857=PLANE('',#333044); #9858=PLANE('',#333045); #9859=PLANE('',#333046); #9860=PLANE('',#333047); #9861=PLANE('',#333048); #9862=PLANE('',#333049); #9863=PLANE('',#333050); #9864=PLANE('',#333051); #9865=PLANE('',#333052); #9866=PLANE('',#333053); #9867=PLANE('',#333054); #9868=PLANE('',#333055); #9869=PLANE('',#333056); #9870=PLANE('',#333057); #9871=PLANE('',#333058); #9872=PLANE('',#333059); #9873=PLANE('',#333060); #9874=PLANE('',#333061); #9875=PLANE('',#333062); #9876=PLANE('',#333063); #9877=PLANE('',#333064); #9878=PLANE('',#333065); #9879=PLANE('',#333066); #9880=PLANE('',#333067); #9881=PLANE('',#333068); #9882=PLANE('',#333069); #9883=PLANE('',#333070); #9884=PLANE('',#333071); #9885=PLANE('',#333072); #9886=PLANE('',#333073); #9887=PLANE('',#333074); #9888=PLANE('',#333075); #9889=PLANE('',#333076); #9890=PLANE('',#333077); #9891=PLANE('',#333078); #9892=PLANE('',#333079); #9893=PLANE('',#333080); #9894=PLANE('',#333081); #9895=PLANE('',#333082); #9896=PLANE('',#333083); #9897=PLANE('',#333084); #9898=PLANE('',#333085); #9899=PLANE('',#333086); #9900=PLANE('',#333087); #9901=PLANE('',#333088); #9902=PLANE('',#333089); #9903=PLANE('',#333090); #9904=PLANE('',#333091); #9905=PLANE('',#333092); #9906=PLANE('',#333093); #9907=PLANE('',#333094); #9908=PLANE('',#333095); #9909=PLANE('',#333096); #9910=PLANE('',#333097); #9911=PLANE('',#333098); #9912=PLANE('',#333099); #9913=PLANE('',#333100); #9914=PLANE('',#333101); #9915=PLANE('',#333102); #9916=PLANE('',#333103); #9917=PLANE('',#333104); #9918=PLANE('',#333105); #9919=PLANE('',#333106); #9920=PLANE('',#333107); #9921=PLANE('',#333108); #9922=PLANE('',#333109); #9923=PLANE('',#333110); #9924=PLANE('',#333111); #9925=PLANE('',#333112); #9926=PLANE('',#333113); #9927=PLANE('',#333114); #9928=PLANE('',#333115); #9929=PLANE('',#333116); #9930=PLANE('',#333117); #9931=PLANE('',#333118); #9932=PLANE('',#333119); #9933=PLANE('',#333120); #9934=PLANE('',#333121); #9935=PLANE('',#333122); #9936=PLANE('',#333123); #9937=PLANE('',#333124); #9938=PLANE('',#333125); #9939=PLANE('',#333126); #9940=PLANE('',#333127); #9941=PLANE('',#333128); #9942=PLANE('',#333129); #9943=PLANE('',#333130); #9944=PLANE('',#333131); #9945=PLANE('',#333132); #9946=PLANE('',#333133); #9947=PLANE('',#333134); #9948=PLANE('',#333135); #9949=PLANE('',#333136); #9950=PLANE('',#333137); #9951=PLANE('',#333138); #9952=PLANE('',#333139); #9953=PLANE('',#333140); #9954=PLANE('',#333141); #9955=PLANE('',#333142); #9956=PLANE('',#333143); #9957=PLANE('',#333144); #9958=PLANE('',#333145); #9959=PLANE('',#333146); #9960=PLANE('',#333147); #9961=PLANE('',#333148); #9962=PLANE('',#333149); #9963=PLANE('',#333150); #9964=PLANE('',#333151); #9965=PLANE('',#333152); #9966=PLANE('',#333153); #9967=PLANE('',#333154); #9968=PLANE('',#333155); #9969=PLANE('',#333156); #9970=PLANE('',#333157); #9971=PLANE('',#333158); #9972=PLANE('',#333159); #9973=PLANE('',#333160); #9974=PLANE('',#333161); #9975=PLANE('',#333162); #9976=PLANE('',#333163); #9977=PLANE('',#333164); #9978=PLANE('',#333165); #9979=PLANE('',#333166); #9980=PLANE('',#333167); #9981=PLANE('',#333168); #9982=PLANE('',#333169); #9983=PLANE('',#333170); #9984=PLANE('',#333171); #9985=PLANE('',#333172); #9986=PLANE('',#333173); #9987=PLANE('',#333174); #9988=PLANE('',#333175); #9989=PLANE('',#333176); #9990=PLANE('',#333177); #9991=PLANE('',#333178); #9992=PLANE('',#333179); #9993=PLANE('',#333180); #9994=PLANE('',#333181); #9995=PLANE('',#333182); #9996=PLANE('',#333183); #9997=PLANE('',#333184); #9998=PLANE('',#333185); #9999=PLANE('',#333186); #10000=PLANE('',#333187); #10001=PLANE('',#333188); #10002=PLANE('',#333189); #10003=PLANE('',#333190); #10004=PLANE('',#333191); #10005=PLANE('',#333192); #10006=PLANE('',#333193); #10007=PLANE('',#333194); #10008=PLANE('',#333195); #10009=PLANE('',#333196); #10010=PLANE('',#333197); #10011=PLANE('',#333198); #10012=PLANE('',#333199); #10013=PLANE('',#333200); #10014=PLANE('',#333201); #10015=PLANE('',#333202); #10016=PLANE('',#333203); #10017=PLANE('',#333204); #10018=PLANE('',#333205); #10019=PLANE('',#333206); #10020=PLANE('',#333207); #10021=PLANE('',#333208); #10022=PLANE('',#333209); #10023=PLANE('',#333210); #10024=PLANE('',#333211); #10025=PLANE('',#333212); #10026=PLANE('',#333213); #10027=PLANE('',#333214); #10028=PLANE('',#333215); #10029=PLANE('',#333216); #10030=PLANE('',#333217); #10031=PLANE('',#333218); #10032=PLANE('',#333219); #10033=PLANE('',#333220); #10034=PLANE('',#333221); #10035=PLANE('',#333222); #10036=PLANE('',#333223); #10037=PLANE('',#333224); #10038=PLANE('',#333225); #10039=PLANE('',#333226); #10040=PLANE('',#333227); #10041=PLANE('',#333228); #10042=PLANE('',#333229); #10043=PLANE('',#333230); #10044=PLANE('',#333231); #10045=PLANE('',#333232); #10046=PLANE('',#333233); #10047=PLANE('',#333234); #10048=PLANE('',#333235); #10049=PLANE('',#333236); #10050=PLANE('',#333237); #10051=PLANE('',#333238); #10052=PLANE('',#333239); #10053=PLANE('',#333240); #10054=PLANE('',#333241); #10055=PLANE('',#333242); #10056=PLANE('',#333243); #10057=PLANE('',#333244); #10058=PLANE('',#333245); #10059=PLANE('',#333246); #10060=PLANE('',#333247); #10061=PLANE('',#333248); #10062=PLANE('',#333249); #10063=PLANE('',#333250); #10064=PLANE('',#333251); #10065=PLANE('',#333252); #10066=PLANE('',#333253); #10067=PLANE('',#333254); #10068=PLANE('',#333255); #10069=PLANE('',#333256); #10070=PLANE('',#333257); #10071=PLANE('',#333258); #10072=PLANE('',#333259); #10073=PLANE('',#333260); #10074=PLANE('',#333261); #10075=PLANE('',#333262); #10076=PLANE('',#333263); #10077=PLANE('',#333264); #10078=PLANE('',#333265); #10079=PLANE('',#333266); #10080=PLANE('',#333267); #10081=PLANE('',#333268); #10082=PLANE('',#333269); #10083=PLANE('',#333270); #10084=PLANE('',#333271); #10085=PLANE('',#333272); #10086=PLANE('',#333273); #10087=PLANE('',#333274); #10088=PLANE('',#333275); #10089=PLANE('',#333276); #10090=PLANE('',#333277); #10091=PLANE('',#333278); #10092=PLANE('',#333279); #10093=PLANE('',#333280); #10094=PLANE('',#333281); #10095=PLANE('',#333282); #10096=PLANE('',#333283); #10097=PLANE('',#333284); #10098=PLANE('',#333285); #10099=PLANE('',#333286); #10100=PLANE('',#333287); #10101=PLANE('',#333288); #10102=PLANE('',#333289); #10103=PLANE('',#333290); #10104=PLANE('',#333291); #10105=PLANE('',#333292); #10106=PLANE('',#333293); #10107=PLANE('',#333294); #10108=PLANE('',#333295); #10109=PLANE('',#333296); #10110=PLANE('',#333297); #10111=PLANE('',#333298); #10112=PLANE('',#333299); #10113=PLANE('',#333300); #10114=PLANE('',#333301); #10115=PLANE('',#333302); #10116=PLANE('',#333303); #10117=PLANE('',#333304); #10118=PLANE('',#333305); #10119=PLANE('',#333306); #10120=PLANE('',#333307); #10121=PLANE('',#333308); #10122=PLANE('',#333309); #10123=PLANE('',#333310); #10124=PLANE('',#333311); #10125=PLANE('',#333312); #10126=PLANE('',#333313); #10127=PLANE('',#333314); #10128=PLANE('',#333315); #10129=PLANE('',#333316); #10130=PLANE('',#333317); #10131=PLANE('',#333318); #10132=PLANE('',#333319); #10133=PLANE('',#333320); #10134=PLANE('',#333321); #10135=PLANE('',#333322); #10136=PLANE('',#333323); #10137=PLANE('',#333324); #10138=PLANE('',#333325); #10139=PLANE('',#333326); #10140=PLANE('',#333327); #10141=PLANE('',#333328); #10142=PLANE('',#333329); #10143=PLANE('',#333330); #10144=PLANE('',#333331); #10145=PLANE('',#333332); #10146=PLANE('',#333333); #10147=PLANE('',#333334); #10148=PLANE('',#333335); #10149=PLANE('',#333336); #10150=PLANE('',#333337); #10151=PLANE('',#333338); #10152=PLANE('',#333339); #10153=PLANE('',#333340); #10154=PLANE('',#333341); #10155=PLANE('',#333342); #10156=PLANE('',#333343); #10157=PLANE('',#333344); #10158=PLANE('',#333345); #10159=PLANE('',#333346); #10160=PLANE('',#333347); #10161=PLANE('',#333348); #10162=PLANE('',#333349); #10163=PLANE('',#333350); #10164=PLANE('',#333351); #10165=PLANE('',#333352); #10166=PLANE('',#333353); #10167=PLANE('',#333354); #10168=PLANE('',#333355); #10169=PLANE('',#333356); #10170=PLANE('',#333357); #10171=PLANE('',#333358); #10172=PLANE('',#333359); #10173=PLANE('',#333360); #10174=PLANE('',#333361); #10175=PLANE('',#333362); #10176=PLANE('',#333363); #10177=PLANE('',#333364); #10178=PLANE('',#333365); #10179=PLANE('',#333366); #10180=PLANE('',#333367); #10181=PLANE('',#333368); #10182=PLANE('',#333369); #10183=PLANE('',#333370); #10184=PLANE('',#333371); #10185=PLANE('',#333372); #10186=PLANE('',#333373); #10187=PLANE('',#333374); #10188=PLANE('',#333375); #10189=PLANE('',#333376); #10190=PLANE('',#333377); #10191=PLANE('',#333378); #10192=PLANE('',#333379); #10193=PLANE('',#333380); #10194=PLANE('',#333381); #10195=PLANE('',#333382); #10196=PLANE('',#333383); #10197=PLANE('',#333384); #10198=PLANE('',#333385); #10199=PLANE('',#333386); #10200=PLANE('',#333387); #10201=PLANE('',#333388); #10202=PLANE('',#333389); #10203=PLANE('',#333390); #10204=PLANE('',#333391); #10205=PLANE('',#333392); #10206=PLANE('',#333393); #10207=PLANE('',#333394); #10208=PLANE('',#333395); #10209=PLANE('',#333396); #10210=PLANE('',#333397); #10211=PLANE('',#333398); #10212=PLANE('',#333399); #10213=PLANE('',#333400); #10214=PLANE('',#333401); #10215=PLANE('',#333402); #10216=PLANE('',#333403); #10217=PLANE('',#333404); #10218=PLANE('',#333405); #10219=PLANE('',#333406); #10220=PLANE('',#333407); #10221=PLANE('',#333408); #10222=PLANE('',#333409); #10223=PLANE('',#333410); #10224=PLANE('',#333411); #10225=PLANE('',#333412); #10226=PLANE('',#333413); #10227=PLANE('',#333414); #10228=PLANE('',#333415); #10229=PLANE('',#333416); #10230=PLANE('',#333417); #10231=PLANE('',#333418); #10232=PLANE('',#333419); #10233=PLANE('',#333420); #10234=PLANE('',#333421); #10235=PLANE('',#333422); #10236=PLANE('',#333423); #10237=PLANE('',#333424); #10238=PLANE('',#333425); #10239=PLANE('',#333426); #10240=PLANE('',#333427); #10241=PLANE('',#333428); #10242=PLANE('',#333429); #10243=PLANE('',#333430); #10244=PLANE('',#333431); #10245=PLANE('',#333432); #10246=PLANE('',#333433); #10247=PLANE('',#333434); #10248=PLANE('',#333435); #10249=PLANE('',#333436); #10250=PLANE('',#333437); #10251=PLANE('',#333438); #10252=PLANE('',#333439); #10253=PLANE('',#333440); #10254=PLANE('',#333441); #10255=PLANE('',#333442); #10256=PLANE('',#333443); #10257=PLANE('',#333444); #10258=PLANE('',#333445); #10259=PLANE('',#333446); #10260=PLANE('',#333447); #10261=PLANE('',#333448); #10262=PLANE('',#333449); #10263=PLANE('',#333450); #10264=PLANE('',#333451); #10265=PLANE('',#333452); #10266=PLANE('',#333453); #10267=PLANE('',#333454); #10268=PLANE('',#333455); #10269=PLANE('',#333456); #10270=PLANE('',#333457); #10271=PLANE('',#333458); #10272=PLANE('',#333459); #10273=PLANE('',#333460); #10274=PLANE('',#333461); #10275=PLANE('',#333462); #10276=PLANE('',#333463); #10277=PLANE('',#333464); #10278=PLANE('',#333465); #10279=PLANE('',#333466); #10280=PLANE('',#333467); #10281=PLANE('',#333468); #10282=PLANE('',#333469); #10283=PLANE('',#333470); #10284=PLANE('',#333471); #10285=PLANE('',#333472); #10286=PLANE('',#333473); #10287=PLANE('',#333474); #10288=PLANE('',#333475); #10289=PLANE('',#333476); #10290=PLANE('',#333477); #10291=PLANE('',#333478); #10292=PLANE('',#333479); #10293=PLANE('',#333480); #10294=PLANE('',#333481); #10295=PLANE('',#333482); #10296=PLANE('',#333483); #10297=PLANE('',#333484); #10298=PLANE('',#333485); #10299=PLANE('',#333486); #10300=PLANE('',#333487); #10301=PLANE('',#333488); #10302=PLANE('',#333489); #10303=PLANE('',#333490); #10304=PLANE('',#333491); #10305=PLANE('',#333492); #10306=PLANE('',#333493); #10307=PLANE('',#333494); #10308=PLANE('',#333495); #10309=PLANE('',#333496); #10310=PLANE('',#333497); #10311=PLANE('',#333498); #10312=PLANE('',#333499); #10313=PLANE('',#333500); #10314=PLANE('',#333501); #10315=PLANE('',#333502); #10316=PLANE('',#333503); #10317=PLANE('',#333504); #10318=PLANE('',#333505); #10319=PLANE('',#333506); #10320=PLANE('',#333507); #10321=PLANE('',#333508); #10322=PLANE('',#333509); #10323=PLANE('',#333510); #10324=PLANE('',#333511); #10325=PLANE('',#333512); #10326=PLANE('',#333513); #10327=PLANE('',#333514); #10328=PLANE('',#333515); #10329=PLANE('',#333516); #10330=PLANE('',#333517); #10331=PLANE('',#333518); #10332=PLANE('',#333519); #10333=PLANE('',#333520); #10334=PLANE('',#333521); #10335=PLANE('',#333522); #10336=PLANE('',#333523); #10337=PLANE('',#333524); #10338=PLANE('',#333525); #10339=PLANE('',#333526); #10340=PLANE('',#333527); #10341=PLANE('',#333528); #10342=PLANE('',#333529); #10343=PLANE('',#333530); #10344=PLANE('',#333531); #10345=PLANE('',#333532); #10346=PLANE('',#333533); #10347=PLANE('',#333534); #10348=PLANE('',#333535); #10349=PLANE('',#333536); #10350=PLANE('',#333537); #10351=PLANE('',#333538); #10352=PLANE('',#333539); #10353=PLANE('',#333540); #10354=PLANE('',#333541); #10355=PLANE('',#333542); #10356=PLANE('',#333543); #10357=PLANE('',#333544); #10358=PLANE('',#333545); #10359=PLANE('',#333546); #10360=PLANE('',#333547); #10361=PLANE('',#333548); #10362=PLANE('',#333549); #10363=PLANE('',#333550); #10364=PLANE('',#333551); #10365=PLANE('',#333552); #10366=PLANE('',#333553); #10367=PLANE('',#333554); #10368=PLANE('',#333555); #10369=PLANE('',#333556); #10370=PLANE('',#333557); #10371=PLANE('',#333558); #10372=PLANE('',#333559); #10373=PLANE('',#333560); #10374=PLANE('',#333561); #10375=PLANE('',#333562); #10376=PLANE('',#333563); #10377=PLANE('',#333564); #10378=PLANE('',#333565); #10379=PLANE('',#333566); #10380=PLANE('',#333567); #10381=PLANE('',#333568); #10382=PLANE('',#333569); #10383=PLANE('',#333570); #10384=PLANE('',#333571); #10385=PLANE('',#333572); #10386=PLANE('',#333573); #10387=PLANE('',#333574); #10388=PLANE('',#333575); #10389=PLANE('',#333576); #10390=PLANE('',#333577); #10391=PLANE('',#333578); #10392=PLANE('',#333579); #10393=PLANE('',#333580); #10394=PLANE('',#333581); #10395=PLANE('',#333582); #10396=PLANE('',#333583); #10397=PLANE('',#333584); #10398=PLANE('',#333585); #10399=PLANE('',#333586); #10400=PLANE('',#333587); #10401=PLANE('',#333588); #10402=PLANE('',#333589); #10403=PLANE('',#333590); #10404=PLANE('',#333591); #10405=PLANE('',#333592); #10406=PLANE('',#333593); #10407=PLANE('',#333594); #10408=PLANE('',#333595); #10409=PLANE('',#333596); #10410=PLANE('',#333597); #10411=PLANE('',#333598); #10412=PLANE('',#333599); #10413=PLANE('',#333600); #10414=PLANE('',#333601); #10415=PLANE('',#333602); #10416=PLANE('',#333603); #10417=PLANE('',#333604); #10418=PLANE('',#333605); #10419=PLANE('',#333606); #10420=PLANE('',#333607); #10421=PLANE('',#333608); #10422=PLANE('',#333609); #10423=PLANE('',#333610); #10424=PLANE('',#333611); #10425=PLANE('',#333612); #10426=PLANE('',#333613); #10427=PLANE('',#333614); #10428=PLANE('',#333615); #10429=PLANE('',#333616); #10430=PLANE('',#333617); #10431=PLANE('',#333618); #10432=PLANE('',#333619); #10433=PLANE('',#333620); #10434=PLANE('',#333621); #10435=PLANE('',#333622); #10436=PLANE('',#333623); #10437=PLANE('',#333624); #10438=PLANE('',#333625); #10439=PLANE('',#333626); #10440=PLANE('',#333627); #10441=PLANE('',#333628); #10442=PLANE('',#333629); #10443=PLANE('',#333630); #10444=PLANE('',#333631); #10445=PLANE('',#333632); #10446=PLANE('',#333633); #10447=PLANE('',#333634); #10448=PLANE('',#333635); #10449=PLANE('',#333636); #10450=PLANE('',#333637); #10451=PLANE('',#333638); #10452=PLANE('',#333639); #10453=PLANE('',#333640); #10454=PLANE('',#333641); #10455=PLANE('',#333642); #10456=PLANE('',#333643); #10457=PLANE('',#333644); #10458=PLANE('',#333645); #10459=PLANE('',#333646); #10460=PLANE('',#333647); #10461=PLANE('',#333648); #10462=PLANE('',#333649); #10463=PLANE('',#333650); #10464=PLANE('',#333651); #10465=PLANE('',#333652); #10466=PLANE('',#333653); #10467=PLANE('',#333654); #10468=PLANE('',#333655); #10469=PLANE('',#333656); #10470=PLANE('',#333657); #10471=PLANE('',#333658); #10472=PLANE('',#333659); #10473=PLANE('',#333660); #10474=PLANE('',#333661); #10475=PLANE('',#333662); #10476=PLANE('',#333663); #10477=PLANE('',#333664); #10478=PLANE('',#333665); #10479=PLANE('',#333666); #10480=PLANE('',#333667); #10481=PLANE('',#333668); #10482=PLANE('',#333669); #10483=PLANE('',#333670); #10484=PLANE('',#333671); #10485=PLANE('',#333672); #10486=PLANE('',#333673); #10487=PLANE('',#333674); #10488=PLANE('',#333675); #10489=PLANE('',#333676); #10490=PLANE('',#333677); #10491=PLANE('',#333678); #10492=PLANE('',#333679); #10493=PLANE('',#333680); #10494=PLANE('',#333681); #10495=PLANE('',#333682); #10496=PLANE('',#333683); #10497=PLANE('',#333684); #10498=PLANE('',#333685); #10499=PLANE('',#333686); #10500=PLANE('',#333687); #10501=PLANE('',#333688); #10502=PLANE('',#333689); #10503=PLANE('',#333690); #10504=PLANE('',#333691); #10505=PLANE('',#333692); #10506=PLANE('',#333693); #10507=PLANE('',#333694); #10508=PLANE('',#333695); #10509=PLANE('',#333696); #10510=PLANE('',#333697); #10511=PLANE('',#333698); #10512=PLANE('',#333699); #10513=PLANE('',#333700); #10514=PLANE('',#333701); #10515=PLANE('',#333702); #10516=PLANE('',#333703); #10517=PLANE('',#333704); #10518=PLANE('',#333705); #10519=PLANE('',#333706); #10520=PLANE('',#333707); #10521=PLANE('',#333708); #10522=PLANE('',#333709); #10523=PLANE('',#333710); #10524=PLANE('',#333711); #10525=PLANE('',#333712); #10526=PLANE('',#333713); #10527=PLANE('',#333714); #10528=PLANE('',#333715); #10529=PLANE('',#333716); #10530=PLANE('',#333717); #10531=PLANE('',#333718); #10532=PLANE('',#333719); #10533=PLANE('',#333720); #10534=PLANE('',#333721); #10535=PLANE('',#333722); #10536=PLANE('',#333723); #10537=PLANE('',#333724); #10538=PLANE('',#333725); #10539=PLANE('',#333726); #10540=PLANE('',#333727); #10541=PLANE('',#333728); #10542=PLANE('',#333729); #10543=PLANE('',#333730); #10544=PLANE('',#333731); #10545=PLANE('',#333732); #10546=PLANE('',#333733); #10547=PLANE('',#333734); #10548=PLANE('',#333735); #10549=PLANE('',#333736); #10550=PLANE('',#333737); #10551=PLANE('',#333738); #10552=PLANE('',#333739); #10553=PLANE('',#333740); #10554=PLANE('',#333741); #10555=PLANE('',#333742); #10556=PLANE('',#333743); #10557=PLANE('',#333744); #10558=PLANE('',#333745); #10559=PLANE('',#333746); #10560=PLANE('',#333747); #10561=PLANE('',#333748); #10562=PLANE('',#333749); #10563=PLANE('',#333750); #10564=PLANE('',#333751); #10565=PLANE('',#333752); #10566=PLANE('',#333753); #10567=PLANE('',#333754); #10568=PLANE('',#333755); #10569=PLANE('',#333756); #10570=PLANE('',#333757); #10571=PLANE('',#333758); #10572=PLANE('',#333759); #10573=PLANE('',#333760); #10574=PLANE('',#333761); #10575=PLANE('',#333762); #10576=PLANE('',#333763); #10577=PLANE('',#333764); #10578=PLANE('',#333765); #10579=PLANE('',#333766); #10580=PLANE('',#333767); #10581=PLANE('',#333768); #10582=PLANE('',#333769); #10583=PLANE('',#333770); #10584=PLANE('',#333771); #10585=PLANE('',#333772); #10586=PLANE('',#333773); #10587=PLANE('',#333774); #10588=PLANE('',#333775); #10589=PLANE('',#333776); #10590=PLANE('',#333777); #10591=PLANE('',#333778); #10592=PLANE('',#333779); #10593=PLANE('',#333780); #10594=PLANE('',#333781); #10595=PLANE('',#333782); #10596=PLANE('',#333783); #10597=PLANE('',#333784); #10598=PLANE('',#333785); #10599=PLANE('',#333786); #10600=PLANE('',#333787); #10601=PLANE('',#333788); #10602=PLANE('',#333789); #10603=PLANE('',#333790); #10604=PLANE('',#333791); #10605=PLANE('',#333792); #10606=PLANE('',#333793); #10607=PLANE('',#333794); #10608=PLANE('',#333795); #10609=PLANE('',#333796); #10610=PLANE('',#333797); #10611=PLANE('',#333798); #10612=PLANE('',#333799); #10613=PLANE('',#333800); #10614=PLANE('',#333801); #10615=PLANE('',#333802); #10616=PLANE('',#333803); #10617=PLANE('',#333804); #10618=PLANE('',#333805); #10619=PLANE('',#333806); #10620=PLANE('',#333807); #10621=PLANE('',#333808); #10622=PLANE('',#333809); #10623=PLANE('',#333810); #10624=PLANE('',#333811); #10625=PLANE('',#333812); #10626=PLANE('',#333813); #10627=PLANE('',#333814); #10628=PLANE('',#333815); #10629=PLANE('',#333816); #10630=PLANE('',#333817); #10631=PLANE('',#333818); #10632=PLANE('',#333819); #10633=PLANE('',#333820); #10634=PLANE('',#333821); #10635=PLANE('',#333822); #10636=PLANE('',#333823); #10637=PLANE('',#333824); #10638=PLANE('',#333825); #10639=PLANE('',#333826); #10640=PLANE('',#333827); #10641=PLANE('',#333828); #10642=PLANE('',#333829); #10643=PLANE('',#333830); #10644=PLANE('',#333831); #10645=PLANE('',#333832); #10646=PLANE('',#333833); #10647=PLANE('',#333834); #10648=PLANE('',#333835); #10649=PLANE('',#333836); #10650=PLANE('',#333837); #10651=PLANE('',#333838); #10652=PLANE('',#333839); #10653=PLANE('',#333840); #10654=PLANE('',#333841); #10655=PLANE('',#333842); #10656=PLANE('',#333843); #10657=PLANE('',#333844); #10658=PLANE('',#333845); #10659=PLANE('',#333846); #10660=PLANE('',#333847); #10661=PLANE('',#333848); #10662=PLANE('',#333849); #10663=PLANE('',#333850); #10664=PLANE('',#333851); #10665=PLANE('',#333852); #10666=PLANE('',#333853); #10667=PLANE('',#333854); #10668=PLANE('',#333855); #10669=PLANE('',#333856); #10670=PLANE('',#333857); #10671=PLANE('',#333858); #10672=PLANE('',#333859); #10673=PLANE('',#333860); #10674=PLANE('',#333861); #10675=PLANE('',#333862); #10676=PLANE('',#333863); #10677=PLANE('',#333864); #10678=PLANE('',#333865); #10679=PLANE('',#333866); #10680=PLANE('',#333867); #10681=PLANE('',#333868); #10682=PLANE('',#333869); #10683=PLANE('',#333870); #10684=PLANE('',#333871); #10685=PLANE('',#333872); #10686=PLANE('',#333873); #10687=PLANE('',#333874); #10688=PLANE('',#333875); #10689=PLANE('',#333876); #10690=PLANE('',#333877); #10691=PLANE('',#333878); #10692=PLANE('',#333879); #10693=PLANE('',#333880); #10694=PLANE('',#333881); #10695=PLANE('',#333882); #10696=PLANE('',#333883); #10697=PLANE('',#333884); #10698=PLANE('',#333885); #10699=PLANE('',#333886); #10700=PLANE('',#333887); #10701=PLANE('',#333888); #10702=PLANE('',#333889); #10703=PLANE('',#333890); #10704=PLANE('',#333891); #10705=PLANE('',#333892); #10706=PLANE('',#333893); #10707=PLANE('',#333894); #10708=PLANE('',#333895); #10709=PLANE('',#333896); #10710=PLANE('',#333897); #10711=PLANE('',#333898); #10712=PLANE('',#333899); #10713=PLANE('',#333900); #10714=PLANE('',#333901); #10715=PLANE('',#333902); #10716=PLANE('',#333903); #10717=PLANE('',#333904); #10718=PLANE('',#333905); #10719=PLANE('',#333906); #10720=PLANE('',#333907); #10721=PLANE('',#333908); #10722=PLANE('',#333909); #10723=PLANE('',#333910); #10724=PLANE('',#333911); #10725=PLANE('',#333912); #10726=PLANE('',#333913); #10727=PLANE('',#333914); #10728=PLANE('',#333915); #10729=PLANE('',#333916); #10730=PLANE('',#333917); #10731=PLANE('',#333918); #10732=PLANE('',#333919); #10733=PLANE('',#333920); #10734=PLANE('',#333921); #10735=PLANE('',#333922); #10736=PLANE('',#333923); #10737=PLANE('',#333924); #10738=PLANE('',#333925); #10739=PLANE('',#333926); #10740=PLANE('',#333927); #10741=PLANE('',#333928); #10742=PLANE('',#333929); #10743=PLANE('',#333930); #10744=PLANE('',#333931); #10745=PLANE('',#333932); #10746=PLANE('',#333933); #10747=PLANE('',#333934); #10748=PLANE('',#333935); #10749=PLANE('',#333936); #10750=PLANE('',#333937); #10751=PLANE('',#333938); #10752=PLANE('',#333939); #10753=PLANE('',#333940); #10754=PLANE('',#333941); #10755=PLANE('',#333942); #10756=PLANE('',#333943); #10757=PLANE('',#333944); #10758=PLANE('',#333945); #10759=PLANE('',#333946); #10760=PLANE('',#333947); #10761=PLANE('',#333948); #10762=PLANE('',#333949); #10763=PLANE('',#333950); #10764=PLANE('',#333951); #10765=PLANE('',#333952); #10766=PLANE('',#333953); #10767=PLANE('',#333954); #10768=PLANE('',#333955); #10769=PLANE('',#333956); #10770=PLANE('',#333957); #10771=PLANE('',#333958); #10772=PLANE('',#333959); #10773=PLANE('',#333960); #10774=PLANE('',#333961); #10775=PLANE('',#333962); #10776=PLANE('',#333963); #10777=PLANE('',#333964); #10778=PLANE('',#333965); #10779=PLANE('',#333966); #10780=PLANE('',#333967); #10781=PLANE('',#333968); #10782=PLANE('',#333969); #10783=PLANE('',#333970); #10784=PLANE('',#333971); #10785=PLANE('',#333972); #10786=PLANE('',#333973); #10787=PLANE('',#333974); #10788=PLANE('',#333975); #10789=PLANE('',#333976); #10790=PLANE('',#333977); #10791=PLANE('',#333978); #10792=PLANE('',#333979); #10793=PLANE('',#333980); #10794=PLANE('',#333981); #10795=PLANE('',#333982); #10796=PLANE('',#333983); #10797=PLANE('',#333984); #10798=PLANE('',#333985); #10799=PLANE('',#333986); #10800=PLANE('',#333987); #10801=PLANE('',#333988); #10802=PLANE('',#333989); #10803=PLANE('',#333990); #10804=PLANE('',#333991); #10805=PLANE('',#333992); #10806=PLANE('',#333993); #10807=PLANE('',#333994); #10808=PLANE('',#333995); #10809=PLANE('',#333996); #10810=PLANE('',#333997); #10811=PLANE('',#333998); #10812=PLANE('',#333999); #10813=PLANE('',#334000); #10814=PLANE('',#334001); #10815=PLANE('',#334002); #10816=PLANE('',#334003); #10817=PLANE('',#334004); #10818=PLANE('',#334005); #10819=PLANE('',#334006); #10820=PLANE('',#334007); #10821=PLANE('',#334008); #10822=PLANE('',#334009); #10823=PLANE('',#334010); #10824=PLANE('',#334011); #10825=PLANE('',#334012); #10826=PLANE('',#334013); #10827=PLANE('',#334014); #10828=PLANE('',#334015); #10829=PLANE('',#334016); #10830=PLANE('',#334017); #10831=PLANE('',#334018); #10832=PLANE('',#334019); #10833=PLANE('',#334020); #10834=PLANE('',#334021); #10835=PLANE('',#334022); #10836=PLANE('',#334023); #10837=PLANE('',#334024); #10838=PLANE('',#334025); #10839=PLANE('',#334026); #10840=PLANE('',#334027); #10841=PLANE('',#334028); #10842=PLANE('',#334029); #10843=PLANE('',#334030); #10844=PLANE('',#334031); #10845=PLANE('',#334032); #10846=PLANE('',#334033); #10847=PLANE('',#334034); #10848=PLANE('',#334035); #10849=PLANE('',#334036); #10850=PLANE('',#334037); #10851=PLANE('',#334038); #10852=PLANE('',#334039); #10853=PLANE('',#334040); #10854=PLANE('',#334041); #10855=PLANE('',#334042); #10856=PLANE('',#334043); #10857=PLANE('',#334044); #10858=PLANE('',#334045); #10859=PLANE('',#334046); #10860=PLANE('',#334047); #10861=PLANE('',#334048); #10862=PLANE('',#334049); #10863=PLANE('',#334050); #10864=PLANE('',#334051); #10865=PLANE('',#334052); #10866=PLANE('',#334053); #10867=PLANE('',#334054); #10868=PLANE('',#334055); #10869=PLANE('',#334056); #10870=PLANE('',#334057); #10871=PLANE('',#334058); #10872=PLANE('',#334059); #10873=PLANE('',#334060); #10874=PLANE('',#334061); #10875=PLANE('',#334062); #10876=PLANE('',#334063); #10877=PLANE('',#334064); #10878=PLANE('',#334065); #10879=PLANE('',#334066); #10880=PLANE('',#334067); #10881=PLANE('',#334068); #10882=PLANE('',#334069); #10883=PLANE('',#334070); #10884=PLANE('',#334071); #10885=PLANE('',#334072); #10886=PLANE('',#334073); #10887=PLANE('',#334074); #10888=PLANE('',#334084); #10889=PLANE('',#334085); #10890=PLANE('',#334086); #10891=PLANE('',#334087); #10892=PLANE('',#334088); #10893=PLANE('',#334089); #10894=PLANE('',#334090); #10895=PLANE('',#334091); #10896=PLANE('',#334092); #10897=PLANE('',#334093); #10898=PLANE('',#334094); #10899=PLANE('',#334095); #10900=PLANE('',#334096); #10901=PLANE('',#334097); #10902=PLANE('',#334098); #10903=PLANE('',#334099); #10904=PLANE('',#334100); #10905=PLANE('',#334101); #10906=PLANE('',#334102); #10907=PLANE('',#334103); #10908=PLANE('',#334104); #10909=PLANE('',#334105); #10910=PLANE('',#334106); #10911=PLANE('',#334107); #10912=PLANE('',#334108); #10913=PLANE('',#334109); #10914=PLANE('',#334110); #10915=PLANE('',#334111); #10916=PLANE('',#334112); #10917=PLANE('',#334113); #10918=PLANE('',#334114); #10919=PLANE('',#334115); #10920=PLANE('',#334116); #10921=PLANE('',#334117); #10922=PLANE('',#334118); #10923=PLANE('',#334119); #10924=PLANE('',#334120); #10925=PLANE('',#334121); #10926=PLANE('',#334122); #10927=PLANE('',#334123); #10928=PLANE('',#334124); #10929=PLANE('',#334125); #10930=PLANE('',#334126); #10931=PLANE('',#334127); #10932=PLANE('',#334128); #10933=PLANE('',#334129); #10934=PLANE('',#334130); #10935=PLANE('',#334131); #10936=PLANE('',#334132); #10937=PLANE('',#334133); #10938=PLANE('',#334134); #10939=PLANE('',#334135); #10940=PLANE('',#334136); #10941=PLANE('',#334137); #10942=PLANE('',#334138); #10943=PLANE('',#334139); #10944=PLANE('',#334140); #10945=PLANE('',#334141); #10946=PLANE('',#334142); #10947=PLANE('',#334143); #10948=PLANE('',#334144); #10949=PLANE('',#334145); #10950=PLANE('',#334146); #10951=PLANE('',#334147); #10952=PLANE('',#334148); #10953=PLANE('',#334149); #10954=PLANE('',#334150); #10955=PLANE('',#334151); #10956=PLANE('',#334152); #10957=PLANE('',#334153); #10958=PLANE('',#334154); #10959=PLANE('',#334155); #10960=PLANE('',#334156); #10961=PLANE('',#334157); #10962=PLANE('',#334158); #10963=PLANE('',#334159); #10964=PLANE('',#334160); #10965=PLANE('',#334161); #10966=PLANE('',#334162); #10967=PLANE('',#334163); #10968=PLANE('',#334164); #10969=PLANE('',#334165); #10970=PLANE('',#334166); #10971=PLANE('',#334167); #10972=PLANE('',#334168); #10973=PLANE('',#334169); #10974=PLANE('',#334170); #10975=PLANE('',#334171); #10976=PLANE('',#334172); #10977=PLANE('',#334173); #10978=PLANE('',#334174); #10979=PLANE('',#334175); #10980=PLANE('',#334176); #10981=PLANE('',#334177); #10982=PLANE('',#334178); #10983=PLANE('',#334179); #10984=PLANE('',#334180); #10985=PLANE('',#334181); #10986=PLANE('',#334182); #10987=PLANE('',#334183); #10988=PLANE('',#334184); #10989=PLANE('',#334185); #10990=PLANE('',#334186); #10991=PLANE('',#334187); #10992=PLANE('',#334188); #10993=PLANE('',#334189); #10994=PLANE('',#334190); #10995=PLANE('',#334191); #10996=PLANE('',#334192); #10997=PLANE('',#334193); #10998=PLANE('',#334194); #10999=PLANE('',#334195); #11000=PLANE('',#334196); #11001=PLANE('',#334197); #11002=PLANE('',#334198); #11003=PLANE('',#334199); #11004=PLANE('',#334200); #11005=PLANE('',#334201); #11006=PLANE('',#334202); #11007=PLANE('',#334203); #11008=PLANE('',#334204); #11009=PLANE('',#334205); #11010=PLANE('',#334206); #11011=PLANE('',#334207); #11012=PLANE('',#334208); #11013=PLANE('',#334209); #11014=PLANE('',#334210); #11015=PLANE('',#334211); #11016=PLANE('',#334212); #11017=PLANE('',#334213); #11018=PLANE('',#334214); #11019=PLANE('',#334215); #11020=PLANE('',#334216); #11021=PLANE('',#334217); #11022=PLANE('',#334218); #11023=PLANE('',#334219); #11024=PLANE('',#334220); #11025=PLANE('',#334221); #11026=PLANE('',#334222); #11027=PLANE('',#334223); #11028=PLANE('',#334224); #11029=PLANE('',#334372); #11030=PLANE('',#334373); #11031=PLANE('',#334374); #11032=PLANE('',#334375); #11033=PLANE('',#334376); #11034=PLANE('',#334377); #11035=PLANE('',#334378); #11036=PLANE('',#334379); #11037=PLANE('',#334380); #11038=PLANE('',#334381); #11039=PLANE('',#334382); #11040=PLANE('',#334383); #11041=PLANE('',#334384); #11042=PLANE('',#334385); #11043=PLANE('',#334386); #11044=PLANE('',#334387); #11045=PLANE('',#334388); #11046=PLANE('',#334389); #11047=PLANE('',#334390); #11048=PLANE('',#334391); #11049=PLANE('',#334392); #11050=PLANE('',#334393); #11051=PLANE('',#334394); #11052=PLANE('',#334395); #11053=PLANE('',#334396); #11054=PLANE('',#334397); #11055=PLANE('',#334398); #11056=PLANE('',#334399); #11057=PLANE('',#334400); #11058=PLANE('',#334401); #11059=PLANE('',#334402); #11060=PLANE('',#334403); #11061=PLANE('',#334404); #11062=PLANE('',#334405); #11063=PLANE('',#334406); #11064=PLANE('',#334407); #11065=PLANE('',#334408); #11066=PLANE('',#334409); #11067=PLANE('',#334410); #11068=PLANE('',#334411); #11069=PLANE('',#334412); #11070=PLANE('',#334413); #11071=PLANE('',#334414); #11072=PLANE('',#334415); #11073=PLANE('',#334416); #11074=PLANE('',#334417); #11075=PLANE('',#334418); #11076=PLANE('',#334419); #11077=PLANE('',#334420); #11078=PLANE('',#334421); #11079=PLANE('',#334422); #11080=PLANE('',#334423); #11081=PLANE('',#334424); #11082=PLANE('',#334425); #11083=PLANE('',#334426); #11084=PLANE('',#334427); #11085=PLANE('',#334428); #11086=PLANE('',#334429); #11087=PLANE('',#334430); #11088=PLANE('',#334431); #11089=PLANE('',#334432); #11090=PLANE('',#334433); #11091=PLANE('',#334434); #11092=PLANE('',#334435); #11093=PLANE('',#334436); #11094=PLANE('',#334437); #11095=PLANE('',#334438); #11096=PLANE('',#334439); #11097=PLANE('',#334440); #11098=PLANE('',#334441); #11099=PLANE('',#334442); #11100=PLANE('',#334443); #11101=PLANE('',#334444); #11102=PLANE('',#334445); #11103=PLANE('',#334446); #11104=PLANE('',#334447); #11105=PLANE('',#334448); #11106=PLANE('',#334449); #11107=PLANE('',#334450); #11108=PLANE('',#334451); #11109=PLANE('',#334452); #11110=PLANE('',#334453); #11111=PLANE('',#334454); #11112=PLANE('',#334455); #11113=PLANE('',#334456); #11114=PLANE('',#334457); #11115=PLANE('',#334458); #11116=PLANE('',#334459); #11117=PLANE('',#334460); #11118=PLANE('',#334461); #11119=PLANE('',#334462); #11120=PLANE('',#334463); #11121=PLANE('',#334464); #11122=PLANE('',#334465); #11123=PLANE('',#334466); #11124=PLANE('',#334467); #11125=PLANE('',#334468); #11126=PLANE('',#334469); #11127=PLANE('',#334470); #11128=PLANE('',#334471); #11129=PLANE('',#334472); #11130=PLANE('',#334473); #11131=PLANE('',#334474); #11132=PLANE('',#334475); #11133=PLANE('',#334476); #11134=PLANE('',#334477); #11135=PLANE('',#334478); #11136=PLANE('',#334479); #11137=PLANE('',#334480); #11138=PLANE('',#334481); #11139=PLANE('',#334482); #11140=PLANE('',#334483); #11141=PLANE('',#334484); #11142=PLANE('',#334485); #11143=PLANE('',#334486); #11144=PLANE('',#334487); #11145=PLANE('',#334488); #11146=PLANE('',#334489); #11147=PLANE('',#334490); #11148=PLANE('',#334491); #11149=PLANE('',#334492); #11150=PLANE('',#334493); #11151=PLANE('',#334494); #11152=PLANE('',#334495); #11153=PLANE('',#334496); #11154=PLANE('',#334497); #11155=PLANE('',#334498); #11156=PLANE('',#334499); #11157=PLANE('',#334500); #11158=PLANE('',#334501); #11159=PLANE('',#334502); #11160=PLANE('',#334503); #11161=PLANE('',#334504); #11162=PLANE('',#334505); #11163=PLANE('',#334506); #11164=PLANE('',#334507); #11165=PLANE('',#334508); #11166=PLANE('',#334509); #11167=PLANE('',#334510); #11168=PLANE('',#334511); #11169=PLANE('',#334512); #11170=PLANE('',#334513); #11171=PLANE('',#334514); #11172=PLANE('',#334515); #11173=PLANE('',#334516); #11174=PLANE('',#334517); #11175=PLANE('',#334518); #11176=PLANE('',#334519); #11177=PLANE('',#334520); #11178=PLANE('',#334521); #11179=PLANE('',#334522); #11180=PLANE('',#334523); #11181=PLANE('',#334524); #11182=PLANE('',#334525); #11183=PLANE('',#334526); #11184=PLANE('',#334527); #11185=PLANE('',#334528); #11186=PLANE('',#334529); #11187=PLANE('',#334530); #11188=PLANE('',#334531); #11189=PLANE('',#334532); #11190=PLANE('',#334533); #11191=PLANE('',#334534); #11192=PLANE('',#334535); #11193=PLANE('',#334536); #11194=PLANE('',#334537); #11195=PLANE('',#334538); #11196=PLANE('',#334539); #11197=PLANE('',#334540); #11198=PLANE('',#334541); #11199=PLANE('',#334542); #11200=PLANE('',#334543); #11201=PLANE('',#334544); #11202=PLANE('',#334545); #11203=PLANE('',#334546); #11204=PLANE('',#334547); #11205=PLANE('',#334548); #11206=PLANE('',#334549); #11207=PLANE('',#334550); #11208=PLANE('',#334551); #11209=PLANE('',#334552); #11210=PLANE('',#334553); #11211=PLANE('',#334554); #11212=PLANE('',#334555); #11213=PLANE('',#334556); #11214=PLANE('',#334557); #11215=PLANE('',#334558); #11216=PLANE('',#334559); #11217=PLANE('',#334560); #11218=PLANE('',#334561); #11219=PLANE('',#334562); #11220=PLANE('',#334563); #11221=PLANE('',#334564); #11222=PLANE('',#334565); #11223=PLANE('',#334566); #11224=PLANE('',#334567); #11225=PLANE('',#334568); #11226=PLANE('',#334569); #11227=PLANE('',#334570); #11228=PLANE('',#334571); #11229=PLANE('',#334572); #11230=PLANE('',#334573); #11231=PLANE('',#334574); #11232=PLANE('',#334575); #11233=PLANE('',#334576); #11234=PLANE('',#334577); #11235=PLANE('',#334578); #11236=PLANE('',#334579); #11237=PLANE('',#334580); #11238=PLANE('',#334581); #11239=PLANE('',#334582); #11240=PLANE('',#334583); #11241=PLANE('',#334584); #11242=PLANE('',#334585); #11243=PLANE('',#334586); #11244=PLANE('',#334587); #11245=PLANE('',#334588); #11246=PLANE('',#334589); #11247=PLANE('',#334590); #11248=PLANE('',#334591); #11249=PLANE('',#334592); #11250=PLANE('',#334593); #11251=PLANE('',#334594); #11252=PLANE('',#334595); #11253=PLANE('',#334596); #11254=PLANE('',#334597); #11255=PLANE('',#334598); #11256=PLANE('',#334599); #11257=PLANE('',#334600); #11258=PLANE('',#334601); #11259=PLANE('',#334602); #11260=PLANE('',#334603); #11261=PLANE('',#334604); #11262=PLANE('',#334605); #11263=PLANE('',#334606); #11264=PLANE('',#334607); #11265=PLANE('',#334608); #11266=PLANE('',#334609); #11267=PLANE('',#334610); #11268=PLANE('',#334611); #11269=PLANE('',#334612); #11270=PLANE('',#334613); #11271=PLANE('',#334614); #11272=PLANE('',#334615); #11273=PLANE('',#334616); #11274=PLANE('',#334617); #11275=PLANE('',#334618); #11276=PLANE('',#334619); #11277=PLANE('',#334620); #11278=PLANE('',#334621); #11279=PLANE('',#334622); #11280=PLANE('',#334623); #11281=PLANE('',#334624); #11282=PLANE('',#334625); #11283=PLANE('',#334626); #11284=PLANE('',#334627); #11285=PLANE('',#334628); #11286=PLANE('',#334629); #11287=PLANE('',#334630); #11288=PLANE('',#334631); #11289=PLANE('',#334632); #11290=PLANE('',#334633); #11291=PLANE('',#334634); #11292=PLANE('',#334635); #11293=PLANE('',#334636); #11294=PLANE('',#334637); #11295=PLANE('',#334638); #11296=PLANE('',#334639); #11297=PLANE('',#334640); #11298=PLANE('',#334641); #11299=PLANE('',#334642); #11300=PLANE('',#334643); #11301=PLANE('',#334644); #11302=PLANE('',#334645); #11303=PLANE('',#334646); #11304=PLANE('',#334647); #11305=PLANE('',#334648); #11306=PLANE('',#334649); #11307=PLANE('',#334650); #11308=PLANE('',#334651); #11309=PLANE('',#334652); #11310=PLANE('',#334653); #11311=PLANE('',#334654); #11312=PLANE('',#334655); #11313=PLANE('',#334656); #11314=PLANE('',#334657); #11315=PLANE('',#334658); #11316=PLANE('',#334659); #11317=PLANE('',#334660); #11318=PLANE('',#334661); #11319=PLANE('',#334662); #11320=PLANE('',#334663); #11321=PLANE('',#334664); #11322=PLANE('',#334665); #11323=PLANE('',#334666); #11324=PLANE('',#334667); #11325=PLANE('',#334668); #11326=PLANE('',#334669); #11327=PLANE('',#334670); #11328=PLANE('',#334671); #11329=PLANE('',#334672); #11330=PLANE('',#334673); #11331=PLANE('',#334674); #11332=PLANE('',#334675); #11333=PLANE('',#334676); #11334=PLANE('',#334677); #11335=PLANE('',#334678); #11336=PLANE('',#334679); #11337=PLANE('',#334680); #11338=PLANE('',#334681); #11339=PLANE('',#334682); #11340=PLANE('',#334683); #11341=PLANE('',#334684); #11342=PLANE('',#334685); #11343=PLANE('',#334686); #11344=PLANE('',#334687); #11345=PLANE('',#334688); #11346=PLANE('',#334689); #11347=PLANE('',#334690); #11348=PLANE('',#334691); #11349=PLANE('',#334692); #11350=PLANE('',#334693); #11351=PLANE('',#334694); #11352=PLANE('',#334695); #11353=PLANE('',#334696); #11354=PLANE('',#334697); #11355=PLANE('',#334698); #11356=PLANE('',#334699); #11357=PLANE('',#334700); #11358=PLANE('',#334701); #11359=PLANE('',#334702); #11360=PLANE('',#334703); #11361=PLANE('',#334704); #11362=PLANE('',#334705); #11363=PLANE('',#334706); #11364=PLANE('',#334707); #11365=PLANE('',#334708); #11366=PLANE('',#334709); #11367=PLANE('',#334710); #11368=PLANE('',#334711); #11369=PLANE('',#334712); #11370=PLANE('',#334713); #11371=PLANE('',#334714); #11372=PLANE('',#334715); #11373=PLANE('',#334716); #11374=PLANE('',#334717); #11375=PLANE('',#334718); #11376=PLANE('',#334719); #11377=PLANE('',#334720); #11378=PLANE('',#334721); #11379=PLANE('',#334722); #11380=PLANE('',#334723); #11381=PLANE('',#334724); #11382=PLANE('',#334725); #11383=PLANE('',#334726); #11384=PLANE('',#334727); #11385=PLANE('',#334728); #11386=PLANE('',#334729); #11387=PLANE('',#334730); #11388=PLANE('',#334731); #11389=PLANE('',#334732); #11390=PLANE('',#334733); #11391=PLANE('',#334734); #11392=PLANE('',#334735); #11393=PLANE('',#334736); #11394=PLANE('',#334737); #11395=PLANE('',#334738); #11396=PLANE('',#334739); #11397=PLANE('',#334740); #11398=PLANE('',#334741); #11399=PLANE('',#334742); #11400=PLANE('',#334743); #11401=PLANE('',#334744); #11402=PLANE('',#334745); #11403=PLANE('',#334746); #11404=PLANE('',#334747); #11405=PLANE('',#334748); #11406=PLANE('',#334749); #11407=PLANE('',#334750); #11408=PLANE('',#334751); #11409=PLANE('',#334752); #11410=PLANE('',#334753); #11411=PLANE('',#334754); #11412=PLANE('',#334755); #11413=PLANE('',#334756); #11414=PLANE('',#334757); #11415=PLANE('',#334758); #11416=PLANE('',#334759); #11417=PLANE('',#334760); #11418=PLANE('',#334761); #11419=PLANE('',#334762); #11420=PLANE('',#334763); #11421=PLANE('',#334764); #11422=PLANE('',#334765); #11423=PLANE('',#334766); #11424=PLANE('',#334767); #11425=PLANE('',#334768); #11426=PLANE('',#334769); #11427=PLANE('',#334770); #11428=PLANE('',#334771); #11429=PLANE('',#334772); #11430=PLANE('',#334773); #11431=PLANE('',#334774); #11432=PLANE('',#334775); #11433=PLANE('',#334776); #11434=PLANE('',#334777); #11435=PLANE('',#334778); #11436=PLANE('',#334779); #11437=PLANE('',#334780); #11438=PLANE('',#334781); #11439=PLANE('',#334782); #11440=PLANE('',#334783); #11441=PLANE('',#334784); #11442=PLANE('',#334785); #11443=PLANE('',#334786); #11444=PLANE('',#334787); #11445=PLANE('',#334788); #11446=PLANE('',#334789); #11447=PLANE('',#334790); #11448=PLANE('',#334791); #11449=PLANE('',#334792); #11450=PLANE('',#334793); #11451=PLANE('',#334794); #11452=PLANE('',#334795); #11453=PLANE('',#334796); #11454=PLANE('',#334797); #11455=PLANE('',#334798); #11456=PLANE('',#334799); #11457=PLANE('',#334800); #11458=PLANE('',#334801); #11459=PLANE('',#334802); #11460=PLANE('',#334803); #11461=PLANE('',#334804); #11462=PLANE('',#334805); #11463=PLANE('',#334806); #11464=PLANE('',#334807); #11465=PLANE('',#334808); #11466=PLANE('',#334809); #11467=PLANE('',#334810); #11468=PLANE('',#334811); #11469=PLANE('',#334812); #11470=PLANE('',#334813); #11471=PLANE('',#334814); #11472=PLANE('',#334815); #11473=PLANE('',#334816); #11474=PLANE('',#334817); #11475=PLANE('',#334818); #11476=PLANE('',#334819); #11477=PLANE('',#334820); #11478=PLANE('',#334821); #11479=PLANE('',#334822); #11480=PLANE('',#334823); #11481=PLANE('',#334824); #11482=PLANE('',#334825); #11483=PLANE('',#334826); #11484=PLANE('',#334827); #11485=PLANE('',#334828); #11486=PLANE('',#334829); #11487=PLANE('',#334830); #11488=PLANE('',#334831); #11489=PLANE('',#334832); #11490=PLANE('',#334833); #11491=PLANE('',#334834); #11492=PLANE('',#334835); #11493=PLANE('',#334836); #11494=PLANE('',#334837); #11495=PLANE('',#334838); #11496=PLANE('',#334839); #11497=PLANE('',#334840); #11498=PLANE('',#334841); #11499=PLANE('',#334842); #11500=PLANE('',#334843); #11501=PLANE('',#334844); #11502=PLANE('',#334845); #11503=PLANE('',#334846); #11504=PLANE('',#334847); #11505=PLANE('',#334848); #11506=PLANE('',#334849); #11507=PLANE('',#334850); #11508=PLANE('',#334851); #11509=PLANE('',#334852); #11510=PLANE('',#334853); #11511=PLANE('',#334854); #11512=PLANE('',#334855); #11513=PLANE('',#334856); #11514=PLANE('',#334857); #11515=PLANE('',#334858); #11516=PLANE('',#334859); #11517=PLANE('',#334860); #11518=PLANE('',#334861); #11519=PLANE('',#334862); #11520=PLANE('',#334863); #11521=PLANE('',#334864); #11522=PLANE('',#334865); #11523=PLANE('',#334866); #11524=PLANE('',#334867); #11525=PLANE('',#334868); #11526=PLANE('',#334869); #11527=PLANE('',#334870); #11528=PLANE('',#334871); #11529=PLANE('',#334872); #11530=PLANE('',#334873); #11531=PLANE('',#334874); #11532=PLANE('',#334875); #11533=PLANE('',#334876); #11534=PLANE('',#334877); #11535=PLANE('',#334878); #11536=PLANE('',#334879); #11537=PLANE('',#334880); #11538=PLANE('',#334881); #11539=PLANE('',#334882); #11540=PLANE('',#334883); #11541=PLANE('',#334884); #11542=PLANE('',#334885); #11543=PLANE('',#334886); #11544=PLANE('',#334887); #11545=PLANE('',#334888); #11546=PLANE('',#334889); #11547=PLANE('',#334890); #11548=PLANE('',#334891); #11549=PLANE('',#334892); #11550=PLANE('',#334893); #11551=PLANE('',#334894); #11552=PLANE('',#334895); #11553=PLANE('',#334896); #11554=PLANE('',#334897); #11555=PLANE('',#334898); #11556=PLANE('',#334899); #11557=PLANE('',#334900); #11558=PLANE('',#334901); #11559=PLANE('',#334902); #11560=PLANE('',#334903); #11561=PLANE('',#334904); #11562=PLANE('',#334905); #11563=PLANE('',#334906); #11564=PLANE('',#334907); #11565=PLANE('',#334908); #11566=PLANE('',#334909); #11567=PLANE('',#334910); #11568=PLANE('',#334911); #11569=PLANE('',#334912); #11570=PLANE('',#334913); #11571=PLANE('',#334914); #11572=PLANE('',#334915); #11573=PLANE('',#334916); #11574=PLANE('',#334917); #11575=PLANE('',#334918); #11576=PLANE('',#334919); #11577=PLANE('',#334920); #11578=PLANE('',#334921); #11579=PLANE('',#334922); #11580=PLANE('',#334923); #11581=PLANE('',#334924); #11582=PLANE('',#334925); #11583=PLANE('',#334926); #11584=PLANE('',#334927); #11585=PLANE('',#334928); #11586=PLANE('',#334929); #11587=PLANE('',#334930); #11588=PLANE('',#334931); #11589=PLANE('',#334932); #11590=PLANE('',#334933); #11591=PLANE('',#334934); #11592=PLANE('',#334935); #11593=PLANE('',#334936); #11594=PLANE('',#334937); #11595=PLANE('',#334938); #11596=PLANE('',#334939); #11597=PLANE('',#334940); #11598=PLANE('',#334941); #11599=PLANE('',#334942); #11600=PLANE('',#334943); #11601=PLANE('',#334944); #11602=PLANE('',#334945); #11603=PLANE('',#334946); #11604=PLANE('',#334947); #11605=PLANE('',#334948); #11606=PLANE('',#334949); #11607=PLANE('',#334950); #11608=PLANE('',#334951); #11609=PLANE('',#334952); #11610=PLANE('',#334953); #11611=PLANE('',#334954); #11612=PLANE('',#334955); #11613=PLANE('',#334956); #11614=PLANE('',#334957); #11615=PLANE('',#334958); #11616=PLANE('',#334959); #11617=PLANE('',#334960); #11618=PLANE('',#334961); #11619=PLANE('',#334962); #11620=PLANE('',#334963); #11621=PLANE('',#334964); #11622=PLANE('',#334965); #11623=PLANE('',#334966); #11624=PLANE('',#334967); #11625=PLANE('',#334968); #11626=PLANE('',#334969); #11627=PLANE('',#334970); #11628=PLANE('',#334971); #11629=PLANE('',#334972); #11630=PLANE('',#334973); #11631=PLANE('',#334974); #11632=PLANE('',#334975); #11633=PLANE('',#334976); #11634=PLANE('',#334977); #11635=PLANE('',#334978); #11636=PLANE('',#334979); #11637=PLANE('',#334980); #11638=PLANE('',#334981); #11639=PLANE('',#334982); #11640=PLANE('',#334983); #11641=PLANE('',#334984); #11642=PLANE('',#334985); #11643=PLANE('',#334986); #11644=PLANE('',#334987); #11645=PLANE('',#334988); #11646=PLANE('',#334989); #11647=PLANE('',#334990); #11648=PLANE('',#334991); #11649=PLANE('',#334992); #11650=PLANE('',#334993); #11651=PLANE('',#334994); #11652=PLANE('',#334995); #11653=PLANE('',#334996); #11654=PLANE('',#334997); #11655=PLANE('',#334998); #11656=PLANE('',#334999); #11657=PLANE('',#335000); #11658=PLANE('',#335001); #11659=PLANE('',#335002); #11660=PLANE('',#335003); #11661=PLANE('',#335004); #11662=PLANE('',#335005); #11663=PLANE('',#335006); #11664=PLANE('',#335007); #11665=PLANE('',#335008); #11666=PLANE('',#335009); #11667=PLANE('',#335010); #11668=PLANE('',#335011); #11669=PLANE('',#335012); #11670=PLANE('',#335013); #11671=PLANE('',#335014); #11672=PLANE('',#335015); #11673=PLANE('',#335016); #11674=PLANE('',#335017); #11675=PLANE('',#335018); #11676=PLANE('',#335019); #11677=PLANE('',#335020); #11678=PLANE('',#335021); #11679=PLANE('',#335022); #11680=PLANE('',#335023); #11681=PLANE('',#335024); #11682=PLANE('',#335025); #11683=PLANE('',#335026); #11684=PLANE('',#335027); #11685=PLANE('',#335028); #11686=PLANE('',#335029); #11687=PLANE('',#335030); #11688=PLANE('',#335031); #11689=PLANE('',#335032); #11690=PLANE('',#335033); #11691=PLANE('',#335034); #11692=PLANE('',#335035); #11693=PLANE('',#335036); #11694=PLANE('',#335037); #11695=PLANE('',#335038); #11696=PLANE('',#335039); #11697=PLANE('',#335040); #11698=PLANE('',#335041); #11699=PLANE('',#335042); #11700=PLANE('',#335043); #11701=PLANE('',#335044); #11702=PLANE('',#335045); #11703=PLANE('',#335046); #11704=PLANE('',#335047); #11705=PLANE('',#335048); #11706=PLANE('',#335049); #11707=PLANE('',#335050); #11708=PLANE('',#335051); #11709=PLANE('',#335052); #11710=PLANE('',#335053); #11711=PLANE('',#335054); #11712=PLANE('',#335055); #11713=PLANE('',#335056); #11714=PLANE('',#335057); #11715=PLANE('',#335058); #11716=PLANE('',#335059); #11717=PLANE('',#335060); #11718=PLANE('',#335061); #11719=PLANE('',#335062); #11720=PLANE('',#335063); #11721=PLANE('',#335064); #11722=PLANE('',#335065); #11723=PLANE('',#335066); #11724=PLANE('',#335067); #11725=PLANE('',#335068); #11726=PLANE('',#335069); #11727=PLANE('',#335070); #11728=PLANE('',#335071); #11729=PLANE('',#335072); #11730=PLANE('',#335073); #11731=PLANE('',#335074); #11732=PLANE('',#335075); #11733=PLANE('',#335076); #11734=PLANE('',#335077); #11735=PLANE('',#335078); #11736=PLANE('',#335079); #11737=PLANE('',#335080); #11738=PLANE('',#335081); #11739=PLANE('',#335082); #11740=PLANE('',#335083); #11741=PLANE('',#335084); #11742=PLANE('',#335085); #11743=PLANE('',#335086); #11744=PLANE('',#335087); #11745=PLANE('',#335088); #11746=PLANE('',#335089); #11747=PLANE('',#335090); #11748=PLANE('',#335091); #11749=PLANE('',#335092); #11750=PLANE('',#335093); #11751=PLANE('',#335094); #11752=PLANE('',#335095); #11753=PLANE('',#335096); #11754=PLANE('',#335097); #11755=PLANE('',#335098); #11756=PLANE('',#335099); #11757=PLANE('',#335100); #11758=PLANE('',#335101); #11759=PLANE('',#335102); #11760=PLANE('',#335103); #11761=PLANE('',#335104); #11762=PLANE('',#335105); #11763=PLANE('',#335106); #11764=PLANE('',#335107); #11765=PLANE('',#335108); #11766=PLANE('',#335109); #11767=PLANE('',#335110); #11768=PLANE('',#335111); #11769=PLANE('',#335112); #11770=PLANE('',#335113); #11771=PLANE('',#335114); #11772=PLANE('',#335115); #11773=PLANE('',#335116); #11774=PLANE('',#335117); #11775=PLANE('',#335118); #11776=PLANE('',#335119); #11777=PLANE('',#335120); #11778=PLANE('',#335121); #11779=PLANE('',#335122); #11780=PLANE('',#335123); #11781=PLANE('',#335124); #11782=PLANE('',#335125); #11783=PLANE('',#335126); #11784=PLANE('',#335127); #11785=PLANE('',#335128); #11786=PLANE('',#335129); #11787=PLANE('',#335130); #11788=PLANE('',#335131); #11789=PLANE('',#335132); #11790=PLANE('',#335133); #11791=PLANE('',#335134); #11792=PLANE('',#335135); #11793=PLANE('',#335136); #11794=PLANE('',#335137); #11795=PLANE('',#335138); #11796=PLANE('',#335139); #11797=PLANE('',#335140); #11798=PLANE('',#335141); #11799=PLANE('',#335142); #11800=PLANE('',#335143); #11801=PLANE('',#335144); #11802=PLANE('',#335145); #11803=PLANE('',#335146); #11804=PLANE('',#335147); #11805=PLANE('',#335148); #11806=PLANE('',#335149); #11807=PLANE('',#335150); #11808=PLANE('',#335151); #11809=PLANE('',#335152); #11810=PLANE('',#335153); #11811=PLANE('',#335154); #11812=PLANE('',#335155); #11813=PLANE('',#335156); #11814=PLANE('',#335157); #11815=PLANE('',#335158); #11816=PLANE('',#335159); #11817=PLANE('',#335160); #11818=PLANE('',#335161); #11819=PLANE('',#335162); #11820=PLANE('',#335163); #11821=PLANE('',#335164); #11822=PLANE('',#335165); #11823=PLANE('',#335166); #11824=PLANE('',#335167); #11825=PLANE('',#335168); #11826=PLANE('',#335169); #11827=PLANE('',#335170); #11828=PLANE('',#335171); #11829=PLANE('',#335172); #11830=PLANE('',#335176); #11831=PLANE('',#335180); #11832=PLANE('',#335184); #11833=PLANE('',#335185); #11834=PLANE('',#335186); #11835=PLANE('',#335187); #11836=PLANE('',#335188); #11837=PLANE('',#335189); #11838=PLANE('',#335190); #11839=PLANE('',#335191); #11840=PLANE('',#335192); #11841=PLANE('',#335193); #11842=PLANE('',#335194); #11843=PLANE('',#335195); #11844=PLANE('',#335196); #11845=PLANE('',#335197); #11846=PLANE('',#335198); #11847=PLANE('',#335199); #11848=PLANE('',#335200); #11849=PLANE('',#335201); #11850=PLANE('',#335202); #11851=PLANE('',#335203); #11852=PLANE('',#335204); #11853=PLANE('',#335205); #11854=PLANE('',#335206); #11855=PLANE('',#335207); #11856=PLANE('',#335208); #11857=PLANE('',#335209); #11858=PLANE('',#335210); #11859=PLANE('',#335211); #11860=PLANE('',#335212); #11861=PLANE('',#335213); #11862=PLANE('',#335214); #11863=PLANE('',#335215); #11864=PLANE('',#335216); #11865=PLANE('',#335217); #11866=PLANE('',#335218); #11867=PLANE('',#335219); #11868=PLANE('',#335220); #11869=PLANE('',#335221); #11870=PLANE('',#335222); #11871=PLANE('',#335223); #11872=PLANE('',#335224); #11873=PLANE('',#335225); #11874=PLANE('',#335226); #11875=PLANE('',#335227); #11876=PLANE('',#335228); #11877=PLANE('',#335229); #11878=PLANE('',#335230); #11879=PLANE('',#335231); #11880=PLANE('',#335232); #11881=PLANE('',#335233); #11882=PLANE('',#335234); #11883=PLANE('',#335235); #11884=PLANE('',#335236); #11885=PLANE('',#335237); #11886=PLANE('',#335238); #11887=PLANE('',#335239); #11888=PLANE('',#335240); #11889=PLANE('',#335241); #11890=PLANE('',#335242); #11891=PLANE('',#335243); #11892=PLANE('',#335244); #11893=PLANE('',#335245); #11894=PLANE('',#335246); #11895=PLANE('',#335247); #11896=PLANE('',#335248); #11897=PLANE('',#335249); #11898=PLANE('',#335250); #11899=PLANE('',#335251); #11900=PLANE('',#335252); #11901=PLANE('',#335253); #11902=PLANE('',#335254); #11903=PLANE('',#335255); #11904=PLANE('',#335256); #11905=PLANE('',#335257); #11906=PLANE('',#335258); #11907=PLANE('',#335259); #11908=PLANE('',#335260); #11909=PLANE('',#335261); #11910=PLANE('',#335262); #11911=PLANE('',#335263); #11912=PLANE('',#335264); #11913=PLANE('',#335265); #11914=PLANE('',#335266); #11915=PLANE('',#335267); #11916=PLANE('',#335268); #11917=PLANE('',#335269); #11918=PLANE('',#335270); #11919=PLANE('',#335271); #11920=PLANE('',#335272); #11921=PLANE('',#335273); #11922=PLANE('',#335274); #11923=PLANE('',#335275); #11924=PLANE('',#335276); #11925=PLANE('',#335277); #11926=PLANE('',#335278); #11927=PLANE('',#335279); #11928=PLANE('',#335280); #11929=PLANE('',#335281); #11930=PLANE('',#335282); #11931=PLANE('',#335283); #11932=PLANE('',#335284); #11933=PLANE('',#335285); #11934=PLANE('',#335286); #11935=PLANE('',#335287); #11936=PLANE('',#335288); #11937=PLANE('',#335289); #11938=PLANE('',#335290); #11939=PLANE('',#335291); #11940=PLANE('',#335292); #11941=PLANE('',#335293); #11942=PLANE('',#335294); #11943=PLANE('',#335295); #11944=PLANE('',#335296); #11945=PLANE('',#335297); #11946=PLANE('',#335298); #11947=PLANE('',#335299); #11948=PLANE('',#335300); #11949=PLANE('',#335346); #11950=PLANE('',#335347); #11951=PLANE('',#335348); #11952=PLANE('',#335349); #11953=PLANE('',#335350); #11954=PLANE('',#335351); #11955=PLANE('',#335352); #11956=PLANE('',#335353); #11957=PLANE('',#335354); #11958=PLANE('',#335355); #11959=PLANE('',#335356); #11960=PLANE('',#335357); #11961=PLANE('',#335358); #11962=PLANE('',#335359); #11963=PLANE('',#335360); #11964=PLANE('',#335361); #11965=PLANE('',#335362); #11966=PLANE('',#335363); #11967=PLANE('',#335364); #11968=PLANE('',#335365); #11969=PLANE('',#335366); #11970=PLANE('',#335367); #11971=PLANE('',#335368); #11972=PLANE('',#335369); #11973=PLANE('',#335370); #11974=PLANE('',#335371); #11975=PLANE('',#335372); #11976=PLANE('',#335373); #11977=PLANE('',#335374); #11978=PLANE('',#335375); #11979=PLANE('',#335376); #11980=PLANE('',#335377); #11981=PLANE('',#335378); #11982=PLANE('',#335379); #11983=PLANE('',#335380); #11984=PLANE('',#335381); #11985=PLANE('',#335382); #11986=PLANE('',#335383); #11987=PLANE('',#335384); #11988=PLANE('',#335385); #11989=PLANE('',#335386); #11990=PLANE('',#335387); #11991=PLANE('',#335388); #11992=PLANE('',#335392); #11993=PLANE('',#335393); #11994=PLANE('',#335394); #11995=PLANE('',#335395); #11996=PLANE('',#335396); #11997=PLANE('',#335400); #11998=PLANE('',#335401); #11999=PLANE('',#335405); #12000=PLANE('',#335406); #12001=PLANE('',#335407); #12002=PLANE('',#335408); #12003=PLANE('',#335409); #12004=PLANE('',#335410); #12005=PLANE('',#335411); #12006=PLANE('',#335412); #12007=PLANE('',#335413); #12008=PLANE('',#335414); #12009=PLANE('',#335415); #12010=PLANE('',#335416); #12011=PLANE('',#335417); #12012=PLANE('',#335418); #12013=PLANE('',#335419); #12014=PLANE('',#335420); #12015=PLANE('',#335424); #12016=PLANE('',#335428); #12017=PLANE('',#335432); #12018=PLANE('',#335433); #12019=PLANE('',#335434); #12020=PLANE('',#335438); #12021=PLANE('',#335442); #12022=PLANE('',#335443); #12023=PLANE('',#335447); #12024=PLANE('',#335448); #12025=PLANE('',#335449); #12026=PLANE('',#335450); #12027=PLANE('',#335451); #12028=PLANE('',#335497); #12029=PLANE('',#335498); #12030=PLANE('',#335499); #12031=PLANE('',#335500); #12032=PLANE('',#335501); #12033=PLANE('',#335502); #12034=PLANE('',#335503); #12035=PLANE('',#335504); #12036=PLANE('',#335505); #12037=PLANE('',#335506); #12038=PLANE('',#335507); #12039=PLANE('',#335508); #12040=PLANE('',#335509); #12041=PLANE('',#335510); #12042=PLANE('',#335511); #12043=PLANE('',#335512); #12044=PLANE('',#335513); #12045=PLANE('',#335514); #12046=PLANE('',#335515); #12047=PLANE('',#335516); #12048=PLANE('',#335517); #12049=PLANE('',#335518); #12050=PLANE('',#335519); #12051=PLANE('',#335520); #12052=PLANE('',#335521); #12053=PLANE('',#335522); #12054=PLANE('',#335523); #12055=PLANE('',#335524); #12056=PLANE('',#335525); #12057=PLANE('',#335526); #12058=PLANE('',#335527); #12059=PLANE('',#335528); #12060=PLANE('',#335529); #12061=PLANE('',#335530); #12062=PLANE('',#335531); #12063=PLANE('',#335532); #12064=PLANE('',#335533); #12065=PLANE('',#335534); #12066=PLANE('',#335535); #12067=PLANE('',#335536); #12068=PLANE('',#335537); #12069=PLANE('',#335538); #12070=PLANE('',#335539); #12071=PLANE('',#335540); #12072=PLANE('',#335541); #12073=PLANE('',#335542); #12074=PLANE('',#335543); #12075=PLANE('',#335544); #12076=PLANE('',#335545); #12077=PLANE('',#335546); #12078=PLANE('',#335547); #12079=PLANE('',#335551); #12080=PLANE('',#335552); #12081=PLANE('',#335553); #12082=PLANE('',#335554); #12083=PLANE('',#335555); #12084=PLANE('',#335556); #12085=PLANE('',#335560); #12086=PLANE('',#335561); #12087=PLANE('',#335565); #12088=PLANE('',#335569); #12089=PLANE('',#335570); #12090=PLANE('',#335571); #12091=PLANE('',#335575); #12092=PLANE('',#335579); #12093=PLANE('',#335583); #12094=PLANE('',#335584); #12095=PLANE('',#335585); #12096=PLANE('',#335586); #12097=PLANE('',#335587); #12098=PLANE('',#335588); #12099=PLANE('',#335589); #12100=PLANE('',#335590); #12101=PLANE('',#335591); #12102=PLANE('',#335592); #12103=PLANE('',#335593); #12104=PLANE('',#335594); #12105=PLANE('',#335595); #12106=PLANE('',#335596); #12107=PLANE('',#335597); #12108=PLANE('',#335598); #12109=PLANE('',#335602); #12110=PLANE('',#335603); #12111=PLANE('',#335607); #12112=PLANE('',#335608); #12113=PLANE('',#335609); #12114=PLANE('',#335610); #12115=PLANE('',#335704); #12116=PLANE('',#335708); #12117=PLANE('',#335709); #12118=PLANE('',#335710); #12119=PLANE('',#335714); #12120=PLANE('',#335718); #12121=PLANE('',#335719); #12122=PLANE('',#335720); #12123=PLANE('',#335721); #12124=PLANE('',#335722); #12125=PLANE('',#335723); #12126=PLANE('',#335724); #12127=PLANE('',#335725); #12128=PLANE('',#335726); #12129=PLANE('',#335727); #12130=PLANE('',#335728); #12131=PLANE('',#335729); #12132=PLANE('',#335730); #12133=PLANE('',#335731); #12134=PLANE('',#335732); #12135=PLANE('',#335733); #12136=PLANE('',#335734); #12137=PLANE('',#335735); #12138=PLANE('',#335736); #12139=PLANE('',#335737); #12140=PLANE('',#335738); #12141=PLANE('',#335739); #12142=PLANE('',#335740); #12143=PLANE('',#335741); #12144=PLANE('',#335742); #12145=PLANE('',#335743); #12146=PLANE('',#335744); #12147=PLANE('',#335745); #12148=PLANE('',#335746); #12149=PLANE('',#335747); #12150=PLANE('',#335748); #12151=PLANE('',#335749); #12152=PLANE('',#335750); #12153=PLANE('',#335751); #12154=PLANE('',#335752); #12155=PLANE('',#335753); #12156=PLANE('',#335754); #12157=PLANE('',#335755); #12158=PLANE('',#335756); #12159=PLANE('',#335757); #12160=PLANE('',#335758); #12161=PLANE('',#335759); #12162=PLANE('',#335760); #12163=PLANE('',#335761); #12164=PLANE('',#335762); #12165=PLANE('',#335763); #12166=PLANE('',#335764); #12167=PLANE('',#335765); #12168=PLANE('',#335766); #12169=PLANE('',#335767); #12170=PLANE('',#335768); #12171=PLANE('',#335769); #12172=PLANE('',#335770); #12173=PLANE('',#335771); #12174=PLANE('',#335772); #12175=PLANE('',#335773); #12176=PLANE('',#335774); #12177=PLANE('',#335775); #12178=PLANE('',#335776); #12179=PLANE('',#335777); #12180=PLANE('',#335778); #12181=PLANE('',#335779); #12182=PLANE('',#335780); #12183=PLANE('',#335781); #12184=PLANE('',#335782); #12185=PLANE('',#335783); #12186=PLANE('',#335784); #12187=PLANE('',#335785); #12188=PLANE('',#335786); #12189=PLANE('',#335787); #12190=PLANE('',#335788); #12191=PLANE('',#335789); #12192=PLANE('',#335793); #12193=PLANE('',#335797); #12194=PLANE('',#335801); #12195=PLANE('',#335802); #12196=PLANE('',#335806); #12197=PLANE('',#335807); #12198=PLANE('',#335808); #12199=PLANE('',#335809); #12200=PLANE('',#335810); #12201=PLANE('',#335811); #12202=PLANE('',#335815); #12203=PLANE('',#335816); #12204=PLANE('',#335820); #12205=PLANE('',#335827); #12206=PLANE('',#335828); #12207=PLANE('',#335832); #12208=PLANE('',#335833); #12209=PLANE('',#335837); #12210=PLANE('',#335838); #12211=PLANE('',#335839); #12212=PLANE('',#335840); #12213=PLANE('',#335874); #12214=PLANE('',#335875); #12215=PLANE('',#335876); #12216=PLANE('',#335877); #12217=PLANE('',#335878); #12218=PLANE('',#335879); #12219=PLANE('',#335880); #12220=PLANE('',#335881); #12221=PLANE('',#335882); #12222=PLANE('',#335883); #12223=PLANE('',#335884); #12224=PLANE('',#335885); #12225=PLANE('',#335886); #12226=PLANE('',#335887); #12227=PLANE('',#335888); #12228=PLANE('',#335889); #12229=PLANE('',#335890); #12230=PLANE('',#335891); #12231=PLANE('',#335892); #12232=PLANE('',#335893); #12233=PLANE('',#335894); #12234=PLANE('',#335895); #12235=PLANE('',#335896); #12236=PLANE('',#335897); #12237=PLANE('',#335901); #12238=PLANE('',#335902); #12239=PLANE('',#335906); #12240=PLANE('',#335907); #12241=PLANE('',#335908); #12242=PLANE('',#335909); #12243=PLANE('',#335910); #12244=PLANE('',#335911); #12245=PLANE('',#335912); #12246=PLANE('',#335913); #12247=PLANE('',#335917); #12248=PLANE('',#335921); #12249=PLANE('',#335922); #12250=PLANE('',#335923); #12251=PLANE('',#335924); #12252=PLANE('',#335925); #12253=PLANE('',#335926); #12254=PLANE('',#335927); #12255=PLANE('',#335928); #12256=PLANE('',#335929); #12257=PLANE('',#335930); #12258=PLANE('',#335931); #12259=PLANE('',#335932); #12260=PLANE('',#335933); #12261=PLANE('',#335934); #12262=PLANE('',#335935); #12263=PLANE('',#335939); #12264=PLANE('',#335943); #12265=PLANE('',#335944); #12266=PLANE('',#335945); #12267=PLANE('',#335946); #12268=PLANE('',#335947); #12269=PLANE('',#335948); #12270=PLANE('',#335949); #12271=PLANE('',#335950); #12272=PLANE('',#335951); #12273=PLANE('',#335952); #12274=PLANE('',#335953); #12275=PLANE('',#335954); #12276=PLANE('',#335955); #12277=PLANE('',#335956); #12278=PLANE('',#335957); #12279=PLANE('',#335958); #12280=PLANE('',#335959); #12281=PLANE('',#335960); #12282=PLANE('',#335961); #12283=PLANE('',#335962); #12284=PLANE('',#335963); #12285=PLANE('',#335964); #12286=PLANE('',#335965); #12287=PLANE('',#335966); #12288=PLANE('',#335967); #12289=PLANE('',#335968); #12290=PLANE('',#335969); #12291=PLANE('',#335970); #12292=PLANE('',#335971); #12293=PLANE('',#335972); #12294=PLANE('',#335973); #12295=PLANE('',#335974); #12296=PLANE('',#335975); #12297=PLANE('',#335976); #12298=PLANE('',#335977); #12299=PLANE('',#335978); #12300=PLANE('',#335979); #12301=PLANE('',#335980); #12302=PLANE('',#335981); #12303=PLANE('',#335982); #12304=PLANE('',#335983); #12305=PLANE('',#335984); #12306=PLANE('',#335985); #12307=PLANE('',#335986); #12308=PLANE('',#335987); #12309=PLANE('',#335988); #12310=PLANE('',#335989); #12311=PLANE('',#335990); #12312=PLANE('',#335991); #12313=PLANE('',#335992); #12314=PLANE('',#335993); #12315=PLANE('',#335994); #12316=PLANE('',#335995); #12317=PLANE('',#335996); #12318=PLANE('',#335997); #12319=PLANE('',#335998); #12320=PLANE('',#335999); #12321=PLANE('',#336000); #12322=PLANE('',#336001); #12323=PLANE('',#336002); #12324=PLANE('',#336003); #12325=PLANE('',#336004); #12326=PLANE('',#336005); #12327=PLANE('',#336006); #12328=PLANE('',#336007); #12329=PLANE('',#336008); #12330=PLANE('',#336009); #12331=PLANE('',#336010); #12332=PLANE('',#336011); #12333=PLANE('',#336105); #12334=PLANE('',#336109); #12335=PLANE('',#336113); #12336=PLANE('',#336117); #12337=PLANE('',#336118); #12338=PLANE('',#336119); #12339=PLANE('',#336120); #12340=PLANE('',#336121); #12341=PLANE('',#336122); #12342=PLANE('',#336123); #12343=PLANE('',#336124); #12344=PLANE('',#336125); #12345=PLANE('',#336126); #12346=PLANE('',#336127); #12347=PLANE('',#336128); #12348=PLANE('',#336129); #12349=PLANE('',#336130); #12350=PLANE('',#336131); #12351=PLANE('',#336132); #12352=PLANE('',#336133); #12353=PLANE('',#336134); #12354=PLANE('',#336135); #12355=PLANE('',#336136); #12356=PLANE('',#336137); #12357=PLANE('',#336138); #12358=PLANE('',#336139); #12359=PLANE('',#336140); #12360=PLANE('',#336141); #12361=PLANE('',#336142); #12362=PLANE('',#336143); #12363=PLANE('',#336144); #12364=PLANE('',#336145); #12365=PLANE('',#336146); #12366=PLANE('',#336147); #12367=PLANE('',#336148); #12368=PLANE('',#336149); #12369=PLANE('',#336150); #12370=PLANE('',#336151); #12371=PLANE('',#336152); #12372=PLANE('',#336153); #12373=PLANE('',#336154); #12374=PLANE('',#336155); #12375=PLANE('',#336156); #12376=PLANE('',#336157); #12377=PLANE('',#336158); #12378=PLANE('',#336159); #12379=PLANE('',#336160); #12380=PLANE('',#336161); #12381=PLANE('',#336162); #12382=PLANE('',#336163); #12383=PLANE('',#336164); #12384=PLANE('',#336165); #12385=PLANE('',#336166); #12386=PLANE('',#336167); #12387=PLANE('',#336168); #12388=PLANE('',#336169); #12389=PLANE('',#336170); #12390=PLANE('',#336171); #12391=PLANE('',#336172); #12392=PLANE('',#336173); #12393=PLANE('',#336174); #12394=PLANE('',#336175); #12395=PLANE('',#336176); #12396=PLANE('',#336177); #12397=PLANE('',#336178); #12398=PLANE('',#336179); #12399=PLANE('',#336180); #12400=PLANE('',#336181); #12401=PLANE('',#336182); #12402=PLANE('',#336183); #12403=PLANE('',#336187); #12404=PLANE('',#336191); #12405=PLANE('',#336192); #12406=PLANE('',#336193); #12407=PLANE('',#336197); #12408=PLANE('',#336198); #12409=PLANE('',#336202); #12410=PLANE('',#336203); #12411=PLANE('',#336204); #12412=PLANE('',#336205); #12413=PLANE('',#336206); #12414=PLANE('',#336210); #12415=PLANE('',#336211); #12416=PLANE('',#336215); #12417=PLANE('',#336216); #12418=PLANE('',#336223); #12419=PLANE('',#336227); #12420=PLANE('',#336228); #12421=PLANE('',#336232); #12422=PLANE('',#336233); #12423=PLANE('',#336234); #12424=PLANE('',#336235); #12425=PLANE('',#336236); #12426=PLANE('',#336285); #12427=PLANE('',#336286); #12428=PLANE('',#336287); #12429=PLANE('',#336288); #12430=PLANE('',#336289); #12431=PLANE('',#336290); #12432=PLANE('',#336291); #12433=PLANE('',#336292); #12434=PLANE('',#336293); #12435=PLANE('',#336294); #12436=PLANE('',#336295); #12437=PLANE('',#336296); #12438=PLANE('',#336297); #12439=PLANE('',#336298); #12440=PLANE('',#336299); #12441=PLANE('',#336300); #12442=PLANE('',#336301); #12443=PLANE('',#336302); #12444=PLANE('',#336303); #12445=PLANE('',#336304); #12446=PLANE('',#336305); #12447=PLANE('',#336306); #12448=PLANE('',#336307); #12449=PLANE('',#336308); #12450=PLANE('',#336309); #12451=PLANE('',#336310); #12452=PLANE('',#336311); #12453=PLANE('',#336312); #12454=PLANE('',#336313); #12455=PLANE('',#336314); #12456=PLANE('',#336315); #12457=PLANE('',#336316); #12458=PLANE('',#336317); #12459=PLANE('',#336318); #12460=PLANE('',#336319); #12461=PLANE('',#336320); #12462=PLANE('',#336321); #12463=PLANE('',#336322); #12464=PLANE('',#336323); #12465=PLANE('',#336324); #12466=PLANE('',#336325); #12467=PLANE('',#336326); #12468=PLANE('',#336327); #12469=PLANE('',#336328); #12470=PLANE('',#336329); #12471=PLANE('',#336330); #12472=PLANE('',#336331); #12473=PLANE('',#336332); #12474=PLANE('',#336333); #12475=PLANE('',#336334); #12476=PLANE('',#336335); #12477=PLANE('',#336336); #12478=PLANE('',#336337); #12479=PLANE('',#336338); #12480=PLANE('',#336339); #12481=PLANE('',#336340); #12482=PLANE('',#336341); #12483=PLANE('',#336342); #12484=PLANE('',#336343); #12485=PLANE('',#336344); #12486=PLANE('',#336345); #12487=PLANE('',#336346); #12488=PLANE('',#336347); #12489=PLANE('',#336348); #12490=PLANE('',#336349); #12491=PLANE('',#336350); #12492=PLANE('',#336351); #12493=PLANE('',#336352); #12494=PLANE('',#336353); #12495=PLANE('',#336354); #12496=PLANE('',#336355); #12497=PLANE('',#336356); #12498=PLANE('',#336357); #12499=PLANE('',#336358); #12500=PLANE('',#336359); #12501=PLANE('',#336360); #12502=PLANE('',#336361); #12503=PLANE('',#336362); #12504=PLANE('',#336363); #12505=PLANE('',#336364); #12506=PLANE('',#336365); #12507=PLANE('',#336366); #12508=PLANE('',#336367); #12509=PLANE('',#336368); #12510=PLANE('',#336369); #12511=PLANE('',#336370); #12512=PLANE('',#336371); #12513=PLANE('',#336372); #12514=PLANE('',#336373); #12515=PLANE('',#336374); #12516=PLANE('',#336375); #12517=PLANE('',#336376); #12518=PLANE('',#336377); #12519=PLANE('',#336378); #12520=PLANE('',#336379); #12521=PLANE('',#336380); #12522=PLANE('',#336381); #12523=PLANE('',#336382); #12524=PLANE('',#336383); #12525=PLANE('',#336384); #12526=PLANE('',#336385); #12527=PLANE('',#336386); #12528=PLANE('',#336387); #12529=PLANE('',#336388); #12530=PLANE('',#336389); #12531=PLANE('',#336390); #12532=PLANE('',#336391); #12533=PLANE('',#336392); #12534=PLANE('',#336393); #12535=PLANE('',#336394); #12536=PLANE('',#336395); #12537=PLANE('',#336396); #12538=PLANE('',#336397); #12539=PLANE('',#336398); #12540=PLANE('',#336399); #12541=PLANE('',#336400); #12542=PLANE('',#336401); #12543=PLANE('',#336402); #12544=PLANE('',#336403); #12545=PLANE('',#336404); #12546=PLANE('',#336405); #12547=PLANE('',#336406); #12548=PLANE('',#336407); #12549=PLANE('',#336408); #12550=PLANE('',#336409); #12551=PLANE('',#336410); #12552=PLANE('',#336411); #12553=PLANE('',#336412); #12554=PLANE('',#336413); #12555=PLANE('',#336414); #12556=PLANE('',#336415); #12557=PLANE('',#336416); #12558=PLANE('',#336417); #12559=PLANE('',#336418); #12560=PLANE('',#336419); #12561=PLANE('',#336420); #12562=PLANE('',#336421); #12563=PLANE('',#336422); #12564=PLANE('',#336423); #12565=PLANE('',#336424); #12566=PLANE('',#336425); #12567=PLANE('',#336426); #12568=PLANE('',#336427); #12569=PLANE('',#336428); #12570=PLANE('',#336429); #12571=PLANE('',#336430); #12572=PLANE('',#336431); #12573=PLANE('',#336432); #12574=PLANE('',#336433); #12575=PLANE('',#336434); #12576=PLANE('',#336435); #12577=PLANE('',#336436); #12578=PLANE('',#336437); #12579=PLANE('',#336438); #12580=PLANE('',#336439); #12581=PLANE('',#336440); #12582=PLANE('',#336441); #12583=PLANE('',#336442); #12584=PLANE('',#336443); #12585=PLANE('',#336444); #12586=PLANE('',#336445); #12587=PLANE('',#336446); #12588=PLANE('',#336447); #12589=PLANE('',#336448); #12590=PLANE('',#336449); #12591=PLANE('',#336450); #12592=PLANE('',#336451); #12593=PLANE('',#336452); #12594=PLANE('',#336453); #12595=PLANE('',#336454); #12596=PLANE('',#336455); #12597=PLANE('',#336456); #12598=PLANE('',#336457); #12599=PLANE('',#336458); #12600=PLANE('',#336459); #12601=PLANE('',#336460); #12602=PLANE('',#336461); #12603=PLANE('',#336462); #12604=PLANE('',#336463); #12605=PLANE('',#336464); #12606=PLANE('',#336465); #12607=PLANE('',#336466); #12608=PLANE('',#336467); #12609=PLANE('',#336468); #12610=PLANE('',#336469); #12611=PLANE('',#336470); #12612=PLANE('',#336471); #12613=PLANE('',#336472); #12614=PLANE('',#336473); #12615=PLANE('',#336474); #12616=PLANE('',#336475); #12617=PLANE('',#336476); #12618=PLANE('',#336477); #12619=PLANE('',#336478); #12620=PLANE('',#336479); #12621=PLANE('',#336480); #12622=PLANE('',#336481); #12623=PLANE('',#336482); #12624=PLANE('',#336483); #12625=PLANE('',#336484); #12626=PLANE('',#336485); #12627=PLANE('',#336486); #12628=PLANE('',#336487); #12629=PLANE('',#336488); #12630=PLANE('',#336489); #12631=PLANE('',#336490); #12632=PLANE('',#336491); #12633=PLANE('',#336492); #12634=PLANE('',#336493); #12635=PLANE('',#336494); #12636=PLANE('',#336495); #12637=PLANE('',#336496); #12638=PLANE('',#336497); #12639=PLANE('',#336498); #12640=PLANE('',#336499); #12641=PLANE('',#336500); #12642=PLANE('',#336501); #12643=PLANE('',#336502); #12644=PLANE('',#336503); #12645=PLANE('',#336504); #12646=PLANE('',#336505); #12647=PLANE('',#336506); #12648=PLANE('',#336507); #12649=PLANE('',#336511); #12650=PLANE('',#336515); #12651=PLANE('',#336516); #12652=PLANE('',#336517); #12653=PLANE('',#336518); #12654=PLANE('',#336519); #12655=PLANE('',#336520); #12656=PLANE('',#336521); #12657=PLANE('',#336522); #12658=PLANE('',#336526); #12659=PLANE('',#336527); #12660=PLANE('',#336531); #12661=PLANE('',#336532); #12662=PLANE('',#336533); #12663=PLANE('',#336534); #12664=PLANE('',#336535); #12665=PLANE('',#336536); #12666=PLANE('',#336537); #12667=PLANE('',#336538); #12668=PLANE('',#336539); #12669=PLANE('',#336540); #12670=PLANE('',#336541); #12671=PLANE('',#336542); #12672=PLANE('',#336543); #12673=PLANE('',#336544); #12674=PLANE('',#336545); #12675=PLANE('',#336546); #12676=PLANE('',#336547); #12677=PLANE('',#336548); #12678=PLANE('',#336549); #12679=PLANE('',#336550); #12680=PLANE('',#336551); #12681=PLANE('',#336552); #12682=PLANE('',#336553); #12683=PLANE('',#336554); #12684=PLANE('',#336555); #12685=PLANE('',#336556); #12686=PLANE('',#336557); #12687=PLANE('',#336558); #12688=PLANE('',#336559); #12689=PLANE('',#336560); #12690=PLANE('',#336561); #12691=PLANE('',#336562); #12692=PLANE('',#336563); #12693=PLANE('',#336564); #12694=PLANE('',#336565); #12695=PLANE('',#336566); #12696=PLANE('',#336567); #12697=PLANE('',#336568); #12698=PLANE('',#336569); #12699=PLANE('',#336570); #12700=PLANE('',#336571); #12701=PLANE('',#336572); #12702=PLANE('',#336573); #12703=PLANE('',#336574); #12704=PLANE('',#336575); #12705=PLANE('',#336576); #12706=PLANE('',#336577); #12707=PLANE('',#336578); #12708=PLANE('',#336579); #12709=PLANE('',#336580); #12710=PLANE('',#336581); #12711=PLANE('',#336582); #12712=PLANE('',#336583); #12713=PLANE('',#336584); #12714=PLANE('',#336585); #12715=PLANE('',#336586); #12716=PLANE('',#336587); #12717=PLANE('',#336588); #12718=PLANE('',#336589); #12719=PLANE('',#336590); #12720=PLANE('',#336591); #12721=PLANE('',#336592); #12722=PLANE('',#336593); #12723=PLANE('',#336594); #12724=PLANE('',#336595); #12725=PLANE('',#336596); #12726=PLANE('',#336597); #12727=PLANE('',#336598); #12728=PLANE('',#336599); #12729=PLANE('',#336600); #12730=PLANE('',#336601); #12731=PLANE('',#336602); #12732=PLANE('',#336603); #12733=PLANE('',#336604); #12734=PLANE('',#336605); #12735=PLANE('',#336606); #12736=PLANE('',#336607); #12737=PLANE('',#336608); #12738=PLANE('',#336609); #12739=PLANE('',#336610); #12740=PLANE('',#336611); #12741=PLANE('',#336612); #12742=PLANE('',#336613); #12743=PLANE('',#336614); #12744=PLANE('',#336615); #12745=PLANE('',#336616); #12746=PLANE('',#336617); #12747=PLANE('',#336618); #12748=PLANE('',#336619); #12749=PLANE('',#336620); #12750=PLANE('',#336621); #12751=PLANE('',#336622); #12752=PLANE('',#336623); #12753=PLANE('',#336624); #12754=PLANE('',#336625); #12755=PLANE('',#336626); #12756=PLANE('',#336627); #12757=PLANE('',#336628); #12758=PLANE('',#336629); #12759=PLANE('',#336630); #12760=PLANE('',#336631); #12761=PLANE('',#336632); #12762=PLANE('',#336633); #12763=PLANE('',#336634); #12764=PLANE('',#336635); #12765=PLANE('',#336636); #12766=PLANE('',#336637); #12767=PLANE('',#336638); #12768=PLANE('',#336639); #12769=PLANE('',#336640); #12770=PLANE('',#336641); #12771=PLANE('',#336642); #12772=PLANE('',#336643); #12773=PLANE('',#336644); #12774=PLANE('',#336645); #12775=PLANE('',#336646); #12776=PLANE('',#336647); #12777=PLANE('',#336648); #12778=PLANE('',#336649); #12779=PLANE('',#336650); #12780=PLANE('',#336651); #12781=PLANE('',#336652); #12782=PLANE('',#336653); #12783=PLANE('',#336654); #12784=PLANE('',#336655); #12785=PLANE('',#336656); #12786=PLANE('',#336657); #12787=PLANE('',#336658); #12788=PLANE('',#336659); #12789=PLANE('',#336660); #12790=PLANE('',#336661); #12791=PLANE('',#336662); #12792=PLANE('',#336663); #12793=PLANE('',#336664); #12794=PLANE('',#336665); #12795=PLANE('',#336666); #12796=PLANE('',#336667); #12797=PLANE('',#336668); #12798=PLANE('',#336669); #12799=PLANE('',#336670); #12800=PLANE('',#336671); #12801=PLANE('',#336672); #12802=PLANE('',#336673); #12803=PLANE('',#336674); #12804=PLANE('',#336675); #12805=PLANE('',#336676); #12806=PLANE('',#336677); #12807=PLANE('',#336678); #12808=PLANE('',#336679); #12809=PLANE('',#336680); #12810=PLANE('',#336681); #12811=PLANE('',#336682); #12812=PLANE('',#336683); #12813=PLANE('',#336684); #12814=PLANE('',#336685); #12815=PLANE('',#336686); #12816=PLANE('',#336687); #12817=PLANE('',#336688); #12818=PLANE('',#336689); #12819=PLANE('',#336690); #12820=PLANE('',#336691); #12821=PLANE('',#336692); #12822=PLANE('',#336693); #12823=PLANE('',#336694); #12824=PLANE('',#336695); #12825=PLANE('',#336696); #12826=PLANE('',#336697); #12827=PLANE('',#336698); #12828=PLANE('',#336699); #12829=PLANE('',#336700); #12830=PLANE('',#336701); #12831=PLANE('',#336702); #12832=PLANE('',#336703); #12833=PLANE('',#336704); #12834=PLANE('',#336705); #12835=PLANE('',#336706); #12836=PLANE('',#336707); #12837=PLANE('',#336708); #12838=PLANE('',#336709); #12839=PLANE('',#336710); #12840=PLANE('',#336711); #12841=PLANE('',#336712); #12842=PLANE('',#336713); #12843=PLANE('',#336714); #12844=PLANE('',#336715); #12845=PLANE('',#336716); #12846=PLANE('',#336717); #12847=PLANE('',#336718); #12848=PLANE('',#336719); #12849=PLANE('',#336720); #12850=PLANE('',#336721); #12851=PLANE('',#336722); #12852=PLANE('',#336723); #12853=PLANE('',#336724); #12854=PLANE('',#336725); #12855=PLANE('',#336726); #12856=PLANE('',#336727); #12857=PLANE('',#336728); #12858=PLANE('',#336729); #12859=PLANE('',#336730); #12860=PLANE('',#336731); #12861=PLANE('',#336732); #12862=PLANE('',#336733); #12863=PLANE('',#336734); #12864=PLANE('',#336735); #12865=PLANE('',#336736); #12866=PLANE('',#336737); #12867=PLANE('',#336738); #12868=PLANE('',#336739); #12869=PLANE('',#336740); #12870=PLANE('',#336741); #12871=PLANE('',#336742); #12872=PLANE('',#336743); #12873=PLANE('',#336744); #12874=PLANE('',#336745); #12875=PLANE('',#336746); #12876=PLANE('',#336747); #12877=PLANE('',#336748); #12878=PLANE('',#336749); #12879=PLANE('',#336750); #12880=PLANE('',#336751); #12881=PLANE('',#336752); #12882=PLANE('',#336756); #12883=PLANE('',#336763); #12884=PLANE('',#336764); #12885=PLANE('',#336768); #12886=PLANE('',#336775); #12887=PLANE('',#336776); #12888=PLANE('',#336780); #12889=PLANE('',#336787); #12890=PLANE('',#336788); #12891=PLANE('',#336792); #12892=PLANE('',#336799); #12893=PLANE('',#336800); #12894=PLANE('',#336804); #12895=PLANE('',#336805); #12896=PLANE('',#336806); #12897=PLANE('',#336807); #12898=PLANE('',#336808); #12899=PLANE('',#336809); #12900=PLANE('',#336813); #12901=PLANE('',#336820); #12902=PLANE('',#336821); #12903=PLANE('',#336825); #12904=PLANE('',#336832); #12905=PLANE('',#336833); #12906=PLANE('',#336837); #12907=PLANE('',#336844); #12908=PLANE('',#336845); #12909=PLANE('',#336849); #12910=PLANE('',#336856); #12911=PLANE('',#336857); #12912=PLANE('',#336858); #12913=PLANE('',#336862); #12914=PLANE('',#336866); #12915=PLANE('',#336867); #12916=PLANE('',#336868); #12917=PLANE('',#336869); #12918=PLANE('',#336870); #12919=PLANE('',#336871); #12920=PLANE('',#336872); #12921=PLANE('',#336873); #12922=PLANE('',#336874); #12923=PLANE('',#336875); #12924=PLANE('',#336876); #12925=PLANE('',#336877); #12926=PLANE('',#336878); #12927=PLANE('',#336879); #12928=PLANE('',#336880); #12929=PLANE('',#336881); #12930=PLANE('',#336882); #12931=PLANE('',#336883); #12932=PLANE('',#336884); #12933=PLANE('',#336885); #12934=PLANE('',#336886); #12935=PLANE('',#336887); #12936=PLANE('',#336888); #12937=PLANE('',#336889); #12938=PLANE('',#336890); #12939=PLANE('',#336891); #12940=PLANE('',#336892); #12941=PLANE('',#336893); #12942=PLANE('',#336894); #12943=PLANE('',#336895); #12944=PLANE('',#336896); #12945=PLANE('',#336897); #12946=PLANE('',#336898); #12947=PLANE('',#336899); #12948=PLANE('',#336900); #12949=PLANE('',#336901); #12950=PLANE('',#336902); #12951=PLANE('',#336903); #12952=PLANE('',#336904); #12953=PLANE('',#336905); #12954=PLANE('',#336906); #12955=PLANE('',#336907); #12956=PLANE('',#336908); #12957=PLANE('',#336909); #12958=PLANE('',#336910); #12959=PLANE('',#336911); #12960=PLANE('',#336912); #12961=PLANE('',#336913); #12962=PLANE('',#336914); #12963=PLANE('',#336915); #12964=PLANE('',#336916); #12965=PLANE('',#336917); #12966=PLANE('',#336918); #12967=PLANE('',#336919); #12968=PLANE('',#336920); #12969=PLANE('',#336921); #12970=PLANE('',#336922); #12971=PLANE('',#336923); #12972=PLANE('',#336924); #12973=PLANE('',#336928); #12974=PLANE('',#336932); #12975=PLANE('',#336933); #12976=PLANE('',#336934); #12977=PLANE('',#336935); #12978=PLANE('',#336936); #12979=PLANE('',#336937); #12980=PLANE('',#336938); #12981=PLANE('',#336939); #12982=PLANE('',#336940); #12983=PLANE('',#336941); #12984=PLANE('',#336942); #12985=PLANE('',#336943); #12986=PLANE('',#336944); #12987=PLANE('',#336945); #12988=PLANE('',#336946); #12989=PLANE('',#336947); #12990=PLANE('',#336948); #12991=PLANE('',#336949); #12992=PLANE('',#336950); #12993=PLANE('',#336951); #12994=PLANE('',#336952); #12995=PLANE('',#336953); #12996=PLANE('',#336954); #12997=PLANE('',#336955); #12998=PLANE('',#336956); #12999=PLANE('',#336957); #13000=PLANE('',#336958); #13001=PLANE('',#336959); #13002=PLANE('',#336960); #13003=PLANE('',#336961); #13004=PLANE('',#336962); #13005=PLANE('',#336963); #13006=PLANE('',#336964); #13007=PLANE('',#336965); #13008=PLANE('',#336966); #13009=PLANE('',#336967); #13010=PLANE('',#336968); #13011=PLANE('',#336969); #13012=PLANE('',#336970); #13013=PLANE('',#336971); #13014=PLANE('',#336972); #13015=PLANE('',#336973); #13016=PLANE('',#336974); #13017=PLANE('',#336975); #13018=PLANE('',#336976); #13019=PLANE('',#336977); #13020=PLANE('',#336978); #13021=PLANE('',#336979); #13022=PLANE('',#336980); #13023=PLANE('',#336981); #13024=PLANE('',#336982); #13025=PLANE('',#336983); #13026=PLANE('',#336984); #13027=PLANE('',#336985); #13028=PLANE('',#336986); #13029=PLANE('',#336987); #13030=PLANE('',#336988); #13031=PLANE('',#336989); #13032=PLANE('',#336990); #13033=PLANE('',#336991); #13034=PLANE('',#336992); #13035=PLANE('',#336993); #13036=PLANE('',#336994); #13037=PLANE('',#336995); #13038=PLANE('',#336996); #13039=PLANE('',#336997); #13040=PLANE('',#336998); #13041=PLANE('',#336999); #13042=PLANE('',#337000); #13043=PLANE('',#337001); #13044=PLANE('',#337002); #13045=PLANE('',#337003); #13046=PLANE('',#337004); #13047=PLANE('',#337005); #13048=PLANE('',#337006); #13049=PLANE('',#337010); #13050=PLANE('',#337014); #13051=PLANE('',#337015); #13052=PLANE('',#337016); #13053=PLANE('',#337017); #13054=PLANE('',#337018); #13055=PLANE('',#337019); #13056=PLANE('',#337020); #13057=PLANE('',#337021); #13058=PLANE('',#337022); #13059=PLANE('',#337023); #13060=PLANE('',#337024); #13061=PLANE('',#337025); #13062=PLANE('',#337026); #13063=PLANE('',#337027); #13064=PLANE('',#337028); #13065=PLANE('',#337029); #13066=PLANE('',#337030); #13067=PLANE('',#337031); #13068=PLANE('',#337032); #13069=PLANE('',#337033); #13070=PLANE('',#337034); #13071=PLANE('',#337035); #13072=PLANE('',#337036); #13073=PLANE('',#337037); #13074=PLANE('',#337038); #13075=PLANE('',#337039); #13076=PLANE('',#337040); #13077=PLANE('',#337041); #13078=PLANE('',#337042); #13079=PLANE('',#337043); #13080=PLANE('',#337044); #13081=PLANE('',#337045); #13082=PLANE('',#337046); #13083=PLANE('',#337047); #13084=PLANE('',#337048); #13085=PLANE('',#337049); #13086=PLANE('',#337050); #13087=PLANE('',#337051); #13088=PLANE('',#337052); #13089=PLANE('',#337053); #13090=PLANE('',#337054); #13091=PLANE('',#337055); #13092=PLANE('',#337056); #13093=PLANE('',#337057); #13094=PLANE('',#337058); #13095=PLANE('',#337059); #13096=PLANE('',#337060); #13097=PLANE('',#337061); #13098=PLANE('',#337062); #13099=PLANE('',#337063); #13100=PLANE('',#337064); #13101=PLANE('',#337065); #13102=PLANE('',#337066); #13103=PLANE('',#337067); #13104=PLANE('',#337068); #13105=PLANE('',#337069); #13106=PLANE('',#337070); #13107=PLANE('',#337071); #13108=PLANE('',#337075); #13109=PLANE('',#337076); #13110=PLANE('',#337077); #13111=PLANE('',#337078); #13112=PLANE('',#337079); #13113=PLANE('',#337080); #13114=PLANE('',#337081); #13115=PLANE('',#337085); #13116=PLANE('',#337089); #13117=PLANE('',#337090); #13118=PLANE('',#337091); #13119=PLANE('',#337092); #13120=PLANE('',#337093); #13121=PLANE('',#337094); #13122=PLANE('',#337095); #13123=PLANE('',#337096); #13124=PLANE('',#337097); #13125=PLANE('',#337098); #13126=PLANE('',#337099); #13127=PLANE('',#337100); #13128=PLANE('',#337101); #13129=PLANE('',#337102); #13130=PLANE('',#337103); #13131=PLANE('',#337104); #13132=PLANE('',#337105); #13133=PLANE('',#337106); #13134=PLANE('',#337107); #13135=PLANE('',#337108); #13136=PLANE('',#337109); #13137=PLANE('',#337110); #13138=PLANE('',#337111); #13139=PLANE('',#337112); #13140=PLANE('',#337113); #13141=PLANE('',#337114); #13142=PLANE('',#337115); #13143=PLANE('',#337116); #13144=PLANE('',#337117); #13145=PLANE('',#337118); #13146=PLANE('',#337119); #13147=PLANE('',#337120); #13148=PLANE('',#337121); #13149=PLANE('',#337122); #13150=PLANE('',#337123); #13151=PLANE('',#337124); #13152=PLANE('',#337125); #13153=PLANE('',#337126); #13154=PLANE('',#337127); #13155=PLANE('',#337128); #13156=PLANE('',#337129); #13157=PLANE('',#337130); #13158=PLANE('',#337131); #13159=PLANE('',#337132); #13160=PLANE('',#337133); #13161=PLANE('',#337134); #13162=PLANE('',#337135); #13163=PLANE('',#337136); #13164=PLANE('',#337137); #13165=PLANE('',#337138); #13166=PLANE('',#337139); #13167=PLANE('',#337140); #13168=PLANE('',#337141); #13169=PLANE('',#337142); #13170=PLANE('',#337143); #13171=PLANE('',#337144); #13172=PLANE('',#337145); #13173=PLANE('',#337146); #13174=PLANE('',#337147); #13175=PLANE('',#337151); #13176=PLANE('',#337155); #13177=PLANE('',#337156); #13178=PLANE('',#337157); #13179=PLANE('',#337158); #13180=PLANE('',#337159); #13181=PLANE('',#337160); #13182=PLANE('',#337161); #13183=PLANE('',#337162); #13184=PLANE('',#337163); #13185=PLANE('',#337164); #13186=PLANE('',#337165); #13187=PLANE('',#337166); #13188=PLANE('',#337167); #13189=PLANE('',#337168); #13190=PLANE('',#337169); #13191=PLANE('',#337170); #13192=PLANE('',#337171); #13193=PLANE('',#337172); #13194=PLANE('',#337173); #13195=PLANE('',#337174); #13196=PLANE('',#337175); #13197=PLANE('',#337176); #13198=PLANE('',#337177); #13199=PLANE('',#337178); #13200=PLANE('',#337179); #13201=PLANE('',#337180); #13202=PLANE('',#337181); #13203=PLANE('',#337182); #13204=PLANE('',#337183); #13205=PLANE('',#337184); #13206=PLANE('',#337185); #13207=PLANE('',#337186); #13208=PLANE('',#337187); #13209=PLANE('',#337188); #13210=PLANE('',#337189); #13211=PLANE('',#337190); #13212=PLANE('',#337191); #13213=PLANE('',#337192); #13214=PLANE('',#337193); #13215=PLANE('',#337194); #13216=PLANE('',#337195); #13217=PLANE('',#337196); #13218=PLANE('',#337197); #13219=PLANE('',#337198); #13220=PLANE('',#337199); #13221=PLANE('',#337200); #13222=PLANE('',#337201); #13223=PLANE('',#337202); #13224=PLANE('',#337203); #13225=PLANE('',#337204); #13226=PLANE('',#337205); #13227=PLANE('',#337206); #13228=PLANE('',#337207); #13229=PLANE('',#337208); #13230=PLANE('',#337209); #13231=PLANE('',#337210); #13232=PLANE('',#337211); #13233=PLANE('',#337212); #13234=PLANE('',#337213); #13235=PLANE('',#337214); #13236=PLANE('',#337215); #13237=PLANE('',#337216); #13238=PLANE('',#337217); #13239=PLANE('',#337218); #13240=PLANE('',#337219); #13241=PLANE('',#337220); #13242=PLANE('',#337221); #13243=PLANE('',#337222); #13244=PLANE('',#337223); #13245=PLANE('',#337224); #13246=PLANE('',#337225); #13247=PLANE('',#337226); #13248=PLANE('',#337227); #13249=PLANE('',#337228); #13250=PLANE('',#337232); #13251=PLANE('',#337239); #13252=PLANE('',#337240); #13253=PLANE('',#337244); #13254=PLANE('',#337251); #13255=PLANE('',#337252); #13256=PLANE('',#337253); #13257=PLANE('',#337257); #13258=PLANE('',#337261); #13259=PLANE('',#337262); #13260=PLANE('',#337263); #13261=PLANE('',#337264); #13262=PLANE('',#337265); #13263=PLANE('',#337266); #13264=PLANE('',#337267); #13265=PLANE('',#337268); #13266=PLANE('',#337269); #13267=PLANE('',#337270); #13268=PLANE('',#337271); #13269=PLANE('',#337272); #13270=PLANE('',#337273); #13271=PLANE('',#337274); #13272=PLANE('',#337275); #13273=PLANE('',#337276); #13274=PLANE('',#337277); #13275=PLANE('',#337278); #13276=PLANE('',#337279); #13277=PLANE('',#337280); #13278=PLANE('',#337281); #13279=PLANE('',#337282); #13280=PLANE('',#337283); #13281=PLANE('',#337284); #13282=PLANE('',#337285); #13283=PLANE('',#337286); #13284=PLANE('',#337287); #13285=PLANE('',#337288); #13286=PLANE('',#337289); #13287=PLANE('',#337290); #13288=PLANE('',#337291); #13289=PLANE('',#337292); #13290=PLANE('',#337293); #13291=PLANE('',#337294); #13292=PLANE('',#337295); #13293=PLANE('',#337296); #13294=PLANE('',#337297); #13295=PLANE('',#337298); #13296=PLANE('',#337299); #13297=PLANE('',#337300); #13298=PLANE('',#337301); #13299=PLANE('',#337302); #13300=PLANE('',#337303); #13301=PLANE('',#337304); #13302=PLANE('',#337305); #13303=PLANE('',#337306); #13304=PLANE('',#337307); #13305=PLANE('',#337308); #13306=PLANE('',#337309); #13307=PLANE('',#337310); #13308=PLANE('',#337311); #13309=PLANE('',#337312); #13310=PLANE('',#337313); #13311=PLANE('',#337314); #13312=PLANE('',#337315); #13313=PLANE('',#337316); #13314=PLANE('',#337317); #13315=PLANE('',#337318); #13316=PLANE('',#337322); #13317=PLANE('',#337329); #13318=PLANE('',#337330); #13319=PLANE('',#337334); #13320=PLANE('',#337341); #13321=PLANE('',#337342); #13322=PLANE('',#337346); #13323=PLANE('',#337353); #13324=PLANE('',#337354); #13325=PLANE('',#337358); #13326=PLANE('',#337359); #13327=PLANE('',#337360); #13328=PLANE('',#337361); #13329=PLANE('',#337362); #13330=PLANE('',#337363); #13331=PLANE('',#337367); #13332=PLANE('',#337374); #13333=PLANE('',#337375); #13334=PLANE('',#337376); #13335=PLANE('',#337377); #13336=PLANE('',#337378); #13337=PLANE('',#337379); #13338=PLANE('',#337380); #13339=PLANE('',#337381); #13340=PLANE('',#337382); #13341=PLANE('',#337383); #13342=PLANE('',#337384); #13343=PLANE('',#337385); #13344=PLANE('',#337386); #13345=PLANE('',#337387); #13346=PLANE('',#337388); #13347=PLANE('',#337389); #13348=PLANE('',#337390); #13349=PLANE('',#337391); #13350=PLANE('',#337392); #13351=PLANE('',#337393); #13352=PLANE('',#337394); #13353=PLANE('',#337395); #13354=PLANE('',#337396); #13355=PLANE('',#337397); #13356=PLANE('',#337398); #13357=PLANE('',#337399); #13358=PLANE('',#337400); #13359=PLANE('',#337401); #13360=PLANE('',#337402); #13361=PLANE('',#337403); #13362=PLANE('',#337404); #13363=PLANE('',#337405); #13364=PLANE('',#337406); #13365=PLANE('',#337407); #13366=PLANE('',#337408); #13367=PLANE('',#337409); #13368=PLANE('',#337410); #13369=PLANE('',#337411); #13370=PLANE('',#337412); #13371=PLANE('',#337413); #13372=PLANE('',#337414); #13373=PLANE('',#337415); #13374=PLANE('',#337416); #13375=PLANE('',#337417); #13376=PLANE('',#337418); #13377=PLANE('',#337419); #13378=PLANE('',#337420); #13379=PLANE('',#337421); #13380=PLANE('',#337422); #13381=PLANE('',#337423); #13382=PLANE('',#337424); #13383=PLANE('',#337425); #13384=PLANE('',#337426); #13385=PLANE('',#337427); #13386=PLANE('',#337428); #13387=PLANE('',#337429); #13388=PLANE('',#337430); #13389=PLANE('',#337431); #13390=PLANE('',#337432); #13391=PLANE('',#337433); #13392=PLANE('',#337434); #13393=PLANE('',#337435); #13394=PLANE('',#337436); #13395=PLANE('',#337437); #13396=PLANE('',#337438); #13397=PLANE('',#337439); #13398=PLANE('',#337440); #13399=PLANE('',#337441); #13400=PLANE('',#337442); #13401=PLANE('',#337443); #13402=PLANE('',#337444); #13403=PLANE('',#337445); #13404=PLANE('',#337446); #13405=PLANE('',#337447); #13406=PLANE('',#337448); #13407=PLANE('',#337449); #13408=PLANE('',#337450); #13409=PLANE('',#337451); #13410=PLANE('',#337452); #13411=PLANE('',#337453); #13412=PLANE('',#337472); #13413=PLANE('',#337473); #13414=PLANE('',#337474); #13415=PLANE('',#337475); #13416=PLANE('',#337476); #13417=PLANE('',#337477); #13418=PLANE('',#337478); #13419=PLANE('',#337479); #13420=PLANE('',#337480); #13421=PLANE('',#337481); #13422=PLANE('',#337482); #13423=PLANE('',#337483); #13424=PLANE('',#337484); #13425=PLANE('',#337485); #13426=PLANE('',#337486); #13427=PLANE('',#337487); #13428=PLANE('',#337488); #13429=PLANE('',#337489); #13430=PLANE('',#337490); #13431=PLANE('',#337491); #13432=PLANE('',#337492); #13433=PLANE('',#337493); #13434=PLANE('',#337494); #13435=PLANE('',#337495); #13436=PLANE('',#337496); #13437=PLANE('',#337497); #13438=PLANE('',#337498); #13439=PLANE('',#337499); #13440=PLANE('',#337500); #13441=PLANE('',#337501); #13442=PLANE('',#337502); #13443=PLANE('',#337503); #13444=PLANE('',#337504); #13445=PLANE('',#337505); #13446=PLANE('',#337506); #13447=PLANE('',#337507); #13448=PLANE('',#337508); #13449=PLANE('',#337509); #13450=PLANE('',#337510); #13451=PLANE('',#337511); #13452=PLANE('',#337512); #13453=PLANE('',#337513); #13454=PLANE('',#337514); #13455=PLANE('',#337515); #13456=PLANE('',#337516); #13457=PLANE('',#337517); #13458=PLANE('',#337518); #13459=PLANE('',#337519); #13460=PLANE('',#337520); #13461=PLANE('',#337521); #13462=PLANE('',#337522); #13463=PLANE('',#337523); #13464=PLANE('',#337524); #13465=PLANE('',#337525); #13466=PLANE('',#337526); #13467=PLANE('',#337527); #13468=PLANE('',#337528); #13469=PLANE('',#337529); #13470=PLANE('',#337530); #13471=PLANE('',#337531); #13472=PLANE('',#337532); #13473=PLANE('',#337533); #13474=PLANE('',#337534); #13475=PLANE('',#337535); #13476=PLANE('',#337536); #13477=PLANE('',#337537); #13478=PLANE('',#337538); #13479=PLANE('',#337539); #13480=PLANE('',#337540); #13481=PLANE('',#337541); #13482=PLANE('',#337542); #13483=PLANE('',#337543); #13484=PLANE('',#337544); #13485=PLANE('',#337545); #13486=PLANE('',#337546); #13487=PLANE('',#337547); #13488=PLANE('',#337548); #13489=PLANE('',#337549); #13490=PLANE('',#337550); #13491=PLANE('',#337551); #13492=PLANE('',#337552); #13493=PLANE('',#337553); #13494=PLANE('',#337554); #13495=PLANE('',#337555); #13496=PLANE('',#337556); #13497=PLANE('',#337557); #13498=PLANE('',#337558); #13499=PLANE('',#337559); #13500=PLANE('',#337560); #13501=PLANE('',#337561); #13502=PLANE('',#337562); #13503=PLANE('',#337563); #13504=PLANE('',#337564); #13505=PLANE('',#337565); #13506=PLANE('',#337566); #13507=PLANE('',#337567); #13508=PLANE('',#337568); #13509=PLANE('',#337569); #13510=PLANE('',#337570); #13511=PLANE('',#337571); #13512=PLANE('',#337572); #13513=PLANE('',#337573); #13514=PLANE('',#337574); #13515=PLANE('',#337575); #13516=PLANE('',#337576); #13517=PLANE('',#337577); #13518=PLANE('',#337578); #13519=PLANE('',#337579); #13520=PLANE('',#337580); #13521=PLANE('',#337581); #13522=PLANE('',#337582); #13523=PLANE('',#337583); #13524=PLANE('',#337584); #13525=PLANE('',#337585); #13526=PLANE('',#337586); #13527=PLANE('',#337587); #13528=PLANE('',#337588); #13529=PLANE('',#337589); #13530=PLANE('',#337590); #13531=PLANE('',#337591); #13532=PLANE('',#337592); #13533=PLANE('',#337593); #13534=PLANE('',#337594); #13535=PLANE('',#337595); #13536=PLANE('',#337596); #13537=PLANE('',#337597); #13538=PLANE('',#337598); #13539=PLANE('',#337599); #13540=PLANE('',#337600); #13541=PLANE('',#337601); #13542=PLANE('',#337602); #13543=PLANE('',#337603); #13544=PLANE('',#337604); #13545=PLANE('',#337605); #13546=PLANE('',#337606); #13547=PLANE('',#337607); #13548=PLANE('',#337608); #13549=PLANE('',#337609); #13550=PLANE('',#337610); #13551=PLANE('',#337611); #13552=PLANE('',#337612); #13553=PLANE('',#337613); #13554=PLANE('',#337614); #13555=PLANE('',#337615); #13556=PLANE('',#337616); #13557=PLANE('',#337617); #13558=PLANE('',#337618); #13559=PLANE('',#337619); #13560=PLANE('',#337620); #13561=PLANE('',#337621); #13562=PLANE('',#337622); #13563=PLANE('',#337623); #13564=PLANE('',#337624); #13565=PLANE('',#337625); #13566=PLANE('',#337626); #13567=PLANE('',#337627); #13568=PLANE('',#337628); #13569=PLANE('',#337629); #13570=PLANE('',#337630); #13571=PLANE('',#337631); #13572=PLANE('',#337632); #13573=PLANE('',#337633); #13574=PLANE('',#337652); #13575=PLANE('',#337653); #13576=PLANE('',#337654); #13577=PLANE('',#337655); #13578=PLANE('',#337656); #13579=PLANE('',#337657); #13580=PLANE('',#337658); #13581=PLANE('',#337659); #13582=PLANE('',#337660); #13583=PLANE('',#337661); #13584=PLANE('',#337662); #13585=PLANE('',#337663); #13586=PLANE('',#337664); #13587=PLANE('',#337665); #13588=PLANE('',#337666); #13589=PLANE('',#337667); #13590=PLANE('',#337668); #13591=PLANE('',#337669); #13592=PLANE('',#337670); #13593=PLANE('',#337671); #13594=PLANE('',#337672); #13595=PLANE('',#337673); #13596=PLANE('',#337674); #13597=PLANE('',#337675); #13598=PLANE('',#337676); #13599=PLANE('',#337677); #13600=PLANE('',#337678); #13601=PLANE('',#337679); #13602=PLANE('',#337680); #13603=PLANE('',#337681); #13604=PLANE('',#337682); #13605=PLANE('',#337683); #13606=PLANE('',#337684); #13607=PLANE('',#337685); #13608=PLANE('',#337686); #13609=PLANE('',#337687); #13610=PLANE('',#337688); #13611=PLANE('',#337689); #13612=PLANE('',#337690); #13613=PLANE('',#337691); #13614=PLANE('',#337692); #13615=PLANE('',#337693); #13616=PLANE('',#337712); #13617=PLANE('',#337713); #13618=PLANE('',#337714); #13619=PLANE('',#337715); #13620=PLANE('',#337716); #13621=PLANE('',#337717); #13622=PLANE('',#337718); #13623=PLANE('',#337719); #13624=PLANE('',#337720); #13625=PLANE('',#337721); #13626=PLANE('',#337722); #13627=PLANE('',#337723); #13628=PLANE('',#337724); #13629=PLANE('',#337725); #13630=PLANE('',#337726); #13631=PLANE('',#337727); #13632=PLANE('',#337728); #13633=PLANE('',#337729); #13634=PLANE('',#337877); #13635=PLANE('',#337878); #13636=PLANE('',#337879); #13637=PLANE('',#337880); #13638=PLANE('',#337881); #13639=PLANE('',#337882); #13640=PLANE('',#337883); #13641=PLANE('',#337884); #13642=PLANE('',#337885); #13643=PLANE('',#337886); #13644=PLANE('',#337887); #13645=PLANE('',#337888); #13646=PLANE('',#337889); #13647=PLANE('',#337890); #13648=PLANE('',#337891); #13649=PLANE('',#337892); #13650=PLANE('',#337893); #13651=PLANE('',#337894); #13652=PLANE('',#337895); #13653=PLANE('',#337896); #13654=PLANE('',#337897); #13655=PLANE('',#337898); #13656=PLANE('',#337899); #13657=PLANE('',#337900); #13658=PLANE('',#337901); #13659=PLANE('',#337902); #13660=PLANE('',#337903); #13661=PLANE('',#337904); #13662=PLANE('',#337905); #13663=PLANE('',#337906); #13664=PLANE('',#337907); #13665=PLANE('',#337908); #13666=PLANE('',#337909); #13667=PLANE('',#337910); #13668=PLANE('',#337911); #13669=PLANE('',#337912); #13670=PLANE('',#337913); #13671=PLANE('',#337914); #13672=PLANE('',#337915); #13673=PLANE('',#337916); #13674=PLANE('',#337917); #13675=PLANE('',#337918); #13676=PLANE('',#337919); #13677=PLANE('',#337920); #13678=PLANE('',#337921); #13679=PLANE('',#337922); #13680=PLANE('',#337923); #13681=PLANE('',#337924); #13682=PLANE('',#337925); #13683=PLANE('',#337926); #13684=PLANE('',#337927); #13685=PLANE('',#337928); #13686=PLANE('',#337929); #13687=PLANE('',#337930); #13688=PLANE('',#337931); #13689=PLANE('',#337932); #13690=PLANE('',#337933); #13691=PLANE('',#337934); #13692=PLANE('',#337935); #13693=PLANE('',#337936); #13694=PLANE('',#337937); #13695=PLANE('',#337938); #13696=PLANE('',#337939); #13697=PLANE('',#337940); #13698=PLANE('',#337941); #13699=PLANE('',#337942); #13700=PLANE('',#337943); #13701=PLANE('',#337944); #13702=PLANE('',#337945); #13703=PLANE('',#337946); #13704=PLANE('',#337947); #13705=PLANE('',#337948); #13706=PLANE('',#337949); #13707=PLANE('',#337950); #13708=PLANE('',#337951); #13709=PLANE('',#337952); #13710=PLANE('',#337953); #13711=PLANE('',#337954); #13712=PLANE('',#337955); #13713=PLANE('',#337956); #13714=PLANE('',#337957); #13715=PLANE('',#337958); #13716=PLANE('',#337959); #13717=PLANE('',#337960); #13718=PLANE('',#337961); #13719=PLANE('',#337962); #13720=PLANE('',#337963); #13721=PLANE('',#337964); #13722=PLANE('',#337965); #13723=PLANE('',#337966); #13724=PLANE('',#337967); #13725=PLANE('',#337968); #13726=PLANE('',#337969); #13727=PLANE('',#337970); #13728=PLANE('',#337971); #13729=PLANE('',#337972); #13730=PLANE('',#337973); #13731=PLANE('',#337974); #13732=PLANE('',#337975); #13733=PLANE('',#337976); #13734=PLANE('',#337977); #13735=PLANE('',#337978); #13736=PLANE('',#337979); #13737=PLANE('',#337980); #13738=PLANE('',#337981); #13739=PLANE('',#337982); #13740=PLANE('',#337983); #13741=PLANE('',#337984); #13742=PLANE('',#337985); #13743=PLANE('',#337986); #13744=PLANE('',#337987); #13745=PLANE('',#337988); #13746=PLANE('',#337989); #13747=PLANE('',#337990); #13748=PLANE('',#337994); #13749=PLANE('',#337995); #13750=PLANE('',#337996); #13751=PLANE('',#337997); #13752=PLANE('',#337998); #13753=PLANE('',#337999); #13754=PLANE('',#338000); #13755=PLANE('',#338001); #13756=PLANE('',#338002); #13757=PLANE('',#338003); #13758=PLANE('',#338004); #13759=PLANE('',#338005); #13760=PLANE('',#338006); #13761=PLANE('',#338007); #13762=PLANE('',#338008); #13763=PLANE('',#338009); #13764=PLANE('',#338010); #13765=PLANE('',#338011); #13766=PLANE('',#338015); #13767=PLANE('',#338016); #13768=PLANE('',#338017); #13769=PLANE('',#338018); #13770=PLANE('',#338019); #13771=PLANE('',#338020); #13772=PLANE('',#338021); #13773=PLANE('',#338022); #13774=PLANE('',#338023); #13775=PLANE('',#338024); #13776=PLANE('',#338025); #13777=PLANE('',#338026); #13778=PLANE('',#338027); #13779=PLANE('',#338028); #13780=PLANE('',#338029); #13781=PLANE('',#338030); #13782=PLANE('',#338031); #13783=PLANE('',#338032); #13784=PLANE('',#338033); #13785=PLANE('',#338034); #13786=PLANE('',#338035); #13787=PLANE('',#338036); #13788=PLANE('',#338037); #13789=PLANE('',#338038); #13790=PLANE('',#338039); #13791=PLANE('',#338040); #13792=PLANE('',#338041); #13793=PLANE('',#338042); #13794=PLANE('',#338043); #13795=PLANE('',#338044); #13796=PLANE('',#338045); #13797=PLANE('',#338046); #13798=PLANE('',#338047); #13799=PLANE('',#338048); #13800=PLANE('',#338049); #13801=PLANE('',#338050); #13802=PLANE('',#338051); #13803=PLANE('',#338052); #13804=PLANE('',#338053); #13805=PLANE('',#338054); #13806=PLANE('',#338055); #13807=PLANE('',#338056); #13808=PLANE('',#338057); #13809=PLANE('',#338058); #13810=PLANE('',#338059); #13811=PLANE('',#338060); #13812=PLANE('',#338061); #13813=PLANE('',#338062); #13814=PLANE('',#338063); #13815=PLANE('',#338064); #13816=PLANE('',#338065); #13817=PLANE('',#338066); #13818=PLANE('',#338067); #13819=PLANE('',#338068); #13820=PLANE('',#338069); #13821=PLANE('',#338070); #13822=PLANE('',#338071); #13823=PLANE('',#338072); #13824=PLANE('',#338073); #13825=PLANE('',#338074); #13826=PLANE('',#338075); #13827=PLANE('',#338076); #13828=PLANE('',#338077); #13829=PLANE('',#338078); #13830=PLANE('',#338079); #13831=PLANE('',#338080); #13832=PLANE('',#338081); #13833=PLANE('',#338082); #13834=PLANE('',#338083); #13835=PLANE('',#338084); #13836=PLANE('',#338085); #13837=PLANE('',#338086); #13838=PLANE('',#338114); #13839=PLANE('',#338115); #13840=PLANE('',#338116); #13841=PLANE('',#338117); #13842=PLANE('',#338118); #13843=PLANE('',#338119); #13844=PLANE('',#338120); #13845=PLANE('',#338121); #13846=PLANE('',#338122); #13847=PLANE('',#338123); #13848=PLANE('',#338124); #13849=PLANE('',#338125); #13850=PLANE('',#338129); #13851=PLANE('',#338130); #13852=PLANE('',#338131); #13853=PLANE('',#338132); #13854=PLANE('',#338133); #13855=PLANE('',#338134); #13856=PLANE('',#338135); #13857=PLANE('',#338136); #13858=PLANE('',#338137); #13859=PLANE('',#338138); #13860=PLANE('',#338139); #13861=PLANE('',#338140); #13862=PLANE('',#338141); #13863=PLANE('',#338142); #13864=PLANE('',#338143); #13865=PLANE('',#338144); #13866=PLANE('',#338145); #13867=PLANE('',#338146); #13868=PLANE('',#338147); #13869=PLANE('',#338148); #13870=PLANE('',#338149); #13871=PLANE('',#338150); #13872=PLANE('',#338151); #13873=PLANE('',#338152); #13874=PLANE('',#338153); #13875=PLANE('',#338154); #13876=PLANE('',#338155); #13877=PLANE('',#338156); #13878=PLANE('',#338157); #13879=PLANE('',#338158); #13880=PLANE('',#338159); #13881=PLANE('',#338160); #13882=PLANE('',#338161); #13883=PLANE('',#338162); #13884=PLANE('',#338163); #13885=PLANE('',#338164); #13886=PLANE('',#338168); #13887=PLANE('',#338169); #13888=PLANE('',#338170); #13889=PLANE('',#338171); #13890=PLANE('',#338172); #13891=PLANE('',#338173); #13892=PLANE('',#338177); #13893=PLANE('',#338178); #13894=PLANE('',#338179); #13895=PLANE('',#338180); #13896=PLANE('',#338181); #13897=PLANE('',#338182); #13898=PLANE('',#338183); #13899=PLANE('',#338184); #13900=PLANE('',#338185); #13901=PLANE('',#338186); #13902=PLANE('',#338187); #13903=PLANE('',#338188); #13904=PLANE('',#338189); #13905=PLANE('',#338190); #13906=PLANE('',#338191); #13907=PLANE('',#338192); #13908=PLANE('',#338193); #13909=PLANE('',#338194); #13910=PLANE('',#338195); #13911=PLANE('',#338196); #13912=PLANE('',#338197); #13913=PLANE('',#338198); #13914=PLANE('',#338199); #13915=PLANE('',#338200); #13916=PLANE('',#338201); #13917=PLANE('',#338202); #13918=PLANE('',#338203); #13919=PLANE('',#338204); #13920=PLANE('',#338205); #13921=PLANE('',#338206); #13922=PLANE('',#338207); #13923=PLANE('',#338208); #13924=PLANE('',#338209); #13925=PLANE('',#338210); #13926=PLANE('',#338211); #13927=PLANE('',#338212); #13928=PLANE('',#338213); #13929=PLANE('',#338214); #13930=PLANE('',#338215); #13931=PLANE('',#338216); #13932=PLANE('',#338217); #13933=PLANE('',#338218); #13934=PLANE('',#338219); #13935=PLANE('',#338220); #13936=PLANE('',#338221); #13937=PLANE('',#338222); #13938=PLANE('',#338223); #13939=PLANE('',#338224); #13940=PLANE('',#338225); #13941=PLANE('',#338226); #13942=PLANE('',#338227); #13943=PLANE('',#338228); #13944=PLANE('',#338229); #13945=PLANE('',#338230); #13946=PLANE('',#338231); #13947=PLANE('',#338232); #13948=PLANE('',#338233); #13949=PLANE('',#338234); #13950=PLANE('',#338235); #13951=PLANE('',#338236); #13952=PLANE('',#338237); #13953=PLANE('',#338238); #13954=PLANE('',#338239); #13955=PLANE('',#338240); #13956=PLANE('',#338241); #13957=PLANE('',#338242); #13958=PLANE('',#338243); #13959=PLANE('',#338244); #13960=PLANE('',#338245); #13961=PLANE('',#338246); #13962=PLANE('',#338247); #13963=PLANE('',#338248); #13964=PLANE('',#338267); #13965=PLANE('',#338268); #13966=PLANE('',#338269); #13967=PLANE('',#338270); #13968=PLANE('',#338271); #13969=PLANE('',#338272); #13970=PLANE('',#338273); #13971=PLANE('',#338274); #13972=PLANE('',#338275); #13973=PLANE('',#338276); #13974=PLANE('',#338277); #13975=PLANE('',#338278); #13976=PLANE('',#338279); #13977=PLANE('',#338280); #13978=PLANE('',#338281); #13979=PLANE('',#338282); #13980=PLANE('',#338283); #13981=PLANE('',#338284); #13982=PLANE('',#338285); #13983=PLANE('',#338286); #13984=PLANE('',#338287); #13985=PLANE('',#338288); #13986=PLANE('',#338289); #13987=PLANE('',#338290); #13988=PLANE('',#338309); #13989=PLANE('',#338310); #13990=PLANE('',#338311); #13991=PLANE('',#338312); #13992=PLANE('',#338313); #13993=PLANE('',#338314); #13994=PLANE('',#338315); #13995=PLANE('',#338316); #13996=PLANE('',#338317); #13997=PLANE('',#338318); #13998=PLANE('',#338319); #13999=PLANE('',#338320); #14000=PLANE('',#338321); #14001=PLANE('',#338322); #14002=PLANE('',#338323); #14003=PLANE('',#338324); #14004=PLANE('',#338325); #14005=PLANE('',#338326); #14006=PLANE('',#338327); #14007=PLANE('',#338328); #14008=PLANE('',#338329); #14009=PLANE('',#338330); #14010=PLANE('',#338331); #14011=PLANE('',#338332); #14012=PLANE('',#338333); #14013=PLANE('',#338334); #14014=PLANE('',#338335); #14015=PLANE('',#338336); #14016=PLANE('',#338337); #14017=PLANE('',#338338); #14018=PLANE('',#338339); #14019=PLANE('',#338340); #14020=PLANE('',#338341); #14021=PLANE('',#338342); #14022=PLANE('',#338343); #14023=PLANE('',#338344); #14024=PLANE('',#338345); #14025=PLANE('',#338346); #14026=PLANE('',#338347); #14027=PLANE('',#338348); #14028=PLANE('',#338349); #14029=PLANE('',#338350); #14030=PLANE('',#338351); #14031=PLANE('',#338352); #14032=PLANE('',#338353); #14033=PLANE('',#338354); #14034=PLANE('',#338355); #14035=PLANE('',#338356); #14036=PLANE('',#338357); #14037=PLANE('',#338358); #14038=PLANE('',#338359); #14039=PLANE('',#338360); #14040=PLANE('',#338361); #14041=PLANE('',#338362); #14042=PLANE('',#338363); #14043=PLANE('',#338364); #14044=PLANE('',#338365); #14045=PLANE('',#338366); #14046=PLANE('',#338367); #14047=PLANE('',#338368); #14048=PLANE('',#338369); #14049=PLANE('',#338370); #14050=PLANE('',#338371); #14051=PLANE('',#338372); #14052=PLANE('',#338373); #14053=PLANE('',#338374); #14054=PLANE('',#338375); #14055=PLANE('',#338376); #14056=PLANE('',#338377); #14057=PLANE('',#338378); #14058=PLANE('',#338379); #14059=PLANE('',#338380); #14060=PLANE('',#338381); #14061=PLANE('',#338382); #14062=PLANE('',#338383); #14063=PLANE('',#338384); #14064=PLANE('',#338385); #14065=PLANE('',#338386); #14066=PLANE('',#338387); #14067=PLANE('',#338388); #14068=PLANE('',#338389); #14069=PLANE('',#338390); #14070=PLANE('',#338391); #14071=PLANE('',#338392); #14072=PLANE('',#338393); #14073=PLANE('',#338394); #14074=PLANE('',#338395); #14075=PLANE('',#338396); #14076=PLANE('',#338397); #14077=PLANE('',#338398); #14078=PLANE('',#338399); #14079=PLANE('',#338400); #14080=PLANE('',#338401); #14081=PLANE('',#338402); #14082=PLANE('',#338403); #14083=PLANE('',#338404); #14084=PLANE('',#338405); #14085=PLANE('',#338406); #14086=PLANE('',#338407); #14087=PLANE('',#338408); #14088=PLANE('',#338409); #14089=PLANE('',#338410); #14090=PLANE('',#338411); #14091=PLANE('',#338412); #14092=PLANE('',#338413); #14093=PLANE('',#338414); #14094=PLANE('',#338415); #14095=PLANE('',#338416); #14096=PLANE('',#338417); #14097=PLANE('',#338418); #14098=PLANE('',#338419); #14099=PLANE('',#338420); #14100=PLANE('',#338421); #14101=PLANE('',#338422); #14102=PLANE('',#338423); #14103=PLANE('',#338424); #14104=PLANE('',#338425); #14105=PLANE('',#338426); #14106=PLANE('',#338427); #14107=PLANE('',#338428); #14108=PLANE('',#338429); #14109=PLANE('',#338430); #14110=PLANE('',#338431); #14111=PLANE('',#338432); #14112=PLANE('',#338433); #14113=PLANE('',#338434); #14114=PLANE('',#338435); #14115=PLANE('',#338436); #14116=PLANE('',#338437); #14117=PLANE('',#338438); #14118=PLANE('',#338439); #14119=PLANE('',#338440); #14120=PLANE('',#338441); #14121=PLANE('',#338442); #14122=PLANE('',#338443); #14123=PLANE('',#338444); #14124=PLANE('',#338449); #14125=PLANE('',#338456); #14126=PLANE('',#338457); #14127=PLANE('',#338458); #14128=PLANE('',#338459); #14129=PLANE('',#338460); #14130=PLANE('',#338461); #14131=PLANE('',#338462); #14132=PLANE('',#338463); #14133=PLANE('',#338464); #14134=PLANE('',#338465); #14135=PLANE('',#338466); #14136=PLANE('',#338467); #14137=PLANE('',#338468); #14138=PLANE('',#338469); #14139=PLANE('',#338476); #14140=PLANE('',#338477); #14141=PLANE('',#338478); #14142=PLANE('',#338479); #14143=PLANE('',#338480); #14144=PLANE('',#338481); #14145=PLANE('',#338482); #14146=PLANE('',#338483); #14147=PLANE('',#338484); #14148=PLANE('',#338485); #14149=PLANE('',#338486); #14150=PLANE('',#338487); #14151=PLANE('',#338494); #14152=PLANE('',#338495); #14153=PLANE('',#338496); #14154=PLANE('',#338497); #14155=PLANE('',#338498); #14156=PLANE('',#338499); #14157=PLANE('',#338500); #14158=PLANE('',#338501); #14159=PLANE('',#338502); #14160=PLANE('',#338503); #14161=PLANE('',#338504); #14162=PLANE('',#338505); #14163=PLANE('',#338512); #14164=PLANE('',#338513); #14165=PLANE('',#338514); #14166=PLANE('',#338515); #14167=PLANE('',#338516); #14168=PLANE('',#338517); #14169=PLANE('',#338518); #14170=PLANE('',#338519); #14171=PLANE('',#338520); #14172=PLANE('',#338521); #14173=PLANE('',#338522); #14174=PLANE('',#338523); #14175=PLANE('',#338530); #14176=PLANE('',#338531); #14177=PLANE('',#338532); #14178=PLANE('',#338533); #14179=PLANE('',#338534); #14180=PLANE('',#338535); #14181=PLANE('',#338536); #14182=PLANE('',#338537); #14183=PLANE('',#338538); #14184=PLANE('',#338539); #14185=PLANE('',#338540); #14186=PLANE('',#338541); #14187=PLANE('',#338542); #14188=PLANE('',#338543); #14189=PLANE('',#338544); #14190=PLANE('',#338545); #14191=PLANE('',#338546); #14192=PLANE('',#338547); #14193=PLANE('',#338551); #14194=PLANE('',#338552); #14195=PLANE('',#338553); #14196=PLANE('',#338554); #14197=PLANE('',#338555); #14198=PLANE('',#338559); #14199=PLANE('',#338560); #14200=PLANE('',#338564); #14201=PLANE('',#338565); #14202=PLANE('',#338566); #14203=PLANE('',#338567); #14204=PLANE('',#338568); #14205=PLANE('',#338569); #14206=PLANE('',#338570); #14207=PLANE('',#338574); #14208=PLANE('',#338578); #14209=PLANE('',#338579); #14210=PLANE('',#338580); #14211=PLANE('',#338581); #14212=PLANE('',#338582); #14213=PLANE('',#338583); #14214=PLANE('',#338584); #14215=PLANE('',#338585); #14216=PLANE('',#338589); #14217=PLANE('',#338593); #14218=PLANE('',#338594); #14219=PLANE('',#338595); #14220=PLANE('',#338599); #14221=PLANE('',#338603); #14222=PLANE('',#338604); #14223=PLANE('',#338605); #14224=PLANE('',#338606); #14225=PLANE('',#338607); #14226=PLANE('',#338611); #14227=PLANE('',#338612); #14228=PLANE('',#338613); #14229=PLANE('',#338614); #14230=PLANE('',#338615); #14231=PLANE('',#338616); #14232=PLANE('',#338620); #14233=PLANE('',#338621); #14234=PLANE('',#338622); #14235=PLANE('',#338623); #14236=PLANE('',#338630); #14237=PLANE('',#338637); #14238=PLANE('',#338644); #14239=PLANE('',#338648); #14240=PLANE('',#338649); #14241=PLANE('',#338653); #14242=PLANE('',#338657); #14243=PLANE('',#338658); #14244=PLANE('',#338659); #14245=PLANE('',#338660); #14246=PLANE('',#338661); #14247=PLANE('',#338662); #14248=PLANE('',#338663); #14249=PLANE('',#338667); #14250=PLANE('',#338668); #14251=PLANE('',#338672); #14252=PLANE('',#338673); #14253=PLANE('',#338674); #14254=PLANE('',#338675); #14255=PLANE('',#338676); #14256=PLANE('',#338680); #14257=PLANE('',#338690); #14258=PLANE('',#338691); #14259=PLANE('',#338692); #14260=PLANE('',#338693); #14261=PLANE('',#338694); #14262=PLANE('',#338701); #14263=PLANE('',#338708); #14264=PLANE('',#338709); #14265=PLANE('',#338710); #14266=PLANE('',#338711); #14267=PLANE('',#338712); #14268=PLANE('',#338716); #14269=PLANE('',#338717); #14270=PLANE('',#338721); #14271=PLANE('',#338725); #14272=PLANE('',#338726); #14273=PLANE('',#338727); #14274=PLANE('',#338731); #14275=PLANE('',#338735); #14276=PLANE('',#338742); #14277=PLANE('',#338743); #14278=PLANE('',#338744); #14279=PLANE('',#338748); #14280=PLANE('',#338752); #14281=PLANE('',#338753); #14282=PLANE('',#338754); #14283=PLANE('',#338758); #14284=PLANE('',#338759); #14285=PLANE('',#338763); #14286=PLANE('',#338767); #14287=PLANE('',#338771); #14288=PLANE('',#338775); #14289=PLANE('',#338779); #14290=PLANE('',#338780); #14291=PLANE('',#338787); #14292=PLANE('',#338794); #14293=PLANE('',#338795); #14294=PLANE('',#338802); #14295=PLANE('',#338806); #14296=PLANE('',#338810); #14297=PLANE('',#338811); #14298=PLANE('',#338815); #14299=PLANE('',#338816); #14300=PLANE('',#338817); #14301=PLANE('',#338818); #14302=PLANE('',#338819); #14303=PLANE('',#338823); #14304=PLANE('',#338833); #14305=PLANE('',#338837); #14306=PLANE('',#338838); #14307=PLANE('',#338839); #14308=PLANE('',#338840); #14309=PLANE('',#338841); #14310=PLANE('',#338842); #14311=PLANE('',#338843); #14312=PLANE('',#338844); #14313=PLANE('',#338848); #14314=PLANE('',#338849); #14315=PLANE('',#338850); #14316=PLANE('',#338851); #14317=PLANE('',#338852); #14318=PLANE('',#338856); #14319=PLANE('',#338863); #14320=PLANE('',#338867); #14321=PLANE('',#338868); #14322=PLANE('',#338869); #14323=PLANE('',#338870); #14324=PLANE('',#338871); #14325=PLANE('',#338872); #14326=PLANE('',#338873); #14327=PLANE('',#338874); #14328=PLANE('',#338878); #14329=PLANE('',#338879); #14330=PLANE('',#338880); #14331=PLANE('',#338881); #14332=PLANE('',#338882); #14333=PLANE('',#338883); #14334=PLANE('',#338884); #14335=PLANE('',#338885); #14336=PLANE('',#338889); #14337=PLANE('',#338896); #14338=PLANE('',#338897); #14339=PLANE('',#338904); #14340=PLANE('',#338905); #14341=PLANE('',#338909); #14342=PLANE('',#338910); #14343=PLANE('',#338917); #14344=PLANE('',#338921); #14345=PLANE('',#338922); #14346=PLANE('',#338923); #14347=PLANE('',#338927); #14348=PLANE('',#338928); #14349=PLANE('',#338929); #14350=PLANE('',#338930); #14351=PLANE('',#338931); #14352=PLANE('',#338935); #14353=PLANE('',#338936); #14354=PLANE('',#338940); #14355=PLANE('',#338944); #14356=PLANE('',#338945); #14357=PLANE('',#338946); #14358=PLANE('',#338950); #14359=PLANE('',#338954); #14360=PLANE('',#338958); #14361=PLANE('',#338959); #14362=PLANE('',#338966); #14363=PLANE('',#338970); #14364=PLANE('',#338971); #14365=PLANE('',#338972); #14366=PLANE('',#338973); #14367=PLANE('',#338977); #14368=PLANE('',#338981); #14369=PLANE('',#338982); #14370=PLANE('',#338983); #14371=PLANE('',#338987); #14372=PLANE('',#338988); #14373=PLANE('',#338992); #14374=PLANE('',#338996); #14375=PLANE('',#339000); #14376=PLANE('',#339004); #14377=PLANE('',#339005); #14378=PLANE('',#339009); #14379=PLANE('',#339010); #14380=PLANE('',#339017); #14381=PLANE('',#339024); #14382=PLANE('',#339031); #14383=PLANE('',#339035); #14384=PLANE('',#339036); #14385=PLANE('',#339043); #14386=PLANE('',#339050); #14387=PLANE('',#339057); #14388=PLANE('',#339061); #14389=PLANE('',#339062); #14390=PLANE('',#339069); #14391=PLANE('',#339076); #14392=PLANE('',#339083); #14393=PLANE('',#339087); #14394=PLANE('',#339088); #14395=PLANE('',#339095); #14396=PLANE('',#339099); #14397=PLANE('',#339100); #14398=PLANE('',#339101); #14399=PLANE('',#339108); #14400=PLANE('',#339112); #14401=PLANE('',#339116); #14402=PLANE('',#339120); #14403=PLANE('',#339121); #14404=PLANE('',#339125); #14405=PLANE('',#339126); #14406=PLANE('',#339127); #14407=PLANE('',#339128); #14408=PLANE('',#339129); #14409=PLANE('',#339130); #14410=PLANE('',#339134); #14411=PLANE('',#339135); #14412=PLANE('',#339136); #14413=PLANE('',#339137); #14414=PLANE('',#339138); #14415=PLANE('',#339139); #14416=PLANE('',#339143); #14417=PLANE('',#339144); #14418=PLANE('',#339145); #14419=PLANE('',#339146); #14420=PLANE('',#339147); #14421=PLANE('',#339148); #14422=PLANE('',#339152); #14423=PLANE('',#339156); #14424=PLANE('',#339157); #14425=PLANE('',#339158); #14426=PLANE('',#339159); #14427=PLANE('',#339160); #14428=PLANE('',#339161); #14429=PLANE('',#339162); #14430=PLANE('',#339166); #14431=PLANE('',#339167); #14432=PLANE('',#339174); #14433=PLANE('',#339175); #14434=PLANE('',#339179); #14435=PLANE('',#339180); #14436=PLANE('',#339184); #14437=PLANE('',#339185); #14438=PLANE('',#339192); #14439=PLANE('',#339196); #14440=PLANE('',#339197); #14441=PLANE('',#339201); #14442=PLANE('',#339202); #14443=PLANE('',#339203); #14444=PLANE('',#339204); #14445=PLANE('',#339205); #14446=PLANE('',#339206); #14447=PLANE('',#339210); #14448=PLANE('',#339211); #14449=PLANE('',#339215); #14450=PLANE('',#339219); #14451=PLANE('',#339220); #14452=PLANE('',#339221); #14453=PLANE('',#339225); #14454=PLANE('',#339229); #14455=PLANE('',#339230); #14456=PLANE('',#339234); #14457=PLANE('',#339241); #14458=PLANE('',#339242); #14459=PLANE('',#339246); #14460=PLANE('',#339247); #14461=PLANE('',#339248); #14462=PLANE('',#339252); #14463=PLANE('',#339256); #14464=PLANE('',#339257); #14465=PLANE('',#339258); #14466=PLANE('',#339262); #14467=PLANE('',#339263); #14468=PLANE('',#339267); #14469=PLANE('',#339271); #14470=PLANE('',#339275); #14471=PLANE('',#339279); #14472=PLANE('',#339280); #14473=PLANE('',#339287); #14474=PLANE('',#339291); #14475=PLANE('',#339298); #14476=PLANE('',#339302); #14477=PLANE('',#339303); #14478=PLANE('',#339307); #14479=PLANE('',#339311); #14480=PLANE('',#339312); #14481=PLANE('',#339313); #14482=PLANE('',#339314); #14483=PLANE('',#339315); #14484=PLANE('',#339316); #14485=PLANE('',#339317); #14486=PLANE('',#339321); #14487=PLANE('',#339322); #14488=PLANE('',#339326); #14489=PLANE('',#339330); #14490=PLANE('',#339331); #14491=PLANE('',#339332); #14492=PLANE('',#339333); #14493=PLANE('',#339334); #14494=PLANE('',#339335); #14495=PLANE('',#339336); #14496=PLANE('',#339340); #14497=PLANE('',#339341); #14498=PLANE('',#339345); #14499=PLANE('',#339349); #14500=PLANE('',#339353); #14501=PLANE('',#339357); #14502=PLANE('',#339358); #14503=PLANE('',#339359); #14504=PLANE('',#339360); #14505=PLANE('',#339361); #14506=PLANE('',#339362); #14507=PLANE('',#339363); #14508=PLANE('',#339364); #14509=PLANE('',#339365); #14510=PLANE('',#339372); #14511=PLANE('',#339373); #14512=PLANE('',#339377); #14513=PLANE('',#339378); #14514=PLANE('',#339379); #14515=PLANE('',#339380); #14516=PLANE('',#339381); #14517=PLANE('',#339382); #14518=PLANE('',#339383); #14519=PLANE('',#339384); #14520=PLANE('',#339391); #14521=PLANE('',#339395); #14522=PLANE('',#339399); #14523=PLANE('',#339400); #14524=PLANE('',#339401); #14525=PLANE('',#339405); #14526=PLANE('',#339412); #14527=PLANE('',#339413); #14528=PLANE('',#339417); #14529=PLANE('',#339418); #14530=PLANE('',#339419); #14531=PLANE('',#339420); #14532=PLANE('',#339421); #14533=PLANE('',#339422); #14534=PLANE('',#339423); #14535=PLANE('',#339427); #14536=PLANE('',#339428); #14537=PLANE('',#339435); #14538=PLANE('',#339439); #14539=PLANE('',#339440); #14540=PLANE('',#339444); #14541=PLANE('',#339448); #14542=PLANE('',#339449); #14543=PLANE('',#339450); #14544=PLANE('',#339451); #14545=PLANE('',#339455); #14546=PLANE('',#339456); #14547=PLANE('',#339457); #14548=PLANE('',#339458); #14549=PLANE('',#339462); #14550=PLANE('',#339466); #14551=PLANE('',#339473); #14552=PLANE('',#339474); #14553=PLANE('',#339475); #14554=PLANE('',#339476); #14555=PLANE('',#339477); #14556=PLANE('',#339478); #14557=PLANE('',#339479); #14558=PLANE('',#339480); #14559=PLANE('',#339481); #14560=PLANE('',#339482); #14561=PLANE('',#339483); #14562=PLANE('',#339484); #14563=PLANE('',#339488); #14564=PLANE('',#339489); #14565=PLANE('',#339490); #14566=PLANE('',#339491); #14567=PLANE('',#339492); #14568=PLANE('',#339493); #14569=PLANE('',#339494); #14570=PLANE('',#339495); #14571=PLANE('',#339496); #14572=PLANE('',#339497); #14573=PLANE('',#339498); #14574=PLANE('',#339499); #14575=PLANE('',#339500); #14576=PLANE('',#339501); #14577=PLANE('',#339502); #14578=PLANE('',#339503); #14579=PLANE('',#339504); #14580=PLANE('',#339505); #14581=PLANE('',#339506); #14582=PLANE('',#339507); #14583=PLANE('',#339508); #14584=PLANE('',#339512); #14585=PLANE('',#339513); #14586=PLANE('',#339514); #14587=PLANE('',#339515); #14588=PLANE('',#339519); #14589=PLANE('',#339520); #14590=PLANE('',#339524); #14591=PLANE('',#339528); #14592=PLANE('',#339529); #14593=PLANE('',#339533); #14594=PLANE('',#339534); #14595=PLANE('',#339535); #14596=PLANE('',#339536); #14597=PLANE('',#339537); #14598=PLANE('',#339538); #14599=PLANE('',#339539); #14600=PLANE('',#339540); #14601=PLANE('',#339544); #14602=PLANE('',#339545); #14603=PLANE('',#339546); #14604=PLANE('',#339547); #14605=PLANE('',#339551); #14606=PLANE('',#339552); #14607=PLANE('',#339553); #14608=PLANE('',#339554); #14609=PLANE('',#339555); #14610=PLANE('',#339556); #14611=PLANE('',#339557); #14612=PLANE('',#339558); #14613=PLANE('',#339559); #14614=PLANE('',#339560); #14615=PLANE('',#339561); #14616=PLANE('',#339562); #14617=PLANE('',#339563); #14618=PLANE('',#339564); #14619=PLANE('',#339565); #14620=PLANE('',#339566); #14621=PLANE('',#339570); #14622=PLANE('',#339571); #14623=PLANE('',#339578); #14624=PLANE('',#339582); #14625=PLANE('',#339589); #14626=PLANE('',#339593); #14627=PLANE('',#339594); #14628=PLANE('',#339598); #14629=PLANE('',#339599); #14630=PLANE('',#339600); #14631=PLANE('',#339601); #14632=PLANE('',#339602); #14633=PLANE('',#339603); #14634=PLANE('',#339610); #14635=PLANE('',#339614); #14636=PLANE('',#339618); #14637=PLANE('',#339622); #14638=PLANE('',#339626); #14639=PLANE('',#339630); #14640=PLANE('',#339634); #14641=PLANE('',#339641); #14642=PLANE('',#339642); #14643=PLANE('',#339643); #14644=PLANE('',#339644); #14645=PLANE('',#339645); #14646=PLANE('',#339646); #14647=PLANE('',#339653); #14648=PLANE('',#339654); #14649=PLANE('',#339661); #14650=PLANE('',#339665); #14651=PLANE('',#339672); #14652=PLANE('',#339673); #14653=PLANE('',#339677); #14654=PLANE('',#339678); #14655=PLANE('',#339682); #14656=PLANE('',#339683); #14657=PLANE('',#339684); #14658=PLANE('',#339685); #14659=PLANE('',#339686); #14660=PLANE('',#339687); #14661=PLANE('',#339700); #14662=PLANE('',#339701); #14663=PLANE('',#339702); #14664=PLANE('',#339703); #14665=PLANE('',#339704); #14666=PLANE('',#339705); #14667=PLANE('',#339706); #14668=PLANE('',#339707); #14669=PLANE('',#339708); #14670=PLANE('',#339709); #14671=PLANE('',#339710); #14672=PLANE('',#339711); #14673=PLANE('',#339712); #14674=PLANE('',#339713); #14675=PLANE('',#339714); #14676=PLANE('',#339715); #14677=PLANE('',#339716); #14678=PLANE('',#339717); #14679=PLANE('',#339718); #14680=PLANE('',#339719); #14681=PLANE('',#339720); #14682=PLANE('',#339721); #14683=PLANE('',#339722); #14684=PLANE('',#339723); #14685=PLANE('',#339724); #14686=PLANE('',#339725); #14687=PLANE('',#339726); #14688=PLANE('',#339727); #14689=PLANE('',#339728); #14690=PLANE('',#339729); #14691=PLANE('',#339730); #14692=PLANE('',#339731); #14693=PLANE('',#339732); #14694=PLANE('',#339733); #14695=PLANE('',#339734); #14696=PLANE('',#339735); #14697=PLANE('',#339736); #14698=PLANE('',#339737); #14699=PLANE('',#339738); #14700=PLANE('',#339739); #14701=PLANE('',#339740); #14702=PLANE('',#339741); #14703=PLANE('',#339742); #14704=PLANE('',#339743); #14705=PLANE('',#339744); #14706=PLANE('',#339745); #14707=PLANE('',#339746); #14708=PLANE('',#339747); #14709=PLANE('',#339748); #14710=PLANE('',#339749); #14711=PLANE('',#339750); #14712=PLANE('',#339751); #14713=PLANE('',#339752); #14714=PLANE('',#339753); #14715=PLANE('',#339754); #14716=PLANE('',#339755); #14717=PLANE('',#339756); #14718=PLANE('',#339757); #14719=PLANE('',#339758); #14720=PLANE('',#339759); #14721=PLANE('',#339760); #14722=PLANE('',#339761); #14723=PLANE('',#339762); #14724=PLANE('',#339763); #14725=PLANE('',#339764); #14726=PLANE('',#339765); #14727=PLANE('',#339766); #14728=PLANE('',#339767); #14729=PLANE('',#339768); #14730=PLANE('',#339769); #14731=PLANE('',#339773); #14732=PLANE('',#339774); #14733=PLANE('',#339775); #14734=PLANE('',#339782); #14735=PLANE('',#339786); #14736=PLANE('',#339790); #14737=PLANE('',#339794); #14738=PLANE('',#339795); #14739=PLANE('',#339796); #14740=PLANE('',#339797); #14741=PLANE('',#339798); #14742=PLANE('',#339799); #14743=PLANE('',#339800); #14744=PLANE('',#339801); #14745=PLANE('',#339802); #14746=PLANE('',#339803); #14747=PLANE('',#339807); #14748=PLANE('',#339808); #14749=PLANE('',#339812); #14750=PLANE('',#339813); #14751=PLANE('',#339814); #14752=PLANE('',#339815); #14753=PLANE('',#339816); #14754=PLANE('',#339817); #14755=PLANE('',#339818); #14756=PLANE('',#339819); #14757=PLANE('',#339820); #14758=PLANE('',#339821); #14759=PLANE('',#339822); #14760=PLANE('',#339823); #14761=PLANE('',#339824); #14762=PLANE('',#339825); #14763=PLANE('',#339826); #14764=PLANE('',#339827); #14765=PLANE('',#339828); #14766=PLANE('',#339829); #14767=PLANE('',#339830); #14768=PLANE('',#339831); #14769=PLANE('',#339835); #14770=PLANE('',#339836); #14771=PLANE('',#339837); #14772=PLANE('',#339841); #14773=PLANE('',#339842); #14774=PLANE('',#339846); #14775=PLANE('',#339853); #14776=PLANE('',#339854); #14777=PLANE('',#339858); #14778=PLANE('',#339859); #14779=PLANE('',#339863); #14780=PLANE('',#339867); #14781=PLANE('',#339868); #14782=PLANE('',#339869); #14783=PLANE('',#339870); #14784=PLANE('',#339871); #14785=PLANE('',#339875); #14786=PLANE('',#339876); #14787=PLANE('',#339913); #14788=PLANE('',#339914); #14789=PLANE('',#339915); #14790=PLANE('',#339916); #14791=PLANE('',#339917); #14792=PLANE('',#339918); #14793=PLANE('',#339919); #14794=PLANE('',#339920); #14795=PLANE('',#339921); #14796=PLANE('',#339922); #14797=PLANE('',#339923); #14798=PLANE('',#339924); #14799=PLANE('',#339925); #14800=PLANE('',#339926); #14801=PLANE('',#339927); #14802=PLANE('',#339928); #14803=PLANE('',#339929); #14804=PLANE('',#339930); #14805=PLANE('',#339931); #14806=PLANE('',#339932); #14807=PLANE('',#339933); #14808=PLANE('',#339934); #14809=PLANE('',#339935); #14810=PLANE('',#339936); #14811=PLANE('',#339937); #14812=PLANE('',#339938); #14813=PLANE('',#339939); #14814=PLANE('',#339940); #14815=PLANE('',#339941); #14816=PLANE('',#339942); #14817=PLANE('',#339943); #14818=PLANE('',#339944); #14819=PLANE('',#339945); #14820=PLANE('',#339946); #14821=PLANE('',#339947); #14822=PLANE('',#339948); #14823=PLANE('',#339949); #14824=PLANE('',#339950); #14825=PLANE('',#339951); #14826=PLANE('',#339952); #14827=PLANE('',#339953); #14828=PLANE('',#339954); #14829=PLANE('',#339955); #14830=PLANE('',#339956); #14831=PLANE('',#339957); #14832=PLANE('',#339958); #14833=PLANE('',#339959); #14834=PLANE('',#339960); #14835=PLANE('',#339961); #14836=PLANE('',#339962); #14837=PLANE('',#339963); #14838=PLANE('',#339964); #14839=PLANE('',#339965); #14840=PLANE('',#339966); #14841=PLANE('',#339967); #14842=PLANE('',#339968); #14843=PLANE('',#339969); #14844=PLANE('',#339970); #14845=PLANE('',#339971); #14846=PLANE('',#339972); #14847=PLANE('',#339973); #14848=PLANE('',#339974); #14849=PLANE('',#339975); #14850=PLANE('',#339976); #14851=PLANE('',#339977); #14852=PLANE('',#339978); #14853=PLANE('',#339979); #14854=PLANE('',#339980); #14855=PLANE('',#339981); #14856=PLANE('',#339982); #14857=PLANE('',#339983); #14858=PLANE('',#339984); #14859=PLANE('',#339985); #14860=PLANE('',#339986); #14861=PLANE('',#339987); #14862=PLANE('',#339988); #14863=PLANE('',#339989); #14864=PLANE('',#339990); #14865=PLANE('',#339991); #14866=PLANE('',#339992); #14867=PLANE('',#339993); #14868=PLANE('',#339994); #14869=PLANE('',#339995); #14870=PLANE('',#339996); #14871=PLANE('',#339997); #14872=PLANE('',#339998); #14873=PLANE('',#339999); #14874=PLANE('',#340000); #14875=PLANE('',#340001); #14876=PLANE('',#340002); #14877=PLANE('',#340003); #14878=PLANE('',#340004); #14879=PLANE('',#340005); #14880=PLANE('',#340006); #14881=PLANE('',#340007); #14882=PLANE('',#340008); #14883=PLANE('',#340009); #14884=PLANE('',#340010); #14885=PLANE('',#340011); #14886=PLANE('',#340012); #14887=PLANE('',#340013); #14888=PLANE('',#340014); #14889=PLANE('',#340015); #14890=PLANE('',#340016); #14891=PLANE('',#340017); #14892=PLANE('',#340018); #14893=PLANE('',#340019); #14894=PLANE('',#340020); #14895=PLANE('',#340021); #14896=PLANE('',#340022); #14897=PLANE('',#340023); #14898=PLANE('',#340024); #14899=PLANE('',#340025); #14900=PLANE('',#340026); #14901=PLANE('',#340027); #14902=PLANE('',#340028); #14903=PLANE('',#340029); #14904=PLANE('',#340030); #14905=PLANE('',#340031); #14906=PLANE('',#340032); #14907=PLANE('',#340033); #14908=PLANE('',#340034); #14909=PLANE('',#340035); #14910=PLANE('',#340036); #14911=PLANE('',#340037); #14912=PLANE('',#340038); #14913=PLANE('',#340039); #14914=PLANE('',#340040); #14915=PLANE('',#340041); #14916=PLANE('',#340042); #14917=PLANE('',#340043); #14918=PLANE('',#340044); #14919=PLANE('',#340045); #14920=PLANE('',#340046); #14921=PLANE('',#340047); #14922=PLANE('',#340048); #14923=PLANE('',#340049); #14924=PLANE('',#340050); #14925=PLANE('',#340051); #14926=PLANE('',#340052); #14927=PLANE('',#340053); #14928=PLANE('',#340054); #14929=PLANE('',#340055); #14930=PLANE('',#340056); #14931=PLANE('',#340057); #14932=PLANE('',#340058); #14933=PLANE('',#340059); #14934=PLANE('',#340060); #14935=PLANE('',#340061); #14936=PLANE('',#340062); #14937=PLANE('',#340063); #14938=PLANE('',#340064); #14939=PLANE('',#340065); #14940=PLANE('',#340066); #14941=PLANE('',#340067); #14942=PLANE('',#340068); #14943=PLANE('',#340069); #14944=PLANE('',#340070); #14945=PLANE('',#340071); #14946=PLANE('',#340072); #14947=PLANE('',#340073); #14948=PLANE('',#340074); #14949=PLANE('',#340075); #14950=PLANE('',#340076); #14951=PLANE('',#340077); #14952=PLANE('',#340078); #14953=PLANE('',#340079); #14954=PLANE('',#340080); #14955=PLANE('',#340081); #14956=PLANE('',#340082); #14957=PLANE('',#340083); #14958=PLANE('',#340084); #14959=PLANE('',#340085); #14960=PLANE('',#340086); #14961=PLANE('',#340087); #14962=PLANE('',#340088); #14963=PLANE('',#340089); #14964=PLANE('',#340090); #14965=PLANE('',#340091); #14966=PLANE('',#340092); #14967=PLANE('',#340093); #14968=PLANE('',#340094); #14969=PLANE('',#340095); #14970=PLANE('',#340096); #14971=PLANE('',#340097); #14972=PLANE('',#340098); #14973=PLANE('',#340099); #14974=PLANE('',#340100); #14975=PLANE('',#340101); #14976=PLANE('',#340102); #14977=PLANE('',#340103); #14978=PLANE('',#340104); #14979=PLANE('',#340105); #14980=PLANE('',#340106); #14981=PLANE('',#340107); #14982=PLANE('',#340108); #14983=PLANE('',#340109); #14984=PLANE('',#340110); #14985=PLANE('',#340111); #14986=PLANE('',#340112); #14987=PLANE('',#340113); #14988=PLANE('',#340114); #14989=PLANE('',#340115); #14990=PLANE('',#340116); #14991=PLANE('',#340117); #14992=PLANE('',#340118); #14993=PLANE('',#340119); #14994=PLANE('',#340120); #14995=PLANE('',#340121); #14996=PLANE('',#340122); #14997=PLANE('',#340123); #14998=PLANE('',#340124); #14999=PLANE('',#340125); #15000=PLANE('',#340126); #15001=PLANE('',#340127); #15002=PLANE('',#340128); #15003=PLANE('',#340129); #15004=PLANE('',#340130); #15005=PLANE('',#340131); #15006=PLANE('',#340132); #15007=PLANE('',#340133); #15008=PLANE('',#340134); #15009=PLANE('',#340135); #15010=PLANE('',#340136); #15011=PLANE('',#340137); #15012=PLANE('',#340138); #15013=PLANE('',#340139); #15014=PLANE('',#340140); #15015=PLANE('',#340141); #15016=PLANE('',#340142); #15017=PLANE('',#340143); #15018=PLANE('',#340144); #15019=PLANE('',#340145); #15020=PLANE('',#340146); #15021=PLANE('',#340147); #15022=PLANE('',#340148); #15023=PLANE('',#340149); #15024=PLANE('',#340150); #15025=PLANE('',#340151); #15026=PLANE('',#340152); #15027=PLANE('',#340153); #15028=PLANE('',#340154); #15029=PLANE('',#340155); #15030=PLANE('',#340156); #15031=PLANE('',#340157); #15032=PLANE('',#340158); #15033=PLANE('',#340159); #15034=PLANE('',#340160); #15035=PLANE('',#340161); #15036=PLANE('',#340162); #15037=PLANE('',#340163); #15038=PLANE('',#340164); #15039=PLANE('',#340165); #15040=PLANE('',#340166); #15041=PLANE('',#340167); #15042=PLANE('',#340168); #15043=PLANE('',#340169); #15044=PLANE('',#340170); #15045=PLANE('',#340171); #15046=PLANE('',#340172); #15047=PLANE('',#340173); #15048=PLANE('',#340174); #15049=PLANE('',#340175); #15050=PLANE('',#340176); #15051=PLANE('',#340177); #15052=PLANE('',#340178); #15053=PLANE('',#340179); #15054=PLANE('',#340180); #15055=PLANE('',#340181); #15056=PLANE('',#340182); #15057=PLANE('',#340183); #15058=PLANE('',#340184); #15059=PLANE('',#340185); #15060=PLANE('',#340186); #15061=PLANE('',#340187); #15062=PLANE('',#340188); #15063=PLANE('',#340189); #15064=PLANE('',#340190); #15065=PLANE('',#340191); #15066=PLANE('',#340192); #15067=PLANE('',#340193); #15068=PLANE('',#340194); #15069=PLANE('',#340195); #15070=PLANE('',#340196); #15071=PLANE('',#340197); #15072=PLANE('',#340198); #15073=PLANE('',#340199); #15074=PLANE('',#340200); #15075=PLANE('',#340201); #15076=PLANE('',#340202); #15077=PLANE('',#340203); #15078=PLANE('',#340204); #15079=PLANE('',#340205); #15080=PLANE('',#340206); #15081=PLANE('',#340207); #15082=PLANE('',#340208); #15083=PLANE('',#340209); #15084=PLANE('',#340210); #15085=PLANE('',#340211); #15086=PLANE('',#340212); #15087=PLANE('',#340213); #15088=PLANE('',#340214); #15089=PLANE('',#340215); #15090=PLANE('',#340216); #15091=PLANE('',#340217); #15092=PLANE('',#340218); #15093=PLANE('',#340219); #15094=PLANE('',#340220); #15095=PLANE('',#340221); #15096=PLANE('',#340222); #15097=PLANE('',#340223); #15098=PLANE('',#340224); #15099=PLANE('',#340225); #15100=PLANE('',#340226); #15101=PLANE('',#340227); #15102=PLANE('',#340228); #15103=PLANE('',#340229); #15104=PLANE('',#340230); #15105=PLANE('',#340231); #15106=PLANE('',#340232); #15107=PLANE('',#340233); #15108=PLANE('',#340234); #15109=PLANE('',#340235); #15110=PLANE('',#340236); #15111=PLANE('',#340237); #15112=PLANE('',#340238); #15113=PLANE('',#340239); #15114=PLANE('',#340240); #15115=PLANE('',#340241); #15116=PLANE('',#340242); #15117=PLANE('',#340243); #15118=PLANE('',#340244); #15119=PLANE('',#340437); #15120=PLANE('',#340441); #15121=PLANE('',#340445); #15122=PLANE('',#340449); #15123=PLANE('',#340459); #15124=PLANE('',#340463); #15125=PLANE('',#340482); #15126=PLANE('',#340486); #15127=PLANE('',#340493); #15128=PLANE('',#340497); #15129=PLANE('',#340501); #15130=PLANE('',#340505); #15131=PLANE('',#340665); #15132=PLANE('',#340666); #15133=PLANE('',#340667); #15134=PLANE('',#340668); #15135=PLANE('',#340669); #15136=PLANE('',#340670); #15137=PLANE('',#340671); #15138=PLANE('',#340672); #15139=PLANE('',#340673); #15140=PLANE('',#340674); #15141=PLANE('',#340675); #15142=PLANE('',#340676); #15143=PLANE('',#340677); #15144=PLANE('',#340678); #15145=PLANE('',#340679); #15146=PLANE('',#340680); #15147=PLANE('',#340681); #15148=PLANE('',#340682); #15149=PLANE('',#340683); #15150=PLANE('',#340684); #15151=PLANE('',#340685); #15152=PLANE('',#340686); #15153=PLANE('',#340687); #15154=PLANE('',#340688); #15155=PLANE('',#340689); #15156=PLANE('',#340690); #15157=PLANE('',#340691); #15158=PLANE('',#340692); #15159=PLANE('',#340693); #15160=PLANE('',#340694); #15161=PLANE('',#340695); #15162=PLANE('',#340696); #15163=PLANE('',#340697); #15164=PLANE('',#340698); #15165=PLANE('',#340699); #15166=PLANE('',#340700); #15167=PLANE('',#340701); #15168=PLANE('',#340702); #15169=PLANE('',#340703); #15170=PLANE('',#340704); #15171=PLANE('',#340705); #15172=PLANE('',#340706); #15173=PLANE('',#340707); #15174=PLANE('',#340708); #15175=PLANE('',#340709); #15176=PLANE('',#340710); #15177=PLANE('',#340711); #15178=PLANE('',#340712); #15179=PLANE('',#340713); #15180=PLANE('',#340714); #15181=PLANE('',#340715); #15182=PLANE('',#340716); #15183=PLANE('',#340717); #15184=PLANE('',#340718); #15185=PLANE('',#340719); #15186=PLANE('',#340720); #15187=PLANE('',#340721); #15188=PLANE('',#340722); #15189=PLANE('',#340723); #15190=PLANE('',#340724); #15191=PLANE('',#340725); #15192=PLANE('',#340726); #15193=PLANE('',#340727); #15194=PLANE('',#340728); #15195=PLANE('',#340729); #15196=PLANE('',#340730); #15197=PLANE('',#340731); #15198=PLANE('',#340732); #15199=PLANE('',#340733); #15200=PLANE('',#340734); #15201=PLANE('',#340735); #15202=PLANE('',#340736); #15203=PLANE('',#340737); #15204=PLANE('',#340738); #15205=PLANE('',#340739); #15206=PLANE('',#340740); #15207=PLANE('',#340741); #15208=PLANE('',#340742); #15209=PLANE('',#340743); #15210=PLANE('',#340744); #15211=PLANE('',#340745); #15212=PLANE('',#340746); #15213=PLANE('',#340747); #15214=PLANE('',#340748); #15215=PLANE('',#340749); #15216=PLANE('',#340750); #15217=PLANE('',#340751); #15218=PLANE('',#340752); #15219=PLANE('',#340753); #15220=PLANE('',#340754); #15221=PLANE('',#340755); #15222=PLANE('',#340756); #15223=PLANE('',#340757); #15224=PLANE('',#340758); #15225=PLANE('',#340759); #15226=PLANE('',#340760); #15227=PLANE('',#340761); #15228=PLANE('',#340762); #15229=PLANE('',#340763); #15230=PLANE('',#340764); #15231=PLANE('',#340765); #15232=PLANE('',#340766); #15233=PLANE('',#340767); #15234=PLANE('',#340768); #15235=PLANE('',#340769); #15236=PLANE('',#340770); #15237=PLANE('',#340771); #15238=PLANE('',#340772); #15239=PLANE('',#340773); #15240=PLANE('',#340774); #15241=PLANE('',#340775); #15242=PLANE('',#340776); #15243=PLANE('',#340777); #15244=PLANE('',#340778); #15245=PLANE('',#340779); #15246=PLANE('',#340780); #15247=PLANE('',#340781); #15248=PLANE('',#340782); #15249=PLANE('',#340783); #15250=PLANE('',#340784); #15251=PLANE('',#340785); #15252=PLANE('',#340786); #15253=PLANE('',#340787); #15254=PLANE('',#340788); #15255=PLANE('',#340789); #15256=PLANE('',#340790); #15257=PLANE('',#340791); #15258=PLANE('',#340792); #15259=PLANE('',#340793); #15260=PLANE('',#340794); #15261=PLANE('',#340795); #15262=PLANE('',#340796); #15263=PLANE('',#340797); #15264=PLANE('',#340798); #15265=PLANE('',#340799); #15266=PLANE('',#340800); #15267=PLANE('',#340801); #15268=PLANE('',#340802); #15269=PLANE('',#340803); #15270=PLANE('',#340804); #15271=PLANE('',#340805); #15272=PLANE('',#340806); #15273=PLANE('',#340807); #15274=PLANE('',#340808); #15275=PLANE('',#340809); #15276=PLANE('',#340810); #15277=PLANE('',#340811); #15278=PLANE('',#340812); #15279=PLANE('',#340813); #15280=PLANE('',#340814); #15281=PLANE('',#340815); #15282=PLANE('',#340816); #15283=PLANE('',#340817); #15284=PLANE('',#340818); #15285=PLANE('',#340819); #15286=PLANE('',#340820); #15287=PLANE('',#340821); #15288=PLANE('',#340822); #15289=PLANE('',#340823); #15290=PLANE('',#340824); #15291=PLANE('',#340825); #15292=PLANE('',#340826); #15293=PLANE('',#340827); #15294=PLANE('',#340828); #15295=PLANE('',#340829); #15296=PLANE('',#340830); #15297=PLANE('',#340831); #15298=PLANE('',#340832); #15299=PLANE('',#340833); #15300=PLANE('',#340834); #15301=PLANE('',#340835); #15302=PLANE('',#340836); #15303=PLANE('',#340837); #15304=PLANE('',#340838); #15305=PLANE('',#340839); #15306=PLANE('',#340840); #15307=PLANE('',#340841); #15308=PLANE('',#340842); #15309=PLANE('',#340843); #15310=PLANE('',#340844); #15311=PLANE('',#340845); #15312=PLANE('',#340846); #15313=PLANE('',#340847); #15314=PLANE('',#340848); #15315=PLANE('',#340849); #15316=PLANE('',#340850); #15317=PLANE('',#340851); #15318=PLANE('',#340852); #15319=PLANE('',#340853); #15320=PLANE('',#340854); #15321=PLANE('',#340855); #15322=PLANE('',#340856); #15323=PLANE('',#340857); #15324=PLANE('',#340858); #15325=PLANE('',#340859); #15326=PLANE('',#340860); #15327=PLANE('',#340861); #15328=PLANE('',#340862); #15329=PLANE('',#340863); #15330=PLANE('',#340864); #15331=PLANE('',#340865); #15332=PLANE('',#340866); #15333=PLANE('',#340867); #15334=PLANE('',#340868); #15335=PLANE('',#340869); #15336=PLANE('',#340870); #15337=PLANE('',#340871); #15338=PLANE('',#340872); #15339=PLANE('',#340873); #15340=PLANE('',#340874); #15341=PLANE('',#340875); #15342=PLANE('',#340876); #15343=PLANE('',#340877); #15344=PLANE('',#340878); #15345=PLANE('',#340879); #15346=PLANE('',#340880); #15347=PLANE('',#340881); #15348=PLANE('',#340882); #15349=PLANE('',#340883); #15350=PLANE('',#340884); #15351=PLANE('',#340885); #15352=PLANE('',#340886); #15353=PLANE('',#340887); #15354=PLANE('',#340888); #15355=PLANE('',#340889); #15356=PLANE('',#340890); #15357=PLANE('',#340891); #15358=PLANE('',#340892); #15359=PLANE('',#340893); #15360=PLANE('',#340894); #15361=PLANE('',#340895); #15362=PLANE('',#340896); #15363=PLANE('',#340897); #15364=PLANE('',#340898); #15365=PLANE('',#340899); #15366=PLANE('',#340900); #15367=PLANE('',#340901); #15368=PLANE('',#340902); #15369=PLANE('',#340903); #15370=PLANE('',#340904); #15371=PLANE('',#340905); #15372=PLANE('',#340906); #15373=PLANE('',#340907); #15374=PLANE('',#340908); #15375=PLANE('',#340909); #15376=PLANE('',#340910); #15377=PLANE('',#340911); #15378=PLANE('',#340912); #15379=PLANE('',#340913); #15380=PLANE('',#340914); #15381=PLANE('',#340915); #15382=PLANE('',#340916); #15383=PLANE('',#340917); #15384=PLANE('',#340918); #15385=PLANE('',#340919); #15386=PLANE('',#340920); #15387=PLANE('',#340921); #15388=PLANE('',#340922); #15389=PLANE('',#340923); #15390=PLANE('',#340924); #15391=PLANE('',#340925); #15392=PLANE('',#340926); #15393=PLANE('',#340927); #15394=PLANE('',#340928); #15395=PLANE('',#340929); #15396=PLANE('',#340930); #15397=PLANE('',#340931); #15398=PLANE('',#340932); #15399=PLANE('',#340933); #15400=PLANE('',#340934); #15401=PLANE('',#340935); #15402=PLANE('',#340936); #15403=PLANE('',#340937); #15404=PLANE('',#340938); #15405=PLANE('',#340939); #15406=PLANE('',#340940); #15407=PLANE('',#340941); #15408=PLANE('',#340942); #15409=PLANE('',#340943); #15410=PLANE('',#340944); #15411=PLANE('',#340945); #15412=PLANE('',#340946); #15413=PLANE('',#340947); #15414=PLANE('',#340948); #15415=PLANE('',#340949); #15416=PLANE('',#340950); #15417=PLANE('',#340951); #15418=PLANE('',#340952); #15419=PLANE('',#340953); #15420=PLANE('',#340954); #15421=PLANE('',#340955); #15422=PLANE('',#340956); #15423=PLANE('',#340957); #15424=PLANE('',#340958); #15425=PLANE('',#340959); #15426=PLANE('',#340960); #15427=PLANE('',#340961); #15428=PLANE('',#340962); #15429=PLANE('',#340963); #15430=PLANE('',#340964); #15431=PLANE('',#340965); #15432=PLANE('',#340966); #15433=PLANE('',#340967); #15434=PLANE('',#340968); #15435=PLANE('',#340969); #15436=PLANE('',#340970); #15437=PLANE('',#340971); #15438=PLANE('',#340972); #15439=PLANE('',#340973); #15440=PLANE('',#340974); #15441=PLANE('',#340975); #15442=PLANE('',#340976); #15443=PLANE('',#340977); #15444=PLANE('',#340978); #15445=PLANE('',#340979); #15446=PLANE('',#340980); #15447=PLANE('',#340981); #15448=PLANE('',#340982); #15449=PLANE('',#340983); #15450=PLANE('',#340984); #15451=PLANE('',#340985); #15452=PLANE('',#340986); #15453=PLANE('',#340987); #15454=PLANE('',#340988); #15455=PLANE('',#340989); #15456=PLANE('',#340990); #15457=PLANE('',#340991); #15458=PLANE('',#340992); #15459=PLANE('',#340993); #15460=PLANE('',#340994); #15461=PLANE('',#340995); #15462=PLANE('',#340996); #15463=PLANE('',#340997); #15464=PLANE('',#340998); #15465=PLANE('',#340999); #15466=PLANE('',#341000); #15467=PLANE('',#341001); #15468=PLANE('',#341002); #15469=PLANE('',#341003); #15470=PLANE('',#341004); #15471=PLANE('',#341005); #15472=PLANE('',#341006); #15473=PLANE('',#341007); #15474=PLANE('',#341008); #15475=PLANE('',#341009); #15476=PLANE('',#341010); #15477=PLANE('',#341011); #15478=PLANE('',#341012); #15479=PLANE('',#341013); #15480=PLANE('',#341014); #15481=PLANE('',#341015); #15482=PLANE('',#341016); #15483=PLANE('',#341017); #15484=PLANE('',#341018); #15485=PLANE('',#341019); #15486=PLANE('',#341020); #15487=PLANE('',#341021); #15488=PLANE('',#341022); #15489=PLANE('',#341023); #15490=PLANE('',#341024); #15491=PLANE('',#341025); #15492=PLANE('',#341026); #15493=PLANE('',#341027); #15494=PLANE('',#341028); #15495=PLANE('',#341029); #15496=PLANE('',#341030); #15497=PLANE('',#341031); #15498=PLANE('',#341032); #15499=PLANE('',#341033); #15500=PLANE('',#341034); #15501=PLANE('',#341035); #15502=PLANE('',#341036); #15503=PLANE('',#341037); #15504=PLANE('',#341038); #15505=PLANE('',#341039); #15506=PLANE('',#341040); #15507=PLANE('',#341041); #15508=PLANE('',#341042); #15509=PLANE('',#341043); #15510=PLANE('',#341044); #15511=PLANE('',#341045); #15512=PLANE('',#341046); #15513=PLANE('',#341047); #15514=PLANE('',#341048); #15515=PLANE('',#341049); #15516=PLANE('',#341050); #15517=PLANE('',#341051); #15518=PLANE('',#341052); #15519=PLANE('',#341053); #15520=PLANE('',#341054); #15521=PLANE('',#341055); #15522=PLANE('',#341056); #15523=PLANE('',#341057); #15524=PLANE('',#341058); #15525=PLANE('',#341059); #15526=PLANE('',#341060); #15527=PLANE('',#341061); #15528=PLANE('',#341062); #15529=PLANE('',#341063); #15530=PLANE('',#341064); #15531=PLANE('',#341065); #15532=PLANE('',#341066); #15533=PLANE('',#341067); #15534=PLANE('',#341068); #15535=PLANE('',#341069); #15536=PLANE('',#341070); #15537=PLANE('',#341071); #15538=PLANE('',#341072); #15539=PLANE('',#341073); #15540=PLANE('',#341074); #15541=PLANE('',#341075); #15542=PLANE('',#341076); #15543=PLANE('',#341077); #15544=PLANE('',#341078); #15545=PLANE('',#341079); #15546=PLANE('',#341080); #15547=PLANE('',#341081); #15548=PLANE('',#341082); #15549=PLANE('',#341083); #15550=PLANE('',#341084); #15551=PLANE('',#341085); #15552=PLANE('',#341086); #15553=PLANE('',#341087); #15554=PLANE('',#341088); #15555=PLANE('',#341089); #15556=PLANE('',#341090); #15557=PLANE('',#341091); #15558=PLANE('',#341092); #15559=PLANE('',#341093); #15560=PLANE('',#341094); #15561=PLANE('',#341095); #15562=PLANE('',#341096); #15563=PLANE('',#341097); #15564=PLANE('',#341098); #15565=PLANE('',#341099); #15566=PLANE('',#341100); #15567=PLANE('',#341101); #15568=PLANE('',#341102); #15569=PLANE('',#341103); #15570=PLANE('',#341104); #15571=PLANE('',#341105); #15572=PLANE('',#341106); #15573=PLANE('',#341107); #15574=PLANE('',#341108); #15575=PLANE('',#341109); #15576=PLANE('',#341110); #15577=PLANE('',#341111); #15578=PLANE('',#341112); #15579=PLANE('',#341113); #15580=PLANE('',#341114); #15581=PLANE('',#341115); #15582=PLANE('',#341116); #15583=PLANE('',#341117); #15584=PLANE('',#341118); #15585=PLANE('',#341119); #15586=PLANE('',#341120); #15587=PLANE('',#341121); #15588=PLANE('',#341122); #15589=PLANE('',#341123); #15590=PLANE('',#341124); #15591=PLANE('',#341125); #15592=PLANE('',#341126); #15593=PLANE('',#341127); #15594=PLANE('',#341128); #15595=PLANE('',#341129); #15596=PLANE('',#341130); #15597=PLANE('',#341131); #15598=PLANE('',#341132); #15599=PLANE('',#341133); #15600=PLANE('',#341134); #15601=PLANE('',#341135); #15602=PLANE('',#341136); #15603=PLANE('',#341137); #15604=PLANE('',#341138); #15605=PLANE('',#341139); #15606=PLANE('',#341140); #15607=PLANE('',#341141); #15608=PLANE('',#341142); #15609=PLANE('',#341143); #15610=PLANE('',#341144); #15611=PLANE('',#341145); #15612=PLANE('',#341146); #15613=PLANE('',#341147); #15614=PLANE('',#341148); #15615=PLANE('',#341149); #15616=PLANE('',#341150); #15617=PLANE('',#341151); #15618=PLANE('',#341152); #15619=PLANE('',#341153); #15620=PLANE('',#341154); #15621=PLANE('',#341155); #15622=PLANE('',#341156); #15623=PLANE('',#341157); #15624=PLANE('',#341158); #15625=PLANE('',#341159); #15626=PLANE('',#341160); #15627=PLANE('',#341161); #15628=PLANE('',#341162); #15629=PLANE('',#341163); #15630=PLANE('',#341164); #15631=PLANE('',#341165); #15632=PLANE('',#341166); #15633=PLANE('',#341167); #15634=PLANE('',#341168); #15635=PLANE('',#341169); #15636=PLANE('',#341170); #15637=PLANE('',#341171); #15638=PLANE('',#341172); #15639=PLANE('',#341173); #15640=PLANE('',#341174); #15641=PLANE('',#341175); #15642=PLANE('',#341176); #15643=PLANE('',#341177); #15644=PLANE('',#341178); #15645=PLANE('',#341179); #15646=PLANE('',#341180); #15647=PLANE('',#341181); #15648=PLANE('',#341182); #15649=PLANE('',#341183); #15650=PLANE('',#341184); #15651=PLANE('',#341185); #15652=PLANE('',#341186); #15653=PLANE('',#341187); #15654=PLANE('',#341188); #15655=PLANE('',#341189); #15656=PLANE('',#341190); #15657=PLANE('',#341191); #15658=PLANE('',#341192); #15659=PLANE('',#341193); #15660=PLANE('',#341194); #15661=PLANE('',#341195); #15662=PLANE('',#341196); #15663=PLANE('',#341197); #15664=PLANE('',#341198); #15665=PLANE('',#341199); #15666=PLANE('',#341200); #15667=PLANE('',#341201); #15668=PLANE('',#341202); #15669=PLANE('',#341203); #15670=PLANE('',#341204); #15671=PLANE('',#341205); #15672=PLANE('',#341206); #15673=PLANE('',#341207); #15674=PLANE('',#341208); #15675=PLANE('',#341209); #15676=PLANE('',#341210); #15677=PLANE('',#341211); #15678=PLANE('',#341212); #15679=PLANE('',#341213); #15680=PLANE('',#341214); #15681=PLANE('',#341215); #15682=PLANE('',#341216); #15683=PLANE('',#341217); #15684=PLANE('',#341218); #15685=PLANE('',#341219); #15686=PLANE('',#341220); #15687=PLANE('',#341221); #15688=PLANE('',#341222); #15689=PLANE('',#341223); #15690=PLANE('',#341224); #15691=PLANE('',#341225); #15692=PLANE('',#341226); #15693=PLANE('',#341227); #15694=PLANE('',#341228); #15695=PLANE('',#341229); #15696=PLANE('',#341230); #15697=PLANE('',#341231); #15698=PLANE('',#341232); #15699=PLANE('',#341233); #15700=PLANE('',#341234); #15701=PLANE('',#341235); #15702=PLANE('',#341236); #15703=PLANE('',#341237); #15704=PLANE('',#341238); #15705=PLANE('',#341239); #15706=PLANE('',#341240); #15707=PLANE('',#341241); #15708=PLANE('',#341242); #15709=PLANE('',#341243); #15710=PLANE('',#341244); #15711=PLANE('',#341245); #15712=PLANE('',#341246); #15713=PLANE('',#341247); #15714=PLANE('',#341248); #15715=PLANE('',#341249); #15716=PLANE('',#341250); #15717=PLANE('',#341251); #15718=PLANE('',#341252); #15719=PLANE('',#341253); #15720=PLANE('',#341254); #15721=PLANE('',#341255); #15722=PLANE('',#341256); #15723=PLANE('',#341257); #15724=PLANE('',#341258); #15725=PLANE('',#341259); #15726=PLANE('',#341260); #15727=PLANE('',#341261); #15728=PLANE('',#341262); #15729=PLANE('',#341263); #15730=PLANE('',#341264); #15731=PLANE('',#341265); #15732=PLANE('',#341266); #15733=PLANE('',#341267); #15734=PLANE('',#341268); #15735=PLANE('',#341269); #15736=PLANE('',#341270); #15737=PLANE('',#341271); #15738=PLANE('',#341272); #15739=PLANE('',#341273); #15740=PLANE('',#341274); #15741=PLANE('',#341275); #15742=PLANE('',#341276); #15743=PLANE('',#341277); #15744=PLANE('',#341278); #15745=PLANE('',#341279); #15746=PLANE('',#341280); #15747=PLANE('',#341281); #15748=PLANE('',#341282); #15749=PLANE('',#341283); #15750=PLANE('',#341284); #15751=PLANE('',#341285); #15752=PLANE('',#341286); #15753=PLANE('',#341287); #15754=PLANE('',#341288); #15755=PLANE('',#341289); #15756=PLANE('',#341290); #15757=PLANE('',#341291); #15758=PLANE('',#341292); #15759=PLANE('',#341293); #15760=PLANE('',#341294); #15761=PLANE('',#341295); #15762=PLANE('',#341296); #15763=PLANE('',#341297); #15764=PLANE('',#341298); #15765=PLANE('',#341299); #15766=PLANE('',#341300); #15767=PLANE('',#341301); #15768=PLANE('',#341302); #15769=PLANE('',#341303); #15770=PLANE('',#341304); #15771=PLANE('',#341305); #15772=PLANE('',#341306); #15773=PLANE('',#341307); #15774=PLANE('',#341308); #15775=PLANE('',#341309); #15776=PLANE('',#341310); #15777=PLANE('',#341311); #15778=PLANE('',#341312); #15779=PLANE('',#341313); #15780=PLANE('',#341314); #15781=PLANE('',#341315); #15782=PLANE('',#341316); #15783=PLANE('',#341317); #15784=PLANE('',#341318); #15785=PLANE('',#341319); #15786=PLANE('',#341320); #15787=PLANE('',#341321); #15788=PLANE('',#341322); #15789=PLANE('',#341323); #15790=PLANE('',#341324); #15791=PLANE('',#341325); #15792=PLANE('',#341326); #15793=PLANE('',#341327); #15794=PLANE('',#341328); #15795=PLANE('',#341329); #15796=PLANE('',#341330); #15797=PLANE('',#341331); #15798=PLANE('',#341332); #15799=PLANE('',#341333); #15800=PLANE('',#341334); #15801=PLANE('',#341335); #15802=PLANE('',#341336); #15803=PLANE('',#341337); #15804=PLANE('',#341338); #15805=PLANE('',#341339); #15806=PLANE('',#341340); #15807=PLANE('',#341341); #15808=PLANE('',#341342); #15809=PLANE('',#341343); #15810=PLANE('',#341344); #15811=PLANE('',#341345); #15812=PLANE('',#341346); #15813=PLANE('',#341347); #15814=PLANE('',#341348); #15815=PLANE('',#341349); #15816=PLANE('',#341350); #15817=PLANE('',#341351); #15818=PLANE('',#341352); #15819=PLANE('',#341353); #15820=PLANE('',#341354); #15821=PLANE('',#341355); #15822=PLANE('',#341356); #15823=PLANE('',#341357); #15824=PLANE('',#341358); #15825=PLANE('',#341359); #15826=PLANE('',#341360); #15827=PLANE('',#341361); #15828=PLANE('',#341362); #15829=PLANE('',#341363); #15830=PLANE('',#341364); #15831=PLANE('',#341365); #15832=PLANE('',#341366); #15833=PLANE('',#341367); #15834=PLANE('',#341368); #15835=PLANE('',#341369); #15836=PLANE('',#341370); #15837=PLANE('',#341371); #15838=PLANE('',#341372); #15839=PLANE('',#341373); #15840=PLANE('',#341374); #15841=PLANE('',#341375); #15842=PLANE('',#341376); #15843=PLANE('',#341377); #15844=PLANE('',#341378); #15845=PLANE('',#341379); #15846=PLANE('',#341380); #15847=PLANE('',#341381); #15848=PLANE('',#341382); #15849=PLANE('',#341383); #15850=PLANE('',#341384); #15851=PLANE('',#341385); #15852=PLANE('',#341386); #15853=PLANE('',#341387); #15854=PLANE('',#341388); #15855=PLANE('',#341389); #15856=PLANE('',#341390); #15857=PLANE('',#341391); #15858=PLANE('',#341392); #15859=PLANE('',#341393); #15860=PLANE('',#341394); #15861=PLANE('',#341395); #15862=PLANE('',#341396); #15863=PLANE('',#341397); #15864=PLANE('',#341398); #15865=PLANE('',#341399); #15866=PLANE('',#341400); #15867=PLANE('',#341401); #15868=PLANE('',#341402); #15869=PLANE('',#341403); #15870=PLANE('',#341404); #15871=PLANE('',#341405); #15872=PLANE('',#341406); #15873=PLANE('',#341407); #15874=PLANE('',#341408); #15875=PLANE('',#341409); #15876=PLANE('',#341410); #15877=PLANE('',#341411); #15878=PLANE('',#341412); #15879=PLANE('',#341413); #15880=PLANE('',#341414); #15881=PLANE('',#341415); #15882=PLANE('',#341416); #15883=PLANE('',#341417); #15884=PLANE('',#341418); #15885=PLANE('',#341419); #15886=PLANE('',#341420); #15887=PLANE('',#341421); #15888=PLANE('',#341422); #15889=PLANE('',#341423); #15890=PLANE('',#341424); #15891=PLANE('',#341425); #15892=PLANE('',#341426); #15893=PLANE('',#341427); #15894=PLANE('',#341428); #15895=PLANE('',#341429); #15896=PLANE('',#341430); #15897=PLANE('',#341431); #15898=PLANE('',#341432); #15899=PLANE('',#341433); #15900=PLANE('',#341434); #15901=PLANE('',#341435); #15902=PLANE('',#341436); #15903=PLANE('',#341437); #15904=PLANE('',#341438); #15905=PLANE('',#341439); #15906=PLANE('',#341440); #15907=PLANE('',#341441); #15908=PLANE('',#341442); #15909=PLANE('',#341443); #15910=PLANE('',#341444); #15911=PLANE('',#341445); #15912=PLANE('',#341446); #15913=PLANE('',#341447); #15914=PLANE('',#341448); #15915=PLANE('',#341449); #15916=PLANE('',#341450); #15917=PLANE('',#341451); #15918=PLANE('',#341452); #15919=PLANE('',#341453); #15920=PLANE('',#341454); #15921=PLANE('',#341455); #15922=PLANE('',#341456); #15923=PLANE('',#341457); #15924=PLANE('',#341458); #15925=PLANE('',#341459); #15926=PLANE('',#341460); #15927=PLANE('',#341461); #15928=PLANE('',#341462); #15929=PLANE('',#341463); #15930=PLANE('',#341464); #15931=PLANE('',#341465); #15932=PLANE('',#341466); #15933=PLANE('',#341467); #15934=PLANE('',#341468); #15935=PLANE('',#341469); #15936=PLANE('',#341470); #15937=PLANE('',#341471); #15938=PLANE('',#341472); #15939=PLANE('',#341473); #15940=PLANE('',#341474); #15941=PLANE('',#341475); #15942=PLANE('',#341476); #15943=PLANE('',#341477); #15944=PLANE('',#341478); #15945=PLANE('',#341479); #15946=PLANE('',#341480); #15947=PLANE('',#341481); #15948=PLANE('',#341482); #15949=PLANE('',#341483); #15950=PLANE('',#341484); #15951=PLANE('',#341485); #15952=PLANE('',#341486); #15953=PLANE('',#341487); #15954=PLANE('',#341488); #15955=PLANE('',#341489); #15956=PLANE('',#341490); #15957=PLANE('',#341491); #15958=PLANE('',#341492); #15959=PLANE('',#341493); #15960=PLANE('',#341494); #15961=PLANE('',#341495); #15962=PLANE('',#341496); #15963=PLANE('',#341497); #15964=PLANE('',#341498); #15965=PLANE('',#341499); #15966=PLANE('',#341500); #15967=PLANE('',#341501); #15968=PLANE('',#341502); #15969=PLANE('',#341503); #15970=PLANE('',#341504); #15971=PLANE('',#341505); #15972=PLANE('',#341506); #15973=PLANE('',#341507); #15974=PLANE('',#341508); #15975=PLANE('',#341509); #15976=PLANE('',#341510); #15977=PLANE('',#341511); #15978=PLANE('',#341512); #15979=PLANE('',#341513); #15980=PLANE('',#341514); #15981=PLANE('',#341515); #15982=PLANE('',#341516); #15983=PLANE('',#341517); #15984=PLANE('',#341518); #15985=PLANE('',#341519); #15986=PLANE('',#341520); #15987=PLANE('',#341521); #15988=PLANE('',#341522); #15989=PLANE('',#341523); #15990=PLANE('',#341524); #15991=PLANE('',#341525); #15992=PLANE('',#341526); #15993=PLANE('',#341527); #15994=PLANE('',#341528); #15995=PLANE('',#341529); #15996=PLANE('',#341530); #15997=PLANE('',#341531); #15998=PLANE('',#341532); #15999=PLANE('',#341533); #16000=PLANE('',#341534); #16001=PLANE('',#341535); #16002=PLANE('',#341536); #16003=PLANE('',#341537); #16004=PLANE('',#341538); #16005=PLANE('',#341539); #16006=PLANE('',#341540); #16007=PLANE('',#341541); #16008=PLANE('',#341542); #16009=PLANE('',#341543); #16010=PLANE('',#341544); #16011=PLANE('',#341545); #16012=PLANE('',#341546); #16013=PLANE('',#341547); #16014=PLANE('',#341548); #16015=PLANE('',#341549); #16016=PLANE('',#341550); #16017=PLANE('',#341551); #16018=PLANE('',#341552); #16019=PLANE('',#341553); #16020=PLANE('',#341554); #16021=PLANE('',#341555); #16022=PLANE('',#341556); #16023=PLANE('',#341557); #16024=PLANE('',#341558); #16025=PLANE('',#341559); #16026=PLANE('',#341560); #16027=PLANE('',#341561); #16028=PLANE('',#341562); #16029=PLANE('',#341563); #16030=PLANE('',#341564); #16031=PLANE('',#341565); #16032=PLANE('',#341566); #16033=PLANE('',#341567); #16034=PLANE('',#341568); #16035=PLANE('',#341569); #16036=PLANE('',#341570); #16037=PLANE('',#341571); #16038=PLANE('',#341572); #16039=PLANE('',#341573); #16040=PLANE('',#341574); #16041=PLANE('',#341575); #16042=PLANE('',#341576); #16043=PLANE('',#341577); #16044=PLANE('',#341578); #16045=PLANE('',#341579); #16046=PLANE('',#341580); #16047=PLANE('',#341581); #16048=PLANE('',#341582); #16049=PLANE('',#341583); #16050=PLANE('',#341584); #16051=PLANE('',#341585); #16052=PLANE('',#341586); #16053=PLANE('',#341587); #16054=PLANE('',#341588); #16055=PLANE('',#341589); #16056=PLANE('',#341590); #16057=PLANE('',#341591); #16058=PLANE('',#341592); #16059=PLANE('',#341593); #16060=PLANE('',#341594); #16061=PLANE('',#341595); #16062=PLANE('',#341596); #16063=PLANE('',#341597); #16064=PLANE('',#341598); #16065=PLANE('',#341599); #16066=PLANE('',#341600); #16067=PLANE('',#341601); #16068=PLANE('',#341602); #16069=PLANE('',#341603); #16070=PLANE('',#341604); #16071=PLANE('',#341605); #16072=PLANE('',#341606); #16073=PLANE('',#341607); #16074=PLANE('',#341608); #16075=PLANE('',#341609); #16076=PLANE('',#341610); #16077=PLANE('',#341611); #16078=PLANE('',#341612); #16079=PLANE('',#341613); #16080=PLANE('',#341614); #16081=PLANE('',#341615); #16082=PLANE('',#341616); #16083=PLANE('',#341617); #16084=PLANE('',#341618); #16085=PLANE('',#341619); #16086=PLANE('',#341620); #16087=PLANE('',#341621); #16088=PLANE('',#341622); #16089=PLANE('',#341623); #16090=PLANE('',#341624); #16091=PLANE('',#341625); #16092=PLANE('',#341626); #16093=PLANE('',#341627); #16094=PLANE('',#341628); #16095=PLANE('',#341629); #16096=PLANE('',#341630); #16097=PLANE('',#341631); #16098=PLANE('',#341632); #16099=PLANE('',#341633); #16100=PLANE('',#341634); #16101=PLANE('',#341635); #16102=PLANE('',#341636); #16103=PLANE('',#341637); #16104=PLANE('',#341638); #16105=PLANE('',#341639); #16106=PLANE('',#341640); #16107=PLANE('',#341641); #16108=PLANE('',#341642); #16109=PLANE('',#341643); #16110=PLANE('',#341644); #16111=PLANE('',#341645); #16112=PLANE('',#341646); #16113=PLANE('',#341647); #16114=PLANE('',#341648); #16115=PLANE('',#341649); #16116=PLANE('',#341650); #16117=PLANE('',#341651); #16118=PLANE('',#341652); #16119=PLANE('',#341653); #16120=PLANE('',#341654); #16121=PLANE('',#341655); #16122=PLANE('',#341656); #16123=PLANE('',#341657); #16124=PLANE('',#341658); #16125=PLANE('',#341659); #16126=PLANE('',#341660); #16127=PLANE('',#341661); #16128=PLANE('',#341662); #16129=PLANE('',#341663); #16130=PLANE('',#341664); #16131=PLANE('',#341665); #16132=PLANE('',#341666); #16133=PLANE('',#341667); #16134=PLANE('',#341668); #16135=PLANE('',#341669); #16136=PLANE('',#341670); #16137=PLANE('',#341671); #16138=PLANE('',#341672); #16139=PLANE('',#341673); #16140=PLANE('',#341674); #16141=PLANE('',#341675); #16142=PLANE('',#341676); #16143=PLANE('',#341677); #16144=PLANE('',#341678); #16145=PLANE('',#341679); #16146=PLANE('',#341680); #16147=PLANE('',#341681); #16148=PLANE('',#341682); #16149=PLANE('',#341683); #16150=PLANE('',#341684); #16151=PLANE('',#341685); #16152=PLANE('',#341686); #16153=PLANE('',#341687); #16154=PLANE('',#341688); #16155=PLANE('',#341689); #16156=PLANE('',#341690); #16157=PLANE('',#341691); #16158=PLANE('',#341692); #16159=PLANE('',#341693); #16160=PLANE('',#341694); #16161=PLANE('',#341695); #16162=PLANE('',#341696); #16163=PLANE('',#341697); #16164=PLANE('',#341698); #16165=PLANE('',#341699); #16166=PLANE('',#341700); #16167=PLANE('',#341701); #16168=PLANE('',#341702); #16169=PLANE('',#341703); #16170=PLANE('',#341704); #16171=PLANE('',#341705); #16172=PLANE('',#341706); #16173=PLANE('',#341707); #16174=PLANE('',#341708); #16175=PLANE('',#341709); #16176=PLANE('',#341710); #16177=PLANE('',#341711); #16178=PLANE('',#341712); #16179=PLANE('',#341713); #16180=PLANE('',#341714); #16181=PLANE('',#341715); #16182=PLANE('',#341716); #16183=PLANE('',#341717); #16184=PLANE('',#341718); #16185=PLANE('',#341719); #16186=PLANE('',#341720); #16187=PLANE('',#341721); #16188=PLANE('',#341722); #16189=PLANE('',#341723); #16190=PLANE('',#341724); #16191=PLANE('',#341725); #16192=PLANE('',#341726); #16193=PLANE('',#341727); #16194=PLANE('',#341728); #16195=PLANE('',#341729); #16196=PLANE('',#341730); #16197=PLANE('',#341731); #16198=PLANE('',#341732); #16199=PLANE('',#341733); #16200=PLANE('',#341734); #16201=PLANE('',#341735); #16202=PLANE('',#341736); #16203=PLANE('',#341737); #16204=PLANE('',#341738); #16205=PLANE('',#341739); #16206=PLANE('',#341740); #16207=PLANE('',#341741); #16208=PLANE('',#341742); #16209=PLANE('',#341743); #16210=PLANE('',#341744); #16211=PLANE('',#341745); #16212=PLANE('',#341746); #16213=PLANE('',#341747); #16214=PLANE('',#341748); #16215=PLANE('',#341749); #16216=PLANE('',#341750); #16217=PLANE('',#341751); #16218=PLANE('',#341752); #16219=PLANE('',#341753); #16220=PLANE('',#341754); #16221=PLANE('',#341755); #16222=PLANE('',#341756); #16223=PLANE('',#341757); #16224=PLANE('',#341758); #16225=PLANE('',#341759); #16226=PLANE('',#341760); #16227=PLANE('',#341761); #16228=PLANE('',#341762); #16229=PLANE('',#341763); #16230=PLANE('',#341764); #16231=PLANE('',#341765); #16232=PLANE('',#341766); #16233=PLANE('',#341767); #16234=PLANE('',#341768); #16235=PLANE('',#341769); #16236=PLANE('',#341770); #16237=PLANE('',#341771); #16238=PLANE('',#341772); #16239=PLANE('',#341773); #16240=PLANE('',#341774); #16241=PLANE('',#341775); #16242=PLANE('',#341776); #16243=PLANE('',#341777); #16244=PLANE('',#341778); #16245=PLANE('',#341779); #16246=PLANE('',#341780); #16247=PLANE('',#341781); #16248=PLANE('',#341782); #16249=PLANE('',#341783); #16250=PLANE('',#341784); #16251=PLANE('',#341785); #16252=PLANE('',#341786); #16253=PLANE('',#341787); #16254=PLANE('',#341788); #16255=PLANE('',#341789); #16256=PLANE('',#341790); #16257=PLANE('',#341791); #16258=PLANE('',#341792); #16259=PLANE('',#341793); #16260=PLANE('',#341794); #16261=PLANE('',#341795); #16262=PLANE('',#341796); #16263=PLANE('',#341797); #16264=PLANE('',#341798); #16265=PLANE('',#341799); #16266=PLANE('',#341800); #16267=PLANE('',#341801); #16268=PLANE('',#341802); #16269=PLANE('',#341803); #16270=PLANE('',#341804); #16271=PLANE('',#341805); #16272=PLANE('',#341806); #16273=PLANE('',#341807); #16274=PLANE('',#341808); #16275=PLANE('',#341809); #16276=PLANE('',#341810); #16277=PLANE('',#341811); #16278=PLANE('',#341812); #16279=PLANE('',#341813); #16280=PLANE('',#341814); #16281=PLANE('',#341815); #16282=PLANE('',#341816); #16283=PLANE('',#341817); #16284=PLANE('',#341818); #16285=PLANE('',#341819); #16286=PLANE('',#341820); #16287=PLANE('',#341821); #16288=PLANE('',#341822); #16289=PLANE('',#341823); #16290=PLANE('',#341824); #16291=PLANE('',#341825); #16292=PLANE('',#341826); #16293=PLANE('',#341827); #16294=PLANE('',#341828); #16295=PLANE('',#341829); #16296=PLANE('',#341830); #16297=PLANE('',#341831); #16298=PLANE('',#341832); #16299=PLANE('',#341833); #16300=PLANE('',#341834); #16301=PLANE('',#341835); #16302=PLANE('',#341836); #16303=PLANE('',#341837); #16304=PLANE('',#341838); #16305=PLANE('',#341839); #16306=PLANE('',#341840); #16307=PLANE('',#341841); #16308=PLANE('',#341842); #16309=PLANE('',#341843); #16310=PLANE('',#341844); #16311=PLANE('',#341845); #16312=PLANE('',#341846); #16313=PLANE('',#341847); #16314=PLANE('',#341848); #16315=PLANE('',#341849); #16316=PLANE('',#341850); #16317=PLANE('',#341851); #16318=PLANE('',#341852); #16319=PLANE('',#341853); #16320=PLANE('',#341854); #16321=PLANE('',#341855); #16322=PLANE('',#341856); #16323=PLANE('',#341857); #16324=PLANE('',#341858); #16325=PLANE('',#341859); #16326=PLANE('',#341860); #16327=PLANE('',#341861); #16328=PLANE('',#341862); #16329=PLANE('',#341863); #16330=PLANE('',#341864); #16331=PLANE('',#341865); #16332=PLANE('',#341866); #16333=PLANE('',#341867); #16334=PLANE('',#341868); #16335=PLANE('',#341869); #16336=PLANE('',#341870); #16337=PLANE('',#341871); #16338=PLANE('',#341872); #16339=PLANE('',#341873); #16340=PLANE('',#341874); #16341=PLANE('',#341875); #16342=PLANE('',#341876); #16343=PLANE('',#341877); #16344=PLANE('',#341878); #16345=PLANE('',#341879); #16346=PLANE('',#341880); #16347=PLANE('',#341881); #16348=PLANE('',#341882); #16349=PLANE('',#341883); #16350=PLANE('',#341884); #16351=PLANE('',#341885); #16352=PLANE('',#341886); #16353=PLANE('',#341887); #16354=PLANE('',#341888); #16355=PLANE('',#341889); #16356=PLANE('',#341890); #16357=PLANE('',#341891); #16358=PLANE('',#341892); #16359=PLANE('',#341893); #16360=PLANE('',#341894); #16361=PLANE('',#341895); #16362=PLANE('',#341896); #16363=PLANE('',#341897); #16364=PLANE('',#341898); #16365=PLANE('',#341899); #16366=PLANE('',#341900); #16367=PLANE('',#341901); #16368=PLANE('',#341902); #16369=PLANE('',#341903); #16370=PLANE('',#341904); #16371=PLANE('',#341905); #16372=PLANE('',#341906); #16373=PLANE('',#341907); #16374=PLANE('',#341908); #16375=PLANE('',#341909); #16376=PLANE('',#341910); #16377=PLANE('',#341911); #16378=PLANE('',#341912); #16379=PLANE('',#341913); #16380=PLANE('',#341914); #16381=PLANE('',#341915); #16382=PLANE('',#341916); #16383=PLANE('',#341917); #16384=PLANE('',#341918); #16385=PLANE('',#341919); #16386=PLANE('',#341920); #16387=PLANE('',#341921); #16388=PLANE('',#341922); #16389=PLANE('',#341923); #16390=PLANE('',#341924); #16391=PLANE('',#341925); #16392=PLANE('',#341926); #16393=PLANE('',#341927); #16394=PLANE('',#341928); #16395=PLANE('',#341929); #16396=PLANE('',#341930); #16397=PLANE('',#341931); #16398=PLANE('',#341932); #16399=PLANE('',#341933); #16400=PLANE('',#341934); #16401=PLANE('',#341935); #16402=PLANE('',#341936); #16403=PLANE('',#341937); #16404=PLANE('',#341938); #16405=PLANE('',#341939); #16406=PLANE('',#341940); #16407=PLANE('',#341941); #16408=PLANE('',#341942); #16409=PLANE('',#341943); #16410=PLANE('',#341944); #16411=PLANE('',#341945); #16412=PLANE('',#341946); #16413=PLANE('',#341947); #16414=PLANE('',#341948); #16415=PLANE('',#341949); #16416=PLANE('',#341950); #16417=PLANE('',#341951); #16418=PLANE('',#341952); #16419=PLANE('',#341953); #16420=PLANE('',#341954); #16421=PLANE('',#341955); #16422=PLANE('',#341956); #16423=PLANE('',#341957); #16424=PLANE('',#341958); #16425=PLANE('',#341959); #16426=PLANE('',#341960); #16427=PLANE('',#341961); #16428=PLANE('',#341962); #16429=PLANE('',#341963); #16430=PLANE('',#341964); #16431=PLANE('',#341965); #16432=PLANE('',#341966); #16433=PLANE('',#341967); #16434=PLANE('',#341968); #16435=PLANE('',#341969); #16436=PLANE('',#341970); #16437=PLANE('',#341971); #16438=PLANE('',#341972); #16439=PLANE('',#341973); #16440=PLANE('',#341974); #16441=PLANE('',#341975); #16442=PLANE('',#341976); #16443=PLANE('',#341977); #16444=PLANE('',#341978); #16445=PLANE('',#341979); #16446=PLANE('',#341980); #16447=PLANE('',#341981); #16448=PLANE('',#341982); #16449=PLANE('',#341983); #16450=PLANE('',#341984); #16451=PLANE('',#341985); #16452=PLANE('',#341986); #16453=PLANE('',#341987); #16454=PLANE('',#341988); #16455=PLANE('',#341989); #16456=PLANE('',#341990); #16457=PLANE('',#341991); #16458=PLANE('',#341992); #16459=PLANE('',#341993); #16460=PLANE('',#341994); #16461=PLANE('',#341995); #16462=PLANE('',#341996); #16463=PLANE('',#341997); #16464=PLANE('',#341998); #16465=PLANE('',#341999); #16466=PLANE('',#342000); #16467=PLANE('',#342001); #16468=PLANE('',#342002); #16469=PLANE('',#342003); #16470=PLANE('',#342004); #16471=PLANE('',#342005); #16472=PLANE('',#342006); #16473=PLANE('',#342007); #16474=PLANE('',#342008); #16475=PLANE('',#342009); #16476=PLANE('',#342010); #16477=PLANE('',#342011); #16478=PLANE('',#342012); #16479=PLANE('',#342013); #16480=PLANE('',#342014); #16481=PLANE('',#342015); #16482=PLANE('',#342016); #16483=PLANE('',#342017); #16484=PLANE('',#342018); #16485=PLANE('',#342019); #16486=PLANE('',#342020); #16487=PLANE('',#342021); #16488=PLANE('',#342022); #16489=PLANE('',#342023); #16490=PLANE('',#342024); #16491=PLANE('',#342025); #16492=PLANE('',#342026); #16493=PLANE('',#342027); #16494=PLANE('',#342028); #16495=PLANE('',#342029); #16496=PLANE('',#342030); #16497=PLANE('',#342031); #16498=PLANE('',#342032); #16499=PLANE('',#342033); #16500=PLANE('',#342034); #16501=PLANE('',#342035); #16502=PLANE('',#342036); #16503=PLANE('',#342037); #16504=PLANE('',#342038); #16505=PLANE('',#342039); #16506=PLANE('',#342040); #16507=PLANE('',#342041); #16508=PLANE('',#342042); #16509=PLANE('',#342043); #16510=PLANE('',#342044); #16511=PLANE('',#342045); #16512=PLANE('',#342046); #16513=PLANE('',#342047); #16514=PLANE('',#342048); #16515=PLANE('',#342049); #16516=PLANE('',#342050); #16517=PLANE('',#342051); #16518=PLANE('',#342052); #16519=PLANE('',#342053); #16520=PLANE('',#342054); #16521=PLANE('',#342055); #16522=PLANE('',#342056); #16523=PLANE('',#342057); #16524=PLANE('',#342058); #16525=PLANE('',#342059); #16526=PLANE('',#342060); #16527=PLANE('',#342061); #16528=PLANE('',#342062); #16529=PLANE('',#342063); #16530=PLANE('',#342064); #16531=PLANE('',#342065); #16532=PLANE('',#342066); #16533=PLANE('',#342067); #16534=PLANE('',#342068); #16535=PLANE('',#342069); #16536=PLANE('',#342070); #16537=PLANE('',#342071); #16538=PLANE('',#342072); #16539=PLANE('',#342073); #16540=PLANE('',#342074); #16541=PLANE('',#342075); #16542=PLANE('',#342076); #16543=PLANE('',#342077); #16544=PLANE('',#342078); #16545=PLANE('',#342079); #16546=PLANE('',#342080); #16547=PLANE('',#342081); #16548=PLANE('',#342082); #16549=PLANE('',#342083); #16550=PLANE('',#342084); #16551=PLANE('',#342085); #16552=PLANE('',#342086); #16553=PLANE('',#342087); #16554=PLANE('',#342088); #16555=PLANE('',#342089); #16556=PLANE('',#342090); #16557=PLANE('',#342091); #16558=PLANE('',#342092); #16559=PLANE('',#342093); #16560=PLANE('',#342094); #16561=PLANE('',#342095); #16562=PLANE('',#342096); #16563=PLANE('',#342097); #16564=PLANE('',#342098); #16565=PLANE('',#342099); #16566=PLANE('',#342100); #16567=PLANE('',#342101); #16568=PLANE('',#342102); #16569=PLANE('',#342103); #16570=PLANE('',#342104); #16571=PLANE('',#342105); #16572=PLANE('',#342106); #16573=PLANE('',#342107); #16574=PLANE('',#342108); #16575=PLANE('',#342109); #16576=PLANE('',#342110); #16577=PLANE('',#342111); #16578=PLANE('',#342112); #16579=PLANE('',#342113); #16580=PLANE('',#342114); #16581=PLANE('',#342115); #16582=PLANE('',#342116); #16583=PLANE('',#342117); #16584=PLANE('',#342118); #16585=PLANE('',#342119); #16586=PLANE('',#342120); #16587=PLANE('',#342121); #16588=PLANE('',#342122); #16589=PLANE('',#342123); #16590=PLANE('',#342124); #16591=PLANE('',#342125); #16592=PLANE('',#342126); #16593=PLANE('',#342127); #16594=PLANE('',#342128); #16595=PLANE('',#342129); #16596=PLANE('',#342130); #16597=PLANE('',#342131); #16598=PLANE('',#342132); #16599=PLANE('',#342133); #16600=PLANE('',#342134); #16601=PLANE('',#342135); #16602=PLANE('',#342136); #16603=PLANE('',#342137); #16604=PLANE('',#342138); #16605=PLANE('',#342139); #16606=PLANE('',#342140); #16607=PLANE('',#342141); #16608=PLANE('',#342142); #16609=PLANE('',#342143); #16610=PLANE('',#342144); #16611=PLANE('',#342145); #16612=PLANE('',#342146); #16613=PLANE('',#342147); #16614=PLANE('',#342148); #16615=PLANE('',#342149); #16616=PLANE('',#342150); #16617=PLANE('',#342151); #16618=PLANE('',#342152); #16619=PLANE('',#342153); #16620=PLANE('',#342154); #16621=PLANE('',#342155); #16622=PLANE('',#342156); #16623=PLANE('',#342157); #16624=PLANE('',#342158); #16625=PLANE('',#342159); #16626=PLANE('',#342160); #16627=PLANE('',#342161); #16628=PLANE('',#342162); #16629=PLANE('',#342163); #16630=PLANE('',#342164); #16631=PLANE('',#342165); #16632=PLANE('',#342166); #16633=PLANE('',#342167); #16634=PLANE('',#342168); #16635=PLANE('',#342169); #16636=PLANE('',#342170); #16637=PLANE('',#342171); #16638=PLANE('',#342172); #16639=PLANE('',#342173); #16640=PLANE('',#342174); #16641=PLANE('',#342175); #16642=PLANE('',#342176); #16643=PLANE('',#342177); #16644=PLANE('',#342178); #16645=PLANE('',#342179); #16646=PLANE('',#342180); #16647=PLANE('',#342181); #16648=PLANE('',#342182); #16649=PLANE('',#342183); #16650=PLANE('',#342184); #16651=PLANE('',#342185); #16652=PLANE('',#342186); #16653=PLANE('',#342187); #16654=PLANE('',#342188); #16655=PLANE('',#342189); #16656=PLANE('',#342190); #16657=PLANE('',#342191); #16658=PLANE('',#342192); #16659=PLANE('',#342193); #16660=PLANE('',#342194); #16661=PLANE('',#342195); #16662=PLANE('',#342196); #16663=PLANE('',#342197); #16664=PLANE('',#342198); #16665=PLANE('',#342199); #16666=PLANE('',#342200); #16667=PLANE('',#342201); #16668=PLANE('',#342202); #16669=PLANE('',#342203); #16670=PLANE('',#342204); #16671=PLANE('',#342205); #16672=PLANE('',#342206); #16673=PLANE('',#342207); #16674=PLANE('',#342208); #16675=PLANE('',#342209); #16676=PLANE('',#342210); #16677=PLANE('',#342211); #16678=PLANE('',#342212); #16679=PLANE('',#342213); #16680=PLANE('',#342214); #16681=PLANE('',#342215); #16682=PLANE('',#342216); #16683=PLANE('',#342217); #16684=PLANE('',#342218); #16685=PLANE('',#342219); #16686=PLANE('',#342220); #16687=PLANE('',#342221); #16688=PLANE('',#342222); #16689=PLANE('',#342223); #16690=PLANE('',#342224); #16691=PLANE('',#342225); #16692=PLANE('',#342226); #16693=PLANE('',#342227); #16694=PLANE('',#342228); #16695=PLANE('',#342229); #16696=PLANE('',#342230); #16697=PLANE('',#342231); #16698=PLANE('',#342232); #16699=PLANE('',#342233); #16700=PLANE('',#342234); #16701=PLANE('',#342235); #16702=PLANE('',#342236); #16703=PLANE('',#342237); #16704=PLANE('',#342238); #16705=PLANE('',#342239); #16706=PLANE('',#342240); #16707=PLANE('',#342241); #16708=PLANE('',#342242); #16709=PLANE('',#342243); #16710=PLANE('',#342244); #16711=PLANE('',#342245); #16712=PLANE('',#342246); #16713=PLANE('',#342247); #16714=PLANE('',#342248); #16715=PLANE('',#342249); #16716=PLANE('',#342250); #16717=PLANE('',#342251); #16718=PLANE('',#342252); #16719=PLANE('',#342253); #16720=PLANE('',#342254); #16721=PLANE('',#342255); #16722=PLANE('',#342256); #16723=PLANE('',#342257); #16724=PLANE('',#342258); #16725=PLANE('',#342259); #16726=PLANE('',#342260); #16727=PLANE('',#342261); #16728=PLANE('',#342262); #16729=PLANE('',#342263); #16730=PLANE('',#342264); #16731=PLANE('',#342265); #16732=PLANE('',#342266); #16733=PLANE('',#342267); #16734=PLANE('',#342268); #16735=PLANE('',#342269); #16736=PLANE('',#342270); #16737=PLANE('',#342271); #16738=PLANE('',#342272); #16739=PLANE('',#342273); #16740=PLANE('',#342274); #16741=PLANE('',#342275); #16742=PLANE('',#342276); #16743=PLANE('',#342277); #16744=PLANE('',#342278); #16745=PLANE('',#342279); #16746=PLANE('',#342280); #16747=PLANE('',#342281); #16748=PLANE('',#342282); #16749=PLANE('',#342283); #16750=PLANE('',#342284); #16751=PLANE('',#342285); #16752=PLANE('',#342286); #16753=PLANE('',#342287); #16754=PLANE('',#342288); #16755=PLANE('',#342289); #16756=PLANE('',#342290); #16757=PLANE('',#342291); #16758=PLANE('',#342292); #16759=PLANE('',#342293); #16760=PLANE('',#342294); #16761=PLANE('',#342295); #16762=PLANE('',#342296); #16763=PLANE('',#342297); #16764=PLANE('',#342298); #16765=PLANE('',#342299); #16766=PLANE('',#342300); #16767=PLANE('',#342301); #16768=PLANE('',#342302); #16769=PLANE('',#342303); #16770=PLANE('',#342304); #16771=PLANE('',#342305); #16772=PLANE('',#342306); #16773=PLANE('',#342307); #16774=PLANE('',#342308); #16775=PLANE('',#342309); #16776=PLANE('',#342310); #16777=PLANE('',#342311); #16778=PLANE('',#342312); #16779=PLANE('',#342313); #16780=PLANE('',#342314); #16781=PLANE('',#342315); #16782=PLANE('',#342316); #16783=PLANE('',#342317); #16784=PLANE('',#342318); #16785=PLANE('',#342319); #16786=PLANE('',#342320); #16787=PLANE('',#342321); #16788=PLANE('',#342322); #16789=PLANE('',#342323); #16790=PLANE('',#342324); #16791=PLANE('',#342325); #16792=PLANE('',#342326); #16793=PLANE('',#342327); #16794=PLANE('',#342328); #16795=PLANE('',#342329); #16796=PLANE('',#342330); #16797=PLANE('',#342331); #16798=PLANE('',#342332); #16799=PLANE('',#342333); #16800=PLANE('',#342334); #16801=PLANE('',#342335); #16802=PLANE('',#342336); #16803=PLANE('',#342337); #16804=PLANE('',#342338); #16805=PLANE('',#342339); #16806=PLANE('',#342340); #16807=PLANE('',#342341); #16808=PLANE('',#342342); #16809=PLANE('',#342343); #16810=PLANE('',#342344); #16811=PLANE('',#342345); #16812=PLANE('',#342346); #16813=PLANE('',#342347); #16814=PLANE('',#342348); #16815=PLANE('',#342349); #16816=PLANE('',#342350); #16817=PLANE('',#342351); #16818=PLANE('',#342352); #16819=PLANE('',#342353); #16820=PLANE('',#342354); #16821=PLANE('',#342355); #16822=PLANE('',#342356); #16823=PLANE('',#342357); #16824=PLANE('',#342358); #16825=PLANE('',#342359); #16826=PLANE('',#342360); #16827=PLANE('',#342361); #16828=PLANE('',#342362); #16829=PLANE('',#342363); #16830=PLANE('',#342364); #16831=PLANE('',#342365); #16832=PLANE('',#342366); #16833=PLANE('',#342367); #16834=PLANE('',#342368); #16835=PLANE('',#342369); #16836=PLANE('',#342370); #16837=PLANE('',#342371); #16838=PLANE('',#342372); #16839=PLANE('',#342373); #16840=PLANE('',#342374); #16841=PLANE('',#342375); #16842=PLANE('',#342376); #16843=PLANE('',#342377); #16844=PLANE('',#342378); #16845=PLANE('',#342379); #16846=PLANE('',#342380); #16847=PLANE('',#342381); #16848=PLANE('',#342382); #16849=PLANE('',#342383); #16850=PLANE('',#342384); #16851=PLANE('',#342385); #16852=PLANE('',#342386); #16853=PLANE('',#342387); #16854=PLANE('',#342388); #16855=PLANE('',#342389); #16856=PLANE('',#342390); #16857=PLANE('',#342391); #16858=PLANE('',#342392); #16859=PLANE('',#342393); #16860=PLANE('',#342394); #16861=PLANE('',#342395); #16862=PLANE('',#342396); #16863=PLANE('',#342397); #16864=PLANE('',#342398); #16865=PLANE('',#342399); #16866=PLANE('',#342400); #16867=PLANE('',#342401); #16868=PLANE('',#342402); #16869=PLANE('',#342403); #16870=PLANE('',#342404); #16871=PLANE('',#342405); #16872=PLANE('',#342406); #16873=PLANE('',#342407); #16874=PLANE('',#342408); #16875=PLANE('',#342409); #16876=PLANE('',#342410); #16877=PLANE('',#342411); #16878=PLANE('',#342412); #16879=PLANE('',#342413); #16880=PLANE('',#342414); #16881=PLANE('',#342415); #16882=PLANE('',#342416); #16883=PLANE('',#342417); #16884=PLANE('',#342418); #16885=PLANE('',#342419); #16886=PLANE('',#342420); #16887=PLANE('',#342421); #16888=PLANE('',#342422); #16889=PLANE('',#342423); #16890=PLANE('',#342424); #16891=PLANE('',#342425); #16892=PLANE('',#342426); #16893=PLANE('',#342427); #16894=PLANE('',#342428); #16895=PLANE('',#342429); #16896=PLANE('',#342430); #16897=PLANE('',#342431); #16898=PLANE('',#342432); #16899=PLANE('',#342433); #16900=PLANE('',#342434); #16901=PLANE('',#342435); #16902=PLANE('',#342436); #16903=PLANE('',#342437); #16904=PLANE('',#342438); #16905=PLANE('',#342439); #16906=PLANE('',#342440); #16907=PLANE('',#342441); #16908=PLANE('',#342442); #16909=PLANE('',#342443); #16910=PLANE('',#342444); #16911=PLANE('',#342445); #16912=PLANE('',#342446); #16913=PLANE('',#342447); #16914=PLANE('',#342448); #16915=PLANE('',#342449); #16916=PLANE('',#342450); #16917=PLANE('',#342451); #16918=PLANE('',#342452); #16919=PLANE('',#342453); #16920=PLANE('',#342454); #16921=PLANE('',#342455); #16922=PLANE('',#342456); #16923=PLANE('',#342457); #16924=PLANE('',#342458); #16925=PLANE('',#342459); #16926=PLANE('',#342460); #16927=PLANE('',#342461); #16928=PLANE('',#342462); #16929=PLANE('',#342463); #16930=PLANE('',#342464); #16931=PLANE('',#342465); #16932=PLANE('',#342466); #16933=PLANE('',#342467); #16934=PLANE('',#342468); #16935=PLANE('',#342469); #16936=PLANE('',#342470); #16937=PLANE('',#342471); #16938=PLANE('',#342472); #16939=PLANE('',#342473); #16940=PLANE('',#342474); #16941=PLANE('',#342475); #16942=PLANE('',#342476); #16943=PLANE('',#342477); #16944=PLANE('',#342478); #16945=PLANE('',#342479); #16946=PLANE('',#342480); #16947=PLANE('',#342481); #16948=PLANE('',#342482); #16949=PLANE('',#342483); #16950=PLANE('',#342484); #16951=PLANE('',#342485); #16952=PLANE('',#342486); #16953=PLANE('',#342487); #16954=PLANE('',#342488); #16955=PLANE('',#342489); #16956=PLANE('',#342490); #16957=PLANE('',#342491); #16958=PLANE('',#342492); #16959=PLANE('',#342493); #16960=PLANE('',#342494); #16961=PLANE('',#342495); #16962=PLANE('',#342496); #16963=PLANE('',#342497); #16964=PLANE('',#342498); #16965=PLANE('',#342499); #16966=PLANE('',#342500); #16967=PLANE('',#342501); #16968=PLANE('',#342502); #16969=PLANE('',#342503); #16970=PLANE('',#342504); #16971=PLANE('',#342505); #16972=PLANE('',#342506); #16973=PLANE('',#342507); #16974=PLANE('',#342508); #16975=PLANE('',#342557); #16976=PLANE('',#342558); #16977=PLANE('',#342559); #16978=PLANE('',#342560); #16979=PLANE('',#342561); #16980=PLANE('',#342562); #16981=PLANE('',#342563); #16982=PLANE('',#342564); #16983=PLANE('',#342565); #16984=PLANE('',#342566); #16985=PLANE('',#342567); #16986=PLANE('',#342568); #16987=PLANE('',#342569); #16988=PLANE('',#342570); #16989=PLANE('',#342571); #16990=PLANE('',#342572); #16991=PLANE('',#342573); #16992=PLANE('',#342574); #16993=PLANE('',#342575); #16994=PLANE('',#342576); #16995=PLANE('',#342577); #16996=PLANE('',#342578); #16997=PLANE('',#342579); #16998=PLANE('',#342580); #16999=PLANE('',#342581); #17000=PLANE('',#342582); #17001=PLANE('',#342583); #17002=PLANE('',#342584); #17003=PLANE('',#342585); #17004=PLANE('',#342586); #17005=PLANE('',#342587); #17006=PLANE('',#342588); #17007=PLANE('',#342589); #17008=PLANE('',#342590); #17009=PLANE('',#342591); #17010=PLANE('',#342592); #17011=PLANE('',#342593); #17012=PLANE('',#342594); #17013=PLANE('',#342595); #17014=PLANE('',#342596); #17015=PLANE('',#342597); #17016=PLANE('',#342598); #17017=PLANE('',#342599); #17018=PLANE('',#342600); #17019=PLANE('',#342601); #17020=PLANE('',#342602); #17021=PLANE('',#342603); #17022=PLANE('',#342604); #17023=PLANE('',#342605); #17024=PLANE('',#342606); #17025=PLANE('',#342607); #17026=PLANE('',#342608); #17027=PLANE('',#342609); #17028=PLANE('',#342610); #17029=PLANE('',#342611); #17030=PLANE('',#342612); #17031=PLANE('',#342613); #17032=PLANE('',#342614); #17033=PLANE('',#342615); #17034=PLANE('',#342616); #17035=PLANE('',#342617); #17036=PLANE('',#342618); #17037=PLANE('',#342619); #17038=PLANE('',#342620); #17039=PLANE('',#342621); #17040=PLANE('',#342622); #17041=PLANE('',#342623); #17042=PLANE('',#342624); #17043=PLANE('',#342625); #17044=PLANE('',#342626); #17045=PLANE('',#342627); #17046=PLANE('',#342628); #17047=PLANE('',#342629); #17048=PLANE('',#342630); #17049=PLANE('',#342631); #17050=PLANE('',#342632); #17051=PLANE('',#342681); #17052=PLANE('',#342682); #17053=PLANE('',#342683); #17054=PLANE('',#342684); #17055=PLANE('',#342685); #17056=PLANE('',#342686); #17057=PLANE('',#342687); #17058=PLANE('',#342688); #17059=PLANE('',#342689); #17060=PLANE('',#342690); #17061=PLANE('',#342691); #17062=PLANE('',#342692); #17063=PLANE('',#342693); #17064=PLANE('',#342694); #17065=PLANE('',#342695); #17066=PLANE('',#342696); #17067=PLANE('',#342697); #17068=PLANE('',#342698); #17069=PLANE('',#342699); #17070=PLANE('',#342700); #17071=PLANE('',#342701); #17072=PLANE('',#342702); #17073=PLANE('',#342703); #17074=PLANE('',#342704); #17075=PLANE('',#342705); #17076=PLANE('',#342706); #17077=PLANE('',#342707); #17078=PLANE('',#342708); #17079=PLANE('',#342709); #17080=PLANE('',#342710); #17081=PLANE('',#342711); #17082=PLANE('',#342712); #17083=PLANE('',#342713); #17084=PLANE('',#342714); #17085=PLANE('',#342715); #17086=PLANE('',#342716); #17087=PLANE('',#342717); #17088=PLANE('',#342718); #17089=PLANE('',#342719); #17090=PLANE('',#342720); #17091=PLANE('',#342721); #17092=PLANE('',#342722); #17093=PLANE('',#342723); #17094=PLANE('',#342724); #17095=PLANE('',#342725); #17096=PLANE('',#342726); #17097=PLANE('',#342727); #17098=PLANE('',#342728); #17099=PLANE('',#342729); #17100=PLANE('',#342730); #17101=PLANE('',#342731); #17102=PLANE('',#342732); #17103=PLANE('',#342733); #17104=PLANE('',#342734); #17105=PLANE('',#342735); #17106=PLANE('',#342736); #17107=PLANE('',#342737); #17108=PLANE('',#342738); #17109=PLANE('',#342739); #17110=PLANE('',#342740); #17111=PLANE('',#342741); #17112=PLANE('',#342742); #17113=PLANE('',#342743); #17114=PLANE('',#342744); #17115=PLANE('',#342745); #17116=PLANE('',#342746); #17117=PLANE('',#342747); #17118=PLANE('',#342748); #17119=PLANE('',#342749); #17120=PLANE('',#342750); #17121=PLANE('',#342751); #17122=PLANE('',#342752); #17123=PLANE('',#342843); #17124=PLANE('',#342844); #17125=PLANE('',#342845); #17126=PLANE('',#342846); #17127=PLANE('',#342847); #17128=PLANE('',#342848); #17129=PLANE('',#342849); #17130=PLANE('',#342850); #17131=PLANE('',#342851); #17132=PLANE('',#342852); #17133=PLANE('',#342853); #17134=PLANE('',#342854); #17135=PLANE('',#342855); #17136=PLANE('',#342856); #17137=PLANE('',#342857); #17138=PLANE('',#342858); #17139=PLANE('',#342859); #17140=PLANE('',#342860); #17141=PLANE('',#342861); #17142=PLANE('',#342862); #17143=PLANE('',#342863); #17144=PLANE('',#342864); #17145=PLANE('',#342865); #17146=PLANE('',#342866); #17147=PLANE('',#342867); #17148=PLANE('',#342868); #17149=PLANE('',#342869); #17150=PLANE('',#342870); #17151=PLANE('',#342871); #17152=PLANE('',#342872); #17153=PLANE('',#342873); #17154=PLANE('',#342874); #17155=PLANE('',#342875); #17156=PLANE('',#342876); #17157=PLANE('',#342877); #17158=PLANE('',#342878); #17159=PLANE('',#342879); #17160=PLANE('',#342880); #17161=PLANE('',#342881); #17162=PLANE('',#342882); #17163=PLANE('',#342883); #17164=PLANE('',#342884); #17165=PLANE('',#342885); #17166=PLANE('',#342886); #17167=PLANE('',#342887); #17168=PLANE('',#342888); #17169=PLANE('',#342889); #17170=PLANE('',#342896); #17171=PLANE('',#342900); #17172=PLANE('',#342907); #17173=PLANE('',#342911); #17174=PLANE('',#342912); #17175=PLANE('',#342943); #17176=PLANE('',#342944); #17177=PLANE('',#342945); #17178=PLANE('',#342946); #17179=PLANE('',#342947); #17180=PLANE('',#342948); #17181=PLANE('',#342949); #17182=PLANE('',#342950); #17183=PLANE('',#342951); #17184=PLANE('',#342952); #17185=PLANE('',#342953); #17186=PLANE('',#342954); #17187=PLANE('',#342955); #17188=PLANE('',#342956); #17189=PLANE('',#342957); #17190=PLANE('',#342958); #17191=PLANE('',#342959); #17192=PLANE('',#342960); #17193=PLANE('',#342961); #17194=PLANE('',#342962); #17195=PLANE('',#342963); #17196=PLANE('',#342964); #17197=PLANE('',#342965); #17198=PLANE('',#342966); #17199=PLANE('',#342967); #17200=PLANE('',#342968); #17201=PLANE('',#342972); #17202=PLANE('',#342979); #17203=PLANE('',#342980); #17204=PLANE('',#343071); #17205=PLANE('',#343072); #17206=PLANE('',#343073); #17207=PLANE('',#343074); #17208=PLANE('',#343075); #17209=PLANE('',#343076); #17210=PLANE('',#343077); #17211=PLANE('',#343078); #17212=PLANE('',#343079); #17213=PLANE('',#343080); #17214=PLANE('',#343081); #17215=PLANE('',#343082); #17216=PLANE('',#343083); #17217=PLANE('',#343084); #17218=PLANE('',#343085); #17219=PLANE('',#343086); #17220=PLANE('',#343087); #17221=PLANE('',#343088); #17222=PLANE('',#343089); #17223=PLANE('',#343090); #17224=PLANE('',#343091); #17225=PLANE('',#343092); #17226=PLANE('',#343093); #17227=PLANE('',#343094); #17228=PLANE('',#343095); #17229=PLANE('',#343096); #17230=PLANE('',#343097); #17231=PLANE('',#343098); #17232=PLANE('',#343099); #17233=PLANE('',#343100); #17234=PLANE('',#343101); #17235=PLANE('',#343102); #17236=PLANE('',#343103); #17237=PLANE('',#343104); #17238=PLANE('',#343105); #17239=PLANE('',#343106); #17240=PLANE('',#343107); #17241=PLANE('',#343108); #17242=PLANE('',#343109); #17243=PLANE('',#343110); #17244=PLANE('',#343111); #17245=PLANE('',#343112); #17246=PLANE('',#343113); #17247=PLANE('',#343114); #17248=PLANE('',#343115); #17249=PLANE('',#343116); #17250=PLANE('',#343117); #17251=PLANE('',#343124); #17252=PLANE('',#343128); #17253=PLANE('',#343135); #17254=PLANE('',#343139); #17255=PLANE('',#343140); #17256=PLANE('',#343144); #17257=PLANE('',#343151); #17258=PLANE('',#343152); #17259=PLANE('',#343198); #17260=PLANE('',#343199); #17261=PLANE('',#343200); #17262=PLANE('',#343201); #17263=PLANE('',#343202); #17264=PLANE('',#343203); #17265=PLANE('',#343204); #17266=PLANE('',#343205); #17267=PLANE('',#343206); #17268=PLANE('',#343207); #17269=PLANE('',#343208); #17270=PLANE('',#343209); #17271=PLANE('',#343210); #17272=PLANE('',#343211); #17273=PLANE('',#343212); #17274=PLANE('',#343213); #17275=PLANE('',#343214); #17276=PLANE('',#343215); #17277=PLANE('',#343216); #17278=PLANE('',#343217); #17279=PLANE('',#343218); #17280=PLANE('',#343219); #17281=PLANE('',#343220); #17282=PLANE('',#343221); #17283=PLANE('',#343222); #17284=PLANE('',#343223); #17285=PLANE('',#343224); #17286=PLANE('',#343225); #17287=PLANE('',#343226); #17288=PLANE('',#343227); #17289=PLANE('',#343228); #17290=PLANE('',#343229); #17291=PLANE('',#343230); #17292=PLANE('',#343231); #17293=PLANE('',#343232); #17294=PLANE('',#343233); #17295=PLANE('',#343234); #17296=PLANE('',#343235); #17297=PLANE('',#343236); #17298=PLANE('',#343237); #17299=PLANE('',#343238); #17300=PLANE('',#343239); #17301=PLANE('',#343240); #17302=PLANE('',#343241); #17303=PLANE('',#343242); #17304=PLANE('',#343243); #17305=PLANE('',#343244); #17306=PLANE('',#343245); #17307=PLANE('',#343246); #17308=PLANE('',#343247); #17309=PLANE('',#343248); #17310=PLANE('',#343249); #17311=PLANE('',#343250); #17312=PLANE('',#343251); #17313=PLANE('',#343252); #17314=PLANE('',#343253); #17315=PLANE('',#343254); #17316=PLANE('',#343255); #17317=PLANE('',#343256); #17318=PLANE('',#343257); #17319=PLANE('',#343258); #17320=PLANE('',#343259); #17321=PLANE('',#343260); #17322=PLANE('',#343261); #17323=PLANE('',#343262); #17324=PLANE('',#343263); #17325=PLANE('',#343264); #17326=PLANE('',#343265); #17327=PLANE('',#343266); #17328=PLANE('',#343267); #17329=PLANE('',#343268); #17330=PLANE('',#343269); #17331=PLANE('',#343270); #17332=PLANE('',#343271); #17333=PLANE('',#343272); #17334=PLANE('',#343273); #17335=PLANE('',#343274); #17336=PLANE('',#343275); #17337=PLANE('',#343276); #17338=PLANE('',#343277); #17339=PLANE('',#343278); #17340=PLANE('',#343279); #17341=PLANE('',#343280); #17342=PLANE('',#343281); #17343=PLANE('',#343282); #17344=PLANE('',#343283); #17345=PLANE('',#343284); #17346=PLANE('',#343285); #17347=PLANE('',#343286); #17348=PLANE('',#343287); #17349=PLANE('',#343288); #17350=PLANE('',#343289); #17351=PLANE('',#343290); #17352=PLANE('',#343291); #17353=PLANE('',#343292); #17354=PLANE('',#343293); #17355=PLANE('',#343294); #17356=PLANE('',#343295); #17357=PLANE('',#343296); #17358=PLANE('',#343297); #17359=PLANE('',#343298); #17360=PLANE('',#343299); #17361=PLANE('',#343300); #17362=PLANE('',#343301); #17363=PLANE('',#343302); #17364=PLANE('',#343303); #17365=PLANE('',#343304); #17366=PLANE('',#343305); #17367=PLANE('',#343306); #17368=PLANE('',#343307); #17369=PLANE('',#343308); #17370=PLANE('',#343309); #17371=PLANE('',#343310); #17372=PLANE('',#343311); #17373=PLANE('',#343312); #17374=PLANE('',#343313); #17375=PLANE('',#343314); #17376=PLANE('',#343315); #17377=PLANE('',#343316); #17378=PLANE('',#343317); #17379=PLANE('',#343318); #17380=PLANE('',#343319); #17381=PLANE('',#343320); #17382=PLANE('',#343321); #17383=PLANE('',#343322); #17384=PLANE('',#343323); #17385=PLANE('',#343324); #17386=PLANE('',#343325); #17387=PLANE('',#343326); #17388=PLANE('',#343327); #17389=PLANE('',#343328); #17390=PLANE('',#343329); #17391=PLANE('',#343330); #17392=PLANE('',#343331); #17393=PLANE('',#343332); #17394=PLANE('',#343333); #17395=PLANE('',#343334); #17396=PLANE('',#343335); #17397=PLANE('',#343336); #17398=PLANE('',#343337); #17399=PLANE('',#343338); #17400=PLANE('',#343339); #17401=PLANE('',#343340); #17402=PLANE('',#343341); #17403=PLANE('',#343342); #17404=PLANE('',#343343); #17405=PLANE('',#343344); #17406=PLANE('',#343345); #17407=PLANE('',#343346); #17408=PLANE('',#343347); #17409=PLANE('',#343348); #17410=PLANE('',#343349); #17411=PLANE('',#343350); #17412=PLANE('',#343351); #17413=PLANE('',#343352); #17414=PLANE('',#343353); #17415=PLANE('',#343354); #17416=PLANE('',#343355); #17417=PLANE('',#343356); #17418=PLANE('',#343357); #17419=PLANE('',#343358); #17420=PLANE('',#343359); #17421=PLANE('',#343360); #17422=PLANE('',#343361); #17423=PLANE('',#343362); #17424=PLANE('',#343363); #17425=PLANE('',#343364); #17426=PLANE('',#343365); #17427=PLANE('',#343366); #17428=PLANE('',#343370); #17429=PLANE('',#343377); #17430=PLANE('',#343378); #17431=PLANE('',#343382); #17432=PLANE('',#343389); #17433=PLANE('',#343390); #17434=PLANE('',#343394); #17435=PLANE('',#343401); #17436=PLANE('',#343402); #17437=PLANE('',#343406); #17438=PLANE('',#343413); #17439=PLANE('',#343414); #17440=PLANE('',#343418); #17441=PLANE('',#343419); #17442=PLANE('',#343420); #17443=PLANE('',#343421); #17444=PLANE('',#343422); #17445=PLANE('',#343423); #17446=PLANE('',#343427); #17447=PLANE('',#343434); #17448=PLANE('',#343435); #17449=PLANE('',#343439); #17450=PLANE('',#343446); #17451=PLANE('',#343447); #17452=PLANE('',#343451); #17453=PLANE('',#343458); #17454=PLANE('',#343459); #17455=PLANE('',#343463); #17456=PLANE('',#343470); #17457=PLANE('',#343471); #17458=PLANE('',#343472); #17459=PLANE('',#343476); #17460=PLANE('',#343480); #17461=PLANE('',#343481); #17462=PLANE('',#343482); #17463=PLANE('',#343483); #17464=PLANE('',#343484); #17465=PLANE('',#343485); #17466=PLANE('',#343486); #17467=PLANE('',#343487); #17468=PLANE('',#343488); #17469=PLANE('',#343489); #17470=PLANE('',#343490); #17471=PLANE('',#343491); #17472=PLANE('',#343492); #17473=PLANE('',#343493); #17474=PLANE('',#343494); #17475=PLANE('',#343495); #17476=PLANE('',#343496); #17477=PLANE('',#343497); #17478=PLANE('',#343498); #17479=PLANE('',#343499); #17480=PLANE('',#343500); #17481=PLANE('',#343501); #17482=PLANE('',#343502); #17483=PLANE('',#343503); #17484=PLANE('',#343504); #17485=PLANE('',#343505); #17486=PLANE('',#343506); #17487=PLANE('',#343507); #17488=PLANE('',#343508); #17489=PLANE('',#343509); #17490=PLANE('',#343510); #17491=PLANE('',#343511); #17492=PLANE('',#343512); #17493=PLANE('',#343513); #17494=PLANE('',#343514); #17495=PLANE('',#343515); #17496=PLANE('',#343516); #17497=PLANE('',#343517); #17498=PLANE('',#343518); #17499=PLANE('',#343519); #17500=PLANE('',#343520); #17501=PLANE('',#343521); #17502=PLANE('',#343522); #17503=PLANE('',#343523); #17504=PLANE('',#343524); #17505=PLANE('',#343525); #17506=PLANE('',#343526); #17507=PLANE('',#343527); #17508=PLANE('',#343528); #17509=PLANE('',#343529); #17510=PLANE('',#343530); #17511=PLANE('',#343531); #17512=PLANE('',#343532); #17513=PLANE('',#343533); #17514=PLANE('',#343534); #17515=PLANE('',#343535); #17516=PLANE('',#343536); #17517=PLANE('',#343537); #17518=PLANE('',#343538); #17519=PLANE('',#343542); #17520=PLANE('',#343546); #17521=PLANE('',#343547); #17522=PLANE('',#343548); #17523=PLANE('',#343549); #17524=PLANE('',#343550); #17525=PLANE('',#343551); #17526=PLANE('',#343552); #17527=PLANE('',#343553); #17528=PLANE('',#343554); #17529=PLANE('',#343555); #17530=PLANE('',#343556); #17531=PLANE('',#343557); #17532=PLANE('',#343558); #17533=PLANE('',#343559); #17534=PLANE('',#343560); #17535=PLANE('',#343561); #17536=PLANE('',#343562); #17537=PLANE('',#343563); #17538=PLANE('',#343564); #17539=PLANE('',#343565); #17540=PLANE('',#343566); #17541=PLANE('',#343567); #17542=PLANE('',#343568); #17543=PLANE('',#343569); #17544=PLANE('',#343570); #17545=PLANE('',#343571); #17546=PLANE('',#343572); #17547=PLANE('',#343573); #17548=PLANE('',#343574); #17549=PLANE('',#343575); #17550=PLANE('',#343576); #17551=PLANE('',#343577); #17552=PLANE('',#343578); #17553=PLANE('',#343579); #17554=PLANE('',#343580); #17555=PLANE('',#343581); #17556=PLANE('',#343582); #17557=PLANE('',#343583); #17558=PLANE('',#343584); #17559=PLANE('',#343585); #17560=PLANE('',#343586); #17561=PLANE('',#343587); #17562=PLANE('',#343588); #17563=PLANE('',#343589); #17564=PLANE('',#343590); #17565=PLANE('',#343591); #17566=PLANE('',#343592); #17567=PLANE('',#343593); #17568=PLANE('',#343594); #17569=PLANE('',#343595); #17570=PLANE('',#343596); #17571=PLANE('',#343597); #17572=PLANE('',#343598); #17573=PLANE('',#343599); #17574=PLANE('',#343600); #17575=PLANE('',#343601); #17576=PLANE('',#343602); #17577=PLANE('',#343603); #17578=PLANE('',#343604); #17579=PLANE('',#343605); #17580=PLANE('',#343606); #17581=PLANE('',#343607); #17582=PLANE('',#343608); #17583=PLANE('',#343609); #17584=PLANE('',#343610); #17585=PLANE('',#343611); #17586=PLANE('',#343612); #17587=PLANE('',#343613); #17588=PLANE('',#343614); #17589=PLANE('',#343615); #17590=PLANE('',#343616); #17591=PLANE('',#343617); #17592=PLANE('',#343618); #17593=PLANE('',#343619); #17594=PLANE('',#343620); #17595=PLANE('',#343624); #17596=PLANE('',#343628); #17597=PLANE('',#343629); #17598=PLANE('',#343630); #17599=PLANE('',#343631); #17600=PLANE('',#343632); #17601=PLANE('',#343633); #17602=PLANE('',#343634); #17603=PLANE('',#343635); #17604=PLANE('',#343636); #17605=PLANE('',#343637); #17606=PLANE('',#343638); #17607=PLANE('',#343639); #17608=PLANE('',#343640); #17609=PLANE('',#343641); #17610=PLANE('',#343642); #17611=PLANE('',#343643); #17612=PLANE('',#343644); #17613=PLANE('',#343645); #17614=PLANE('',#343646); #17615=PLANE('',#343647); #17616=PLANE('',#343648); #17617=PLANE('',#343649); #17618=PLANE('',#343650); #17619=PLANE('',#343651); #17620=PLANE('',#343652); #17621=PLANE('',#343653); #17622=PLANE('',#343654); #17623=PLANE('',#343655); #17624=PLANE('',#343656); #17625=PLANE('',#343657); #17626=PLANE('',#343658); #17627=PLANE('',#343659); #17628=PLANE('',#343660); #17629=PLANE('',#343661); #17630=PLANE('',#343662); #17631=PLANE('',#343663); #17632=PLANE('',#343664); #17633=PLANE('',#343665); #17634=PLANE('',#343666); #17635=PLANE('',#343667); #17636=PLANE('',#343668); #17637=PLANE('',#343669); #17638=PLANE('',#343670); #17639=PLANE('',#343671); #17640=PLANE('',#343672); #17641=PLANE('',#343673); #17642=PLANE('',#343674); #17643=PLANE('',#343675); #17644=PLANE('',#343676); #17645=PLANE('',#343677); #17646=PLANE('',#343678); #17647=PLANE('',#343679); #17648=PLANE('',#343680); #17649=PLANE('',#343681); #17650=PLANE('',#343682); #17651=PLANE('',#343683); #17652=PLANE('',#343684); #17653=PLANE('',#343685); #17654=PLANE('',#343689); #17655=PLANE('',#343690); #17656=PLANE('',#343691); #17657=PLANE('',#343692); #17658=PLANE('',#343693); #17659=PLANE('',#343694); #17660=PLANE('',#343695); #17661=PLANE('',#343699); #17662=PLANE('',#343703); #17663=PLANE('',#343704); #17664=PLANE('',#343705); #17665=PLANE('',#343706); #17666=PLANE('',#343707); #17667=PLANE('',#343708); #17668=PLANE('',#343709); #17669=PLANE('',#343710); #17670=PLANE('',#343711); #17671=PLANE('',#343712); #17672=PLANE('',#343713); #17673=PLANE('',#343714); #17674=PLANE('',#343715); #17675=PLANE('',#343716); #17676=PLANE('',#343717); #17677=PLANE('',#343718); #17678=PLANE('',#343719); #17679=PLANE('',#343720); #17680=PLANE('',#343721); #17681=PLANE('',#343722); #17682=PLANE('',#343723); #17683=PLANE('',#343724); #17684=PLANE('',#343725); #17685=PLANE('',#343726); #17686=PLANE('',#343727); #17687=PLANE('',#343728); #17688=PLANE('',#343729); #17689=PLANE('',#343730); #17690=PLANE('',#343731); #17691=PLANE('',#343732); #17692=PLANE('',#343733); #17693=PLANE('',#343734); #17694=PLANE('',#343735); #17695=PLANE('',#343736); #17696=PLANE('',#343737); #17697=PLANE('',#343738); #17698=PLANE('',#343739); #17699=PLANE('',#343740); #17700=PLANE('',#343741); #17701=PLANE('',#343742); #17702=PLANE('',#343743); #17703=PLANE('',#343744); #17704=PLANE('',#343745); #17705=PLANE('',#343746); #17706=PLANE('',#343747); #17707=PLANE('',#343748); #17708=PLANE('',#343749); #17709=PLANE('',#343750); #17710=PLANE('',#343751); #17711=PLANE('',#343752); #17712=PLANE('',#343753); #17713=PLANE('',#343754); #17714=PLANE('',#343755); #17715=PLANE('',#343756); #17716=PLANE('',#343757); #17717=PLANE('',#343758); #17718=PLANE('',#343759); #17719=PLANE('',#343760); #17720=PLANE('',#343761); #17721=PLANE('',#343765); #17722=PLANE('',#343769); #17723=PLANE('',#343770); #17724=PLANE('',#343771); #17725=PLANE('',#343772); #17726=PLANE('',#343773); #17727=PLANE('',#343774); #17728=PLANE('',#343775); #17729=PLANE('',#343776); #17730=PLANE('',#343777); #17731=PLANE('',#343778); #17732=PLANE('',#343779); #17733=PLANE('',#343780); #17734=PLANE('',#343781); #17735=PLANE('',#343782); #17736=PLANE('',#343783); #17737=PLANE('',#343784); #17738=PLANE('',#343785); #17739=PLANE('',#343786); #17740=PLANE('',#343787); #17741=PLANE('',#343788); #17742=PLANE('',#343789); #17743=PLANE('',#343790); #17744=PLANE('',#343791); #17745=PLANE('',#343792); #17746=PLANE('',#343793); #17747=PLANE('',#343794); #17748=PLANE('',#343795); #17749=PLANE('',#343796); #17750=PLANE('',#343797); #17751=PLANE('',#343798); #17752=PLANE('',#343799); #17753=PLANE('',#343800); #17754=PLANE('',#343801); #17755=PLANE('',#343802); #17756=PLANE('',#343803); #17757=PLANE('',#343804); #17758=PLANE('',#343805); #17759=PLANE('',#343806); #17760=PLANE('',#343807); #17761=PLANE('',#343808); #17762=PLANE('',#343809); #17763=PLANE('',#343810); #17764=PLANE('',#343811); #17765=PLANE('',#343812); #17766=PLANE('',#343813); #17767=PLANE('',#343814); #17768=PLANE('',#343815); #17769=PLANE('',#343816); #17770=PLANE('',#343817); #17771=PLANE('',#343818); #17772=PLANE('',#343819); #17773=PLANE('',#343820); #17774=PLANE('',#343821); #17775=PLANE('',#343822); #17776=PLANE('',#343823); #17777=PLANE('',#343824); #17778=PLANE('',#343825); #17779=PLANE('',#343826); #17780=PLANE('',#343827); #17781=PLANE('',#343828); #17782=PLANE('',#343829); #17783=PLANE('',#343830); #17784=PLANE('',#343831); #17785=PLANE('',#343832); #17786=PLANE('',#343833); #17787=PLANE('',#343834); #17788=PLANE('',#343835); #17789=PLANE('',#343836); #17790=PLANE('',#343837); #17791=PLANE('',#343838); #17792=PLANE('',#343839); #17793=PLANE('',#343840); #17794=PLANE('',#343841); #17795=PLANE('',#343842); #17796=PLANE('',#343846); #17797=PLANE('',#343853); #17798=PLANE('',#343854); #17799=PLANE('',#343858); #17800=PLANE('',#343865); #17801=PLANE('',#343866); #17802=PLANE('',#343867); #17803=PLANE('',#343871); #17804=PLANE('',#343875); #17805=PLANE('',#343876); #17806=PLANE('',#343877); #17807=PLANE('',#343878); #17808=PLANE('',#343879); #17809=PLANE('',#343880); #17810=PLANE('',#343881); #17811=PLANE('',#343882); #17812=PLANE('',#343883); #17813=PLANE('',#343884); #17814=PLANE('',#343885); #17815=PLANE('',#343886); #17816=PLANE('',#343887); #17817=PLANE('',#343888); #17818=PLANE('',#343889); #17819=PLANE('',#343890); #17820=PLANE('',#343891); #17821=PLANE('',#343892); #17822=PLANE('',#343893); #17823=PLANE('',#343894); #17824=PLANE('',#343895); #17825=PLANE('',#343896); #17826=PLANE('',#343897); #17827=PLANE('',#343898); #17828=PLANE('',#343899); #17829=PLANE('',#343900); #17830=PLANE('',#343901); #17831=PLANE('',#343902); #17832=PLANE('',#343903); #17833=PLANE('',#343904); #17834=PLANE('',#343905); #17835=PLANE('',#343906); #17836=PLANE('',#343907); #17837=PLANE('',#343908); #17838=PLANE('',#343909); #17839=PLANE('',#343910); #17840=PLANE('',#343911); #17841=PLANE('',#343912); #17842=PLANE('',#343913); #17843=PLANE('',#343914); #17844=PLANE('',#343915); #17845=PLANE('',#343916); #17846=PLANE('',#343917); #17847=PLANE('',#343918); #17848=PLANE('',#343919); #17849=PLANE('',#343920); #17850=PLANE('',#343921); #17851=PLANE('',#343922); #17852=PLANE('',#343923); #17853=PLANE('',#343924); #17854=PLANE('',#343925); #17855=PLANE('',#343926); #17856=PLANE('',#343927); #17857=PLANE('',#343928); #17858=PLANE('',#343929); #17859=PLANE('',#343930); #17860=PLANE('',#343931); #17861=PLANE('',#343932); #17862=PLANE('',#343936); #17863=PLANE('',#343943); #17864=PLANE('',#343944); #17865=PLANE('',#343948); #17866=PLANE('',#343955); #17867=PLANE('',#343956); #17868=PLANE('',#343960); #17869=PLANE('',#343967); #17870=PLANE('',#343968); #17871=PLANE('',#343972); #17872=PLANE('',#343973); #17873=PLANE('',#343974); #17874=PLANE('',#343975); #17875=PLANE('',#343976); #17876=PLANE('',#343977); #17877=PLANE('',#343981); #17878=PLANE('',#343988); #17879=PLANE('',#343989); #17880=PLANE('',#343990); #17881=PLANE('',#343991); #17882=PLANE('',#343992); #17883=PLANE('',#343993); #17884=PLANE('',#343994); #17885=PLANE('',#343995); #17886=PLANE('',#343996); #17887=PLANE('',#343997); #17888=PLANE('',#343998); #17889=PLANE('',#343999); #17890=PLANE('',#344000); #17891=PLANE('',#344001); #17892=PLANE('',#344002); #17893=PLANE('',#344003); #17894=PLANE('',#344004); #17895=PLANE('',#344005); #17896=PLANE('',#344006); #17897=PLANE('',#344007); #17898=PLANE('',#344008); #17899=PLANE('',#344009); #17900=PLANE('',#344010); #17901=PLANE('',#344011); #17902=PLANE('',#344012); #17903=PLANE('',#344013); #17904=PLANE('',#344014); #17905=PLANE('',#344015); #17906=PLANE('',#344016); #17907=PLANE('',#344017); #17908=PLANE('',#344018); #17909=PLANE('',#344019); #17910=PLANE('',#344020); #17911=PLANE('',#344021); #17912=PLANE('',#344022); #17913=PLANE('',#344023); #17914=PLANE('',#344024); #17915=PLANE('',#344025); #17916=PLANE('',#344026); #17917=PLANE('',#344027); #17918=PLANE('',#344028); #17919=PLANE('',#344029); #17920=PLANE('',#344030); #17921=PLANE('',#344031); #17922=PLANE('',#344032); #17923=PLANE('',#344033); #17924=PLANE('',#344034); #17925=PLANE('',#344035); #17926=PLANE('',#344036); #17927=PLANE('',#344037); #17928=PLANE('',#344038); #17929=PLANE('',#344039); #17930=PLANE('',#344040); #17931=PLANE('',#344041); #17932=PLANE('',#344042); #17933=PLANE('',#344043); #17934=PLANE('',#344044); #17935=PLANE('',#344045); #17936=PLANE('',#344046); #17937=PLANE('',#344047); #17938=PLANE('',#344048); #17939=PLANE('',#344049); #17940=PLANE('',#344050); #17941=PLANE('',#344051); #17942=PLANE('',#344052); #17943=PLANE('',#344053); #17944=PLANE('',#344054); #17945=PLANE('',#344055); #17946=PLANE('',#344056); #17947=PLANE('',#344057); #17948=PLANE('',#344058); #17949=PLANE('',#344059); #17950=PLANE('',#344060); #17951=PLANE('',#344061); #17952=PLANE('',#344062); #17953=PLANE('',#344063); #17954=PLANE('',#344064); #17955=PLANE('',#344065); #17956=PLANE('',#344066); #17957=PLANE('',#344067); #17958=PLANE('',#344068); #17959=PLANE('',#344069); #17960=PLANE('',#344070); #17961=PLANE('',#344071); #17962=PLANE('',#344072); #17963=PLANE('',#344073); #17964=PLANE('',#344074); #17965=PLANE('',#344075); #17966=PLANE('',#344076); #17967=PLANE('',#344077); #17968=PLANE('',#344078); #17969=PLANE('',#344079); #17970=PLANE('',#344080); #17971=PLANE('',#344081); #17972=PLANE('',#344082); #17973=PLANE('',#344083); #17974=PLANE('',#344084); #17975=PLANE('',#344085); #17976=PLANE('',#344086); #17977=PLANE('',#344087); #17978=PLANE('',#344088); #17979=PLANE('',#344089); #17980=PLANE('',#344090); #17981=PLANE('',#344091); #17982=PLANE('',#344092); #17983=PLANE('',#344093); #17984=PLANE('',#344094); #17985=PLANE('',#344095); #17986=PLANE('',#344096); #17987=PLANE('',#344097); #17988=PLANE('',#344098); #17989=PLANE('',#344099); #17990=PLANE('',#344100); #17991=PLANE('',#344101); #17992=PLANE('',#344102); #17993=PLANE('',#344103); #17994=PLANE('',#344104); #17995=PLANE('',#344105); #17996=PLANE('',#344106); #17997=PLANE('',#344107); #17998=PLANE('',#344108); #17999=PLANE('',#344109); #18000=PLANE('',#344110); #18001=PLANE('',#344111); #18002=PLANE('',#344112); #18003=PLANE('',#344113); #18004=PLANE('',#344114); #18005=PLANE('',#344115); #18006=PLANE('',#344116); #18007=PLANE('',#344117); #18008=PLANE('',#344118); #18009=PLANE('',#344119); #18010=PLANE('',#344120); #18011=PLANE('',#344121); #18012=PLANE('',#344122); #18013=PLANE('',#344123); #18014=PLANE('',#344124); #18015=PLANE('',#344125); #18016=PLANE('',#344126); #18017=PLANE('',#344127); #18018=PLANE('',#344134); #18019=PLANE('',#344135); #18020=PLANE('',#344136); #18021=PLANE('',#344137); #18022=PLANE('',#344138); #18023=PLANE('',#344139); #18024=PLANE('',#344140); #18025=PLANE('',#344141); #18026=PLANE('',#344142); #18027=PLANE('',#344143); #18028=PLANE('',#344144); #18029=PLANE('',#344145); #18030=PLANE('',#344152); #18031=PLANE('',#344153); #18032=PLANE('',#344154); #18033=PLANE('',#344155); #18034=PLANE('',#344156); #18035=PLANE('',#344157); #18036=PLANE('',#344158); #18037=PLANE('',#344159); #18038=PLANE('',#344160); #18039=PLANE('',#344161); #18040=PLANE('',#344162); #18041=PLANE('',#344163); #18042=PLANE('',#344164); #18043=PLANE('',#344165); #18044=PLANE('',#344166); #18045=PLANE('',#344167); #18046=PLANE('',#344168); #18047=PLANE('',#344169); #18048=PLANE('',#344170); #18049=PLANE('',#344171); #18050=PLANE('',#344172); #18051=PLANE('',#344173); #18052=PLANE('',#344174); #18053=PLANE('',#344175); #18054=PLANE('',#344176); #18055=PLANE('',#344177); #18056=PLANE('',#344178); #18057=PLANE('',#344179); #18058=PLANE('',#344180); #18059=PLANE('',#344181); #18060=PLANE('',#344182); #18061=PLANE('',#344183); #18062=PLANE('',#344184); #18063=PLANE('',#344185); #18064=PLANE('',#344186); #18065=PLANE('',#344187); #18066=PLANE('',#344188); #18067=PLANE('',#344189); #18068=PLANE('',#344190); #18069=PLANE('',#344191); #18070=PLANE('',#344192); #18071=PLANE('',#344193); #18072=PLANE('',#344194); #18073=PLANE('',#344195); #18074=PLANE('',#344196); #18075=PLANE('',#344197); #18076=PLANE('',#344198); #18077=PLANE('',#344199); #18078=PLANE('',#344200); #18079=PLANE('',#344201); #18080=PLANE('',#344202); #18081=PLANE('',#344203); #18082=PLANE('',#344204); #18083=PLANE('',#344205); #18084=PLANE('',#344206); #18085=PLANE('',#344207); #18086=PLANE('',#344208); #18087=PLANE('',#344209); #18088=PLANE('',#344210); #18089=PLANE('',#344211); #18090=PLANE('',#344212); #18091=PLANE('',#344213); #18092=PLANE('',#344214); #18093=PLANE('',#344215); #18094=PLANE('',#344216); #18095=PLANE('',#344217); #18096=PLANE('',#344218); #18097=PLANE('',#344219); #18098=PLANE('',#344220); #18099=PLANE('',#344221); #18100=PLANE('',#344222); #18101=PLANE('',#344223); #18102=PLANE('',#344224); #18103=PLANE('',#344225); #18104=PLANE('',#344226); #18105=PLANE('',#344227); #18106=PLANE('',#344228); #18107=PLANE('',#344229); #18108=PLANE('',#344230); #18109=PLANE('',#344231); #18110=PLANE('',#344232); #18111=PLANE('',#344233); #18112=PLANE('',#344234); #18113=PLANE('',#344235); #18114=PLANE('',#344236); #18115=PLANE('',#344237); #18116=PLANE('',#344238); #18117=PLANE('',#344239); #18118=PLANE('',#344240); #18119=PLANE('',#344241); #18120=PLANE('',#344242); #18121=PLANE('',#344243); #18122=PLANE('',#344244); #18123=PLANE('',#344245); #18124=PLANE('',#344246); #18125=PLANE('',#344247); #18126=PLANE('',#344248); #18127=PLANE('',#344249); #18128=PLANE('',#344250); #18129=PLANE('',#344251); #18130=PLANE('',#344252); #18131=PLANE('',#344253); #18132=PLANE('',#344257); #18133=PLANE('',#344258); #18134=PLANE('',#344259); #18135=PLANE('',#344260); #18136=PLANE('',#344261); #18137=PLANE('',#344262); #18138=PLANE('',#344263); #18139=PLANE('',#344264); #18140=PLANE('',#344265); #18141=PLANE('',#344266); #18142=PLANE('',#344267); #18143=PLANE('',#344268); #18144=PLANE('',#344269); #18145=PLANE('',#344270); #18146=PLANE('',#344271); #18147=PLANE('',#344272); #18148=PLANE('',#344273); #18149=PLANE('',#344274); #18150=PLANE('',#344275); #18151=PLANE('',#344276); #18152=PLANE('',#344277); #18153=PLANE('',#344278); #18154=PLANE('',#344279); #18155=PLANE('',#344280); #18156=PLANE('',#344281); #18157=PLANE('',#344282); #18158=PLANE('',#344283); #18159=PLANE('',#344284); #18160=PLANE('',#344285); #18161=PLANE('',#344286); #18162=PLANE('',#344332); #18163=PLANE('',#344333); #18164=PLANE('',#344334); #18165=PLANE('',#344335); #18166=PLANE('',#344336); #18167=PLANE('',#344337); #18168=PLANE('',#344338); #18169=PLANE('',#344339); #18170=PLANE('',#344340); #18171=PLANE('',#344341); #18172=PLANE('',#344342); #18173=PLANE('',#344343); #18174=PLANE('',#344344); #18175=PLANE('',#344345); #18176=PLANE('',#344346); #18177=PLANE('',#344347); #18178=PLANE('',#344348); #18179=PLANE('',#344349); #18180=PLANE('',#344350); #18181=PLANE('',#344351); #18182=PLANE('',#344352); #18183=PLANE('',#344353); #18184=PLANE('',#344354); #18185=PLANE('',#344355); #18186=PLANE('',#344359); #18187=PLANE('',#344360); #18188=PLANE('',#344361); #18189=PLANE('',#344362); #18190=PLANE('',#344363); #18191=PLANE('',#344364); #18192=PLANE('',#344365); #18193=PLANE('',#344366); #18194=PLANE('',#344367); #18195=PLANE('',#344368); #18196=PLANE('',#344369); #18197=PLANE('',#344370); #18198=PLANE('',#344371); #18199=PLANE('',#344372); #18200=PLANE('',#344373); #18201=PLANE('',#344374); #18202=PLANE('',#344375); #18203=PLANE('',#344376); #18204=PLANE('',#344377); #18205=PLANE('',#344378); #18206=PLANE('',#344379); #18207=PLANE('',#344380); #18208=PLANE('',#344381); #18209=PLANE('',#344382); #18210=PLANE('',#344383); #18211=PLANE('',#344384); #18212=PLANE('',#344385); #18213=PLANE('',#344386); #18214=PLANE('',#344387); #18215=PLANE('',#344388); #18216=PLANE('',#344434); #18217=PLANE('',#344435); #18218=PLANE('',#344436); #18219=PLANE('',#344437); #18220=PLANE('',#344438); #18221=PLANE('',#344439); #18222=PLANE('',#344440); #18223=PLANE('',#344441); #18224=PLANE('',#344442); #18225=PLANE('',#344443); #18226=PLANE('',#344444); #18227=PLANE('',#344445); #18228=PLANE('',#344446); #18229=PLANE('',#344447); #18230=PLANE('',#344448); #18231=PLANE('',#344449); #18232=PLANE('',#344450); #18233=PLANE('',#344451); #18234=PLANE('',#344452); #18235=PLANE('',#344453); #18236=PLANE('',#344454); #18237=PLANE('',#344455); #18238=PLANE('',#344456); #18239=PLANE('',#344457); #18240=PLANE('',#344461); #18241=PLANE('',#344462); #18242=PLANE('',#344463); #18243=PLANE('',#344464); #18244=PLANE('',#344465); #18245=PLANE('',#344466); #18246=PLANE('',#344470); #18247=PLANE('',#344471); #18248=PLANE('',#344472); #18249=PLANE('',#344473); #18250=PLANE('',#344474); #18251=PLANE('',#344475); #18252=PLANE('',#344479); #18253=PLANE('',#344480); #18254=PLANE('',#344481); #18255=PLANE('',#344482); #18256=PLANE('',#344483); #18257=PLANE('',#344484); #18258=PLANE('',#344530); #18259=PLANE('',#344531); #18260=PLANE('',#344532); #18261=PLANE('',#344533); #18262=PLANE('',#344534); #18263=PLANE('',#344535); #18264=PLANE('',#344536); #18265=PLANE('',#344537); #18266=PLANE('',#344538); #18267=PLANE('',#344539); #18268=PLANE('',#344540); #18269=PLANE('',#344541); #18270=PLANE('',#344542); #18271=PLANE('',#344543); #18272=PLANE('',#344544); #18273=PLANE('',#344545); #18274=PLANE('',#344546); #18275=PLANE('',#344547); #18276=PLANE('',#344548); #18277=PLANE('',#344549); #18278=PLANE('',#344550); #18279=PLANE('',#344551); #18280=PLANE('',#344552); #18281=PLANE('',#344553); #18282=PLANE('',#344554); #18283=PLANE('',#344555); #18284=PLANE('',#344556); #18285=PLANE('',#344557); #18286=PLANE('',#344558); #18287=PLANE('',#344559); #18288=PLANE('',#344560); #18289=PLANE('',#344561); #18290=PLANE('',#344562); #18291=PLANE('',#344563); #18292=PLANE('',#344564); #18293=PLANE('',#344565); #18294=PLANE('',#344566); #18295=PLANE('',#344567); #18296=PLANE('',#344568); #18297=PLANE('',#344569); #18298=PLANE('',#344570); #18299=PLANE('',#344571); #18300=PLANE('',#344572); #18301=PLANE('',#344573); #18302=PLANE('',#344574); #18303=PLANE('',#344575); #18304=PLANE('',#344576); #18305=PLANE('',#344577); #18306=PLANE('',#344578); #18307=PLANE('',#344579); #18308=PLANE('',#344580); #18309=PLANE('',#344581); #18310=PLANE('',#344582); #18311=PLANE('',#344583); #18312=PLANE('',#344584); #18313=PLANE('',#344585); #18314=PLANE('',#344586); #18315=PLANE('',#344587); #18316=PLANE('',#344588); #18317=PLANE('',#344589); #18318=PLANE('',#344590); #18319=PLANE('',#344591); #18320=PLANE('',#344592); #18321=PLANE('',#344593); #18322=PLANE('',#344594); #18323=PLANE('',#344595); #18324=PLANE('',#344596); #18325=PLANE('',#344597); #18326=PLANE('',#344598); #18327=PLANE('',#344599); #18328=PLANE('',#344600); #18329=PLANE('',#344601); #18330=PLANE('',#344602); #18331=PLANE('',#344603); #18332=PLANE('',#344604); #18333=PLANE('',#344605); #18334=PLANE('',#344606); #18335=PLANE('',#344607); #18336=PLANE('',#344608); #18337=PLANE('',#344609); #18338=PLANE('',#344610); #18339=PLANE('',#344611); #18340=PLANE('',#344612); #18341=PLANE('',#344613); #18342=PLANE('',#344614); #18343=PLANE('',#344615); #18344=PLANE('',#344616); #18345=PLANE('',#344617); #18346=PLANE('',#344618); #18347=PLANE('',#344619); #18348=PLANE('',#344620); #18349=PLANE('',#344621); #18350=PLANE('',#344622); #18351=PLANE('',#344623); #18352=PLANE('',#344624); #18353=PLANE('',#344625); #18354=PLANE('',#344626); #18355=PLANE('',#344627); #18356=PLANE('',#344628); #18357=PLANE('',#344629); #18358=PLANE('',#344630); #18359=PLANE('',#344631); #18360=PLANE('',#344632); #18361=PLANE('',#344633); #18362=PLANE('',#344634); #18363=PLANE('',#344635); #18364=PLANE('',#344636); #18365=PLANE('',#344637); #18366=PLANE('',#344638); #18367=PLANE('',#344639); #18368=PLANE('',#344640); #18369=PLANE('',#344641); #18370=PLANE('',#344642); #18371=PLANE('',#344643); #18372=PLANE('',#344644); #18373=PLANE('',#344645); #18374=PLANE('',#344646); #18375=PLANE('',#344647); #18376=PLANE('',#344648); #18377=PLANE('',#344649); #18378=PLANE('',#344650); #18379=PLANE('',#344651); #18380=PLANE('',#344652); #18381=PLANE('',#344653); #18382=PLANE('',#344654); #18383=PLANE('',#344655); #18384=PLANE('',#344701); #18385=PLANE('',#344702); #18386=PLANE('',#344703); #18387=PLANE('',#344704); #18388=PLANE('',#344705); #18389=PLANE('',#344706); #18390=PLANE('',#344707); #18391=PLANE('',#344708); #18392=PLANE('',#344709); #18393=PLANE('',#344710); #18394=PLANE('',#344711); #18395=PLANE('',#344712); #18396=PLANE('',#344713); #18397=PLANE('',#344714); #18398=PLANE('',#344715); #18399=PLANE('',#344716); #18400=PLANE('',#344717); #18401=PLANE('',#344718); #18402=PLANE('',#344719); #18403=PLANE('',#344720); #18404=PLANE('',#344721); #18405=PLANE('',#344722); #18406=PLANE('',#344723); #18407=PLANE('',#344724); #18408=PLANE('',#344725); #18409=PLANE('',#344726); #18410=PLANE('',#344727); #18411=PLANE('',#344728); #18412=PLANE('',#344729); #18413=PLANE('',#344730); #18414=PLANE('',#344731); #18415=PLANE('',#344732); #18416=PLANE('',#344733); #18417=PLANE('',#344734); #18418=PLANE('',#344735); #18419=PLANE('',#344736); #18420=PLANE('',#344737); #18421=PLANE('',#344738); #18422=PLANE('',#344739); #18423=PLANE('',#344740); #18424=PLANE('',#344741); #18425=PLANE('',#344742); #18426=PLANE('',#344746); #18427=PLANE('',#344747); #18428=PLANE('',#344748); #18429=PLANE('',#344749); #18430=PLANE('',#344750); #18431=PLANE('',#344751); #18432=PLANE('',#344758); #18433=PLANE('',#344759); #18434=PLANE('',#344760); #18435=PLANE('',#344761); #18436=PLANE('',#344762); #18437=PLANE('',#344763); #18438=PLANE('',#344770); #18439=PLANE('',#344771); #18440=PLANE('',#344772); #18441=PLANE('',#344773); #18442=PLANE('',#344774); #18443=PLANE('',#344775); #18444=PLANE('',#344779); #18445=PLANE('',#344780); #18446=PLANE('',#344781); #18447=PLANE('',#344782); #18448=PLANE('',#344783); #18449=PLANE('',#344784); #18450=PLANE('',#345275); #18451=PLANE('',#345279); #18452=PLANE('',#345286); #18453=PLANE('',#345290); #18454=PLANE('',#345303); #18455=PLANE('',#345307); #18456=PLANE('',#345341); #18457=PLANE('',#345345); #18458=PLANE('',#345352); #18459=PLANE('',#345356); #18460=PLANE('',#345363); #18461=PLANE('',#345367); #18462=PLANE('',#345770); #18463=PLANE('',#345771); #18464=PLANE('',#346262); #18465=PLANE('',#346266); #18466=PLANE('',#346273); #18467=PLANE('',#346277); #18468=PLANE('',#346290); #18469=PLANE('',#346294); #18470=PLANE('',#346328); #18471=PLANE('',#346332); #18472=PLANE('',#346339); #18473=PLANE('',#346343); #18474=PLANE('',#346350); #18475=PLANE('',#346354); #18476=PLANE('',#346757); #18477=PLANE('',#346758); #18478=PLANE('',#346762); #18479=PLANE('',#346763); #18480=PLANE('',#346764); #18481=PLANE('',#346765); #18482=PLANE('',#346766); #18483=PLANE('',#346767); #18484=PLANE('',#346768); #18485=PLANE('',#346769); #18486=PLANE('',#346770); #18487=PLANE('',#346771); #18488=PLANE('',#346772); #18489=PLANE('',#346773); #18490=PLANE('',#346774); #18491=PLANE('',#346775); #18492=PLANE('',#346776); #18493=PLANE('',#346777); #18494=PLANE('',#346778); #18495=PLANE('',#346779); #18496=PLANE('',#346780); #18497=PLANE('',#346781); #18498=PLANE('',#346782); #18499=PLANE('',#346783); #18500=PLANE('',#346784); #18501=PLANE('',#346785); #18502=PLANE('',#346786); #18503=PLANE('',#346787); #18504=PLANE('',#346788); #18505=PLANE('',#346789); #18506=PLANE('',#346790); #18507=PLANE('',#346791); #18508=PLANE('',#346792); #18509=PLANE('',#346793); #18510=PLANE('',#346794); #18511=PLANE('',#346795); #18512=PLANE('',#346796); #18513=PLANE('',#346797); #18514=PLANE('',#346798); #18515=PLANE('',#346799); #18516=PLANE('',#346800); #18517=PLANE('',#346801); #18518=PLANE('',#346802); #18519=PLANE('',#346803); #18520=PLANE('',#346804); #18521=PLANE('',#346805); #18522=PLANE('',#346806); #18523=PLANE('',#346807); #18524=PLANE('',#346808); #18525=PLANE('',#346809); #18526=PLANE('',#346810); #18527=PLANE('',#346811); #18528=PLANE('',#346812); #18529=PLANE('',#346813); #18530=PLANE('',#346814); #18531=PLANE('',#346815); #18532=PLANE('',#346816); #18533=PLANE('',#346817); #18534=PLANE('',#346818); #18535=PLANE('',#346819); #18536=PLANE('',#346820); #18537=PLANE('',#346821); #18538=PLANE('',#346822); #18539=PLANE('',#346823); #18540=PLANE('',#346824); #18541=PLANE('',#346825); #18542=PLANE('',#346826); #18543=PLANE('',#346827); #18544=PLANE('',#346828); #18545=PLANE('',#346829); #18546=PLANE('',#346830); #18547=PLANE('',#346831); #18548=PLANE('',#346832); #18549=PLANE('',#346833); #18550=PLANE('',#346834); #18551=PLANE('',#346835); #18552=PLANE('',#346836); #18553=PLANE('',#346837); #18554=PLANE('',#346838); #18555=PLANE('',#346839); #18556=PLANE('',#346840); #18557=PLANE('',#346841); #18558=PLANE('',#346842); #18559=PLANE('',#346843); #18560=PLANE('',#346844); #18561=PLANE('',#346845); #18562=PLANE('',#346846); #18563=PLANE('',#346847); #18564=PLANE('',#346848); #18565=PLANE('',#346849); #18566=PLANE('',#346850); #18567=PLANE('',#346851); #18568=PLANE('',#346852); #18569=PLANE('',#346853); #18570=PLANE('',#346854); #18571=PLANE('',#346855); #18572=PLANE('',#346856); #18573=PLANE('',#346857); #18574=PLANE('',#346858); #18575=PLANE('',#346859); #18576=PLANE('',#346860); #18577=PLANE('',#346861); #18578=PLANE('',#346862); #18579=PLANE('',#346863); #18580=PLANE('',#346864); #18581=PLANE('',#346865); #18582=PLANE('',#346913); #18583=PLANE('',#346914); #18584=PLANE('',#346915); #18585=PLANE('',#346916); #18586=PLANE('',#346917); #18587=PLANE('',#346918); #18588=PLANE('',#346963); #18589=PLANE('',#346964); #18590=PLANE('',#346965); #18591=PLANE('',#346966); #18592=PLANE('',#346967); #18593=PLANE('',#346968); #18594=PLANE('',#346969); #18595=PLANE('',#346970); #18596=PLANE('',#346971); #18597=PLANE('',#346972); #18598=PLANE('',#346973); #18599=PLANE('',#346974); #18600=PLANE('',#346975); #18601=PLANE('',#346976); #18602=PLANE('',#346977); #18603=PLANE('',#346978); #18604=PLANE('',#346979); #18605=PLANE('',#346980); #18606=PLANE('',#346981); #18607=PLANE('',#346982); #18608=PLANE('',#346983); #18609=PLANE('',#346984); #18610=PLANE('',#346985); #18611=PLANE('',#346986); #18612=PLANE('',#346992); #18613=PLANE('',#346993); #18614=PLANE('',#347004); #18615=PLANE('',#347005); #18616=PLANE('',#347006); #18617=PLANE('',#347007); #18618=PLANE('',#347008); #18619=PLANE('',#347009); #18620=PLANE('',#347011); #18621=PLANE('',#347017); #18622=PLANE('',#347018); #18623=PLANE('',#347019); #18624=PLANE('',#347020); #18625=PLANE('',#347021); #18626=PLANE('',#347022); #18627=PLANE('',#347023); #18628=PLANE('',#347024); #18629=PLANE('',#347025); #18630=PLANE('',#347026); #18631=PLANE('',#347027); #18632=PLANE('',#347028); #18633=PLANE('',#347029); #18634=PLANE('',#347030); #18635=PLANE('',#347031); #18636=PLANE('',#347032); #18637=PLANE('',#347033); #18638=PLANE('',#347034); #18639=PLANE('',#347035); #18640=PLANE('',#347036); #18641=PLANE('',#347037); #18642=PLANE('',#347038); #18643=PLANE('',#347039); #18644=PLANE('',#347040); #18645=PLANE('',#347041); #18646=PLANE('',#347042); #18647=PLANE('',#347043); #18648=PLANE('',#347044); #18649=PLANE('',#347045); #18650=PLANE('',#347046); #18651=PLANE('',#347047); #18652=PLANE('',#347048); #18653=PLANE('',#347049); #18654=PLANE('',#347050); #18655=PLANE('',#347051); #18656=PLANE('',#347052); #18657=PLANE('',#347053); #18658=PLANE('',#347054); #18659=PLANE('',#347055); #18660=PLANE('',#347056); #18661=PLANE('',#347057); #18662=PLANE('',#347058); #18663=PLANE('',#347059); #18664=PLANE('',#347060); #18665=PLANE('',#347061); #18666=PLANE('',#347062); #18667=PLANE('',#347063); #18668=PLANE('',#347064); #18669=PLANE('',#347065); #18670=PLANE('',#347066); #18671=PLANE('',#347067); #18672=PLANE('',#347068); #18673=PLANE('',#347069); #18674=PLANE('',#347070); #18675=PLANE('',#347071); #18676=PLANE('',#347072); #18677=PLANE('',#347073); #18678=PLANE('',#347074); #18679=PLANE('',#347075); #18680=PLANE('',#347076); #18681=PLANE('',#347077); #18682=PLANE('',#347078); #18683=PLANE('',#347079); #18684=PLANE('',#347080); #18685=PLANE('',#347081); #18686=PLANE('',#347082); #18687=PLANE('',#347086); #18688=PLANE('',#347087); #18689=PLANE('',#347088); #18690=PLANE('',#347089); #18691=PLANE('',#347090); #18692=PLANE('',#347091); #18693=PLANE('',#347096); #18694=PLANE('',#347097); #18695=PLANE('',#347098); #18696=PLANE('',#347101); #18697=PLANE('',#347102); #18698=PLANE('',#347103); #18699=PLANE('',#347104); #18700=PLANE('',#347108); #18701=PLANE('',#347114); #18702=PLANE('',#347115); #18703=PLANE('',#347120); #18704=PLANE('',#347125); #18705=PLANE('',#347126); #18706=PLANE('',#347131); #18707=PLANE('',#347132); #18708=PLANE('',#347136); #18709=PLANE('',#347137); #18710=PLANE('',#347138); #18711=PLANE('',#347139); #18712=PLANE('',#347140); #18713=PLANE('',#347144); #18714=PLANE('',#347145); #18715=PLANE('',#347147); #18716=PLANE('',#347148); #18717=PLANE('',#347151); #18718=PLANE('',#347152); #18719=PLANE('',#347155); #18720=PLANE('',#347158); #18721=PLANE('',#347160); #18722=PLANE('',#347163); #18723=PLANE('',#347168); #18724=PLANE('',#347169); #18725=PLANE('',#347170); #18726=PLANE('',#347171); #18727=PLANE('',#347172); #18728=PLANE('',#347173); #18729=PLANE('',#347174); #18730=PLANE('',#347175); #18731=PLANE('',#347176); #18732=PLANE('',#347177); #18733=PLANE('',#347178); #18734=PLANE('',#347179); #18735=PLANE('',#347180); #18736=PLANE('',#347181); #18737=PLANE('',#347182); #18738=PLANE('',#347183); #18739=PLANE('',#347184); #18740=PLANE('',#347185); #18741=PLANE('',#347186); #18742=PLANE('',#347187); #18743=PLANE('',#347188); #18744=PLANE('',#347189); #18745=PLANE('',#347190); #18746=PLANE('',#347191); #18747=PLANE('',#347192); #18748=PLANE('',#347193); #18749=PLANE('',#347198); #18750=PLANE('',#347202); #18751=PLANE('',#347206); #18752=PLANE('',#347207); #18753=PLANE('',#347211); #18754=PLANE('',#347212); #18755=PLANE('',#347213); #18756=PLANE('',#347214); #18757=PLANE('',#347215); #18758=PLANE('',#347216); #18759=PLANE('',#347217); #18760=PLANE('',#347218); #18761=PLANE('',#347219); #18762=PLANE('',#347220); #18763=PLANE('',#347221); #18764=PLANE('',#347222); #18765=PLANE('',#347223); #18766=PLANE('',#347224); #18767=PLANE('',#347225); #18768=PLANE('',#347226); #18769=PLANE('',#347227); #18770=PLANE('',#347228); #18771=PLANE('',#347229); #18772=PLANE('',#347230); #18773=PLANE('',#347231); #18774=PLANE('',#347232); #18775=PLANE('',#347233); #18776=PLANE('',#347234); #18777=PLANE('',#347235); #18778=PLANE('',#347236); #18779=PLANE('',#347237); #18780=PLANE('',#347238); #18781=PLANE('',#347239); #18782=PLANE('',#347240); #18783=PLANE('',#347241); #18784=PLANE('',#347242); #18785=PLANE('',#347243); #18786=PLANE('',#347244); #18787=PLANE('',#347245); #18788=PLANE('',#347246); #18789=PLANE('',#347247); #18790=PLANE('',#347248); #18791=PLANE('',#347249); #18792=PLANE('',#347250); #18793=PLANE('',#347251); #18794=PLANE('',#347252); #18795=PLANE('',#347253); #18796=PLANE('',#347254); #18797=PLANE('',#347255); #18798=PLANE('',#347256); #18799=PLANE('',#347257); #18800=PLANE('',#347258); #18801=PLANE('',#347259); #18802=PLANE('',#347260); #18803=PLANE('',#347261); #18804=PLANE('',#347262); #18805=PLANE('',#347263); #18806=PLANE('',#347264); #18807=PLANE('',#347265); #18808=PLANE('',#347266); #18809=PLANE('',#347267); #18810=PLANE('',#347268); #18811=PLANE('',#347269); #18812=PLANE('',#347270); #18813=PLANE('',#347271); #18814=PLANE('',#347272); #18815=PLANE('',#347273); #18816=PLANE('',#347274); #18817=PLANE('',#347275); #18818=PLANE('',#347276); #18819=PLANE('',#347277); #18820=PLANE('',#347278); #18821=PLANE('',#347279); #18822=PLANE('',#347280); #18823=PLANE('',#347281); #18824=PLANE('',#347282); #18825=PLANE('',#347283); #18826=PLANE('',#347284); #18827=PLANE('',#347285); #18828=PLANE('',#347286); #18829=PLANE('',#347287); #18830=PLANE('',#347288); #18831=PLANE('',#347289); #18832=PLANE('',#347290); #18833=PLANE('',#347291); #18834=PLANE('',#347292); #18835=PLANE('',#347293); #18836=PLANE('',#347294); #18837=PLANE('',#347295); #18838=PLANE('',#347296); #18839=PLANE('',#347298); #18840=PLANE('',#347299); #18841=PLANE('',#347304); #18842=PLANE('',#347309); #18843=PLANE('',#347310); #18844=PLANE('',#347311); #18845=PLANE('',#347312); #18846=PLANE('',#347313); #18847=PLANE('',#347314); #18848=PLANE('',#347315); #18849=PLANE('',#347317); #18850=PLANE('',#347319); #18851=PLANE('',#347321); #18852=PLANE('',#347323); #18853=PLANE('',#347324); #18854=PLANE('',#347325); #18855=PLANE('',#347327); #18856=PLANE('',#347328); #18857=PLANE('',#347333); #18858=PLANE('',#347338); #18859=PLANE('',#347339); #18860=PLANE('',#347340); #18861=PLANE('',#347341); #18862=PLANE('',#347342); #18863=PLANE('',#347343); #18864=PLANE('',#347344); #18865=PLANE('',#347346); #18866=PLANE('',#347348); #18867=PLANE('',#347350); #18868=PLANE('',#347352); #18869=PLANE('',#347353); #18870=PLANE('',#347354); #18871=PLANE('',#347356); #18872=PLANE('',#347357); #18873=PLANE('',#347362); #18874=PLANE('',#347367); #18875=PLANE('',#347368); #18876=PLANE('',#347369); #18877=PLANE('',#347370); #18878=PLANE('',#347371); #18879=PLANE('',#347372); #18880=PLANE('',#347373); #18881=PLANE('',#347375); #18882=PLANE('',#347377); #18883=PLANE('',#347379); #18884=PLANE('',#347381); #18885=PLANE('',#347382); #18886=PLANE('',#347383); #18887=PLANE('',#347385); #18888=PLANE('',#347386); #18889=PLANE('',#347391); #18890=PLANE('',#347396); #18891=PLANE('',#347397); #18892=PLANE('',#347398); #18893=PLANE('',#347399); #18894=PLANE('',#347400); #18895=PLANE('',#347401); #18896=PLANE('',#347402); #18897=PLANE('',#347404); #18898=PLANE('',#347406); #18899=PLANE('',#347408); #18900=PLANE('',#347410); #18901=PLANE('',#347411); #18902=PLANE('',#347412); #18903=PLANE('',#347414); #18904=PLANE('',#347415); #18905=PLANE('',#347420); #18906=PLANE('',#347425); #18907=PLANE('',#347426); #18908=PLANE('',#347427); #18909=PLANE('',#347428); #18910=PLANE('',#347429); #18911=PLANE('',#347430); #18912=PLANE('',#347431); #18913=PLANE('',#347433); #18914=PLANE('',#347435); #18915=PLANE('',#347437); #18916=PLANE('',#347439); #18917=PLANE('',#347440); #18918=PLANE('',#347441); #18919=PLANE('',#347443); #18920=PLANE('',#347444); #18921=PLANE('',#347449); #18922=PLANE('',#347454); #18923=PLANE('',#347455); #18924=PLANE('',#347456); #18925=PLANE('',#347457); #18926=PLANE('',#347458); #18927=PLANE('',#347459); #18928=PLANE('',#347460); #18929=PLANE('',#347462); #18930=PLANE('',#347464); #18931=PLANE('',#347466); #18932=PLANE('',#347468); #18933=PLANE('',#347469); #18934=PLANE('',#347470); #18935=PLANE('',#347472); #18936=PLANE('',#347473); #18937=PLANE('',#347478); #18938=PLANE('',#347483); #18939=PLANE('',#347484); #18940=PLANE('',#347485); #18941=PLANE('',#347486); #18942=PLANE('',#347487); #18943=PLANE('',#347488); #18944=PLANE('',#347489); #18945=PLANE('',#347491); #18946=PLANE('',#347493); #18947=PLANE('',#347495); #18948=PLANE('',#347497); #18949=PLANE('',#347498); #18950=PLANE('',#347499); #18951=PLANE('',#347501); #18952=PLANE('',#347502); #18953=PLANE('',#347507); #18954=PLANE('',#347512); #18955=PLANE('',#347513); #18956=PLANE('',#347514); #18957=PLANE('',#347515); #18958=PLANE('',#347516); #18959=PLANE('',#347517); #18960=PLANE('',#347518); #18961=PLANE('',#347520); #18962=PLANE('',#347522); #18963=PLANE('',#347524); #18964=PLANE('',#347526); #18965=PLANE('',#347527); #18966=PLANE('',#347528); #18967=PLANE('',#347530); #18968=PLANE('',#347531); #18969=PLANE('',#347536); #18970=PLANE('',#347541); #18971=PLANE('',#347542); #18972=PLANE('',#347543); #18973=PLANE('',#347544); #18974=PLANE('',#347545); #18975=PLANE('',#347546); #18976=PLANE('',#347547); #18977=PLANE('',#347549); #18978=PLANE('',#347551); #18979=PLANE('',#347553); #18980=PLANE('',#347555); #18981=PLANE('',#347556); #18982=PLANE('',#347557); #18983=PLANE('',#347559); #18984=PLANE('',#347560); #18985=PLANE('',#347565); #18986=PLANE('',#347570); #18987=PLANE('',#347571); #18988=PLANE('',#347572); #18989=PLANE('',#347573); #18990=PLANE('',#347574); #18991=PLANE('',#347575); #18992=PLANE('',#347576); #18993=PLANE('',#347578); #18994=PLANE('',#347580); #18995=PLANE('',#347582); #18996=PLANE('',#347584); #18997=PLANE('',#347585); #18998=PLANE('',#347586); #18999=PLANE('',#347588); #19000=PLANE('',#347589); #19001=PLANE('',#347594); #19002=PLANE('',#347599); #19003=PLANE('',#347600); #19004=PLANE('',#347601); #19005=PLANE('',#347602); #19006=PLANE('',#347603); #19007=PLANE('',#347604); #19008=PLANE('',#347605); #19009=PLANE('',#347607); #19010=PLANE('',#347609); #19011=PLANE('',#347611); #19012=PLANE('',#347613); #19013=PLANE('',#347614); #19014=PLANE('',#347615); #19015=PLANE('',#347617); #19016=PLANE('',#347618); #19017=PLANE('',#347623); #19018=PLANE('',#347628); #19019=PLANE('',#347629); #19020=PLANE('',#347630); #19021=PLANE('',#347631); #19022=PLANE('',#347632); #19023=PLANE('',#347633); #19024=PLANE('',#347634); #19025=PLANE('',#347636); #19026=PLANE('',#347638); #19027=PLANE('',#347640); #19028=PLANE('',#347642); #19029=PLANE('',#347643); #19030=PLANE('',#347644); #19031=PLANE('',#347646); #19032=PLANE('',#347647); #19033=PLANE('',#347652); #19034=PLANE('',#347657); #19035=PLANE('',#347658); #19036=PLANE('',#347659); #19037=PLANE('',#347660); #19038=PLANE('',#347661); #19039=PLANE('',#347662); #19040=PLANE('',#347663); #19041=PLANE('',#347665); #19042=PLANE('',#347667); #19043=PLANE('',#347669); #19044=PLANE('',#347671); #19045=PLANE('',#347672); #19046=PLANE('',#347673); #19047=PLANE('',#347675); #19048=PLANE('',#347676); #19049=PLANE('',#347681); #19050=PLANE('',#347686); #19051=PLANE('',#347687); #19052=PLANE('',#347688); #19053=PLANE('',#347689); #19054=PLANE('',#347690); #19055=PLANE('',#347691); #19056=PLANE('',#347692); #19057=PLANE('',#347694); #19058=PLANE('',#347696); #19059=PLANE('',#347698); #19060=PLANE('',#347700); #19061=PLANE('',#347701); #19062=PLANE('',#347702); #19063=PLANE('',#347704); #19064=PLANE('',#347705); #19065=PLANE('',#347706); #19066=PLANE('',#347707); #19067=PLANE('',#347711); #19068=PLANE('',#347712); #19069=PLANE('',#347713); #19070=PLANE('',#347717); #19071=PLANE('',#347724); #19072=PLANE('',#347728); #19073=PLANE('',#347732); #19074=PLANE('',#347733); #19075=PLANE('',#347734); #19076=PLANE('',#347735); #19077=PLANE('',#347736); #19078=PLANE('',#347737); #19079=PLANE('',#347738); #19080=PLANE('',#347742); #19081=PLANE('',#347746); #19082=PLANE('',#347753); #19083=PLANE('',#347757); #19084=PLANE('',#347758); #19085=PLANE('',#347759); #19086=PLANE('',#347763); #19087=PLANE('',#347764); #19088=PLANE('',#347765); #19089=PLANE('',#347767); #19090=PLANE('',#347768); #19091=PLANE('',#347769); #19092=PLANE('',#347787); #19093=PLANE('',#347805); #19094=PLANE('',#347806); #19095=PLANE('',#347813); #19096=PLANE('',#347816); #19097=PLANE('',#347836); #19098=PLANE('',#347839); #19099=PLANE('',#347857); #19100=PLANE('',#347858); #19101=PLANE('',#347859); #19102=PLANE('',#347860); #19103=PLANE('',#347861); #19104=PLANE('',#347865); #19105=PLANE('',#347868); #19106=PLANE('',#347889); #19107=PLANE('',#347890); #19108=PLANE('',#347891); #19109=PLANE('',#347892); #19110=PLANE('',#347893); #19111=PLANE('',#347913); #19112=PLANE('',#347917); #19113=PLANE('',#347953); #19114=PLANE('',#347954); #19115=PLANE('',#347955); #19116=PLANE('',#347958); #19117=PLANE('',#347959); #19118=PLANE('',#347973); #19119=PLANE('',#347994); #19120=PLANE('',#348004); #19121=PLANE('',#348005); #19122=PLANE('',#348009); #19123=PLANE('',#348011); #19124=PLANE('',#348012); #19125=PLANE('',#348014); #19126=PLANE('',#348016); #19127=PLANE('',#348018); #19128=PLANE('',#348021); #19129=PLANE('',#348023); #19130=PLANE('',#348025); #19131=PLANE('',#348027); #19132=PLANE('',#348028); #19133=PLANE('',#348030); #19134=PLANE('',#348032); #19135=PLANE('',#348034); #19136=PLANE('',#348036); #19137=PLANE('',#348038); #19138=PLANE('',#348039); #19139=PLANE('',#348041); #19140=PLANE('',#348043); #19141=PLANE('',#348045); #19142=PLANE('',#348047); #19143=PLANE('',#348049); #19144=PLANE('',#348051); #19145=PLANE('',#348053); #19146=PLANE('',#348057); #19147=PLANE('',#348059); #19148=PLANE('',#348062); #19149=PLANE('',#348063); #19150=PLANE('',#348064); #19151=PLANE('',#348066); #19152=PLANE('',#348068); #19153=PLANE('',#348070); #19154=PLANE('',#348072); #19155=PLANE('',#348075); #19156=PLANE('',#348076); #19157=PLANE('',#348080); #19158=PLANE('',#348082); #19159=PLANE('',#348084); #19160=PLANE('',#348086); #19161=PLANE('',#348088); #19162=PLANE('',#348089); #19163=PLANE('',#348090); #19164=PLANE('',#348091); #19165=PLANE('',#348092); #19166=PLANE('',#348093); #19167=PLANE('',#348102); #19168=PLANE('',#348112); #19169=PLANE('',#348114); #19170=PLANE('',#348116); #19171=PLANE('',#348119); #19172=PLANE('',#348121); #19173=PLANE('',#348123); #19174=PLANE('',#348125); #19175=PLANE('',#348126); #19176=PLANE('',#348127); #19177=PLANE('',#348128); #19178=PLANE('',#348129); #19179=PLANE('',#348138); #19180=PLANE('',#348148); #19181=PLANE('',#348150); #19182=PLANE('',#348152); #19183=PLANE('',#348155); #19184=PLANE('',#348157); #19185=PLANE('',#348159); #19186=PLANE('',#348161); #19187=PLANE('',#348165); #19188=PLANE('',#348169); #19189=PLANE('',#348173); #19190=PLANE('',#348179); #19191=PLANE('',#348183); #19192=PLANE('',#348189); #19193=PLANE('',#348190); #19194=PLANE('',#348191); #19195=PLANE('',#348192); #19196=PLANE('',#348193); #19197=PLANE('',#348194); #19198=PLANE('',#348195); #19199=PLANE('',#348197); #19200=PLANE('',#348201); #19201=PLANE('',#348203); #19202=PLANE('',#348205); #19203=PLANE('',#348207); #19204=PLANE('',#348209); #19205=PLANE('',#348212); #19206=PLANE('',#348214); #19207=PLANE('',#348216); #19208=PLANE('',#348218); #19209=PLANE('',#348220); #19210=PLANE('',#348221); #19211=PLANE('',#348222); #19212=PLANE('',#348225); #19213=PLANE('',#348229); #19214=PLANE('',#348230); #19215=PLANE('',#348231); #19216=PLANE('',#348232); #19217=PLANE('',#348233); #19218=PLANE('',#348242); #19219=PLANE('',#348252); #19220=PLANE('',#348254); #19221=PLANE('',#348256); #19222=PLANE('',#348259); #19223=PLANE('',#348261); #19224=PLANE('',#348263); #19225=PLANE('',#348265); #19226=PLANE('',#348266); #19227=PLANE('',#348267); #19228=PLANE('',#348268); #19229=PLANE('',#348269); #19230=PLANE('',#348278); #19231=PLANE('',#348288); #19232=PLANE('',#348290); #19233=PLANE('',#348292); #19234=PLANE('',#348295); #19235=PLANE('',#348297); #19236=PLANE('',#348299); #19237=PLANE('',#348304); #19238=PLANE('',#348308); #19239=PLANE('',#348312); #19240=PLANE('',#348313); #19241=PLANE('',#348322); #19242=PLANE('',#348323); #19243=PLANE('',#348329); #19244=PLANE('',#348330); #19245=PLANE('',#348331); #19246=PLANE('',#348332); #19247=PLANE('',#348333); #19248=PLANE('',#348334); #19249=PLANE('',#348338); #19250=PLANE('',#348348); #19251=PLANE('',#348358); #19252=PLANE('',#348362); #19253=PLANE('',#348366); #19254=PLANE('',#348376); #19255=PLANE('',#348386); #19256=PLANE('',#348390); #19257=PLANE('',#348394); #19258=PLANE('',#348398); #19259=PLANE('',#348401); #19260=PLANE('',#348405); #19261=PLANE('',#348406); #19262=PLANE('',#348407); #19263=PLANE('',#348408); #19264=PLANE('',#348412); #19265=PLANE('',#348413); #19266=PLANE('',#348418); #19267=PLANE('',#348419); #19268=PLANE('',#348422); #19269=PLANE('',#348434); #19270=PLANE('',#348438); #19271=PLANE('',#348442); #19272=PLANE('',#348450); #19273=PLANE('',#348458); #19274=PLANE('',#348466); #19275=PLANE('',#348474); #19276=PLANE('',#348478); #19277=PLANE('',#348482); #19278=PLANE('',#348494); #19279=PLANE('',#348495); #19280=PLANE('',#348502); #19281=PLANE('',#348505); #19282=PLANE('',#348510); #19283=PLANE('',#348513); #19284=PLANE('',#348518); #19285=PLANE('',#348523); #19286=PLANE('',#348531); #19287=PLANE('',#348533); #19288=PLANE('',#348535); #19289=PLANE('',#348538); #19290=PLANE('',#348540); #19291=PLANE('',#348542); #19292=PLANE('',#348545); #19293=PLANE('',#348547); #19294=PLANE('',#348549); #19295=PLANE('',#348552); #19296=PLANE('',#348554); #19297=PLANE('',#348556); #19298=PLANE('',#348559); #19299=PLANE('',#348560); #19300=PLANE('',#348565); #19301=PLANE('',#348570); #19302=PLANE('',#348571); #19303=PLANE('',#348572); #19304=PLANE('',#348573); #19305=PLANE('',#348574); #19306=PLANE('',#348575); #19307=PLANE('',#348576); #19308=PLANE('',#348578); #19309=PLANE('',#348580); #19310=PLANE('',#348582); #19311=PLANE('',#348584); #19312=PLANE('',#348585); #19313=PLANE('',#348586); #19314=PLANE('',#348610); #19315=PLANE('',#348611); #19316=PLANE('',#348612); #19317=PLANE('',#348613); #19318=PLANE('',#348614); #19319=PLANE('',#348615); #19320=PLANE('',#348616); #19321=PLANE('',#348617); #19322=PLANE('',#348618); #19323=PLANE('',#348619); #19324=PLANE('',#348620); #19325=PLANE('',#348621); #19326=PLANE('',#348622); #19327=PLANE('',#348623); #19328=PLANE('',#348625); #19329=PLANE('',#348627); #19330=PLANE('',#348630); #19331=PLANE('',#348631); #19332=PLANE('',#348634); #19333=PLANE('',#348636); #19334=PLANE('',#348637); #19335=PLANE('',#348639); #19336=PLANE('',#348640); #19337=PLANE('',#348641); #19338=PLANE('',#348642); #19339=PLANE('',#348644); #19340=PLANE('',#348645); #19341=PLANE('',#348647); #19342=PLANE('',#348648); #19343=PLANE('',#348649); #19344=PLANE('',#348650); #19345=PLANE('',#348651); #19346=PLANE('',#348652); #19347=PLANE('',#348653); #19348=PLANE('',#348654); #19349=PLANE('',#348655); #19350=PLANE('',#348656); #19351=PLANE('',#348657); #19352=PLANE('',#348658); #19353=PLANE('',#348659); #19354=PLANE('',#348660); #19355=PLANE('',#348661); #19356=PLANE('',#348662); #19357=PLANE('',#348663); #19358=PLANE('',#348664); #19359=PLANE('',#348665); #19360=PLANE('',#348666); #19361=PLANE('',#348667); #19362=PLANE('',#348668); #19363=PLANE('',#348669); #19364=PLANE('',#348670); #19365=PLANE('',#348671); #19366=PLANE('',#348672); #19367=PLANE('',#348673); #19368=PLANE('',#348674); #19369=PLANE('',#348675); #19370=PLANE('',#348676); #19371=PLANE('',#348677); #19372=PLANE('',#348678); #19373=PLANE('',#348679); #19374=PLANE('',#348680); #19375=PLANE('',#348681); #19376=PLANE('',#348682); #19377=PLANE('',#348683); #19378=PLANE('',#348684); #19379=PLANE('',#348685); #19380=PLANE('',#348686); #19381=PLANE('',#348687); #19382=PLANE('',#348688); #19383=PLANE('',#348689); #19384=PLANE('',#348690); #19385=PLANE('',#348691); #19386=PLANE('',#348692); #19387=PLANE('',#348693); #19388=PLANE('',#348696); #19389=PLANE('',#348697); #19390=PLANE('',#348698); #19391=PLANE('',#348746); #19392=PLANE('',#348747); #19393=PLANE('',#348748); #19394=PLANE('',#348749); #19395=PLANE('',#348750); #19396=PLANE('',#348751); #19397=PLANE('',#348796); #19398=PLANE('',#348797); #19399=PLANE('',#348798); #19400=PLANE('',#348799); #19401=PLANE('',#348800); #19402=PLANE('',#348801); #19403=PLANE('',#348802); #19404=PLANE('',#348803); #19405=PLANE('',#348804); #19406=PLANE('',#348805); #19407=PLANE('',#348806); #19408=PLANE('',#348807); #19409=PLANE('',#348855); #19410=PLANE('',#348856); #19411=PLANE('',#348857); #19412=PLANE('',#348858); #19413=PLANE('',#348859); #19414=PLANE('',#348860); #19415=PLANE('',#348905); #19416=PLANE('',#348906); #19417=PLANE('',#348907); #19418=PLANE('',#348908); #19419=PLANE('',#348909); #19420=PLANE('',#348910); #19421=PLANE('',#348911); #19422=PLANE('',#348912); #19423=PLANE('',#348913); #19424=PLANE('',#348914); #19425=PLANE('',#348915); #19426=PLANE('',#348916); #19427=PLANE('',#348964); #19428=PLANE('',#348965); #19429=PLANE('',#348966); #19430=PLANE('',#348967); #19431=PLANE('',#348968); #19432=PLANE('',#348969); #19433=PLANE('',#349014); #19434=PLANE('',#349015); #19435=PLANE('',#349016); #19436=PLANE('',#349017); #19437=PLANE('',#349018); #19438=PLANE('',#349019); #19439=PLANE('',#349020); #19440=PLANE('',#349021); #19441=PLANE('',#349022); #19442=PLANE('',#349023); #19443=PLANE('',#349024); #19444=PLANE('',#349025); #19445=PLANE('',#349032); #19446=PLANE('',#349033); #19447=PLANE('',#349054); #19448=PLANE('',#349055); #19449=PLANE('',#349078); #19450=PLANE('',#349099); #19451=PLANE('',#349108); #19452=PLANE('',#349112); #19453=PLANE('',#349113); #19454=PLANE('',#349117); #19455=PLANE('',#349118); #19456=PLANE('',#349119); #19457=PLANE('',#349120); #19458=PLANE('',#349125); #19459=PLANE('',#349128); #19460=PLANE('',#349129); #19461=PLANE('',#349130); #19462=PLANE('',#349135); #19463=PLANE('',#349138); #19464=PLANE('',#349139); #19465=PLANE('',#349140); #19466=PLANE('',#349145); #19467=PLANE('',#349148); #19468=PLANE('',#349149); #19469=PLANE('',#349150); #19470=PLANE('',#349155); #19471=PLANE('',#349158); #19472=PLANE('',#349159); #19473=PLANE('',#349160); #19474=PLANE('',#349165); #19475=PLANE('',#349168); #19476=PLANE('',#349169); #19477=PLANE('',#349170); #19478=PLANE('',#349175); #19479=PLANE('',#349178); #19480=PLANE('',#349179); #19481=PLANE('',#349180); #19482=PLANE('',#349185); #19483=PLANE('',#349188); #19484=PLANE('',#349189); #19485=PLANE('',#349190); #19486=PLANE('',#349195); #19487=PLANE('',#349198); #19488=PLANE('',#349199); #19489=PLANE('',#349200); #19490=PLANE('',#349205); #19491=PLANE('',#349208); #19492=PLANE('',#349215); #19493=PLANE('',#349216); #19494=PLANE('',#349217); #19495=PLANE('',#349218); #19496=PLANE('',#349219); #19497=PLANE('',#349220); #19498=PLANE('',#349221); #19499=PLANE('',#349222); #19500=PLANE('',#349223); #19501=PLANE('',#349224); #19502=PLANE('',#349225); #19503=PLANE('',#349226); #19504=PLANE('',#349227); #19505=PLANE('',#349228); #19506=PLANE('',#349229); #19507=PLANE('',#349230); #19508=PLANE('',#349231); #19509=PLANE('',#349232); #19510=PLANE('',#349233); #19511=PLANE('',#349237); #19512=PLANE('',#349241); #19513=PLANE('',#349242); #19514=PLANE('',#349243); #19515=PLANE('',#349244); #19516=PLANE('',#349245); #19517=PLANE('',#349249); #19518=PLANE('',#349253); #19519=PLANE('',#349254); #19520=PLANE('',#349255); #19521=PLANE('',#349256); #19522=PLANE('',#349257); #19523=PLANE('',#349261); #19524=PLANE('',#349265); #19525=PLANE('',#349266); #19526=PLANE('',#349267); #19527=PLANE('',#349268); #19528=PLANE('',#349269); #19529=PLANE('',#349273); #19530=PLANE('',#349277); #19531=PLANE('',#349278); #19532=PLANE('',#349279); #19533=PLANE('',#349280); #19534=PLANE('',#349281); #19535=PLANE('',#349285); #19536=PLANE('',#349289); #19537=PLANE('',#349290); #19538=PLANE('',#349291); #19539=PLANE('',#349292); #19540=PLANE('',#349293); #19541=PLANE('',#349297); #19542=PLANE('',#349301); #19543=PLANE('',#349302); #19544=PLANE('',#349303); #19545=PLANE('',#349304); #19546=PLANE('',#349305); #19547=PLANE('',#349309); #19548=PLANE('',#349313); #19549=PLANE('',#349314); #19550=PLANE('',#349315); #19551=PLANE('',#349316); #19552=PLANE('',#349317); #19553=PLANE('',#349321); #19554=PLANE('',#349325); #19555=PLANE('',#349326); #19556=PLANE('',#349327); #19557=PLANE('',#349328); #19558=PLANE('',#349329); #19559=PLANE('',#349330); #19560=PLANE('',#349331); #19561=PLANE('',#349332); #19562=PLANE('',#349333); #19563=PLANE('',#349334); #19564=PLANE('',#349335); #19565=PLANE('',#349336); #19566=PLANE('',#349337); #19567=PLANE('',#349338); #19568=PLANE('',#349339); #19569=PLANE('',#349340); #19570=PLANE('',#349341); #19571=PLANE('',#349342); #19572=PLANE('',#349343); #19573=PLANE('',#349344); #19574=PLANE('',#349345); #19575=PLANE('',#349346); #19576=PLANE('',#349347); #19577=PLANE('',#349348); #19578=PLANE('',#349349); #19579=PLANE('',#349350); #19580=PLANE('',#349351); #19581=PLANE('',#349352); #19582=PLANE('',#349353); #19583=PLANE('',#349354); #19584=PLANE('',#349355); #19585=PLANE('',#349356); #19586=PLANE('',#349357); #19587=PLANE('',#349358); #19588=PLANE('',#349359); #19589=PLANE('',#349360); #19590=PLANE('',#349361); #19591=PLANE('',#349362); #19592=PLANE('',#349363); #19593=PLANE('',#349364); #19594=PLANE('',#349365); #19595=PLANE('',#349366); #19596=PLANE('',#349367); #19597=PLANE('',#349368); #19598=PLANE('',#349369); #19599=PLANE('',#349370); #19600=PLANE('',#349371); #19601=PLANE('',#349372); #19602=PLANE('',#349373); #19603=PLANE('',#349374); #19604=PLANE('',#349375); #19605=PLANE('',#349382); #19606=PLANE('',#349383); #19607=PLANE('',#349384); #19608=PLANE('',#349385); #19609=PLANE('',#349386); #19610=PLANE('',#349387); #19611=PLANE('',#349388); #19612=PLANE('',#349389); #19613=PLANE('',#349390); #19614=PLANE('',#349391); #19615=PLANE('',#349392); #19616=PLANE('',#349393); #19617=PLANE('',#349394); #19618=PLANE('',#349395); #19619=PLANE('',#349396); #19620=PLANE('',#349397); #19621=PLANE('',#349398); #19622=PLANE('',#349399); #19623=PLANE('',#349400); #19624=PLANE('',#349404); #19625=PLANE('',#349408); #19626=PLANE('',#349409); #19627=PLANE('',#349410); #19628=PLANE('',#349411); #19629=PLANE('',#349412); #19630=PLANE('',#349416); #19631=PLANE('',#349420); #19632=PLANE('',#349421); #19633=PLANE('',#349422); #19634=PLANE('',#349423); #19635=PLANE('',#349424); #19636=PLANE('',#349428); #19637=PLANE('',#349432); #19638=PLANE('',#349433); #19639=PLANE('',#349434); #19640=PLANE('',#349435); #19641=PLANE('',#349436); #19642=PLANE('',#349440); #19643=PLANE('',#349444); #19644=PLANE('',#349445); #19645=PLANE('',#349446); #19646=PLANE('',#349447); #19647=PLANE('',#349448); #19648=PLANE('',#349452); #19649=PLANE('',#349456); #19650=PLANE('',#349457); #19651=PLANE('',#349458); #19652=PLANE('',#349459); #19653=PLANE('',#349460); #19654=PLANE('',#349464); #19655=PLANE('',#349468); #19656=PLANE('',#349469); #19657=PLANE('',#349470); #19658=PLANE('',#349471); #19659=PLANE('',#349472); #19660=PLANE('',#349476); #19661=PLANE('',#349480); #19662=PLANE('',#349481); #19663=PLANE('',#349482); #19664=PLANE('',#349483); #19665=PLANE('',#349484); #19666=PLANE('',#349488); #19667=PLANE('',#349492); #19668=PLANE('',#349493); #19669=PLANE('',#349494); #19670=PLANE('',#349495); #19671=PLANE('',#349496); #19672=PLANE('',#349497); #19673=PLANE('',#349498); #19674=PLANE('',#349499); #19675=PLANE('',#349500); #19676=PLANE('',#349501); #19677=PLANE('',#349502); #19678=PLANE('',#349503); #19679=PLANE('',#349504); #19680=PLANE('',#349505); #19681=PLANE('',#349506); #19682=PLANE('',#349507); #19683=PLANE('',#349508); #19684=PLANE('',#349509); #19685=PLANE('',#349510); #19686=PLANE('',#349511); #19687=PLANE('',#349512); #19688=PLANE('',#349513); #19689=PLANE('',#349514); #19690=PLANE('',#349515); #19691=PLANE('',#349516); #19692=PLANE('',#349517); #19693=PLANE('',#349518); #19694=PLANE('',#349519); #19695=PLANE('',#349520); #19696=PLANE('',#349521); #19697=PLANE('',#349522); #19698=PLANE('',#349523); #19699=PLANE('',#349524); #19700=PLANE('',#349525); #19701=PLANE('',#349526); #19702=PLANE('',#349527); #19703=PLANE('',#349528); #19704=PLANE('',#349529); #19705=PLANE('',#349530); #19706=PLANE('',#349531); #19707=PLANE('',#349532); #19708=PLANE('',#349533); #19709=PLANE('',#349534); #19710=PLANE('',#349535); #19711=PLANE('',#349536); #19712=PLANE('',#349537); #19713=PLANE('',#349538); #19714=PLANE('',#349539); #19715=PLANE('',#349540); #19716=PLANE('',#349541); #19717=PLANE('',#349542); #19718=PLANE('',#349546); #19719=PLANE('',#349547); #19720=PLANE('',#349548); #19721=PLANE('',#349549); #19722=PLANE('',#349550); #19723=PLANE('',#349551); #19724=PLANE('',#349552); #19725=PLANE('',#349553); #19726=PLANE('',#349554); #19727=PLANE('',#349555); #19728=PLANE('',#349556); #19729=PLANE('',#349557); #19730=PLANE('',#349558); #19731=PLANE('',#349559); #19732=PLANE('',#349560); #19733=PLANE('',#349561); #19734=PLANE('',#349562); #19735=PLANE('',#349563); #19736=PLANE('',#349564); #19737=PLANE('',#349565); #19738=PLANE('',#349566); #19739=PLANE('',#349567); #19740=PLANE('',#349568); #19741=PLANE('',#349569); #19742=PLANE('',#349570); #19743=PLANE('',#349571); #19744=PLANE('',#349572); #19745=PLANE('',#349573); #19746=PLANE('',#349574); #19747=PLANE('',#349575); #19748=PLANE('',#349576); #19749=PLANE('',#349577); #19750=PLANE('',#349578); #19751=PLANE('',#349579); #19752=PLANE('',#349589); #19753=PLANE('',#349590); #19754=PLANE('',#349601); #19755=PLANE('',#349602); #19756=PLANE('',#349603); #19757=PLANE('',#349604); #19758=PLANE('',#349605); #19759=PLANE('',#349606); #19760=PLANE('',#349607); #19761=PLANE('',#349625); #19762=PLANE('',#349626); #19763=PLANE('',#349627); #19764=PLANE('',#349628); #19765=PLANE('',#349629); #19766=PLANE('',#349630); #19767=PLANE('',#349631); #19768=PLANE('',#349632); #19769=PLANE('',#349633); #19770=PLANE('',#349634); #19771=PLANE('',#349638); #19772=PLANE('',#349639); #19773=PLANE('',#349640); #19774=PLANE('',#349641); #19775=PLANE('',#349642); #19776=PLANE('',#349643); #19777=PLANE('',#349644); #19778=PLANE('',#349645); #19779=PLANE('',#349646); #19780=PLANE('',#349647); #19781=PLANE('',#349648); #19782=PLANE('',#349649); #19783=PLANE('',#349650); #19784=PLANE('',#349651); #19785=PLANE('',#349652); #19786=PLANE('',#349653); #19787=PLANE('',#349654); #19788=PLANE('',#349655); #19789=PLANE('',#349656); #19790=PLANE('',#349660); #19791=PLANE('',#349682); #19792=PLANE('',#349684); #19793=PLANE('',#349686); #19794=PLANE('',#349688); #19795=PLANE('',#349690); #19796=PLANE('',#349692); #19797=PLANE('',#349694); #19798=PLANE('',#349695); #19799=PLANE('',#349696); #19800=PLANE('',#349697); #19801=PLANE('',#349698); #19802=PLANE('',#349699); #19803=PLANE('',#349700); #19804=PLANE('',#349701); #19805=PLANE('',#349723); #19806=PLANE('',#349727); #19807=PLANE('',#349728); #19808=PLANE('',#349729); #19809=PLANE('',#349730); #19810=PLANE('',#349731); #19811=PLANE('',#349732); #19812=PLANE('',#349733); #19813=PLANE('',#349734); #19814=PLANE('',#349735); #19815=PLANE('',#349737); #19816=PLANE('',#349739); #19817=PLANE('',#349741); #19818=PLANE('',#349743); #19819=PLANE('',#349745); #19820=PLANE('',#349747); #19821=PLANE('',#349749); #19822=PLANE('',#349753); #19823=PLANE('',#349754); #19824=PLANE('',#349761); #19825=PLANE('',#349766); #19826=PLANE('',#349767); #19827=PLANE('',#349768); #19828=PLANE('',#349769); #19829=PLANE('',#349772); #19830=PLANE('',#349777); #19831=PLANE('',#349778); #19832=PLANE('',#349781); #19833=PLANE('',#349782); #19834=PLANE('',#349787); #19835=PLANE('',#349788); #19836=PLANE('',#349794); #19837=PLANE('',#349797); #19838=PLANE('',#349799); #19839=PLANE('',#349800); #19840=PLANE('',#349801); #19841=PLANE('',#349802); #19842=PLANE('',#349803); #19843=PLANE('',#349810); #19844=PLANE('',#349811); #19845=PLANE('',#349816); #19846=PLANE('',#349819); #19847=PLANE('',#349823); #19848=PLANE('',#349826); #19849=PLANE('',#349828); #19850=PLANE('',#349829); #19851=PLANE('',#349830); #19852=PLANE('',#349831); #19853=PLANE('',#349832); #19854=PLANE('',#349833); #19855=PLANE('',#349840); #19856=PLANE('',#349845); #19857=PLANE('',#349846); #19858=PLANE('',#349847); #19859=PLANE('',#349848); #19860=PLANE('',#349851); #19861=PLANE('',#349856); #19862=PLANE('',#349857); #19863=PLANE('',#349860); #19864=PLANE('',#349861); #19865=PLANE('',#349866); #19866=PLANE('',#349867); #19867=PLANE('',#349872); #19868=PLANE('',#349873); #19869=PLANE('',#349874); #19870=PLANE('',#349875); #19871=PLANE('',#349877); #19872=PLANE('',#349880); #19873=PLANE('',#349882); #19874=PLANE('',#349885); #19875=PLANE('',#349886); #19876=PLANE('',#349889); #19877=PLANE('',#349892); #19878=PLANE('',#349901); #19879=PLANE('',#349902); #19880=PLANE('',#349903); #19881=PLANE('',#349904); #19882=PLANE('',#349905); #19883=PLANE('',#349906); #19884=PLANE('',#349907); #19885=PLANE('',#349908); #19886=PLANE('',#349909); #19887=PLANE('',#349910); #19888=PLANE('',#349911); #19889=PLANE('',#349912); #19890=PLANE('',#349913); #19891=PLANE('',#349914); #19892=PLANE('',#349918); #19893=PLANE('',#349919); #19894=PLANE('',#349922); #19895=PLANE('',#349923); #19896=PLANE('',#349924); #19897=PLANE('',#349927); #19898=PLANE('',#349931); #19899=PLANE('',#349932); #19900=PLANE('',#349933); #19901=PLANE('',#349934); #19902=PLANE('',#349938); #19903=PLANE('',#349942); #19904=PLANE('',#349945); #19905=PLANE('',#349946); #19906=PLANE('',#349947); #19907=PLANE('',#349950); #19908=PLANE('',#349951); #19909=PLANE('',#349954); #19910=PLANE('',#349955); #19911=PLANE('',#349959); #19912=PLANE('',#349963); #19913=PLANE('',#349964); #19914=PLANE('',#349965); #19915=PLANE('',#349966); #19916=PLANE('',#349967); #19917=PLANE('',#349968); #19918=PLANE('',#349971); #19919=PLANE('',#349974); #19920=PLANE('',#349975); #19921=PLANE('',#349976); #19922=PLANE('',#349979); #19923=PLANE('',#349980); #19924=PLANE('',#349984); #19925=PLANE('',#349990); #19926=PLANE('',#349992); #19927=PLANE('',#349993); #19928=PLANE('',#349996); #19929=PLANE('',#349997); #19930=PLANE('',#350000); #19931=PLANE('',#350005); #19932=PLANE('',#350008); #19933=PLANE('',#350021); #19934=PLANE('',#350023); #19935=PLANE('',#350025); #19936=PLANE('',#350026); #19937=PLANE('',#350027); #19938=PLANE('',#350028); #19939=PLANE('',#350029); #19940=PLANE('',#350030); #19941=PLANE('',#350031); #19942=PLANE('',#350032); #19943=PLANE('',#350033); #19944=PLANE('',#350034); #19945=PLANE('',#350041); #19946=PLANE('',#350042); #19947=PLANE('',#350043); #19948=PLANE('',#350044); #19949=PLANE('',#350049); #19950=PLANE('',#350051); #19951=PLANE('',#350053); #19952=PLANE('',#350054); #19953=PLANE('',#350055); #19954=PLANE('',#350056); #19955=PLANE('',#350063); #19956=PLANE('',#350064); #19957=PLANE('',#350065); #19958=PLANE('',#350066); #19959=PLANE('',#350071); #19960=PLANE('',#350073); #19961=PLANE('',#350075); #19962=PLANE('',#350076); #19963=PLANE('',#350077); #19964=PLANE('',#350078); #19965=PLANE('',#350079); #19966=PLANE('',#350081); #19967=PLANE('',#350087); #19968=PLANE('',#350088); #19969=PLANE('',#350091); #19970=PLANE('',#350096); #19971=PLANE('',#350100); #19972=PLANE('',#350102); #19973=PLANE('',#350108); #19974=PLANE('',#350109); #19975=PLANE('',#350114); #19976=PLANE('',#350116); #19977=PLANE('',#350117); #19978=PLANE('',#350118); #19979=PLANE('',#350120); #19980=PLANE('',#350122); #19981=PLANE('',#350123); #19982=PLANE('',#350124); #19983=PLANE('',#350125); #19984=PLANE('',#350126); #19985=PLANE('',#350137); #19986=PLANE('',#350140); #19987=PLANE('',#350149); #19988=PLANE('',#350151); #19989=PLANE('',#350155); #19990=PLANE('',#350156); #19991=PLANE('',#350157); #19992=PLANE('',#350158); #19993=PLANE('',#350159); #19994=PLANE('',#350161); #19995=PLANE('',#350165); #19996=PLANE('',#350166); #19997=PLANE('',#350167); #19998=PLANE('',#350168); #19999=PLANE('',#350169); #20000=PLANE('',#350170); #20001=PLANE('',#350171); #20002=PLANE('',#350172); #20003=PLANE('',#350173); #20004=PLANE('',#350180); #20005=PLANE('',#350183); #20006=PLANE('',#350192); #20007=PLANE('',#350194); #20008=PLANE('',#350195); #20009=PLANE('',#350196); #20010=PLANE('',#350197); #20011=PLANE('',#350198); #20012=PLANE('',#350199); #20013=PLANE('',#350201); #20014=PLANE('',#350202); #20015=PLANE('',#350203); #20016=PLANE('',#350204); #20017=PLANE('',#350207); #20018=PLANE('',#350208); #20019=PLANE('',#350209); #20020=PLANE('',#350210); #20021=PLANE('',#350211); #20022=PLANE('',#350214); #20023=PLANE('',#350223); #20024=PLANE('',#350224); #20025=PLANE('',#350225); #20026=PLANE('',#350226); #20027=PLANE('',#350227); #20028=PLANE('',#350228); #20029=PLANE('',#350229); #20030=PLANE('',#350230); #20031=PLANE('',#350231); #20032=PLANE('',#350232); #20033=PLANE('',#350233); #20034=PLANE('',#350234); #20035=PLANE('',#350238); #20036=PLANE('',#350239); #20037=PLANE('',#350240); #20038=PLANE('',#350241); #20039=PLANE('',#350242); #20040=PLANE('',#350243); #20041=PLANE('',#350244); #20042=PLANE('',#350248); #20043=PLANE('',#350249); #20044=PLANE('',#350250); #20045=PLANE('',#350251); #20046=PLANE('',#350252); #20047=PLANE('',#350253); #20048=PLANE('',#350254); #20049=PLANE('',#350258); #20050=PLANE('',#350259); #20051=PLANE('',#350260); #20052=PLANE('',#350261); #20053=PLANE('',#350262); #20054=PLANE('',#350263); #20055=PLANE('',#350264); #20056=PLANE('',#350268); #20057=PLANE('',#350269); #20058=PLANE('',#350270); #20059=PLANE('',#350271); #20060=PLANE('',#350272); #20061=PLANE('',#350273); #20062=PLANE('',#350274); #20063=PLANE('',#350278); #20064=PLANE('',#350279); #20065=PLANE('',#350280); #20066=PLANE('',#350281); #20067=PLANE('',#350282); #20068=PLANE('',#350283); #20069=PLANE('',#350284); #20070=PLANE('',#350288); #20071=PLANE('',#350289); #20072=PLANE('',#350290); #20073=PLANE('',#350291); #20074=PLANE('',#350292); #20075=PLANE('',#350293); #20076=PLANE('',#350294); #20077=PLANE('',#350298); #20078=PLANE('',#350299); #20079=PLANE('',#350300); #20080=PLANE('',#350301); #20081=PLANE('',#350302); #20082=PLANE('',#350303); #20083=PLANE('',#350304); #20084=PLANE('',#350308); #20085=PLANE('',#350309); #20086=PLANE('',#350310); #20087=PLANE('',#350311); #20088=PLANE('',#350312); #20089=PLANE('',#350313); #20090=PLANE('',#350314); #20091=PLANE('',#350318); #20092=PLANE('',#350319); #20093=PLANE('',#350320); #20094=PLANE('',#350321); #20095=PLANE('',#350322); #20096=PLANE('',#350323); #20097=PLANE('',#350324); #20098=PLANE('',#350328); #20099=PLANE('',#350329); #20100=PLANE('',#350330); #20101=PLANE('',#350331); #20102=PLANE('',#350332); #20103=PLANE('',#350333); #20104=PLANE('',#350334); #20105=PLANE('',#350338); #20106=PLANE('',#350339); #20107=PLANE('',#350340); #20108=PLANE('',#350341); #20109=PLANE('',#350342); #20110=PLANE('',#350343); #20111=PLANE('',#350344); #20112=PLANE('',#350348); #20113=PLANE('',#350349); #20114=PLANE('',#350350); #20115=PLANE('',#350351); #20116=PLANE('',#350352); #20117=PLANE('',#350353); #20118=PLANE('',#350354); #20119=PLANE('',#350358); #20120=PLANE('',#350359); #20121=PLANE('',#350360); #20122=PLANE('',#350361); #20123=PLANE('',#350362); #20124=PLANE('',#350363); #20125=PLANE('',#350364); #20126=PLANE('',#350368); #20127=PLANE('',#350369); #20128=PLANE('',#350370); #20129=PLANE('',#350371); #20130=PLANE('',#350372); #20131=PLANE('',#350373); #20132=PLANE('',#350374); #20133=PLANE('',#350378); #20134=PLANE('',#350379); #20135=PLANE('',#350380); #20136=PLANE('',#350381); #20137=PLANE('',#350382); #20138=PLANE('',#350383); #20139=PLANE('',#350384); #20140=PLANE('',#350388); #20141=PLANE('',#350389); #20142=PLANE('',#350390); #20143=PLANE('',#350391); #20144=PLANE('',#350392); #20145=PLANE('',#350393); #20146=PLANE('',#350394); #20147=PLANE('',#350398); #20148=PLANE('',#350399); #20149=PLANE('',#350400); #20150=PLANE('',#350401); #20151=PLANE('',#350402); #20152=PLANE('',#350403); #20153=PLANE('',#350404); #20154=PLANE('',#350408); #20155=PLANE('',#350409); #20156=PLANE('',#350410); #20157=PLANE('',#350411); #20158=PLANE('',#350412); #20159=PLANE('',#350413); #20160=PLANE('',#350414); #20161=PLANE('',#350418); #20162=PLANE('',#350419); #20163=PLANE('',#350420); #20164=PLANE('',#350421); #20165=PLANE('',#350422); #20166=PLANE('',#350423); #20167=PLANE('',#350424); #20168=PLANE('',#350428); #20169=PLANE('',#350429); #20170=PLANE('',#350430); #20171=PLANE('',#350431); #20172=PLANE('',#350432); #20173=PLANE('',#350433); #20174=PLANE('',#350434); #20175=PLANE('',#350438); #20176=PLANE('',#350439); #20177=PLANE('',#350440); #20178=PLANE('',#350441); #20179=PLANE('',#350442); #20180=PLANE('',#350443); #20181=PLANE('',#350444); #20182=PLANE('',#350448); #20183=PLANE('',#350449); #20184=PLANE('',#350450); #20185=PLANE('',#350451); #20186=PLANE('',#350452); #20187=PLANE('',#350453); #20188=PLANE('',#350454); #20189=PLANE('',#350458); #20190=PLANE('',#350459); #20191=PLANE('',#350460); #20192=PLANE('',#350461); #20193=PLANE('',#350462); #20194=PLANE('',#350463); #20195=PLANE('',#350464); #20196=PLANE('',#350468); #20197=PLANE('',#350469); #20198=PLANE('',#350470); #20199=PLANE('',#350471); #20200=PLANE('',#350472); #20201=PLANE('',#350473); #20202=PLANE('',#350474); #20203=PLANE('',#350478); #20204=PLANE('',#350479); #20205=PLANE('',#350480); #20206=PLANE('',#350481); #20207=PLANE('',#350482); #20208=PLANE('',#350483); #20209=PLANE('',#350484); #20210=PLANE('',#350488); #20211=PLANE('',#350489); #20212=PLANE('',#350490); #20213=PLANE('',#350491); #20214=PLANE('',#350492); #20215=PLANE('',#350493); #20216=PLANE('',#350494); #20217=PLANE('',#350498); #20218=PLANE('',#350499); #20219=PLANE('',#350500); #20220=PLANE('',#350501); #20221=PLANE('',#350502); #20222=PLANE('',#350503); #20223=PLANE('',#350504); #20224=PLANE('',#350508); #20225=PLANE('',#350509); #20226=PLANE('',#350510); #20227=PLANE('',#350511); #20228=PLANE('',#350512); #20229=PLANE('',#350513); #20230=PLANE('',#350514); #20231=PLANE('',#350518); #20232=PLANE('',#350519); #20233=PLANE('',#350520); #20234=PLANE('',#350521); #20235=PLANE('',#350522); #20236=PLANE('',#350523); #20237=PLANE('',#350524); #20238=PLANE('',#350528); #20239=PLANE('',#350529); #20240=PLANE('',#350530); #20241=PLANE('',#350531); #20242=PLANE('',#350532); #20243=PLANE('',#350533); #20244=PLANE('',#350534); #20245=PLANE('',#350538); #20246=PLANE('',#350539); #20247=PLANE('',#350540); #20248=PLANE('',#350541); #20249=PLANE('',#350542); #20250=PLANE('',#350543); #20251=PLANE('',#350544); #20252=PLANE('',#350548); #20253=PLANE('',#350549); #20254=PLANE('',#350550); #20255=PLANE('',#350551); #20256=PLANE('',#350552); #20257=PLANE('',#350553); #20258=PLANE('',#350554); #20259=PLANE('',#350558); #20260=PLANE('',#350559); #20261=PLANE('',#350560); #20262=PLANE('',#350561); #20263=PLANE('',#350562); #20264=PLANE('',#350563); #20265=PLANE('',#350564); #20266=PLANE('',#350568); #20267=PLANE('',#350569); #20268=PLANE('',#350570); #20269=PLANE('',#350571); #20270=PLANE('',#350572); #20271=PLANE('',#350573); #20272=PLANE('',#350574); #20273=PLANE('',#350578); #20274=PLANE('',#350579); #20275=PLANE('',#350580); #20276=PLANE('',#350581); #20277=PLANE('',#350582); #20278=PLANE('',#350583); #20279=PLANE('',#350584); #20280=PLANE('',#350588); #20281=PLANE('',#350589); #20282=PLANE('',#350590); #20283=PLANE('',#350591); #20284=PLANE('',#350592); #20285=PLANE('',#350593); #20286=PLANE('',#350594); #20287=PLANE('',#350598); #20288=PLANE('',#350599); #20289=PLANE('',#350600); #20290=PLANE('',#350601); #20291=PLANE('',#350602); #20292=PLANE('',#350603); #20293=PLANE('',#350604); #20294=PLANE('',#350608); #20295=PLANE('',#350609); #20296=PLANE('',#350610); #20297=PLANE('',#350611); #20298=PLANE('',#350612); #20299=PLANE('',#350613); #20300=PLANE('',#350614); #20301=PLANE('',#350618); #20302=PLANE('',#350619); #20303=PLANE('',#350620); #20304=PLANE('',#350621); #20305=PLANE('',#350622); #20306=PLANE('',#350623); #20307=PLANE('',#350624); #20308=PLANE('',#350628); #20309=PLANE('',#350629); #20310=PLANE('',#350630); #20311=PLANE('',#350631); #20312=PLANE('',#350632); #20313=PLANE('',#350633); #20314=PLANE('',#350634); #20315=PLANE('',#350638); #20316=PLANE('',#350639); #20317=PLANE('',#350640); #20318=PLANE('',#350641); #20319=PLANE('',#350642); #20320=PLANE('',#350643); #20321=PLANE('',#350644); #20322=PLANE('',#350648); #20323=PLANE('',#350649); #20324=PLANE('',#350650); #20325=PLANE('',#350651); #20326=PLANE('',#350652); #20327=PLANE('',#350653); #20328=PLANE('',#350654); #20329=PLANE('',#350658); #20330=PLANE('',#350659); #20331=PLANE('',#350660); #20332=PLANE('',#350661); #20333=PLANE('',#350662); #20334=PLANE('',#350663); #20335=PLANE('',#350664); #20336=PLANE('',#350668); #20337=PLANE('',#350669); #20338=PLANE('',#350670); #20339=PLANE('',#350671); #20340=PLANE('',#350672); #20341=PLANE('',#350673); #20342=PLANE('',#350674); #20343=PLANE('',#350678); #20344=PLANE('',#350679); #20345=PLANE('',#350680); #20346=PLANE('',#350681); #20347=PLANE('',#350682); #20348=PLANE('',#350683); #20349=PLANE('',#350684); #20350=PLANE('',#350688); #20351=PLANE('',#350689); #20352=PLANE('',#350690); #20353=PLANE('',#350691); #20354=PLANE('',#350692); #20355=PLANE('',#350693); #20356=PLANE('',#350694); #20357=PLANE('',#350698); #20358=PLANE('',#350699); #20359=PLANE('',#350700); #20360=PLANE('',#350701); #20361=PLANE('',#350702); #20362=PLANE('',#350703); #20363=PLANE('',#350704); #20364=PLANE('',#350708); #20365=PLANE('',#350709); #20366=PLANE('',#350710); #20367=PLANE('',#350711); #20368=PLANE('',#350712); #20369=PLANE('',#350713); #20370=PLANE('',#350714); #20371=PLANE('',#350715); #20372=PLANE('',#350716); #20373=PLANE('',#350717); #20374=PLANE('',#350718); #20375=PLANE('',#350719); #20376=PLANE('',#350767); #20377=PLANE('',#350768); #20378=PLANE('',#350769); #20379=PLANE('',#350770); #20380=PLANE('',#350771); #20381=PLANE('',#350772); #20382=PLANE('',#350817); #20383=PLANE('',#350818); #20384=PLANE('',#350819); #20385=PLANE('',#350820); #20386=PLANE('',#350821); #20387=PLANE('',#350822); #20388=PLANE('',#350823); #20389=PLANE('',#350824); #20390=PLANE('',#350825); #20391=PLANE('',#350826); #20392=PLANE('',#350827); #20393=PLANE('',#350828); #20394=PLANE('',#350832); #20395=PLANE('',#350833); #20396=PLANE('',#350834); #20397=PLANE('',#350835); #20398=PLANE('',#350836); #20399=PLANE('',#350837); #20400=PLANE('',#350838); #20401=PLANE('',#350839); #20402=PLANE('',#350840); #20403=PLANE('',#350841); #20404=PLANE('',#350842); #20405=PLANE('',#350843); #20406=PLANE('',#350844); #20407=PLANE('',#350845); #20408=PLANE('',#350846); #20409=PLANE('',#350847); #20410=PLANE('',#350848); #20411=PLANE('',#350849); #20412=PLANE('',#350850); #20413=PLANE('',#350851); #20414=PLANE('',#350852); #20415=PLANE('',#350853); #20416=PLANE('',#350854); #20417=PLANE('',#350855); #20418=PLANE('',#350856); #20419=PLANE('',#350857); #20420=PLANE('',#350858); #20421=PLANE('',#350859); #20422=PLANE('',#350860); #20423=PLANE('',#350861); #20424=PLANE('',#350862); #20425=PLANE('',#350863); #20426=PLANE('',#350864); #20427=PLANE('',#350865); #20428=PLANE('',#350866); #20429=PLANE('',#350867); #20430=PLANE('',#350868); #20431=PLANE('',#350869); #20432=PLANE('',#350870); #20433=PLANE('',#350871); #20434=PLANE('',#350878); #20435=PLANE('',#350879); #20436=PLANE('',#350880); #20437=PLANE('',#350881); #20438=PLANE('',#350882); #20439=PLANE('',#350883); #20440=PLANE('',#350884); #20441=PLANE('',#350885); #20442=PLANE('',#350886); #20443=PLANE('',#350887); #20444=PLANE('',#350888); #20445=PLANE('',#350889); #20446=PLANE('',#350890); #20447=PLANE('',#350891); #20448=PLANE('',#350892); #20449=PLANE('',#350893); #20450=PLANE('',#350898); #20451=PLANE('',#350901); #20452=PLANE('',#350902); #20453=PLANE('',#350903); #20454=PLANE('',#350906); #20455=PLANE('',#350909); #20456=PLANE('',#350910); #20457=PLANE('',#350911); #20458=PLANE('',#350914); #20459=PLANE('',#350915); #20460=PLANE('',#350920); #20461=PLANE('',#350923); #20462=PLANE('',#350924); #20463=PLANE('',#350925); #20464=PLANE('',#350928); #20465=PLANE('',#350931); #20466=PLANE('',#350932); #20467=PLANE('',#350933); #20468=PLANE('',#350936); #20469=PLANE('',#350937); #20470=PLANE('',#350942); #20471=PLANE('',#350945); #20472=PLANE('',#350946); #20473=PLANE('',#350947); #20474=PLANE('',#350950); #20475=PLANE('',#350953); #20476=PLANE('',#350954); #20477=PLANE('',#350955); #20478=PLANE('',#350958); #20479=PLANE('',#350959); #20480=PLANE('',#350964); #20481=PLANE('',#350967); #20482=PLANE('',#350968); #20483=PLANE('',#350969); #20484=PLANE('',#350972); #20485=PLANE('',#350975); #20486=PLANE('',#350976); #20487=PLANE('',#350977); #20488=PLANE('',#350980); #20489=PLANE('',#350981); #20490=PLANE('',#350986); #20491=PLANE('',#350989); #20492=PLANE('',#350990); #20493=PLANE('',#350991); #20494=PLANE('',#350994); #20495=PLANE('',#350997); #20496=PLANE('',#350998); #20497=PLANE('',#350999); #20498=PLANE('',#351002); #20499=PLANE('',#351003); #20500=PLANE('',#351008); #20501=PLANE('',#351011); #20502=PLANE('',#351012); #20503=PLANE('',#351013); #20504=PLANE('',#351016); #20505=PLANE('',#351019); #20506=PLANE('',#351020); #20507=PLANE('',#351021); #20508=PLANE('',#351024); #20509=PLANE('',#351025); #20510=PLANE('',#351030); #20511=PLANE('',#351033); #20512=PLANE('',#351034); #20513=PLANE('',#351035); #20514=PLANE('',#351038); #20515=PLANE('',#351041); #20516=PLANE('',#351042); #20517=PLANE('',#351043); #20518=PLANE('',#351046); #20519=PLANE('',#351047); #20520=PLANE('',#351052); #20521=PLANE('',#351055); #20522=PLANE('',#351056); #20523=PLANE('',#351057); #20524=PLANE('',#351060); #20525=PLANE('',#351063); #20526=PLANE('',#351064); #20527=PLANE('',#351065); #20528=PLANE('',#351068); #20529=PLANE('',#351075); #20530=PLANE('',#351076); #20531=PLANE('',#351077); #20532=PLANE('',#351078); #20533=PLANE('',#351079); #20534=PLANE('',#351080); #20535=PLANE('',#351081); #20536=PLANE('',#351082); #20537=PLANE('',#351083); #20538=PLANE('',#351084); #20539=PLANE('',#351085); #20540=PLANE('',#351086); #20541=PLANE('',#351087); #20542=PLANE('',#351088); #20543=PLANE('',#351089); #20544=PLANE('',#351090); #20545=PLANE('',#351095); #20546=PLANE('',#351096); #20547=PLANE('',#351097); #20548=PLANE('',#351100); #20549=PLANE('',#351103); #20550=PLANE('',#351104); #20551=PLANE('',#351105); #20552=PLANE('',#351108); #20553=PLANE('',#351111); #20554=PLANE('',#351112); #20555=PLANE('',#351117); #20556=PLANE('',#351118); #20557=PLANE('',#351119); #20558=PLANE('',#351122); #20559=PLANE('',#351125); #20560=PLANE('',#351126); #20561=PLANE('',#351127); #20562=PLANE('',#351130); #20563=PLANE('',#351133); #20564=PLANE('',#351134); #20565=PLANE('',#351139); #20566=PLANE('',#351140); #20567=PLANE('',#351141); #20568=PLANE('',#351144); #20569=PLANE('',#351147); #20570=PLANE('',#351148); #20571=PLANE('',#351149); #20572=PLANE('',#351152); #20573=PLANE('',#351155); #20574=PLANE('',#351156); #20575=PLANE('',#351161); #20576=PLANE('',#351162); #20577=PLANE('',#351163); #20578=PLANE('',#351166); #20579=PLANE('',#351169); #20580=PLANE('',#351170); #20581=PLANE('',#351171); #20582=PLANE('',#351174); #20583=PLANE('',#351177); #20584=PLANE('',#351178); #20585=PLANE('',#351183); #20586=PLANE('',#351184); #20587=PLANE('',#351185); #20588=PLANE('',#351188); #20589=PLANE('',#351191); #20590=PLANE('',#351192); #20591=PLANE('',#351193); #20592=PLANE('',#351196); #20593=PLANE('',#351199); #20594=PLANE('',#351247); #20595=PLANE('',#351248); #20596=PLANE('',#351249); #20597=PLANE('',#351250); #20598=PLANE('',#351251); #20599=PLANE('',#351252); #20600=PLANE('',#351297); #20601=PLANE('',#351298); #20602=PLANE('',#351299); #20603=PLANE('',#351300); #20604=PLANE('',#351301); #20605=PLANE('',#351302); #20606=PLANE('',#351303); #20607=PLANE('',#351304); #20608=PLANE('',#351305); #20609=PLANE('',#351306); #20610=PLANE('',#351307); #20611=PLANE('',#351308); #20612=FACE_OUTER_BOUND('',#37669,.T.); #20613=FACE_OUTER_BOUND('',#37670,.T.); #20614=FACE_OUTER_BOUND('',#37671,.T.); #20615=FACE_OUTER_BOUND('',#37672,.T.); #20616=FACE_OUTER_BOUND('',#37673,.T.); #20617=FACE_OUTER_BOUND('',#37674,.T.); #20618=FACE_OUTER_BOUND('',#37675,.T.); #20619=FACE_OUTER_BOUND('',#37676,.T.); #20620=FACE_OUTER_BOUND('',#37677,.T.); #20621=FACE_OUTER_BOUND('',#37678,.T.); #20622=FACE_OUTER_BOUND('',#37679,.T.); #20623=FACE_OUTER_BOUND('',#37680,.T.); #20624=FACE_OUTER_BOUND('',#37681,.T.); #20625=FACE_OUTER_BOUND('',#37682,.T.); #20626=FACE_OUTER_BOUND('',#37683,.T.); #20627=FACE_OUTER_BOUND('',#37684,.T.); #20628=FACE_OUTER_BOUND('',#37685,.T.); #20629=FACE_OUTER_BOUND('',#37686,.T.); #20630=FACE_OUTER_BOUND('',#37687,.T.); #20631=FACE_OUTER_BOUND('',#37688,.T.); #20632=FACE_OUTER_BOUND('',#37689,.T.); #20633=FACE_OUTER_BOUND('',#37690,.T.); #20634=FACE_OUTER_BOUND('',#37691,.T.); #20635=FACE_OUTER_BOUND('',#37692,.T.); #20636=FACE_OUTER_BOUND('',#37693,.T.); #20637=FACE_OUTER_BOUND('',#37694,.T.); #20638=FACE_OUTER_BOUND('',#37695,.T.); #20639=FACE_OUTER_BOUND('',#37696,.T.); #20640=FACE_OUTER_BOUND('',#37697,.T.); #20641=FACE_OUTER_BOUND('',#37698,.T.); #20642=FACE_OUTER_BOUND('',#37699,.T.); #20643=FACE_OUTER_BOUND('',#37700,.T.); #20644=FACE_OUTER_BOUND('',#37701,.T.); #20645=FACE_OUTER_BOUND('',#37702,.T.); #20646=FACE_OUTER_BOUND('',#37703,.T.); #20647=FACE_OUTER_BOUND('',#37704,.T.); #20648=FACE_OUTER_BOUND('',#37705,.T.); #20649=FACE_OUTER_BOUND('',#37706,.T.); #20650=FACE_OUTER_BOUND('',#37707,.T.); #20651=FACE_OUTER_BOUND('',#37708,.T.); #20652=FACE_OUTER_BOUND('',#37709,.T.); #20653=FACE_OUTER_BOUND('',#37710,.T.); #20654=FACE_OUTER_BOUND('',#37711,.T.); #20655=FACE_OUTER_BOUND('',#37712,.T.); #20656=FACE_OUTER_BOUND('',#37713,.T.); #20657=FACE_OUTER_BOUND('',#37714,.T.); #20658=FACE_OUTER_BOUND('',#37715,.T.); #20659=FACE_OUTER_BOUND('',#37716,.T.); #20660=FACE_OUTER_BOUND('',#37717,.T.); #20661=FACE_OUTER_BOUND('',#37718,.T.); #20662=FACE_OUTER_BOUND('',#37719,.T.); #20663=FACE_OUTER_BOUND('',#37720,.T.); #20664=FACE_OUTER_BOUND('',#37721,.T.); #20665=FACE_OUTER_BOUND('',#37722,.T.); #20666=FACE_OUTER_BOUND('',#37723,.T.); #20667=FACE_OUTER_BOUND('',#37724,.T.); #20668=FACE_OUTER_BOUND('',#37725,.T.); #20669=FACE_OUTER_BOUND('',#37726,.T.); #20670=FACE_OUTER_BOUND('',#37727,.T.); #20671=FACE_OUTER_BOUND('',#37728,.T.); #20672=FACE_OUTER_BOUND('',#37729,.T.); #20673=FACE_OUTER_BOUND('',#37730,.T.); #20674=FACE_OUTER_BOUND('',#37731,.T.); #20675=FACE_OUTER_BOUND('',#37732,.T.); #20676=FACE_OUTER_BOUND('',#37733,.T.); #20677=FACE_OUTER_BOUND('',#37734,.T.); #20678=FACE_OUTER_BOUND('',#37735,.T.); #20679=FACE_OUTER_BOUND('',#37736,.T.); #20680=FACE_OUTER_BOUND('',#37737,.T.); #20681=FACE_OUTER_BOUND('',#37738,.T.); #20682=FACE_OUTER_BOUND('',#37739,.T.); #20683=FACE_OUTER_BOUND('',#37740,.T.); #20684=FACE_OUTER_BOUND('',#37741,.T.); #20685=FACE_OUTER_BOUND('',#37742,.T.); #20686=FACE_OUTER_BOUND('',#37743,.T.); #20687=FACE_OUTER_BOUND('',#37744,.T.); #20688=FACE_OUTER_BOUND('',#37745,.T.); #20689=FACE_OUTER_BOUND('',#37746,.T.); #20690=FACE_OUTER_BOUND('',#37747,.T.); #20691=FACE_OUTER_BOUND('',#37748,.T.); #20692=FACE_OUTER_BOUND('',#37749,.T.); #20693=FACE_OUTER_BOUND('',#37750,.T.); #20694=FACE_OUTER_BOUND('',#37751,.T.); #20695=FACE_OUTER_BOUND('',#37752,.T.); #20696=FACE_OUTER_BOUND('',#37753,.T.); #20697=FACE_OUTER_BOUND('',#37754,.T.); #20698=FACE_OUTER_BOUND('',#37755,.T.); #20699=FACE_OUTER_BOUND('',#37756,.T.); #20700=FACE_OUTER_BOUND('',#37757,.T.); #20701=FACE_OUTER_BOUND('',#37758,.T.); #20702=FACE_OUTER_BOUND('',#37759,.T.); #20703=FACE_OUTER_BOUND('',#37760,.T.); #20704=FACE_OUTER_BOUND('',#37761,.T.); #20705=FACE_OUTER_BOUND('',#37762,.T.); #20706=FACE_OUTER_BOUND('',#37763,.T.); #20707=FACE_OUTER_BOUND('',#37764,.T.); #20708=FACE_OUTER_BOUND('',#37765,.T.); #20709=FACE_OUTER_BOUND('',#37766,.T.); #20710=FACE_OUTER_BOUND('',#37767,.T.); #20711=FACE_OUTER_BOUND('',#37768,.T.); #20712=FACE_OUTER_BOUND('',#37769,.T.); #20713=FACE_OUTER_BOUND('',#37770,.T.); #20714=FACE_OUTER_BOUND('',#37771,.T.); #20715=FACE_OUTER_BOUND('',#37772,.T.); #20716=FACE_OUTER_BOUND('',#37773,.T.); #20717=FACE_OUTER_BOUND('',#37774,.T.); #20718=FACE_OUTER_BOUND('',#37775,.T.); #20719=FACE_OUTER_BOUND('',#37776,.T.); #20720=FACE_OUTER_BOUND('',#37777,.T.); #20721=FACE_OUTER_BOUND('',#37778,.T.); #20722=FACE_OUTER_BOUND('',#37779,.T.); #20723=FACE_OUTER_BOUND('',#37780,.T.); #20724=FACE_OUTER_BOUND('',#37781,.T.); #20725=FACE_OUTER_BOUND('',#37782,.T.); #20726=FACE_OUTER_BOUND('',#37783,.T.); #20727=FACE_OUTER_BOUND('',#37784,.T.); #20728=FACE_OUTER_BOUND('',#37785,.T.); #20729=FACE_OUTER_BOUND('',#37786,.T.); #20730=FACE_OUTER_BOUND('',#37787,.T.); #20731=FACE_OUTER_BOUND('',#37788,.T.); #20732=FACE_OUTER_BOUND('',#37789,.T.); #20733=FACE_OUTER_BOUND('',#37790,.T.); #20734=FACE_OUTER_BOUND('',#37791,.T.); #20735=FACE_OUTER_BOUND('',#37792,.T.); #20736=FACE_OUTER_BOUND('',#37793,.T.); #20737=FACE_OUTER_BOUND('',#37794,.T.); #20738=FACE_OUTER_BOUND('',#37795,.T.); #20739=FACE_OUTER_BOUND('',#37796,.T.); #20740=FACE_OUTER_BOUND('',#37797,.T.); #20741=FACE_OUTER_BOUND('',#37798,.T.); #20742=FACE_OUTER_BOUND('',#37799,.T.); #20743=FACE_OUTER_BOUND('',#37800,.T.); #20744=FACE_OUTER_BOUND('',#37801,.T.); #20745=FACE_OUTER_BOUND('',#37802,.T.); #20746=FACE_OUTER_BOUND('',#37803,.T.); #20747=FACE_OUTER_BOUND('',#37804,.T.); #20748=FACE_OUTER_BOUND('',#37805,.T.); #20749=FACE_OUTER_BOUND('',#37806,.T.); #20750=FACE_OUTER_BOUND('',#37807,.T.); #20751=FACE_OUTER_BOUND('',#37808,.T.); #20752=FACE_OUTER_BOUND('',#37809,.T.); #20753=FACE_OUTER_BOUND('',#37810,.T.); #20754=FACE_OUTER_BOUND('',#37811,.T.); #20755=FACE_OUTER_BOUND('',#37812,.T.); #20756=FACE_OUTER_BOUND('',#37813,.T.); #20757=FACE_OUTER_BOUND('',#37814,.T.); #20758=FACE_OUTER_BOUND('',#37815,.T.); #20759=FACE_OUTER_BOUND('',#37816,.T.); #20760=FACE_OUTER_BOUND('',#37817,.T.); #20761=FACE_OUTER_BOUND('',#37818,.T.); #20762=FACE_OUTER_BOUND('',#37819,.T.); #20763=FACE_OUTER_BOUND('',#37820,.T.); #20764=FACE_OUTER_BOUND('',#37821,.T.); #20765=FACE_OUTER_BOUND('',#37822,.T.); #20766=FACE_OUTER_BOUND('',#37823,.T.); #20767=FACE_OUTER_BOUND('',#37824,.T.); #20768=FACE_OUTER_BOUND('',#37825,.T.); #20769=FACE_OUTER_BOUND('',#37826,.T.); #20770=FACE_OUTER_BOUND('',#37827,.T.); #20771=FACE_OUTER_BOUND('',#37828,.T.); #20772=FACE_OUTER_BOUND('',#37829,.T.); #20773=FACE_OUTER_BOUND('',#37830,.T.); #20774=FACE_OUTER_BOUND('',#37831,.T.); #20775=FACE_OUTER_BOUND('',#37832,.T.); #20776=FACE_OUTER_BOUND('',#37833,.T.); #20777=FACE_OUTER_BOUND('',#37834,.T.); #20778=FACE_OUTER_BOUND('',#37835,.T.); #20779=FACE_OUTER_BOUND('',#37836,.T.); #20780=FACE_OUTER_BOUND('',#37837,.T.); #20781=FACE_OUTER_BOUND('',#37838,.T.); #20782=FACE_OUTER_BOUND('',#37839,.T.); #20783=FACE_OUTER_BOUND('',#37840,.T.); #20784=FACE_OUTER_BOUND('',#37841,.T.); #20785=FACE_OUTER_BOUND('',#37842,.T.); #20786=FACE_OUTER_BOUND('',#37843,.T.); #20787=FACE_OUTER_BOUND('',#37844,.T.); #20788=FACE_OUTER_BOUND('',#37845,.T.); #20789=FACE_OUTER_BOUND('',#37846,.T.); #20790=FACE_OUTER_BOUND('',#37847,.T.); #20791=FACE_OUTER_BOUND('',#37848,.T.); #20792=FACE_OUTER_BOUND('',#37849,.T.); #20793=FACE_OUTER_BOUND('',#37850,.T.); #20794=FACE_OUTER_BOUND('',#37851,.T.); #20795=FACE_OUTER_BOUND('',#37852,.T.); #20796=FACE_OUTER_BOUND('',#37853,.T.); #20797=FACE_OUTER_BOUND('',#37854,.T.); #20798=FACE_OUTER_BOUND('',#37855,.T.); #20799=FACE_OUTER_BOUND('',#37856,.T.); #20800=FACE_OUTER_BOUND('',#37857,.T.); #20801=FACE_OUTER_BOUND('',#37858,.T.); #20802=FACE_OUTER_BOUND('',#37859,.T.); #20803=FACE_OUTER_BOUND('',#37860,.T.); #20804=FACE_OUTER_BOUND('',#37861,.T.); #20805=FACE_OUTER_BOUND('',#37862,.T.); #20806=FACE_OUTER_BOUND('',#37863,.T.); #20807=FACE_OUTER_BOUND('',#37864,.T.); #20808=FACE_OUTER_BOUND('',#37865,.T.); #20809=FACE_OUTER_BOUND('',#37866,.T.); #20810=FACE_OUTER_BOUND('',#37867,.T.); #20811=FACE_OUTER_BOUND('',#37868,.T.); #20812=FACE_OUTER_BOUND('',#37869,.T.); #20813=FACE_OUTER_BOUND('',#37870,.T.); #20814=FACE_OUTER_BOUND('',#37871,.T.); #20815=FACE_OUTER_BOUND('',#37872,.T.); #20816=FACE_OUTER_BOUND('',#37873,.T.); #20817=FACE_OUTER_BOUND('',#37874,.T.); #20818=FACE_OUTER_BOUND('',#37875,.T.); #20819=FACE_OUTER_BOUND('',#37876,.T.); #20820=FACE_OUTER_BOUND('',#37877,.T.); #20821=FACE_OUTER_BOUND('',#37878,.T.); #20822=FACE_OUTER_BOUND('',#37879,.T.); #20823=FACE_OUTER_BOUND('',#37880,.T.); #20824=FACE_OUTER_BOUND('',#37881,.T.); #20825=FACE_OUTER_BOUND('',#37882,.T.); #20826=FACE_OUTER_BOUND('',#37883,.T.); #20827=FACE_OUTER_BOUND('',#37884,.T.); #20828=FACE_OUTER_BOUND('',#37885,.T.); #20829=FACE_OUTER_BOUND('',#37886,.T.); #20830=FACE_OUTER_BOUND('',#37887,.T.); #20831=FACE_OUTER_BOUND('',#37888,.T.); #20832=FACE_OUTER_BOUND('',#37889,.T.); #20833=FACE_OUTER_BOUND('',#37890,.T.); #20834=FACE_OUTER_BOUND('',#37891,.T.); #20835=FACE_OUTER_BOUND('',#37892,.T.); #20836=FACE_OUTER_BOUND('',#37893,.T.); #20837=FACE_OUTER_BOUND('',#37894,.T.); #20838=FACE_OUTER_BOUND('',#37895,.T.); #20839=FACE_OUTER_BOUND('',#37896,.T.); #20840=FACE_OUTER_BOUND('',#37897,.T.); #20841=FACE_OUTER_BOUND('',#37898,.T.); #20842=FACE_OUTER_BOUND('',#37899,.T.); #20843=FACE_OUTER_BOUND('',#37900,.T.); #20844=FACE_OUTER_BOUND('',#37901,.T.); #20845=FACE_OUTER_BOUND('',#37902,.T.); #20846=FACE_OUTER_BOUND('',#37903,.T.); #20847=FACE_OUTER_BOUND('',#37904,.T.); #20848=FACE_OUTER_BOUND('',#37905,.T.); #20849=FACE_OUTER_BOUND('',#37906,.T.); #20850=FACE_OUTER_BOUND('',#37907,.T.); #20851=FACE_OUTER_BOUND('',#37908,.T.); #20852=FACE_OUTER_BOUND('',#37909,.T.); #20853=FACE_OUTER_BOUND('',#37910,.T.); #20854=FACE_OUTER_BOUND('',#37911,.T.); #20855=FACE_OUTER_BOUND('',#37912,.T.); #20856=FACE_OUTER_BOUND('',#37913,.T.); #20857=FACE_OUTER_BOUND('',#37914,.T.); #20858=FACE_OUTER_BOUND('',#37915,.T.); #20859=FACE_OUTER_BOUND('',#37916,.T.); #20860=FACE_OUTER_BOUND('',#37917,.T.); #20861=FACE_OUTER_BOUND('',#37918,.T.); #20862=FACE_OUTER_BOUND('',#37919,.T.); #20863=FACE_OUTER_BOUND('',#37920,.T.); #20864=FACE_OUTER_BOUND('',#37921,.T.); #20865=FACE_OUTER_BOUND('',#37922,.T.); #20866=FACE_OUTER_BOUND('',#37923,.T.); #20867=FACE_OUTER_BOUND('',#37924,.T.); #20868=FACE_OUTER_BOUND('',#37925,.T.); #20869=FACE_OUTER_BOUND('',#37926,.T.); #20870=FACE_OUTER_BOUND('',#37927,.T.); #20871=FACE_OUTER_BOUND('',#37928,.T.); #20872=FACE_OUTER_BOUND('',#37929,.T.); #20873=FACE_OUTER_BOUND('',#37930,.T.); #20874=FACE_OUTER_BOUND('',#37931,.T.); #20875=FACE_OUTER_BOUND('',#37932,.T.); #20876=FACE_OUTER_BOUND('',#37933,.T.); #20877=FACE_OUTER_BOUND('',#37934,.T.); #20878=FACE_OUTER_BOUND('',#37935,.T.); #20879=FACE_OUTER_BOUND('',#37936,.T.); #20880=FACE_OUTER_BOUND('',#37937,.T.); #20881=FACE_OUTER_BOUND('',#37938,.T.); #20882=FACE_OUTER_BOUND('',#37939,.T.); #20883=FACE_OUTER_BOUND('',#37940,.T.); #20884=FACE_OUTER_BOUND('',#37941,.T.); #20885=FACE_OUTER_BOUND('',#37942,.T.); #20886=FACE_OUTER_BOUND('',#37943,.T.); #20887=FACE_OUTER_BOUND('',#37944,.T.); #20888=FACE_OUTER_BOUND('',#37945,.T.); #20889=FACE_OUTER_BOUND('',#37946,.T.); #20890=FACE_OUTER_BOUND('',#37947,.T.); #20891=FACE_OUTER_BOUND('',#37948,.T.); #20892=FACE_OUTER_BOUND('',#37949,.T.); #20893=FACE_OUTER_BOUND('',#37950,.T.); #20894=FACE_OUTER_BOUND('',#37951,.T.); #20895=FACE_OUTER_BOUND('',#37952,.T.); #20896=FACE_OUTER_BOUND('',#37953,.T.); #20897=FACE_OUTER_BOUND('',#37954,.T.); #20898=FACE_OUTER_BOUND('',#37955,.T.); #20899=FACE_OUTER_BOUND('',#37956,.T.); #20900=FACE_OUTER_BOUND('',#37957,.T.); #20901=FACE_OUTER_BOUND('',#37958,.T.); #20902=FACE_OUTER_BOUND('',#37959,.T.); #20903=FACE_OUTER_BOUND('',#37960,.T.); #20904=FACE_OUTER_BOUND('',#37961,.T.); #20905=FACE_OUTER_BOUND('',#37962,.T.); #20906=FACE_OUTER_BOUND('',#37963,.T.); #20907=FACE_OUTER_BOUND('',#37964,.T.); #20908=FACE_OUTER_BOUND('',#37965,.T.); #20909=FACE_OUTER_BOUND('',#37966,.T.); #20910=FACE_OUTER_BOUND('',#37967,.T.); #20911=FACE_OUTER_BOUND('',#37968,.T.); #20912=FACE_OUTER_BOUND('',#37969,.T.); #20913=FACE_OUTER_BOUND('',#37970,.T.); #20914=FACE_OUTER_BOUND('',#37971,.T.); #20915=FACE_OUTER_BOUND('',#37972,.T.); #20916=FACE_OUTER_BOUND('',#37973,.T.); #20917=FACE_OUTER_BOUND('',#37974,.T.); #20918=FACE_OUTER_BOUND('',#37975,.T.); #20919=FACE_OUTER_BOUND('',#37976,.T.); #20920=FACE_OUTER_BOUND('',#37977,.T.); #20921=FACE_OUTER_BOUND('',#37978,.T.); #20922=FACE_OUTER_BOUND('',#37979,.T.); #20923=FACE_OUTER_BOUND('',#37980,.T.); #20924=FACE_OUTER_BOUND('',#37981,.T.); #20925=FACE_OUTER_BOUND('',#37982,.T.); #20926=FACE_OUTER_BOUND('',#37983,.T.); #20927=FACE_OUTER_BOUND('',#37984,.T.); #20928=FACE_OUTER_BOUND('',#37985,.T.); #20929=FACE_OUTER_BOUND('',#37986,.T.); #20930=FACE_OUTER_BOUND('',#37987,.T.); #20931=FACE_OUTER_BOUND('',#37988,.T.); #20932=FACE_OUTER_BOUND('',#37989,.T.); #20933=FACE_OUTER_BOUND('',#37990,.T.); #20934=FACE_OUTER_BOUND('',#37991,.T.); #20935=FACE_OUTER_BOUND('',#37992,.T.); #20936=FACE_OUTER_BOUND('',#37993,.T.); #20937=FACE_OUTER_BOUND('',#37994,.T.); #20938=FACE_OUTER_BOUND('',#37995,.T.); #20939=FACE_OUTER_BOUND('',#37996,.T.); #20940=FACE_OUTER_BOUND('',#37997,.T.); #20941=FACE_OUTER_BOUND('',#37998,.T.); #20942=FACE_OUTER_BOUND('',#37999,.T.); #20943=FACE_OUTER_BOUND('',#38000,.T.); #20944=FACE_OUTER_BOUND('',#38001,.T.); #20945=FACE_OUTER_BOUND('',#38002,.T.); #20946=FACE_OUTER_BOUND('',#38003,.T.); #20947=FACE_OUTER_BOUND('',#38004,.T.); #20948=FACE_OUTER_BOUND('',#38005,.T.); #20949=FACE_OUTER_BOUND('',#38323,.T.); #20950=FACE_OUTER_BOUND('',#38641,.T.); #20951=FACE_OUTER_BOUND('',#38642,.T.); #20952=FACE_OUTER_BOUND('',#38643,.T.); #20953=FACE_OUTER_BOUND('',#38645,.T.); #20954=FACE_OUTER_BOUND('',#38647,.T.); #20955=FACE_OUTER_BOUND('',#38648,.T.); #20956=FACE_OUTER_BOUND('',#38649,.T.); #20957=FACE_OUTER_BOUND('',#38651,.T.); #20958=FACE_OUTER_BOUND('',#38653,.T.); #20959=FACE_OUTER_BOUND('',#38654,.T.); #20960=FACE_OUTER_BOUND('',#38655,.T.); #20961=FACE_OUTER_BOUND('',#38657,.T.); #20962=FACE_OUTER_BOUND('',#38659,.T.); #20963=FACE_OUTER_BOUND('',#38660,.T.); #20964=FACE_OUTER_BOUND('',#38661,.T.); #20965=FACE_OUTER_BOUND('',#38663,.T.); #20966=FACE_OUTER_BOUND('',#38665,.T.); #20967=FACE_OUTER_BOUND('',#38666,.T.); #20968=FACE_OUTER_BOUND('',#38667,.T.); #20969=FACE_OUTER_BOUND('',#38669,.T.); #20970=FACE_OUTER_BOUND('',#38671,.T.); #20971=FACE_OUTER_BOUND('',#38672,.T.); #20972=FACE_OUTER_BOUND('',#38673,.T.); #20973=FACE_OUTER_BOUND('',#38675,.T.); #20974=FACE_OUTER_BOUND('',#38677,.T.); #20975=FACE_OUTER_BOUND('',#38678,.T.); #20976=FACE_OUTER_BOUND('',#38679,.T.); #20977=FACE_OUTER_BOUND('',#38681,.T.); #20978=FACE_OUTER_BOUND('',#38683,.T.); #20979=FACE_OUTER_BOUND('',#38684,.T.); #20980=FACE_OUTER_BOUND('',#38685,.T.); #20981=FACE_OUTER_BOUND('',#38687,.T.); #20982=FACE_OUTER_BOUND('',#38689,.T.); #20983=FACE_OUTER_BOUND('',#38690,.T.); #20984=FACE_OUTER_BOUND('',#38691,.T.); #20985=FACE_OUTER_BOUND('',#38693,.T.); #20986=FACE_OUTER_BOUND('',#38695,.T.); #20987=FACE_OUTER_BOUND('',#38696,.T.); #20988=FACE_OUTER_BOUND('',#38697,.T.); #20989=FACE_OUTER_BOUND('',#38699,.T.); #20990=FACE_OUTER_BOUND('',#38701,.T.); #20991=FACE_OUTER_BOUND('',#38702,.T.); #20992=FACE_OUTER_BOUND('',#38703,.T.); #20993=FACE_OUTER_BOUND('',#38705,.T.); #20994=FACE_OUTER_BOUND('',#38707,.T.); #20995=FACE_OUTER_BOUND('',#38708,.T.); #20996=FACE_OUTER_BOUND('',#38709,.T.); #20997=FACE_OUTER_BOUND('',#38711,.T.); #20998=FACE_OUTER_BOUND('',#38713,.T.); #20999=FACE_OUTER_BOUND('',#38714,.T.); #21000=FACE_OUTER_BOUND('',#38715,.T.); #21001=FACE_OUTER_BOUND('',#38717,.T.); #21002=FACE_OUTER_BOUND('',#38719,.T.); #21003=FACE_OUTER_BOUND('',#38720,.T.); #21004=FACE_OUTER_BOUND('',#38721,.T.); #21005=FACE_OUTER_BOUND('',#38723,.T.); #21006=FACE_OUTER_BOUND('',#38725,.T.); #21007=FACE_OUTER_BOUND('',#38726,.T.); #21008=FACE_OUTER_BOUND('',#38727,.T.); #21009=FACE_OUTER_BOUND('',#38729,.T.); #21010=FACE_OUTER_BOUND('',#38731,.T.); #21011=FACE_OUTER_BOUND('',#38732,.T.); #21012=FACE_OUTER_BOUND('',#38733,.T.); #21013=FACE_OUTER_BOUND('',#38735,.T.); #21014=FACE_OUTER_BOUND('',#38737,.T.); #21015=FACE_OUTER_BOUND('',#38738,.T.); #21016=FACE_OUTER_BOUND('',#38739,.T.); #21017=FACE_OUTER_BOUND('',#38741,.T.); #21018=FACE_OUTER_BOUND('',#38743,.T.); #21019=FACE_OUTER_BOUND('',#38744,.T.); #21020=FACE_OUTER_BOUND('',#38745,.T.); #21021=FACE_OUTER_BOUND('',#38747,.T.); #21022=FACE_OUTER_BOUND('',#38749,.T.); #21023=FACE_OUTER_BOUND('',#38750,.T.); #21024=FACE_OUTER_BOUND('',#38751,.T.); #21025=FACE_OUTER_BOUND('',#38753,.T.); #21026=FACE_OUTER_BOUND('',#38755,.T.); #21027=FACE_OUTER_BOUND('',#38756,.T.); #21028=FACE_OUTER_BOUND('',#38757,.T.); #21029=FACE_OUTER_BOUND('',#38759,.T.); #21030=FACE_OUTER_BOUND('',#38761,.T.); #21031=FACE_OUTER_BOUND('',#38762,.T.); #21032=FACE_OUTER_BOUND('',#38763,.T.); #21033=FACE_OUTER_BOUND('',#38765,.T.); #21034=FACE_OUTER_BOUND('',#38767,.T.); #21035=FACE_OUTER_BOUND('',#38768,.T.); #21036=FACE_OUTER_BOUND('',#38769,.T.); #21037=FACE_OUTER_BOUND('',#38771,.T.); #21038=FACE_OUTER_BOUND('',#38773,.T.); #21039=FACE_OUTER_BOUND('',#38774,.T.); #21040=FACE_OUTER_BOUND('',#38775,.T.); #21041=FACE_OUTER_BOUND('',#38777,.T.); #21042=FACE_OUTER_BOUND('',#38779,.T.); #21043=FACE_OUTER_BOUND('',#38780,.T.); #21044=FACE_OUTER_BOUND('',#38781,.T.); #21045=FACE_OUTER_BOUND('',#38783,.T.); #21046=FACE_OUTER_BOUND('',#38785,.T.); #21047=FACE_OUTER_BOUND('',#38786,.T.); #21048=FACE_OUTER_BOUND('',#38787,.T.); #21049=FACE_OUTER_BOUND('',#38789,.T.); #21050=FACE_OUTER_BOUND('',#38791,.T.); #21051=FACE_OUTER_BOUND('',#38792,.T.); #21052=FACE_OUTER_BOUND('',#38793,.T.); #21053=FACE_OUTER_BOUND('',#38795,.T.); #21054=FACE_OUTER_BOUND('',#38797,.T.); #21055=FACE_OUTER_BOUND('',#38798,.T.); #21056=FACE_OUTER_BOUND('',#38799,.T.); #21057=FACE_OUTER_BOUND('',#38801,.T.); #21058=FACE_OUTER_BOUND('',#38803,.T.); #21059=FACE_OUTER_BOUND('',#38804,.T.); #21060=FACE_OUTER_BOUND('',#38805,.T.); #21061=FACE_OUTER_BOUND('',#38807,.T.); #21062=FACE_OUTER_BOUND('',#38809,.T.); #21063=FACE_OUTER_BOUND('',#38810,.T.); #21064=FACE_OUTER_BOUND('',#38811,.T.); #21065=FACE_OUTER_BOUND('',#38813,.T.); #21066=FACE_OUTER_BOUND('',#38815,.T.); #21067=FACE_OUTER_BOUND('',#38816,.T.); #21068=FACE_OUTER_BOUND('',#38817,.T.); #21069=FACE_OUTER_BOUND('',#38819,.T.); #21070=FACE_OUTER_BOUND('',#38821,.T.); #21071=FACE_OUTER_BOUND('',#38822,.T.); #21072=FACE_OUTER_BOUND('',#38823,.T.); #21073=FACE_OUTER_BOUND('',#38825,.T.); #21074=FACE_OUTER_BOUND('',#38827,.T.); #21075=FACE_OUTER_BOUND('',#38828,.T.); #21076=FACE_OUTER_BOUND('',#38829,.T.); #21077=FACE_OUTER_BOUND('',#38831,.T.); #21078=FACE_OUTER_BOUND('',#38833,.T.); #21079=FACE_OUTER_BOUND('',#38834,.T.); #21080=FACE_OUTER_BOUND('',#38835,.T.); #21081=FACE_OUTER_BOUND('',#38837,.T.); #21082=FACE_OUTER_BOUND('',#38839,.T.); #21083=FACE_OUTER_BOUND('',#38840,.T.); #21084=FACE_OUTER_BOUND('',#38841,.T.); #21085=FACE_OUTER_BOUND('',#38843,.T.); #21086=FACE_OUTER_BOUND('',#38845,.T.); #21087=FACE_OUTER_BOUND('',#38846,.T.); #21088=FACE_OUTER_BOUND('',#38847,.T.); #21089=FACE_OUTER_BOUND('',#38849,.T.); #21090=FACE_OUTER_BOUND('',#38851,.T.); #21091=FACE_OUTER_BOUND('',#38852,.T.); #21092=FACE_OUTER_BOUND('',#38853,.T.); #21093=FACE_OUTER_BOUND('',#38855,.T.); #21094=FACE_OUTER_BOUND('',#38857,.T.); #21095=FACE_OUTER_BOUND('',#38858,.T.); #21096=FACE_OUTER_BOUND('',#38859,.T.); #21097=FACE_OUTER_BOUND('',#38861,.T.); #21098=FACE_OUTER_BOUND('',#38863,.T.); #21099=FACE_OUTER_BOUND('',#38864,.T.); #21100=FACE_OUTER_BOUND('',#38865,.T.); #21101=FACE_OUTER_BOUND('',#38867,.T.); #21102=FACE_OUTER_BOUND('',#38869,.T.); #21103=FACE_OUTER_BOUND('',#38870,.T.); #21104=FACE_OUTER_BOUND('',#38871,.T.); #21105=FACE_OUTER_BOUND('',#38873,.T.); #21106=FACE_OUTER_BOUND('',#38875,.T.); #21107=FACE_OUTER_BOUND('',#38876,.T.); #21108=FACE_OUTER_BOUND('',#38877,.T.); #21109=FACE_OUTER_BOUND('',#38879,.T.); #21110=FACE_OUTER_BOUND('',#38881,.T.); #21111=FACE_OUTER_BOUND('',#38882,.T.); #21112=FACE_OUTER_BOUND('',#38883,.T.); #21113=FACE_OUTER_BOUND('',#38885,.T.); #21114=FACE_OUTER_BOUND('',#38887,.T.); #21115=FACE_OUTER_BOUND('',#38888,.T.); #21116=FACE_OUTER_BOUND('',#38889,.T.); #21117=FACE_OUTER_BOUND('',#38891,.T.); #21118=FACE_OUTER_BOUND('',#38893,.T.); #21119=FACE_OUTER_BOUND('',#38894,.T.); #21120=FACE_OUTER_BOUND('',#38895,.T.); #21121=FACE_OUTER_BOUND('',#38897,.T.); #21122=FACE_OUTER_BOUND('',#38899,.T.); #21123=FACE_OUTER_BOUND('',#38900,.T.); #21124=FACE_OUTER_BOUND('',#38901,.T.); #21125=FACE_OUTER_BOUND('',#38903,.T.); #21126=FACE_OUTER_BOUND('',#38905,.T.); #21127=FACE_OUTER_BOUND('',#38906,.T.); #21128=FACE_OUTER_BOUND('',#38907,.T.); #21129=FACE_OUTER_BOUND('',#38909,.T.); #21130=FACE_OUTER_BOUND('',#38911,.T.); #21131=FACE_OUTER_BOUND('',#38912,.T.); #21132=FACE_OUTER_BOUND('',#38913,.T.); #21133=FACE_OUTER_BOUND('',#38915,.T.); #21134=FACE_OUTER_BOUND('',#38917,.T.); #21135=FACE_OUTER_BOUND('',#38918,.T.); #21136=FACE_OUTER_BOUND('',#38919,.T.); #21137=FACE_OUTER_BOUND('',#38921,.T.); #21138=FACE_OUTER_BOUND('',#38923,.T.); #21139=FACE_OUTER_BOUND('',#38924,.T.); #21140=FACE_OUTER_BOUND('',#38925,.T.); #21141=FACE_OUTER_BOUND('',#38927,.T.); #21142=FACE_OUTER_BOUND('',#38929,.T.); #21143=FACE_OUTER_BOUND('',#38930,.T.); #21144=FACE_OUTER_BOUND('',#38931,.T.); #21145=FACE_OUTER_BOUND('',#38933,.T.); #21146=FACE_OUTER_BOUND('',#38935,.T.); #21147=FACE_OUTER_BOUND('',#38936,.T.); #21148=FACE_OUTER_BOUND('',#38937,.T.); #21149=FACE_OUTER_BOUND('',#38939,.T.); #21150=FACE_OUTER_BOUND('',#38941,.T.); #21151=FACE_OUTER_BOUND('',#38942,.T.); #21152=FACE_OUTER_BOUND('',#38943,.T.); #21153=FACE_OUTER_BOUND('',#38945,.T.); #21154=FACE_OUTER_BOUND('',#38947,.T.); #21155=FACE_OUTER_BOUND('',#38948,.T.); #21156=FACE_OUTER_BOUND('',#38949,.T.); #21157=FACE_OUTER_BOUND('',#38951,.T.); #21158=FACE_OUTER_BOUND('',#38953,.T.); #21159=FACE_OUTER_BOUND('',#38954,.T.); #21160=FACE_OUTER_BOUND('',#38955,.T.); #21161=FACE_OUTER_BOUND('',#38957,.T.); #21162=FACE_OUTER_BOUND('',#38959,.T.); #21163=FACE_OUTER_BOUND('',#38960,.T.); #21164=FACE_OUTER_BOUND('',#38961,.T.); #21165=FACE_OUTER_BOUND('',#38963,.T.); #21166=FACE_OUTER_BOUND('',#38965,.T.); #21167=FACE_OUTER_BOUND('',#38966,.T.); #21168=FACE_OUTER_BOUND('',#38967,.T.); #21169=FACE_OUTER_BOUND('',#38969,.T.); #21170=FACE_OUTER_BOUND('',#38971,.T.); #21171=FACE_OUTER_BOUND('',#38972,.T.); #21172=FACE_OUTER_BOUND('',#38973,.T.); #21173=FACE_OUTER_BOUND('',#38975,.T.); #21174=FACE_OUTER_BOUND('',#38977,.T.); #21175=FACE_OUTER_BOUND('',#38978,.T.); #21176=FACE_OUTER_BOUND('',#38979,.T.); #21177=FACE_OUTER_BOUND('',#38981,.T.); #21178=FACE_OUTER_BOUND('',#38983,.T.); #21179=FACE_OUTER_BOUND('',#38984,.T.); #21180=FACE_OUTER_BOUND('',#38985,.T.); #21181=FACE_OUTER_BOUND('',#38987,.T.); #21182=FACE_OUTER_BOUND('',#38989,.T.); #21183=FACE_OUTER_BOUND('',#38990,.T.); #21184=FACE_OUTER_BOUND('',#38991,.T.); #21185=FACE_OUTER_BOUND('',#38993,.T.); #21186=FACE_OUTER_BOUND('',#38995,.T.); #21187=FACE_OUTER_BOUND('',#38996,.T.); #21188=FACE_OUTER_BOUND('',#38997,.T.); #21189=FACE_OUTER_BOUND('',#38999,.T.); #21190=FACE_OUTER_BOUND('',#39001,.T.); #21191=FACE_OUTER_BOUND('',#39002,.T.); #21192=FACE_OUTER_BOUND('',#39003,.T.); #21193=FACE_OUTER_BOUND('',#39005,.T.); #21194=FACE_OUTER_BOUND('',#39007,.T.); #21195=FACE_OUTER_BOUND('',#39008,.T.); #21196=FACE_OUTER_BOUND('',#39009,.T.); #21197=FACE_OUTER_BOUND('',#39011,.T.); #21198=FACE_OUTER_BOUND('',#39013,.T.); #21199=FACE_OUTER_BOUND('',#39014,.T.); #21200=FACE_OUTER_BOUND('',#39015,.T.); #21201=FACE_OUTER_BOUND('',#39017,.T.); #21202=FACE_OUTER_BOUND('',#39019,.T.); #21203=FACE_OUTER_BOUND('',#39020,.T.); #21204=FACE_OUTER_BOUND('',#39021,.T.); #21205=FACE_OUTER_BOUND('',#39023,.T.); #21206=FACE_OUTER_BOUND('',#39025,.T.); #21207=FACE_OUTER_BOUND('',#39026,.T.); #21208=FACE_OUTER_BOUND('',#39027,.T.); #21209=FACE_OUTER_BOUND('',#39029,.T.); #21210=FACE_OUTER_BOUND('',#39031,.T.); #21211=FACE_OUTER_BOUND('',#39032,.T.); #21212=FACE_OUTER_BOUND('',#39033,.T.); #21213=FACE_OUTER_BOUND('',#39035,.T.); #21214=FACE_OUTER_BOUND('',#39037,.T.); #21215=FACE_OUTER_BOUND('',#39038,.T.); #21216=FACE_OUTER_BOUND('',#39039,.T.); #21217=FACE_OUTER_BOUND('',#39041,.T.); #21218=FACE_OUTER_BOUND('',#39043,.T.); #21219=FACE_OUTER_BOUND('',#39044,.T.); #21220=FACE_OUTER_BOUND('',#39045,.T.); #21221=FACE_OUTER_BOUND('',#39047,.T.); #21222=FACE_OUTER_BOUND('',#39049,.T.); #21223=FACE_OUTER_BOUND('',#39050,.T.); #21224=FACE_OUTER_BOUND('',#39051,.T.); #21225=FACE_OUTER_BOUND('',#39053,.T.); #21226=FACE_OUTER_BOUND('',#39055,.T.); #21227=FACE_OUTER_BOUND('',#39056,.T.); #21228=FACE_OUTER_BOUND('',#39057,.T.); #21229=FACE_OUTER_BOUND('',#39059,.T.); #21230=FACE_OUTER_BOUND('',#39061,.T.); #21231=FACE_OUTER_BOUND('',#39062,.T.); #21232=FACE_OUTER_BOUND('',#39063,.T.); #21233=FACE_OUTER_BOUND('',#39065,.T.); #21234=FACE_OUTER_BOUND('',#39067,.T.); #21235=FACE_OUTER_BOUND('',#39068,.T.); #21236=FACE_OUTER_BOUND('',#39069,.T.); #21237=FACE_OUTER_BOUND('',#39071,.T.); #21238=FACE_OUTER_BOUND('',#39073,.T.); #21239=FACE_OUTER_BOUND('',#39074,.T.); #21240=FACE_OUTER_BOUND('',#39075,.T.); #21241=FACE_OUTER_BOUND('',#39077,.T.); #21242=FACE_OUTER_BOUND('',#39079,.T.); #21243=FACE_OUTER_BOUND('',#39080,.T.); #21244=FACE_OUTER_BOUND('',#39081,.T.); #21245=FACE_OUTER_BOUND('',#39083,.T.); #21246=FACE_OUTER_BOUND('',#39085,.T.); #21247=FACE_OUTER_BOUND('',#39086,.T.); #21248=FACE_OUTER_BOUND('',#39087,.T.); #21249=FACE_OUTER_BOUND('',#39089,.T.); #21250=FACE_OUTER_BOUND('',#39091,.T.); #21251=FACE_OUTER_BOUND('',#39092,.T.); #21252=FACE_OUTER_BOUND('',#39093,.T.); #21253=FACE_OUTER_BOUND('',#39095,.T.); #21254=FACE_OUTER_BOUND('',#39097,.T.); #21255=FACE_OUTER_BOUND('',#39098,.T.); #21256=FACE_OUTER_BOUND('',#39099,.T.); #21257=FACE_OUTER_BOUND('',#39101,.T.); #21258=FACE_OUTER_BOUND('',#39103,.T.); #21259=FACE_OUTER_BOUND('',#39104,.T.); #21260=FACE_OUTER_BOUND('',#39105,.T.); #21261=FACE_OUTER_BOUND('',#39107,.T.); #21262=FACE_OUTER_BOUND('',#39109,.T.); #21263=FACE_OUTER_BOUND('',#39110,.T.); #21264=FACE_OUTER_BOUND('',#39111,.T.); #21265=FACE_OUTER_BOUND('',#39113,.T.); #21266=FACE_OUTER_BOUND('',#39115,.T.); #21267=FACE_OUTER_BOUND('',#39116,.T.); #21268=FACE_OUTER_BOUND('',#39117,.T.); #21269=FACE_OUTER_BOUND('',#39119,.T.); #21270=FACE_OUTER_BOUND('',#39121,.T.); #21271=FACE_OUTER_BOUND('',#39122,.T.); #21272=FACE_OUTER_BOUND('',#39123,.T.); #21273=FACE_OUTER_BOUND('',#39125,.T.); #21274=FACE_OUTER_BOUND('',#39127,.T.); #21275=FACE_OUTER_BOUND('',#39128,.T.); #21276=FACE_OUTER_BOUND('',#39129,.T.); #21277=FACE_OUTER_BOUND('',#39131,.T.); #21278=FACE_OUTER_BOUND('',#39133,.T.); #21279=FACE_OUTER_BOUND('',#39134,.T.); #21280=FACE_OUTER_BOUND('',#39135,.T.); #21281=FACE_OUTER_BOUND('',#39137,.T.); #21282=FACE_OUTER_BOUND('',#39139,.T.); #21283=FACE_OUTER_BOUND('',#39140,.T.); #21284=FACE_OUTER_BOUND('',#39141,.T.); #21285=FACE_OUTER_BOUND('',#39143,.T.); #21286=FACE_OUTER_BOUND('',#39145,.T.); #21287=FACE_OUTER_BOUND('',#39146,.T.); #21288=FACE_OUTER_BOUND('',#39147,.T.); #21289=FACE_OUTER_BOUND('',#39149,.T.); #21290=FACE_OUTER_BOUND('',#39151,.T.); #21291=FACE_OUTER_BOUND('',#39152,.T.); #21292=FACE_OUTER_BOUND('',#39153,.T.); #21293=FACE_OUTER_BOUND('',#39155,.T.); #21294=FACE_OUTER_BOUND('',#39157,.T.); #21295=FACE_OUTER_BOUND('',#39158,.T.); #21296=FACE_OUTER_BOUND('',#39159,.T.); #21297=FACE_OUTER_BOUND('',#39161,.T.); #21298=FACE_OUTER_BOUND('',#39163,.T.); #21299=FACE_OUTER_BOUND('',#39164,.T.); #21300=FACE_OUTER_BOUND('',#39165,.T.); #21301=FACE_OUTER_BOUND('',#39167,.T.); #21302=FACE_OUTER_BOUND('',#39169,.T.); #21303=FACE_OUTER_BOUND('',#39170,.T.); #21304=FACE_OUTER_BOUND('',#39171,.T.); #21305=FACE_OUTER_BOUND('',#39173,.T.); #21306=FACE_OUTER_BOUND('',#39175,.T.); #21307=FACE_OUTER_BOUND('',#39176,.T.); #21308=FACE_OUTER_BOUND('',#39177,.T.); #21309=FACE_OUTER_BOUND('',#39179,.T.); #21310=FACE_OUTER_BOUND('',#39181,.T.); #21311=FACE_OUTER_BOUND('',#39182,.T.); #21312=FACE_OUTER_BOUND('',#39183,.T.); #21313=FACE_OUTER_BOUND('',#39185,.T.); #21314=FACE_OUTER_BOUND('',#39187,.T.); #21315=FACE_OUTER_BOUND('',#39188,.T.); #21316=FACE_OUTER_BOUND('',#39189,.T.); #21317=FACE_OUTER_BOUND('',#39191,.T.); #21318=FACE_OUTER_BOUND('',#39193,.T.); #21319=FACE_OUTER_BOUND('',#39194,.T.); #21320=FACE_OUTER_BOUND('',#39195,.T.); #21321=FACE_OUTER_BOUND('',#39197,.T.); #21322=FACE_OUTER_BOUND('',#39199,.T.); #21323=FACE_OUTER_BOUND('',#39200,.T.); #21324=FACE_OUTER_BOUND('',#39201,.T.); #21325=FACE_OUTER_BOUND('',#39203,.T.); #21326=FACE_OUTER_BOUND('',#39205,.T.); #21327=FACE_OUTER_BOUND('',#39206,.T.); #21328=FACE_OUTER_BOUND('',#39207,.T.); #21329=FACE_OUTER_BOUND('',#39209,.T.); #21330=FACE_OUTER_BOUND('',#39211,.T.); #21331=FACE_OUTER_BOUND('',#39212,.T.); #21332=FACE_OUTER_BOUND('',#39213,.T.); #21333=FACE_OUTER_BOUND('',#39215,.T.); #21334=FACE_OUTER_BOUND('',#39217,.T.); #21335=FACE_OUTER_BOUND('',#39218,.T.); #21336=FACE_OUTER_BOUND('',#39219,.T.); #21337=FACE_OUTER_BOUND('',#39221,.T.); #21338=FACE_OUTER_BOUND('',#39223,.T.); #21339=FACE_OUTER_BOUND('',#39224,.T.); #21340=FACE_OUTER_BOUND('',#39225,.T.); #21341=FACE_OUTER_BOUND('',#39227,.T.); #21342=FACE_OUTER_BOUND('',#39229,.T.); #21343=FACE_OUTER_BOUND('',#39230,.T.); #21344=FACE_OUTER_BOUND('',#39231,.T.); #21345=FACE_OUTER_BOUND('',#39233,.T.); #21346=FACE_OUTER_BOUND('',#39235,.T.); #21347=FACE_OUTER_BOUND('',#39236,.T.); #21348=FACE_OUTER_BOUND('',#39237,.T.); #21349=FACE_OUTER_BOUND('',#39239,.T.); #21350=FACE_OUTER_BOUND('',#39241,.T.); #21351=FACE_OUTER_BOUND('',#39242,.T.); #21352=FACE_OUTER_BOUND('',#39243,.T.); #21353=FACE_OUTER_BOUND('',#39245,.T.); #21354=FACE_OUTER_BOUND('',#39247,.T.); #21355=FACE_OUTER_BOUND('',#39248,.T.); #21356=FACE_OUTER_BOUND('',#39249,.T.); #21357=FACE_OUTER_BOUND('',#39251,.T.); #21358=FACE_OUTER_BOUND('',#39253,.T.); #21359=FACE_OUTER_BOUND('',#39254,.T.); #21360=FACE_OUTER_BOUND('',#39255,.T.); #21361=FACE_OUTER_BOUND('',#39257,.T.); #21362=FACE_OUTER_BOUND('',#39259,.T.); #21363=FACE_OUTER_BOUND('',#39260,.T.); #21364=FACE_OUTER_BOUND('',#39261,.T.); #21365=FACE_OUTER_BOUND('',#39263,.T.); #21366=FACE_OUTER_BOUND('',#39265,.T.); #21367=FACE_OUTER_BOUND('',#39266,.T.); #21368=FACE_OUTER_BOUND('',#39267,.T.); #21369=FACE_OUTER_BOUND('',#39269,.T.); #21370=FACE_OUTER_BOUND('',#39271,.T.); #21371=FACE_OUTER_BOUND('',#39272,.T.); #21372=FACE_OUTER_BOUND('',#39273,.T.); #21373=FACE_OUTER_BOUND('',#39275,.T.); #21374=FACE_OUTER_BOUND('',#39277,.T.); #21375=FACE_OUTER_BOUND('',#39278,.T.); #21376=FACE_OUTER_BOUND('',#39279,.T.); #21377=FACE_OUTER_BOUND('',#39281,.T.); #21378=FACE_OUTER_BOUND('',#39283,.T.); #21379=FACE_OUTER_BOUND('',#39284,.T.); #21380=FACE_OUTER_BOUND('',#39285,.T.); #21381=FACE_OUTER_BOUND('',#39287,.T.); #21382=FACE_OUTER_BOUND('',#39289,.T.); #21383=FACE_OUTER_BOUND('',#39290,.T.); #21384=FACE_OUTER_BOUND('',#39291,.T.); #21385=FACE_OUTER_BOUND('',#39293,.T.); #21386=FACE_OUTER_BOUND('',#39295,.T.); #21387=FACE_OUTER_BOUND('',#39296,.T.); #21388=FACE_OUTER_BOUND('',#39297,.T.); #21389=FACE_OUTER_BOUND('',#39299,.T.); #21390=FACE_OUTER_BOUND('',#39301,.T.); #21391=FACE_OUTER_BOUND('',#39302,.T.); #21392=FACE_OUTER_BOUND('',#39303,.T.); #21393=FACE_OUTER_BOUND('',#39305,.T.); #21394=FACE_OUTER_BOUND('',#39307,.T.); #21395=FACE_OUTER_BOUND('',#39308,.T.); #21396=FACE_OUTER_BOUND('',#39309,.T.); #21397=FACE_OUTER_BOUND('',#39311,.T.); #21398=FACE_OUTER_BOUND('',#39313,.T.); #21399=FACE_OUTER_BOUND('',#39314,.T.); #21400=FACE_OUTER_BOUND('',#39315,.T.); #21401=FACE_OUTER_BOUND('',#39317,.T.); #21402=FACE_OUTER_BOUND('',#39319,.T.); #21403=FACE_OUTER_BOUND('',#39320,.T.); #21404=FACE_OUTER_BOUND('',#39321,.T.); #21405=FACE_OUTER_BOUND('',#39323,.T.); #21406=FACE_OUTER_BOUND('',#39325,.T.); #21407=FACE_OUTER_BOUND('',#39326,.T.); #21408=FACE_OUTER_BOUND('',#39327,.T.); #21409=FACE_OUTER_BOUND('',#39329,.T.); #21410=FACE_OUTER_BOUND('',#39331,.T.); #21411=FACE_OUTER_BOUND('',#39332,.T.); #21412=FACE_OUTER_BOUND('',#39333,.T.); #21413=FACE_OUTER_BOUND('',#39335,.T.); #21414=FACE_OUTER_BOUND('',#39337,.T.); #21415=FACE_OUTER_BOUND('',#39338,.T.); #21416=FACE_OUTER_BOUND('',#39339,.T.); #21417=FACE_OUTER_BOUND('',#39341,.T.); #21418=FACE_OUTER_BOUND('',#39343,.T.); #21419=FACE_OUTER_BOUND('',#39344,.T.); #21420=FACE_OUTER_BOUND('',#39345,.T.); #21421=FACE_OUTER_BOUND('',#39347,.T.); #21422=FACE_OUTER_BOUND('',#39349,.T.); #21423=FACE_OUTER_BOUND('',#39350,.T.); #21424=FACE_OUTER_BOUND('',#39351,.T.); #21425=FACE_OUTER_BOUND('',#39353,.T.); #21426=FACE_OUTER_BOUND('',#39355,.T.); #21427=FACE_OUTER_BOUND('',#39356,.T.); #21428=FACE_OUTER_BOUND('',#39357,.T.); #21429=FACE_OUTER_BOUND('',#39359,.T.); #21430=FACE_OUTER_BOUND('',#39361,.T.); #21431=FACE_OUTER_BOUND('',#39362,.T.); #21432=FACE_OUTER_BOUND('',#39363,.T.); #21433=FACE_OUTER_BOUND('',#39365,.T.); #21434=FACE_OUTER_BOUND('',#39367,.T.); #21435=FACE_OUTER_BOUND('',#39368,.T.); #21436=FACE_OUTER_BOUND('',#39369,.T.); #21437=FACE_OUTER_BOUND('',#39371,.T.); #21438=FACE_OUTER_BOUND('',#39373,.T.); #21439=FACE_OUTER_BOUND('',#39374,.T.); #21440=FACE_OUTER_BOUND('',#39375,.T.); #21441=FACE_OUTER_BOUND('',#39377,.T.); #21442=FACE_OUTER_BOUND('',#39379,.T.); #21443=FACE_OUTER_BOUND('',#39380,.T.); #21444=FACE_OUTER_BOUND('',#39381,.T.); #21445=FACE_OUTER_BOUND('',#39383,.T.); #21446=FACE_OUTER_BOUND('',#39385,.T.); #21447=FACE_OUTER_BOUND('',#39386,.T.); #21448=FACE_OUTER_BOUND('',#39387,.T.); #21449=FACE_OUTER_BOUND('',#39389,.T.); #21450=FACE_OUTER_BOUND('',#39391,.T.); #21451=FACE_OUTER_BOUND('',#39392,.T.); #21452=FACE_OUTER_BOUND('',#39393,.T.); #21453=FACE_OUTER_BOUND('',#39395,.T.); #21454=FACE_OUTER_BOUND('',#39397,.T.); #21455=FACE_OUTER_BOUND('',#39398,.T.); #21456=FACE_OUTER_BOUND('',#39399,.T.); #21457=FACE_OUTER_BOUND('',#39401,.T.); #21458=FACE_OUTER_BOUND('',#39403,.T.); #21459=FACE_OUTER_BOUND('',#39404,.T.); #21460=FACE_OUTER_BOUND('',#39405,.T.); #21461=FACE_OUTER_BOUND('',#39407,.T.); #21462=FACE_OUTER_BOUND('',#39409,.T.); #21463=FACE_OUTER_BOUND('',#39410,.T.); #21464=FACE_OUTER_BOUND('',#39411,.T.); #21465=FACE_OUTER_BOUND('',#39413,.T.); #21466=FACE_OUTER_BOUND('',#39415,.T.); #21467=FACE_OUTER_BOUND('',#39416,.T.); #21468=FACE_OUTER_BOUND('',#39417,.T.); #21469=FACE_OUTER_BOUND('',#39419,.T.); #21470=FACE_OUTER_BOUND('',#39421,.T.); #21471=FACE_OUTER_BOUND('',#39422,.T.); #21472=FACE_OUTER_BOUND('',#39423,.T.); #21473=FACE_OUTER_BOUND('',#39425,.T.); #21474=FACE_OUTER_BOUND('',#39427,.T.); #21475=FACE_OUTER_BOUND('',#39428,.T.); #21476=FACE_OUTER_BOUND('',#39429,.T.); #21477=FACE_OUTER_BOUND('',#39431,.T.); #21478=FACE_OUTER_BOUND('',#39433,.T.); #21479=FACE_OUTER_BOUND('',#39434,.T.); #21480=FACE_OUTER_BOUND('',#39435,.T.); #21481=FACE_OUTER_BOUND('',#39436,.T.); #21482=FACE_OUTER_BOUND('',#39437,.T.); #21483=FACE_OUTER_BOUND('',#39438,.T.); #21484=FACE_OUTER_BOUND('',#39439,.T.); #21485=FACE_OUTER_BOUND('',#39440,.T.); #21486=FACE_OUTER_BOUND('',#39441,.T.); #21487=FACE_OUTER_BOUND('',#39443,.T.); #21488=FACE_OUTER_BOUND('',#39445,.T.); #21489=FACE_OUTER_BOUND('',#39446,.T.); #21490=FACE_OUTER_BOUND('',#39447,.T.); #21491=FACE_OUTER_BOUND('',#39449,.T.); #21492=FACE_OUTER_BOUND('',#39451,.T.); #21493=FACE_OUTER_BOUND('',#39452,.T.); #21494=FACE_OUTER_BOUND('',#39453,.T.); #21495=FACE_OUTER_BOUND('',#39454,.T.); #21496=FACE_OUTER_BOUND('',#39455,.T.); #21497=FACE_OUTER_BOUND('',#39456,.T.); #21498=FACE_OUTER_BOUND('',#39457,.T.); #21499=FACE_OUTER_BOUND('',#39458,.T.); #21500=FACE_OUTER_BOUND('',#39459,.T.); #21501=FACE_OUTER_BOUND('',#39461,.T.); #21502=FACE_OUTER_BOUND('',#39463,.T.); #21503=FACE_OUTER_BOUND('',#39464,.T.); #21504=FACE_OUTER_BOUND('',#39465,.T.); #21505=FACE_OUTER_BOUND('',#39467,.T.); #21506=FACE_OUTER_BOUND('',#39469,.T.); #21507=FACE_OUTER_BOUND('',#39470,.T.); #21508=FACE_OUTER_BOUND('',#39471,.T.); #21509=FACE_OUTER_BOUND('',#39472,.T.); #21510=FACE_OUTER_BOUND('',#39473,.T.); #21511=FACE_OUTER_BOUND('',#39474,.T.); #21512=FACE_OUTER_BOUND('',#39475,.T.); #21513=FACE_OUTER_BOUND('',#39476,.T.); #21514=FACE_OUTER_BOUND('',#39477,.T.); #21515=FACE_OUTER_BOUND('',#39479,.T.); #21516=FACE_OUTER_BOUND('',#39481,.T.); #21517=FACE_OUTER_BOUND('',#39482,.T.); #21518=FACE_OUTER_BOUND('',#39483,.T.); #21519=FACE_OUTER_BOUND('',#39485,.T.); #21520=FACE_OUTER_BOUND('',#39487,.T.); #21521=FACE_OUTER_BOUND('',#39488,.T.); #21522=FACE_OUTER_BOUND('',#39489,.T.); #21523=FACE_OUTER_BOUND('',#39491,.T.); #21524=FACE_OUTER_BOUND('',#39493,.T.); #21525=FACE_OUTER_BOUND('',#39494,.T.); #21526=FACE_OUTER_BOUND('',#39495,.T.); #21527=FACE_OUTER_BOUND('',#39497,.T.); #21528=FACE_OUTER_BOUND('',#39499,.T.); #21529=FACE_OUTER_BOUND('',#39500,.T.); #21530=FACE_OUTER_BOUND('',#39501,.T.); #21531=FACE_OUTER_BOUND('',#39503,.T.); #21532=FACE_OUTER_BOUND('',#39505,.T.); #21533=FACE_OUTER_BOUND('',#39506,.T.); #21534=FACE_OUTER_BOUND('',#39507,.T.); #21535=FACE_OUTER_BOUND('',#39509,.T.); #21536=FACE_OUTER_BOUND('',#39511,.T.); #21537=FACE_OUTER_BOUND('',#39512,.T.); #21538=FACE_OUTER_BOUND('',#39513,.T.); #21539=FACE_OUTER_BOUND('',#39515,.T.); #21540=FACE_OUTER_BOUND('',#39517,.T.); #21541=FACE_OUTER_BOUND('',#39518,.T.); #21542=FACE_OUTER_BOUND('',#39519,.T.); #21543=FACE_OUTER_BOUND('',#39521,.T.); #21544=FACE_OUTER_BOUND('',#39523,.T.); #21545=FACE_OUTER_BOUND('',#39524,.T.); #21546=FACE_OUTER_BOUND('',#39525,.T.); #21547=FACE_OUTER_BOUND('',#39527,.T.); #21548=FACE_OUTER_BOUND('',#39529,.T.); #21549=FACE_OUTER_BOUND('',#39530,.T.); #21550=FACE_OUTER_BOUND('',#39531,.T.); #21551=FACE_OUTER_BOUND('',#39533,.T.); #21552=FACE_OUTER_BOUND('',#39535,.T.); #21553=FACE_OUTER_BOUND('',#39536,.T.); #21554=FACE_OUTER_BOUND('',#39537,.T.); #21555=FACE_OUTER_BOUND('',#39539,.T.); #21556=FACE_OUTER_BOUND('',#39541,.T.); #21557=FACE_OUTER_BOUND('',#39542,.T.); #21558=FACE_OUTER_BOUND('',#39543,.T.); #21559=FACE_OUTER_BOUND('',#39544,.T.); #21560=FACE_OUTER_BOUND('',#39545,.T.); #21561=FACE_OUTER_BOUND('',#39546,.T.); #21562=FACE_OUTER_BOUND('',#39547,.T.); #21563=FACE_OUTER_BOUND('',#39548,.T.); #21564=FACE_OUTER_BOUND('',#39549,.T.); #21565=FACE_OUTER_BOUND('',#39551,.T.); #21566=FACE_OUTER_BOUND('',#39553,.T.); #21567=FACE_OUTER_BOUND('',#39554,.T.); #21568=FACE_OUTER_BOUND('',#39555,.T.); #21569=FACE_OUTER_BOUND('',#39557,.T.); #21570=FACE_OUTER_BOUND('',#39559,.T.); #21571=FACE_OUTER_BOUND('',#39560,.T.); #21572=FACE_OUTER_BOUND('',#39561,.T.); #21573=FACE_OUTER_BOUND('',#39563,.T.); #21574=FACE_OUTER_BOUND('',#39565,.T.); #21575=FACE_OUTER_BOUND('',#39566,.T.); #21576=FACE_OUTER_BOUND('',#39567,.T.); #21577=FACE_OUTER_BOUND('',#39569,.T.); #21578=FACE_OUTER_BOUND('',#39571,.T.); #21579=FACE_OUTER_BOUND('',#39572,.T.); #21580=FACE_OUTER_BOUND('',#39573,.T.); #21581=FACE_OUTER_BOUND('',#39574,.T.); #21582=FACE_OUTER_BOUND('',#39575,.T.); #21583=FACE_OUTER_BOUND('',#39576,.T.); #21584=FACE_OUTER_BOUND('',#39577,.T.); #21585=FACE_OUTER_BOUND('',#39578,.T.); #21586=FACE_OUTER_BOUND('',#39579,.T.); #21587=FACE_OUTER_BOUND('',#39581,.T.); #21588=FACE_OUTER_BOUND('',#39583,.T.); #21589=FACE_OUTER_BOUND('',#39584,.T.); #21590=FACE_OUTER_BOUND('',#39585,.T.); #21591=FACE_OUTER_BOUND('',#39587,.T.); #21592=FACE_OUTER_BOUND('',#39589,.T.); #21593=FACE_OUTER_BOUND('',#39590,.T.); #21594=FACE_OUTER_BOUND('',#39591,.T.); #21595=FACE_OUTER_BOUND('',#39592,.T.); #21596=FACE_OUTER_BOUND('',#39593,.T.); #21597=FACE_OUTER_BOUND('',#39594,.T.); #21598=FACE_OUTER_BOUND('',#39595,.T.); #21599=FACE_OUTER_BOUND('',#39596,.T.); #21600=FACE_OUTER_BOUND('',#39597,.T.); #21601=FACE_OUTER_BOUND('',#39599,.T.); #21602=FACE_OUTER_BOUND('',#39601,.T.); #21603=FACE_OUTER_BOUND('',#39602,.T.); #21604=FACE_OUTER_BOUND('',#39603,.T.); #21605=FACE_OUTER_BOUND('',#39605,.T.); #21606=FACE_OUTER_BOUND('',#39607,.T.); #21607=FACE_OUTER_BOUND('',#39608,.T.); #21608=FACE_OUTER_BOUND('',#39609,.T.); #21609=FACE_OUTER_BOUND('',#39611,.T.); #21610=FACE_OUTER_BOUND('',#39613,.T.); #21611=FACE_OUTER_BOUND('',#39614,.T.); #21612=FACE_OUTER_BOUND('',#39615,.T.); #21613=FACE_OUTER_BOUND('',#39617,.T.); #21614=FACE_OUTER_BOUND('',#39619,.T.); #21615=FACE_OUTER_BOUND('',#39620,.T.); #21616=FACE_OUTER_BOUND('',#39621,.T.); #21617=FACE_OUTER_BOUND('',#39623,.T.); #21618=FACE_OUTER_BOUND('',#39625,.T.); #21619=FACE_OUTER_BOUND('',#39626,.T.); #21620=FACE_OUTER_BOUND('',#39627,.T.); #21621=FACE_OUTER_BOUND('',#39629,.T.); #21622=FACE_OUTER_BOUND('',#39631,.T.); #21623=FACE_OUTER_BOUND('',#39632,.T.); #21624=FACE_OUTER_BOUND('',#39633,.T.); #21625=FACE_OUTER_BOUND('',#39635,.T.); #21626=FACE_OUTER_BOUND('',#39637,.T.); #21627=FACE_OUTER_BOUND('',#39638,.T.); #21628=FACE_OUTER_BOUND('',#39639,.T.); #21629=FACE_OUTER_BOUND('',#39641,.T.); #21630=FACE_OUTER_BOUND('',#39643,.T.); #21631=FACE_OUTER_BOUND('',#39644,.T.); #21632=FACE_OUTER_BOUND('',#39645,.T.); #21633=FACE_OUTER_BOUND('',#39647,.T.); #21634=FACE_OUTER_BOUND('',#39649,.T.); #21635=FACE_OUTER_BOUND('',#39650,.T.); #21636=FACE_OUTER_BOUND('',#39651,.T.); #21637=FACE_OUTER_BOUND('',#39653,.T.); #21638=FACE_OUTER_BOUND('',#39655,.T.); #21639=FACE_OUTER_BOUND('',#39656,.T.); #21640=FACE_OUTER_BOUND('',#39657,.T.); #21641=FACE_OUTER_BOUND('',#39659,.T.); #21642=FACE_OUTER_BOUND('',#39661,.T.); #21643=FACE_OUTER_BOUND('',#39662,.T.); #21644=FACE_OUTER_BOUND('',#39663,.T.); #21645=FACE_OUTER_BOUND('',#39665,.T.); #21646=FACE_OUTER_BOUND('',#39667,.T.); #21647=FACE_OUTER_BOUND('',#39668,.T.); #21648=FACE_OUTER_BOUND('',#39669,.T.); #21649=FACE_OUTER_BOUND('',#39671,.T.); #21650=FACE_OUTER_BOUND('',#39673,.T.); #21651=FACE_OUTER_BOUND('',#39674,.T.); #21652=FACE_OUTER_BOUND('',#39675,.T.); #21653=FACE_OUTER_BOUND('',#39677,.T.); #21654=FACE_OUTER_BOUND('',#39679,.T.); #21655=FACE_OUTER_BOUND('',#39680,.T.); #21656=FACE_OUTER_BOUND('',#39681,.T.); #21657=FACE_OUTER_BOUND('',#39683,.T.); #21658=FACE_OUTER_BOUND('',#39685,.T.); #21659=FACE_OUTER_BOUND('',#39686,.T.); #21660=FACE_OUTER_BOUND('',#39687,.T.); #21661=FACE_OUTER_BOUND('',#39689,.T.); #21662=FACE_OUTER_BOUND('',#39691,.T.); #21663=FACE_OUTER_BOUND('',#39692,.T.); #21664=FACE_OUTER_BOUND('',#39693,.T.); #21665=FACE_OUTER_BOUND('',#39695,.T.); #21666=FACE_OUTER_BOUND('',#39697,.T.); #21667=FACE_OUTER_BOUND('',#39698,.T.); #21668=FACE_OUTER_BOUND('',#39699,.T.); #21669=FACE_OUTER_BOUND('',#39701,.T.); #21670=FACE_OUTER_BOUND('',#39703,.T.); #21671=FACE_OUTER_BOUND('',#39704,.T.); #21672=FACE_OUTER_BOUND('',#39705,.T.); #21673=FACE_OUTER_BOUND('',#39707,.T.); #21674=FACE_OUTER_BOUND('',#39709,.T.); #21675=FACE_OUTER_BOUND('',#39710,.T.); #21676=FACE_OUTER_BOUND('',#39711,.T.); #21677=FACE_OUTER_BOUND('',#39713,.T.); #21678=FACE_OUTER_BOUND('',#39715,.T.); #21679=FACE_OUTER_BOUND('',#39716,.T.); #21680=FACE_OUTER_BOUND('',#39717,.T.); #21681=FACE_OUTER_BOUND('',#39719,.T.); #21682=FACE_OUTER_BOUND('',#39721,.T.); #21683=FACE_OUTER_BOUND('',#39722,.T.); #21684=FACE_OUTER_BOUND('',#39723,.T.); #21685=FACE_OUTER_BOUND('',#39725,.T.); #21686=FACE_OUTER_BOUND('',#39727,.T.); #21687=FACE_OUTER_BOUND('',#39728,.T.); #21688=FACE_OUTER_BOUND('',#39729,.T.); #21689=FACE_OUTER_BOUND('',#39731,.T.); #21690=FACE_OUTER_BOUND('',#39733,.T.); #21691=FACE_OUTER_BOUND('',#39734,.T.); #21692=FACE_OUTER_BOUND('',#39735,.T.); #21693=FACE_OUTER_BOUND('',#39737,.T.); #21694=FACE_OUTER_BOUND('',#39739,.T.); #21695=FACE_OUTER_BOUND('',#39740,.T.); #21696=FACE_OUTER_BOUND('',#39741,.T.); #21697=FACE_OUTER_BOUND('',#39743,.T.); #21698=FACE_OUTER_BOUND('',#39745,.T.); #21699=FACE_OUTER_BOUND('',#39746,.T.); #21700=FACE_OUTER_BOUND('',#39747,.T.); #21701=FACE_OUTER_BOUND('',#39749,.T.); #21702=FACE_OUTER_BOUND('',#39751,.T.); #21703=FACE_OUTER_BOUND('',#39752,.T.); #21704=FACE_OUTER_BOUND('',#39753,.T.); #21705=FACE_OUTER_BOUND('',#39755,.T.); #21706=FACE_OUTER_BOUND('',#39757,.T.); #21707=FACE_OUTER_BOUND('',#39758,.T.); #21708=FACE_OUTER_BOUND('',#39759,.T.); #21709=FACE_OUTER_BOUND('',#39761,.T.); #21710=FACE_OUTER_BOUND('',#39763,.T.); #21711=FACE_OUTER_BOUND('',#39764,.T.); #21712=FACE_OUTER_BOUND('',#39765,.T.); #21713=FACE_OUTER_BOUND('',#39767,.T.); #21714=FACE_OUTER_BOUND('',#39769,.T.); #21715=FACE_OUTER_BOUND('',#39770,.T.); #21716=FACE_OUTER_BOUND('',#39771,.T.); #21717=FACE_OUTER_BOUND('',#39773,.T.); #21718=FACE_OUTER_BOUND('',#39775,.T.); #21719=FACE_OUTER_BOUND('',#39776,.T.); #21720=FACE_OUTER_BOUND('',#39777,.T.); #21721=FACE_OUTER_BOUND('',#39779,.T.); #21722=FACE_OUTER_BOUND('',#39781,.T.); #21723=FACE_OUTER_BOUND('',#39782,.T.); #21724=FACE_OUTER_BOUND('',#39783,.T.); #21725=FACE_OUTER_BOUND('',#39785,.T.); #21726=FACE_OUTER_BOUND('',#39787,.T.); #21727=FACE_OUTER_BOUND('',#39788,.T.); #21728=FACE_OUTER_BOUND('',#39789,.T.); #21729=FACE_OUTER_BOUND('',#39791,.T.); #21730=FACE_OUTER_BOUND('',#39793,.T.); #21731=FACE_OUTER_BOUND('',#39794,.T.); #21732=FACE_OUTER_BOUND('',#39795,.T.); #21733=FACE_OUTER_BOUND('',#39797,.T.); #21734=FACE_OUTER_BOUND('',#39799,.T.); #21735=FACE_OUTER_BOUND('',#39800,.T.); #21736=FACE_OUTER_BOUND('',#39801,.T.); #21737=FACE_OUTER_BOUND('',#39803,.T.); #21738=FACE_OUTER_BOUND('',#39805,.T.); #21739=FACE_OUTER_BOUND('',#39806,.T.); #21740=FACE_OUTER_BOUND('',#39807,.T.); #21741=FACE_OUTER_BOUND('',#39809,.T.); #21742=FACE_OUTER_BOUND('',#39811,.T.); #21743=FACE_OUTER_BOUND('',#39812,.T.); #21744=FACE_OUTER_BOUND('',#39813,.T.); #21745=FACE_OUTER_BOUND('',#39815,.T.); #21746=FACE_OUTER_BOUND('',#39817,.T.); #21747=FACE_OUTER_BOUND('',#39818,.T.); #21748=FACE_OUTER_BOUND('',#39819,.T.); #21749=FACE_OUTER_BOUND('',#39821,.T.); #21750=FACE_OUTER_BOUND('',#39823,.T.); #21751=FACE_OUTER_BOUND('',#39824,.T.); #21752=FACE_OUTER_BOUND('',#39825,.T.); #21753=FACE_OUTER_BOUND('',#39827,.T.); #21754=FACE_OUTER_BOUND('',#39829,.T.); #21755=FACE_OUTER_BOUND('',#39830,.T.); #21756=FACE_OUTER_BOUND('',#39831,.T.); #21757=FACE_OUTER_BOUND('',#39833,.T.); #21758=FACE_OUTER_BOUND('',#39835,.T.); #21759=FACE_OUTER_BOUND('',#39836,.T.); #21760=FACE_OUTER_BOUND('',#39837,.T.); #21761=FACE_OUTER_BOUND('',#39839,.T.); #21762=FACE_OUTER_BOUND('',#39841,.T.); #21763=FACE_OUTER_BOUND('',#39842,.T.); #21764=FACE_OUTER_BOUND('',#39843,.T.); #21765=FACE_OUTER_BOUND('',#39845,.T.); #21766=FACE_OUTER_BOUND('',#39847,.T.); #21767=FACE_OUTER_BOUND('',#39848,.T.); #21768=FACE_OUTER_BOUND('',#39849,.T.); #21769=FACE_OUTER_BOUND('',#39851,.T.); #21770=FACE_OUTER_BOUND('',#39853,.T.); #21771=FACE_OUTER_BOUND('',#39854,.T.); #21772=FACE_OUTER_BOUND('',#39855,.T.); #21773=FACE_OUTER_BOUND('',#39857,.T.); #21774=FACE_OUTER_BOUND('',#39859,.T.); #21775=FACE_OUTER_BOUND('',#39860,.T.); #21776=FACE_OUTER_BOUND('',#39861,.T.); #21777=FACE_OUTER_BOUND('',#39863,.T.); #21778=FACE_OUTER_BOUND('',#39865,.T.); #21779=FACE_OUTER_BOUND('',#39866,.T.); #21780=FACE_OUTER_BOUND('',#39867,.T.); #21781=FACE_OUTER_BOUND('',#39869,.T.); #21782=FACE_OUTER_BOUND('',#39871,.T.); #21783=FACE_OUTER_BOUND('',#39872,.T.); #21784=FACE_OUTER_BOUND('',#39873,.T.); #21785=FACE_OUTER_BOUND('',#39875,.T.); #21786=FACE_OUTER_BOUND('',#39877,.T.); #21787=FACE_OUTER_BOUND('',#39878,.T.); #21788=FACE_OUTER_BOUND('',#39879,.T.); #21789=FACE_OUTER_BOUND('',#39881,.T.); #21790=FACE_OUTER_BOUND('',#39883,.T.); #21791=FACE_OUTER_BOUND('',#39884,.T.); #21792=FACE_OUTER_BOUND('',#39885,.T.); #21793=FACE_OUTER_BOUND('',#39887,.T.); #21794=FACE_OUTER_BOUND('',#39889,.T.); #21795=FACE_OUTER_BOUND('',#39890,.T.); #21796=FACE_OUTER_BOUND('',#39891,.T.); #21797=FACE_OUTER_BOUND('',#39893,.T.); #21798=FACE_OUTER_BOUND('',#39895,.T.); #21799=FACE_OUTER_BOUND('',#39896,.T.); #21800=FACE_OUTER_BOUND('',#39897,.T.); #21801=FACE_OUTER_BOUND('',#39899,.T.); #21802=FACE_OUTER_BOUND('',#39901,.T.); #21803=FACE_OUTER_BOUND('',#39902,.T.); #21804=FACE_OUTER_BOUND('',#39903,.T.); #21805=FACE_OUTER_BOUND('',#39905,.T.); #21806=FACE_OUTER_BOUND('',#39907,.T.); #21807=FACE_OUTER_BOUND('',#39908,.T.); #21808=FACE_OUTER_BOUND('',#39909,.T.); #21809=FACE_OUTER_BOUND('',#39911,.T.); #21810=FACE_OUTER_BOUND('',#39913,.T.); #21811=FACE_OUTER_BOUND('',#39914,.T.); #21812=FACE_OUTER_BOUND('',#39915,.T.); #21813=FACE_OUTER_BOUND('',#39917,.T.); #21814=FACE_OUTER_BOUND('',#39919,.T.); #21815=FACE_OUTER_BOUND('',#39920,.T.); #21816=FACE_OUTER_BOUND('',#39921,.T.); #21817=FACE_OUTER_BOUND('',#39923,.T.); #21818=FACE_OUTER_BOUND('',#39925,.T.); #21819=FACE_OUTER_BOUND('',#39926,.T.); #21820=FACE_OUTER_BOUND('',#39927,.T.); #21821=FACE_OUTER_BOUND('',#39929,.T.); #21822=FACE_OUTER_BOUND('',#39931,.T.); #21823=FACE_OUTER_BOUND('',#39932,.T.); #21824=FACE_OUTER_BOUND('',#39933,.T.); #21825=FACE_OUTER_BOUND('',#39935,.T.); #21826=FACE_OUTER_BOUND('',#39937,.T.); #21827=FACE_OUTER_BOUND('',#39938,.T.); #21828=FACE_OUTER_BOUND('',#39939,.T.); #21829=FACE_OUTER_BOUND('',#39941,.T.); #21830=FACE_OUTER_BOUND('',#39943,.T.); #21831=FACE_OUTER_BOUND('',#39944,.T.); #21832=FACE_OUTER_BOUND('',#39945,.T.); #21833=FACE_OUTER_BOUND('',#39947,.T.); #21834=FACE_OUTER_BOUND('',#39949,.T.); #21835=FACE_OUTER_BOUND('',#39950,.T.); #21836=FACE_OUTER_BOUND('',#39951,.T.); #21837=FACE_OUTER_BOUND('',#39953,.T.); #21838=FACE_OUTER_BOUND('',#39955,.T.); #21839=FACE_OUTER_BOUND('',#39956,.T.); #21840=FACE_OUTER_BOUND('',#39957,.T.); #21841=FACE_OUTER_BOUND('',#39959,.T.); #21842=FACE_OUTER_BOUND('',#39961,.T.); #21843=FACE_OUTER_BOUND('',#39962,.T.); #21844=FACE_OUTER_BOUND('',#39963,.T.); #21845=FACE_OUTER_BOUND('',#39965,.T.); #21846=FACE_OUTER_BOUND('',#39967,.T.); #21847=FACE_OUTER_BOUND('',#39968,.T.); #21848=FACE_OUTER_BOUND('',#39969,.T.); #21849=FACE_OUTER_BOUND('',#39971,.T.); #21850=FACE_OUTER_BOUND('',#39973,.T.); #21851=FACE_OUTER_BOUND('',#39974,.T.); #21852=FACE_OUTER_BOUND('',#39975,.T.); #21853=FACE_OUTER_BOUND('',#39977,.T.); #21854=FACE_OUTER_BOUND('',#39979,.T.); #21855=FACE_OUTER_BOUND('',#39980,.T.); #21856=FACE_OUTER_BOUND('',#39981,.T.); #21857=FACE_OUTER_BOUND('',#39983,.T.); #21858=FACE_OUTER_BOUND('',#39985,.T.); #21859=FACE_OUTER_BOUND('',#39986,.T.); #21860=FACE_OUTER_BOUND('',#39987,.T.); #21861=FACE_OUTER_BOUND('',#39989,.T.); #21862=FACE_OUTER_BOUND('',#39991,.T.); #21863=FACE_OUTER_BOUND('',#39992,.T.); #21864=FACE_OUTER_BOUND('',#39993,.T.); #21865=FACE_OUTER_BOUND('',#39995,.T.); #21866=FACE_OUTER_BOUND('',#39997,.T.); #21867=FACE_OUTER_BOUND('',#39998,.T.); #21868=FACE_OUTER_BOUND('',#39999,.T.); #21869=FACE_OUTER_BOUND('',#40001,.T.); #21870=FACE_OUTER_BOUND('',#40003,.T.); #21871=FACE_OUTER_BOUND('',#40004,.T.); #21872=FACE_OUTER_BOUND('',#40005,.T.); #21873=FACE_OUTER_BOUND('',#40007,.T.); #21874=FACE_OUTER_BOUND('',#40009,.T.); #21875=FACE_OUTER_BOUND('',#40010,.T.); #21876=FACE_OUTER_BOUND('',#40011,.T.); #21877=FACE_OUTER_BOUND('',#40013,.T.); #21878=FACE_OUTER_BOUND('',#40015,.T.); #21879=FACE_OUTER_BOUND('',#40016,.T.); #21880=FACE_OUTER_BOUND('',#40017,.T.); #21881=FACE_OUTER_BOUND('',#40019,.T.); #21882=FACE_OUTER_BOUND('',#40021,.T.); #21883=FACE_OUTER_BOUND('',#40022,.T.); #21884=FACE_OUTER_BOUND('',#40023,.T.); #21885=FACE_OUTER_BOUND('',#40025,.T.); #21886=FACE_OUTER_BOUND('',#40027,.T.); #21887=FACE_OUTER_BOUND('',#40028,.T.); #21888=FACE_OUTER_BOUND('',#40029,.T.); #21889=FACE_OUTER_BOUND('',#40031,.T.); #21890=FACE_OUTER_BOUND('',#40033,.T.); #21891=FACE_OUTER_BOUND('',#40034,.T.); #21892=FACE_OUTER_BOUND('',#40035,.T.); #21893=FACE_OUTER_BOUND('',#40037,.T.); #21894=FACE_OUTER_BOUND('',#40039,.T.); #21895=FACE_OUTER_BOUND('',#40040,.T.); #21896=FACE_OUTER_BOUND('',#40041,.T.); #21897=FACE_OUTER_BOUND('',#40043,.T.); #21898=FACE_OUTER_BOUND('',#40045,.T.); #21899=FACE_OUTER_BOUND('',#40046,.T.); #21900=FACE_OUTER_BOUND('',#40047,.T.); #21901=FACE_OUTER_BOUND('',#40049,.T.); #21902=FACE_OUTER_BOUND('',#40051,.T.); #21903=FACE_OUTER_BOUND('',#40052,.T.); #21904=FACE_OUTER_BOUND('',#40053,.T.); #21905=FACE_OUTER_BOUND('',#40055,.T.); #21906=FACE_OUTER_BOUND('',#40057,.T.); #21907=FACE_OUTER_BOUND('',#40058,.T.); #21908=FACE_OUTER_BOUND('',#40059,.T.); #21909=FACE_OUTER_BOUND('',#40061,.T.); #21910=FACE_OUTER_BOUND('',#40063,.T.); #21911=FACE_OUTER_BOUND('',#40064,.T.); #21912=FACE_OUTER_BOUND('',#40065,.T.); #21913=FACE_OUTER_BOUND('',#40067,.T.); #21914=FACE_OUTER_BOUND('',#40069,.T.); #21915=FACE_OUTER_BOUND('',#40070,.T.); #21916=FACE_OUTER_BOUND('',#40071,.T.); #21917=FACE_OUTER_BOUND('',#40073,.T.); #21918=FACE_OUTER_BOUND('',#40075,.T.); #21919=FACE_OUTER_BOUND('',#40076,.T.); #21920=FACE_OUTER_BOUND('',#40077,.T.); #21921=FACE_OUTER_BOUND('',#40079,.T.); #21922=FACE_OUTER_BOUND('',#40081,.T.); #21923=FACE_OUTER_BOUND('',#40082,.T.); #21924=FACE_OUTER_BOUND('',#40083,.T.); #21925=FACE_OUTER_BOUND('',#40085,.T.); #21926=FACE_OUTER_BOUND('',#40087,.T.); #21927=FACE_OUTER_BOUND('',#40088,.T.); #21928=FACE_OUTER_BOUND('',#40089,.T.); #21929=FACE_OUTER_BOUND('',#40091,.T.); #21930=FACE_OUTER_BOUND('',#40093,.T.); #21931=FACE_OUTER_BOUND('',#40094,.T.); #21932=FACE_OUTER_BOUND('',#40095,.T.); #21933=FACE_OUTER_BOUND('',#40097,.T.); #21934=FACE_OUTER_BOUND('',#40099,.T.); #21935=FACE_OUTER_BOUND('',#40100,.T.); #21936=FACE_OUTER_BOUND('',#40101,.T.); #21937=FACE_OUTER_BOUND('',#40103,.T.); #21938=FACE_OUTER_BOUND('',#40105,.T.); #21939=FACE_OUTER_BOUND('',#40106,.T.); #21940=FACE_OUTER_BOUND('',#40107,.T.); #21941=FACE_OUTER_BOUND('',#40109,.T.); #21942=FACE_OUTER_BOUND('',#40111,.T.); #21943=FACE_OUTER_BOUND('',#40112,.T.); #21944=FACE_OUTER_BOUND('',#40113,.T.); #21945=FACE_OUTER_BOUND('',#40115,.T.); #21946=FACE_OUTER_BOUND('',#40117,.T.); #21947=FACE_OUTER_BOUND('',#40118,.T.); #21948=FACE_OUTER_BOUND('',#40119,.T.); #21949=FACE_OUTER_BOUND('',#40121,.T.); #21950=FACE_OUTER_BOUND('',#40123,.T.); #21951=FACE_OUTER_BOUND('',#40124,.T.); #21952=FACE_OUTER_BOUND('',#40125,.T.); #21953=FACE_OUTER_BOUND('',#40127,.T.); #21954=FACE_OUTER_BOUND('',#40129,.T.); #21955=FACE_OUTER_BOUND('',#40130,.T.); #21956=FACE_OUTER_BOUND('',#40131,.T.); #21957=FACE_OUTER_BOUND('',#40133,.T.); #21958=FACE_OUTER_BOUND('',#40135,.T.); #21959=FACE_OUTER_BOUND('',#40136,.T.); #21960=FACE_OUTER_BOUND('',#40137,.T.); #21961=FACE_OUTER_BOUND('',#40139,.T.); #21962=FACE_OUTER_BOUND('',#40141,.T.); #21963=FACE_OUTER_BOUND('',#40142,.T.); #21964=FACE_OUTER_BOUND('',#40143,.T.); #21965=FACE_OUTER_BOUND('',#40145,.T.); #21966=FACE_OUTER_BOUND('',#40147,.T.); #21967=FACE_OUTER_BOUND('',#40148,.T.); #21968=FACE_OUTER_BOUND('',#40149,.T.); #21969=FACE_OUTER_BOUND('',#40151,.T.); #21970=FACE_OUTER_BOUND('',#40153,.T.); #21971=FACE_OUTER_BOUND('',#40154,.T.); #21972=FACE_OUTER_BOUND('',#40155,.T.); #21973=FACE_OUTER_BOUND('',#40157,.T.); #21974=FACE_OUTER_BOUND('',#40159,.T.); #21975=FACE_OUTER_BOUND('',#40160,.T.); #21976=FACE_OUTER_BOUND('',#40161,.T.); #21977=FACE_OUTER_BOUND('',#40163,.T.); #21978=FACE_OUTER_BOUND('',#40165,.T.); #21979=FACE_OUTER_BOUND('',#40166,.T.); #21980=FACE_OUTER_BOUND('',#40167,.T.); #21981=FACE_OUTER_BOUND('',#40169,.T.); #21982=FACE_OUTER_BOUND('',#40171,.T.); #21983=FACE_OUTER_BOUND('',#40172,.T.); #21984=FACE_OUTER_BOUND('',#40173,.T.); #21985=FACE_OUTER_BOUND('',#40175,.T.); #21986=FACE_OUTER_BOUND('',#40177,.T.); #21987=FACE_OUTER_BOUND('',#40178,.T.); #21988=FACE_OUTER_BOUND('',#40179,.T.); #21989=FACE_OUTER_BOUND('',#40181,.T.); #21990=FACE_OUTER_BOUND('',#40183,.T.); #21991=FACE_OUTER_BOUND('',#40184,.T.); #21992=FACE_OUTER_BOUND('',#40185,.T.); #21993=FACE_OUTER_BOUND('',#40187,.T.); #21994=FACE_OUTER_BOUND('',#40189,.T.); #21995=FACE_OUTER_BOUND('',#40190,.T.); #21996=FACE_OUTER_BOUND('',#40191,.T.); #21997=FACE_OUTER_BOUND('',#40193,.T.); #21998=FACE_OUTER_BOUND('',#40195,.T.); #21999=FACE_OUTER_BOUND('',#40196,.T.); #22000=FACE_OUTER_BOUND('',#40197,.T.); #22001=FACE_OUTER_BOUND('',#40199,.T.); #22002=FACE_OUTER_BOUND('',#40201,.T.); #22003=FACE_OUTER_BOUND('',#40202,.T.); #22004=FACE_OUTER_BOUND('',#40203,.T.); #22005=FACE_OUTER_BOUND('',#40205,.T.); #22006=FACE_OUTER_BOUND('',#40207,.T.); #22007=FACE_OUTER_BOUND('',#40208,.T.); #22008=FACE_OUTER_BOUND('',#40209,.T.); #22009=FACE_OUTER_BOUND('',#40211,.T.); #22010=FACE_OUTER_BOUND('',#40213,.T.); #22011=FACE_OUTER_BOUND('',#40214,.T.); #22012=FACE_OUTER_BOUND('',#40215,.T.); #22013=FACE_OUTER_BOUND('',#40217,.T.); #22014=FACE_OUTER_BOUND('',#40219,.T.); #22015=FACE_OUTER_BOUND('',#40220,.T.); #22016=FACE_OUTER_BOUND('',#40221,.T.); #22017=FACE_OUTER_BOUND('',#40223,.T.); #22018=FACE_OUTER_BOUND('',#40225,.T.); #22019=FACE_OUTER_BOUND('',#40226,.T.); #22020=FACE_OUTER_BOUND('',#40227,.T.); #22021=FACE_OUTER_BOUND('',#40229,.T.); #22022=FACE_OUTER_BOUND('',#40231,.T.); #22023=FACE_OUTER_BOUND('',#40232,.T.); #22024=FACE_OUTER_BOUND('',#40233,.T.); #22025=FACE_OUTER_BOUND('',#40235,.T.); #22026=FACE_OUTER_BOUND('',#40237,.T.); #22027=FACE_OUTER_BOUND('',#40238,.T.); #22028=FACE_OUTER_BOUND('',#40239,.T.); #22029=FACE_OUTER_BOUND('',#40241,.T.); #22030=FACE_OUTER_BOUND('',#40243,.T.); #22031=FACE_OUTER_BOUND('',#40244,.T.); #22032=FACE_OUTER_BOUND('',#40245,.T.); #22033=FACE_OUTER_BOUND('',#40247,.T.); #22034=FACE_OUTER_BOUND('',#40249,.T.); #22035=FACE_OUTER_BOUND('',#40250,.T.); #22036=FACE_OUTER_BOUND('',#40251,.T.); #22037=FACE_OUTER_BOUND('',#40253,.T.); #22038=FACE_OUTER_BOUND('',#40255,.T.); #22039=FACE_OUTER_BOUND('',#40256,.T.); #22040=FACE_OUTER_BOUND('',#40257,.T.); #22041=FACE_OUTER_BOUND('',#40259,.T.); #22042=FACE_OUTER_BOUND('',#40261,.T.); #22043=FACE_OUTER_BOUND('',#40262,.T.); #22044=FACE_OUTER_BOUND('',#40263,.T.); #22045=FACE_OUTER_BOUND('',#40265,.T.); #22046=FACE_OUTER_BOUND('',#40267,.T.); #22047=FACE_OUTER_BOUND('',#40268,.T.); #22048=FACE_OUTER_BOUND('',#40269,.T.); #22049=FACE_OUTER_BOUND('',#40271,.T.); #22050=FACE_OUTER_BOUND('',#40273,.T.); #22051=FACE_OUTER_BOUND('',#40274,.T.); #22052=FACE_OUTER_BOUND('',#40275,.T.); #22053=FACE_OUTER_BOUND('',#40277,.T.); #22054=FACE_OUTER_BOUND('',#40279,.T.); #22055=FACE_OUTER_BOUND('',#40280,.T.); #22056=FACE_OUTER_BOUND('',#40281,.T.); #22057=FACE_OUTER_BOUND('',#40283,.T.); #22058=FACE_OUTER_BOUND('',#40285,.T.); #22059=FACE_OUTER_BOUND('',#40286,.T.); #22060=FACE_OUTER_BOUND('',#40287,.T.); #22061=FACE_OUTER_BOUND('',#40289,.T.); #22062=FACE_OUTER_BOUND('',#40291,.T.); #22063=FACE_OUTER_BOUND('',#40292,.T.); #22064=FACE_OUTER_BOUND('',#40293,.T.); #22065=FACE_OUTER_BOUND('',#40295,.T.); #22066=FACE_OUTER_BOUND('',#40297,.T.); #22067=FACE_OUTER_BOUND('',#40298,.T.); #22068=FACE_OUTER_BOUND('',#40299,.T.); #22069=FACE_OUTER_BOUND('',#40301,.T.); #22070=FACE_OUTER_BOUND('',#40303,.T.); #22071=FACE_OUTER_BOUND('',#40304,.T.); #22072=FACE_OUTER_BOUND('',#40305,.T.); #22073=FACE_OUTER_BOUND('',#40307,.T.); #22074=FACE_OUTER_BOUND('',#40309,.T.); #22075=FACE_OUTER_BOUND('',#40310,.T.); #22076=FACE_OUTER_BOUND('',#40311,.T.); #22077=FACE_OUTER_BOUND('',#40313,.T.); #22078=FACE_OUTER_BOUND('',#40315,.T.); #22079=FACE_OUTER_BOUND('',#40316,.T.); #22080=FACE_OUTER_BOUND('',#40317,.T.); #22081=FACE_OUTER_BOUND('',#40319,.T.); #22082=FACE_OUTER_BOUND('',#40321,.T.); #22083=FACE_OUTER_BOUND('',#40322,.T.); #22084=FACE_OUTER_BOUND('',#40323,.T.); #22085=FACE_OUTER_BOUND('',#40325,.T.); #22086=FACE_OUTER_BOUND('',#40327,.T.); #22087=FACE_OUTER_BOUND('',#40328,.T.); #22088=FACE_OUTER_BOUND('',#40329,.T.); #22089=FACE_OUTER_BOUND('',#40331,.T.); #22090=FACE_OUTER_BOUND('',#40333,.T.); #22091=FACE_OUTER_BOUND('',#40334,.T.); #22092=FACE_OUTER_BOUND('',#40335,.T.); #22093=FACE_OUTER_BOUND('',#40337,.T.); #22094=FACE_OUTER_BOUND('',#40339,.T.); #22095=FACE_OUTER_BOUND('',#40340,.T.); #22096=FACE_OUTER_BOUND('',#40341,.T.); #22097=FACE_OUTER_BOUND('',#40343,.T.); #22098=FACE_OUTER_BOUND('',#40345,.T.); #22099=FACE_OUTER_BOUND('',#40346,.T.); #22100=FACE_OUTER_BOUND('',#40347,.T.); #22101=FACE_OUTER_BOUND('',#40349,.T.); #22102=FACE_OUTER_BOUND('',#40351,.T.); #22103=FACE_OUTER_BOUND('',#40352,.T.); #22104=FACE_OUTER_BOUND('',#40353,.T.); #22105=FACE_OUTER_BOUND('',#40355,.T.); #22106=FACE_OUTER_BOUND('',#40357,.T.); #22107=FACE_OUTER_BOUND('',#40358,.T.); #22108=FACE_OUTER_BOUND('',#40359,.T.); #22109=FACE_OUTER_BOUND('',#40361,.T.); #22110=FACE_OUTER_BOUND('',#40363,.T.); #22111=FACE_OUTER_BOUND('',#40364,.T.); #22112=FACE_OUTER_BOUND('',#40365,.T.); #22113=FACE_OUTER_BOUND('',#40367,.T.); #22114=FACE_OUTER_BOUND('',#40369,.T.); #22115=FACE_OUTER_BOUND('',#40370,.T.); #22116=FACE_OUTER_BOUND('',#40371,.T.); #22117=FACE_OUTER_BOUND('',#40373,.T.); #22118=FACE_OUTER_BOUND('',#40375,.T.); #22119=FACE_OUTER_BOUND('',#40376,.T.); #22120=FACE_OUTER_BOUND('',#40377,.T.); #22121=FACE_OUTER_BOUND('',#40379,.T.); #22122=FACE_OUTER_BOUND('',#40381,.T.); #22123=FACE_OUTER_BOUND('',#40382,.T.); #22124=FACE_OUTER_BOUND('',#40383,.T.); #22125=FACE_OUTER_BOUND('',#40385,.T.); #22126=FACE_OUTER_BOUND('',#40387,.T.); #22127=FACE_OUTER_BOUND('',#40388,.T.); #22128=FACE_OUTER_BOUND('',#40389,.T.); #22129=FACE_OUTER_BOUND('',#40391,.T.); #22130=FACE_OUTER_BOUND('',#40393,.T.); #22131=FACE_OUTER_BOUND('',#40394,.T.); #22132=FACE_OUTER_BOUND('',#40395,.T.); #22133=FACE_OUTER_BOUND('',#40397,.T.); #22134=FACE_OUTER_BOUND('',#40399,.T.); #22135=FACE_OUTER_BOUND('',#40400,.T.); #22136=FACE_OUTER_BOUND('',#40401,.T.); #22137=FACE_OUTER_BOUND('',#40403,.T.); #22138=FACE_OUTER_BOUND('',#40405,.T.); #22139=FACE_OUTER_BOUND('',#40406,.T.); #22140=FACE_OUTER_BOUND('',#40407,.T.); #22141=FACE_OUTER_BOUND('',#40409,.T.); #22142=FACE_OUTER_BOUND('',#40411,.T.); #22143=FACE_OUTER_BOUND('',#40412,.T.); #22144=FACE_OUTER_BOUND('',#40413,.T.); #22145=FACE_OUTER_BOUND('',#40415,.T.); #22146=FACE_OUTER_BOUND('',#40417,.T.); #22147=FACE_OUTER_BOUND('',#40418,.T.); #22148=FACE_OUTER_BOUND('',#40419,.T.); #22149=FACE_OUTER_BOUND('',#40421,.T.); #22150=FACE_OUTER_BOUND('',#40423,.T.); #22151=FACE_OUTER_BOUND('',#40424,.T.); #22152=FACE_OUTER_BOUND('',#40425,.T.); #22153=FACE_OUTER_BOUND('',#40427,.T.); #22154=FACE_OUTER_BOUND('',#40429,.T.); #22155=FACE_OUTER_BOUND('',#40430,.T.); #22156=FACE_OUTER_BOUND('',#40431,.T.); #22157=FACE_OUTER_BOUND('',#40433,.T.); #22158=FACE_OUTER_BOUND('',#40435,.T.); #22159=FACE_OUTER_BOUND('',#40436,.T.); #22160=FACE_OUTER_BOUND('',#40437,.T.); #22161=FACE_OUTER_BOUND('',#40439,.T.); #22162=FACE_OUTER_BOUND('',#40441,.T.); #22163=FACE_OUTER_BOUND('',#40442,.T.); #22164=FACE_OUTER_BOUND('',#40443,.T.); #22165=FACE_OUTER_BOUND('',#40445,.T.); #22166=FACE_OUTER_BOUND('',#40447,.T.); #22167=FACE_OUTER_BOUND('',#40448,.T.); #22168=FACE_OUTER_BOUND('',#40449,.T.); #22169=FACE_OUTER_BOUND('',#40451,.T.); #22170=FACE_OUTER_BOUND('',#40453,.T.); #22171=FACE_OUTER_BOUND('',#40454,.T.); #22172=FACE_OUTER_BOUND('',#40455,.T.); #22173=FACE_OUTER_BOUND('',#40457,.T.); #22174=FACE_OUTER_BOUND('',#40459,.T.); #22175=FACE_OUTER_BOUND('',#40460,.T.); #22176=FACE_OUTER_BOUND('',#40461,.T.); #22177=FACE_OUTER_BOUND('',#40463,.T.); #22178=FACE_OUTER_BOUND('',#40465,.T.); #22179=FACE_OUTER_BOUND('',#40466,.T.); #22180=FACE_OUTER_BOUND('',#40467,.T.); #22181=FACE_OUTER_BOUND('',#40469,.T.); #22182=FACE_OUTER_BOUND('',#40471,.T.); #22183=FACE_OUTER_BOUND('',#40472,.T.); #22184=FACE_OUTER_BOUND('',#40473,.T.); #22185=FACE_OUTER_BOUND('',#40475,.T.); #22186=FACE_OUTER_BOUND('',#40477,.T.); #22187=FACE_OUTER_BOUND('',#40478,.T.); #22188=FACE_OUTER_BOUND('',#40479,.T.); #22189=FACE_OUTER_BOUND('',#40481,.T.); #22190=FACE_OUTER_BOUND('',#40483,.T.); #22191=FACE_OUTER_BOUND('',#40484,.T.); #22192=FACE_OUTER_BOUND('',#40485,.T.); #22193=FACE_OUTER_BOUND('',#40487,.T.); #22194=FACE_OUTER_BOUND('',#40489,.T.); #22195=FACE_OUTER_BOUND('',#40490,.T.); #22196=FACE_OUTER_BOUND('',#40491,.T.); #22197=FACE_OUTER_BOUND('',#40493,.T.); #22198=FACE_OUTER_BOUND('',#40495,.T.); #22199=FACE_OUTER_BOUND('',#40496,.T.); #22200=FACE_OUTER_BOUND('',#40497,.T.); #22201=FACE_OUTER_BOUND('',#40499,.T.); #22202=FACE_OUTER_BOUND('',#40501,.T.); #22203=FACE_OUTER_BOUND('',#40502,.T.); #22204=FACE_OUTER_BOUND('',#40503,.T.); #22205=FACE_OUTER_BOUND('',#40505,.T.); #22206=FACE_OUTER_BOUND('',#40507,.T.); #22207=FACE_OUTER_BOUND('',#40508,.T.); #22208=FACE_OUTER_BOUND('',#40509,.T.); #22209=FACE_OUTER_BOUND('',#40511,.T.); #22210=FACE_OUTER_BOUND('',#40513,.T.); #22211=FACE_OUTER_BOUND('',#40514,.T.); #22212=FACE_OUTER_BOUND('',#40515,.T.); #22213=FACE_OUTER_BOUND('',#40517,.T.); #22214=FACE_OUTER_BOUND('',#40519,.T.); #22215=FACE_OUTER_BOUND('',#40520,.T.); #22216=FACE_OUTER_BOUND('',#40521,.T.); #22217=FACE_OUTER_BOUND('',#40523,.T.); #22218=FACE_OUTER_BOUND('',#40525,.T.); #22219=FACE_OUTER_BOUND('',#40526,.T.); #22220=FACE_OUTER_BOUND('',#40527,.T.); #22221=FACE_OUTER_BOUND('',#40529,.T.); #22222=FACE_OUTER_BOUND('',#40531,.T.); #22223=FACE_OUTER_BOUND('',#40532,.T.); #22224=FACE_OUTER_BOUND('',#40533,.T.); #22225=FACE_OUTER_BOUND('',#40535,.T.); #22226=FACE_OUTER_BOUND('',#40537,.T.); #22227=FACE_OUTER_BOUND('',#40538,.T.); #22228=FACE_OUTER_BOUND('',#40539,.T.); #22229=FACE_OUTER_BOUND('',#40541,.T.); #22230=FACE_OUTER_BOUND('',#40543,.T.); #22231=FACE_OUTER_BOUND('',#40544,.T.); #22232=FACE_OUTER_BOUND('',#40545,.T.); #22233=FACE_OUTER_BOUND('',#40547,.T.); #22234=FACE_OUTER_BOUND('',#40549,.T.); #22235=FACE_OUTER_BOUND('',#40550,.T.); #22236=FACE_OUTER_BOUND('',#40551,.T.); #22237=FACE_OUTER_BOUND('',#40553,.T.); #22238=FACE_OUTER_BOUND('',#40555,.T.); #22239=FACE_OUTER_BOUND('',#40556,.T.); #22240=FACE_OUTER_BOUND('',#40557,.T.); #22241=FACE_OUTER_BOUND('',#40559,.T.); #22242=FACE_OUTER_BOUND('',#40561,.T.); #22243=FACE_OUTER_BOUND('',#40562,.T.); #22244=FACE_OUTER_BOUND('',#40563,.T.); #22245=FACE_OUTER_BOUND('',#40565,.T.); #22246=FACE_OUTER_BOUND('',#40567,.T.); #22247=FACE_OUTER_BOUND('',#40568,.T.); #22248=FACE_OUTER_BOUND('',#40569,.T.); #22249=FACE_OUTER_BOUND('',#40570,.T.); #22250=FACE_OUTER_BOUND('',#40571,.T.); #22251=FACE_OUTER_BOUND('',#40573,.T.); #22252=FACE_OUTER_BOUND('',#40575,.T.); #22253=FACE_OUTER_BOUND('',#40576,.T.); #22254=FACE_OUTER_BOUND('',#40577,.T.); #22255=FACE_OUTER_BOUND('',#40578,.T.); #22256=FACE_OUTER_BOUND('',#40579,.T.); #22257=FACE_OUTER_BOUND('',#40580,.T.); #22258=FACE_OUTER_BOUND('',#40581,.T.); #22259=FACE_OUTER_BOUND('',#40582,.T.); #22260=FACE_OUTER_BOUND('',#40583,.T.); #22261=FACE_OUTER_BOUND('',#40584,.T.); #22262=FACE_OUTER_BOUND('',#40585,.T.); #22263=FACE_OUTER_BOUND('',#40586,.T.); #22264=FACE_OUTER_BOUND('',#40587,.T.); #22265=FACE_OUTER_BOUND('',#40588,.T.); #22266=FACE_OUTER_BOUND('',#40589,.T.); #22267=FACE_OUTER_BOUND('',#40590,.T.); #22268=FACE_OUTER_BOUND('',#40591,.T.); #22269=FACE_OUTER_BOUND('',#40592,.T.); #22270=FACE_OUTER_BOUND('',#40593,.T.); #22271=FACE_OUTER_BOUND('',#40594,.T.); #22272=FACE_OUTER_BOUND('',#40595,.T.); #22273=FACE_OUTER_BOUND('',#40596,.T.); #22274=FACE_OUTER_BOUND('',#40597,.T.); #22275=FACE_OUTER_BOUND('',#40598,.T.); #22276=FACE_OUTER_BOUND('',#40599,.T.); #22277=FACE_OUTER_BOUND('',#40600,.T.); #22278=FACE_OUTER_BOUND('',#40601,.T.); #22279=FACE_OUTER_BOUND('',#40602,.T.); #22280=FACE_OUTER_BOUND('',#40603,.T.); #22281=FACE_OUTER_BOUND('',#40604,.T.); #22282=FACE_OUTER_BOUND('',#40605,.T.); #22283=FACE_OUTER_BOUND('',#40606,.T.); #22284=FACE_OUTER_BOUND('',#40607,.T.); #22285=FACE_OUTER_BOUND('',#40608,.T.); #22286=FACE_OUTER_BOUND('',#40609,.T.); #22287=FACE_OUTER_BOUND('',#40610,.T.); #22288=FACE_OUTER_BOUND('',#40611,.T.); #22289=FACE_OUTER_BOUND('',#40612,.T.); #22290=FACE_OUTER_BOUND('',#40613,.T.); #22291=FACE_OUTER_BOUND('',#40614,.T.); #22292=FACE_OUTER_BOUND('',#40615,.T.); #22293=FACE_OUTER_BOUND('',#40616,.T.); #22294=FACE_OUTER_BOUND('',#40617,.T.); #22295=FACE_OUTER_BOUND('',#40618,.T.); #22296=FACE_OUTER_BOUND('',#40619,.T.); #22297=FACE_OUTER_BOUND('',#40620,.T.); #22298=FACE_OUTER_BOUND('',#40621,.T.); #22299=FACE_OUTER_BOUND('',#40622,.T.); #22300=FACE_OUTER_BOUND('',#40623,.T.); #22301=FACE_OUTER_BOUND('',#40624,.T.); #22302=FACE_OUTER_BOUND('',#40625,.T.); #22303=FACE_OUTER_BOUND('',#40626,.T.); #22304=FACE_OUTER_BOUND('',#40627,.T.); #22305=FACE_OUTER_BOUND('',#40628,.T.); #22306=FACE_OUTER_BOUND('',#40629,.T.); #22307=FACE_OUTER_BOUND('',#40630,.T.); #22308=FACE_OUTER_BOUND('',#40631,.T.); #22309=FACE_OUTER_BOUND('',#40632,.T.); #22310=FACE_OUTER_BOUND('',#40633,.T.); #22311=FACE_OUTER_BOUND('',#40634,.T.); #22312=FACE_OUTER_BOUND('',#40635,.T.); #22313=FACE_OUTER_BOUND('',#40636,.T.); #22314=FACE_OUTER_BOUND('',#40637,.T.); #22315=FACE_OUTER_BOUND('',#40638,.T.); #22316=FACE_OUTER_BOUND('',#40639,.T.); #22317=FACE_OUTER_BOUND('',#40641,.T.); #22318=FACE_OUTER_BOUND('',#40643,.T.); #22319=FACE_OUTER_BOUND('',#40644,.T.); #22320=FACE_OUTER_BOUND('',#40645,.T.); #22321=FACE_OUTER_BOUND('',#40646,.T.); #22322=FACE_OUTER_BOUND('',#40647,.T.); #22323=FACE_OUTER_BOUND('',#40648,.T.); #22324=FACE_OUTER_BOUND('',#40649,.T.); #22325=FACE_OUTER_BOUND('',#40650,.T.); #22326=FACE_OUTER_BOUND('',#40651,.T.); #22327=FACE_OUTER_BOUND('',#40652,.T.); #22328=FACE_OUTER_BOUND('',#40653,.T.); #22329=FACE_OUTER_BOUND('',#40656,.T.); #22330=FACE_OUTER_BOUND('',#40659,.T.); #22331=FACE_OUTER_BOUND('',#40660,.T.); #22332=FACE_OUTER_BOUND('',#40661,.T.); #22333=FACE_OUTER_BOUND('',#40662,.T.); #22334=FACE_OUTER_BOUND('',#40663,.T.); #22335=FACE_OUTER_BOUND('',#40665,.T.); #22336=FACE_OUTER_BOUND('',#40667,.T.); #22337=FACE_OUTER_BOUND('',#40668,.T.); #22338=FACE_OUTER_BOUND('',#40669,.T.); #22339=FACE_OUTER_BOUND('',#40670,.T.); #22340=FACE_OUTER_BOUND('',#40671,.T.); #22341=FACE_OUTER_BOUND('',#40673,.T.); #22342=FACE_OUTER_BOUND('',#40675,.T.); #22343=FACE_OUTER_BOUND('',#40676,.T.); #22344=FACE_OUTER_BOUND('',#40677,.T.); #22345=FACE_OUTER_BOUND('',#40678,.T.); #22346=FACE_OUTER_BOUND('',#40679,.T.); #22347=FACE_OUTER_BOUND('',#40680,.T.); #22348=FACE_OUTER_BOUND('',#40681,.T.); #22349=FACE_OUTER_BOUND('',#40682,.T.); #22350=FACE_OUTER_BOUND('',#40683,.T.); #22351=FACE_OUTER_BOUND('',#40684,.T.); #22352=FACE_OUTER_BOUND('',#40685,.T.); #22353=FACE_OUTER_BOUND('',#40686,.T.); #22354=FACE_OUTER_BOUND('',#40687,.T.); #22355=FACE_OUTER_BOUND('',#40688,.T.); #22356=FACE_OUTER_BOUND('',#40689,.T.); #22357=FACE_OUTER_BOUND('',#40691,.T.); #22358=FACE_OUTER_BOUND('',#40693,.T.); #22359=FACE_OUTER_BOUND('',#40694,.T.); #22360=FACE_OUTER_BOUND('',#40695,.T.); #22361=FACE_OUTER_BOUND('',#40696,.T.); #22362=FACE_OUTER_BOUND('',#40697,.T.); #22363=FACE_OUTER_BOUND('',#40698,.T.); #22364=FACE_OUTER_BOUND('',#40699,.T.); #22365=FACE_OUTER_BOUND('',#40700,.T.); #22366=FACE_OUTER_BOUND('',#40701,.T.); #22367=FACE_OUTER_BOUND('',#40702,.T.); #22368=FACE_OUTER_BOUND('',#40703,.T.); #22369=FACE_OUTER_BOUND('',#40704,.T.); #22370=FACE_OUTER_BOUND('',#40706,.T.); #22371=FACE_OUTER_BOUND('',#40708,.T.); #22372=FACE_OUTER_BOUND('',#40709,.T.); #22373=FACE_OUTER_BOUND('',#40710,.T.); #22374=FACE_OUTER_BOUND('',#40711,.T.); #22375=FACE_OUTER_BOUND('',#40712,.T.); #22376=FACE_OUTER_BOUND('',#40713,.T.); #22377=FACE_OUTER_BOUND('',#40714,.T.); #22378=FACE_OUTER_BOUND('',#40715,.T.); #22379=FACE_OUTER_BOUND('',#40716,.T.); #22380=FACE_OUTER_BOUND('',#40717,.T.); #22381=FACE_OUTER_BOUND('',#40718,.T.); #22382=FACE_OUTER_BOUND('',#40719,.T.); #22383=FACE_OUTER_BOUND('',#40720,.T.); #22384=FACE_OUTER_BOUND('',#40721,.T.); #22385=FACE_OUTER_BOUND('',#40722,.T.); #22386=FACE_OUTER_BOUND('',#40723,.T.); #22387=FACE_OUTER_BOUND('',#40724,.T.); #22388=FACE_OUTER_BOUND('',#40725,.T.); #22389=FACE_OUTER_BOUND('',#40726,.T.); #22390=FACE_OUTER_BOUND('',#40727,.T.); #22391=FACE_OUTER_BOUND('',#40728,.T.); #22392=FACE_OUTER_BOUND('',#40729,.T.); #22393=FACE_OUTER_BOUND('',#40730,.T.); #22394=FACE_OUTER_BOUND('',#40731,.T.); #22395=FACE_OUTER_BOUND('',#40732,.T.); #22396=FACE_OUTER_BOUND('',#40733,.T.); #22397=FACE_OUTER_BOUND('',#40734,.T.); #22398=FACE_OUTER_BOUND('',#40735,.T.); #22399=FACE_OUTER_BOUND('',#40736,.T.); #22400=FACE_OUTER_BOUND('',#40737,.T.); #22401=FACE_OUTER_BOUND('',#40738,.T.); #22402=FACE_OUTER_BOUND('',#40739,.T.); #22403=FACE_OUTER_BOUND('',#40740,.T.); #22404=FACE_OUTER_BOUND('',#40741,.T.); #22405=FACE_OUTER_BOUND('',#40742,.T.); #22406=FACE_OUTER_BOUND('',#40743,.T.); #22407=FACE_OUTER_BOUND('',#40744,.T.); #22408=FACE_OUTER_BOUND('',#40745,.T.); #22409=FACE_OUTER_BOUND('',#40746,.T.); #22410=FACE_OUTER_BOUND('',#40747,.T.); #22411=FACE_OUTER_BOUND('',#40748,.T.); #22412=FACE_OUTER_BOUND('',#40749,.T.); #22413=FACE_OUTER_BOUND('',#40750,.T.); #22414=FACE_OUTER_BOUND('',#40751,.T.); #22415=FACE_OUTER_BOUND('',#40752,.T.); #22416=FACE_OUTER_BOUND('',#40753,.T.); #22417=FACE_OUTER_BOUND('',#40754,.T.); #22418=FACE_OUTER_BOUND('',#40755,.T.); #22419=FACE_OUTER_BOUND('',#40756,.T.); #22420=FACE_OUTER_BOUND('',#40757,.T.); #22421=FACE_OUTER_BOUND('',#40758,.T.); #22422=FACE_OUTER_BOUND('',#40759,.T.); #22423=FACE_OUTER_BOUND('',#40760,.T.); #22424=FACE_OUTER_BOUND('',#40761,.T.); #22425=FACE_OUTER_BOUND('',#40762,.T.); #22426=FACE_OUTER_BOUND('',#40763,.T.); #22427=FACE_OUTER_BOUND('',#40764,.T.); #22428=FACE_OUTER_BOUND('',#40765,.T.); #22429=FACE_OUTER_BOUND('',#40766,.T.); #22430=FACE_OUTER_BOUND('',#40767,.T.); #22431=FACE_OUTER_BOUND('',#40768,.T.); #22432=FACE_OUTER_BOUND('',#40769,.T.); #22433=FACE_OUTER_BOUND('',#40770,.T.); #22434=FACE_OUTER_BOUND('',#40771,.T.); #22435=FACE_OUTER_BOUND('',#40772,.T.); #22436=FACE_OUTER_BOUND('',#40773,.T.); #22437=FACE_OUTER_BOUND('',#40774,.T.); #22438=FACE_OUTER_BOUND('',#40775,.T.); #22439=FACE_OUTER_BOUND('',#40776,.T.); #22440=FACE_OUTER_BOUND('',#40777,.T.); #22441=FACE_OUTER_BOUND('',#40778,.T.); #22442=FACE_OUTER_BOUND('',#40779,.T.); #22443=FACE_OUTER_BOUND('',#40780,.T.); #22444=FACE_OUTER_BOUND('',#40781,.T.); #22445=FACE_OUTER_BOUND('',#40782,.T.); #22446=FACE_OUTER_BOUND('',#40783,.T.); #22447=FACE_OUTER_BOUND('',#40784,.T.); #22448=FACE_OUTER_BOUND('',#40785,.T.); #22449=FACE_OUTER_BOUND('',#40786,.T.); #22450=FACE_OUTER_BOUND('',#40787,.T.); #22451=FACE_OUTER_BOUND('',#40788,.T.); #22452=FACE_OUTER_BOUND('',#40789,.T.); #22453=FACE_OUTER_BOUND('',#40790,.T.); #22454=FACE_OUTER_BOUND('',#40791,.T.); #22455=FACE_OUTER_BOUND('',#40792,.T.); #22456=FACE_OUTER_BOUND('',#40793,.T.); #22457=FACE_OUTER_BOUND('',#40794,.T.); #22458=FACE_OUTER_BOUND('',#40795,.T.); #22459=FACE_OUTER_BOUND('',#40796,.T.); #22460=FACE_OUTER_BOUND('',#40797,.T.); #22461=FACE_OUTER_BOUND('',#40798,.T.); #22462=FACE_OUTER_BOUND('',#40799,.T.); #22463=FACE_OUTER_BOUND('',#40800,.T.); #22464=FACE_OUTER_BOUND('',#40801,.T.); #22465=FACE_OUTER_BOUND('',#40802,.T.); #22466=FACE_OUTER_BOUND('',#40803,.T.); #22467=FACE_OUTER_BOUND('',#40804,.T.); #22468=FACE_OUTER_BOUND('',#40805,.T.); #22469=FACE_OUTER_BOUND('',#40806,.T.); #22470=FACE_OUTER_BOUND('',#40807,.T.); #22471=FACE_OUTER_BOUND('',#40808,.T.); #22472=FACE_OUTER_BOUND('',#40809,.T.); #22473=FACE_OUTER_BOUND('',#40810,.T.); #22474=FACE_OUTER_BOUND('',#40811,.T.); #22475=FACE_OUTER_BOUND('',#40812,.T.); #22476=FACE_OUTER_BOUND('',#40813,.T.); #22477=FACE_OUTER_BOUND('',#40814,.T.); #22478=FACE_OUTER_BOUND('',#40815,.T.); #22479=FACE_OUTER_BOUND('',#40816,.T.); #22480=FACE_OUTER_BOUND('',#40817,.T.); #22481=FACE_OUTER_BOUND('',#40818,.T.); #22482=FACE_OUTER_BOUND('',#40819,.T.); #22483=FACE_OUTER_BOUND('',#40820,.T.); #22484=FACE_OUTER_BOUND('',#40821,.T.); #22485=FACE_OUTER_BOUND('',#40822,.T.); #22486=FACE_OUTER_BOUND('',#40823,.T.); #22487=FACE_OUTER_BOUND('',#40824,.T.); #22488=FACE_OUTER_BOUND('',#40825,.T.); #22489=FACE_OUTER_BOUND('',#40826,.T.); #22490=FACE_OUTER_BOUND('',#40827,.T.); #22491=FACE_OUTER_BOUND('',#40828,.T.); #22492=FACE_OUTER_BOUND('',#40829,.T.); #22493=FACE_OUTER_BOUND('',#40831,.T.); #22494=FACE_OUTER_BOUND('',#40833,.T.); #22495=FACE_OUTER_BOUND('',#40834,.T.); #22496=FACE_OUTER_BOUND('',#40835,.T.); #22497=FACE_OUTER_BOUND('',#40836,.T.); #22498=FACE_OUTER_BOUND('',#40837,.T.); #22499=FACE_OUTER_BOUND('',#40838,.T.); #22500=FACE_OUTER_BOUND('',#40839,.T.); #22501=FACE_OUTER_BOUND('',#40840,.T.); #22502=FACE_OUTER_BOUND('',#40841,.T.); #22503=FACE_OUTER_BOUND('',#40842,.T.); #22504=FACE_OUTER_BOUND('',#40843,.T.); #22505=FACE_OUTER_BOUND('',#40844,.T.); #22506=FACE_OUTER_BOUND('',#40845,.T.); #22507=FACE_OUTER_BOUND('',#40846,.T.); #22508=FACE_OUTER_BOUND('',#40847,.T.); #22509=FACE_OUTER_BOUND('',#40848,.T.); #22510=FACE_OUTER_BOUND('',#40849,.T.); #22511=FACE_OUTER_BOUND('',#40850,.T.); #22512=FACE_OUTER_BOUND('',#40852,.T.); #22513=FACE_OUTER_BOUND('',#40854,.T.); #22514=FACE_OUTER_BOUND('',#40855,.T.); #22515=FACE_OUTER_BOUND('',#40856,.T.); #22516=FACE_OUTER_BOUND('',#40857,.T.); #22517=FACE_OUTER_BOUND('',#40858,.T.); #22518=FACE_OUTER_BOUND('',#40859,.T.); #22519=FACE_OUTER_BOUND('',#40860,.T.); #22520=FACE_OUTER_BOUND('',#40861,.T.); #22521=FACE_OUTER_BOUND('',#40862,.T.); #22522=FACE_OUTER_BOUND('',#40863,.T.); #22523=FACE_OUTER_BOUND('',#40864,.T.); #22524=FACE_OUTER_BOUND('',#40865,.T.); #22525=FACE_OUTER_BOUND('',#40866,.T.); #22526=FACE_OUTER_BOUND('',#40867,.T.); #22527=FACE_OUTER_BOUND('',#40869,.T.); #22528=FACE_OUTER_BOUND('',#40871,.T.); #22529=FACE_OUTER_BOUND('',#40872,.T.); #22530=FACE_OUTER_BOUND('',#40873,.T.); #22531=FACE_OUTER_BOUND('',#40874,.T.); #22532=FACE_OUTER_BOUND('',#40875,.T.); #22533=FACE_OUTER_BOUND('',#40876,.T.); #22534=FACE_OUTER_BOUND('',#40877,.T.); #22535=FACE_OUTER_BOUND('',#40878,.T.); #22536=FACE_OUTER_BOUND('',#40879,.T.); #22537=FACE_OUTER_BOUND('',#40880,.T.); #22538=FACE_OUTER_BOUND('',#40881,.T.); #22539=FACE_OUTER_BOUND('',#40882,.T.); #22540=FACE_OUTER_BOUND('',#40883,.T.); #22541=FACE_OUTER_BOUND('',#40884,.T.); #22542=FACE_OUTER_BOUND('',#40885,.T.); #22543=FACE_OUTER_BOUND('',#40886,.T.); #22544=FACE_OUTER_BOUND('',#40888,.T.); #22545=FACE_OUTER_BOUND('',#40890,.T.); #22546=FACE_OUTER_BOUND('',#40891,.T.); #22547=FACE_OUTER_BOUND('',#40892,.T.); #22548=FACE_OUTER_BOUND('',#40893,.T.); #22549=FACE_OUTER_BOUND('',#40894,.T.); #22550=FACE_OUTER_BOUND('',#40895,.T.); #22551=FACE_OUTER_BOUND('',#40896,.T.); #22552=FACE_OUTER_BOUND('',#40897,.T.); #22553=FACE_OUTER_BOUND('',#40898,.T.); #22554=FACE_OUTER_BOUND('',#40899,.T.); #22555=FACE_OUTER_BOUND('',#40900,.T.); #22556=FACE_OUTER_BOUND('',#40903,.T.); #22557=FACE_OUTER_BOUND('',#40906,.T.); #22558=FACE_OUTER_BOUND('',#40907,.T.); #22559=FACE_OUTER_BOUND('',#40908,.T.); #22560=FACE_OUTER_BOUND('',#40909,.T.); #22561=FACE_OUTER_BOUND('',#40910,.T.); #22562=FACE_OUTER_BOUND('',#40911,.T.); #22563=FACE_OUTER_BOUND('',#40912,.T.); #22564=FACE_OUTER_BOUND('',#40913,.T.); #22565=FACE_OUTER_BOUND('',#40914,.T.); #22566=FACE_OUTER_BOUND('',#40915,.T.); #22567=FACE_OUTER_BOUND('',#40916,.T.); #22568=FACE_OUTER_BOUND('',#40917,.T.); #22569=FACE_OUTER_BOUND('',#40918,.T.); #22570=FACE_OUTER_BOUND('',#40919,.T.); #22571=FACE_OUTER_BOUND('',#40920,.T.); #22572=FACE_OUTER_BOUND('',#40921,.T.); #22573=FACE_OUTER_BOUND('',#40922,.T.); #22574=FACE_OUTER_BOUND('',#40923,.T.); #22575=FACE_OUTER_BOUND('',#40925,.T.); #22576=FACE_OUTER_BOUND('',#40927,.T.); #22577=FACE_OUTER_BOUND('',#40928,.T.); #22578=FACE_OUTER_BOUND('',#40929,.T.); #22579=FACE_OUTER_BOUND('',#40930,.T.); #22580=FACE_OUTER_BOUND('',#40931,.T.); #22581=FACE_OUTER_BOUND('',#40932,.T.); #22582=FACE_OUTER_BOUND('',#40933,.T.); #22583=FACE_OUTER_BOUND('',#40934,.T.); #22584=FACE_OUTER_BOUND('',#40935,.T.); #22585=FACE_OUTER_BOUND('',#40936,.T.); #22586=FACE_OUTER_BOUND('',#40937,.T.); #22587=FACE_OUTER_BOUND('',#40938,.T.); #22588=FACE_OUTER_BOUND('',#40939,.T.); #22589=FACE_OUTER_BOUND('',#40940,.T.); #22590=FACE_OUTER_BOUND('',#40941,.T.); #22591=FACE_OUTER_BOUND('',#40942,.T.); #22592=FACE_OUTER_BOUND('',#40943,.T.); #22593=FACE_OUTER_BOUND('',#40944,.T.); #22594=FACE_OUTER_BOUND('',#40945,.T.); #22595=FACE_OUTER_BOUND('',#40946,.T.); #22596=FACE_OUTER_BOUND('',#40947,.T.); #22597=FACE_OUTER_BOUND('',#40948,.T.); #22598=FACE_OUTER_BOUND('',#40949,.T.); #22599=FACE_OUTER_BOUND('',#40950,.T.); #22600=FACE_OUTER_BOUND('',#40952,.T.); #22601=FACE_OUTER_BOUND('',#40954,.T.); #22602=FACE_OUTER_BOUND('',#40955,.T.); #22603=FACE_OUTER_BOUND('',#40956,.T.); #22604=FACE_OUTER_BOUND('',#40957,.T.); #22605=FACE_OUTER_BOUND('',#40958,.T.); #22606=FACE_OUTER_BOUND('',#40959,.T.); #22607=FACE_OUTER_BOUND('',#40960,.T.); #22608=FACE_OUTER_BOUND('',#40961,.T.); #22609=FACE_OUTER_BOUND('',#40962,.T.); #22610=FACE_OUTER_BOUND('',#40963,.T.); #22611=FACE_OUTER_BOUND('',#40964,.T.); #22612=FACE_OUTER_BOUND('',#40965,.T.); #22613=FACE_OUTER_BOUND('',#40966,.T.); #22614=FACE_OUTER_BOUND('',#40967,.T.); #22615=FACE_OUTER_BOUND('',#40968,.T.); #22616=FACE_OUTER_BOUND('',#40969,.T.); #22617=FACE_OUTER_BOUND('',#40970,.T.); #22618=FACE_OUTER_BOUND('',#40971,.T.); #22619=FACE_OUTER_BOUND('',#40972,.T.); #22620=FACE_OUTER_BOUND('',#40973,.T.); #22621=FACE_OUTER_BOUND('',#40974,.T.); #22622=FACE_OUTER_BOUND('',#40975,.T.); #22623=FACE_OUTER_BOUND('',#40976,.T.); #22624=FACE_OUTER_BOUND('',#40977,.T.); #22625=FACE_OUTER_BOUND('',#40978,.T.); #22626=FACE_OUTER_BOUND('',#40979,.T.); #22627=FACE_OUTER_BOUND('',#40980,.T.); #22628=FACE_OUTER_BOUND('',#40981,.T.); #22629=FACE_OUTER_BOUND('',#40982,.T.); #22630=FACE_OUTER_BOUND('',#40983,.T.); #22631=FACE_OUTER_BOUND('',#40984,.T.); #22632=FACE_OUTER_BOUND('',#40985,.T.); #22633=FACE_OUTER_BOUND('',#40986,.T.); #22634=FACE_OUTER_BOUND('',#40987,.T.); #22635=FACE_OUTER_BOUND('',#40988,.T.); #22636=FACE_OUTER_BOUND('',#40989,.T.); #22637=FACE_OUTER_BOUND('',#40990,.T.); #22638=FACE_OUTER_BOUND('',#40991,.T.); #22639=FACE_OUTER_BOUND('',#40992,.T.); #22640=FACE_OUTER_BOUND('',#40993,.T.); #22641=FACE_OUTER_BOUND('',#40994,.T.); #22642=FACE_OUTER_BOUND('',#40995,.T.); #22643=FACE_OUTER_BOUND('',#40996,.T.); #22644=FACE_OUTER_BOUND('',#40997,.T.); #22645=FACE_OUTER_BOUND('',#40998,.T.); #22646=FACE_OUTER_BOUND('',#40999,.T.); #22647=FACE_OUTER_BOUND('',#41000,.T.); #22648=FACE_OUTER_BOUND('',#41001,.T.); #22649=FACE_OUTER_BOUND('',#41002,.T.); #22650=FACE_OUTER_BOUND('',#41003,.T.); #22651=FACE_OUTER_BOUND('',#41004,.T.); #22652=FACE_OUTER_BOUND('',#41005,.T.); #22653=FACE_OUTER_BOUND('',#41006,.T.); #22654=FACE_OUTER_BOUND('',#41007,.T.); #22655=FACE_OUTER_BOUND('',#41009,.T.); #22656=FACE_OUTER_BOUND('',#41011,.T.); #22657=FACE_OUTER_BOUND('',#41012,.T.); #22658=FACE_OUTER_BOUND('',#41013,.T.); #22659=FACE_OUTER_BOUND('',#41014,.T.); #22660=FACE_OUTER_BOUND('',#41015,.T.); #22661=FACE_OUTER_BOUND('',#41016,.T.); #22662=FACE_OUTER_BOUND('',#41017,.T.); #22663=FACE_OUTER_BOUND('',#41018,.T.); #22664=FACE_OUTER_BOUND('',#41019,.T.); #22665=FACE_OUTER_BOUND('',#41020,.T.); #22666=FACE_OUTER_BOUND('',#41021,.T.); #22667=FACE_OUTER_BOUND('',#41022,.T.); #22668=FACE_OUTER_BOUND('',#41023,.T.); #22669=FACE_OUTER_BOUND('',#41025,.T.); #22670=FACE_OUTER_BOUND('',#41027,.T.); #22671=FACE_OUTER_BOUND('',#41028,.T.); #22672=FACE_OUTER_BOUND('',#41029,.T.); #22673=FACE_OUTER_BOUND('',#41030,.T.); #22674=FACE_OUTER_BOUND('',#41031,.T.); #22675=FACE_OUTER_BOUND('',#41032,.T.); #22676=FACE_OUTER_BOUND('',#41033,.T.); #22677=FACE_OUTER_BOUND('',#41034,.T.); #22678=FACE_OUTER_BOUND('',#41035,.T.); #22679=FACE_OUTER_BOUND('',#41036,.T.); #22680=FACE_OUTER_BOUND('',#41037,.T.); #22681=FACE_OUTER_BOUND('',#41038,.T.); #22682=FACE_OUTER_BOUND('',#41039,.T.); #22683=FACE_OUTER_BOUND('',#41040,.T.); #22684=FACE_OUTER_BOUND('',#41041,.T.); #22685=FACE_OUTER_BOUND('',#41042,.T.); #22686=FACE_OUTER_BOUND('',#41043,.T.); #22687=FACE_OUTER_BOUND('',#41044,.T.); #22688=FACE_OUTER_BOUND('',#41045,.T.); #22689=FACE_OUTER_BOUND('',#41046,.T.); #22690=FACE_OUTER_BOUND('',#41047,.T.); #22691=FACE_OUTER_BOUND('',#41048,.T.); #22692=FACE_OUTER_BOUND('',#41049,.T.); #22693=FACE_OUTER_BOUND('',#41050,.T.); #22694=FACE_OUTER_BOUND('',#41051,.T.); #22695=FACE_OUTER_BOUND('',#41052,.T.); #22696=FACE_OUTER_BOUND('',#41053,.T.); #22697=FACE_OUTER_BOUND('',#41054,.T.); #22698=FACE_OUTER_BOUND('',#41055,.T.); #22699=FACE_OUTER_BOUND('',#41056,.T.); #22700=FACE_OUTER_BOUND('',#41057,.T.); #22701=FACE_OUTER_BOUND('',#41058,.T.); #22702=FACE_OUTER_BOUND('',#41059,.T.); #22703=FACE_OUTER_BOUND('',#41060,.T.); #22704=FACE_OUTER_BOUND('',#41061,.T.); #22705=FACE_OUTER_BOUND('',#41062,.T.); #22706=FACE_OUTER_BOUND('',#41063,.T.); #22707=FACE_OUTER_BOUND('',#41064,.T.); #22708=FACE_OUTER_BOUND('',#41065,.T.); #22709=FACE_OUTER_BOUND('',#41066,.T.); #22710=FACE_OUTER_BOUND('',#41067,.T.); #22711=FACE_OUTER_BOUND('',#41068,.T.); #22712=FACE_OUTER_BOUND('',#41069,.T.); #22713=FACE_OUTER_BOUND('',#41070,.T.); #22714=FACE_OUTER_BOUND('',#41071,.T.); #22715=FACE_OUTER_BOUND('',#41072,.T.); #22716=FACE_OUTER_BOUND('',#41073,.T.); #22717=FACE_OUTER_BOUND('',#41074,.T.); #22718=FACE_OUTER_BOUND('',#41075,.T.); #22719=FACE_OUTER_BOUND('',#41076,.T.); #22720=FACE_OUTER_BOUND('',#41077,.T.); #22721=FACE_OUTER_BOUND('',#41078,.T.); #22722=FACE_OUTER_BOUND('',#41079,.T.); #22723=FACE_OUTER_BOUND('',#41080,.T.); #22724=FACE_OUTER_BOUND('',#41081,.T.); #22725=FACE_OUTER_BOUND('',#41082,.T.); #22726=FACE_OUTER_BOUND('',#41083,.T.); #22727=FACE_OUTER_BOUND('',#41084,.T.); #22728=FACE_OUTER_BOUND('',#41085,.T.); #22729=FACE_OUTER_BOUND('',#41086,.T.); #22730=FACE_OUTER_BOUND('',#41087,.T.); #22731=FACE_OUTER_BOUND('',#41088,.T.); #22732=FACE_OUTER_BOUND('',#41089,.T.); #22733=FACE_OUTER_BOUND('',#41090,.T.); #22734=FACE_OUTER_BOUND('',#41091,.T.); #22735=FACE_OUTER_BOUND('',#41092,.T.); #22736=FACE_OUTER_BOUND('',#41093,.T.); #22737=FACE_OUTER_BOUND('',#41094,.T.); #22738=FACE_OUTER_BOUND('',#41095,.T.); #22739=FACE_OUTER_BOUND('',#41096,.T.); #22740=FACE_OUTER_BOUND('',#41097,.T.); #22741=FACE_OUTER_BOUND('',#41098,.T.); #22742=FACE_OUTER_BOUND('',#41099,.T.); #22743=FACE_OUTER_BOUND('',#41100,.T.); #22744=FACE_OUTER_BOUND('',#41101,.T.); #22745=FACE_OUTER_BOUND('',#41102,.T.); #22746=FACE_OUTER_BOUND('',#41103,.T.); #22747=FACE_OUTER_BOUND('',#41104,.T.); #22748=FACE_OUTER_BOUND('',#41105,.T.); #22749=FACE_OUTER_BOUND('',#41106,.T.); #22750=FACE_OUTER_BOUND('',#41107,.T.); #22751=FACE_OUTER_BOUND('',#41108,.T.); #22752=FACE_OUTER_BOUND('',#41109,.T.); #22753=FACE_OUTER_BOUND('',#41110,.T.); #22754=FACE_OUTER_BOUND('',#41111,.T.); #22755=FACE_OUTER_BOUND('',#41112,.T.); #22756=FACE_OUTER_BOUND('',#41113,.T.); #22757=FACE_OUTER_BOUND('',#41114,.T.); #22758=FACE_OUTER_BOUND('',#41115,.T.); #22759=FACE_OUTER_BOUND('',#41116,.T.); #22760=FACE_OUTER_BOUND('',#41117,.T.); #22761=FACE_OUTER_BOUND('',#41118,.T.); #22762=FACE_OUTER_BOUND('',#41119,.T.); #22763=FACE_OUTER_BOUND('',#41120,.T.); #22764=FACE_OUTER_BOUND('',#41121,.T.); #22765=FACE_OUTER_BOUND('',#41122,.T.); #22766=FACE_OUTER_BOUND('',#41123,.T.); #22767=FACE_OUTER_BOUND('',#41124,.T.); #22768=FACE_OUTER_BOUND('',#41125,.T.); #22769=FACE_OUTER_BOUND('',#41126,.T.); #22770=FACE_OUTER_BOUND('',#41127,.T.); #22771=FACE_OUTER_BOUND('',#41128,.T.); #22772=FACE_OUTER_BOUND('',#41129,.T.); #22773=FACE_OUTER_BOUND('',#41130,.T.); #22774=FACE_OUTER_BOUND('',#41131,.T.); #22775=FACE_OUTER_BOUND('',#41132,.T.); #22776=FACE_OUTER_BOUND('',#41133,.T.); #22777=FACE_OUTER_BOUND('',#41134,.T.); #22778=FACE_OUTER_BOUND('',#41135,.T.); #22779=FACE_OUTER_BOUND('',#41136,.T.); #22780=FACE_OUTER_BOUND('',#41137,.T.); #22781=FACE_OUTER_BOUND('',#41138,.T.); #22782=FACE_OUTER_BOUND('',#41139,.T.); #22783=FACE_OUTER_BOUND('',#41140,.T.); #22784=FACE_OUTER_BOUND('',#41141,.T.); #22785=FACE_OUTER_BOUND('',#41142,.T.); #22786=FACE_OUTER_BOUND('',#41143,.T.); #22787=FACE_OUTER_BOUND('',#41144,.T.); #22788=FACE_OUTER_BOUND('',#41145,.T.); #22789=FACE_OUTER_BOUND('',#41146,.T.); #22790=FACE_OUTER_BOUND('',#41147,.T.); #22791=FACE_OUTER_BOUND('',#41148,.T.); #22792=FACE_OUTER_BOUND('',#41149,.T.); #22793=FACE_OUTER_BOUND('',#41150,.T.); #22794=FACE_OUTER_BOUND('',#41151,.T.); #22795=FACE_OUTER_BOUND('',#41153,.T.); #22796=FACE_OUTER_BOUND('',#41155,.T.); #22797=FACE_OUTER_BOUND('',#41156,.T.); #22798=FACE_OUTER_BOUND('',#41157,.T.); #22799=FACE_OUTER_BOUND('',#41158,.T.); #22800=FACE_OUTER_BOUND('',#41159,.T.); #22801=FACE_OUTER_BOUND('',#41160,.T.); #22802=FACE_OUTER_BOUND('',#41161,.T.); #22803=FACE_OUTER_BOUND('',#41162,.T.); #22804=FACE_OUTER_BOUND('',#41163,.T.); #22805=FACE_OUTER_BOUND('',#41164,.T.); #22806=FACE_OUTER_BOUND('',#41165,.T.); #22807=FACE_OUTER_BOUND('',#41166,.T.); #22808=FACE_OUTER_BOUND('',#41167,.T.); #22809=FACE_OUTER_BOUND('',#41168,.T.); #22810=FACE_OUTER_BOUND('',#41169,.T.); #22811=FACE_OUTER_BOUND('',#41170,.T.); #22812=FACE_OUTER_BOUND('',#41171,.T.); #22813=FACE_OUTER_BOUND('',#41172,.T.); #22814=FACE_OUTER_BOUND('',#41174,.T.); #22815=FACE_OUTER_BOUND('',#41176,.T.); #22816=FACE_OUTER_BOUND('',#41177,.T.); #22817=FACE_OUTER_BOUND('',#41178,.T.); #22818=FACE_OUTER_BOUND('',#41179,.T.); #22819=FACE_OUTER_BOUND('',#41180,.T.); #22820=FACE_OUTER_BOUND('',#41181,.T.); #22821=FACE_OUTER_BOUND('',#41182,.T.); #22822=FACE_OUTER_BOUND('',#41183,.T.); #22823=FACE_OUTER_BOUND('',#41184,.T.); #22824=FACE_OUTER_BOUND('',#41185,.T.); #22825=FACE_OUTER_BOUND('',#41186,.T.); #22826=FACE_OUTER_BOUND('',#41187,.T.); #22827=FACE_OUTER_BOUND('',#41188,.T.); #22828=FACE_OUTER_BOUND('',#41189,.T.); #22829=FACE_OUTER_BOUND('',#41190,.T.); #22830=FACE_OUTER_BOUND('',#41192,.T.); #22831=FACE_OUTER_BOUND('',#41194,.T.); #22832=FACE_OUTER_BOUND('',#41195,.T.); #22833=FACE_OUTER_BOUND('',#41196,.T.); #22834=FACE_OUTER_BOUND('',#41197,.T.); #22835=FACE_OUTER_BOUND('',#41198,.T.); #22836=FACE_OUTER_BOUND('',#41199,.T.); #22837=FACE_OUTER_BOUND('',#41200,.T.); #22838=FACE_OUTER_BOUND('',#41201,.T.); #22839=FACE_OUTER_BOUND('',#41202,.T.); #22840=FACE_OUTER_BOUND('',#41203,.T.); #22841=FACE_OUTER_BOUND('',#41204,.T.); #22842=FACE_OUTER_BOUND('',#41205,.T.); #22843=FACE_OUTER_BOUND('',#41206,.T.); #22844=FACE_OUTER_BOUND('',#41207,.T.); #22845=FACE_OUTER_BOUND('',#41208,.T.); #22846=FACE_OUTER_BOUND('',#41209,.T.); #22847=FACE_OUTER_BOUND('',#41210,.T.); #22848=FACE_OUTER_BOUND('',#41211,.T.); #22849=FACE_OUTER_BOUND('',#41212,.T.); #22850=FACE_OUTER_BOUND('',#41213,.T.); #22851=FACE_OUTER_BOUND('',#41215,.T.); #22852=FACE_OUTER_BOUND('',#41217,.T.); #22853=FACE_OUTER_BOUND('',#41218,.T.); #22854=FACE_OUTER_BOUND('',#41219,.T.); #22855=FACE_OUTER_BOUND('',#41220,.T.); #22856=FACE_OUTER_BOUND('',#41221,.T.); #22857=FACE_OUTER_BOUND('',#41222,.T.); #22858=FACE_OUTER_BOUND('',#41223,.T.); #22859=FACE_OUTER_BOUND('',#41224,.T.); #22860=FACE_OUTER_BOUND('',#41225,.T.); #22861=FACE_OUTER_BOUND('',#41226,.T.); #22862=FACE_OUTER_BOUND('',#41227,.T.); #22863=FACE_OUTER_BOUND('',#41228,.T.); #22864=FACE_OUTER_BOUND('',#41229,.T.); #22865=FACE_OUTER_BOUND('',#41230,.T.); #22866=FACE_OUTER_BOUND('',#41231,.T.); #22867=FACE_OUTER_BOUND('',#41233,.T.); #22868=FACE_OUTER_BOUND('',#41235,.T.); #22869=FACE_OUTER_BOUND('',#41236,.T.); #22870=FACE_OUTER_BOUND('',#41237,.T.); #22871=FACE_OUTER_BOUND('',#41238,.T.); #22872=FACE_OUTER_BOUND('',#41239,.T.); #22873=FACE_OUTER_BOUND('',#41240,.T.); #22874=FACE_OUTER_BOUND('',#41241,.T.); #22875=FACE_OUTER_BOUND('',#41242,.T.); #22876=FACE_OUTER_BOUND('',#41243,.T.); #22877=FACE_OUTER_BOUND('',#41244,.T.); #22878=FACE_OUTER_BOUND('',#41245,.T.); #22879=FACE_OUTER_BOUND('',#41246,.T.); #22880=FACE_OUTER_BOUND('',#41247,.T.); #22881=FACE_OUTER_BOUND('',#41248,.T.); #22882=FACE_OUTER_BOUND('',#41249,.T.); #22883=FACE_OUTER_BOUND('',#41250,.T.); #22884=FACE_OUTER_BOUND('',#41251,.T.); #22885=FACE_OUTER_BOUND('',#41252,.T.); #22886=FACE_OUTER_BOUND('',#41253,.T.); #22887=FACE_OUTER_BOUND('',#41254,.T.); #22888=FACE_OUTER_BOUND('',#41256,.T.); #22889=FACE_OUTER_BOUND('',#41258,.T.); #22890=FACE_OUTER_BOUND('',#41259,.T.); #22891=FACE_OUTER_BOUND('',#41260,.T.); #22892=FACE_OUTER_BOUND('',#41261,.T.); #22893=FACE_OUTER_BOUND('',#41262,.T.); #22894=FACE_OUTER_BOUND('',#41263,.T.); #22895=FACE_OUTER_BOUND('',#41264,.T.); #22896=FACE_OUTER_BOUND('',#41265,.T.); #22897=FACE_OUTER_BOUND('',#41266,.T.); #22898=FACE_OUTER_BOUND('',#41267,.T.); #22899=FACE_OUTER_BOUND('',#41268,.T.); #22900=FACE_OUTER_BOUND('',#41269,.T.); #22901=FACE_OUTER_BOUND('',#41270,.T.); #22902=FACE_OUTER_BOUND('',#41272,.T.); #22903=FACE_OUTER_BOUND('',#41274,.T.); #22904=FACE_OUTER_BOUND('',#41275,.T.); #22905=FACE_OUTER_BOUND('',#41276,.T.); #22906=FACE_OUTER_BOUND('',#41277,.T.); #22907=FACE_OUTER_BOUND('',#41278,.T.); #22908=FACE_OUTER_BOUND('',#41279,.T.); #22909=FACE_OUTER_BOUND('',#41280,.T.); #22910=FACE_OUTER_BOUND('',#41281,.T.); #22911=FACE_OUTER_BOUND('',#41282,.T.); #22912=FACE_OUTER_BOUND('',#41283,.T.); #22913=FACE_OUTER_BOUND('',#41284,.T.); #22914=FACE_OUTER_BOUND('',#41285,.T.); #22915=FACE_OUTER_BOUND('',#41286,.T.); #22916=FACE_OUTER_BOUND('',#41288,.T.); #22917=FACE_OUTER_BOUND('',#41290,.T.); #22918=FACE_OUTER_BOUND('',#41291,.T.); #22919=FACE_OUTER_BOUND('',#41292,.T.); #22920=FACE_OUTER_BOUND('',#41293,.T.); #22921=FACE_OUTER_BOUND('',#41294,.T.); #22922=FACE_OUTER_BOUND('',#41295,.T.); #22923=FACE_OUTER_BOUND('',#41296,.T.); #22924=FACE_OUTER_BOUND('',#41297,.T.); #22925=FACE_OUTER_BOUND('',#41298,.T.); #22926=FACE_OUTER_BOUND('',#41299,.T.); #22927=FACE_OUTER_BOUND('',#41300,.T.); #22928=FACE_OUTER_BOUND('',#41301,.T.); #22929=FACE_OUTER_BOUND('',#41302,.T.); #22930=FACE_OUTER_BOUND('',#41303,.T.); #22931=FACE_OUTER_BOUND('',#41304,.T.); #22932=FACE_OUTER_BOUND('',#41305,.T.); #22933=FACE_OUTER_BOUND('',#41306,.T.); #22934=FACE_OUTER_BOUND('',#41307,.T.); #22935=FACE_OUTER_BOUND('',#41308,.T.); #22936=FACE_OUTER_BOUND('',#41309,.T.); #22937=FACE_OUTER_BOUND('',#41310,.T.); #22938=FACE_OUTER_BOUND('',#41311,.T.); #22939=FACE_OUTER_BOUND('',#41312,.T.); #22940=FACE_OUTER_BOUND('',#41313,.T.); #22941=FACE_OUTER_BOUND('',#41314,.T.); #22942=FACE_OUTER_BOUND('',#41316,.T.); #22943=FACE_OUTER_BOUND('',#41318,.T.); #22944=FACE_OUTER_BOUND('',#41319,.T.); #22945=FACE_OUTER_BOUND('',#41320,.T.); #22946=FACE_OUTER_BOUND('',#41321,.T.); #22947=FACE_OUTER_BOUND('',#41322,.T.); #22948=FACE_OUTER_BOUND('',#41323,.T.); #22949=FACE_OUTER_BOUND('',#41324,.T.); #22950=FACE_OUTER_BOUND('',#41325,.T.); #22951=FACE_OUTER_BOUND('',#41326,.T.); #22952=FACE_OUTER_BOUND('',#41327,.T.); #22953=FACE_OUTER_BOUND('',#41328,.T.); #22954=FACE_OUTER_BOUND('',#41329,.T.); #22955=FACE_OUTER_BOUND('',#41330,.T.); #22956=FACE_OUTER_BOUND('',#41331,.T.); #22957=FACE_OUTER_BOUND('',#41332,.T.); #22958=FACE_OUTER_BOUND('',#41333,.T.); #22959=FACE_OUTER_BOUND('',#41334,.T.); #22960=FACE_OUTER_BOUND('',#41335,.T.); #22961=FACE_OUTER_BOUND('',#41336,.T.); #22962=FACE_OUTER_BOUND('',#41338,.T.); #22963=FACE_OUTER_BOUND('',#41340,.T.); #22964=FACE_OUTER_BOUND('',#41341,.T.); #22965=FACE_OUTER_BOUND('',#41342,.T.); #22966=FACE_OUTER_BOUND('',#41343,.T.); #22967=FACE_OUTER_BOUND('',#41344,.T.); #22968=FACE_OUTER_BOUND('',#41345,.T.); #22969=FACE_OUTER_BOUND('',#41346,.T.); #22970=FACE_OUTER_BOUND('',#41347,.T.); #22971=FACE_OUTER_BOUND('',#41348,.T.); #22972=FACE_OUTER_BOUND('',#41349,.T.); #22973=FACE_OUTER_BOUND('',#41350,.T.); #22974=FACE_OUTER_BOUND('',#41351,.T.); #22975=FACE_OUTER_BOUND('',#41352,.T.); #22976=FACE_OUTER_BOUND('',#41353,.T.); #22977=FACE_OUTER_BOUND('',#41354,.T.); #22978=FACE_OUTER_BOUND('',#41355,.T.); #22979=FACE_OUTER_BOUND('',#41356,.T.); #22980=FACE_OUTER_BOUND('',#41357,.T.); #22981=FACE_OUTER_BOUND('',#41358,.T.); #22982=FACE_OUTER_BOUND('',#41359,.T.); #22983=FACE_OUTER_BOUND('',#41360,.T.); #22984=FACE_OUTER_BOUND('',#41361,.T.); #22985=FACE_OUTER_BOUND('',#41362,.T.); #22986=FACE_OUTER_BOUND('',#41363,.T.); #22987=FACE_OUTER_BOUND('',#41364,.T.); #22988=FACE_OUTER_BOUND('',#41366,.T.); #22989=FACE_OUTER_BOUND('',#41368,.T.); #22990=FACE_OUTER_BOUND('',#41369,.T.); #22991=FACE_OUTER_BOUND('',#41370,.T.); #22992=FACE_OUTER_BOUND('',#41371,.T.); #22993=FACE_OUTER_BOUND('',#41372,.T.); #22994=FACE_OUTER_BOUND('',#41373,.T.); #22995=FACE_OUTER_BOUND('',#41374,.T.); #22996=FACE_OUTER_BOUND('',#41375,.T.); #22997=FACE_OUTER_BOUND('',#41376,.T.); #22998=FACE_OUTER_BOUND('',#41377,.T.); #22999=FACE_OUTER_BOUND('',#41378,.T.); #23000=FACE_OUTER_BOUND('',#41379,.T.); #23001=FACE_OUTER_BOUND('',#41380,.T.); #23002=FACE_OUTER_BOUND('',#41381,.T.); #23003=FACE_OUTER_BOUND('',#41382,.T.); #23004=FACE_OUTER_BOUND('',#41383,.T.); #23005=FACE_OUTER_BOUND('',#41384,.T.); #23006=FACE_OUTER_BOUND('',#41385,.T.); #23007=FACE_OUTER_BOUND('',#41386,.T.); #23008=FACE_OUTER_BOUND('',#41387,.T.); #23009=FACE_OUTER_BOUND('',#41388,.T.); #23010=FACE_OUTER_BOUND('',#41389,.T.); #23011=FACE_OUTER_BOUND('',#41390,.T.); #23012=FACE_OUTER_BOUND('',#41391,.T.); #23013=FACE_OUTER_BOUND('',#41392,.T.); #23014=FACE_OUTER_BOUND('',#41393,.T.); #23015=FACE_OUTER_BOUND('',#41394,.T.); #23016=FACE_OUTER_BOUND('',#41395,.T.); #23017=FACE_OUTER_BOUND('',#41396,.T.); #23018=FACE_OUTER_BOUND('',#41397,.T.); #23019=FACE_OUTER_BOUND('',#41398,.T.); #23020=FACE_OUTER_BOUND('',#41399,.T.); #23021=FACE_OUTER_BOUND('',#41401,.T.); #23022=FACE_OUTER_BOUND('',#41403,.T.); #23023=FACE_OUTER_BOUND('',#41404,.T.); #23024=FACE_OUTER_BOUND('',#41405,.T.); #23025=FACE_OUTER_BOUND('',#41406,.T.); #23026=FACE_OUTER_BOUND('',#41407,.T.); #23027=FACE_OUTER_BOUND('',#41408,.T.); #23028=FACE_OUTER_BOUND('',#41409,.T.); #23029=FACE_OUTER_BOUND('',#41410,.T.); #23030=FACE_OUTER_BOUND('',#41411,.T.); #23031=FACE_OUTER_BOUND('',#41412,.T.); #23032=FACE_OUTER_BOUND('',#41413,.T.); #23033=FACE_OUTER_BOUND('',#41414,.T.); #23034=FACE_OUTER_BOUND('',#41415,.T.); #23035=FACE_OUTER_BOUND('',#41416,.T.); #23036=FACE_OUTER_BOUND('',#41417,.T.); #23037=FACE_OUTER_BOUND('',#41418,.T.); #23038=FACE_OUTER_BOUND('',#41419,.T.); #23039=FACE_OUTER_BOUND('',#41420,.T.); #23040=FACE_OUTER_BOUND('',#41421,.T.); #23041=FACE_OUTER_BOUND('',#41422,.T.); #23042=FACE_OUTER_BOUND('',#41423,.T.); #23043=FACE_OUTER_BOUND('',#41424,.T.); #23044=FACE_OUTER_BOUND('',#41425,.T.); #23045=FACE_OUTER_BOUND('',#41426,.T.); #23046=FACE_OUTER_BOUND('',#41427,.T.); #23047=FACE_OUTER_BOUND('',#41428,.T.); #23048=FACE_OUTER_BOUND('',#41430,.T.); #23049=FACE_OUTER_BOUND('',#41432,.T.); #23050=FACE_OUTER_BOUND('',#41433,.T.); #23051=FACE_OUTER_BOUND('',#41434,.T.); #23052=FACE_OUTER_BOUND('',#41435,.T.); #23053=FACE_OUTER_BOUND('',#41436,.T.); #23054=FACE_OUTER_BOUND('',#41437,.T.); #23055=FACE_OUTER_BOUND('',#41438,.T.); #23056=FACE_OUTER_BOUND('',#41439,.T.); #23057=FACE_OUTER_BOUND('',#41440,.T.); #23058=FACE_OUTER_BOUND('',#41441,.T.); #23059=FACE_OUTER_BOUND('',#41442,.T.); #23060=FACE_OUTER_BOUND('',#41443,.T.); #23061=FACE_OUTER_BOUND('',#41444,.T.); #23062=FACE_OUTER_BOUND('',#41445,.T.); #23063=FACE_OUTER_BOUND('',#41446,.T.); #23064=FACE_OUTER_BOUND('',#41447,.T.); #23065=FACE_OUTER_BOUND('',#41448,.T.); #23066=FACE_OUTER_BOUND('',#41450,.T.); #23067=FACE_OUTER_BOUND('',#41452,.T.); #23068=FACE_OUTER_BOUND('',#41453,.T.); #23069=FACE_OUTER_BOUND('',#41454,.T.); #23070=FACE_OUTER_BOUND('',#41455,.T.); #23071=FACE_OUTER_BOUND('',#41456,.T.); #23072=FACE_OUTER_BOUND('',#41457,.T.); #23073=FACE_OUTER_BOUND('',#41458,.T.); #23074=FACE_OUTER_BOUND('',#41459,.T.); #23075=FACE_OUTER_BOUND('',#41460,.T.); #23076=FACE_OUTER_BOUND('',#41461,.T.); #23077=FACE_OUTER_BOUND('',#41462,.T.); #23078=FACE_OUTER_BOUND('',#41463,.T.); #23079=FACE_OUTER_BOUND('',#41464,.T.); #23080=FACE_OUTER_BOUND('',#41465,.T.); #23081=FACE_OUTER_BOUND('',#41466,.T.); #23082=FACE_OUTER_BOUND('',#41467,.T.); #23083=FACE_OUTER_BOUND('',#41468,.T.); #23084=FACE_OUTER_BOUND('',#41469,.T.); #23085=FACE_OUTER_BOUND('',#41470,.T.); #23086=FACE_OUTER_BOUND('',#41471,.T.); #23087=FACE_OUTER_BOUND('',#41472,.T.); #23088=FACE_OUTER_BOUND('',#41473,.T.); #23089=FACE_OUTER_BOUND('',#41474,.T.); #23090=FACE_OUTER_BOUND('',#41475,.T.); #23091=FACE_OUTER_BOUND('',#41476,.T.); #23092=FACE_OUTER_BOUND('',#41477,.T.); #23093=FACE_OUTER_BOUND('',#41478,.T.); #23094=FACE_OUTER_BOUND('',#41479,.T.); #23095=FACE_OUTER_BOUND('',#41480,.T.); #23096=FACE_OUTER_BOUND('',#41481,.T.); #23097=FACE_OUTER_BOUND('',#41482,.T.); #23098=FACE_OUTER_BOUND('',#41483,.T.); #23099=FACE_OUTER_BOUND('',#41484,.T.); #23100=FACE_OUTER_BOUND('',#41485,.T.); #23101=FACE_OUTER_BOUND('',#41486,.T.); #23102=FACE_OUTER_BOUND('',#41487,.T.); #23103=FACE_OUTER_BOUND('',#41488,.T.); #23104=FACE_OUTER_BOUND('',#41489,.T.); #23105=FACE_OUTER_BOUND('',#41490,.T.); #23106=FACE_OUTER_BOUND('',#41491,.T.); #23107=FACE_OUTER_BOUND('',#41492,.T.); #23108=FACE_OUTER_BOUND('',#41493,.T.); #23109=FACE_OUTER_BOUND('',#41494,.T.); #23110=FACE_OUTER_BOUND('',#41495,.T.); #23111=FACE_OUTER_BOUND('',#41496,.T.); #23112=FACE_OUTER_BOUND('',#41498,.T.); #23113=FACE_OUTER_BOUND('',#41500,.T.); #23114=FACE_OUTER_BOUND('',#41501,.T.); #23115=FACE_OUTER_BOUND('',#41502,.T.); #23116=FACE_OUTER_BOUND('',#41503,.T.); #23117=FACE_OUTER_BOUND('',#41504,.T.); #23118=FACE_OUTER_BOUND('',#41505,.T.); #23119=FACE_OUTER_BOUND('',#41506,.T.); #23120=FACE_OUTER_BOUND('',#41507,.T.); #23121=FACE_OUTER_BOUND('',#41508,.T.); #23122=FACE_OUTER_BOUND('',#41509,.T.); #23123=FACE_OUTER_BOUND('',#41510,.T.); #23124=FACE_OUTER_BOUND('',#41511,.T.); #23125=FACE_OUTER_BOUND('',#41512,.T.); #23126=FACE_OUTER_BOUND('',#41513,.T.); #23127=FACE_OUTER_BOUND('',#41514,.T.); #23128=FACE_OUTER_BOUND('',#41515,.T.); #23129=FACE_OUTER_BOUND('',#41516,.T.); #23130=FACE_OUTER_BOUND('',#41517,.T.); #23131=FACE_OUTER_BOUND('',#41518,.T.); #23132=FACE_OUTER_BOUND('',#41519,.T.); #23133=FACE_OUTER_BOUND('',#41520,.T.); #23134=FACE_OUTER_BOUND('',#41521,.T.); #23135=FACE_OUTER_BOUND('',#41522,.T.); #23136=FACE_OUTER_BOUND('',#41524,.T.); #23137=FACE_OUTER_BOUND('',#41526,.T.); #23138=FACE_OUTER_BOUND('',#41527,.T.); #23139=FACE_OUTER_BOUND('',#41528,.T.); #23140=FACE_OUTER_BOUND('',#41529,.T.); #23141=FACE_OUTER_BOUND('',#41530,.T.); #23142=FACE_OUTER_BOUND('',#41531,.T.); #23143=FACE_OUTER_BOUND('',#41533,.T.); #23144=FACE_OUTER_BOUND('',#41535,.T.); #23145=FACE_OUTER_BOUND('',#41536,.T.); #23146=FACE_OUTER_BOUND('',#41537,.T.); #23147=FACE_OUTER_BOUND('',#41538,.T.); #23148=FACE_OUTER_BOUND('',#41539,.T.); #23149=FACE_OUTER_BOUND('',#41540,.T.); #23150=FACE_OUTER_BOUND('',#41542,.T.); #23151=FACE_OUTER_BOUND('',#41544,.T.); #23152=FACE_OUTER_BOUND('',#41545,.T.); #23153=FACE_OUTER_BOUND('',#41546,.T.); #23154=FACE_OUTER_BOUND('',#41547,.T.); #23155=FACE_OUTER_BOUND('',#41548,.T.); #23156=FACE_OUTER_BOUND('',#41549,.T.); #23157=FACE_OUTER_BOUND('',#41550,.T.); #23158=FACE_OUTER_BOUND('',#41551,.T.); #23159=FACE_OUTER_BOUND('',#41552,.T.); #23160=FACE_OUTER_BOUND('',#41553,.T.); #23161=FACE_OUTER_BOUND('',#41554,.T.); #23162=FACE_OUTER_BOUND('',#41555,.T.); #23163=FACE_OUTER_BOUND('',#41556,.T.); #23164=FACE_OUTER_BOUND('',#41557,.T.); #23165=FACE_OUTER_BOUND('',#41558,.T.); #23166=FACE_OUTER_BOUND('',#41559,.T.); #23167=FACE_OUTER_BOUND('',#41561,.T.); #23168=FACE_OUTER_BOUND('',#41563,.T.); #23169=FACE_OUTER_BOUND('',#41564,.T.); #23170=FACE_OUTER_BOUND('',#41565,.T.); #23171=FACE_OUTER_BOUND('',#41566,.T.); #23172=FACE_OUTER_BOUND('',#41567,.T.); #23173=FACE_OUTER_BOUND('',#41569,.T.); #23174=FACE_OUTER_BOUND('',#41571,.T.); #23175=FACE_OUTER_BOUND('',#41572,.T.); #23176=FACE_OUTER_BOUND('',#41573,.T.); #23177=FACE_OUTER_BOUND('',#41574,.T.); #23178=FACE_OUTER_BOUND('',#41575,.T.); #23179=FACE_OUTER_BOUND('',#41576,.T.); #23180=FACE_OUTER_BOUND('',#41577,.T.); #23181=FACE_OUTER_BOUND('',#41578,.T.); #23182=FACE_OUTER_BOUND('',#41579,.T.); #23183=FACE_OUTER_BOUND('',#41580,.T.); #23184=FACE_OUTER_BOUND('',#41583,.T.); #23185=FACE_OUTER_BOUND('',#41586,.T.); #23186=FACE_OUTER_BOUND('',#41587,.T.); #23187=FACE_OUTER_BOUND('',#41588,.T.); #23188=FACE_OUTER_BOUND('',#41589,.T.); #23189=FACE_OUTER_BOUND('',#41590,.T.); #23190=FACE_OUTER_BOUND('',#41592,.T.); #23191=FACE_OUTER_BOUND('',#41594,.T.); #23192=FACE_OUTER_BOUND('',#41595,.T.); #23193=FACE_OUTER_BOUND('',#41596,.T.); #23194=FACE_OUTER_BOUND('',#41597,.T.); #23195=FACE_OUTER_BOUND('',#41598,.T.); #23196=FACE_OUTER_BOUND('',#41599,.T.); #23197=FACE_OUTER_BOUND('',#41601,.T.); #23198=FACE_OUTER_BOUND('',#41603,.T.); #23199=FACE_OUTER_BOUND('',#41604,.T.); #23200=FACE_OUTER_BOUND('',#41605,.T.); #23201=FACE_OUTER_BOUND('',#41606,.T.); #23202=FACE_OUTER_BOUND('',#41607,.T.); #23203=FACE_OUTER_BOUND('',#41608,.T.); #23204=FACE_OUTER_BOUND('',#41609,.T.); #23205=FACE_OUTER_BOUND('',#41610,.T.); #23206=FACE_OUTER_BOUND('',#41611,.T.); #23207=FACE_OUTER_BOUND('',#41612,.T.); #23208=FACE_OUTER_BOUND('',#41613,.T.); #23209=FACE_OUTER_BOUND('',#41614,.T.); #23210=FACE_OUTER_BOUND('',#41615,.T.); #23211=FACE_OUTER_BOUND('',#41616,.T.); #23212=FACE_OUTER_BOUND('',#41617,.T.); #23213=FACE_OUTER_BOUND('',#41618,.T.); #23214=FACE_OUTER_BOUND('',#41619,.T.); #23215=FACE_OUTER_BOUND('',#41620,.T.); #23216=FACE_OUTER_BOUND('',#41621,.T.); #23217=FACE_OUTER_BOUND('',#41622,.T.); #23218=FACE_OUTER_BOUND('',#41623,.T.); #23219=FACE_OUTER_BOUND('',#41624,.T.); #23220=FACE_OUTER_BOUND('',#41625,.T.); #23221=FACE_OUTER_BOUND('',#41626,.T.); #23222=FACE_OUTER_BOUND('',#41627,.T.); #23223=FACE_OUTER_BOUND('',#41628,.T.); #23224=FACE_OUTER_BOUND('',#41629,.T.); #23225=FACE_OUTER_BOUND('',#41630,.T.); #23226=FACE_OUTER_BOUND('',#41631,.T.); #23227=FACE_OUTER_BOUND('',#41632,.T.); #23228=FACE_OUTER_BOUND('',#41633,.T.); #23229=FACE_OUTER_BOUND('',#41634,.T.); #23230=FACE_OUTER_BOUND('',#41635,.T.); #23231=FACE_OUTER_BOUND('',#41636,.T.); #23232=FACE_OUTER_BOUND('',#41637,.T.); #23233=FACE_OUTER_BOUND('',#41638,.T.); #23234=FACE_OUTER_BOUND('',#41639,.T.); #23235=FACE_OUTER_BOUND('',#41640,.T.); #23236=FACE_OUTER_BOUND('',#41641,.T.); #23237=FACE_OUTER_BOUND('',#41642,.T.); #23238=FACE_OUTER_BOUND('',#41643,.T.); #23239=FACE_OUTER_BOUND('',#41644,.T.); #23240=FACE_OUTER_BOUND('',#41645,.T.); #23241=FACE_OUTER_BOUND('',#41646,.T.); #23242=FACE_OUTER_BOUND('',#41647,.T.); #23243=FACE_OUTER_BOUND('',#41649,.T.); #23244=FACE_OUTER_BOUND('',#41651,.T.); #23245=FACE_OUTER_BOUND('',#41652,.T.); #23246=FACE_OUTER_BOUND('',#41653,.T.); #23247=FACE_OUTER_BOUND('',#41654,.T.); #23248=FACE_OUTER_BOUND('',#41655,.T.); #23249=FACE_OUTER_BOUND('',#41656,.T.); #23250=FACE_OUTER_BOUND('',#41657,.T.); #23251=FACE_OUTER_BOUND('',#41658,.T.); #23252=FACE_OUTER_BOUND('',#41659,.T.); #23253=FACE_OUTER_BOUND('',#41660,.T.); #23254=FACE_OUTER_BOUND('',#41661,.T.); #23255=FACE_OUTER_BOUND('',#41662,.T.); #23256=FACE_OUTER_BOUND('',#41663,.T.); #23257=FACE_OUTER_BOUND('',#41664,.T.); #23258=FACE_OUTER_BOUND('',#41665,.T.); #23259=FACE_OUTER_BOUND('',#41666,.T.); #23260=FACE_OUTER_BOUND('',#41667,.T.); #23261=FACE_OUTER_BOUND('',#41668,.T.); #23262=FACE_OUTER_BOUND('',#41669,.T.); #23263=FACE_OUTER_BOUND('',#41670,.T.); #23264=FACE_OUTER_BOUND('',#41671,.T.); #23265=FACE_OUTER_BOUND('',#41672,.T.); #23266=FACE_OUTER_BOUND('',#41673,.T.); #23267=FACE_OUTER_BOUND('',#41675,.T.); #23268=FACE_OUTER_BOUND('',#41677,.T.); #23269=FACE_OUTER_BOUND('',#41678,.T.); #23270=FACE_OUTER_BOUND('',#41679,.T.); #23271=FACE_OUTER_BOUND('',#41680,.T.); #23272=FACE_OUTER_BOUND('',#41681,.T.); #23273=FACE_OUTER_BOUND('',#41682,.T.); #23274=FACE_OUTER_BOUND('',#41683,.T.); #23275=FACE_OUTER_BOUND('',#41684,.T.); #23276=FACE_OUTER_BOUND('',#41685,.T.); #23277=FACE_OUTER_BOUND('',#41686,.T.); #23278=FACE_OUTER_BOUND('',#41687,.T.); #23279=FACE_OUTER_BOUND('',#41688,.T.); #23280=FACE_OUTER_BOUND('',#41689,.T.); #23281=FACE_OUTER_BOUND('',#41690,.T.); #23282=FACE_OUTER_BOUND('',#41691,.T.); #23283=FACE_OUTER_BOUND('',#41692,.T.); #23284=FACE_OUTER_BOUND('',#41693,.T.); #23285=FACE_OUTER_BOUND('',#41694,.T.); #23286=FACE_OUTER_BOUND('',#41695,.T.); #23287=FACE_OUTER_BOUND('',#41696,.T.); #23288=FACE_OUTER_BOUND('',#41697,.T.); #23289=FACE_OUTER_BOUND('',#41698,.T.); #23290=FACE_OUTER_BOUND('',#41699,.T.); #23291=FACE_OUTER_BOUND('',#41700,.T.); #23292=FACE_OUTER_BOUND('',#41701,.T.); #23293=FACE_OUTER_BOUND('',#41702,.T.); #23294=FACE_OUTER_BOUND('',#41703,.T.); #23295=FACE_OUTER_BOUND('',#41704,.T.); #23296=FACE_OUTER_BOUND('',#41705,.T.); #23297=FACE_OUTER_BOUND('',#41706,.T.); #23298=FACE_OUTER_BOUND('',#41707,.T.); #23299=FACE_OUTER_BOUND('',#41708,.T.); #23300=FACE_OUTER_BOUND('',#41709,.T.); #23301=FACE_OUTER_BOUND('',#41710,.T.); #23302=FACE_OUTER_BOUND('',#41711,.T.); #23303=FACE_OUTER_BOUND('',#41712,.T.); #23304=FACE_OUTER_BOUND('',#41713,.T.); #23305=FACE_OUTER_BOUND('',#41714,.T.); #23306=FACE_OUTER_BOUND('',#41715,.T.); #23307=FACE_OUTER_BOUND('',#41716,.T.); #23308=FACE_OUTER_BOUND('',#41717,.T.); #23309=FACE_OUTER_BOUND('',#41718,.T.); #23310=FACE_OUTER_BOUND('',#41719,.T.); #23311=FACE_OUTER_BOUND('',#41720,.T.); #23312=FACE_OUTER_BOUND('',#41721,.T.); #23313=FACE_OUTER_BOUND('',#41722,.T.); #23314=FACE_OUTER_BOUND('',#41723,.T.); #23315=FACE_OUTER_BOUND('',#41725,.T.); #23316=FACE_OUTER_BOUND('',#41727,.T.); #23317=FACE_OUTER_BOUND('',#41728,.T.); #23318=FACE_OUTER_BOUND('',#41729,.T.); #23319=FACE_OUTER_BOUND('',#41730,.T.); #23320=FACE_OUTER_BOUND('',#41731,.T.); #23321=FACE_OUTER_BOUND('',#41732,.T.); #23322=FACE_OUTER_BOUND('',#41733,.T.); #23323=FACE_OUTER_BOUND('',#41734,.T.); #23324=FACE_OUTER_BOUND('',#41735,.T.); #23325=FACE_OUTER_BOUND('',#41736,.T.); #23326=FACE_OUTER_BOUND('',#41737,.T.); #23327=FACE_OUTER_BOUND('',#41738,.T.); #23328=FACE_OUTER_BOUND('',#41739,.T.); #23329=FACE_OUTER_BOUND('',#41740,.T.); #23330=FACE_OUTER_BOUND('',#41741,.T.); #23331=FACE_OUTER_BOUND('',#41742,.T.); #23332=FACE_OUTER_BOUND('',#41743,.T.); #23333=FACE_OUTER_BOUND('',#41744,.T.); #23334=FACE_OUTER_BOUND('',#41745,.T.); #23335=FACE_OUTER_BOUND('',#41746,.T.); #23336=FACE_OUTER_BOUND('',#41747,.T.); #23337=FACE_OUTER_BOUND('',#41748,.T.); #23338=FACE_OUTER_BOUND('',#41749,.T.); #23339=FACE_OUTER_BOUND('',#41750,.T.); #23340=FACE_OUTER_BOUND('',#41752,.T.); #23341=FACE_OUTER_BOUND('',#41754,.T.); #23342=FACE_OUTER_BOUND('',#41755,.T.); #23343=FACE_OUTER_BOUND('',#41756,.T.); #23344=FACE_OUTER_BOUND('',#41757,.T.); #23345=FACE_OUTER_BOUND('',#41758,.T.); #23346=FACE_OUTER_BOUND('',#41759,.T.); #23347=FACE_OUTER_BOUND('',#41760,.T.); #23348=FACE_OUTER_BOUND('',#41761,.T.); #23349=FACE_OUTER_BOUND('',#41762,.T.); #23350=FACE_OUTER_BOUND('',#41763,.T.); #23351=FACE_OUTER_BOUND('',#41764,.T.); #23352=FACE_OUTER_BOUND('',#41765,.T.); #23353=FACE_OUTER_BOUND('',#41766,.T.); #23354=FACE_OUTER_BOUND('',#41767,.T.); #23355=FACE_OUTER_BOUND('',#41769,.T.); #23356=FACE_OUTER_BOUND('',#41771,.T.); #23357=FACE_OUTER_BOUND('',#41772,.T.); #23358=FACE_OUTER_BOUND('',#41773,.T.); #23359=FACE_OUTER_BOUND('',#41774,.T.); #23360=FACE_OUTER_BOUND('',#41775,.T.); #23361=FACE_OUTER_BOUND('',#41776,.T.); #23362=FACE_OUTER_BOUND('',#41777,.T.); #23363=FACE_OUTER_BOUND('',#41778,.T.); #23364=FACE_OUTER_BOUND('',#41779,.T.); #23365=FACE_OUTER_BOUND('',#41780,.T.); #23366=FACE_OUTER_BOUND('',#41781,.T.); #23367=FACE_OUTER_BOUND('',#41782,.T.); #23368=FACE_OUTER_BOUND('',#41783,.T.); #23369=FACE_OUTER_BOUND('',#41784,.T.); #23370=FACE_OUTER_BOUND('',#41785,.T.); #23371=FACE_OUTER_BOUND('',#41786,.T.); #23372=FACE_OUTER_BOUND('',#41787,.T.); #23373=FACE_OUTER_BOUND('',#41788,.T.); #23374=FACE_OUTER_BOUND('',#41789,.T.); #23375=FACE_OUTER_BOUND('',#41790,.T.); #23376=FACE_OUTER_BOUND('',#41791,.T.); #23377=FACE_OUTER_BOUND('',#41792,.T.); #23378=FACE_OUTER_BOUND('',#41793,.T.); #23379=FACE_OUTER_BOUND('',#41794,.T.); #23380=FACE_OUTER_BOUND('',#41795,.T.); #23381=FACE_OUTER_BOUND('',#41796,.T.); #23382=FACE_OUTER_BOUND('',#41797,.T.); #23383=FACE_OUTER_BOUND('',#41798,.T.); #23384=FACE_OUTER_BOUND('',#41799,.T.); #23385=FACE_OUTER_BOUND('',#41800,.T.); #23386=FACE_OUTER_BOUND('',#41801,.T.); #23387=FACE_OUTER_BOUND('',#41802,.T.); #23388=FACE_OUTER_BOUND('',#41803,.T.); #23389=FACE_OUTER_BOUND('',#41804,.T.); #23390=FACE_OUTER_BOUND('',#41805,.T.); #23391=FACE_OUTER_BOUND('',#41806,.T.); #23392=FACE_OUTER_BOUND('',#41807,.T.); #23393=FACE_OUTER_BOUND('',#41808,.T.); #23394=FACE_OUTER_BOUND('',#41809,.T.); #23395=FACE_OUTER_BOUND('',#41810,.T.); #23396=FACE_OUTER_BOUND('',#41811,.T.); #23397=FACE_OUTER_BOUND('',#41812,.T.); #23398=FACE_OUTER_BOUND('',#41813,.T.); #23399=FACE_OUTER_BOUND('',#41814,.T.); #23400=FACE_OUTER_BOUND('',#41815,.T.); #23401=FACE_OUTER_BOUND('',#41816,.T.); #23402=FACE_OUTER_BOUND('',#41817,.T.); #23403=FACE_OUTER_BOUND('',#41818,.T.); #23404=FACE_OUTER_BOUND('',#41819,.T.); #23405=FACE_OUTER_BOUND('',#41820,.T.); #23406=FACE_OUTER_BOUND('',#41822,.T.); #23407=FACE_OUTER_BOUND('',#41824,.T.); #23408=FACE_OUTER_BOUND('',#41825,.T.); #23409=FACE_OUTER_BOUND('',#41826,.T.); #23410=FACE_OUTER_BOUND('',#41827,.T.); #23411=FACE_OUTER_BOUND('',#41828,.T.); #23412=FACE_OUTER_BOUND('',#41829,.T.); #23413=FACE_OUTER_BOUND('',#41830,.T.); #23414=FACE_OUTER_BOUND('',#41831,.T.); #23415=FACE_OUTER_BOUND('',#41832,.T.); #23416=FACE_OUTER_BOUND('',#41833,.T.); #23417=FACE_OUTER_BOUND('',#41834,.T.); #23418=FACE_OUTER_BOUND('',#41835,.T.); #23419=FACE_OUTER_BOUND('',#41836,.T.); #23420=FACE_OUTER_BOUND('',#41837,.T.); #23421=FACE_OUTER_BOUND('',#41838,.T.); #23422=FACE_OUTER_BOUND('',#41839,.T.); #23423=FACE_OUTER_BOUND('',#41840,.T.); #23424=FACE_OUTER_BOUND('',#41841,.T.); #23425=FACE_OUTER_BOUND('',#41842,.T.); #23426=FACE_OUTER_BOUND('',#41843,.T.); #23427=FACE_OUTER_BOUND('',#41844,.T.); #23428=FACE_OUTER_BOUND('',#41845,.T.); #23429=FACE_OUTER_BOUND('',#41846,.T.); #23430=FACE_OUTER_BOUND('',#41847,.T.); #23431=FACE_OUTER_BOUND('',#41850,.T.); #23432=FACE_OUTER_BOUND('',#41853,.T.); #23433=FACE_OUTER_BOUND('',#41854,.T.); #23434=FACE_OUTER_BOUND('',#41855,.T.); #23435=FACE_OUTER_BOUND('',#41856,.T.); #23436=FACE_OUTER_BOUND('',#41857,.T.); #23437=FACE_OUTER_BOUND('',#41858,.T.); #23438=FACE_OUTER_BOUND('',#41859,.T.); #23439=FACE_OUTER_BOUND('',#41860,.T.); #23440=FACE_OUTER_BOUND('',#41861,.T.); #23441=FACE_OUTER_BOUND('',#41862,.T.); #23442=FACE_OUTER_BOUND('',#41863,.T.); #23443=FACE_OUTER_BOUND('',#41864,.T.); #23444=FACE_OUTER_BOUND('',#41865,.T.); #23445=FACE_OUTER_BOUND('',#41866,.T.); #23446=FACE_OUTER_BOUND('',#41867,.T.); #23447=FACE_OUTER_BOUND('',#41868,.T.); #23448=FACE_OUTER_BOUND('',#41869,.T.); #23449=FACE_OUTER_BOUND('',#41870,.T.); #23450=FACE_OUTER_BOUND('',#41871,.T.); #23451=FACE_OUTER_BOUND('',#41872,.T.); #23452=FACE_OUTER_BOUND('',#41873,.T.); #23453=FACE_OUTER_BOUND('',#41874,.T.); #23454=FACE_OUTER_BOUND('',#41875,.T.); #23455=FACE_OUTER_BOUND('',#41876,.T.); #23456=FACE_OUTER_BOUND('',#41877,.T.); #23457=FACE_OUTER_BOUND('',#41878,.T.); #23458=FACE_OUTER_BOUND('',#41879,.T.); #23459=FACE_OUTER_BOUND('',#41880,.T.); #23460=FACE_OUTER_BOUND('',#41881,.T.); #23461=FACE_OUTER_BOUND('',#41882,.T.); #23462=FACE_OUTER_BOUND('',#41883,.T.); #23463=FACE_OUTER_BOUND('',#41884,.T.); #23464=FACE_OUTER_BOUND('',#41885,.T.); #23465=FACE_OUTER_BOUND('',#41886,.T.); #23466=FACE_OUTER_BOUND('',#41887,.T.); #23467=FACE_OUTER_BOUND('',#41888,.T.); #23468=FACE_OUTER_BOUND('',#41889,.T.); #23469=FACE_OUTER_BOUND('',#41890,.T.); #23470=FACE_OUTER_BOUND('',#41891,.T.); #23471=FACE_OUTER_BOUND('',#41892,.T.); #23472=FACE_OUTER_BOUND('',#41893,.T.); #23473=FACE_OUTER_BOUND('',#41894,.T.); #23474=FACE_OUTER_BOUND('',#41895,.T.); #23475=FACE_OUTER_BOUND('',#41896,.T.); #23476=FACE_OUTER_BOUND('',#41897,.T.); #23477=FACE_OUTER_BOUND('',#41898,.T.); #23478=FACE_OUTER_BOUND('',#41899,.T.); #23479=FACE_OUTER_BOUND('',#41900,.T.); #23480=FACE_OUTER_BOUND('',#41901,.T.); #23481=FACE_OUTER_BOUND('',#41902,.T.); #23482=FACE_OUTER_BOUND('',#41903,.T.); #23483=FACE_OUTER_BOUND('',#41904,.T.); #23484=FACE_OUTER_BOUND('',#41905,.T.); #23485=FACE_OUTER_BOUND('',#41906,.T.); #23486=FACE_OUTER_BOUND('',#41907,.T.); #23487=FACE_OUTER_BOUND('',#41908,.T.); #23488=FACE_OUTER_BOUND('',#41909,.T.); #23489=FACE_OUTER_BOUND('',#41910,.T.); #23490=FACE_OUTER_BOUND('',#41911,.T.); #23491=FACE_OUTER_BOUND('',#41912,.T.); #23492=FACE_OUTER_BOUND('',#41913,.T.); #23493=FACE_OUTER_BOUND('',#41914,.T.); #23494=FACE_OUTER_BOUND('',#41915,.T.); #23495=FACE_OUTER_BOUND('',#41916,.T.); #23496=FACE_OUTER_BOUND('',#41917,.T.); #23497=FACE_OUTER_BOUND('',#41918,.T.); #23498=FACE_OUTER_BOUND('',#41919,.T.); #23499=FACE_OUTER_BOUND('',#41920,.T.); #23500=FACE_OUTER_BOUND('',#41921,.T.); #23501=FACE_OUTER_BOUND('',#41922,.T.); #23502=FACE_OUTER_BOUND('',#41923,.T.); #23503=FACE_OUTER_BOUND('',#41924,.T.); #23504=FACE_OUTER_BOUND('',#41925,.T.); #23505=FACE_OUTER_BOUND('',#41926,.T.); #23506=FACE_OUTER_BOUND('',#41927,.T.); #23507=FACE_OUTER_BOUND('',#41928,.T.); #23508=FACE_OUTER_BOUND('',#41929,.T.); #23509=FACE_OUTER_BOUND('',#41930,.T.); #23510=FACE_OUTER_BOUND('',#41931,.T.); #23511=FACE_OUTER_BOUND('',#41932,.T.); #23512=FACE_OUTER_BOUND('',#41933,.T.); #23513=FACE_OUTER_BOUND('',#41934,.T.); #23514=FACE_OUTER_BOUND('',#41935,.T.); #23515=FACE_OUTER_BOUND('',#41936,.T.); #23516=FACE_OUTER_BOUND('',#41937,.T.); #23517=FACE_OUTER_BOUND('',#41938,.T.); #23518=FACE_OUTER_BOUND('',#41939,.T.); #23519=FACE_OUTER_BOUND('',#41940,.T.); #23520=FACE_OUTER_BOUND('',#41941,.T.); #23521=FACE_OUTER_BOUND('',#41942,.T.); #23522=FACE_OUTER_BOUND('',#41943,.T.); #23523=FACE_OUTER_BOUND('',#41944,.T.); #23524=FACE_OUTER_BOUND('',#41945,.T.); #23525=FACE_OUTER_BOUND('',#41946,.T.); #23526=FACE_OUTER_BOUND('',#41947,.T.); #23527=FACE_OUTER_BOUND('',#41948,.T.); #23528=FACE_OUTER_BOUND('',#41949,.T.); #23529=FACE_OUTER_BOUND('',#41950,.T.); #23530=FACE_OUTER_BOUND('',#41951,.T.); #23531=FACE_OUTER_BOUND('',#41952,.T.); #23532=FACE_OUTER_BOUND('',#41953,.T.); #23533=FACE_OUTER_BOUND('',#41954,.T.); #23534=FACE_OUTER_BOUND('',#41955,.T.); #23535=FACE_OUTER_BOUND('',#41956,.T.); #23536=FACE_OUTER_BOUND('',#41957,.T.); #23537=FACE_OUTER_BOUND('',#41958,.T.); #23538=FACE_OUTER_BOUND('',#41959,.T.); #23539=FACE_OUTER_BOUND('',#41960,.T.); #23540=FACE_OUTER_BOUND('',#41961,.T.); #23541=FACE_OUTER_BOUND('',#41962,.T.); #23542=FACE_OUTER_BOUND('',#41963,.T.); #23543=FACE_OUTER_BOUND('',#41964,.T.); #23544=FACE_OUTER_BOUND('',#41965,.T.); #23545=FACE_OUTER_BOUND('',#41966,.T.); #23546=FACE_OUTER_BOUND('',#41967,.T.); #23547=FACE_OUTER_BOUND('',#41968,.T.); #23548=FACE_OUTER_BOUND('',#41969,.T.); #23549=FACE_OUTER_BOUND('',#41970,.T.); #23550=FACE_OUTER_BOUND('',#41971,.T.); #23551=FACE_OUTER_BOUND('',#41972,.T.); #23552=FACE_OUTER_BOUND('',#41973,.T.); #23553=FACE_OUTER_BOUND('',#41974,.T.); #23554=FACE_OUTER_BOUND('',#41975,.T.); #23555=FACE_OUTER_BOUND('',#41976,.T.); #23556=FACE_OUTER_BOUND('',#41977,.T.); #23557=FACE_OUTER_BOUND('',#41978,.T.); #23558=FACE_OUTER_BOUND('',#41979,.T.); #23559=FACE_OUTER_BOUND('',#41980,.T.); #23560=FACE_OUTER_BOUND('',#41981,.T.); #23561=FACE_OUTER_BOUND('',#41982,.T.); #23562=FACE_OUTER_BOUND('',#41983,.T.); #23563=FACE_OUTER_BOUND('',#41984,.T.); #23564=FACE_OUTER_BOUND('',#41985,.T.); #23565=FACE_OUTER_BOUND('',#41986,.T.); #23566=FACE_OUTER_BOUND('',#41987,.T.); #23567=FACE_OUTER_BOUND('',#41988,.T.); #23568=FACE_OUTER_BOUND('',#41989,.T.); #23569=FACE_OUTER_BOUND('',#41990,.T.); #23570=FACE_OUTER_BOUND('',#41991,.T.); #23571=FACE_OUTER_BOUND('',#41992,.T.); #23572=FACE_OUTER_BOUND('',#41993,.T.); #23573=FACE_OUTER_BOUND('',#41994,.T.); #23574=FACE_OUTER_BOUND('',#41995,.T.); #23575=FACE_OUTER_BOUND('',#41996,.T.); #23576=FACE_OUTER_BOUND('',#41997,.T.); #23577=FACE_OUTER_BOUND('',#41998,.T.); #23578=FACE_OUTER_BOUND('',#41999,.T.); #23579=FACE_OUTER_BOUND('',#42000,.T.); #23580=FACE_OUTER_BOUND('',#42001,.T.); #23581=FACE_OUTER_BOUND('',#42002,.T.); #23582=FACE_OUTER_BOUND('',#42003,.T.); #23583=FACE_OUTER_BOUND('',#42004,.T.); #23584=FACE_OUTER_BOUND('',#42005,.T.); #23585=FACE_OUTER_BOUND('',#42006,.T.); #23586=FACE_OUTER_BOUND('',#42007,.T.); #23587=FACE_OUTER_BOUND('',#42008,.T.); #23588=FACE_OUTER_BOUND('',#42009,.T.); #23589=FACE_OUTER_BOUND('',#42010,.T.); #23590=FACE_OUTER_BOUND('',#42011,.T.); #23591=FACE_OUTER_BOUND('',#42012,.T.); #23592=FACE_OUTER_BOUND('',#42013,.T.); #23593=FACE_OUTER_BOUND('',#42025,.T.); #23594=FACE_OUTER_BOUND('',#42037,.T.); #23595=FACE_OUTER_BOUND('',#42038,.T.); #23596=FACE_OUTER_BOUND('',#42039,.T.); #23597=FACE_OUTER_BOUND('',#42040,.T.); #23598=FACE_OUTER_BOUND('',#42041,.T.); #23599=FACE_OUTER_BOUND('',#42043,.T.); #23600=FACE_OUTER_BOUND('',#42045,.T.); #23601=FACE_OUTER_BOUND('',#42046,.T.); #23602=FACE_OUTER_BOUND('',#42047,.T.); #23603=FACE_OUTER_BOUND('',#42048,.T.); #23604=FACE_OUTER_BOUND('',#42049,.T.); #23605=FACE_OUTER_BOUND('',#42050,.T.); #23606=FACE_OUTER_BOUND('',#42051,.T.); #23607=FACE_OUTER_BOUND('',#42052,.T.); #23608=FACE_OUTER_BOUND('',#42053,.T.); #23609=FACE_OUTER_BOUND('',#42054,.T.); #23610=FACE_OUTER_BOUND('',#42055,.T.); #23611=FACE_OUTER_BOUND('',#42056,.T.); #23612=FACE_OUTER_BOUND('',#42057,.T.); #23613=FACE_OUTER_BOUND('',#42058,.T.); #23614=FACE_OUTER_BOUND('',#42059,.T.); #23615=FACE_OUTER_BOUND('',#42060,.T.); #23616=FACE_OUTER_BOUND('',#42061,.T.); #23617=FACE_OUTER_BOUND('',#42062,.T.); #23618=FACE_OUTER_BOUND('',#42063,.T.); #23619=FACE_OUTER_BOUND('',#42064,.T.); #23620=FACE_OUTER_BOUND('',#42065,.T.); #23621=FACE_OUTER_BOUND('',#42066,.T.); #23622=FACE_OUTER_BOUND('',#42067,.T.); #23623=FACE_OUTER_BOUND('',#42068,.T.); #23624=FACE_OUTER_BOUND('',#42069,.T.); #23625=FACE_OUTER_BOUND('',#42070,.T.); #23626=FACE_OUTER_BOUND('',#42071,.T.); #23627=FACE_OUTER_BOUND('',#42072,.T.); #23628=FACE_OUTER_BOUND('',#42073,.T.); #23629=FACE_OUTER_BOUND('',#42074,.T.); #23630=FACE_OUTER_BOUND('',#42075,.T.); #23631=FACE_OUTER_BOUND('',#42076,.T.); #23632=FACE_OUTER_BOUND('',#42077,.T.); #23633=FACE_OUTER_BOUND('',#42078,.T.); #23634=FACE_OUTER_BOUND('',#42079,.T.); #23635=FACE_OUTER_BOUND('',#42080,.T.); #23636=FACE_OUTER_BOUND('',#42081,.T.); #23637=FACE_OUTER_BOUND('',#42082,.T.); #23638=FACE_OUTER_BOUND('',#42083,.T.); #23639=FACE_OUTER_BOUND('',#42084,.T.); #23640=FACE_OUTER_BOUND('',#42085,.T.); #23641=FACE_OUTER_BOUND('',#42086,.T.); #23642=FACE_OUTER_BOUND('',#42087,.T.); #23643=FACE_OUTER_BOUND('',#42088,.T.); #23644=FACE_OUTER_BOUND('',#42089,.T.); #23645=FACE_OUTER_BOUND('',#42090,.T.); #23646=FACE_OUTER_BOUND('',#42091,.T.); #23647=FACE_OUTER_BOUND('',#42092,.T.); #23648=FACE_OUTER_BOUND('',#42093,.T.); #23649=FACE_OUTER_BOUND('',#42094,.T.); #23650=FACE_OUTER_BOUND('',#42095,.T.); #23651=FACE_OUTER_BOUND('',#42096,.T.); #23652=FACE_OUTER_BOUND('',#42097,.T.); #23653=FACE_OUTER_BOUND('',#42098,.T.); #23654=FACE_OUTER_BOUND('',#42099,.T.); #23655=FACE_OUTER_BOUND('',#42100,.T.); #23656=FACE_OUTER_BOUND('',#42101,.T.); #23657=FACE_OUTER_BOUND('',#42102,.T.); #23658=FACE_OUTER_BOUND('',#42103,.T.); #23659=FACE_OUTER_BOUND('',#42104,.T.); #23660=FACE_OUTER_BOUND('',#42105,.T.); #23661=FACE_OUTER_BOUND('',#42106,.T.); #23662=FACE_OUTER_BOUND('',#42107,.T.); #23663=FACE_OUTER_BOUND('',#42108,.T.); #23664=FACE_OUTER_BOUND('',#42109,.T.); #23665=FACE_OUTER_BOUND('',#42110,.T.); #23666=FACE_OUTER_BOUND('',#42111,.T.); #23667=FACE_OUTER_BOUND('',#42112,.T.); #23668=FACE_OUTER_BOUND('',#42113,.T.); #23669=FACE_OUTER_BOUND('',#42114,.T.); #23670=FACE_OUTER_BOUND('',#42115,.T.); #23671=FACE_OUTER_BOUND('',#42116,.T.); #23672=FACE_OUTER_BOUND('',#42117,.T.); #23673=FACE_OUTER_BOUND('',#42118,.T.); #23674=FACE_OUTER_BOUND('',#42119,.T.); #23675=FACE_OUTER_BOUND('',#42120,.T.); #23676=FACE_OUTER_BOUND('',#42121,.T.); #23677=FACE_OUTER_BOUND('',#42122,.T.); #23678=FACE_OUTER_BOUND('',#42123,.T.); #23679=FACE_OUTER_BOUND('',#42124,.T.); #23680=FACE_OUTER_BOUND('',#42125,.T.); #23681=FACE_OUTER_BOUND('',#42126,.T.); #23682=FACE_OUTER_BOUND('',#42127,.T.); #23683=FACE_OUTER_BOUND('',#42128,.T.); #23684=FACE_OUTER_BOUND('',#42129,.T.); #23685=FACE_OUTER_BOUND('',#42130,.T.); #23686=FACE_OUTER_BOUND('',#42131,.T.); #23687=FACE_OUTER_BOUND('',#42132,.T.); #23688=FACE_OUTER_BOUND('',#42133,.T.); #23689=FACE_OUTER_BOUND('',#42134,.T.); #23690=FACE_OUTER_BOUND('',#42135,.T.); #23691=FACE_OUTER_BOUND('',#42136,.T.); #23692=FACE_OUTER_BOUND('',#42137,.T.); #23693=FACE_OUTER_BOUND('',#42138,.T.); #23694=FACE_OUTER_BOUND('',#42139,.T.); #23695=FACE_OUTER_BOUND('',#42140,.T.); #23696=FACE_OUTER_BOUND('',#42141,.T.); #23697=FACE_OUTER_BOUND('',#42142,.T.); #23698=FACE_OUTER_BOUND('',#42143,.T.); #23699=FACE_OUTER_BOUND('',#42144,.T.); #23700=FACE_OUTER_BOUND('',#42145,.T.); #23701=FACE_OUTER_BOUND('',#42146,.T.); #23702=FACE_OUTER_BOUND('',#42147,.T.); #23703=FACE_OUTER_BOUND('',#42148,.T.); #23704=FACE_OUTER_BOUND('',#42149,.T.); #23705=FACE_OUTER_BOUND('',#42150,.T.); #23706=FACE_OUTER_BOUND('',#42151,.T.); #23707=FACE_OUTER_BOUND('',#42152,.T.); #23708=FACE_OUTER_BOUND('',#42153,.T.); #23709=FACE_OUTER_BOUND('',#42154,.T.); #23710=FACE_OUTER_BOUND('',#42155,.T.); #23711=FACE_OUTER_BOUND('',#42156,.T.); #23712=FACE_OUTER_BOUND('',#42157,.T.); #23713=FACE_OUTER_BOUND('',#42158,.T.); #23714=FACE_OUTER_BOUND('',#42159,.T.); #23715=FACE_OUTER_BOUND('',#42160,.T.); #23716=FACE_OUTER_BOUND('',#42161,.T.); #23717=FACE_OUTER_BOUND('',#42162,.T.); #23718=FACE_OUTER_BOUND('',#42163,.T.); #23719=FACE_OUTER_BOUND('',#42164,.T.); #23720=FACE_OUTER_BOUND('',#42165,.T.); #23721=FACE_OUTER_BOUND('',#42166,.T.); #23722=FACE_OUTER_BOUND('',#42167,.T.); #23723=FACE_OUTER_BOUND('',#42168,.T.); #23724=FACE_OUTER_BOUND('',#42169,.T.); #23725=FACE_OUTER_BOUND('',#42170,.T.); #23726=FACE_OUTER_BOUND('',#42171,.T.); #23727=FACE_OUTER_BOUND('',#42172,.T.); #23728=FACE_OUTER_BOUND('',#42173,.T.); #23729=FACE_OUTER_BOUND('',#42174,.T.); #23730=FACE_OUTER_BOUND('',#42175,.T.); #23731=FACE_OUTER_BOUND('',#42176,.T.); #23732=FACE_OUTER_BOUND('',#42177,.T.); #23733=FACE_OUTER_BOUND('',#42178,.T.); #23734=FACE_OUTER_BOUND('',#42179,.T.); #23735=FACE_OUTER_BOUND('',#42180,.T.); #23736=FACE_OUTER_BOUND('',#42181,.T.); #23737=FACE_OUTER_BOUND('',#42182,.T.); #23738=FACE_OUTER_BOUND('',#42183,.T.); #23739=FACE_OUTER_BOUND('',#42184,.T.); #23740=FACE_OUTER_BOUND('',#42185,.T.); #23741=FACE_OUTER_BOUND('',#42186,.T.); #23742=FACE_OUTER_BOUND('',#42187,.T.); #23743=FACE_OUTER_BOUND('',#42188,.T.); #23744=FACE_OUTER_BOUND('',#42189,.T.); #23745=FACE_OUTER_BOUND('',#42190,.T.); #23746=FACE_OUTER_BOUND('',#42191,.T.); #23747=FACE_OUTER_BOUND('',#42192,.T.); #23748=FACE_OUTER_BOUND('',#42193,.T.); #23749=FACE_OUTER_BOUND('',#42194,.T.); #23750=FACE_OUTER_BOUND('',#42195,.T.); #23751=FACE_OUTER_BOUND('',#42196,.T.); #23752=FACE_OUTER_BOUND('',#42197,.T.); #23753=FACE_OUTER_BOUND('',#42198,.T.); #23754=FACE_OUTER_BOUND('',#42199,.T.); #23755=FACE_OUTER_BOUND('',#42200,.T.); #23756=FACE_OUTER_BOUND('',#42201,.T.); #23757=FACE_OUTER_BOUND('',#42202,.T.); #23758=FACE_OUTER_BOUND('',#42203,.T.); #23759=FACE_OUTER_BOUND('',#42204,.T.); #23760=FACE_OUTER_BOUND('',#42205,.T.); #23761=FACE_OUTER_BOUND('',#42206,.T.); #23762=FACE_OUTER_BOUND('',#42207,.T.); #23763=FACE_OUTER_BOUND('',#42208,.T.); #23764=FACE_OUTER_BOUND('',#42209,.T.); #23765=FACE_OUTER_BOUND('',#42210,.T.); #23766=FACE_OUTER_BOUND('',#42211,.T.); #23767=FACE_OUTER_BOUND('',#42212,.T.); #23768=FACE_OUTER_BOUND('',#42213,.T.); #23769=FACE_OUTER_BOUND('',#42214,.T.); #23770=FACE_OUTER_BOUND('',#42215,.T.); #23771=FACE_OUTER_BOUND('',#42216,.T.); #23772=FACE_OUTER_BOUND('',#42217,.T.); #23773=FACE_OUTER_BOUND('',#42218,.T.); #23774=FACE_OUTER_BOUND('',#42219,.T.); #23775=FACE_OUTER_BOUND('',#42220,.T.); #23776=FACE_OUTER_BOUND('',#42221,.T.); #23777=FACE_OUTER_BOUND('',#42222,.T.); #23778=FACE_OUTER_BOUND('',#42223,.T.); #23779=FACE_OUTER_BOUND('',#42224,.T.); #23780=FACE_OUTER_BOUND('',#42225,.T.); #23781=FACE_OUTER_BOUND('',#42226,.T.); #23782=FACE_OUTER_BOUND('',#42227,.T.); #23783=FACE_OUTER_BOUND('',#42228,.T.); #23784=FACE_OUTER_BOUND('',#42232,.T.); #23785=FACE_OUTER_BOUND('',#42236,.T.); #23786=FACE_OUTER_BOUND('',#42237,.T.); #23787=FACE_OUTER_BOUND('',#42238,.T.); #23788=FACE_OUTER_BOUND('',#42239,.T.); #23789=FACE_OUTER_BOUND('',#42240,.T.); #23790=FACE_OUTER_BOUND('',#42241,.T.); #23791=FACE_OUTER_BOUND('',#42242,.T.); #23792=FACE_OUTER_BOUND('',#42243,.T.); #23793=FACE_OUTER_BOUND('',#42244,.T.); #23794=FACE_OUTER_BOUND('',#42245,.T.); #23795=FACE_OUTER_BOUND('',#42246,.T.); #23796=FACE_OUTER_BOUND('',#42247,.T.); #23797=FACE_OUTER_BOUND('',#42248,.T.); #23798=FACE_OUTER_BOUND('',#42249,.T.); #23799=FACE_OUTER_BOUND('',#42250,.T.); #23800=FACE_OUTER_BOUND('',#42251,.T.); #23801=FACE_OUTER_BOUND('',#42252,.T.); #23802=FACE_OUTER_BOUND('',#42253,.T.); #23803=FACE_OUTER_BOUND('',#42254,.T.); #23804=FACE_OUTER_BOUND('',#42255,.T.); #23805=FACE_OUTER_BOUND('',#42256,.T.); #23806=FACE_OUTER_BOUND('',#42257,.T.); #23807=FACE_OUTER_BOUND('',#42258,.T.); #23808=FACE_OUTER_BOUND('',#42259,.T.); #23809=FACE_OUTER_BOUND('',#42260,.T.); #23810=FACE_OUTER_BOUND('',#42261,.T.); #23811=FACE_OUTER_BOUND('',#42262,.T.); #23812=FACE_OUTER_BOUND('',#42263,.T.); #23813=FACE_OUTER_BOUND('',#42264,.T.); #23814=FACE_OUTER_BOUND('',#42265,.T.); #23815=FACE_OUTER_BOUND('',#42266,.T.); #23816=FACE_OUTER_BOUND('',#42267,.T.); #23817=FACE_OUTER_BOUND('',#42268,.T.); #23818=FACE_OUTER_BOUND('',#42269,.T.); #23819=FACE_OUTER_BOUND('',#42270,.T.); #23820=FACE_OUTER_BOUND('',#42271,.T.); #23821=FACE_OUTER_BOUND('',#42272,.T.); #23822=FACE_OUTER_BOUND('',#42273,.T.); #23823=FACE_OUTER_BOUND('',#42274,.T.); #23824=FACE_OUTER_BOUND('',#42275,.T.); #23825=FACE_OUTER_BOUND('',#42276,.T.); #23826=FACE_OUTER_BOUND('',#42277,.T.); #23827=FACE_OUTER_BOUND('',#42278,.T.); #23828=FACE_OUTER_BOUND('',#42279,.T.); #23829=FACE_OUTER_BOUND('',#42280,.T.); #23830=FACE_OUTER_BOUND('',#42281,.T.); #23831=FACE_OUTER_BOUND('',#42282,.T.); #23832=FACE_OUTER_BOUND('',#42283,.T.); #23833=FACE_OUTER_BOUND('',#42284,.T.); #23834=FACE_OUTER_BOUND('',#42285,.T.); #23835=FACE_OUTER_BOUND('',#42286,.T.); #23836=FACE_OUTER_BOUND('',#42287,.T.); #23837=FACE_OUTER_BOUND('',#42288,.T.); #23838=FACE_OUTER_BOUND('',#42289,.T.); #23839=FACE_OUTER_BOUND('',#42290,.T.); #23840=FACE_OUTER_BOUND('',#42291,.T.); #23841=FACE_OUTER_BOUND('',#42292,.T.); #23842=FACE_OUTER_BOUND('',#42293,.T.); #23843=FACE_OUTER_BOUND('',#42294,.T.); #23844=FACE_OUTER_BOUND('',#42295,.T.); #23845=FACE_OUTER_BOUND('',#42296,.T.); #23846=FACE_OUTER_BOUND('',#42297,.T.); #23847=FACE_OUTER_BOUND('',#42298,.T.); #23848=FACE_OUTER_BOUND('',#42299,.T.); #23849=FACE_OUTER_BOUND('',#42300,.T.); #23850=FACE_OUTER_BOUND('',#42301,.T.); #23851=FACE_OUTER_BOUND('',#42302,.T.); #23852=FACE_OUTER_BOUND('',#42303,.T.); #23853=FACE_OUTER_BOUND('',#42304,.T.); #23854=FACE_OUTER_BOUND('',#42305,.T.); #23855=FACE_OUTER_BOUND('',#42306,.T.); #23856=FACE_OUTER_BOUND('',#42307,.T.); #23857=FACE_OUTER_BOUND('',#42308,.T.); #23858=FACE_OUTER_BOUND('',#42309,.T.); #23859=FACE_OUTER_BOUND('',#42310,.T.); #23860=FACE_OUTER_BOUND('',#42311,.T.); #23861=FACE_OUTER_BOUND('',#42312,.T.); #23862=FACE_OUTER_BOUND('',#42313,.T.); #23863=FACE_OUTER_BOUND('',#42314,.T.); #23864=FACE_OUTER_BOUND('',#42315,.T.); #23865=FACE_OUTER_BOUND('',#42316,.T.); #23866=FACE_OUTER_BOUND('',#42317,.T.); #23867=FACE_OUTER_BOUND('',#42318,.T.); #23868=FACE_OUTER_BOUND('',#42319,.T.); #23869=FACE_OUTER_BOUND('',#42320,.T.); #23870=FACE_OUTER_BOUND('',#42321,.T.); #23871=FACE_OUTER_BOUND('',#42322,.T.); #23872=FACE_OUTER_BOUND('',#42323,.T.); #23873=FACE_OUTER_BOUND('',#42324,.T.); #23874=FACE_OUTER_BOUND('',#42325,.T.); #23875=FACE_OUTER_BOUND('',#42326,.T.); #23876=FACE_OUTER_BOUND('',#42327,.T.); #23877=FACE_OUTER_BOUND('',#42328,.T.); #23878=FACE_OUTER_BOUND('',#42329,.T.); #23879=FACE_OUTER_BOUND('',#42330,.T.); #23880=FACE_OUTER_BOUND('',#42331,.T.); #23881=FACE_OUTER_BOUND('',#42332,.T.); #23882=FACE_OUTER_BOUND('',#42333,.T.); #23883=FACE_OUTER_BOUND('',#42334,.T.); #23884=FACE_OUTER_BOUND('',#42335,.T.); #23885=FACE_OUTER_BOUND('',#42336,.T.); #23886=FACE_OUTER_BOUND('',#42337,.T.); #23887=FACE_OUTER_BOUND('',#42338,.T.); #23888=FACE_OUTER_BOUND('',#42339,.T.); #23889=FACE_OUTER_BOUND('',#42340,.T.); #23890=FACE_OUTER_BOUND('',#42341,.T.); #23891=FACE_OUTER_BOUND('',#42342,.T.); #23892=FACE_OUTER_BOUND('',#42343,.T.); #23893=FACE_OUTER_BOUND('',#42344,.T.); #23894=FACE_OUTER_BOUND('',#42345,.T.); #23895=FACE_OUTER_BOUND('',#42346,.T.); #23896=FACE_OUTER_BOUND('',#42347,.T.); #23897=FACE_OUTER_BOUND('',#42348,.T.); #23898=FACE_OUTER_BOUND('',#42349,.T.); #23899=FACE_OUTER_BOUND('',#42350,.T.); #23900=FACE_OUTER_BOUND('',#42351,.T.); #23901=FACE_OUTER_BOUND('',#42352,.T.); #23902=FACE_OUTER_BOUND('',#42353,.T.); #23903=FACE_OUTER_BOUND('',#42354,.T.); #23904=FACE_OUTER_BOUND('',#42355,.T.); #23905=FACE_OUTER_BOUND('',#42356,.T.); #23906=FACE_OUTER_BOUND('',#42357,.T.); #23907=FACE_OUTER_BOUND('',#42358,.T.); #23908=FACE_OUTER_BOUND('',#42359,.T.); #23909=FACE_OUTER_BOUND('',#42360,.T.); #23910=FACE_OUTER_BOUND('',#42361,.T.); #23911=FACE_OUTER_BOUND('',#42362,.T.); #23912=FACE_OUTER_BOUND('',#42363,.T.); #23913=FACE_OUTER_BOUND('',#42364,.T.); #23914=FACE_OUTER_BOUND('',#42365,.T.); #23915=FACE_OUTER_BOUND('',#42366,.T.); #23916=FACE_OUTER_BOUND('',#42367,.T.); #23917=FACE_OUTER_BOUND('',#42368,.T.); #23918=FACE_OUTER_BOUND('',#42369,.T.); #23919=FACE_OUTER_BOUND('',#42370,.T.); #23920=FACE_OUTER_BOUND('',#42371,.T.); #23921=FACE_OUTER_BOUND('',#42372,.T.); #23922=FACE_OUTER_BOUND('',#42373,.T.); #23923=FACE_OUTER_BOUND('',#42374,.T.); #23924=FACE_OUTER_BOUND('',#42375,.T.); #23925=FACE_OUTER_BOUND('',#42376,.T.); #23926=FACE_OUTER_BOUND('',#42377,.T.); #23927=FACE_OUTER_BOUND('',#42378,.T.); #23928=FACE_OUTER_BOUND('',#42379,.T.); #23929=FACE_OUTER_BOUND('',#42380,.T.); #23930=FACE_OUTER_BOUND('',#42381,.T.); #23931=FACE_OUTER_BOUND('',#42382,.T.); #23932=FACE_OUTER_BOUND('',#42383,.T.); #23933=FACE_OUTER_BOUND('',#42384,.T.); #23934=FACE_OUTER_BOUND('',#42385,.T.); #23935=FACE_OUTER_BOUND('',#42386,.T.); #23936=FACE_OUTER_BOUND('',#42387,.T.); #23937=FACE_OUTER_BOUND('',#42388,.T.); #23938=FACE_OUTER_BOUND('',#42389,.T.); #23939=FACE_OUTER_BOUND('',#42390,.T.); #23940=FACE_OUTER_BOUND('',#42391,.T.); #23941=FACE_OUTER_BOUND('',#42392,.T.); #23942=FACE_OUTER_BOUND('',#42393,.T.); #23943=FACE_OUTER_BOUND('',#42394,.T.); #23944=FACE_OUTER_BOUND('',#42395,.T.); #23945=FACE_OUTER_BOUND('',#42396,.T.); #23946=FACE_OUTER_BOUND('',#42397,.T.); #23947=FACE_OUTER_BOUND('',#42398,.T.); #23948=FACE_OUTER_BOUND('',#42399,.T.); #23949=FACE_OUTER_BOUND('',#42400,.T.); #23950=FACE_OUTER_BOUND('',#42401,.T.); #23951=FACE_OUTER_BOUND('',#42402,.T.); #23952=FACE_OUTER_BOUND('',#42403,.T.); #23953=FACE_OUTER_BOUND('',#42404,.T.); #23954=FACE_OUTER_BOUND('',#42405,.T.); #23955=FACE_OUTER_BOUND('',#42406,.T.); #23956=FACE_OUTER_BOUND('',#42407,.T.); #23957=FACE_OUTER_BOUND('',#42408,.T.); #23958=FACE_OUTER_BOUND('',#42409,.T.); #23959=FACE_OUTER_BOUND('',#42410,.T.); #23960=FACE_OUTER_BOUND('',#42411,.T.); #23961=FACE_OUTER_BOUND('',#42412,.T.); #23962=FACE_OUTER_BOUND('',#42413,.T.); #23963=FACE_OUTER_BOUND('',#42414,.T.); #23964=FACE_OUTER_BOUND('',#42415,.T.); #23965=FACE_OUTER_BOUND('',#42416,.T.); #23966=FACE_OUTER_BOUND('',#42417,.T.); #23967=FACE_OUTER_BOUND('',#42418,.T.); #23968=FACE_OUTER_BOUND('',#42419,.T.); #23969=FACE_OUTER_BOUND('',#42420,.T.); #23970=FACE_OUTER_BOUND('',#42421,.T.); #23971=FACE_OUTER_BOUND('',#42422,.T.); #23972=FACE_OUTER_BOUND('',#42423,.T.); #23973=FACE_OUTER_BOUND('',#42424,.T.); #23974=FACE_OUTER_BOUND('',#42425,.T.); #23975=FACE_OUTER_BOUND('',#42426,.T.); #23976=FACE_OUTER_BOUND('',#42427,.T.); #23977=FACE_OUTER_BOUND('',#42428,.T.); #23978=FACE_OUTER_BOUND('',#42429,.T.); #23979=FACE_OUTER_BOUND('',#42430,.T.); #23980=FACE_OUTER_BOUND('',#42431,.T.); #23981=FACE_OUTER_BOUND('',#42432,.T.); #23982=FACE_OUTER_BOUND('',#42433,.T.); #23983=FACE_OUTER_BOUND('',#42434,.T.); #23984=FACE_OUTER_BOUND('',#42435,.T.); #23985=FACE_OUTER_BOUND('',#42436,.T.); #23986=FACE_OUTER_BOUND('',#42437,.T.); #23987=FACE_OUTER_BOUND('',#42438,.T.); #23988=FACE_OUTER_BOUND('',#42439,.T.); #23989=FACE_OUTER_BOUND('',#42440,.T.); #23990=FACE_OUTER_BOUND('',#42441,.T.); #23991=FACE_OUTER_BOUND('',#42442,.T.); #23992=FACE_OUTER_BOUND('',#42443,.T.); #23993=FACE_OUTER_BOUND('',#42444,.T.); #23994=FACE_OUTER_BOUND('',#42445,.T.); #23995=FACE_OUTER_BOUND('',#42446,.T.); #23996=FACE_OUTER_BOUND('',#42447,.T.); #23997=FACE_OUTER_BOUND('',#42448,.T.); #23998=FACE_OUTER_BOUND('',#42449,.T.); #23999=FACE_OUTER_BOUND('',#42450,.T.); #24000=FACE_OUTER_BOUND('',#42451,.T.); #24001=FACE_OUTER_BOUND('',#42452,.T.); #24002=FACE_OUTER_BOUND('',#42453,.T.); #24003=FACE_OUTER_BOUND('',#42454,.T.); #24004=FACE_OUTER_BOUND('',#42455,.T.); #24005=FACE_OUTER_BOUND('',#42456,.T.); #24006=FACE_OUTER_BOUND('',#42457,.T.); #24007=FACE_OUTER_BOUND('',#42458,.T.); #24008=FACE_OUTER_BOUND('',#42459,.T.); #24009=FACE_OUTER_BOUND('',#42460,.T.); #24010=FACE_OUTER_BOUND('',#42461,.T.); #24011=FACE_OUTER_BOUND('',#42462,.T.); #24012=FACE_OUTER_BOUND('',#42463,.T.); #24013=FACE_OUTER_BOUND('',#42464,.T.); #24014=FACE_OUTER_BOUND('',#42465,.T.); #24015=FACE_OUTER_BOUND('',#42466,.T.); #24016=FACE_OUTER_BOUND('',#42467,.T.); #24017=FACE_OUTER_BOUND('',#42468,.T.); #24018=FACE_OUTER_BOUND('',#42469,.T.); #24019=FACE_OUTER_BOUND('',#42470,.T.); #24020=FACE_OUTER_BOUND('',#42471,.T.); #24021=FACE_OUTER_BOUND('',#42472,.T.); #24022=FACE_OUTER_BOUND('',#42473,.T.); #24023=FACE_OUTER_BOUND('',#42474,.T.); #24024=FACE_OUTER_BOUND('',#42475,.T.); #24025=FACE_OUTER_BOUND('',#42476,.T.); #24026=FACE_OUTER_BOUND('',#42477,.T.); #24027=FACE_OUTER_BOUND('',#42478,.T.); #24028=FACE_OUTER_BOUND('',#42479,.T.); #24029=FACE_OUTER_BOUND('',#42480,.T.); #24030=FACE_OUTER_BOUND('',#42481,.T.); #24031=FACE_OUTER_BOUND('',#42482,.T.); #24032=FACE_OUTER_BOUND('',#42483,.T.); #24033=FACE_OUTER_BOUND('',#42484,.T.); #24034=FACE_OUTER_BOUND('',#42485,.T.); #24035=FACE_OUTER_BOUND('',#42486,.T.); #24036=FACE_OUTER_BOUND('',#42487,.T.); #24037=FACE_OUTER_BOUND('',#42488,.T.); #24038=FACE_OUTER_BOUND('',#42489,.T.); #24039=FACE_OUTER_BOUND('',#42490,.T.); #24040=FACE_OUTER_BOUND('',#42491,.T.); #24041=FACE_OUTER_BOUND('',#42492,.T.); #24042=FACE_OUTER_BOUND('',#42493,.T.); #24043=FACE_OUTER_BOUND('',#42494,.T.); #24044=FACE_OUTER_BOUND('',#42495,.T.); #24045=FACE_OUTER_BOUND('',#42496,.T.); #24046=FACE_OUTER_BOUND('',#42497,.T.); #24047=FACE_OUTER_BOUND('',#42498,.T.); #24048=FACE_OUTER_BOUND('',#42499,.T.); #24049=FACE_OUTER_BOUND('',#42500,.T.); #24050=FACE_OUTER_BOUND('',#42501,.T.); #24051=FACE_OUTER_BOUND('',#42502,.T.); #24052=FACE_OUTER_BOUND('',#42503,.T.); #24053=FACE_OUTER_BOUND('',#42504,.T.); #24054=FACE_OUTER_BOUND('',#42505,.T.); #24055=FACE_OUTER_BOUND('',#42506,.T.); #24056=FACE_OUTER_BOUND('',#42507,.T.); #24057=FACE_OUTER_BOUND('',#42508,.T.); #24058=FACE_OUTER_BOUND('',#42509,.T.); #24059=FACE_OUTER_BOUND('',#42510,.T.); #24060=FACE_OUTER_BOUND('',#42511,.T.); #24061=FACE_OUTER_BOUND('',#42512,.T.); #24062=FACE_OUTER_BOUND('',#42513,.T.); #24063=FACE_OUTER_BOUND('',#42514,.T.); #24064=FACE_OUTER_BOUND('',#42515,.T.); #24065=FACE_OUTER_BOUND('',#42516,.T.); #24066=FACE_OUTER_BOUND('',#42517,.T.); #24067=FACE_OUTER_BOUND('',#42518,.T.); #24068=FACE_OUTER_BOUND('',#42519,.T.); #24069=FACE_OUTER_BOUND('',#42520,.T.); #24070=FACE_OUTER_BOUND('',#42521,.T.); #24071=FACE_OUTER_BOUND('',#42522,.T.); #24072=FACE_OUTER_BOUND('',#42523,.T.); #24073=FACE_OUTER_BOUND('',#42524,.T.); #24074=FACE_OUTER_BOUND('',#42525,.T.); #24075=FACE_OUTER_BOUND('',#42526,.T.); #24076=FACE_OUTER_BOUND('',#42527,.T.); #24077=FACE_OUTER_BOUND('',#42528,.T.); #24078=FACE_OUTER_BOUND('',#42529,.T.); #24079=FACE_OUTER_BOUND('',#42530,.T.); #24080=FACE_OUTER_BOUND('',#42531,.T.); #24081=FACE_OUTER_BOUND('',#42532,.T.); #24082=FACE_OUTER_BOUND('',#42533,.T.); #24083=FACE_OUTER_BOUND('',#42534,.T.); #24084=FACE_OUTER_BOUND('',#42535,.T.); #24085=FACE_OUTER_BOUND('',#42536,.T.); #24086=FACE_OUTER_BOUND('',#42537,.T.); #24087=FACE_OUTER_BOUND('',#42538,.T.); #24088=FACE_OUTER_BOUND('',#42539,.T.); #24089=FACE_OUTER_BOUND('',#42540,.T.); #24090=FACE_OUTER_BOUND('',#42541,.T.); #24091=FACE_OUTER_BOUND('',#42542,.T.); #24092=FACE_OUTER_BOUND('',#42543,.T.); #24093=FACE_OUTER_BOUND('',#42544,.T.); #24094=FACE_OUTER_BOUND('',#42545,.T.); #24095=FACE_OUTER_BOUND('',#42546,.T.); #24096=FACE_OUTER_BOUND('',#42547,.T.); #24097=FACE_OUTER_BOUND('',#42548,.T.); #24098=FACE_OUTER_BOUND('',#42549,.T.); #24099=FACE_OUTER_BOUND('',#42550,.T.); #24100=FACE_OUTER_BOUND('',#42551,.T.); #24101=FACE_OUTER_BOUND('',#42552,.T.); #24102=FACE_OUTER_BOUND('',#42553,.T.); #24103=FACE_OUTER_BOUND('',#42554,.T.); #24104=FACE_OUTER_BOUND('',#42555,.T.); #24105=FACE_OUTER_BOUND('',#42556,.T.); #24106=FACE_OUTER_BOUND('',#42557,.T.); #24107=FACE_OUTER_BOUND('',#42558,.T.); #24108=FACE_OUTER_BOUND('',#42571,.T.); #24109=FACE_OUTER_BOUND('',#42584,.T.); #24110=FACE_OUTER_BOUND('',#42585,.T.); #24111=FACE_OUTER_BOUND('',#42586,.T.); #24112=FACE_OUTER_BOUND('',#42587,.T.); #24113=FACE_OUTER_BOUND('',#42588,.T.); #24114=FACE_OUTER_BOUND('',#42589,.T.); #24115=FACE_OUTER_BOUND('',#42590,.T.); #24116=FACE_OUTER_BOUND('',#42591,.T.); #24117=FACE_OUTER_BOUND('',#42592,.T.); #24118=FACE_OUTER_BOUND('',#42593,.T.); #24119=FACE_OUTER_BOUND('',#42594,.T.); #24120=FACE_OUTER_BOUND('',#42595,.T.); #24121=FACE_OUTER_BOUND('',#42596,.T.); #24122=FACE_OUTER_BOUND('',#42597,.T.); #24123=FACE_OUTER_BOUND('',#42598,.T.); #24124=FACE_OUTER_BOUND('',#42599,.T.); #24125=FACE_OUTER_BOUND('',#42600,.T.); #24126=FACE_OUTER_BOUND('',#42601,.T.); #24127=FACE_OUTER_BOUND('',#42602,.T.); #24128=FACE_OUTER_BOUND('',#42603,.T.); #24129=FACE_OUTER_BOUND('',#42604,.T.); #24130=FACE_OUTER_BOUND('',#42605,.T.); #24131=FACE_OUTER_BOUND('',#42606,.T.); #24132=FACE_OUTER_BOUND('',#42607,.T.); #24133=FACE_OUTER_BOUND('',#42608,.T.); #24134=FACE_OUTER_BOUND('',#42609,.T.); #24135=FACE_OUTER_BOUND('',#42610,.T.); #24136=FACE_OUTER_BOUND('',#42611,.T.); #24137=FACE_OUTER_BOUND('',#42612,.T.); #24138=FACE_OUTER_BOUND('',#42613,.T.); #24139=FACE_OUTER_BOUND('',#42614,.T.); #24140=FACE_OUTER_BOUND('',#42615,.T.); #24141=FACE_OUTER_BOUND('',#42616,.T.); #24142=FACE_OUTER_BOUND('',#42617,.T.); #24143=FACE_OUTER_BOUND('',#42618,.T.); #24144=FACE_OUTER_BOUND('',#42619,.T.); #24145=FACE_OUTER_BOUND('',#42620,.T.); #24146=FACE_OUTER_BOUND('',#42621,.T.); #24147=FACE_OUTER_BOUND('',#42622,.T.); #24148=FACE_OUTER_BOUND('',#42623,.T.); #24149=FACE_OUTER_BOUND('',#42624,.T.); #24150=FACE_OUTER_BOUND('',#42625,.T.); #24151=FACE_OUTER_BOUND('',#42626,.T.); #24152=FACE_OUTER_BOUND('',#42627,.T.); #24153=FACE_OUTER_BOUND('',#42628,.T.); #24154=FACE_OUTER_BOUND('',#42629,.T.); #24155=FACE_OUTER_BOUND('',#42630,.T.); #24156=FACE_OUTER_BOUND('',#42631,.T.); #24157=FACE_OUTER_BOUND('',#42632,.T.); #24158=FACE_OUTER_BOUND('',#42633,.T.); #24159=FACE_OUTER_BOUND('',#42634,.T.); #24160=FACE_OUTER_BOUND('',#42635,.T.); #24161=FACE_OUTER_BOUND('',#42636,.T.); #24162=FACE_OUTER_BOUND('',#42637,.T.); #24163=FACE_OUTER_BOUND('',#42638,.T.); #24164=FACE_OUTER_BOUND('',#42639,.T.); #24165=FACE_OUTER_BOUND('',#42640,.T.); #24166=FACE_OUTER_BOUND('',#42641,.T.); #24167=FACE_OUTER_BOUND('',#42642,.T.); #24168=FACE_OUTER_BOUND('',#42643,.T.); #24169=FACE_OUTER_BOUND('',#42644,.T.); #24170=FACE_OUTER_BOUND('',#42645,.T.); #24171=FACE_OUTER_BOUND('',#42646,.T.); #24172=FACE_OUTER_BOUND('',#42647,.T.); #24173=FACE_OUTER_BOUND('',#42648,.T.); #24174=FACE_OUTER_BOUND('',#42649,.T.); #24175=FACE_OUTER_BOUND('',#42650,.T.); #24176=FACE_OUTER_BOUND('',#42651,.T.); #24177=FACE_OUTER_BOUND('',#42652,.T.); #24178=FACE_OUTER_BOUND('',#42653,.T.); #24179=FACE_OUTER_BOUND('',#42654,.T.); #24180=FACE_OUTER_BOUND('',#42655,.T.); #24181=FACE_OUTER_BOUND('',#42656,.T.); #24182=FACE_OUTER_BOUND('',#42657,.T.); #24183=FACE_OUTER_BOUND('',#42658,.T.); #24184=FACE_OUTER_BOUND('',#42659,.T.); #24185=FACE_OUTER_BOUND('',#42660,.T.); #24186=FACE_OUTER_BOUND('',#42661,.T.); #24187=FACE_OUTER_BOUND('',#42662,.T.); #24188=FACE_OUTER_BOUND('',#42663,.T.); #24189=FACE_OUTER_BOUND('',#42664,.T.); #24190=FACE_OUTER_BOUND('',#42665,.T.); #24191=FACE_OUTER_BOUND('',#42666,.T.); #24192=FACE_OUTER_BOUND('',#42667,.T.); #24193=FACE_OUTER_BOUND('',#42668,.T.); #24194=FACE_OUTER_BOUND('',#42669,.T.); #24195=FACE_OUTER_BOUND('',#42670,.T.); #24196=FACE_OUTER_BOUND('',#42671,.T.); #24197=FACE_OUTER_BOUND('',#42672,.T.); #24198=FACE_OUTER_BOUND('',#42673,.T.); #24199=FACE_OUTER_BOUND('',#42674,.T.); #24200=FACE_OUTER_BOUND('',#42675,.T.); #24201=FACE_OUTER_BOUND('',#42676,.T.); #24202=FACE_OUTER_BOUND('',#42677,.T.); #24203=FACE_OUTER_BOUND('',#42678,.T.); #24204=FACE_OUTER_BOUND('',#42679,.T.); #24205=FACE_OUTER_BOUND('',#42680,.T.); #24206=FACE_OUTER_BOUND('',#42681,.T.); #24207=FACE_OUTER_BOUND('',#42682,.T.); #24208=FACE_OUTER_BOUND('',#42683,.T.); #24209=FACE_OUTER_BOUND('',#42684,.T.); #24210=FACE_OUTER_BOUND('',#42685,.T.); #24211=FACE_OUTER_BOUND('',#42686,.T.); #24212=FACE_OUTER_BOUND('',#42687,.T.); #24213=FACE_OUTER_BOUND('',#42688,.T.); #24214=FACE_OUTER_BOUND('',#42689,.T.); #24215=FACE_OUTER_BOUND('',#42690,.T.); #24216=FACE_OUTER_BOUND('',#42691,.T.); #24217=FACE_OUTER_BOUND('',#42692,.T.); #24218=FACE_OUTER_BOUND('',#42693,.T.); #24219=FACE_OUTER_BOUND('',#42694,.T.); #24220=FACE_OUTER_BOUND('',#42695,.T.); #24221=FACE_OUTER_BOUND('',#42696,.T.); #24222=FACE_OUTER_BOUND('',#42697,.T.); #24223=FACE_OUTER_BOUND('',#42698,.T.); #24224=FACE_OUTER_BOUND('',#42699,.T.); #24225=FACE_OUTER_BOUND('',#42700,.T.); #24226=FACE_OUTER_BOUND('',#42701,.T.); #24227=FACE_OUTER_BOUND('',#42702,.T.); #24228=FACE_OUTER_BOUND('',#42703,.T.); #24229=FACE_OUTER_BOUND('',#42704,.T.); #24230=FACE_OUTER_BOUND('',#42705,.T.); #24231=FACE_OUTER_BOUND('',#42706,.T.); #24232=FACE_OUTER_BOUND('',#42707,.T.); #24233=FACE_OUTER_BOUND('',#42708,.T.); #24234=FACE_OUTER_BOUND('',#42709,.T.); #24235=FACE_OUTER_BOUND('',#42710,.T.); #24236=FACE_OUTER_BOUND('',#42711,.T.); #24237=FACE_OUTER_BOUND('',#42712,.T.); #24238=FACE_OUTER_BOUND('',#42713,.T.); #24239=FACE_OUTER_BOUND('',#42714,.T.); #24240=FACE_OUTER_BOUND('',#42715,.T.); #24241=FACE_OUTER_BOUND('',#42716,.T.); #24242=FACE_OUTER_BOUND('',#42717,.T.); #24243=FACE_OUTER_BOUND('',#42718,.T.); #24244=FACE_OUTER_BOUND('',#42719,.T.); #24245=FACE_OUTER_BOUND('',#42720,.T.); #24246=FACE_OUTER_BOUND('',#42721,.T.); #24247=FACE_OUTER_BOUND('',#42722,.T.); #24248=FACE_OUTER_BOUND('',#42723,.T.); #24249=FACE_OUTER_BOUND('',#42724,.T.); #24250=FACE_OUTER_BOUND('',#42725,.T.); #24251=FACE_OUTER_BOUND('',#42726,.T.); #24252=FACE_OUTER_BOUND('',#42727,.T.); #24253=FACE_OUTER_BOUND('',#42728,.T.); #24254=FACE_OUTER_BOUND('',#42729,.T.); #24255=FACE_OUTER_BOUND('',#42730,.T.); #24256=FACE_OUTER_BOUND('',#42731,.T.); #24257=FACE_OUTER_BOUND('',#42732,.T.); #24258=FACE_OUTER_BOUND('',#42733,.T.); #24259=FACE_OUTER_BOUND('',#42734,.T.); #24260=FACE_OUTER_BOUND('',#42735,.T.); #24261=FACE_OUTER_BOUND('',#42736,.T.); #24262=FACE_OUTER_BOUND('',#42737,.T.); #24263=FACE_OUTER_BOUND('',#42738,.T.); #24264=FACE_OUTER_BOUND('',#42739,.T.); #24265=FACE_OUTER_BOUND('',#42740,.T.); #24266=FACE_OUTER_BOUND('',#42741,.T.); #24267=FACE_OUTER_BOUND('',#42742,.T.); #24268=FACE_OUTER_BOUND('',#42743,.T.); #24269=FACE_OUTER_BOUND('',#42744,.T.); #24270=FACE_OUTER_BOUND('',#42745,.T.); #24271=FACE_OUTER_BOUND('',#42746,.T.); #24272=FACE_OUTER_BOUND('',#42747,.T.); #24273=FACE_OUTER_BOUND('',#42748,.T.); #24274=FACE_OUTER_BOUND('',#42749,.T.); #24275=FACE_OUTER_BOUND('',#42750,.T.); #24276=FACE_OUTER_BOUND('',#42751,.T.); #24277=FACE_OUTER_BOUND('',#42752,.T.); #24278=FACE_OUTER_BOUND('',#42753,.T.); #24279=FACE_OUTER_BOUND('',#42754,.T.); #24280=FACE_OUTER_BOUND('',#42755,.T.); #24281=FACE_OUTER_BOUND('',#42756,.T.); #24282=FACE_OUTER_BOUND('',#42757,.T.); #24283=FACE_OUTER_BOUND('',#42758,.T.); #24284=FACE_OUTER_BOUND('',#42759,.T.); #24285=FACE_OUTER_BOUND('',#42760,.T.); #24286=FACE_OUTER_BOUND('',#42761,.T.); #24287=FACE_OUTER_BOUND('',#42762,.T.); #24288=FACE_OUTER_BOUND('',#42763,.T.); #24289=FACE_OUTER_BOUND('',#42764,.T.); #24290=FACE_OUTER_BOUND('',#42765,.T.); #24291=FACE_OUTER_BOUND('',#42766,.T.); #24292=FACE_OUTER_BOUND('',#42767,.T.); #24293=FACE_OUTER_BOUND('',#42768,.T.); #24294=FACE_OUTER_BOUND('',#42769,.T.); #24295=FACE_OUTER_BOUND('',#42770,.T.); #24296=FACE_OUTER_BOUND('',#42771,.T.); #24297=FACE_OUTER_BOUND('',#42772,.T.); #24298=FACE_OUTER_BOUND('',#42773,.T.); #24299=FACE_OUTER_BOUND('',#42774,.T.); #24300=FACE_OUTER_BOUND('',#42775,.T.); #24301=FACE_OUTER_BOUND('',#42776,.T.); #24302=FACE_OUTER_BOUND('',#42777,.T.); #24303=FACE_OUTER_BOUND('',#42778,.T.); #24304=FACE_OUTER_BOUND('',#42779,.T.); #24305=FACE_OUTER_BOUND('',#42780,.T.); #24306=FACE_OUTER_BOUND('',#42781,.T.); #24307=FACE_OUTER_BOUND('',#42782,.T.); #24308=FACE_OUTER_BOUND('',#42783,.T.); #24309=FACE_OUTER_BOUND('',#42784,.T.); #24310=FACE_OUTER_BOUND('',#42785,.T.); #24311=FACE_OUTER_BOUND('',#42786,.T.); #24312=FACE_OUTER_BOUND('',#42787,.T.); #24313=FACE_OUTER_BOUND('',#42788,.T.); #24314=FACE_OUTER_BOUND('',#42789,.T.); #24315=FACE_OUTER_BOUND('',#42790,.T.); #24316=FACE_OUTER_BOUND('',#42791,.T.); #24317=FACE_OUTER_BOUND('',#42792,.T.); #24318=FACE_OUTER_BOUND('',#42793,.T.); #24319=FACE_OUTER_BOUND('',#42794,.T.); #24320=FACE_OUTER_BOUND('',#42795,.T.); #24321=FACE_OUTER_BOUND('',#42796,.T.); #24322=FACE_OUTER_BOUND('',#42797,.T.); #24323=FACE_OUTER_BOUND('',#42798,.T.); #24324=FACE_OUTER_BOUND('',#42799,.T.); #24325=FACE_OUTER_BOUND('',#42800,.T.); #24326=FACE_OUTER_BOUND('',#42801,.T.); #24327=FACE_OUTER_BOUND('',#42802,.T.); #24328=FACE_OUTER_BOUND('',#42803,.T.); #24329=FACE_OUTER_BOUND('',#42804,.T.); #24330=FACE_OUTER_BOUND('',#42805,.T.); #24331=FACE_OUTER_BOUND('',#42806,.T.); #24332=FACE_OUTER_BOUND('',#42807,.T.); #24333=FACE_OUTER_BOUND('',#42808,.T.); #24334=FACE_OUTER_BOUND('',#42809,.T.); #24335=FACE_OUTER_BOUND('',#42810,.T.); #24336=FACE_OUTER_BOUND('',#42811,.T.); #24337=FACE_OUTER_BOUND('',#42812,.T.); #24338=FACE_OUTER_BOUND('',#42813,.T.); #24339=FACE_OUTER_BOUND('',#42814,.T.); #24340=FACE_OUTER_BOUND('',#42815,.T.); #24341=FACE_OUTER_BOUND('',#42816,.T.); #24342=FACE_OUTER_BOUND('',#42817,.T.); #24343=FACE_OUTER_BOUND('',#42818,.T.); #24344=FACE_OUTER_BOUND('',#42819,.T.); #24345=FACE_OUTER_BOUND('',#42820,.T.); #24346=FACE_OUTER_BOUND('',#42821,.T.); #24347=FACE_OUTER_BOUND('',#42822,.T.); #24348=FACE_OUTER_BOUND('',#42823,.T.); #24349=FACE_OUTER_BOUND('',#42824,.T.); #24350=FACE_OUTER_BOUND('',#42825,.T.); #24351=FACE_OUTER_BOUND('',#42826,.T.); #24352=FACE_OUTER_BOUND('',#42827,.T.); #24353=FACE_OUTER_BOUND('',#42828,.T.); #24354=FACE_OUTER_BOUND('',#42829,.T.); #24355=FACE_OUTER_BOUND('',#42830,.T.); #24356=FACE_OUTER_BOUND('',#42831,.T.); #24357=FACE_OUTER_BOUND('',#42832,.T.); #24358=FACE_OUTER_BOUND('',#42833,.T.); #24359=FACE_OUTER_BOUND('',#42834,.T.); #24360=FACE_OUTER_BOUND('',#42835,.T.); #24361=FACE_OUTER_BOUND('',#42836,.T.); #24362=FACE_OUTER_BOUND('',#42837,.T.); #24363=FACE_OUTER_BOUND('',#42838,.T.); #24364=FACE_OUTER_BOUND('',#42839,.T.); #24365=FACE_OUTER_BOUND('',#42840,.T.); #24366=FACE_OUTER_BOUND('',#42841,.T.); #24367=FACE_OUTER_BOUND('',#42842,.T.); #24368=FACE_OUTER_BOUND('',#42843,.T.); #24369=FACE_OUTER_BOUND('',#42844,.T.); #24370=FACE_OUTER_BOUND('',#42845,.T.); #24371=FACE_OUTER_BOUND('',#42846,.T.); #24372=FACE_OUTER_BOUND('',#42847,.T.); #24373=FACE_OUTER_BOUND('',#42848,.T.); #24374=FACE_OUTER_BOUND('',#42849,.T.); #24375=FACE_OUTER_BOUND('',#42850,.T.); #24376=FACE_OUTER_BOUND('',#42851,.T.); #24377=FACE_OUTER_BOUND('',#42852,.T.); #24378=FACE_OUTER_BOUND('',#42853,.T.); #24379=FACE_OUTER_BOUND('',#42854,.T.); #24380=FACE_OUTER_BOUND('',#42855,.T.); #24381=FACE_OUTER_BOUND('',#42856,.T.); #24382=FACE_OUTER_BOUND('',#42857,.T.); #24383=FACE_OUTER_BOUND('',#42858,.T.); #24384=FACE_OUTER_BOUND('',#42859,.T.); #24385=FACE_OUTER_BOUND('',#42860,.T.); #24386=FACE_OUTER_BOUND('',#42861,.T.); #24387=FACE_OUTER_BOUND('',#42862,.T.); #24388=FACE_OUTER_BOUND('',#42863,.T.); #24389=FACE_OUTER_BOUND('',#42864,.T.); #24390=FACE_OUTER_BOUND('',#42865,.T.); #24391=FACE_OUTER_BOUND('',#42866,.T.); #24392=FACE_OUTER_BOUND('',#42867,.T.); #24393=FACE_OUTER_BOUND('',#42868,.T.); #24394=FACE_OUTER_BOUND('',#42869,.T.); #24395=FACE_OUTER_BOUND('',#42870,.T.); #24396=FACE_OUTER_BOUND('',#42871,.T.); #24397=FACE_OUTER_BOUND('',#42872,.T.); #24398=FACE_OUTER_BOUND('',#42873,.T.); #24399=FACE_OUTER_BOUND('',#42874,.T.); #24400=FACE_OUTER_BOUND('',#42875,.T.); #24401=FACE_OUTER_BOUND('',#42876,.T.); #24402=FACE_OUTER_BOUND('',#42877,.T.); #24403=FACE_OUTER_BOUND('',#42878,.T.); #24404=FACE_OUTER_BOUND('',#42879,.T.); #24405=FACE_OUTER_BOUND('',#42880,.T.); #24406=FACE_OUTER_BOUND('',#42881,.T.); #24407=FACE_OUTER_BOUND('',#42882,.T.); #24408=FACE_OUTER_BOUND('',#42883,.T.); #24409=FACE_OUTER_BOUND('',#42884,.T.); #24410=FACE_OUTER_BOUND('',#42885,.T.); #24411=FACE_OUTER_BOUND('',#42886,.T.); #24412=FACE_OUTER_BOUND('',#42887,.T.); #24413=FACE_OUTER_BOUND('',#42888,.T.); #24414=FACE_OUTER_BOUND('',#42889,.T.); #24415=FACE_OUTER_BOUND('',#42890,.T.); #24416=FACE_OUTER_BOUND('',#42891,.T.); #24417=FACE_OUTER_BOUND('',#42892,.T.); #24418=FACE_OUTER_BOUND('',#42893,.T.); #24419=FACE_OUTER_BOUND('',#42894,.T.); #24420=FACE_OUTER_BOUND('',#42895,.T.); #24421=FACE_OUTER_BOUND('',#42896,.T.); #24422=FACE_OUTER_BOUND('',#42897,.T.); #24423=FACE_OUTER_BOUND('',#42898,.T.); #24424=FACE_OUTER_BOUND('',#42899,.T.); #24425=FACE_OUTER_BOUND('',#42900,.T.); #24426=FACE_OUTER_BOUND('',#42901,.T.); #24427=FACE_OUTER_BOUND('',#42902,.T.); #24428=FACE_OUTER_BOUND('',#42903,.T.); #24429=FACE_OUTER_BOUND('',#42904,.T.); #24430=FACE_OUTER_BOUND('',#42905,.T.); #24431=FACE_OUTER_BOUND('',#42906,.T.); #24432=FACE_OUTER_BOUND('',#42907,.T.); #24433=FACE_OUTER_BOUND('',#42908,.T.); #24434=FACE_OUTER_BOUND('',#42909,.T.); #24435=FACE_OUTER_BOUND('',#42910,.T.); #24436=FACE_OUTER_BOUND('',#42911,.T.); #24437=FACE_OUTER_BOUND('',#42912,.T.); #24438=FACE_OUTER_BOUND('',#42913,.T.); #24439=FACE_OUTER_BOUND('',#42914,.T.); #24440=FACE_OUTER_BOUND('',#42915,.T.); #24441=FACE_OUTER_BOUND('',#42916,.T.); #24442=FACE_OUTER_BOUND('',#42917,.T.); #24443=FACE_OUTER_BOUND('',#42918,.T.); #24444=FACE_OUTER_BOUND('',#42919,.T.); #24445=FACE_OUTER_BOUND('',#42920,.T.); #24446=FACE_OUTER_BOUND('',#42921,.T.); #24447=FACE_OUTER_BOUND('',#42922,.T.); #24448=FACE_OUTER_BOUND('',#42923,.T.); #24449=FACE_OUTER_BOUND('',#42924,.T.); #24450=FACE_OUTER_BOUND('',#42925,.T.); #24451=FACE_OUTER_BOUND('',#42926,.T.); #24452=FACE_OUTER_BOUND('',#42927,.T.); #24453=FACE_OUTER_BOUND('',#42928,.T.); #24454=FACE_OUTER_BOUND('',#42929,.T.); #24455=FACE_OUTER_BOUND('',#42930,.T.); #24456=FACE_OUTER_BOUND('',#42931,.T.); #24457=FACE_OUTER_BOUND('',#42932,.T.); #24458=FACE_OUTER_BOUND('',#42933,.T.); #24459=FACE_OUTER_BOUND('',#42934,.T.); #24460=FACE_OUTER_BOUND('',#42935,.T.); #24461=FACE_OUTER_BOUND('',#42936,.T.); #24462=FACE_OUTER_BOUND('',#42937,.T.); #24463=FACE_OUTER_BOUND('',#42938,.T.); #24464=FACE_OUTER_BOUND('',#42939,.T.); #24465=FACE_OUTER_BOUND('',#42940,.T.); #24466=FACE_OUTER_BOUND('',#42941,.T.); #24467=FACE_OUTER_BOUND('',#42942,.T.); #24468=FACE_OUTER_BOUND('',#42943,.T.); #24469=FACE_OUTER_BOUND('',#42944,.T.); #24470=FACE_OUTER_BOUND('',#42945,.T.); #24471=FACE_OUTER_BOUND('',#42946,.T.); #24472=FACE_OUTER_BOUND('',#42947,.T.); #24473=FACE_OUTER_BOUND('',#42948,.T.); #24474=FACE_OUTER_BOUND('',#42949,.T.); #24475=FACE_OUTER_BOUND('',#42950,.T.); #24476=FACE_OUTER_BOUND('',#42951,.T.); #24477=FACE_OUTER_BOUND('',#42952,.T.); #24478=FACE_OUTER_BOUND('',#42953,.T.); #24479=FACE_OUTER_BOUND('',#42954,.T.); #24480=FACE_OUTER_BOUND('',#42955,.T.); #24481=FACE_OUTER_BOUND('',#42956,.T.); #24482=FACE_OUTER_BOUND('',#42957,.T.); #24483=FACE_OUTER_BOUND('',#42958,.T.); #24484=FACE_OUTER_BOUND('',#42959,.T.); #24485=FACE_OUTER_BOUND('',#42960,.T.); #24486=FACE_OUTER_BOUND('',#42961,.T.); #24487=FACE_OUTER_BOUND('',#42962,.T.); #24488=FACE_OUTER_BOUND('',#42963,.T.); #24489=FACE_OUTER_BOUND('',#42964,.T.); #24490=FACE_OUTER_BOUND('',#42965,.T.); #24491=FACE_OUTER_BOUND('',#42966,.T.); #24492=FACE_OUTER_BOUND('',#42967,.T.); #24493=FACE_OUTER_BOUND('',#42968,.T.); #24494=FACE_OUTER_BOUND('',#42969,.T.); #24495=FACE_OUTER_BOUND('',#42970,.T.); #24496=FACE_OUTER_BOUND('',#42971,.T.); #24497=FACE_OUTER_BOUND('',#42972,.T.); #24498=FACE_OUTER_BOUND('',#42973,.T.); #24499=FACE_OUTER_BOUND('',#42974,.T.); #24500=FACE_OUTER_BOUND('',#42975,.T.); #24501=FACE_OUTER_BOUND('',#42976,.T.); #24502=FACE_OUTER_BOUND('',#42977,.T.); #24503=FACE_OUTER_BOUND('',#42978,.T.); #24504=FACE_OUTER_BOUND('',#42979,.T.); #24505=FACE_OUTER_BOUND('',#42980,.T.); #24506=FACE_OUTER_BOUND('',#42981,.T.); #24507=FACE_OUTER_BOUND('',#42982,.T.); #24508=FACE_OUTER_BOUND('',#42983,.T.); #24509=FACE_OUTER_BOUND('',#42984,.T.); #24510=FACE_OUTER_BOUND('',#42985,.T.); #24511=FACE_OUTER_BOUND('',#42986,.T.); #24512=FACE_OUTER_BOUND('',#42987,.T.); #24513=FACE_OUTER_BOUND('',#42988,.T.); #24514=FACE_OUTER_BOUND('',#42989,.T.); #24515=FACE_OUTER_BOUND('',#42990,.T.); #24516=FACE_OUTER_BOUND('',#42991,.T.); #24517=FACE_OUTER_BOUND('',#42992,.T.); #24518=FACE_OUTER_BOUND('',#42993,.T.); #24519=FACE_OUTER_BOUND('',#42994,.T.); #24520=FACE_OUTER_BOUND('',#42995,.T.); #24521=FACE_OUTER_BOUND('',#42996,.T.); #24522=FACE_OUTER_BOUND('',#42997,.T.); #24523=FACE_OUTER_BOUND('',#42998,.T.); #24524=FACE_OUTER_BOUND('',#42999,.T.); #24525=FACE_OUTER_BOUND('',#43000,.T.); #24526=FACE_OUTER_BOUND('',#43001,.T.); #24527=FACE_OUTER_BOUND('',#43002,.T.); #24528=FACE_OUTER_BOUND('',#43003,.T.); #24529=FACE_OUTER_BOUND('',#43004,.T.); #24530=FACE_OUTER_BOUND('',#43005,.T.); #24531=FACE_OUTER_BOUND('',#43006,.T.); #24532=FACE_OUTER_BOUND('',#43007,.T.); #24533=FACE_OUTER_BOUND('',#43008,.T.); #24534=FACE_OUTER_BOUND('',#43009,.T.); #24535=FACE_OUTER_BOUND('',#43010,.T.); #24536=FACE_OUTER_BOUND('',#43011,.T.); #24537=FACE_OUTER_BOUND('',#43012,.T.); #24538=FACE_OUTER_BOUND('',#43013,.T.); #24539=FACE_OUTER_BOUND('',#43014,.T.); #24540=FACE_OUTER_BOUND('',#43015,.T.); #24541=FACE_OUTER_BOUND('',#43016,.T.); #24542=FACE_OUTER_BOUND('',#43017,.T.); #24543=FACE_OUTER_BOUND('',#43018,.T.); #24544=FACE_OUTER_BOUND('',#43019,.T.); #24545=FACE_OUTER_BOUND('',#43020,.T.); #24546=FACE_OUTER_BOUND('',#43021,.T.); #24547=FACE_OUTER_BOUND('',#43022,.T.); #24548=FACE_OUTER_BOUND('',#43023,.T.); #24549=FACE_OUTER_BOUND('',#43024,.T.); #24550=FACE_OUTER_BOUND('',#43025,.T.); #24551=FACE_OUTER_BOUND('',#43026,.T.); #24552=FACE_OUTER_BOUND('',#43027,.T.); #24553=FACE_OUTER_BOUND('',#43028,.T.); #24554=FACE_OUTER_BOUND('',#43029,.T.); #24555=FACE_OUTER_BOUND('',#43030,.T.); #24556=FACE_OUTER_BOUND('',#43031,.T.); #24557=FACE_OUTER_BOUND('',#43032,.T.); #24558=FACE_OUTER_BOUND('',#43033,.T.); #24559=FACE_OUTER_BOUND('',#43034,.T.); #24560=FACE_OUTER_BOUND('',#43035,.T.); #24561=FACE_OUTER_BOUND('',#43036,.T.); #24562=FACE_OUTER_BOUND('',#43037,.T.); #24563=FACE_OUTER_BOUND('',#43038,.T.); #24564=FACE_OUTER_BOUND('',#43039,.T.); #24565=FACE_OUTER_BOUND('',#43040,.T.); #24566=FACE_OUTER_BOUND('',#43041,.T.); #24567=FACE_OUTER_BOUND('',#43042,.T.); #24568=FACE_OUTER_BOUND('',#43043,.T.); #24569=FACE_OUTER_BOUND('',#43044,.T.); #24570=FACE_OUTER_BOUND('',#43045,.T.); #24571=FACE_OUTER_BOUND('',#43046,.T.); #24572=FACE_OUTER_BOUND('',#43047,.T.); #24573=FACE_OUTER_BOUND('',#43048,.T.); #24574=FACE_OUTER_BOUND('',#43049,.T.); #24575=FACE_OUTER_BOUND('',#43050,.T.); #24576=FACE_OUTER_BOUND('',#43051,.T.); #24577=FACE_OUTER_BOUND('',#43052,.T.); #24578=FACE_OUTER_BOUND('',#43053,.T.); #24579=FACE_OUTER_BOUND('',#43054,.T.); #24580=FACE_OUTER_BOUND('',#43055,.T.); #24581=FACE_OUTER_BOUND('',#43056,.T.); #24582=FACE_OUTER_BOUND('',#43057,.T.); #24583=FACE_OUTER_BOUND('',#43058,.T.); #24584=FACE_OUTER_BOUND('',#43059,.T.); #24585=FACE_OUTER_BOUND('',#43060,.T.); #24586=FACE_OUTER_BOUND('',#43061,.T.); #24587=FACE_OUTER_BOUND('',#43062,.T.); #24588=FACE_OUTER_BOUND('',#43063,.T.); #24589=FACE_OUTER_BOUND('',#43064,.T.); #24590=FACE_OUTER_BOUND('',#43065,.T.); #24591=FACE_OUTER_BOUND('',#43066,.T.); #24592=FACE_OUTER_BOUND('',#43067,.T.); #24593=FACE_OUTER_BOUND('',#43068,.T.); #24594=FACE_OUTER_BOUND('',#43069,.T.); #24595=FACE_OUTER_BOUND('',#43070,.T.); #24596=FACE_OUTER_BOUND('',#43071,.T.); #24597=FACE_OUTER_BOUND('',#43072,.T.); #24598=FACE_OUTER_BOUND('',#43073,.T.); #24599=FACE_OUTER_BOUND('',#43074,.T.); #24600=FACE_OUTER_BOUND('',#43075,.T.); #24601=FACE_OUTER_BOUND('',#43076,.T.); #24602=FACE_OUTER_BOUND('',#43077,.T.); #24603=FACE_OUTER_BOUND('',#43078,.T.); #24604=FACE_OUTER_BOUND('',#43079,.T.); #24605=FACE_OUTER_BOUND('',#43080,.T.); #24606=FACE_OUTER_BOUND('',#43081,.T.); #24607=FACE_OUTER_BOUND('',#43082,.T.); #24608=FACE_OUTER_BOUND('',#43083,.T.); #24609=FACE_OUTER_BOUND('',#43084,.T.); #24610=FACE_OUTER_BOUND('',#43085,.T.); #24611=FACE_OUTER_BOUND('',#43086,.T.); #24612=FACE_OUTER_BOUND('',#43087,.T.); #24613=FACE_OUTER_BOUND('',#43088,.T.); #24614=FACE_OUTER_BOUND('',#43089,.T.); #24615=FACE_OUTER_BOUND('',#43090,.T.); #24616=FACE_OUTER_BOUND('',#43091,.T.); #24617=FACE_OUTER_BOUND('',#43092,.T.); #24618=FACE_OUTER_BOUND('',#43093,.T.); #24619=FACE_OUTER_BOUND('',#43094,.T.); #24620=FACE_OUTER_BOUND('',#43095,.T.); #24621=FACE_OUTER_BOUND('',#43096,.T.); #24622=FACE_OUTER_BOUND('',#43097,.T.); #24623=FACE_OUTER_BOUND('',#43098,.T.); #24624=FACE_OUTER_BOUND('',#43099,.T.); #24625=FACE_OUTER_BOUND('',#43100,.T.); #24626=FACE_OUTER_BOUND('',#43101,.T.); #24627=FACE_OUTER_BOUND('',#43102,.T.); #24628=FACE_OUTER_BOUND('',#43103,.T.); #24629=FACE_OUTER_BOUND('',#43104,.T.); #24630=FACE_OUTER_BOUND('',#43105,.T.); #24631=FACE_OUTER_BOUND('',#43106,.T.); #24632=FACE_OUTER_BOUND('',#43107,.T.); #24633=FACE_OUTER_BOUND('',#43108,.T.); #24634=FACE_OUTER_BOUND('',#43109,.T.); #24635=FACE_OUTER_BOUND('',#43110,.T.); #24636=FACE_OUTER_BOUND('',#43111,.T.); #24637=FACE_OUTER_BOUND('',#43112,.T.); #24638=FACE_OUTER_BOUND('',#43113,.T.); #24639=FACE_OUTER_BOUND('',#43114,.T.); #24640=FACE_OUTER_BOUND('',#43115,.T.); #24641=FACE_OUTER_BOUND('',#43116,.T.); #24642=FACE_OUTER_BOUND('',#43117,.T.); #24643=FACE_OUTER_BOUND('',#43118,.T.); #24644=FACE_OUTER_BOUND('',#43119,.T.); #24645=FACE_OUTER_BOUND('',#43120,.T.); #24646=FACE_OUTER_BOUND('',#43121,.T.); #24647=FACE_OUTER_BOUND('',#43122,.T.); #24648=FACE_OUTER_BOUND('',#43123,.T.); #24649=FACE_OUTER_BOUND('',#43124,.T.); #24650=FACE_OUTER_BOUND('',#43125,.T.); #24651=FACE_OUTER_BOUND('',#43126,.T.); #24652=FACE_OUTER_BOUND('',#43127,.T.); #24653=FACE_OUTER_BOUND('',#43128,.T.); #24654=FACE_OUTER_BOUND('',#43129,.T.); #24655=FACE_OUTER_BOUND('',#43130,.T.); #24656=FACE_OUTER_BOUND('',#43131,.T.); #24657=FACE_OUTER_BOUND('',#43132,.T.); #24658=FACE_OUTER_BOUND('',#43133,.T.); #24659=FACE_OUTER_BOUND('',#43134,.T.); #24660=FACE_OUTER_BOUND('',#43135,.T.); #24661=FACE_OUTER_BOUND('',#43136,.T.); #24662=FACE_OUTER_BOUND('',#43137,.T.); #24663=FACE_OUTER_BOUND('',#43138,.T.); #24664=FACE_OUTER_BOUND('',#43139,.T.); #24665=FACE_OUTER_BOUND('',#43140,.T.); #24666=FACE_OUTER_BOUND('',#43141,.T.); #24667=FACE_OUTER_BOUND('',#43142,.T.); #24668=FACE_OUTER_BOUND('',#43143,.T.); #24669=FACE_OUTER_BOUND('',#43144,.T.); #24670=FACE_OUTER_BOUND('',#43145,.T.); #24671=FACE_OUTER_BOUND('',#43146,.T.); #24672=FACE_OUTER_BOUND('',#43147,.T.); #24673=FACE_OUTER_BOUND('',#43148,.T.); #24674=FACE_OUTER_BOUND('',#43149,.T.); #24675=FACE_OUTER_BOUND('',#43150,.T.); #24676=FACE_OUTER_BOUND('',#43151,.T.); #24677=FACE_OUTER_BOUND('',#43152,.T.); #24678=FACE_OUTER_BOUND('',#43153,.T.); #24679=FACE_OUTER_BOUND('',#43154,.T.); #24680=FACE_OUTER_BOUND('',#43155,.T.); #24681=FACE_OUTER_BOUND('',#43156,.T.); #24682=FACE_OUTER_BOUND('',#43157,.T.); #24683=FACE_OUTER_BOUND('',#43158,.T.); #24684=FACE_OUTER_BOUND('',#43159,.T.); #24685=FACE_OUTER_BOUND('',#43160,.T.); #24686=FACE_OUTER_BOUND('',#43161,.T.); #24687=FACE_OUTER_BOUND('',#43162,.T.); #24688=FACE_OUTER_BOUND('',#43163,.T.); #24689=FACE_OUTER_BOUND('',#43164,.T.); #24690=FACE_OUTER_BOUND('',#43165,.T.); #24691=FACE_OUTER_BOUND('',#43166,.T.); #24692=FACE_OUTER_BOUND('',#43167,.T.); #24693=FACE_OUTER_BOUND('',#43168,.T.); #24694=FACE_OUTER_BOUND('',#43169,.T.); #24695=FACE_OUTER_BOUND('',#43170,.T.); #24696=FACE_OUTER_BOUND('',#43171,.T.); #24697=FACE_OUTER_BOUND('',#43172,.T.); #24698=FACE_OUTER_BOUND('',#43173,.T.); #24699=FACE_OUTER_BOUND('',#43174,.T.); #24700=FACE_OUTER_BOUND('',#43175,.T.); #24701=FACE_OUTER_BOUND('',#43176,.T.); #24702=FACE_OUTER_BOUND('',#43177,.T.); #24703=FACE_OUTER_BOUND('',#43178,.T.); #24704=FACE_OUTER_BOUND('',#43179,.T.); #24705=FACE_OUTER_BOUND('',#43180,.T.); #24706=FACE_OUTER_BOUND('',#43181,.T.); #24707=FACE_OUTER_BOUND('',#43182,.T.); #24708=FACE_OUTER_BOUND('',#43183,.T.); #24709=FACE_OUTER_BOUND('',#43184,.T.); #24710=FACE_OUTER_BOUND('',#43185,.T.); #24711=FACE_OUTER_BOUND('',#43186,.T.); #24712=FACE_OUTER_BOUND('',#43187,.T.); #24713=FACE_OUTER_BOUND('',#43188,.T.); #24714=FACE_OUTER_BOUND('',#43189,.T.); #24715=FACE_OUTER_BOUND('',#43190,.T.); #24716=FACE_OUTER_BOUND('',#43191,.T.); #24717=FACE_OUTER_BOUND('',#43192,.T.); #24718=FACE_OUTER_BOUND('',#43193,.T.); #24719=FACE_OUTER_BOUND('',#43194,.T.); #24720=FACE_OUTER_BOUND('',#43195,.T.); #24721=FACE_OUTER_BOUND('',#43196,.T.); #24722=FACE_OUTER_BOUND('',#43197,.T.); #24723=FACE_OUTER_BOUND('',#43198,.T.); #24724=FACE_OUTER_BOUND('',#43199,.T.); #24725=FACE_OUTER_BOUND('',#43200,.T.); #24726=FACE_OUTER_BOUND('',#43201,.T.); #24727=FACE_OUTER_BOUND('',#43202,.T.); #24728=FACE_OUTER_BOUND('',#43203,.T.); #24729=FACE_OUTER_BOUND('',#43204,.T.); #24730=FACE_OUTER_BOUND('',#43205,.T.); #24731=FACE_OUTER_BOUND('',#43206,.T.); #24732=FACE_OUTER_BOUND('',#43207,.T.); #24733=FACE_OUTER_BOUND('',#43208,.T.); #24734=FACE_OUTER_BOUND('',#43209,.T.); #24735=FACE_OUTER_BOUND('',#43210,.T.); #24736=FACE_OUTER_BOUND('',#43211,.T.); #24737=FACE_OUTER_BOUND('',#43212,.T.); #24738=FACE_OUTER_BOUND('',#43213,.T.); #24739=FACE_OUTER_BOUND('',#43214,.T.); #24740=FACE_OUTER_BOUND('',#43215,.T.); #24741=FACE_OUTER_BOUND('',#43216,.T.); #24742=FACE_OUTER_BOUND('',#43217,.T.); #24743=FACE_OUTER_BOUND('',#43218,.T.); #24744=FACE_OUTER_BOUND('',#43219,.T.); #24745=FACE_OUTER_BOUND('',#43220,.T.); #24746=FACE_OUTER_BOUND('',#43221,.T.); #24747=FACE_OUTER_BOUND('',#43222,.T.); #24748=FACE_OUTER_BOUND('',#43223,.T.); #24749=FACE_OUTER_BOUND('',#43224,.T.); #24750=FACE_OUTER_BOUND('',#43225,.T.); #24751=FACE_OUTER_BOUND('',#43226,.T.); #24752=FACE_OUTER_BOUND('',#43227,.T.); #24753=FACE_OUTER_BOUND('',#43228,.T.); #24754=FACE_OUTER_BOUND('',#43229,.T.); #24755=FACE_OUTER_BOUND('',#43230,.T.); #24756=FACE_OUTER_BOUND('',#43231,.T.); #24757=FACE_OUTER_BOUND('',#43232,.T.); #24758=FACE_OUTER_BOUND('',#43233,.T.); #24759=FACE_OUTER_BOUND('',#43234,.T.); #24760=FACE_OUTER_BOUND('',#43235,.T.); #24761=FACE_OUTER_BOUND('',#43236,.T.); #24762=FACE_OUTER_BOUND('',#43237,.T.); #24763=FACE_OUTER_BOUND('',#43238,.T.); #24764=FACE_OUTER_BOUND('',#43239,.T.); #24765=FACE_OUTER_BOUND('',#43240,.T.); #24766=FACE_OUTER_BOUND('',#43241,.T.); #24767=FACE_OUTER_BOUND('',#43242,.T.); #24768=FACE_OUTER_BOUND('',#43243,.T.); #24769=FACE_OUTER_BOUND('',#43244,.T.); #24770=FACE_OUTER_BOUND('',#43245,.T.); #24771=FACE_OUTER_BOUND('',#43246,.T.); #24772=FACE_OUTER_BOUND('',#43247,.T.); #24773=FACE_OUTER_BOUND('',#43248,.T.); #24774=FACE_OUTER_BOUND('',#43249,.T.); #24775=FACE_OUTER_BOUND('',#43250,.T.); #24776=FACE_OUTER_BOUND('',#43251,.T.); #24777=FACE_OUTER_BOUND('',#43252,.T.); #24778=FACE_OUTER_BOUND('',#43253,.T.); #24779=FACE_OUTER_BOUND('',#43254,.T.); #24780=FACE_OUTER_BOUND('',#43255,.T.); #24781=FACE_OUTER_BOUND('',#43256,.T.); #24782=FACE_OUTER_BOUND('',#43257,.T.); #24783=FACE_OUTER_BOUND('',#43258,.T.); #24784=FACE_OUTER_BOUND('',#43259,.T.); #24785=FACE_OUTER_BOUND('',#43260,.T.); #24786=FACE_OUTER_BOUND('',#43261,.T.); #24787=FACE_OUTER_BOUND('',#43262,.T.); #24788=FACE_OUTER_BOUND('',#43263,.T.); #24789=FACE_OUTER_BOUND('',#43264,.T.); #24790=FACE_OUTER_BOUND('',#43265,.T.); #24791=FACE_OUTER_BOUND('',#43266,.T.); #24792=FACE_OUTER_BOUND('',#43267,.T.); #24793=FACE_OUTER_BOUND('',#43268,.T.); #24794=FACE_OUTER_BOUND('',#43269,.T.); #24795=FACE_OUTER_BOUND('',#43270,.T.); #24796=FACE_OUTER_BOUND('',#43271,.T.); #24797=FACE_OUTER_BOUND('',#43272,.T.); #24798=FACE_OUTER_BOUND('',#43273,.T.); #24799=FACE_OUTER_BOUND('',#43274,.T.); #24800=FACE_OUTER_BOUND('',#43275,.T.); #24801=FACE_OUTER_BOUND('',#43276,.T.); #24802=FACE_OUTER_BOUND('',#43277,.T.); #24803=FACE_OUTER_BOUND('',#43278,.T.); #24804=FACE_OUTER_BOUND('',#43279,.T.); #24805=FACE_OUTER_BOUND('',#43280,.T.); #24806=FACE_OUTER_BOUND('',#43281,.T.); #24807=FACE_OUTER_BOUND('',#43282,.T.); #24808=FACE_OUTER_BOUND('',#43283,.T.); #24809=FACE_OUTER_BOUND('',#43284,.T.); #24810=FACE_OUTER_BOUND('',#43285,.T.); #24811=FACE_OUTER_BOUND('',#43286,.T.); #24812=FACE_OUTER_BOUND('',#43287,.T.); #24813=FACE_OUTER_BOUND('',#43288,.T.); #24814=FACE_OUTER_BOUND('',#43289,.T.); #24815=FACE_OUTER_BOUND('',#43290,.T.); #24816=FACE_OUTER_BOUND('',#43291,.T.); #24817=FACE_OUTER_BOUND('',#43292,.T.); #24818=FACE_OUTER_BOUND('',#43293,.T.); #24819=FACE_OUTER_BOUND('',#43294,.T.); #24820=FACE_OUTER_BOUND('',#43295,.T.); #24821=FACE_OUTER_BOUND('',#43296,.T.); #24822=FACE_OUTER_BOUND('',#43297,.T.); #24823=FACE_OUTER_BOUND('',#43298,.T.); #24824=FACE_OUTER_BOUND('',#43299,.T.); #24825=FACE_OUTER_BOUND('',#43300,.T.); #24826=FACE_OUTER_BOUND('',#43301,.T.); #24827=FACE_OUTER_BOUND('',#43302,.T.); #24828=FACE_OUTER_BOUND('',#43303,.T.); #24829=FACE_OUTER_BOUND('',#43304,.T.); #24830=FACE_OUTER_BOUND('',#43305,.T.); #24831=FACE_OUTER_BOUND('',#43306,.T.); #24832=FACE_OUTER_BOUND('',#43307,.T.); #24833=FACE_OUTER_BOUND('',#43308,.T.); #24834=FACE_OUTER_BOUND('',#43309,.T.); #24835=FACE_OUTER_BOUND('',#43310,.T.); #24836=FACE_OUTER_BOUND('',#43311,.T.); #24837=FACE_OUTER_BOUND('',#43312,.T.); #24838=FACE_OUTER_BOUND('',#43313,.T.); #24839=FACE_OUTER_BOUND('',#43314,.T.); #24840=FACE_OUTER_BOUND('',#43315,.T.); #24841=FACE_OUTER_BOUND('',#43316,.T.); #24842=FACE_OUTER_BOUND('',#43317,.T.); #24843=FACE_OUTER_BOUND('',#43318,.T.); #24844=FACE_OUTER_BOUND('',#43319,.T.); #24845=FACE_OUTER_BOUND('',#43320,.T.); #24846=FACE_OUTER_BOUND('',#43321,.T.); #24847=FACE_OUTER_BOUND('',#43322,.T.); #24848=FACE_OUTER_BOUND('',#43323,.T.); #24849=FACE_OUTER_BOUND('',#43324,.T.); #24850=FACE_OUTER_BOUND('',#43325,.T.); #24851=FACE_OUTER_BOUND('',#43326,.T.); #24852=FACE_OUTER_BOUND('',#43327,.T.); #24853=FACE_OUTER_BOUND('',#43328,.T.); #24854=FACE_OUTER_BOUND('',#43329,.T.); #24855=FACE_OUTER_BOUND('',#43330,.T.); #24856=FACE_OUTER_BOUND('',#43331,.T.); #24857=FACE_OUTER_BOUND('',#43332,.T.); #24858=FACE_OUTER_BOUND('',#43333,.T.); #24859=FACE_OUTER_BOUND('',#43334,.T.); #24860=FACE_OUTER_BOUND('',#43335,.T.); #24861=FACE_OUTER_BOUND('',#43336,.T.); #24862=FACE_OUTER_BOUND('',#43337,.T.); #24863=FACE_OUTER_BOUND('',#43338,.T.); #24864=FACE_OUTER_BOUND('',#43339,.T.); #24865=FACE_OUTER_BOUND('',#43340,.T.); #24866=FACE_OUTER_BOUND('',#43341,.T.); #24867=FACE_OUTER_BOUND('',#43342,.T.); #24868=FACE_OUTER_BOUND('',#43343,.T.); #24869=FACE_OUTER_BOUND('',#43344,.T.); #24870=FACE_OUTER_BOUND('',#43345,.T.); #24871=FACE_OUTER_BOUND('',#43346,.T.); #24872=FACE_OUTER_BOUND('',#43347,.T.); #24873=FACE_OUTER_BOUND('',#43348,.T.); #24874=FACE_OUTER_BOUND('',#43349,.T.); #24875=FACE_OUTER_BOUND('',#43350,.T.); #24876=FACE_OUTER_BOUND('',#43351,.T.); #24877=FACE_OUTER_BOUND('',#43352,.T.); #24878=FACE_OUTER_BOUND('',#43353,.T.); #24879=FACE_OUTER_BOUND('',#43354,.T.); #24880=FACE_OUTER_BOUND('',#43355,.T.); #24881=FACE_OUTER_BOUND('',#43356,.T.); #24882=FACE_OUTER_BOUND('',#43357,.T.); #24883=FACE_OUTER_BOUND('',#43358,.T.); #24884=FACE_OUTER_BOUND('',#43359,.T.); #24885=FACE_OUTER_BOUND('',#43360,.T.); #24886=FACE_OUTER_BOUND('',#43361,.T.); #24887=FACE_OUTER_BOUND('',#43362,.T.); #24888=FACE_OUTER_BOUND('',#43363,.T.); #24889=FACE_OUTER_BOUND('',#43364,.T.); #24890=FACE_OUTER_BOUND('',#43365,.T.); #24891=FACE_OUTER_BOUND('',#43366,.T.); #24892=FACE_OUTER_BOUND('',#43367,.T.); #24893=FACE_OUTER_BOUND('',#43368,.T.); #24894=FACE_OUTER_BOUND('',#43369,.T.); #24895=FACE_OUTER_BOUND('',#43370,.T.); #24896=FACE_OUTER_BOUND('',#43371,.T.); #24897=FACE_OUTER_BOUND('',#43372,.T.); #24898=FACE_OUTER_BOUND('',#43373,.T.); #24899=FACE_OUTER_BOUND('',#43374,.T.); #24900=FACE_OUTER_BOUND('',#43375,.T.); #24901=FACE_OUTER_BOUND('',#43376,.T.); #24902=FACE_OUTER_BOUND('',#43377,.T.); #24903=FACE_OUTER_BOUND('',#43378,.T.); #24904=FACE_OUTER_BOUND('',#43379,.T.); #24905=FACE_OUTER_BOUND('',#43380,.T.); #24906=FACE_OUTER_BOUND('',#43381,.T.); #24907=FACE_OUTER_BOUND('',#43382,.T.); #24908=FACE_OUTER_BOUND('',#43383,.T.); #24909=FACE_OUTER_BOUND('',#43384,.T.); #24910=FACE_OUTER_BOUND('',#43385,.T.); #24911=FACE_OUTER_BOUND('',#43386,.T.); #24912=FACE_OUTER_BOUND('',#43387,.T.); #24913=FACE_OUTER_BOUND('',#43388,.T.); #24914=FACE_OUTER_BOUND('',#43389,.T.); #24915=FACE_OUTER_BOUND('',#43390,.T.); #24916=FACE_OUTER_BOUND('',#43391,.T.); #24917=FACE_OUTER_BOUND('',#43392,.T.); #24918=FACE_OUTER_BOUND('',#43393,.T.); #24919=FACE_OUTER_BOUND('',#43394,.T.); #24920=FACE_OUTER_BOUND('',#43395,.T.); #24921=FACE_OUTER_BOUND('',#43396,.T.); #24922=FACE_OUTER_BOUND('',#43397,.T.); #24923=FACE_OUTER_BOUND('',#43398,.T.); #24924=FACE_OUTER_BOUND('',#43399,.T.); #24925=FACE_OUTER_BOUND('',#43400,.T.); #24926=FACE_OUTER_BOUND('',#43401,.T.); #24927=FACE_OUTER_BOUND('',#43402,.T.); #24928=FACE_OUTER_BOUND('',#43403,.T.); #24929=FACE_OUTER_BOUND('',#43404,.T.); #24930=FACE_OUTER_BOUND('',#43405,.T.); #24931=FACE_OUTER_BOUND('',#43406,.T.); #24932=FACE_OUTER_BOUND('',#43407,.T.); #24933=FACE_OUTER_BOUND('',#43408,.T.); #24934=FACE_OUTER_BOUND('',#43409,.T.); #24935=FACE_OUTER_BOUND('',#43410,.T.); #24936=FACE_OUTER_BOUND('',#43411,.T.); #24937=FACE_OUTER_BOUND('',#43412,.T.); #24938=FACE_OUTER_BOUND('',#43413,.T.); #24939=FACE_OUTER_BOUND('',#43414,.T.); #24940=FACE_OUTER_BOUND('',#43415,.T.); #24941=FACE_OUTER_BOUND('',#43416,.T.); #24942=FACE_OUTER_BOUND('',#43417,.T.); #24943=FACE_OUTER_BOUND('',#43418,.T.); #24944=FACE_OUTER_BOUND('',#43419,.T.); #24945=FACE_OUTER_BOUND('',#43420,.T.); #24946=FACE_OUTER_BOUND('',#43421,.T.); #24947=FACE_OUTER_BOUND('',#43422,.T.); #24948=FACE_OUTER_BOUND('',#43423,.T.); #24949=FACE_OUTER_BOUND('',#43424,.T.); #24950=FACE_OUTER_BOUND('',#43425,.T.); #24951=FACE_OUTER_BOUND('',#43426,.T.); #24952=FACE_OUTER_BOUND('',#43427,.T.); #24953=FACE_OUTER_BOUND('',#43428,.T.); #24954=FACE_OUTER_BOUND('',#43429,.T.); #24955=FACE_OUTER_BOUND('',#43430,.T.); #24956=FACE_OUTER_BOUND('',#43431,.T.); #24957=FACE_OUTER_BOUND('',#43432,.T.); #24958=FACE_OUTER_BOUND('',#43433,.T.); #24959=FACE_OUTER_BOUND('',#43434,.T.); #24960=FACE_OUTER_BOUND('',#43435,.T.); #24961=FACE_OUTER_BOUND('',#43436,.T.); #24962=FACE_OUTER_BOUND('',#43437,.T.); #24963=FACE_OUTER_BOUND('',#43438,.T.); #24964=FACE_OUTER_BOUND('',#43439,.T.); #24965=FACE_OUTER_BOUND('',#43440,.T.); #24966=FACE_OUTER_BOUND('',#43441,.T.); #24967=FACE_OUTER_BOUND('',#43442,.T.); #24968=FACE_OUTER_BOUND('',#43443,.T.); #24969=FACE_OUTER_BOUND('',#43444,.T.); #24970=FACE_OUTER_BOUND('',#43445,.T.); #24971=FACE_OUTER_BOUND('',#43446,.T.); #24972=FACE_OUTER_BOUND('',#43447,.T.); #24973=FACE_OUTER_BOUND('',#43448,.T.); #24974=FACE_OUTER_BOUND('',#43449,.T.); #24975=FACE_OUTER_BOUND('',#43450,.T.); #24976=FACE_OUTER_BOUND('',#43451,.T.); #24977=FACE_OUTER_BOUND('',#43452,.T.); #24978=FACE_OUTER_BOUND('',#43453,.T.); #24979=FACE_OUTER_BOUND('',#43454,.T.); #24980=FACE_OUTER_BOUND('',#43455,.T.); #24981=FACE_OUTER_BOUND('',#43456,.T.); #24982=FACE_OUTER_BOUND('',#43457,.T.); #24983=FACE_OUTER_BOUND('',#43458,.T.); #24984=FACE_OUTER_BOUND('',#43459,.T.); #24985=FACE_OUTER_BOUND('',#43460,.T.); #24986=FACE_OUTER_BOUND('',#43461,.T.); #24987=FACE_OUTER_BOUND('',#43462,.T.); #24988=FACE_OUTER_BOUND('',#43463,.T.); #24989=FACE_OUTER_BOUND('',#43464,.T.); #24990=FACE_OUTER_BOUND('',#43465,.T.); #24991=FACE_OUTER_BOUND('',#43466,.T.); #24992=FACE_OUTER_BOUND('',#43467,.T.); #24993=FACE_OUTER_BOUND('',#43468,.T.); #24994=FACE_OUTER_BOUND('',#43469,.T.); #24995=FACE_OUTER_BOUND('',#43470,.T.); #24996=FACE_OUTER_BOUND('',#43471,.T.); #24997=FACE_OUTER_BOUND('',#43472,.T.); #24998=FACE_OUTER_BOUND('',#43473,.T.); #24999=FACE_OUTER_BOUND('',#43474,.T.); #25000=FACE_OUTER_BOUND('',#43475,.T.); #25001=FACE_OUTER_BOUND('',#43476,.T.); #25002=FACE_OUTER_BOUND('',#43477,.T.); #25003=FACE_OUTER_BOUND('',#43478,.T.); #25004=FACE_OUTER_BOUND('',#43479,.T.); #25005=FACE_OUTER_BOUND('',#43480,.T.); #25006=FACE_OUTER_BOUND('',#43481,.T.); #25007=FACE_OUTER_BOUND('',#43482,.T.); #25008=FACE_OUTER_BOUND('',#43483,.T.); #25009=FACE_OUTER_BOUND('',#43484,.T.); #25010=FACE_OUTER_BOUND('',#43485,.T.); #25011=FACE_OUTER_BOUND('',#43486,.T.); #25012=FACE_OUTER_BOUND('',#43487,.T.); #25013=FACE_OUTER_BOUND('',#43488,.T.); #25014=FACE_OUTER_BOUND('',#43489,.T.); #25015=FACE_OUTER_BOUND('',#43490,.T.); #25016=FACE_OUTER_BOUND('',#43491,.T.); #25017=FACE_OUTER_BOUND('',#43492,.T.); #25018=FACE_OUTER_BOUND('',#43493,.T.); #25019=FACE_OUTER_BOUND('',#43494,.T.); #25020=FACE_OUTER_BOUND('',#43495,.T.); #25021=FACE_OUTER_BOUND('',#43496,.T.); #25022=FACE_OUTER_BOUND('',#43497,.T.); #25023=FACE_OUTER_BOUND('',#43498,.T.); #25024=FACE_OUTER_BOUND('',#43499,.T.); #25025=FACE_OUTER_BOUND('',#43500,.T.); #25026=FACE_OUTER_BOUND('',#43501,.T.); #25027=FACE_OUTER_BOUND('',#43502,.T.); #25028=FACE_OUTER_BOUND('',#43503,.T.); #25029=FACE_OUTER_BOUND('',#43504,.T.); #25030=FACE_OUTER_BOUND('',#43505,.T.); #25031=FACE_OUTER_BOUND('',#43506,.T.); #25032=FACE_OUTER_BOUND('',#43507,.T.); #25033=FACE_OUTER_BOUND('',#43508,.T.); #25034=FACE_OUTER_BOUND('',#43509,.T.); #25035=FACE_OUTER_BOUND('',#43510,.T.); #25036=FACE_OUTER_BOUND('',#43511,.T.); #25037=FACE_OUTER_BOUND('',#43512,.T.); #25038=FACE_OUTER_BOUND('',#43513,.T.); #25039=FACE_OUTER_BOUND('',#43514,.T.); #25040=FACE_OUTER_BOUND('',#43515,.T.); #25041=FACE_OUTER_BOUND('',#43516,.T.); #25042=FACE_OUTER_BOUND('',#43517,.T.); #25043=FACE_OUTER_BOUND('',#43518,.T.); #25044=FACE_OUTER_BOUND('',#43519,.T.); #25045=FACE_OUTER_BOUND('',#43520,.T.); #25046=FACE_OUTER_BOUND('',#43521,.T.); #25047=FACE_OUTER_BOUND('',#43522,.T.); #25048=FACE_OUTER_BOUND('',#43523,.T.); #25049=FACE_OUTER_BOUND('',#43524,.T.); #25050=FACE_OUTER_BOUND('',#43525,.T.); #25051=FACE_OUTER_BOUND('',#43526,.T.); #25052=FACE_OUTER_BOUND('',#43527,.T.); #25053=FACE_OUTER_BOUND('',#43528,.T.); #25054=FACE_OUTER_BOUND('',#43529,.T.); #25055=FACE_OUTER_BOUND('',#43530,.T.); #25056=FACE_OUTER_BOUND('',#43531,.T.); #25057=FACE_OUTER_BOUND('',#43532,.T.); #25058=FACE_OUTER_BOUND('',#43533,.T.); #25059=FACE_OUTER_BOUND('',#43534,.T.); #25060=FACE_OUTER_BOUND('',#43535,.T.); #25061=FACE_OUTER_BOUND('',#43536,.T.); #25062=FACE_OUTER_BOUND('',#43537,.T.); #25063=FACE_OUTER_BOUND('',#43538,.T.); #25064=FACE_OUTER_BOUND('',#43539,.T.); #25065=FACE_OUTER_BOUND('',#43540,.T.); #25066=FACE_OUTER_BOUND('',#43541,.T.); #25067=FACE_OUTER_BOUND('',#43542,.T.); #25068=FACE_OUTER_BOUND('',#43543,.T.); #25069=FACE_OUTER_BOUND('',#43544,.T.); #25070=FACE_OUTER_BOUND('',#43545,.T.); #25071=FACE_OUTER_BOUND('',#43546,.T.); #25072=FACE_OUTER_BOUND('',#43547,.T.); #25073=FACE_OUTER_BOUND('',#43548,.T.); #25074=FACE_OUTER_BOUND('',#43549,.T.); #25075=FACE_OUTER_BOUND('',#43550,.T.); #25076=FACE_OUTER_BOUND('',#43551,.T.); #25077=FACE_OUTER_BOUND('',#43552,.T.); #25078=FACE_OUTER_BOUND('',#43553,.T.); #25079=FACE_OUTER_BOUND('',#43554,.T.); #25080=FACE_OUTER_BOUND('',#43555,.T.); #25081=FACE_OUTER_BOUND('',#43556,.T.); #25082=FACE_OUTER_BOUND('',#43557,.T.); #25083=FACE_OUTER_BOUND('',#43558,.T.); #25084=FACE_OUTER_BOUND('',#43559,.T.); #25085=FACE_OUTER_BOUND('',#43560,.T.); #25086=FACE_OUTER_BOUND('',#43561,.T.); #25087=FACE_OUTER_BOUND('',#43562,.T.); #25088=FACE_OUTER_BOUND('',#43563,.T.); #25089=FACE_OUTER_BOUND('',#43564,.T.); #25090=FACE_OUTER_BOUND('',#43565,.T.); #25091=FACE_OUTER_BOUND('',#43566,.T.); #25092=FACE_OUTER_BOUND('',#43567,.T.); #25093=FACE_OUTER_BOUND('',#43568,.T.); #25094=FACE_OUTER_BOUND('',#43569,.T.); #25095=FACE_OUTER_BOUND('',#43570,.T.); #25096=FACE_OUTER_BOUND('',#43571,.T.); #25097=FACE_OUTER_BOUND('',#43572,.T.); #25098=FACE_OUTER_BOUND('',#43573,.T.); #25099=FACE_OUTER_BOUND('',#43574,.T.); #25100=FACE_OUTER_BOUND('',#43575,.T.); #25101=FACE_OUTER_BOUND('',#43576,.T.); #25102=FACE_OUTER_BOUND('',#43577,.T.); #25103=FACE_OUTER_BOUND('',#43578,.T.); #25104=FACE_OUTER_BOUND('',#43579,.T.); #25105=FACE_OUTER_BOUND('',#43580,.T.); #25106=FACE_OUTER_BOUND('',#43581,.T.); #25107=FACE_OUTER_BOUND('',#43582,.T.); #25108=FACE_OUTER_BOUND('',#43583,.T.); #25109=FACE_OUTER_BOUND('',#43584,.T.); #25110=FACE_OUTER_BOUND('',#43585,.T.); #25111=FACE_OUTER_BOUND('',#43586,.T.); #25112=FACE_OUTER_BOUND('',#43587,.T.); #25113=FACE_OUTER_BOUND('',#43588,.T.); #25114=FACE_OUTER_BOUND('',#43589,.T.); #25115=FACE_OUTER_BOUND('',#43590,.T.); #25116=FACE_OUTER_BOUND('',#43591,.T.); #25117=FACE_OUTER_BOUND('',#43592,.T.); #25118=FACE_OUTER_BOUND('',#43593,.T.); #25119=FACE_OUTER_BOUND('',#43594,.T.); #25120=FACE_OUTER_BOUND('',#43595,.T.); #25121=FACE_OUTER_BOUND('',#43596,.T.); #25122=FACE_OUTER_BOUND('',#43597,.T.); #25123=FACE_OUTER_BOUND('',#43598,.T.); #25124=FACE_OUTER_BOUND('',#43599,.T.); #25125=FACE_OUTER_BOUND('',#43600,.T.); #25126=FACE_OUTER_BOUND('',#43601,.T.); #25127=FACE_OUTER_BOUND('',#43602,.T.); #25128=FACE_OUTER_BOUND('',#43603,.T.); #25129=FACE_OUTER_BOUND('',#43604,.T.); #25130=FACE_OUTER_BOUND('',#43605,.T.); #25131=FACE_OUTER_BOUND('',#43606,.T.); #25132=FACE_OUTER_BOUND('',#43607,.T.); #25133=FACE_OUTER_BOUND('',#43608,.T.); #25134=FACE_OUTER_BOUND('',#43609,.T.); #25135=FACE_OUTER_BOUND('',#43610,.T.); #25136=FACE_OUTER_BOUND('',#43611,.T.); #25137=FACE_OUTER_BOUND('',#43612,.T.); #25138=FACE_OUTER_BOUND('',#43613,.T.); #25139=FACE_OUTER_BOUND('',#43614,.T.); #25140=FACE_OUTER_BOUND('',#43615,.T.); #25141=FACE_OUTER_BOUND('',#43616,.T.); #25142=FACE_OUTER_BOUND('',#43617,.T.); #25143=FACE_OUTER_BOUND('',#43618,.T.); #25144=FACE_OUTER_BOUND('',#43619,.T.); #25145=FACE_OUTER_BOUND('',#43620,.T.); #25146=FACE_OUTER_BOUND('',#43621,.T.); #25147=FACE_OUTER_BOUND('',#43622,.T.); #25148=FACE_OUTER_BOUND('',#43623,.T.); #25149=FACE_OUTER_BOUND('',#43624,.T.); #25150=FACE_OUTER_BOUND('',#43625,.T.); #25151=FACE_OUTER_BOUND('',#43626,.T.); #25152=FACE_OUTER_BOUND('',#43627,.T.); #25153=FACE_OUTER_BOUND('',#43628,.T.); #25154=FACE_OUTER_BOUND('',#43629,.T.); #25155=FACE_OUTER_BOUND('',#43630,.T.); #25156=FACE_OUTER_BOUND('',#43631,.T.); #25157=FACE_OUTER_BOUND('',#43632,.T.); #25158=FACE_OUTER_BOUND('',#43633,.T.); #25159=FACE_OUTER_BOUND('',#43634,.T.); #25160=FACE_OUTER_BOUND('',#43635,.T.); #25161=FACE_OUTER_BOUND('',#43636,.T.); #25162=FACE_OUTER_BOUND('',#43637,.T.); #25163=FACE_OUTER_BOUND('',#43638,.T.); #25164=FACE_OUTER_BOUND('',#43639,.T.); #25165=FACE_OUTER_BOUND('',#43640,.T.); #25166=FACE_OUTER_BOUND('',#43711,.T.); #25167=FACE_OUTER_BOUND('',#43782,.T.); #25168=FACE_OUTER_BOUND('',#43783,.T.); #25169=FACE_OUTER_BOUND('',#43784,.T.); #25170=FACE_OUTER_BOUND('',#43785,.T.); #25171=FACE_OUTER_BOUND('',#43786,.T.); #25172=FACE_OUTER_BOUND('',#43787,.T.); #25173=FACE_OUTER_BOUND('',#43788,.T.); #25174=FACE_OUTER_BOUND('',#43789,.T.); #25175=FACE_OUTER_BOUND('',#43790,.T.); #25176=FACE_OUTER_BOUND('',#43791,.T.); #25177=FACE_OUTER_BOUND('',#43792,.T.); #25178=FACE_OUTER_BOUND('',#43793,.T.); #25179=FACE_OUTER_BOUND('',#43794,.T.); #25180=FACE_OUTER_BOUND('',#43795,.T.); #25181=FACE_OUTER_BOUND('',#43796,.T.); #25182=FACE_OUTER_BOUND('',#43797,.T.); #25183=FACE_OUTER_BOUND('',#43798,.T.); #25184=FACE_OUTER_BOUND('',#43799,.T.); #25185=FACE_OUTER_BOUND('',#43800,.T.); #25186=FACE_OUTER_BOUND('',#43801,.T.); #25187=FACE_OUTER_BOUND('',#43802,.T.); #25188=FACE_OUTER_BOUND('',#43803,.T.); #25189=FACE_OUTER_BOUND('',#43804,.T.); #25190=FACE_OUTER_BOUND('',#43805,.T.); #25191=FACE_OUTER_BOUND('',#43806,.T.); #25192=FACE_OUTER_BOUND('',#43807,.T.); #25193=FACE_OUTER_BOUND('',#43808,.T.); #25194=FACE_OUTER_BOUND('',#43809,.T.); #25195=FACE_OUTER_BOUND('',#43810,.T.); #25196=FACE_OUTER_BOUND('',#43811,.T.); #25197=FACE_OUTER_BOUND('',#43812,.T.); #25198=FACE_OUTER_BOUND('',#43813,.T.); #25199=FACE_OUTER_BOUND('',#43814,.T.); #25200=FACE_OUTER_BOUND('',#43815,.T.); #25201=FACE_OUTER_BOUND('',#43816,.T.); #25202=FACE_OUTER_BOUND('',#43817,.T.); #25203=FACE_OUTER_BOUND('',#43818,.T.); #25204=FACE_OUTER_BOUND('',#43819,.T.); #25205=FACE_OUTER_BOUND('',#43820,.T.); #25206=FACE_OUTER_BOUND('',#43821,.T.); #25207=FACE_OUTER_BOUND('',#43822,.T.); #25208=FACE_OUTER_BOUND('',#43823,.T.); #25209=FACE_OUTER_BOUND('',#43824,.T.); #25210=FACE_OUTER_BOUND('',#43825,.T.); #25211=FACE_OUTER_BOUND('',#43826,.T.); #25212=FACE_OUTER_BOUND('',#43827,.T.); #25213=FACE_OUTER_BOUND('',#43828,.T.); #25214=FACE_OUTER_BOUND('',#43829,.T.); #25215=FACE_OUTER_BOUND('',#43830,.T.); #25216=FACE_OUTER_BOUND('',#43831,.T.); #25217=FACE_OUTER_BOUND('',#43832,.T.); #25218=FACE_OUTER_BOUND('',#43833,.T.); #25219=FACE_OUTER_BOUND('',#43834,.T.); #25220=FACE_OUTER_BOUND('',#43835,.T.); #25221=FACE_OUTER_BOUND('',#43836,.T.); #25222=FACE_OUTER_BOUND('',#43837,.T.); #25223=FACE_OUTER_BOUND('',#43838,.T.); #25224=FACE_OUTER_BOUND('',#43839,.T.); #25225=FACE_OUTER_BOUND('',#43840,.T.); #25226=FACE_OUTER_BOUND('',#43841,.T.); #25227=FACE_OUTER_BOUND('',#43842,.T.); #25228=FACE_OUTER_BOUND('',#43843,.T.); #25229=FACE_OUTER_BOUND('',#43844,.T.); #25230=FACE_OUTER_BOUND('',#43845,.T.); #25231=FACE_OUTER_BOUND('',#43846,.T.); #25232=FACE_OUTER_BOUND('',#43847,.T.); #25233=FACE_OUTER_BOUND('',#43848,.T.); #25234=FACE_OUTER_BOUND('',#43849,.T.); #25235=FACE_OUTER_BOUND('',#43850,.T.); #25236=FACE_OUTER_BOUND('',#43851,.T.); #25237=FACE_OUTER_BOUND('',#43852,.T.); #25238=FACE_OUTER_BOUND('',#43853,.T.); #25239=FACE_OUTER_BOUND('',#43854,.T.); #25240=FACE_OUTER_BOUND('',#43855,.T.); #25241=FACE_OUTER_BOUND('',#43856,.T.); #25242=FACE_OUTER_BOUND('',#43857,.T.); #25243=FACE_OUTER_BOUND('',#43858,.T.); #25244=FACE_OUTER_BOUND('',#43859,.T.); #25245=FACE_OUTER_BOUND('',#43860,.T.); #25246=FACE_OUTER_BOUND('',#43861,.T.); #25247=FACE_OUTER_BOUND('',#43862,.T.); #25248=FACE_OUTER_BOUND('',#43863,.T.); #25249=FACE_OUTER_BOUND('',#43864,.T.); #25250=FACE_OUTER_BOUND('',#43865,.T.); #25251=FACE_OUTER_BOUND('',#43866,.T.); #25252=FACE_OUTER_BOUND('',#43867,.T.); #25253=FACE_OUTER_BOUND('',#43868,.T.); #25254=FACE_OUTER_BOUND('',#43869,.T.); #25255=FACE_OUTER_BOUND('',#43870,.T.); #25256=FACE_OUTER_BOUND('',#43871,.T.); #25257=FACE_OUTER_BOUND('',#43872,.T.); #25258=FACE_OUTER_BOUND('',#43873,.T.); #25259=FACE_OUTER_BOUND('',#43874,.T.); #25260=FACE_OUTER_BOUND('',#43875,.T.); #25261=FACE_OUTER_BOUND('',#43876,.T.); #25262=FACE_OUTER_BOUND('',#43877,.T.); #25263=FACE_OUTER_BOUND('',#43878,.T.); #25264=FACE_OUTER_BOUND('',#43879,.T.); #25265=FACE_OUTER_BOUND('',#43880,.T.); #25266=FACE_OUTER_BOUND('',#43881,.T.); #25267=FACE_OUTER_BOUND('',#43882,.T.); #25268=FACE_OUTER_BOUND('',#43883,.T.); #25269=FACE_OUTER_BOUND('',#43884,.T.); #25270=FACE_OUTER_BOUND('',#43885,.T.); #25271=FACE_OUTER_BOUND('',#43886,.T.); #25272=FACE_OUTER_BOUND('',#43887,.T.); #25273=FACE_OUTER_BOUND('',#43888,.T.); #25274=FACE_OUTER_BOUND('',#43889,.T.); #25275=FACE_OUTER_BOUND('',#43890,.T.); #25276=FACE_OUTER_BOUND('',#43891,.T.); #25277=FACE_OUTER_BOUND('',#43892,.T.); #25278=FACE_OUTER_BOUND('',#43893,.T.); #25279=FACE_OUTER_BOUND('',#43894,.T.); #25280=FACE_OUTER_BOUND('',#43895,.T.); #25281=FACE_OUTER_BOUND('',#43896,.T.); #25282=FACE_OUTER_BOUND('',#43897,.T.); #25283=FACE_OUTER_BOUND('',#43898,.T.); #25284=FACE_OUTER_BOUND('',#43899,.T.); #25285=FACE_OUTER_BOUND('',#43900,.T.); #25286=FACE_OUTER_BOUND('',#43901,.T.); #25287=FACE_OUTER_BOUND('',#43902,.T.); #25288=FACE_OUTER_BOUND('',#43903,.T.); #25289=FACE_OUTER_BOUND('',#43904,.T.); #25290=FACE_OUTER_BOUND('',#43905,.T.); #25291=FACE_OUTER_BOUND('',#43906,.T.); #25292=FACE_OUTER_BOUND('',#43907,.T.); #25293=FACE_OUTER_BOUND('',#43908,.T.); #25294=FACE_OUTER_BOUND('',#43909,.T.); #25295=FACE_OUTER_BOUND('',#43910,.T.); #25296=FACE_OUTER_BOUND('',#43911,.T.); #25297=FACE_OUTER_BOUND('',#43912,.T.); #25298=FACE_OUTER_BOUND('',#43913,.T.); #25299=FACE_OUTER_BOUND('',#43914,.T.); #25300=FACE_OUTER_BOUND('',#43915,.T.); #25301=FACE_OUTER_BOUND('',#43916,.T.); #25302=FACE_OUTER_BOUND('',#43917,.T.); #25303=FACE_OUTER_BOUND('',#43918,.T.); #25304=FACE_OUTER_BOUND('',#43919,.T.); #25305=FACE_OUTER_BOUND('',#43920,.T.); #25306=FACE_OUTER_BOUND('',#43921,.T.); #25307=FACE_OUTER_BOUND('',#43922,.T.); #25308=FACE_OUTER_BOUND('',#43923,.T.); #25309=FACE_OUTER_BOUND('',#43924,.T.); #25310=FACE_OUTER_BOUND('',#43925,.T.); #25311=FACE_OUTER_BOUND('',#43926,.T.); #25312=FACE_OUTER_BOUND('',#43927,.T.); #25313=FACE_OUTER_BOUND('',#43928,.T.); #25314=FACE_OUTER_BOUND('',#43929,.T.); #25315=FACE_OUTER_BOUND('',#43930,.T.); #25316=FACE_OUTER_BOUND('',#43931,.T.); #25317=FACE_OUTER_BOUND('',#43932,.T.); #25318=FACE_OUTER_BOUND('',#43933,.T.); #25319=FACE_OUTER_BOUND('',#43934,.T.); #25320=FACE_OUTER_BOUND('',#43935,.T.); #25321=FACE_OUTER_BOUND('',#43936,.T.); #25322=FACE_OUTER_BOUND('',#43937,.T.); #25323=FACE_OUTER_BOUND('',#43938,.T.); #25324=FACE_OUTER_BOUND('',#43939,.T.); #25325=FACE_OUTER_BOUND('',#43940,.T.); #25326=FACE_OUTER_BOUND('',#43941,.T.); #25327=FACE_OUTER_BOUND('',#43942,.T.); #25328=FACE_OUTER_BOUND('',#43943,.T.); #25329=FACE_OUTER_BOUND('',#43944,.T.); #25330=FACE_OUTER_BOUND('',#43945,.T.); #25331=FACE_OUTER_BOUND('',#43946,.T.); #25332=FACE_OUTER_BOUND('',#43947,.T.); #25333=FACE_OUTER_BOUND('',#43948,.T.); #25334=FACE_OUTER_BOUND('',#43949,.T.); #25335=FACE_OUTER_BOUND('',#43950,.T.); #25336=FACE_OUTER_BOUND('',#43951,.T.); #25337=FACE_OUTER_BOUND('',#43952,.T.); #25338=FACE_OUTER_BOUND('',#43953,.T.); #25339=FACE_OUTER_BOUND('',#43954,.T.); #25340=FACE_OUTER_BOUND('',#43955,.T.); #25341=FACE_OUTER_BOUND('',#43956,.T.); #25342=FACE_OUTER_BOUND('',#43957,.T.); #25343=FACE_OUTER_BOUND('',#43958,.T.); #25344=FACE_OUTER_BOUND('',#43959,.T.); #25345=FACE_OUTER_BOUND('',#43960,.T.); #25346=FACE_OUTER_BOUND('',#43961,.T.); #25347=FACE_OUTER_BOUND('',#43962,.T.); #25348=FACE_OUTER_BOUND('',#43963,.T.); #25349=FACE_OUTER_BOUND('',#43964,.T.); #25350=FACE_OUTER_BOUND('',#43965,.T.); #25351=FACE_OUTER_BOUND('',#43966,.T.); #25352=FACE_OUTER_BOUND('',#43967,.T.); #25353=FACE_OUTER_BOUND('',#43968,.T.); #25354=FACE_OUTER_BOUND('',#43969,.T.); #25355=FACE_OUTER_BOUND('',#43970,.T.); #25356=FACE_OUTER_BOUND('',#43971,.T.); #25357=FACE_OUTER_BOUND('',#43972,.T.); #25358=FACE_OUTER_BOUND('',#43973,.T.); #25359=FACE_OUTER_BOUND('',#43974,.T.); #25360=FACE_OUTER_BOUND('',#43975,.T.); #25361=FACE_OUTER_BOUND('',#43976,.T.); #25362=FACE_OUTER_BOUND('',#43977,.T.); #25363=FACE_OUTER_BOUND('',#43978,.T.); #25364=FACE_OUTER_BOUND('',#43979,.T.); #25365=FACE_OUTER_BOUND('',#43980,.T.); #25366=FACE_OUTER_BOUND('',#43981,.T.); #25367=FACE_OUTER_BOUND('',#43982,.T.); #25368=FACE_OUTER_BOUND('',#43983,.T.); #25369=FACE_OUTER_BOUND('',#43984,.T.); #25370=FACE_OUTER_BOUND('',#43985,.T.); #25371=FACE_OUTER_BOUND('',#43986,.T.); #25372=FACE_OUTER_BOUND('',#43987,.T.); #25373=FACE_OUTER_BOUND('',#43988,.T.); #25374=FACE_OUTER_BOUND('',#43989,.T.); #25375=FACE_OUTER_BOUND('',#43990,.T.); #25376=FACE_OUTER_BOUND('',#43991,.T.); #25377=FACE_OUTER_BOUND('',#43992,.T.); #25378=FACE_OUTER_BOUND('',#43993,.T.); #25379=FACE_OUTER_BOUND('',#43994,.T.); #25380=FACE_OUTER_BOUND('',#43995,.T.); #25381=FACE_OUTER_BOUND('',#43996,.T.); #25382=FACE_OUTER_BOUND('',#43997,.T.); #25383=FACE_OUTER_BOUND('',#43998,.T.); #25384=FACE_OUTER_BOUND('',#43999,.T.); #25385=FACE_OUTER_BOUND('',#44000,.T.); #25386=FACE_OUTER_BOUND('',#44001,.T.); #25387=FACE_OUTER_BOUND('',#44002,.T.); #25388=FACE_OUTER_BOUND('',#44003,.T.); #25389=FACE_OUTER_BOUND('',#44004,.T.); #25390=FACE_OUTER_BOUND('',#44005,.T.); #25391=FACE_OUTER_BOUND('',#44006,.T.); #25392=FACE_OUTER_BOUND('',#44007,.T.); #25393=FACE_OUTER_BOUND('',#44008,.T.); #25394=FACE_OUTER_BOUND('',#44009,.T.); #25395=FACE_OUTER_BOUND('',#44010,.T.); #25396=FACE_OUTER_BOUND('',#44011,.T.); #25397=FACE_OUTER_BOUND('',#44012,.T.); #25398=FACE_OUTER_BOUND('',#44013,.T.); #25399=FACE_OUTER_BOUND('',#44014,.T.); #25400=FACE_OUTER_BOUND('',#44015,.T.); #25401=FACE_OUTER_BOUND('',#44016,.T.); #25402=FACE_OUTER_BOUND('',#44017,.T.); #25403=FACE_OUTER_BOUND('',#44018,.T.); #25404=FACE_OUTER_BOUND('',#44019,.T.); #25405=FACE_OUTER_BOUND('',#44020,.T.); #25406=FACE_OUTER_BOUND('',#44021,.T.); #25407=FACE_OUTER_BOUND('',#44022,.T.); #25408=FACE_OUTER_BOUND('',#44023,.T.); #25409=FACE_OUTER_BOUND('',#44024,.T.); #25410=FACE_OUTER_BOUND('',#44025,.T.); #25411=FACE_OUTER_BOUND('',#44026,.T.); #25412=FACE_OUTER_BOUND('',#44027,.T.); #25413=FACE_OUTER_BOUND('',#44028,.T.); #25414=FACE_OUTER_BOUND('',#44029,.T.); #25415=FACE_OUTER_BOUND('',#44030,.T.); #25416=FACE_OUTER_BOUND('',#44031,.T.); #25417=FACE_OUTER_BOUND('',#44032,.T.); #25418=FACE_OUTER_BOUND('',#44033,.T.); #25419=FACE_OUTER_BOUND('',#44034,.T.); #25420=FACE_OUTER_BOUND('',#44035,.T.); #25421=FACE_OUTER_BOUND('',#44036,.T.); #25422=FACE_OUTER_BOUND('',#44037,.T.); #25423=FACE_OUTER_BOUND('',#44038,.T.); #25424=FACE_OUTER_BOUND('',#44039,.T.); #25425=FACE_OUTER_BOUND('',#44040,.T.); #25426=FACE_OUTER_BOUND('',#44041,.T.); #25427=FACE_OUTER_BOUND('',#44042,.T.); #25428=FACE_OUTER_BOUND('',#44043,.T.); #25429=FACE_OUTER_BOUND('',#44044,.T.); #25430=FACE_OUTER_BOUND('',#44045,.T.); #25431=FACE_OUTER_BOUND('',#44046,.T.); #25432=FACE_OUTER_BOUND('',#44047,.T.); #25433=FACE_OUTER_BOUND('',#44048,.T.); #25434=FACE_OUTER_BOUND('',#44049,.T.); #25435=FACE_OUTER_BOUND('',#44050,.T.); #25436=FACE_OUTER_BOUND('',#44051,.T.); #25437=FACE_OUTER_BOUND('',#44052,.T.); #25438=FACE_OUTER_BOUND('',#44053,.T.); #25439=FACE_OUTER_BOUND('',#44054,.T.); #25440=FACE_OUTER_BOUND('',#44055,.T.); #25441=FACE_OUTER_BOUND('',#44056,.T.); #25442=FACE_OUTER_BOUND('',#44057,.T.); #25443=FACE_OUTER_BOUND('',#44058,.T.); #25444=FACE_OUTER_BOUND('',#44059,.T.); #25445=FACE_OUTER_BOUND('',#44060,.T.); #25446=FACE_OUTER_BOUND('',#44061,.T.); #25447=FACE_OUTER_BOUND('',#44062,.T.); #25448=FACE_OUTER_BOUND('',#44063,.T.); #25449=FACE_OUTER_BOUND('',#44064,.T.); #25450=FACE_OUTER_BOUND('',#44065,.T.); #25451=FACE_OUTER_BOUND('',#44066,.T.); #25452=FACE_OUTER_BOUND('',#44067,.T.); #25453=FACE_OUTER_BOUND('',#44068,.T.); #25454=FACE_OUTER_BOUND('',#44069,.T.); #25455=FACE_OUTER_BOUND('',#44070,.T.); #25456=FACE_OUTER_BOUND('',#44071,.T.); #25457=FACE_OUTER_BOUND('',#44072,.T.); #25458=FACE_OUTER_BOUND('',#44073,.T.); #25459=FACE_OUTER_BOUND('',#44074,.T.); #25460=FACE_OUTER_BOUND('',#44075,.T.); #25461=FACE_OUTER_BOUND('',#44076,.T.); #25462=FACE_OUTER_BOUND('',#44077,.T.); #25463=FACE_OUTER_BOUND('',#44078,.T.); #25464=FACE_OUTER_BOUND('',#44079,.T.); #25465=FACE_OUTER_BOUND('',#44080,.T.); #25466=FACE_OUTER_BOUND('',#44081,.T.); #25467=FACE_OUTER_BOUND('',#44082,.T.); #25468=FACE_OUTER_BOUND('',#44086,.T.); #25469=FACE_OUTER_BOUND('',#44090,.T.); #25470=FACE_OUTER_BOUND('',#44091,.T.); #25471=FACE_OUTER_BOUND('',#44092,.T.); #25472=FACE_OUTER_BOUND('',#44093,.T.); #25473=FACE_OUTER_BOUND('',#44094,.T.); #25474=FACE_OUTER_BOUND('',#44095,.T.); #25475=FACE_OUTER_BOUND('',#44096,.T.); #25476=FACE_OUTER_BOUND('',#44097,.T.); #25477=FACE_OUTER_BOUND('',#44098,.T.); #25478=FACE_OUTER_BOUND('',#44099,.T.); #25479=FACE_OUTER_BOUND('',#44100,.T.); #25480=FACE_OUTER_BOUND('',#44101,.T.); #25481=FACE_OUTER_BOUND('',#44102,.T.); #25482=FACE_OUTER_BOUND('',#44103,.T.); #25483=FACE_OUTER_BOUND('',#44104,.T.); #25484=FACE_OUTER_BOUND('',#44105,.T.); #25485=FACE_OUTER_BOUND('',#44106,.T.); #25486=FACE_OUTER_BOUND('',#44107,.T.); #25487=FACE_OUTER_BOUND('',#44108,.T.); #25488=FACE_OUTER_BOUND('',#44109,.T.); #25489=FACE_OUTER_BOUND('',#44110,.T.); #25490=FACE_OUTER_BOUND('',#44111,.T.); #25491=FACE_OUTER_BOUND('',#44112,.T.); #25492=FACE_OUTER_BOUND('',#44113,.T.); #25493=FACE_OUTER_BOUND('',#44114,.T.); #25494=FACE_OUTER_BOUND('',#44115,.T.); #25495=FACE_OUTER_BOUND('',#44116,.T.); #25496=FACE_OUTER_BOUND('',#44117,.T.); #25497=FACE_OUTER_BOUND('',#44118,.T.); #25498=FACE_OUTER_BOUND('',#44119,.T.); #25499=FACE_OUTER_BOUND('',#44120,.T.); #25500=FACE_OUTER_BOUND('',#44121,.T.); #25501=FACE_OUTER_BOUND('',#44122,.T.); #25502=FACE_OUTER_BOUND('',#44123,.T.); #25503=FACE_OUTER_BOUND('',#44124,.T.); #25504=FACE_OUTER_BOUND('',#44125,.T.); #25505=FACE_OUTER_BOUND('',#44126,.T.); #25506=FACE_OUTER_BOUND('',#44127,.T.); #25507=FACE_OUTER_BOUND('',#44128,.T.); #25508=FACE_OUTER_BOUND('',#44129,.T.); #25509=FACE_OUTER_BOUND('',#44130,.T.); #25510=FACE_OUTER_BOUND('',#44131,.T.); #25511=FACE_OUTER_BOUND('',#44132,.T.); #25512=FACE_OUTER_BOUND('',#44133,.T.); #25513=FACE_OUTER_BOUND('',#44134,.T.); #25514=FACE_OUTER_BOUND('',#44135,.T.); #25515=FACE_OUTER_BOUND('',#44136,.T.); #25516=FACE_OUTER_BOUND('',#44137,.T.); #25517=FACE_OUTER_BOUND('',#44138,.T.); #25518=FACE_OUTER_BOUND('',#44139,.T.); #25519=FACE_OUTER_BOUND('',#44140,.T.); #25520=FACE_OUTER_BOUND('',#44141,.T.); #25521=FACE_OUTER_BOUND('',#44142,.T.); #25522=FACE_OUTER_BOUND('',#44143,.T.); #25523=FACE_OUTER_BOUND('',#44144,.T.); #25524=FACE_OUTER_BOUND('',#44145,.T.); #25525=FACE_OUTER_BOUND('',#44146,.T.); #25526=FACE_OUTER_BOUND('',#44147,.T.); #25527=FACE_OUTER_BOUND('',#44148,.T.); #25528=FACE_OUTER_BOUND('',#44149,.T.); #25529=FACE_OUTER_BOUND('',#44150,.T.); #25530=FACE_OUTER_BOUND('',#44151,.T.); #25531=FACE_OUTER_BOUND('',#44152,.T.); #25532=FACE_OUTER_BOUND('',#44153,.T.); #25533=FACE_OUTER_BOUND('',#44154,.T.); #25534=FACE_OUTER_BOUND('',#44155,.T.); #25535=FACE_OUTER_BOUND('',#44156,.T.); #25536=FACE_OUTER_BOUND('',#44157,.T.); #25537=FACE_OUTER_BOUND('',#44158,.T.); #25538=FACE_OUTER_BOUND('',#44159,.T.); #25539=FACE_OUTER_BOUND('',#44160,.T.); #25540=FACE_OUTER_BOUND('',#44161,.T.); #25541=FACE_OUTER_BOUND('',#44162,.T.); #25542=FACE_OUTER_BOUND('',#44163,.T.); #25543=FACE_OUTER_BOUND('',#44164,.T.); #25544=FACE_OUTER_BOUND('',#44165,.T.); #25545=FACE_OUTER_BOUND('',#44166,.T.); #25546=FACE_OUTER_BOUND('',#44167,.T.); #25547=FACE_OUTER_BOUND('',#44168,.T.); #25548=FACE_OUTER_BOUND('',#44169,.T.); #25549=FACE_OUTER_BOUND('',#44170,.T.); #25550=FACE_OUTER_BOUND('',#44171,.T.); #25551=FACE_OUTER_BOUND('',#44172,.T.); #25552=FACE_OUTER_BOUND('',#44173,.T.); #25553=FACE_OUTER_BOUND('',#44174,.T.); #25554=FACE_OUTER_BOUND('',#44175,.T.); #25555=FACE_OUTER_BOUND('',#44176,.T.); #25556=FACE_OUTER_BOUND('',#44177,.T.); #25557=FACE_OUTER_BOUND('',#44178,.T.); #25558=FACE_OUTER_BOUND('',#44179,.T.); #25559=FACE_OUTER_BOUND('',#44180,.T.); #25560=FACE_OUTER_BOUND('',#44181,.T.); #25561=FACE_OUTER_BOUND('',#44182,.T.); #25562=FACE_OUTER_BOUND('',#44183,.T.); #25563=FACE_OUTER_BOUND('',#44184,.T.); #25564=FACE_OUTER_BOUND('',#44185,.T.); #25565=FACE_OUTER_BOUND('',#44186,.T.); #25566=FACE_OUTER_BOUND('',#44187,.T.); #25567=FACE_OUTER_BOUND('',#44188,.T.); #25568=FACE_OUTER_BOUND('',#44189,.T.); #25569=FACE_OUTER_BOUND('',#44190,.T.); #25570=FACE_OUTER_BOUND('',#44191,.T.); #25571=FACE_OUTER_BOUND('',#44192,.T.); #25572=FACE_OUTER_BOUND('',#44193,.T.); #25573=FACE_OUTER_BOUND('',#44194,.T.); #25574=FACE_OUTER_BOUND('',#44195,.T.); #25575=FACE_OUTER_BOUND('',#44196,.T.); #25576=FACE_OUTER_BOUND('',#44197,.T.); #25577=FACE_OUTER_BOUND('',#44198,.T.); #25578=FACE_OUTER_BOUND('',#44199,.T.); #25579=FACE_OUTER_BOUND('',#44200,.T.); #25580=FACE_OUTER_BOUND('',#44201,.T.); #25581=FACE_OUTER_BOUND('',#44202,.T.); #25582=FACE_OUTER_BOUND('',#44203,.T.); #25583=FACE_OUTER_BOUND('',#44204,.T.); #25584=FACE_OUTER_BOUND('',#44205,.T.); #25585=FACE_OUTER_BOUND('',#44206,.T.); #25586=FACE_OUTER_BOUND('',#44207,.T.); #25587=FACE_OUTER_BOUND('',#44208,.T.); #25588=FACE_OUTER_BOUND('',#44209,.T.); #25589=FACE_OUTER_BOUND('',#44210,.T.); #25590=FACE_OUTER_BOUND('',#44211,.T.); #25591=FACE_OUTER_BOUND('',#44212,.T.); #25592=FACE_OUTER_BOUND('',#44213,.T.); #25593=FACE_OUTER_BOUND('',#44214,.T.); #25594=FACE_OUTER_BOUND('',#44215,.T.); #25595=FACE_OUTER_BOUND('',#44216,.T.); #25596=FACE_OUTER_BOUND('',#44217,.T.); #25597=FACE_OUTER_BOUND('',#44218,.T.); #25598=FACE_OUTER_BOUND('',#44219,.T.); #25599=FACE_OUTER_BOUND('',#44220,.T.); #25600=FACE_OUTER_BOUND('',#44221,.T.); #25601=FACE_OUTER_BOUND('',#44222,.T.); #25602=FACE_OUTER_BOUND('',#44223,.T.); #25603=FACE_OUTER_BOUND('',#44224,.T.); #25604=FACE_OUTER_BOUND('',#44225,.T.); #25605=FACE_OUTER_BOUND('',#44226,.T.); #25606=FACE_OUTER_BOUND('',#44227,.T.); #25607=FACE_OUTER_BOUND('',#44228,.T.); #25608=FACE_OUTER_BOUND('',#44229,.T.); #25609=FACE_OUTER_BOUND('',#44230,.T.); #25610=FACE_OUTER_BOUND('',#44231,.T.); #25611=FACE_OUTER_BOUND('',#44232,.T.); #25612=FACE_OUTER_BOUND('',#44233,.T.); #25613=FACE_OUTER_BOUND('',#44234,.T.); #25614=FACE_OUTER_BOUND('',#44235,.T.); #25615=FACE_OUTER_BOUND('',#44236,.T.); #25616=FACE_OUTER_BOUND('',#44237,.T.); #25617=FACE_OUTER_BOUND('',#44238,.T.); #25618=FACE_OUTER_BOUND('',#44239,.T.); #25619=FACE_OUTER_BOUND('',#44240,.T.); #25620=FACE_OUTER_BOUND('',#44241,.T.); #25621=FACE_OUTER_BOUND('',#44242,.T.); #25622=FACE_OUTER_BOUND('',#44243,.T.); #25623=FACE_OUTER_BOUND('',#44244,.T.); #25624=FACE_OUTER_BOUND('',#44245,.T.); #25625=FACE_OUTER_BOUND('',#44246,.T.); #25626=FACE_OUTER_BOUND('',#44247,.T.); #25627=FACE_OUTER_BOUND('',#44248,.T.); #25628=FACE_OUTER_BOUND('',#44249,.T.); #25629=FACE_OUTER_BOUND('',#44250,.T.); #25630=FACE_OUTER_BOUND('',#44251,.T.); #25631=FACE_OUTER_BOUND('',#44252,.T.); #25632=FACE_OUTER_BOUND('',#44253,.T.); #25633=FACE_OUTER_BOUND('',#44254,.T.); #25634=FACE_OUTER_BOUND('',#44255,.T.); #25635=FACE_OUTER_BOUND('',#44256,.T.); #25636=FACE_OUTER_BOUND('',#44257,.T.); #25637=FACE_OUTER_BOUND('',#44258,.T.); #25638=FACE_OUTER_BOUND('',#44259,.T.); #25639=FACE_OUTER_BOUND('',#44260,.T.); #25640=FACE_OUTER_BOUND('',#44261,.T.); #25641=FACE_OUTER_BOUND('',#44262,.T.); #25642=FACE_OUTER_BOUND('',#44263,.T.); #25643=FACE_OUTER_BOUND('',#44264,.T.); #25644=FACE_OUTER_BOUND('',#44265,.T.); #25645=FACE_OUTER_BOUND('',#44266,.T.); #25646=FACE_OUTER_BOUND('',#44267,.T.); #25647=FACE_OUTER_BOUND('',#44268,.T.); #25648=FACE_OUTER_BOUND('',#44269,.T.); #25649=FACE_OUTER_BOUND('',#44270,.T.); #25650=FACE_OUTER_BOUND('',#44271,.T.); #25651=FACE_OUTER_BOUND('',#44272,.T.); #25652=FACE_OUTER_BOUND('',#44273,.T.); #25653=FACE_OUTER_BOUND('',#44274,.T.); #25654=FACE_OUTER_BOUND('',#44275,.T.); #25655=FACE_OUTER_BOUND('',#44276,.T.); #25656=FACE_OUTER_BOUND('',#44277,.T.); #25657=FACE_OUTER_BOUND('',#44278,.T.); #25658=FACE_OUTER_BOUND('',#44279,.T.); #25659=FACE_OUTER_BOUND('',#44280,.T.); #25660=FACE_OUTER_BOUND('',#44281,.T.); #25661=FACE_OUTER_BOUND('',#44282,.T.); #25662=FACE_OUTER_BOUND('',#44283,.T.); #25663=FACE_OUTER_BOUND('',#44284,.T.); #25664=FACE_OUTER_BOUND('',#44285,.T.); #25665=FACE_OUTER_BOUND('',#44286,.T.); #25666=FACE_OUTER_BOUND('',#44287,.T.); #25667=FACE_OUTER_BOUND('',#44288,.T.); #25668=FACE_OUTER_BOUND('',#44289,.T.); #25669=FACE_OUTER_BOUND('',#44290,.T.); #25670=FACE_OUTER_BOUND('',#44291,.T.); #25671=FACE_OUTER_BOUND('',#44292,.T.); #25672=FACE_OUTER_BOUND('',#44293,.T.); #25673=FACE_OUTER_BOUND('',#44294,.T.); #25674=FACE_OUTER_BOUND('',#44295,.T.); #25675=FACE_OUTER_BOUND('',#44296,.T.); #25676=FACE_OUTER_BOUND('',#44297,.T.); #25677=FACE_OUTER_BOUND('',#44298,.T.); #25678=FACE_OUTER_BOUND('',#44299,.T.); #25679=FACE_OUTER_BOUND('',#44300,.T.); #25680=FACE_OUTER_BOUND('',#44301,.T.); #25681=FACE_OUTER_BOUND('',#44302,.T.); #25682=FACE_OUTER_BOUND('',#44303,.T.); #25683=FACE_OUTER_BOUND('',#44304,.T.); #25684=FACE_OUTER_BOUND('',#44305,.T.); #25685=FACE_OUTER_BOUND('',#44306,.T.); #25686=FACE_OUTER_BOUND('',#44307,.T.); #25687=FACE_OUTER_BOUND('',#44308,.T.); #25688=FACE_OUTER_BOUND('',#44309,.T.); #25689=FACE_OUTER_BOUND('',#44310,.T.); #25690=FACE_OUTER_BOUND('',#44311,.T.); #25691=FACE_OUTER_BOUND('',#44312,.T.); #25692=FACE_OUTER_BOUND('',#44313,.T.); #25693=FACE_OUTER_BOUND('',#44314,.T.); #25694=FACE_OUTER_BOUND('',#44315,.T.); #25695=FACE_OUTER_BOUND('',#44316,.T.); #25696=FACE_OUTER_BOUND('',#44317,.T.); #25697=FACE_OUTER_BOUND('',#44318,.T.); #25698=FACE_OUTER_BOUND('',#44319,.T.); #25699=FACE_OUTER_BOUND('',#44320,.T.); #25700=FACE_OUTER_BOUND('',#44321,.T.); #25701=FACE_OUTER_BOUND('',#44322,.T.); #25702=FACE_OUTER_BOUND('',#44323,.T.); #25703=FACE_OUTER_BOUND('',#44324,.T.); #25704=FACE_OUTER_BOUND('',#44325,.T.); #25705=FACE_OUTER_BOUND('',#44326,.T.); #25706=FACE_OUTER_BOUND('',#44327,.T.); #25707=FACE_OUTER_BOUND('',#44328,.T.); #25708=FACE_OUTER_BOUND('',#44329,.T.); #25709=FACE_OUTER_BOUND('',#44330,.T.); #25710=FACE_OUTER_BOUND('',#44331,.T.); #25711=FACE_OUTER_BOUND('',#44332,.T.); #25712=FACE_OUTER_BOUND('',#44333,.T.); #25713=FACE_OUTER_BOUND('',#44334,.T.); #25714=FACE_OUTER_BOUND('',#44335,.T.); #25715=FACE_OUTER_BOUND('',#44336,.T.); #25716=FACE_OUTER_BOUND('',#44337,.T.); #25717=FACE_OUTER_BOUND('',#44338,.T.); #25718=FACE_OUTER_BOUND('',#44339,.T.); #25719=FACE_OUTER_BOUND('',#44340,.T.); #25720=FACE_OUTER_BOUND('',#44341,.T.); #25721=FACE_OUTER_BOUND('',#44342,.T.); #25722=FACE_OUTER_BOUND('',#44343,.T.); #25723=FACE_OUTER_BOUND('',#44344,.T.); #25724=FACE_OUTER_BOUND('',#44345,.T.); #25725=FACE_OUTER_BOUND('',#44346,.T.); #25726=FACE_OUTER_BOUND('',#44347,.T.); #25727=FACE_OUTER_BOUND('',#44348,.T.); #25728=FACE_OUTER_BOUND('',#44349,.T.); #25729=FACE_OUTER_BOUND('',#44350,.T.); #25730=FACE_OUTER_BOUND('',#44351,.T.); #25731=FACE_OUTER_BOUND('',#44352,.T.); #25732=FACE_OUTER_BOUND('',#44353,.T.); #25733=FACE_OUTER_BOUND('',#44354,.T.); #25734=FACE_OUTER_BOUND('',#44355,.T.); #25735=FACE_OUTER_BOUND('',#44356,.T.); #25736=FACE_OUTER_BOUND('',#44357,.T.); #25737=FACE_OUTER_BOUND('',#44358,.T.); #25738=FACE_OUTER_BOUND('',#44359,.T.); #25739=FACE_OUTER_BOUND('',#44360,.T.); #25740=FACE_OUTER_BOUND('',#44361,.T.); #25741=FACE_OUTER_BOUND('',#44362,.T.); #25742=FACE_OUTER_BOUND('',#44363,.T.); #25743=FACE_OUTER_BOUND('',#44364,.T.); #25744=FACE_OUTER_BOUND('',#44365,.T.); #25745=FACE_OUTER_BOUND('',#44366,.T.); #25746=FACE_OUTER_BOUND('',#44367,.T.); #25747=FACE_OUTER_BOUND('',#44368,.T.); #25748=FACE_OUTER_BOUND('',#44369,.T.); #25749=FACE_OUTER_BOUND('',#44370,.T.); #25750=FACE_OUTER_BOUND('',#44371,.T.); #25751=FACE_OUTER_BOUND('',#44372,.T.); #25752=FACE_OUTER_BOUND('',#44373,.T.); #25753=FACE_OUTER_BOUND('',#44374,.T.); #25754=FACE_OUTER_BOUND('',#44375,.T.); #25755=FACE_OUTER_BOUND('',#44376,.T.); #25756=FACE_OUTER_BOUND('',#44377,.T.); #25757=FACE_OUTER_BOUND('',#44378,.T.); #25758=FACE_OUTER_BOUND('',#44379,.T.); #25759=FACE_OUTER_BOUND('',#44380,.T.); #25760=FACE_OUTER_BOUND('',#44381,.T.); #25761=FACE_OUTER_BOUND('',#44382,.T.); #25762=FACE_OUTER_BOUND('',#44383,.T.); #25763=FACE_OUTER_BOUND('',#44384,.T.); #25764=FACE_OUTER_BOUND('',#44385,.T.); #25765=FACE_OUTER_BOUND('',#44386,.T.); #25766=FACE_OUTER_BOUND('',#44387,.T.); #25767=FACE_OUTER_BOUND('',#44388,.T.); #25768=FACE_OUTER_BOUND('',#44389,.T.); #25769=FACE_OUTER_BOUND('',#44390,.T.); #25770=FACE_OUTER_BOUND('',#44391,.T.); #25771=FACE_OUTER_BOUND('',#44392,.T.); #25772=FACE_OUTER_BOUND('',#44393,.T.); #25773=FACE_OUTER_BOUND('',#44394,.T.); #25774=FACE_OUTER_BOUND('',#44395,.T.); #25775=FACE_OUTER_BOUND('',#44396,.T.); #25776=FACE_OUTER_BOUND('',#44397,.T.); #25777=FACE_OUTER_BOUND('',#44398,.T.); #25778=FACE_OUTER_BOUND('',#44399,.T.); #25779=FACE_OUTER_BOUND('',#44400,.T.); #25780=FACE_OUTER_BOUND('',#44401,.T.); #25781=FACE_OUTER_BOUND('',#44402,.T.); #25782=FACE_OUTER_BOUND('',#44403,.T.); #25783=FACE_OUTER_BOUND('',#44404,.T.); #25784=FACE_OUTER_BOUND('',#44405,.T.); #25785=FACE_OUTER_BOUND('',#44406,.T.); #25786=FACE_OUTER_BOUND('',#44407,.T.); #25787=FACE_OUTER_BOUND('',#44408,.T.); #25788=FACE_OUTER_BOUND('',#44409,.T.); #25789=FACE_OUTER_BOUND('',#44410,.T.); #25790=FACE_OUTER_BOUND('',#44411,.T.); #25791=FACE_OUTER_BOUND('',#44412,.T.); #25792=FACE_OUTER_BOUND('',#44413,.T.); #25793=FACE_OUTER_BOUND('',#44414,.T.); #25794=FACE_OUTER_BOUND('',#44415,.T.); #25795=FACE_OUTER_BOUND('',#44416,.T.); #25796=FACE_OUTER_BOUND('',#44417,.T.); #25797=FACE_OUTER_BOUND('',#44418,.T.); #25798=FACE_OUTER_BOUND('',#44419,.T.); #25799=FACE_OUTER_BOUND('',#44420,.T.); #25800=FACE_OUTER_BOUND('',#44421,.T.); #25801=FACE_OUTER_BOUND('',#44422,.T.); #25802=FACE_OUTER_BOUND('',#44423,.T.); #25803=FACE_OUTER_BOUND('',#44424,.T.); #25804=FACE_OUTER_BOUND('',#44425,.T.); #25805=FACE_OUTER_BOUND('',#44426,.T.); #25806=FACE_OUTER_BOUND('',#44427,.T.); #25807=FACE_OUTER_BOUND('',#44428,.T.); #25808=FACE_OUTER_BOUND('',#44429,.T.); #25809=FACE_OUTER_BOUND('',#44430,.T.); #25810=FACE_OUTER_BOUND('',#44431,.T.); #25811=FACE_OUTER_BOUND('',#44432,.T.); #25812=FACE_OUTER_BOUND('',#44433,.T.); #25813=FACE_OUTER_BOUND('',#44434,.T.); #25814=FACE_OUTER_BOUND('',#44435,.T.); #25815=FACE_OUTER_BOUND('',#44436,.T.); #25816=FACE_OUTER_BOUND('',#44437,.T.); #25817=FACE_OUTER_BOUND('',#44438,.T.); #25818=FACE_OUTER_BOUND('',#44439,.T.); #25819=FACE_OUTER_BOUND('',#44440,.T.); #25820=FACE_OUTER_BOUND('',#44441,.T.); #25821=FACE_OUTER_BOUND('',#44442,.T.); #25822=FACE_OUTER_BOUND('',#44443,.T.); #25823=FACE_OUTER_BOUND('',#44444,.T.); #25824=FACE_OUTER_BOUND('',#44445,.T.); #25825=FACE_OUTER_BOUND('',#44446,.T.); #25826=FACE_OUTER_BOUND('',#44447,.T.); #25827=FACE_OUTER_BOUND('',#44448,.T.); #25828=FACE_OUTER_BOUND('',#44449,.T.); #25829=FACE_OUTER_BOUND('',#44450,.T.); #25830=FACE_OUTER_BOUND('',#44451,.T.); #25831=FACE_OUTER_BOUND('',#44452,.T.); #25832=FACE_OUTER_BOUND('',#44453,.T.); #25833=FACE_OUTER_BOUND('',#44454,.T.); #25834=FACE_OUTER_BOUND('',#44455,.T.); #25835=FACE_OUTER_BOUND('',#44456,.T.); #25836=FACE_OUTER_BOUND('',#44457,.T.); #25837=FACE_OUTER_BOUND('',#44458,.T.); #25838=FACE_OUTER_BOUND('',#44459,.T.); #25839=FACE_OUTER_BOUND('',#44460,.T.); #25840=FACE_OUTER_BOUND('',#44461,.T.); #25841=FACE_OUTER_BOUND('',#44462,.T.); #25842=FACE_OUTER_BOUND('',#44463,.T.); #25843=FACE_OUTER_BOUND('',#44464,.T.); #25844=FACE_OUTER_BOUND('',#44465,.T.); #25845=FACE_OUTER_BOUND('',#44466,.T.); #25846=FACE_OUTER_BOUND('',#44467,.T.); #25847=FACE_OUTER_BOUND('',#44468,.T.); #25848=FACE_OUTER_BOUND('',#44469,.T.); #25849=FACE_OUTER_BOUND('',#44470,.T.); #25850=FACE_OUTER_BOUND('',#44471,.T.); #25851=FACE_OUTER_BOUND('',#44472,.T.); #25852=FACE_OUTER_BOUND('',#44473,.T.); #25853=FACE_OUTER_BOUND('',#44474,.T.); #25854=FACE_OUTER_BOUND('',#44475,.T.); #25855=FACE_OUTER_BOUND('',#44476,.T.); #25856=FACE_OUTER_BOUND('',#44477,.T.); #25857=FACE_OUTER_BOUND('',#44478,.T.); #25858=FACE_OUTER_BOUND('',#44479,.T.); #25859=FACE_OUTER_BOUND('',#44480,.T.); #25860=FACE_OUTER_BOUND('',#44481,.T.); #25861=FACE_OUTER_BOUND('',#44482,.T.); #25862=FACE_OUTER_BOUND('',#44483,.T.); #25863=FACE_OUTER_BOUND('',#44484,.T.); #25864=FACE_OUTER_BOUND('',#44485,.T.); #25865=FACE_OUTER_BOUND('',#44486,.T.); #25866=FACE_OUTER_BOUND('',#44487,.T.); #25867=FACE_OUTER_BOUND('',#44537,.T.); #25868=FACE_OUTER_BOUND('',#44587,.T.); #25869=FACE_OUTER_BOUND('',#44588,.T.); #25870=FACE_OUTER_BOUND('',#44589,.T.); #25871=FACE_OUTER_BOUND('',#44590,.T.); #25872=FACE_OUTER_BOUND('',#44591,.T.); #25873=FACE_OUTER_BOUND('',#44592,.T.); #25874=FACE_OUTER_BOUND('',#44593,.T.); #25875=FACE_OUTER_BOUND('',#44594,.T.); #25876=FACE_OUTER_BOUND('',#44595,.T.); #25877=FACE_OUTER_BOUND('',#44596,.T.); #25878=FACE_OUTER_BOUND('',#44597,.T.); #25879=FACE_OUTER_BOUND('',#44598,.T.); #25880=FACE_OUTER_BOUND('',#44599,.T.); #25881=FACE_OUTER_BOUND('',#44600,.T.); #25882=FACE_OUTER_BOUND('',#44601,.T.); #25883=FACE_OUTER_BOUND('',#44602,.T.); #25884=FACE_OUTER_BOUND('',#44603,.T.); #25885=FACE_OUTER_BOUND('',#44604,.T.); #25886=FACE_OUTER_BOUND('',#44605,.T.); #25887=FACE_OUTER_BOUND('',#44606,.T.); #25888=FACE_OUTER_BOUND('',#44607,.T.); #25889=FACE_OUTER_BOUND('',#44608,.T.); #25890=FACE_OUTER_BOUND('',#44609,.T.); #25891=FACE_OUTER_BOUND('',#44610,.T.); #25892=FACE_OUTER_BOUND('',#44611,.T.); #25893=FACE_OUTER_BOUND('',#44612,.T.); #25894=FACE_OUTER_BOUND('',#44613,.T.); #25895=FACE_OUTER_BOUND('',#44614,.T.); #25896=FACE_OUTER_BOUND('',#44615,.T.); #25897=FACE_OUTER_BOUND('',#44616,.T.); #25898=FACE_OUTER_BOUND('',#44617,.T.); #25899=FACE_OUTER_BOUND('',#44618,.T.); #25900=FACE_OUTER_BOUND('',#44619,.T.); #25901=FACE_OUTER_BOUND('',#44620,.T.); #25902=FACE_OUTER_BOUND('',#44621,.T.); #25903=FACE_OUTER_BOUND('',#44622,.T.); #25904=FACE_OUTER_BOUND('',#44623,.T.); #25905=FACE_OUTER_BOUND('',#44624,.T.); #25906=FACE_OUTER_BOUND('',#44625,.T.); #25907=FACE_OUTER_BOUND('',#44626,.T.); #25908=FACE_OUTER_BOUND('',#44627,.T.); #25909=FACE_OUTER_BOUND('',#44628,.T.); #25910=FACE_OUTER_BOUND('',#44629,.T.); #25911=FACE_OUTER_BOUND('',#44630,.T.); #25912=FACE_OUTER_BOUND('',#44631,.T.); #25913=FACE_OUTER_BOUND('',#44632,.T.); #25914=FACE_OUTER_BOUND('',#44633,.T.); #25915=FACE_OUTER_BOUND('',#44634,.T.); #25916=FACE_OUTER_BOUND('',#44635,.T.); #25917=FACE_OUTER_BOUND('',#44636,.T.); #25918=FACE_OUTER_BOUND('',#44637,.T.); #25919=FACE_OUTER_BOUND('',#44638,.T.); #25920=FACE_OUTER_BOUND('',#44639,.T.); #25921=FACE_OUTER_BOUND('',#44640,.T.); #25922=FACE_OUTER_BOUND('',#44641,.T.); #25923=FACE_OUTER_BOUND('',#44642,.T.); #25924=FACE_OUTER_BOUND('',#44643,.T.); #25925=FACE_OUTER_BOUND('',#44644,.T.); #25926=FACE_OUTER_BOUND('',#44645,.T.); #25927=FACE_OUTER_BOUND('',#44646,.T.); #25928=FACE_OUTER_BOUND('',#44647,.T.); #25929=FACE_OUTER_BOUND('',#44648,.T.); #25930=FACE_OUTER_BOUND('',#44649,.T.); #25931=FACE_OUTER_BOUND('',#44650,.T.); #25932=FACE_OUTER_BOUND('',#44651,.T.); #25933=FACE_OUTER_BOUND('',#44652,.T.); #25934=FACE_OUTER_BOUND('',#44653,.T.); #25935=FACE_OUTER_BOUND('',#44654,.T.); #25936=FACE_OUTER_BOUND('',#44655,.T.); #25937=FACE_OUTER_BOUND('',#44656,.T.); #25938=FACE_OUTER_BOUND('',#44657,.T.); #25939=FACE_OUTER_BOUND('',#44658,.T.); #25940=FACE_OUTER_BOUND('',#44659,.T.); #25941=FACE_OUTER_BOUND('',#44660,.T.); #25942=FACE_OUTER_BOUND('',#44661,.T.); #25943=FACE_OUTER_BOUND('',#44662,.T.); #25944=FACE_OUTER_BOUND('',#44663,.T.); #25945=FACE_OUTER_BOUND('',#44664,.T.); #25946=FACE_OUTER_BOUND('',#44665,.T.); #25947=FACE_OUTER_BOUND('',#44666,.T.); #25948=FACE_OUTER_BOUND('',#44667,.T.); #25949=FACE_OUTER_BOUND('',#44668,.T.); #25950=FACE_OUTER_BOUND('',#44669,.T.); #25951=FACE_OUTER_BOUND('',#44670,.T.); #25952=FACE_OUTER_BOUND('',#44671,.T.); #25953=FACE_OUTER_BOUND('',#44672,.T.); #25954=FACE_OUTER_BOUND('',#44673,.T.); #25955=FACE_OUTER_BOUND('',#44674,.T.); #25956=FACE_OUTER_BOUND('',#44675,.T.); #25957=FACE_OUTER_BOUND('',#44676,.T.); #25958=FACE_OUTER_BOUND('',#44677,.T.); #25959=FACE_OUTER_BOUND('',#44678,.T.); #25960=FACE_OUTER_BOUND('',#44679,.T.); #25961=FACE_OUTER_BOUND('',#44680,.T.); #25962=FACE_OUTER_BOUND('',#44681,.T.); #25963=FACE_OUTER_BOUND('',#44682,.T.); #25964=FACE_OUTER_BOUND('',#44683,.T.); #25965=FACE_OUTER_BOUND('',#44684,.T.); #25966=FACE_OUTER_BOUND('',#44685,.T.); #25967=FACE_OUTER_BOUND('',#44686,.T.); #25968=FACE_OUTER_BOUND('',#44687,.T.); #25969=FACE_OUTER_BOUND('',#44688,.T.); #25970=FACE_OUTER_BOUND('',#44689,.T.); #25971=FACE_OUTER_BOUND('',#44690,.T.); #25972=FACE_OUTER_BOUND('',#44691,.T.); #25973=FACE_OUTER_BOUND('',#44692,.T.); #25974=FACE_OUTER_BOUND('',#44693,.T.); #25975=FACE_OUTER_BOUND('',#44694,.T.); #25976=FACE_OUTER_BOUND('',#44695,.T.); #25977=FACE_OUTER_BOUND('',#44696,.T.); #25978=FACE_OUTER_BOUND('',#44697,.T.); #25979=FACE_OUTER_BOUND('',#44698,.T.); #25980=FACE_OUTER_BOUND('',#44699,.T.); #25981=FACE_OUTER_BOUND('',#44700,.T.); #25982=FACE_OUTER_BOUND('',#44701,.T.); #25983=FACE_OUTER_BOUND('',#44702,.T.); #25984=FACE_OUTER_BOUND('',#44703,.T.); #25985=FACE_OUTER_BOUND('',#44704,.T.); #25986=FACE_OUTER_BOUND('',#44705,.T.); #25987=FACE_OUTER_BOUND('',#44706,.T.); #25988=FACE_OUTER_BOUND('',#44707,.T.); #25989=FACE_OUTER_BOUND('',#44708,.T.); #25990=FACE_OUTER_BOUND('',#44709,.T.); #25991=FACE_OUTER_BOUND('',#44710,.T.); #25992=FACE_OUTER_BOUND('',#44711,.T.); #25993=FACE_OUTER_BOUND('',#44712,.T.); #25994=FACE_OUTER_BOUND('',#44713,.T.); #25995=FACE_OUTER_BOUND('',#44714,.T.); #25996=FACE_OUTER_BOUND('',#44715,.T.); #25997=FACE_OUTER_BOUND('',#44716,.T.); #25998=FACE_OUTER_BOUND('',#44717,.T.); #25999=FACE_OUTER_BOUND('',#44718,.T.); #26000=FACE_OUTER_BOUND('',#44719,.T.); #26001=FACE_OUTER_BOUND('',#44720,.T.); #26002=FACE_OUTER_BOUND('',#44721,.T.); #26003=FACE_OUTER_BOUND('',#44722,.T.); #26004=FACE_OUTER_BOUND('',#44723,.T.); #26005=FACE_OUTER_BOUND('',#44724,.T.); #26006=FACE_OUTER_BOUND('',#44725,.T.); #26007=FACE_OUTER_BOUND('',#44726,.T.); #26008=FACE_OUTER_BOUND('',#44727,.T.); #26009=FACE_OUTER_BOUND('',#44728,.T.); #26010=FACE_OUTER_BOUND('',#44729,.T.); #26011=FACE_OUTER_BOUND('',#44730,.T.); #26012=FACE_OUTER_BOUND('',#44731,.T.); #26013=FACE_OUTER_BOUND('',#44732,.T.); #26014=FACE_OUTER_BOUND('',#44733,.T.); #26015=FACE_OUTER_BOUND('',#44734,.T.); #26016=FACE_OUTER_BOUND('',#44735,.T.); #26017=FACE_OUTER_BOUND('',#44736,.T.); #26018=FACE_OUTER_BOUND('',#44737,.T.); #26019=FACE_OUTER_BOUND('',#44738,.T.); #26020=FACE_OUTER_BOUND('',#44739,.T.); #26021=FACE_OUTER_BOUND('',#44740,.T.); #26022=FACE_OUTER_BOUND('',#44741,.T.); #26023=FACE_OUTER_BOUND('',#44742,.T.); #26024=FACE_OUTER_BOUND('',#44743,.T.); #26025=FACE_OUTER_BOUND('',#44744,.T.); #26026=FACE_OUTER_BOUND('',#44745,.T.); #26027=FACE_OUTER_BOUND('',#44746,.T.); #26028=FACE_OUTER_BOUND('',#44747,.T.); #26029=FACE_OUTER_BOUND('',#44748,.T.); #26030=FACE_OUTER_BOUND('',#44749,.T.); #26031=FACE_OUTER_BOUND('',#44750,.T.); #26032=FACE_OUTER_BOUND('',#44751,.T.); #26033=FACE_OUTER_BOUND('',#44752,.T.); #26034=FACE_OUTER_BOUND('',#44753,.T.); #26035=FACE_OUTER_BOUND('',#44754,.T.); #26036=FACE_OUTER_BOUND('',#44755,.T.); #26037=FACE_OUTER_BOUND('',#44756,.T.); #26038=FACE_OUTER_BOUND('',#44757,.T.); #26039=FACE_OUTER_BOUND('',#44758,.T.); #26040=FACE_OUTER_BOUND('',#44759,.T.); #26041=FACE_OUTER_BOUND('',#44760,.T.); #26042=FACE_OUTER_BOUND('',#44761,.T.); #26043=FACE_OUTER_BOUND('',#44762,.T.); #26044=FACE_OUTER_BOUND('',#44763,.T.); #26045=FACE_OUTER_BOUND('',#44764,.T.); #26046=FACE_OUTER_BOUND('',#44765,.T.); #26047=FACE_OUTER_BOUND('',#44766,.T.); #26048=FACE_OUTER_BOUND('',#44767,.T.); #26049=FACE_OUTER_BOUND('',#44768,.T.); #26050=FACE_OUTER_BOUND('',#44769,.T.); #26051=FACE_OUTER_BOUND('',#44770,.T.); #26052=FACE_OUTER_BOUND('',#44771,.T.); #26053=FACE_OUTER_BOUND('',#44772,.T.); #26054=FACE_OUTER_BOUND('',#44773,.T.); #26055=FACE_OUTER_BOUND('',#44774,.T.); #26056=FACE_OUTER_BOUND('',#44775,.T.); #26057=FACE_OUTER_BOUND('',#44776,.T.); #26058=FACE_OUTER_BOUND('',#44777,.T.); #26059=FACE_OUTER_BOUND('',#44778,.T.); #26060=FACE_OUTER_BOUND('',#44779,.T.); #26061=FACE_OUTER_BOUND('',#44780,.T.); #26062=FACE_OUTER_BOUND('',#44781,.T.); #26063=FACE_OUTER_BOUND('',#44782,.T.); #26064=FACE_OUTER_BOUND('',#44783,.T.); #26065=FACE_OUTER_BOUND('',#44784,.T.); #26066=FACE_OUTER_BOUND('',#44785,.T.); #26067=FACE_OUTER_BOUND('',#44786,.T.); #26068=FACE_OUTER_BOUND('',#44787,.T.); #26069=FACE_OUTER_BOUND('',#44788,.T.); #26070=FACE_OUTER_BOUND('',#44789,.T.); #26071=FACE_OUTER_BOUND('',#44790,.T.); #26072=FACE_OUTER_BOUND('',#44791,.T.); #26073=FACE_OUTER_BOUND('',#44792,.T.); #26074=FACE_OUTER_BOUND('',#44793,.T.); #26075=FACE_OUTER_BOUND('',#44794,.T.); #26076=FACE_OUTER_BOUND('',#44795,.T.); #26077=FACE_OUTER_BOUND('',#44796,.T.); #26078=FACE_OUTER_BOUND('',#44797,.T.); #26079=FACE_OUTER_BOUND('',#44798,.T.); #26080=FACE_OUTER_BOUND('',#44799,.T.); #26081=FACE_OUTER_BOUND('',#44800,.T.); #26082=FACE_OUTER_BOUND('',#44801,.T.); #26083=FACE_OUTER_BOUND('',#44802,.T.); #26084=FACE_OUTER_BOUND('',#44803,.T.); #26085=FACE_OUTER_BOUND('',#44804,.T.); #26086=FACE_OUTER_BOUND('',#44805,.T.); #26087=FACE_OUTER_BOUND('',#44806,.T.); #26088=FACE_OUTER_BOUND('',#44807,.T.); #26089=FACE_OUTER_BOUND('',#44808,.T.); #26090=FACE_OUTER_BOUND('',#44809,.T.); #26091=FACE_OUTER_BOUND('',#44810,.T.); #26092=FACE_OUTER_BOUND('',#44811,.T.); #26093=FACE_OUTER_BOUND('',#44812,.T.); #26094=FACE_OUTER_BOUND('',#44813,.T.); #26095=FACE_OUTER_BOUND('',#44814,.T.); #26096=FACE_OUTER_BOUND('',#44815,.T.); #26097=FACE_OUTER_BOUND('',#44816,.T.); #26098=FACE_OUTER_BOUND('',#44817,.T.); #26099=FACE_OUTER_BOUND('',#44818,.T.); #26100=FACE_OUTER_BOUND('',#44819,.T.); #26101=FACE_OUTER_BOUND('',#44820,.T.); #26102=FACE_OUTER_BOUND('',#44821,.T.); #26103=FACE_OUTER_BOUND('',#44822,.T.); #26104=FACE_OUTER_BOUND('',#44823,.T.); #26105=FACE_OUTER_BOUND('',#44824,.T.); #26106=FACE_OUTER_BOUND('',#44825,.T.); #26107=FACE_OUTER_BOUND('',#44826,.T.); #26108=FACE_OUTER_BOUND('',#44827,.T.); #26109=FACE_OUTER_BOUND('',#44828,.T.); #26110=FACE_OUTER_BOUND('',#44829,.T.); #26111=FACE_OUTER_BOUND('',#44830,.T.); #26112=FACE_OUTER_BOUND('',#44831,.T.); #26113=FACE_OUTER_BOUND('',#44832,.T.); #26114=FACE_OUTER_BOUND('',#44833,.T.); #26115=FACE_OUTER_BOUND('',#44834,.T.); #26116=FACE_OUTER_BOUND('',#44835,.T.); #26117=FACE_OUTER_BOUND('',#44836,.T.); #26118=FACE_OUTER_BOUND('',#44837,.T.); #26119=FACE_OUTER_BOUND('',#44838,.T.); #26120=FACE_OUTER_BOUND('',#44839,.T.); #26121=FACE_OUTER_BOUND('',#44840,.T.); #26122=FACE_OUTER_BOUND('',#44841,.T.); #26123=FACE_OUTER_BOUND('',#44842,.T.); #26124=FACE_OUTER_BOUND('',#44843,.T.); #26125=FACE_OUTER_BOUND('',#44844,.T.); #26126=FACE_OUTER_BOUND('',#44845,.T.); #26127=FACE_OUTER_BOUND('',#44846,.T.); #26128=FACE_OUTER_BOUND('',#44847,.T.); #26129=FACE_OUTER_BOUND('',#44848,.T.); #26130=FACE_OUTER_BOUND('',#44849,.T.); #26131=FACE_OUTER_BOUND('',#44850,.T.); #26132=FACE_OUTER_BOUND('',#44851,.T.); #26133=FACE_OUTER_BOUND('',#44852,.T.); #26134=FACE_OUTER_BOUND('',#44853,.T.); #26135=FACE_OUTER_BOUND('',#44854,.T.); #26136=FACE_OUTER_BOUND('',#44855,.T.); #26137=FACE_OUTER_BOUND('',#44856,.T.); #26138=FACE_OUTER_BOUND('',#44857,.T.); #26139=FACE_OUTER_BOUND('',#44858,.T.); #26140=FACE_OUTER_BOUND('',#44859,.T.); #26141=FACE_OUTER_BOUND('',#44860,.T.); #26142=FACE_OUTER_BOUND('',#44861,.T.); #26143=FACE_OUTER_BOUND('',#44862,.T.); #26144=FACE_OUTER_BOUND('',#44863,.T.); #26145=FACE_OUTER_BOUND('',#44864,.T.); #26146=FACE_OUTER_BOUND('',#44865,.T.); #26147=FACE_OUTER_BOUND('',#44866,.T.); #26148=FACE_OUTER_BOUND('',#44867,.T.); #26149=FACE_OUTER_BOUND('',#44868,.T.); #26150=FACE_OUTER_BOUND('',#44869,.T.); #26151=FACE_OUTER_BOUND('',#44870,.T.); #26152=FACE_OUTER_BOUND('',#44871,.T.); #26153=FACE_OUTER_BOUND('',#44872,.T.); #26154=FACE_OUTER_BOUND('',#44873,.T.); #26155=FACE_OUTER_BOUND('',#44874,.T.); #26156=FACE_OUTER_BOUND('',#44875,.T.); #26157=FACE_OUTER_BOUND('',#44876,.T.); #26158=FACE_OUTER_BOUND('',#44877,.T.); #26159=FACE_OUTER_BOUND('',#44878,.T.); #26160=FACE_OUTER_BOUND('',#44879,.T.); #26161=FACE_OUTER_BOUND('',#44880,.T.); #26162=FACE_OUTER_BOUND('',#44881,.T.); #26163=FACE_OUTER_BOUND('',#44882,.T.); #26164=FACE_OUTER_BOUND('',#44883,.T.); #26165=FACE_OUTER_BOUND('',#44884,.T.); #26166=FACE_OUTER_BOUND('',#44885,.T.); #26167=FACE_OUTER_BOUND('',#44886,.T.); #26168=FACE_OUTER_BOUND('',#44887,.T.); #26169=FACE_OUTER_BOUND('',#44888,.T.); #26170=FACE_OUTER_BOUND('',#44889,.T.); #26171=FACE_OUTER_BOUND('',#44890,.T.); #26172=FACE_OUTER_BOUND('',#44891,.T.); #26173=FACE_OUTER_BOUND('',#44892,.T.); #26174=FACE_OUTER_BOUND('',#44893,.T.); #26175=FACE_OUTER_BOUND('',#44894,.T.); #26176=FACE_OUTER_BOUND('',#44895,.T.); #26177=FACE_OUTER_BOUND('',#44896,.T.); #26178=FACE_OUTER_BOUND('',#44897,.T.); #26179=FACE_OUTER_BOUND('',#44898,.T.); #26180=FACE_OUTER_BOUND('',#44899,.T.); #26181=FACE_OUTER_BOUND('',#44900,.T.); #26182=FACE_OUTER_BOUND('',#44901,.T.); #26183=FACE_OUTER_BOUND('',#44902,.T.); #26184=FACE_OUTER_BOUND('',#44903,.T.); #26185=FACE_OUTER_BOUND('',#44904,.T.); #26186=FACE_OUTER_BOUND('',#44905,.T.); #26187=FACE_OUTER_BOUND('',#44906,.T.); #26188=FACE_OUTER_BOUND('',#44907,.T.); #26189=FACE_OUTER_BOUND('',#44908,.T.); #26190=FACE_OUTER_BOUND('',#44909,.T.); #26191=FACE_OUTER_BOUND('',#44910,.T.); #26192=FACE_OUTER_BOUND('',#44911,.T.); #26193=FACE_OUTER_BOUND('',#44912,.T.); #26194=FACE_OUTER_BOUND('',#44913,.T.); #26195=FACE_OUTER_BOUND('',#44914,.T.); #26196=FACE_OUTER_BOUND('',#44915,.T.); #26197=FACE_OUTER_BOUND('',#44916,.T.); #26198=FACE_OUTER_BOUND('',#44917,.T.); #26199=FACE_OUTER_BOUND('',#44918,.T.); #26200=FACE_OUTER_BOUND('',#44919,.T.); #26201=FACE_OUTER_BOUND('',#44920,.T.); #26202=FACE_OUTER_BOUND('',#44921,.T.); #26203=FACE_OUTER_BOUND('',#44922,.T.); #26204=FACE_OUTER_BOUND('',#44923,.T.); #26205=FACE_OUTER_BOUND('',#44924,.T.); #26206=FACE_OUTER_BOUND('',#44925,.T.); #26207=FACE_OUTER_BOUND('',#44926,.T.); #26208=FACE_OUTER_BOUND('',#44927,.T.); #26209=FACE_OUTER_BOUND('',#44928,.T.); #26210=FACE_OUTER_BOUND('',#44929,.T.); #26211=FACE_OUTER_BOUND('',#44930,.T.); #26212=FACE_OUTER_BOUND('',#44931,.T.); #26213=FACE_OUTER_BOUND('',#44932,.T.); #26214=FACE_OUTER_BOUND('',#44933,.T.); #26215=FACE_OUTER_BOUND('',#44934,.T.); #26216=FACE_OUTER_BOUND('',#44935,.T.); #26217=FACE_OUTER_BOUND('',#44936,.T.); #26218=FACE_OUTER_BOUND('',#44937,.T.); #26219=FACE_OUTER_BOUND('',#44938,.T.); #26220=FACE_OUTER_BOUND('',#44939,.T.); #26221=FACE_OUTER_BOUND('',#44940,.T.); #26222=FACE_OUTER_BOUND('',#44941,.T.); #26223=FACE_OUTER_BOUND('',#44942,.T.); #26224=FACE_OUTER_BOUND('',#44943,.T.); #26225=FACE_OUTER_BOUND('',#44944,.T.); #26226=FACE_OUTER_BOUND('',#44945,.T.); #26227=FACE_OUTER_BOUND('',#44946,.T.); #26228=FACE_OUTER_BOUND('',#44947,.T.); #26229=FACE_OUTER_BOUND('',#44948,.T.); #26230=FACE_OUTER_BOUND('',#44949,.T.); #26231=FACE_OUTER_BOUND('',#44950,.T.); #26232=FACE_OUTER_BOUND('',#44951,.T.); #26233=FACE_OUTER_BOUND('',#44952,.T.); #26234=FACE_OUTER_BOUND('',#44953,.T.); #26235=FACE_OUTER_BOUND('',#44954,.T.); #26236=FACE_OUTER_BOUND('',#44955,.T.); #26237=FACE_OUTER_BOUND('',#44956,.T.); #26238=FACE_OUTER_BOUND('',#44957,.T.); #26239=FACE_OUTER_BOUND('',#44958,.T.); #26240=FACE_OUTER_BOUND('',#44959,.T.); #26241=FACE_OUTER_BOUND('',#44960,.T.); #26242=FACE_OUTER_BOUND('',#44961,.T.); #26243=FACE_OUTER_BOUND('',#44962,.T.); #26244=FACE_OUTER_BOUND('',#44963,.T.); #26245=FACE_OUTER_BOUND('',#44964,.T.); #26246=FACE_OUTER_BOUND('',#44965,.T.); #26247=FACE_OUTER_BOUND('',#44966,.T.); #26248=FACE_OUTER_BOUND('',#44967,.T.); #26249=FACE_OUTER_BOUND('',#44968,.T.); #26250=FACE_OUTER_BOUND('',#44969,.T.); #26251=FACE_OUTER_BOUND('',#44970,.T.); #26252=FACE_OUTER_BOUND('',#44971,.T.); #26253=FACE_OUTER_BOUND('',#44972,.T.); #26254=FACE_OUTER_BOUND('',#44973,.T.); #26255=FACE_OUTER_BOUND('',#44974,.T.); #26256=FACE_OUTER_BOUND('',#44975,.T.); #26257=FACE_OUTER_BOUND('',#44976,.T.); #26258=FACE_OUTER_BOUND('',#44977,.T.); #26259=FACE_OUTER_BOUND('',#44978,.T.); #26260=FACE_OUTER_BOUND('',#44979,.T.); #26261=FACE_OUTER_BOUND('',#44980,.T.); #26262=FACE_OUTER_BOUND('',#44981,.T.); #26263=FACE_OUTER_BOUND('',#44982,.T.); #26264=FACE_OUTER_BOUND('',#44983,.T.); #26265=FACE_OUTER_BOUND('',#44984,.T.); #26266=FACE_OUTER_BOUND('',#44985,.T.); #26267=FACE_OUTER_BOUND('',#44986,.T.); #26268=FACE_OUTER_BOUND('',#44987,.T.); #26269=FACE_OUTER_BOUND('',#44988,.T.); #26270=FACE_OUTER_BOUND('',#44989,.T.); #26271=FACE_OUTER_BOUND('',#44990,.T.); #26272=FACE_OUTER_BOUND('',#44991,.T.); #26273=FACE_OUTER_BOUND('',#44992,.T.); #26274=FACE_OUTER_BOUND('',#44993,.T.); #26275=FACE_OUTER_BOUND('',#44994,.T.); #26276=FACE_OUTER_BOUND('',#44995,.T.); #26277=FACE_OUTER_BOUND('',#44996,.T.); #26278=FACE_OUTER_BOUND('',#44997,.T.); #26279=FACE_OUTER_BOUND('',#44998,.T.); #26280=FACE_OUTER_BOUND('',#44999,.T.); #26281=FACE_OUTER_BOUND('',#45000,.T.); #26282=FACE_OUTER_BOUND('',#45001,.T.); #26283=FACE_OUTER_BOUND('',#45002,.T.); #26284=FACE_OUTER_BOUND('',#45003,.T.); #26285=FACE_OUTER_BOUND('',#45004,.T.); #26286=FACE_OUTER_BOUND('',#45005,.T.); #26287=FACE_OUTER_BOUND('',#45006,.T.); #26288=FACE_OUTER_BOUND('',#45007,.T.); #26289=FACE_OUTER_BOUND('',#45008,.T.); #26290=FACE_OUTER_BOUND('',#45009,.T.); #26291=FACE_OUTER_BOUND('',#45010,.T.); #26292=FACE_OUTER_BOUND('',#45011,.T.); #26293=FACE_OUTER_BOUND('',#45012,.T.); #26294=FACE_OUTER_BOUND('',#45013,.T.); #26295=FACE_OUTER_BOUND('',#45014,.T.); #26296=FACE_OUTER_BOUND('',#45015,.T.); #26297=FACE_OUTER_BOUND('',#45016,.T.); #26298=FACE_OUTER_BOUND('',#45017,.T.); #26299=FACE_OUTER_BOUND('',#45018,.T.); #26300=FACE_OUTER_BOUND('',#45019,.T.); #26301=FACE_OUTER_BOUND('',#45020,.T.); #26302=FACE_OUTER_BOUND('',#45021,.T.); #26303=FACE_OUTER_BOUND('',#45022,.T.); #26304=FACE_OUTER_BOUND('',#45023,.T.); #26305=FACE_OUTER_BOUND('',#45024,.T.); #26306=FACE_OUTER_BOUND('',#45025,.T.); #26307=FACE_OUTER_BOUND('',#45026,.T.); #26308=FACE_OUTER_BOUND('',#45027,.T.); #26309=FACE_OUTER_BOUND('',#45028,.T.); #26310=FACE_OUTER_BOUND('',#45029,.T.); #26311=FACE_OUTER_BOUND('',#45030,.T.); #26312=FACE_OUTER_BOUND('',#45031,.T.); #26313=FACE_OUTER_BOUND('',#45032,.T.); #26314=FACE_OUTER_BOUND('',#45033,.T.); #26315=FACE_OUTER_BOUND('',#45034,.T.); #26316=FACE_OUTER_BOUND('',#45035,.T.); #26317=FACE_OUTER_BOUND('',#45036,.T.); #26318=FACE_OUTER_BOUND('',#45037,.T.); #26319=FACE_OUTER_BOUND('',#45038,.T.); #26320=FACE_OUTER_BOUND('',#45039,.T.); #26321=FACE_OUTER_BOUND('',#45040,.T.); #26322=FACE_OUTER_BOUND('',#45041,.T.); #26323=FACE_OUTER_BOUND('',#45042,.T.); #26324=FACE_OUTER_BOUND('',#45043,.T.); #26325=FACE_OUTER_BOUND('',#45044,.T.); #26326=FACE_OUTER_BOUND('',#45045,.T.); #26327=FACE_OUTER_BOUND('',#45046,.T.); #26328=FACE_OUTER_BOUND('',#45047,.T.); #26329=FACE_OUTER_BOUND('',#45048,.T.); #26330=FACE_OUTER_BOUND('',#45049,.T.); #26331=FACE_OUTER_BOUND('',#45050,.T.); #26332=FACE_OUTER_BOUND('',#45051,.T.); #26333=FACE_OUTER_BOUND('',#45052,.T.); #26334=FACE_OUTER_BOUND('',#45053,.T.); #26335=FACE_OUTER_BOUND('',#45054,.T.); #26336=FACE_OUTER_BOUND('',#45055,.T.); #26337=FACE_OUTER_BOUND('',#45056,.T.); #26338=FACE_OUTER_BOUND('',#45057,.T.); #26339=FACE_OUTER_BOUND('',#45058,.T.); #26340=FACE_OUTER_BOUND('',#45059,.T.); #26341=FACE_OUTER_BOUND('',#45060,.T.); #26342=FACE_OUTER_BOUND('',#45061,.T.); #26343=FACE_OUTER_BOUND('',#45062,.T.); #26344=FACE_OUTER_BOUND('',#45063,.T.); #26345=FACE_OUTER_BOUND('',#45064,.T.); #26346=FACE_OUTER_BOUND('',#45065,.T.); #26347=FACE_OUTER_BOUND('',#45066,.T.); #26348=FACE_OUTER_BOUND('',#45067,.T.); #26349=FACE_OUTER_BOUND('',#45068,.T.); #26350=FACE_OUTER_BOUND('',#45069,.T.); #26351=FACE_OUTER_BOUND('',#45070,.T.); #26352=FACE_OUTER_BOUND('',#45071,.T.); #26353=FACE_OUTER_BOUND('',#45072,.T.); #26354=FACE_OUTER_BOUND('',#45073,.T.); #26355=FACE_OUTER_BOUND('',#45074,.T.); #26356=FACE_OUTER_BOUND('',#45075,.T.); #26357=FACE_OUTER_BOUND('',#45076,.T.); #26358=FACE_OUTER_BOUND('',#45077,.T.); #26359=FACE_OUTER_BOUND('',#45078,.T.); #26360=FACE_OUTER_BOUND('',#45079,.T.); #26361=FACE_OUTER_BOUND('',#45080,.T.); #26362=FACE_OUTER_BOUND('',#45081,.T.); #26363=FACE_OUTER_BOUND('',#45082,.T.); #26364=FACE_OUTER_BOUND('',#45083,.T.); #26365=FACE_OUTER_BOUND('',#45084,.T.); #26366=FACE_OUTER_BOUND('',#45085,.T.); #26367=FACE_OUTER_BOUND('',#45086,.T.); #26368=FACE_OUTER_BOUND('',#45087,.T.); #26369=FACE_OUTER_BOUND('',#45088,.T.); #26370=FACE_OUTER_BOUND('',#45089,.T.); #26371=FACE_OUTER_BOUND('',#45090,.T.); #26372=FACE_OUTER_BOUND('',#45091,.T.); #26373=FACE_OUTER_BOUND('',#45092,.T.); #26374=FACE_OUTER_BOUND('',#45093,.T.); #26375=FACE_OUTER_BOUND('',#45094,.T.); #26376=FACE_OUTER_BOUND('',#45095,.T.); #26377=FACE_OUTER_BOUND('',#45096,.T.); #26378=FACE_OUTER_BOUND('',#45097,.T.); #26379=FACE_OUTER_BOUND('',#45098,.T.); #26380=FACE_OUTER_BOUND('',#45099,.T.); #26381=FACE_OUTER_BOUND('',#45100,.T.); #26382=FACE_OUTER_BOUND('',#45101,.T.); #26383=FACE_OUTER_BOUND('',#45102,.T.); #26384=FACE_OUTER_BOUND('',#45103,.T.); #26385=FACE_OUTER_BOUND('',#45104,.T.); #26386=FACE_OUTER_BOUND('',#45105,.T.); #26387=FACE_OUTER_BOUND('',#45106,.T.); #26388=FACE_OUTER_BOUND('',#45107,.T.); #26389=FACE_OUTER_BOUND('',#45108,.T.); #26390=FACE_OUTER_BOUND('',#45109,.T.); #26391=FACE_OUTER_BOUND('',#45110,.T.); #26392=FACE_OUTER_BOUND('',#45111,.T.); #26393=FACE_OUTER_BOUND('',#45112,.T.); #26394=FACE_OUTER_BOUND('',#45113,.T.); #26395=FACE_OUTER_BOUND('',#45114,.T.); #26396=FACE_OUTER_BOUND('',#45115,.T.); #26397=FACE_OUTER_BOUND('',#45116,.T.); #26398=FACE_OUTER_BOUND('',#45117,.T.); #26399=FACE_OUTER_BOUND('',#45118,.T.); #26400=FACE_OUTER_BOUND('',#45119,.T.); #26401=FACE_OUTER_BOUND('',#45120,.T.); #26402=FACE_OUTER_BOUND('',#45121,.T.); #26403=FACE_OUTER_BOUND('',#45122,.T.); #26404=FACE_OUTER_BOUND('',#45123,.T.); #26405=FACE_OUTER_BOUND('',#45124,.T.); #26406=FACE_OUTER_BOUND('',#45125,.T.); #26407=FACE_OUTER_BOUND('',#45126,.T.); #26408=FACE_OUTER_BOUND('',#45127,.T.); #26409=FACE_OUTER_BOUND('',#45128,.T.); #26410=FACE_OUTER_BOUND('',#45129,.T.); #26411=FACE_OUTER_BOUND('',#45130,.T.); #26412=FACE_OUTER_BOUND('',#45131,.T.); #26413=FACE_OUTER_BOUND('',#45132,.T.); #26414=FACE_OUTER_BOUND('',#45133,.T.); #26415=FACE_OUTER_BOUND('',#45134,.T.); #26416=FACE_OUTER_BOUND('',#45135,.T.); #26417=FACE_OUTER_BOUND('',#45136,.T.); #26418=FACE_OUTER_BOUND('',#45137,.T.); #26419=FACE_OUTER_BOUND('',#45138,.T.); #26420=FACE_OUTER_BOUND('',#45139,.T.); #26421=FACE_OUTER_BOUND('',#45140,.T.); #26422=FACE_OUTER_BOUND('',#45141,.T.); #26423=FACE_OUTER_BOUND('',#45142,.T.); #26424=FACE_OUTER_BOUND('',#45143,.T.); #26425=FACE_OUTER_BOUND('',#45144,.T.); #26426=FACE_OUTER_BOUND('',#45145,.T.); #26427=FACE_OUTER_BOUND('',#45146,.T.); #26428=FACE_OUTER_BOUND('',#45147,.T.); #26429=FACE_OUTER_BOUND('',#45148,.T.); #26430=FACE_OUTER_BOUND('',#45149,.T.); #26431=FACE_OUTER_BOUND('',#45150,.T.); #26432=FACE_OUTER_BOUND('',#45151,.T.); #26433=FACE_OUTER_BOUND('',#45152,.T.); #26434=FACE_OUTER_BOUND('',#45153,.T.); #26435=FACE_OUTER_BOUND('',#45154,.T.); #26436=FACE_OUTER_BOUND('',#45155,.T.); #26437=FACE_OUTER_BOUND('',#45156,.T.); #26438=FACE_OUTER_BOUND('',#45157,.T.); #26439=FACE_OUTER_BOUND('',#45158,.T.); #26440=FACE_OUTER_BOUND('',#45159,.T.); #26441=FACE_OUTER_BOUND('',#45160,.T.); #26442=FACE_OUTER_BOUND('',#45161,.T.); #26443=FACE_OUTER_BOUND('',#45162,.T.); #26444=FACE_OUTER_BOUND('',#45163,.T.); #26445=FACE_OUTER_BOUND('',#45164,.T.); #26446=FACE_OUTER_BOUND('',#45165,.T.); #26447=FACE_OUTER_BOUND('',#45166,.T.); #26448=FACE_OUTER_BOUND('',#45167,.T.); #26449=FACE_OUTER_BOUND('',#45168,.T.); #26450=FACE_OUTER_BOUND('',#45169,.T.); #26451=FACE_OUTER_BOUND('',#45170,.T.); #26452=FACE_OUTER_BOUND('',#45171,.T.); #26453=FACE_OUTER_BOUND('',#45172,.T.); #26454=FACE_OUTER_BOUND('',#45173,.T.); #26455=FACE_OUTER_BOUND('',#45174,.T.); #26456=FACE_OUTER_BOUND('',#45175,.T.); #26457=FACE_OUTER_BOUND('',#45176,.T.); #26458=FACE_OUTER_BOUND('',#45177,.T.); #26459=FACE_OUTER_BOUND('',#45178,.T.); #26460=FACE_OUTER_BOUND('',#45179,.T.); #26461=FACE_OUTER_BOUND('',#45180,.T.); #26462=FACE_OUTER_BOUND('',#45181,.T.); #26463=FACE_OUTER_BOUND('',#45182,.T.); #26464=FACE_OUTER_BOUND('',#45183,.T.); #26465=FACE_OUTER_BOUND('',#45184,.T.); #26466=FACE_OUTER_BOUND('',#45185,.T.); #26467=FACE_OUTER_BOUND('',#45186,.T.); #26468=FACE_OUTER_BOUND('',#45187,.T.); #26469=FACE_OUTER_BOUND('',#45188,.T.); #26470=FACE_OUTER_BOUND('',#45191,.T.); #26471=FACE_OUTER_BOUND('',#45194,.T.); #26472=FACE_OUTER_BOUND('',#45195,.T.); #26473=FACE_OUTER_BOUND('',#45196,.T.); #26474=FACE_OUTER_BOUND('',#45197,.T.); #26475=FACE_OUTER_BOUND('',#45198,.T.); #26476=FACE_OUTER_BOUND('',#45199,.T.); #26477=FACE_OUTER_BOUND('',#45200,.T.); #26478=FACE_OUTER_BOUND('',#45201,.T.); #26479=FACE_OUTER_BOUND('',#45202,.T.); #26480=FACE_OUTER_BOUND('',#45203,.T.); #26481=FACE_OUTER_BOUND('',#45204,.T.); #26482=FACE_OUTER_BOUND('',#45205,.T.); #26483=FACE_OUTER_BOUND('',#45206,.T.); #26484=FACE_OUTER_BOUND('',#45207,.T.); #26485=FACE_OUTER_BOUND('',#45208,.T.); #26486=FACE_OUTER_BOUND('',#45209,.T.); #26487=FACE_OUTER_BOUND('',#45210,.T.); #26488=FACE_OUTER_BOUND('',#45211,.T.); #26489=FACE_OUTER_BOUND('',#45212,.T.); #26490=FACE_OUTER_BOUND('',#45213,.T.); #26491=FACE_OUTER_BOUND('',#45214,.T.); #26492=FACE_OUTER_BOUND('',#45215,.T.); #26493=FACE_OUTER_BOUND('',#45216,.T.); #26494=FACE_OUTER_BOUND('',#45217,.T.); #26495=FACE_OUTER_BOUND('',#45218,.T.); #26496=FACE_OUTER_BOUND('',#45219,.T.); #26497=FACE_OUTER_BOUND('',#45220,.T.); #26498=FACE_OUTER_BOUND('',#45221,.T.); #26499=FACE_OUTER_BOUND('',#45222,.T.); #26500=FACE_OUTER_BOUND('',#45223,.T.); #26501=FACE_OUTER_BOUND('',#45224,.T.); #26502=FACE_OUTER_BOUND('',#45225,.T.); #26503=FACE_OUTER_BOUND('',#45226,.T.); #26504=FACE_OUTER_BOUND('',#45227,.T.); #26505=FACE_OUTER_BOUND('',#45228,.T.); #26506=FACE_OUTER_BOUND('',#45229,.T.); #26507=FACE_OUTER_BOUND('',#45230,.T.); #26508=FACE_OUTER_BOUND('',#45231,.T.); #26509=FACE_OUTER_BOUND('',#45232,.T.); #26510=FACE_OUTER_BOUND('',#45233,.T.); #26511=FACE_OUTER_BOUND('',#45234,.T.); #26512=FACE_OUTER_BOUND('',#45235,.T.); #26513=FACE_OUTER_BOUND('',#45236,.T.); #26514=FACE_OUTER_BOUND('',#45237,.T.); #26515=FACE_OUTER_BOUND('',#45238,.T.); #26516=FACE_OUTER_BOUND('',#45239,.T.); #26517=FACE_OUTER_BOUND('',#45240,.T.); #26518=FACE_OUTER_BOUND('',#45241,.T.); #26519=FACE_OUTER_BOUND('',#45242,.T.); #26520=FACE_OUTER_BOUND('',#45243,.T.); #26521=FACE_OUTER_BOUND('',#45244,.T.); #26522=FACE_OUTER_BOUND('',#45245,.T.); #26523=FACE_OUTER_BOUND('',#45246,.T.); #26524=FACE_OUTER_BOUND('',#45247,.T.); #26525=FACE_OUTER_BOUND('',#45248,.T.); #26526=FACE_OUTER_BOUND('',#45249,.T.); #26527=FACE_OUTER_BOUND('',#45250,.T.); #26528=FACE_OUTER_BOUND('',#45251,.T.); #26529=FACE_OUTER_BOUND('',#45252,.T.); #26530=FACE_OUTER_BOUND('',#45253,.T.); #26531=FACE_OUTER_BOUND('',#45254,.T.); #26532=FACE_OUTER_BOUND('',#45255,.T.); #26533=FACE_OUTER_BOUND('',#45256,.T.); #26534=FACE_OUTER_BOUND('',#45257,.T.); #26535=FACE_OUTER_BOUND('',#45258,.T.); #26536=FACE_OUTER_BOUND('',#45259,.T.); #26537=FACE_OUTER_BOUND('',#45260,.T.); #26538=FACE_OUTER_BOUND('',#45261,.T.); #26539=FACE_OUTER_BOUND('',#45262,.T.); #26540=FACE_OUTER_BOUND('',#45263,.T.); #26541=FACE_OUTER_BOUND('',#45264,.T.); #26542=FACE_OUTER_BOUND('',#45265,.T.); #26543=FACE_OUTER_BOUND('',#45266,.T.); #26544=FACE_OUTER_BOUND('',#45267,.T.); #26545=FACE_OUTER_BOUND('',#45268,.T.); #26546=FACE_OUTER_BOUND('',#45269,.T.); #26547=FACE_OUTER_BOUND('',#45270,.T.); #26548=FACE_OUTER_BOUND('',#45271,.T.); #26549=FACE_OUTER_BOUND('',#45272,.T.); #26550=FACE_OUTER_BOUND('',#45288,.T.); #26551=FACE_OUTER_BOUND('',#45304,.T.); #26552=FACE_OUTER_BOUND('',#45305,.T.); #26553=FACE_OUTER_BOUND('',#45306,.T.); #26554=FACE_OUTER_BOUND('',#45307,.T.); #26555=FACE_OUTER_BOUND('',#45308,.T.); #26556=FACE_OUTER_BOUND('',#45309,.T.); #26557=FACE_OUTER_BOUND('',#45310,.T.); #26558=FACE_OUTER_BOUND('',#45311,.T.); #26559=FACE_OUTER_BOUND('',#45312,.T.); #26560=FACE_OUTER_BOUND('',#45313,.T.); #26561=FACE_OUTER_BOUND('',#45314,.T.); #26562=FACE_OUTER_BOUND('',#45315,.T.); #26563=FACE_OUTER_BOUND('',#45316,.T.); #26564=FACE_OUTER_BOUND('',#45317,.T.); #26565=FACE_OUTER_BOUND('',#45318,.T.); #26566=FACE_OUTER_BOUND('',#45319,.T.); #26567=FACE_OUTER_BOUND('',#45320,.T.); #26568=FACE_OUTER_BOUND('',#45321,.T.); #26569=FACE_OUTER_BOUND('',#45322,.T.); #26570=FACE_OUTER_BOUND('',#45323,.T.); #26571=FACE_OUTER_BOUND('',#45324,.T.); #26572=FACE_OUTER_BOUND('',#45325,.T.); #26573=FACE_OUTER_BOUND('',#45326,.T.); #26574=FACE_OUTER_BOUND('',#45327,.T.); #26575=FACE_OUTER_BOUND('',#45328,.T.); #26576=FACE_OUTER_BOUND('',#45329,.T.); #26577=FACE_OUTER_BOUND('',#45330,.T.); #26578=FACE_OUTER_BOUND('',#45331,.T.); #26579=FACE_OUTER_BOUND('',#45332,.T.); #26580=FACE_OUTER_BOUND('',#45333,.T.); #26581=FACE_OUTER_BOUND('',#45334,.T.); #26582=FACE_OUTER_BOUND('',#45335,.T.); #26583=FACE_OUTER_BOUND('',#45336,.T.); #26584=FACE_OUTER_BOUND('',#45337,.T.); #26585=FACE_OUTER_BOUND('',#45338,.T.); #26586=FACE_OUTER_BOUND('',#45339,.T.); #26587=FACE_OUTER_BOUND('',#45340,.T.); #26588=FACE_OUTER_BOUND('',#45341,.T.); #26589=FACE_OUTER_BOUND('',#45342,.T.); #26590=FACE_OUTER_BOUND('',#45343,.T.); #26591=FACE_OUTER_BOUND('',#45344,.T.); #26592=FACE_OUTER_BOUND('',#45345,.T.); #26593=FACE_OUTER_BOUND('',#45346,.T.); #26594=FACE_OUTER_BOUND('',#45347,.T.); #26595=FACE_OUTER_BOUND('',#45349,.T.); #26596=FACE_OUTER_BOUND('',#45351,.T.); #26597=FACE_OUTER_BOUND('',#45352,.T.); #26598=FACE_OUTER_BOUND('',#45353,.T.); #26599=FACE_OUTER_BOUND('',#45354,.T.); #26600=FACE_OUTER_BOUND('',#45355,.T.); #26601=FACE_OUTER_BOUND('',#45356,.T.); #26602=FACE_OUTER_BOUND('',#45357,.T.); #26603=FACE_OUTER_BOUND('',#45358,.T.); #26604=FACE_OUTER_BOUND('',#45359,.T.); #26605=FACE_OUTER_BOUND('',#45360,.T.); #26606=FACE_OUTER_BOUND('',#45361,.T.); #26607=FACE_OUTER_BOUND('',#45362,.T.); #26608=FACE_OUTER_BOUND('',#45363,.T.); #26609=FACE_OUTER_BOUND('',#45364,.T.); #26610=FACE_OUTER_BOUND('',#45365,.T.); #26611=FACE_OUTER_BOUND('',#45366,.T.); #26612=FACE_OUTER_BOUND('',#45367,.T.); #26613=FACE_OUTER_BOUND('',#45368,.T.); #26614=FACE_OUTER_BOUND('',#45369,.T.); #26615=FACE_OUTER_BOUND('',#45370,.T.); #26616=FACE_OUTER_BOUND('',#45371,.T.); #26617=FACE_OUTER_BOUND('',#45372,.T.); #26618=FACE_OUTER_BOUND('',#45373,.T.); #26619=FACE_OUTER_BOUND('',#45374,.T.); #26620=FACE_OUTER_BOUND('',#45375,.T.); #26621=FACE_OUTER_BOUND('',#45376,.T.); #26622=FACE_OUTER_BOUND('',#45377,.T.); #26623=FACE_OUTER_BOUND('',#45378,.T.); #26624=FACE_OUTER_BOUND('',#45379,.T.); #26625=FACE_OUTER_BOUND('',#45380,.T.); #26626=FACE_OUTER_BOUND('',#45381,.T.); #26627=FACE_OUTER_BOUND('',#45382,.T.); #26628=FACE_OUTER_BOUND('',#45383,.T.); #26629=FACE_OUTER_BOUND('',#45384,.T.); #26630=FACE_OUTER_BOUND('',#45385,.T.); #26631=FACE_OUTER_BOUND('',#45386,.T.); #26632=FACE_OUTER_BOUND('',#45387,.T.); #26633=FACE_OUTER_BOUND('',#45388,.T.); #26634=FACE_OUTER_BOUND('',#45389,.T.); #26635=FACE_OUTER_BOUND('',#45390,.T.); #26636=FACE_OUTER_BOUND('',#45391,.T.); #26637=FACE_OUTER_BOUND('',#45392,.T.); #26638=FACE_OUTER_BOUND('',#45393,.T.); #26639=FACE_OUTER_BOUND('',#45394,.T.); #26640=FACE_OUTER_BOUND('',#45395,.T.); #26641=FACE_OUTER_BOUND('',#45396,.T.); #26642=FACE_OUTER_BOUND('',#45397,.T.); #26643=FACE_OUTER_BOUND('',#45398,.T.); #26644=FACE_OUTER_BOUND('',#45399,.T.); #26645=FACE_OUTER_BOUND('',#45400,.T.); #26646=FACE_OUTER_BOUND('',#45401,.T.); #26647=FACE_OUTER_BOUND('',#45402,.T.); #26648=FACE_OUTER_BOUND('',#45403,.T.); #26649=FACE_OUTER_BOUND('',#45404,.T.); #26650=FACE_OUTER_BOUND('',#45405,.T.); #26651=FACE_OUTER_BOUND('',#45406,.T.); #26652=FACE_OUTER_BOUND('',#45407,.T.); #26653=FACE_OUTER_BOUND('',#45408,.T.); #26654=FACE_OUTER_BOUND('',#45409,.T.); #26655=FACE_OUTER_BOUND('',#45410,.T.); #26656=FACE_OUTER_BOUND('',#45411,.T.); #26657=FACE_OUTER_BOUND('',#45412,.T.); #26658=FACE_OUTER_BOUND('',#45413,.T.); #26659=FACE_OUTER_BOUND('',#45414,.T.); #26660=FACE_OUTER_BOUND('',#45415,.T.); #26661=FACE_OUTER_BOUND('',#45431,.T.); #26662=FACE_OUTER_BOUND('',#45447,.T.); #26663=FACE_OUTER_BOUND('',#45448,.T.); #26664=FACE_OUTER_BOUND('',#45449,.T.); #26665=FACE_OUTER_BOUND('',#45450,.T.); #26666=FACE_OUTER_BOUND('',#45451,.T.); #26667=FACE_OUTER_BOUND('',#45452,.T.); #26668=FACE_OUTER_BOUND('',#45453,.T.); #26669=FACE_OUTER_BOUND('',#45454,.T.); #26670=FACE_OUTER_BOUND('',#45455,.T.); #26671=FACE_OUTER_BOUND('',#45456,.T.); #26672=FACE_OUTER_BOUND('',#45457,.T.); #26673=FACE_OUTER_BOUND('',#45458,.T.); #26674=FACE_OUTER_BOUND('',#45459,.T.); #26675=FACE_OUTER_BOUND('',#45460,.T.); #26676=FACE_OUTER_BOUND('',#45461,.T.); #26677=FACE_OUTER_BOUND('',#45462,.T.); #26678=FACE_OUTER_BOUND('',#45463,.T.); #26679=FACE_OUTER_BOUND('',#45464,.T.); #26680=FACE_OUTER_BOUND('',#45465,.T.); #26681=FACE_OUTER_BOUND('',#45466,.T.); #26682=FACE_OUTER_BOUND('',#45467,.T.); #26683=FACE_OUTER_BOUND('',#45468,.T.); #26684=FACE_OUTER_BOUND('',#45469,.T.); #26685=FACE_OUTER_BOUND('',#45470,.T.); #26686=FACE_OUTER_BOUND('',#45471,.T.); #26687=FACE_OUTER_BOUND('',#45472,.T.); #26688=FACE_OUTER_BOUND('',#45473,.T.); #26689=FACE_OUTER_BOUND('',#45474,.T.); #26690=FACE_OUTER_BOUND('',#45475,.T.); #26691=FACE_OUTER_BOUND('',#45476,.T.); #26692=FACE_OUTER_BOUND('',#45477,.T.); #26693=FACE_OUTER_BOUND('',#45478,.T.); #26694=FACE_OUTER_BOUND('',#45479,.T.); #26695=FACE_OUTER_BOUND('',#45480,.T.); #26696=FACE_OUTER_BOUND('',#45481,.T.); #26697=FACE_OUTER_BOUND('',#45482,.T.); #26698=FACE_OUTER_BOUND('',#45483,.T.); #26699=FACE_OUTER_BOUND('',#45484,.T.); #26700=FACE_OUTER_BOUND('',#45485,.T.); #26701=FACE_OUTER_BOUND('',#45486,.T.); #26702=FACE_OUTER_BOUND('',#45487,.T.); #26703=FACE_OUTER_BOUND('',#45488,.T.); #26704=FACE_OUTER_BOUND('',#45489,.T.); #26705=FACE_OUTER_BOUND('',#45490,.T.); #26706=FACE_OUTER_BOUND('',#45492,.T.); #26707=FACE_OUTER_BOUND('',#45494,.T.); #26708=FACE_OUTER_BOUND('',#45495,.T.); #26709=FACE_OUTER_BOUND('',#45496,.T.); #26710=FACE_OUTER_BOUND('',#45497,.T.); #26711=FACE_OUTER_BOUND('',#45498,.T.); #26712=FACE_OUTER_BOUND('',#45499,.T.); #26713=FACE_OUTER_BOUND('',#45500,.T.); #26714=FACE_OUTER_BOUND('',#45501,.T.); #26715=FACE_OUTER_BOUND('',#45502,.T.); #26716=FACE_OUTER_BOUND('',#45503,.T.); #26717=FACE_OUTER_BOUND('',#45504,.T.); #26718=FACE_OUTER_BOUND('',#45505,.T.); #26719=FACE_OUTER_BOUND('',#45506,.T.); #26720=FACE_OUTER_BOUND('',#45507,.T.); #26721=FACE_OUTER_BOUND('',#45508,.T.); #26722=FACE_OUTER_BOUND('',#45509,.T.); #26723=FACE_OUTER_BOUND('',#45510,.T.); #26724=FACE_OUTER_BOUND('',#45511,.T.); #26725=FACE_OUTER_BOUND('',#45512,.T.); #26726=FACE_OUTER_BOUND('',#45513,.T.); #26727=FACE_OUTER_BOUND('',#45514,.T.); #26728=FACE_OUTER_BOUND('',#45515,.T.); #26729=FACE_OUTER_BOUND('',#45516,.T.); #26730=FACE_OUTER_BOUND('',#45517,.T.); #26731=FACE_OUTER_BOUND('',#45518,.T.); #26732=FACE_OUTER_BOUND('',#45519,.T.); #26733=FACE_OUTER_BOUND('',#45520,.T.); #26734=FACE_OUTER_BOUND('',#45521,.T.); #26735=FACE_OUTER_BOUND('',#45522,.T.); #26736=FACE_OUTER_BOUND('',#45523,.T.); #26737=FACE_OUTER_BOUND('',#45524,.T.); #26738=FACE_OUTER_BOUND('',#45525,.T.); #26739=FACE_OUTER_BOUND('',#45526,.T.); #26740=FACE_OUTER_BOUND('',#45527,.T.); #26741=FACE_OUTER_BOUND('',#45528,.T.); #26742=FACE_OUTER_BOUND('',#45529,.T.); #26743=FACE_OUTER_BOUND('',#45530,.T.); #26744=FACE_OUTER_BOUND('',#45531,.T.); #26745=FACE_OUTER_BOUND('',#45532,.T.); #26746=FACE_OUTER_BOUND('',#45533,.T.); #26747=FACE_OUTER_BOUND('',#45534,.T.); #26748=FACE_OUTER_BOUND('',#45535,.T.); #26749=FACE_OUTER_BOUND('',#45536,.T.); #26750=FACE_OUTER_BOUND('',#45537,.T.); #26751=FACE_OUTER_BOUND('',#45538,.T.); #26752=FACE_OUTER_BOUND('',#45539,.T.); #26753=FACE_OUTER_BOUND('',#45540,.T.); #26754=FACE_OUTER_BOUND('',#45541,.T.); #26755=FACE_OUTER_BOUND('',#45542,.T.); #26756=FACE_OUTER_BOUND('',#45543,.T.); #26757=FACE_OUTER_BOUND('',#45544,.T.); #26758=FACE_OUTER_BOUND('',#45545,.T.); #26759=FACE_OUTER_BOUND('',#45546,.T.); #26760=FACE_OUTER_BOUND('',#45547,.T.); #26761=FACE_OUTER_BOUND('',#45548,.T.); #26762=FACE_OUTER_BOUND('',#45549,.T.); #26763=FACE_OUTER_BOUND('',#45550,.T.); #26764=FACE_OUTER_BOUND('',#45551,.T.); #26765=FACE_OUTER_BOUND('',#45552,.T.); #26766=FACE_OUTER_BOUND('',#45553,.T.); #26767=FACE_OUTER_BOUND('',#45554,.T.); #26768=FACE_OUTER_BOUND('',#45555,.T.); #26769=FACE_OUTER_BOUND('',#45556,.T.); #26770=FACE_OUTER_BOUND('',#45557,.T.); #26771=FACE_OUTER_BOUND('',#45558,.T.); #26772=FACE_OUTER_BOUND('',#45559,.T.); #26773=FACE_OUTER_BOUND('',#45560,.T.); #26774=FACE_OUTER_BOUND('',#45561,.T.); #26775=FACE_OUTER_BOUND('',#45562,.T.); #26776=FACE_OUTER_BOUND('',#45563,.T.); #26777=FACE_OUTER_BOUND('',#45564,.T.); #26778=FACE_OUTER_BOUND('',#45565,.T.); #26779=FACE_OUTER_BOUND('',#45566,.T.); #26780=FACE_OUTER_BOUND('',#45567,.T.); #26781=FACE_OUTER_BOUND('',#45568,.T.); #26782=FACE_OUTER_BOUND('',#45569,.T.); #26783=FACE_OUTER_BOUND('',#45570,.T.); #26784=FACE_OUTER_BOUND('',#45571,.T.); #26785=FACE_OUTER_BOUND('',#45572,.T.); #26786=FACE_OUTER_BOUND('',#45573,.T.); #26787=FACE_OUTER_BOUND('',#45574,.T.); #26788=FACE_OUTER_BOUND('',#45575,.T.); #26789=FACE_OUTER_BOUND('',#45576,.T.); #26790=FACE_OUTER_BOUND('',#45577,.T.); #26791=FACE_OUTER_BOUND('',#45578,.T.); #26792=FACE_OUTER_BOUND('',#45579,.T.); #26793=FACE_OUTER_BOUND('',#45580,.T.); #26794=FACE_OUTER_BOUND('',#45581,.T.); #26795=FACE_OUTER_BOUND('',#45582,.T.); #26796=FACE_OUTER_BOUND('',#45583,.T.); #26797=FACE_OUTER_BOUND('',#45584,.T.); #26798=FACE_OUTER_BOUND('',#45585,.T.); #26799=FACE_OUTER_BOUND('',#45586,.T.); #26800=FACE_OUTER_BOUND('',#45587,.T.); #26801=FACE_OUTER_BOUND('',#45588,.T.); #26802=FACE_OUTER_BOUND('',#45589,.T.); #26803=FACE_OUTER_BOUND('',#45590,.T.); #26804=FACE_OUTER_BOUND('',#45591,.T.); #26805=FACE_OUTER_BOUND('',#45592,.T.); #26806=FACE_OUTER_BOUND('',#45593,.T.); #26807=FACE_OUTER_BOUND('',#45594,.T.); #26808=FACE_OUTER_BOUND('',#45595,.T.); #26809=FACE_OUTER_BOUND('',#45596,.T.); #26810=FACE_OUTER_BOUND('',#45597,.T.); #26811=FACE_OUTER_BOUND('',#45598,.T.); #26812=FACE_OUTER_BOUND('',#45599,.T.); #26813=FACE_OUTER_BOUND('',#45600,.T.); #26814=FACE_OUTER_BOUND('',#45601,.T.); #26815=FACE_OUTER_BOUND('',#45602,.T.); #26816=FACE_OUTER_BOUND('',#45603,.T.); #26817=FACE_OUTER_BOUND('',#45604,.T.); #26818=FACE_OUTER_BOUND('',#45605,.T.); #26819=FACE_OUTER_BOUND('',#45606,.T.); #26820=FACE_OUTER_BOUND('',#45607,.T.); #26821=FACE_OUTER_BOUND('',#45608,.T.); #26822=FACE_OUTER_BOUND('',#45609,.T.); #26823=FACE_OUTER_BOUND('',#45610,.T.); #26824=FACE_OUTER_BOUND('',#45642,.T.); #26825=FACE_OUTER_BOUND('',#45674,.T.); #26826=FACE_OUTER_BOUND('',#45675,.T.); #26827=FACE_OUTER_BOUND('',#45676,.T.); #26828=FACE_OUTER_BOUND('',#45677,.T.); #26829=FACE_OUTER_BOUND('',#45678,.T.); #26830=FACE_OUTER_BOUND('',#45679,.T.); #26831=FACE_OUTER_BOUND('',#45680,.T.); #26832=FACE_OUTER_BOUND('',#45681,.T.); #26833=FACE_OUTER_BOUND('',#45682,.T.); #26834=FACE_OUTER_BOUND('',#45683,.T.); #26835=FACE_OUTER_BOUND('',#45684,.T.); #26836=FACE_OUTER_BOUND('',#45685,.T.); #26837=FACE_OUTER_BOUND('',#45686,.T.); #26838=FACE_OUTER_BOUND('',#45687,.T.); #26839=FACE_OUTER_BOUND('',#45688,.T.); #26840=FACE_OUTER_BOUND('',#45689,.T.); #26841=FACE_OUTER_BOUND('',#45690,.T.); #26842=FACE_OUTER_BOUND('',#45691,.T.); #26843=FACE_OUTER_BOUND('',#45692,.T.); #26844=FACE_OUTER_BOUND('',#45693,.T.); #26845=FACE_OUTER_BOUND('',#45694,.T.); #26846=FACE_OUTER_BOUND('',#45695,.T.); #26847=FACE_OUTER_BOUND('',#45696,.T.); #26848=FACE_OUTER_BOUND('',#45698,.T.); #26849=FACE_OUTER_BOUND('',#45700,.T.); #26850=FACE_OUTER_BOUND('',#45701,.T.); #26851=FACE_OUTER_BOUND('',#45702,.T.); #26852=FACE_OUTER_BOUND('',#45703,.T.); #26853=FACE_OUTER_BOUND('',#45704,.T.); #26854=FACE_OUTER_BOUND('',#45705,.T.); #26855=FACE_OUTER_BOUND('',#45706,.T.); #26856=FACE_OUTER_BOUND('',#45707,.T.); #26857=FACE_OUTER_BOUND('',#45708,.T.); #26858=FACE_OUTER_BOUND('',#45709,.T.); #26859=FACE_OUTER_BOUND('',#45710,.T.); #26860=FACE_OUTER_BOUND('',#45711,.T.); #26861=FACE_OUTER_BOUND('',#45712,.T.); #26862=FACE_OUTER_BOUND('',#45713,.T.); #26863=FACE_OUTER_BOUND('',#45714,.T.); #26864=FACE_OUTER_BOUND('',#45715,.T.); #26865=FACE_OUTER_BOUND('',#45716,.T.); #26866=FACE_OUTER_BOUND('',#45717,.T.); #26867=FACE_OUTER_BOUND('',#45718,.T.); #26868=FACE_OUTER_BOUND('',#45719,.T.); #26869=FACE_OUTER_BOUND('',#45720,.T.); #26870=FACE_OUTER_BOUND('',#45721,.T.); #26871=FACE_OUTER_BOUND('',#45722,.T.); #26872=FACE_OUTER_BOUND('',#45723,.T.); #26873=FACE_OUTER_BOUND('',#45724,.T.); #26874=FACE_OUTER_BOUND('',#45725,.T.); #26875=FACE_OUTER_BOUND('',#45726,.T.); #26876=FACE_OUTER_BOUND('',#45727,.T.); #26877=FACE_OUTER_BOUND('',#45728,.T.); #26878=FACE_OUTER_BOUND('',#45729,.T.); #26879=FACE_OUTER_BOUND('',#45730,.T.); #26880=FACE_OUTER_BOUND('',#45731,.T.); #26881=FACE_OUTER_BOUND('',#45732,.T.); #26882=FACE_OUTER_BOUND('',#45733,.T.); #26883=FACE_OUTER_BOUND('',#45734,.T.); #26884=FACE_OUTER_BOUND('',#45735,.T.); #26885=FACE_OUTER_BOUND('',#45736,.T.); #26886=FACE_OUTER_BOUND('',#45737,.T.); #26887=FACE_OUTER_BOUND('',#45738,.T.); #26888=FACE_OUTER_BOUND('',#45739,.T.); #26889=FACE_OUTER_BOUND('',#45740,.T.); #26890=FACE_OUTER_BOUND('',#45741,.T.); #26891=FACE_OUTER_BOUND('',#45742,.T.); #26892=FACE_OUTER_BOUND('',#45743,.T.); #26893=FACE_OUTER_BOUND('',#45744,.T.); #26894=FACE_OUTER_BOUND('',#45745,.T.); #26895=FACE_OUTER_BOUND('',#45746,.T.); #26896=FACE_OUTER_BOUND('',#45747,.T.); #26897=FACE_OUTER_BOUND('',#45748,.T.); #26898=FACE_OUTER_BOUND('',#45749,.T.); #26899=FACE_OUTER_BOUND('',#45750,.T.); #26900=FACE_OUTER_BOUND('',#45751,.T.); #26901=FACE_OUTER_BOUND('',#45752,.T.); #26902=FACE_OUTER_BOUND('',#45753,.T.); #26903=FACE_OUTER_BOUND('',#45754,.T.); #26904=FACE_OUTER_BOUND('',#45755,.T.); #26905=FACE_OUTER_BOUND('',#45756,.T.); #26906=FACE_OUTER_BOUND('',#45757,.T.); #26907=FACE_OUTER_BOUND('',#45758,.T.); #26908=FACE_OUTER_BOUND('',#45759,.T.); #26909=FACE_OUTER_BOUND('',#45760,.T.); #26910=FACE_OUTER_BOUND('',#45761,.T.); #26911=FACE_OUTER_BOUND('',#45762,.T.); #26912=FACE_OUTER_BOUND('',#45763,.T.); #26913=FACE_OUTER_BOUND('',#45764,.T.); #26914=FACE_OUTER_BOUND('',#45765,.T.); #26915=FACE_OUTER_BOUND('',#45766,.T.); #26916=FACE_OUTER_BOUND('',#45767,.T.); #26917=FACE_OUTER_BOUND('',#45768,.T.); #26918=FACE_OUTER_BOUND('',#45769,.T.); #26919=FACE_OUTER_BOUND('',#45770,.T.); #26920=FACE_OUTER_BOUND('',#45771,.T.); #26921=FACE_OUTER_BOUND('',#45772,.T.); #26922=FACE_OUTER_BOUND('',#45773,.T.); #26923=FACE_OUTER_BOUND('',#45774,.T.); #26924=FACE_OUTER_BOUND('',#45775,.T.); #26925=FACE_OUTER_BOUND('',#45776,.T.); #26926=FACE_OUTER_BOUND('',#45777,.T.); #26927=FACE_OUTER_BOUND('',#45778,.T.); #26928=FACE_OUTER_BOUND('',#45779,.T.); #26929=FACE_OUTER_BOUND('',#45780,.T.); #26930=FACE_OUTER_BOUND('',#45781,.T.); #26931=FACE_OUTER_BOUND('',#45782,.T.); #26932=FACE_OUTER_BOUND('',#45783,.T.); #26933=FACE_OUTER_BOUND('',#45784,.T.); #26934=FACE_OUTER_BOUND('',#45785,.T.); #26935=FACE_OUTER_BOUND('',#45786,.T.); #26936=FACE_OUTER_BOUND('',#45787,.T.); #26937=FACE_OUTER_BOUND('',#45788,.T.); #26938=FACE_OUTER_BOUND('',#45789,.T.); #26939=FACE_OUTER_BOUND('',#45790,.T.); #26940=FACE_OUTER_BOUND('',#45791,.T.); #26941=FACE_OUTER_BOUND('',#45792,.T.); #26942=FACE_OUTER_BOUND('',#45793,.T.); #26943=FACE_OUTER_BOUND('',#45794,.T.); #26944=FACE_OUTER_BOUND('',#45795,.T.); #26945=FACE_OUTER_BOUND('',#45796,.T.); #26946=FACE_OUTER_BOUND('',#45797,.T.); #26947=FACE_OUTER_BOUND('',#45798,.T.); #26948=FACE_OUTER_BOUND('',#45799,.T.); #26949=FACE_OUTER_BOUND('',#45800,.T.); #26950=FACE_OUTER_BOUND('',#45801,.T.); #26951=FACE_OUTER_BOUND('',#45802,.T.); #26952=FACE_OUTER_BOUND('',#45803,.T.); #26953=FACE_OUTER_BOUND('',#45804,.T.); #26954=FACE_OUTER_BOUND('',#45805,.T.); #26955=FACE_OUTER_BOUND('',#45806,.T.); #26956=FACE_OUTER_BOUND('',#45807,.T.); #26957=FACE_OUTER_BOUND('',#45808,.T.); #26958=FACE_OUTER_BOUND('',#45809,.T.); #26959=FACE_OUTER_BOUND('',#45810,.T.); #26960=FACE_OUTER_BOUND('',#45811,.T.); #26961=FACE_OUTER_BOUND('',#45812,.T.); #26962=FACE_OUTER_BOUND('',#45813,.T.); #26963=FACE_OUTER_BOUND('',#45814,.T.); #26964=FACE_OUTER_BOUND('',#45815,.T.); #26965=FACE_OUTER_BOUND('',#45816,.T.); #26966=FACE_OUTER_BOUND('',#45817,.T.); #26967=FACE_OUTER_BOUND('',#45818,.T.); #26968=FACE_OUTER_BOUND('',#45819,.T.); #26969=FACE_OUTER_BOUND('',#45820,.T.); #26970=FACE_OUTER_BOUND('',#45821,.T.); #26971=FACE_OUTER_BOUND('',#45822,.T.); #26972=FACE_OUTER_BOUND('',#45823,.T.); #26973=FACE_OUTER_BOUND('',#45824,.T.); #26974=FACE_OUTER_BOUND('',#45825,.T.); #26975=FACE_OUTER_BOUND('',#45826,.T.); #26976=FACE_OUTER_BOUND('',#45827,.T.); #26977=FACE_OUTER_BOUND('',#45828,.T.); #26978=FACE_OUTER_BOUND('',#45829,.T.); #26979=FACE_OUTER_BOUND('',#45830,.T.); #26980=FACE_OUTER_BOUND('',#45831,.T.); #26981=FACE_OUTER_BOUND('',#45832,.T.); #26982=FACE_OUTER_BOUND('',#45833,.T.); #26983=FACE_OUTER_BOUND('',#45834,.T.); #26984=FACE_OUTER_BOUND('',#45835,.T.); #26985=FACE_OUTER_BOUND('',#45847,.T.); #26986=FACE_OUTER_BOUND('',#45859,.T.); #26987=FACE_OUTER_BOUND('',#45860,.T.); #26988=FACE_OUTER_BOUND('',#45861,.T.); #26989=FACE_OUTER_BOUND('',#45862,.T.); #26990=FACE_OUTER_BOUND('',#45863,.T.); #26991=FACE_OUTER_BOUND('',#45864,.T.); #26992=FACE_OUTER_BOUND('',#45865,.T.); #26993=FACE_OUTER_BOUND('',#45866,.T.); #26994=FACE_OUTER_BOUND('',#45867,.T.); #26995=FACE_OUTER_BOUND('',#45868,.T.); #26996=FACE_OUTER_BOUND('',#45869,.T.); #26997=FACE_OUTER_BOUND('',#45870,.T.); #26998=FACE_OUTER_BOUND('',#45871,.T.); #26999=FACE_OUTER_BOUND('',#45872,.T.); #27000=FACE_OUTER_BOUND('',#45873,.T.); #27001=FACE_OUTER_BOUND('',#45874,.T.); #27002=FACE_OUTER_BOUND('',#45875,.T.); #27003=FACE_OUTER_BOUND('',#45876,.T.); #27004=FACE_OUTER_BOUND('',#45877,.T.); #27005=FACE_OUTER_BOUND('',#45878,.T.); #27006=FACE_OUTER_BOUND('',#45879,.T.); #27007=FACE_OUTER_BOUND('',#45880,.T.); #27008=FACE_OUTER_BOUND('',#45881,.T.); #27009=FACE_OUTER_BOUND('',#45882,.T.); #27010=FACE_OUTER_BOUND('',#45883,.T.); #27011=FACE_OUTER_BOUND('',#45884,.T.); #27012=FACE_OUTER_BOUND('',#45885,.T.); #27013=FACE_OUTER_BOUND('',#45886,.T.); #27014=FACE_OUTER_BOUND('',#45887,.T.); #27015=FACE_OUTER_BOUND('',#45888,.T.); #27016=FACE_OUTER_BOUND('',#45889,.T.); #27017=FACE_OUTER_BOUND('',#45890,.T.); #27018=FACE_OUTER_BOUND('',#45891,.T.); #27019=FACE_OUTER_BOUND('',#45892,.T.); #27020=FACE_OUTER_BOUND('',#45893,.T.); #27021=FACE_OUTER_BOUND('',#45894,.T.); #27022=FACE_OUTER_BOUND('',#45895,.T.); #27023=FACE_OUTER_BOUND('',#45896,.T.); #27024=FACE_OUTER_BOUND('',#45897,.T.); #27025=FACE_OUTER_BOUND('',#45898,.T.); #27026=FACE_OUTER_BOUND('',#45899,.T.); #27027=FACE_OUTER_BOUND('',#45900,.T.); #27028=FACE_OUTER_BOUND('',#45901,.T.); #27029=FACE_OUTER_BOUND('',#45902,.T.); #27030=FACE_OUTER_BOUND('',#45903,.T.); #27031=FACE_OUTER_BOUND('',#45904,.T.); #27032=FACE_OUTER_BOUND('',#45905,.T.); #27033=FACE_OUTER_BOUND('',#45906,.T.); #27034=FACE_OUTER_BOUND('',#45907,.T.); #27035=FACE_OUTER_BOUND('',#45908,.T.); #27036=FACE_OUTER_BOUND('',#45909,.T.); #27037=FACE_OUTER_BOUND('',#45910,.T.); #27038=FACE_OUTER_BOUND('',#45911,.T.); #27039=FACE_OUTER_BOUND('',#45912,.T.); #27040=FACE_OUTER_BOUND('',#45913,.T.); #27041=FACE_OUTER_BOUND('',#45914,.T.); #27042=FACE_OUTER_BOUND('',#45915,.T.); #27043=FACE_OUTER_BOUND('',#45916,.T.); #27044=FACE_OUTER_BOUND('',#45917,.T.); #27045=FACE_OUTER_BOUND('',#45918,.T.); #27046=FACE_OUTER_BOUND('',#45919,.T.); #27047=FACE_OUTER_BOUND('',#45920,.T.); #27048=FACE_OUTER_BOUND('',#45921,.T.); #27049=FACE_OUTER_BOUND('',#45922,.T.); #27050=FACE_OUTER_BOUND('',#45923,.T.); #27051=FACE_OUTER_BOUND('',#45924,.T.); #27052=FACE_OUTER_BOUND('',#45925,.T.); #27053=FACE_OUTER_BOUND('',#45926,.T.); #27054=FACE_OUTER_BOUND('',#45927,.T.); #27055=FACE_OUTER_BOUND('',#45928,.T.); #27056=FACE_OUTER_BOUND('',#45929,.T.); #27057=FACE_OUTER_BOUND('',#45930,.T.); #27058=FACE_OUTER_BOUND('',#45931,.T.); #27059=FACE_OUTER_BOUND('',#45932,.T.); #27060=FACE_OUTER_BOUND('',#45933,.T.); #27061=FACE_OUTER_BOUND('',#45934,.T.); #27062=FACE_OUTER_BOUND('',#45935,.T.); #27063=FACE_OUTER_BOUND('',#45936,.T.); #27064=FACE_OUTER_BOUND('',#45937,.T.); #27065=FACE_OUTER_BOUND('',#45938,.T.); #27066=FACE_OUTER_BOUND('',#45939,.T.); #27067=FACE_OUTER_BOUND('',#45940,.T.); #27068=FACE_OUTER_BOUND('',#45941,.T.); #27069=FACE_OUTER_BOUND('',#45942,.T.); #27070=FACE_OUTER_BOUND('',#45943,.T.); #27071=FACE_OUTER_BOUND('',#45944,.T.); #27072=FACE_OUTER_BOUND('',#45945,.T.); #27073=FACE_OUTER_BOUND('',#45946,.T.); #27074=FACE_OUTER_BOUND('',#45947,.T.); #27075=FACE_OUTER_BOUND('',#45948,.T.); #27076=FACE_OUTER_BOUND('',#45949,.T.); #27077=FACE_OUTER_BOUND('',#45950,.T.); #27078=FACE_OUTER_BOUND('',#45951,.T.); #27079=FACE_OUTER_BOUND('',#45952,.T.); #27080=FACE_OUTER_BOUND('',#45953,.T.); #27081=FACE_OUTER_BOUND('',#45954,.T.); #27082=FACE_OUTER_BOUND('',#45955,.T.); #27083=FACE_OUTER_BOUND('',#45956,.T.); #27084=FACE_OUTER_BOUND('',#45957,.T.); #27085=FACE_OUTER_BOUND('',#45958,.T.); #27086=FACE_OUTER_BOUND('',#45959,.T.); #27087=FACE_OUTER_BOUND('',#45960,.T.); #27088=FACE_OUTER_BOUND('',#45961,.T.); #27089=FACE_OUTER_BOUND('',#45962,.T.); #27090=FACE_OUTER_BOUND('',#45963,.T.); #27091=FACE_OUTER_BOUND('',#45964,.T.); #27092=FACE_OUTER_BOUND('',#45965,.T.); #27093=FACE_OUTER_BOUND('',#45966,.T.); #27094=FACE_OUTER_BOUND('',#45967,.T.); #27095=FACE_OUTER_BOUND('',#45968,.T.); #27096=FACE_OUTER_BOUND('',#45969,.T.); #27097=FACE_OUTER_BOUND('',#45970,.T.); #27098=FACE_OUTER_BOUND('',#46002,.T.); #27099=FACE_OUTER_BOUND('',#46034,.T.); #27100=FACE_OUTER_BOUND('',#46035,.T.); #27101=FACE_OUTER_BOUND('',#46036,.T.); #27102=FACE_OUTER_BOUND('',#46037,.T.); #27103=FACE_OUTER_BOUND('',#46038,.T.); #27104=FACE_OUTER_BOUND('',#46039,.T.); #27105=FACE_OUTER_BOUND('',#46040,.T.); #27106=FACE_OUTER_BOUND('',#46041,.T.); #27107=FACE_OUTER_BOUND('',#46042,.T.); #27108=FACE_OUTER_BOUND('',#46043,.T.); #27109=FACE_OUTER_BOUND('',#46044,.T.); #27110=FACE_OUTER_BOUND('',#46045,.T.); #27111=FACE_OUTER_BOUND('',#46046,.T.); #27112=FACE_OUTER_BOUND('',#46047,.T.); #27113=FACE_OUTER_BOUND('',#46048,.T.); #27114=FACE_OUTER_BOUND('',#46049,.T.); #27115=FACE_OUTER_BOUND('',#46050,.T.); #27116=FACE_OUTER_BOUND('',#46051,.T.); #27117=FACE_OUTER_BOUND('',#46052,.T.); #27118=FACE_OUTER_BOUND('',#46053,.T.); #27119=FACE_OUTER_BOUND('',#46054,.T.); #27120=FACE_OUTER_BOUND('',#46055,.T.); #27121=FACE_OUTER_BOUND('',#46056,.T.); #27122=FACE_OUTER_BOUND('',#46058,.T.); #27123=FACE_OUTER_BOUND('',#46060,.T.); #27124=FACE_OUTER_BOUND('',#46061,.T.); #27125=FACE_OUTER_BOUND('',#46062,.T.); #27126=FACE_OUTER_BOUND('',#46063,.T.); #27127=FACE_OUTER_BOUND('',#46064,.T.); #27128=FACE_OUTER_BOUND('',#46065,.T.); #27129=FACE_OUTER_BOUND('',#46066,.T.); #27130=FACE_OUTER_BOUND('',#46067,.T.); #27131=FACE_OUTER_BOUND('',#46068,.T.); #27132=FACE_OUTER_BOUND('',#46069,.T.); #27133=FACE_OUTER_BOUND('',#46070,.T.); #27134=FACE_OUTER_BOUND('',#46071,.T.); #27135=FACE_OUTER_BOUND('',#46072,.T.); #27136=FACE_OUTER_BOUND('',#46073,.T.); #27137=FACE_OUTER_BOUND('',#46074,.T.); #27138=FACE_OUTER_BOUND('',#46075,.T.); #27139=FACE_OUTER_BOUND('',#46076,.T.); #27140=FACE_OUTER_BOUND('',#46077,.T.); #27141=FACE_OUTER_BOUND('',#46078,.T.); #27142=FACE_OUTER_BOUND('',#46079,.T.); #27143=FACE_OUTER_BOUND('',#46080,.T.); #27144=FACE_OUTER_BOUND('',#46081,.T.); #27145=FACE_OUTER_BOUND('',#46082,.T.); #27146=FACE_OUTER_BOUND('',#46083,.T.); #27147=FACE_OUTER_BOUND('',#46084,.T.); #27148=FACE_OUTER_BOUND('',#46085,.T.); #27149=FACE_OUTER_BOUND('',#46086,.T.); #27150=FACE_OUTER_BOUND('',#46087,.T.); #27151=FACE_OUTER_BOUND('',#46088,.T.); #27152=FACE_OUTER_BOUND('',#46089,.T.); #27153=FACE_OUTER_BOUND('',#46090,.T.); #27154=FACE_OUTER_BOUND('',#46091,.T.); #27155=FACE_OUTER_BOUND('',#46092,.T.); #27156=FACE_OUTER_BOUND('',#46093,.T.); #27157=FACE_OUTER_BOUND('',#46094,.T.); #27158=FACE_OUTER_BOUND('',#46095,.T.); #27159=FACE_OUTER_BOUND('',#46096,.T.); #27160=FACE_OUTER_BOUND('',#46097,.T.); #27161=FACE_OUTER_BOUND('',#46098,.T.); #27162=FACE_OUTER_BOUND('',#46099,.T.); #27163=FACE_OUTER_BOUND('',#46100,.T.); #27164=FACE_OUTER_BOUND('',#46101,.T.); #27165=FACE_OUTER_BOUND('',#46102,.T.); #27166=FACE_OUTER_BOUND('',#46103,.T.); #27167=FACE_OUTER_BOUND('',#46104,.T.); #27168=FACE_OUTER_BOUND('',#46105,.T.); #27169=FACE_OUTER_BOUND('',#46106,.T.); #27170=FACE_OUTER_BOUND('',#46107,.T.); #27171=FACE_OUTER_BOUND('',#46108,.T.); #27172=FACE_OUTER_BOUND('',#46109,.T.); #27173=FACE_OUTER_BOUND('',#46110,.T.); #27174=FACE_OUTER_BOUND('',#46111,.T.); #27175=FACE_OUTER_BOUND('',#46112,.T.); #27176=FACE_OUTER_BOUND('',#46113,.T.); #27177=FACE_OUTER_BOUND('',#46114,.T.); #27178=FACE_OUTER_BOUND('',#46115,.T.); #27179=FACE_OUTER_BOUND('',#46116,.T.); #27180=FACE_OUTER_BOUND('',#46117,.T.); #27181=FACE_OUTER_BOUND('',#46118,.T.); #27182=FACE_OUTER_BOUND('',#46119,.T.); #27183=FACE_OUTER_BOUND('',#46120,.T.); #27184=FACE_OUTER_BOUND('',#46121,.T.); #27185=FACE_OUTER_BOUND('',#46122,.T.); #27186=FACE_OUTER_BOUND('',#46123,.T.); #27187=FACE_OUTER_BOUND('',#46124,.T.); #27188=FACE_OUTER_BOUND('',#46125,.T.); #27189=FACE_OUTER_BOUND('',#46126,.T.); #27190=FACE_OUTER_BOUND('',#46127,.T.); #27191=FACE_OUTER_BOUND('',#46128,.T.); #27192=FACE_OUTER_BOUND('',#46129,.T.); #27193=FACE_OUTER_BOUND('',#46130,.T.); #27194=FACE_OUTER_BOUND('',#46131,.T.); #27195=FACE_OUTER_BOUND('',#46132,.T.); #27196=FACE_OUTER_BOUND('',#46133,.T.); #27197=FACE_OUTER_BOUND('',#46134,.T.); #27198=FACE_OUTER_BOUND('',#46135,.T.); #27199=FACE_OUTER_BOUND('',#46136,.T.); #27200=FACE_OUTER_BOUND('',#46137,.T.); #27201=FACE_OUTER_BOUND('',#46138,.T.); #27202=FACE_OUTER_BOUND('',#46139,.T.); #27203=FACE_OUTER_BOUND('',#46140,.T.); #27204=FACE_OUTER_BOUND('',#46141,.T.); #27205=FACE_OUTER_BOUND('',#46142,.T.); #27206=FACE_OUTER_BOUND('',#46143,.T.); #27207=FACE_OUTER_BOUND('',#46144,.T.); #27208=FACE_OUTER_BOUND('',#46145,.T.); #27209=FACE_OUTER_BOUND('',#46146,.T.); #27210=FACE_OUTER_BOUND('',#46147,.T.); #27211=FACE_OUTER_BOUND('',#46148,.T.); #27212=FACE_OUTER_BOUND('',#46149,.T.); #27213=FACE_OUTER_BOUND('',#46150,.T.); #27214=FACE_OUTER_BOUND('',#46151,.T.); #27215=FACE_OUTER_BOUND('',#46152,.T.); #27216=FACE_OUTER_BOUND('',#46153,.T.); #27217=FACE_OUTER_BOUND('',#46154,.T.); #27218=FACE_OUTER_BOUND('',#46155,.T.); #27219=FACE_OUTER_BOUND('',#46156,.T.); #27220=FACE_OUTER_BOUND('',#46157,.T.); #27221=FACE_OUTER_BOUND('',#46158,.T.); #27222=FACE_OUTER_BOUND('',#46159,.T.); #27223=FACE_OUTER_BOUND('',#46160,.T.); #27224=FACE_OUTER_BOUND('',#46161,.T.); #27225=FACE_OUTER_BOUND('',#46162,.T.); #27226=FACE_OUTER_BOUND('',#46163,.T.); #27227=FACE_OUTER_BOUND('',#46164,.T.); #27228=FACE_OUTER_BOUND('',#46165,.T.); #27229=FACE_OUTER_BOUND('',#46166,.T.); #27230=FACE_OUTER_BOUND('',#46167,.T.); #27231=FACE_OUTER_BOUND('',#46168,.T.); #27232=FACE_OUTER_BOUND('',#46169,.T.); #27233=FACE_OUTER_BOUND('',#46170,.T.); #27234=FACE_OUTER_BOUND('',#46171,.T.); #27235=FACE_OUTER_BOUND('',#46172,.T.); #27236=FACE_OUTER_BOUND('',#46173,.T.); #27237=FACE_OUTER_BOUND('',#46174,.T.); #27238=FACE_OUTER_BOUND('',#46175,.T.); #27239=FACE_OUTER_BOUND('',#46176,.T.); #27240=FACE_OUTER_BOUND('',#46177,.T.); #27241=FACE_OUTER_BOUND('',#46178,.T.); #27242=FACE_OUTER_BOUND('',#46179,.T.); #27243=FACE_OUTER_BOUND('',#46180,.T.); #27244=FACE_OUTER_BOUND('',#46181,.T.); #27245=FACE_OUTER_BOUND('',#46182,.T.); #27246=FACE_OUTER_BOUND('',#46183,.T.); #27247=FACE_OUTER_BOUND('',#46184,.T.); #27248=FACE_OUTER_BOUND('',#46185,.T.); #27249=FACE_OUTER_BOUND('',#46186,.T.); #27250=FACE_OUTER_BOUND('',#46187,.T.); #27251=FACE_OUTER_BOUND('',#46188,.T.); #27252=FACE_OUTER_BOUND('',#46189,.T.); #27253=FACE_OUTER_BOUND('',#46190,.T.); #27254=FACE_OUTER_BOUND('',#46191,.T.); #27255=FACE_OUTER_BOUND('',#46192,.T.); #27256=FACE_OUTER_BOUND('',#46193,.T.); #27257=FACE_OUTER_BOUND('',#46194,.T.); #27258=FACE_OUTER_BOUND('',#46195,.T.); #27259=FACE_OUTER_BOUND('',#46196,.T.); #27260=FACE_OUTER_BOUND('',#46197,.T.); #27261=FACE_OUTER_BOUND('',#46198,.T.); #27262=FACE_OUTER_BOUND('',#46199,.T.); #27263=FACE_OUTER_BOUND('',#46200,.T.); #27264=FACE_OUTER_BOUND('',#46201,.T.); #27265=FACE_OUTER_BOUND('',#46202,.T.); #27266=FACE_OUTER_BOUND('',#46203,.T.); #27267=FACE_OUTER_BOUND('',#46204,.T.); #27268=FACE_OUTER_BOUND('',#46205,.T.); #27269=FACE_OUTER_BOUND('',#46206,.T.); #27270=FACE_OUTER_BOUND('',#46207,.T.); #27271=FACE_OUTER_BOUND('',#46208,.T.); #27272=FACE_OUTER_BOUND('',#46209,.T.); #27273=FACE_OUTER_BOUND('',#46210,.T.); #27274=FACE_OUTER_BOUND('',#46211,.T.); #27275=FACE_OUTER_BOUND('',#46212,.T.); #27276=FACE_OUTER_BOUND('',#46213,.T.); #27277=FACE_OUTER_BOUND('',#46214,.T.); #27278=FACE_OUTER_BOUND('',#46215,.T.); #27279=FACE_OUTER_BOUND('',#46216,.T.); #27280=FACE_OUTER_BOUND('',#46217,.T.); #27281=FACE_OUTER_BOUND('',#46218,.T.); #27282=FACE_OUTER_BOUND('',#46219,.T.); #27283=FACE_OUTER_BOUND('',#46220,.T.); #27284=FACE_OUTER_BOUND('',#46221,.T.); #27285=FACE_OUTER_BOUND('',#46222,.T.); #27286=FACE_OUTER_BOUND('',#46223,.T.); #27287=FACE_OUTER_BOUND('',#46224,.T.); #27288=FACE_OUTER_BOUND('',#46225,.T.); #27289=FACE_OUTER_BOUND('',#46226,.T.); #27290=FACE_OUTER_BOUND('',#46227,.T.); #27291=FACE_OUTER_BOUND('',#46228,.T.); #27292=FACE_OUTER_BOUND('',#46229,.T.); #27293=FACE_OUTER_BOUND('',#46230,.T.); #27294=FACE_OUTER_BOUND('',#46231,.T.); #27295=FACE_OUTER_BOUND('',#46232,.T.); #27296=FACE_OUTER_BOUND('',#46233,.T.); #27297=FACE_OUTER_BOUND('',#46234,.T.); #27298=FACE_OUTER_BOUND('',#46235,.T.); #27299=FACE_OUTER_BOUND('',#46236,.T.); #27300=FACE_OUTER_BOUND('',#46237,.T.); #27301=FACE_OUTER_BOUND('',#46238,.T.); #27302=FACE_OUTER_BOUND('',#46239,.T.); #27303=FACE_OUTER_BOUND('',#46240,.T.); #27304=FACE_OUTER_BOUND('',#46241,.T.); #27305=FACE_OUTER_BOUND('',#46242,.T.); #27306=FACE_OUTER_BOUND('',#46243,.T.); #27307=FACE_OUTER_BOUND('',#46244,.T.); #27308=FACE_OUTER_BOUND('',#46245,.T.); #27309=FACE_OUTER_BOUND('',#46246,.T.); #27310=FACE_OUTER_BOUND('',#46247,.T.); #27311=FACE_OUTER_BOUND('',#46248,.T.); #27312=FACE_OUTER_BOUND('',#46249,.T.); #27313=FACE_OUTER_BOUND('',#46250,.T.); #27314=FACE_OUTER_BOUND('',#46251,.T.); #27315=FACE_OUTER_BOUND('',#46252,.T.); #27316=FACE_OUTER_BOUND('',#46253,.T.); #27317=FACE_OUTER_BOUND('',#46254,.T.); #27318=FACE_OUTER_BOUND('',#46255,.T.); #27319=FACE_OUTER_BOUND('',#46256,.T.); #27320=FACE_OUTER_BOUND('',#46257,.T.); #27321=FACE_OUTER_BOUND('',#46258,.T.); #27322=FACE_OUTER_BOUND('',#46259,.T.); #27323=FACE_OUTER_BOUND('',#46260,.T.); #27324=FACE_OUTER_BOUND('',#46261,.T.); #27325=FACE_OUTER_BOUND('',#46262,.T.); #27326=FACE_OUTER_BOUND('',#46263,.T.); #27327=FACE_OUTER_BOUND('',#46264,.T.); #27328=FACE_OUTER_BOUND('',#46265,.T.); #27329=FACE_OUTER_BOUND('',#46266,.T.); #27330=FACE_OUTER_BOUND('',#46267,.T.); #27331=FACE_OUTER_BOUND('',#46268,.T.); #27332=FACE_OUTER_BOUND('',#46269,.T.); #27333=FACE_OUTER_BOUND('',#46270,.T.); #27334=FACE_OUTER_BOUND('',#46271,.T.); #27335=FACE_OUTER_BOUND('',#46272,.T.); #27336=FACE_OUTER_BOUND('',#46273,.T.); #27337=FACE_OUTER_BOUND('',#46274,.T.); #27338=FACE_OUTER_BOUND('',#46275,.T.); #27339=FACE_OUTER_BOUND('',#46276,.T.); #27340=FACE_OUTER_BOUND('',#46277,.T.); #27341=FACE_OUTER_BOUND('',#46278,.T.); #27342=FACE_OUTER_BOUND('',#46279,.T.); #27343=FACE_OUTER_BOUND('',#46280,.T.); #27344=FACE_OUTER_BOUND('',#46281,.T.); #27345=FACE_OUTER_BOUND('',#46282,.T.); #27346=FACE_OUTER_BOUND('',#46283,.T.); #27347=FACE_OUTER_BOUND('',#46284,.T.); #27348=FACE_OUTER_BOUND('',#46285,.T.); #27349=FACE_OUTER_BOUND('',#46286,.T.); #27350=FACE_OUTER_BOUND('',#46287,.T.); #27351=FACE_OUTER_BOUND('',#46288,.T.); #27352=FACE_OUTER_BOUND('',#46289,.T.); #27353=FACE_OUTER_BOUND('',#46290,.T.); #27354=FACE_OUTER_BOUND('',#46291,.T.); #27355=FACE_OUTER_BOUND('',#46292,.T.); #27356=FACE_OUTER_BOUND('',#46293,.T.); #27357=FACE_OUTER_BOUND('',#46294,.T.); #27358=FACE_OUTER_BOUND('',#46295,.T.); #27359=FACE_OUTER_BOUND('',#46296,.T.); #27360=FACE_OUTER_BOUND('',#46297,.T.); #27361=FACE_OUTER_BOUND('',#46298,.T.); #27362=FACE_OUTER_BOUND('',#46299,.T.); #27363=FACE_OUTER_BOUND('',#46300,.T.); #27364=FACE_OUTER_BOUND('',#46301,.T.); #27365=FACE_OUTER_BOUND('',#46302,.T.); #27366=FACE_OUTER_BOUND('',#46303,.T.); #27367=FACE_OUTER_BOUND('',#46304,.T.); #27368=FACE_OUTER_BOUND('',#46305,.T.); #27369=FACE_OUTER_BOUND('',#46306,.T.); #27370=FACE_OUTER_BOUND('',#46307,.T.); #27371=FACE_OUTER_BOUND('',#46308,.T.); #27372=FACE_OUTER_BOUND('',#46309,.T.); #27373=FACE_OUTER_BOUND('',#46310,.T.); #27374=FACE_OUTER_BOUND('',#46311,.T.); #27375=FACE_OUTER_BOUND('',#46312,.T.); #27376=FACE_OUTER_BOUND('',#46313,.T.); #27377=FACE_OUTER_BOUND('',#46314,.T.); #27378=FACE_OUTER_BOUND('',#46315,.T.); #27379=FACE_OUTER_BOUND('',#46316,.T.); #27380=FACE_OUTER_BOUND('',#46317,.T.); #27381=FACE_OUTER_BOUND('',#46318,.T.); #27382=FACE_OUTER_BOUND('',#46319,.T.); #27383=FACE_OUTER_BOUND('',#46320,.T.); #27384=FACE_OUTER_BOUND('',#46321,.T.); #27385=FACE_OUTER_BOUND('',#46322,.T.); #27386=FACE_OUTER_BOUND('',#46323,.T.); #27387=FACE_OUTER_BOUND('',#46324,.T.); #27388=FACE_OUTER_BOUND('',#46325,.T.); #27389=FACE_OUTER_BOUND('',#46326,.T.); #27390=FACE_OUTER_BOUND('',#46327,.T.); #27391=FACE_OUTER_BOUND('',#46328,.T.); #27392=FACE_OUTER_BOUND('',#46329,.T.); #27393=FACE_OUTER_BOUND('',#46330,.T.); #27394=FACE_OUTER_BOUND('',#46331,.T.); #27395=FACE_OUTER_BOUND('',#46332,.T.); #27396=FACE_OUTER_BOUND('',#46333,.T.); #27397=FACE_OUTER_BOUND('',#46334,.T.); #27398=FACE_OUTER_BOUND('',#46335,.T.); #27399=FACE_OUTER_BOUND('',#46336,.T.); #27400=FACE_OUTER_BOUND('',#46337,.T.); #27401=FACE_OUTER_BOUND('',#46338,.T.); #27402=FACE_OUTER_BOUND('',#46339,.T.); #27403=FACE_OUTER_BOUND('',#46340,.T.); #27404=FACE_OUTER_BOUND('',#46341,.T.); #27405=FACE_OUTER_BOUND('',#46342,.T.); #27406=FACE_OUTER_BOUND('',#46343,.T.); #27407=FACE_OUTER_BOUND('',#46344,.T.); #27408=FACE_OUTER_BOUND('',#46345,.T.); #27409=FACE_OUTER_BOUND('',#46346,.T.); #27410=FACE_OUTER_BOUND('',#46347,.T.); #27411=FACE_OUTER_BOUND('',#46348,.T.); #27412=FACE_OUTER_BOUND('',#46349,.T.); #27413=FACE_OUTER_BOUND('',#46350,.T.); #27414=FACE_OUTER_BOUND('',#46351,.T.); #27415=FACE_OUTER_BOUND('',#46352,.T.); #27416=FACE_OUTER_BOUND('',#46353,.T.); #27417=FACE_OUTER_BOUND('',#46354,.T.); #27418=FACE_OUTER_BOUND('',#46355,.T.); #27419=FACE_OUTER_BOUND('',#46356,.T.); #27420=FACE_OUTER_BOUND('',#46357,.T.); #27421=FACE_OUTER_BOUND('',#46358,.T.); #27422=FACE_OUTER_BOUND('',#46359,.T.); #27423=FACE_OUTER_BOUND('',#46360,.T.); #27424=FACE_OUTER_BOUND('',#46361,.T.); #27425=FACE_OUTER_BOUND('',#46362,.T.); #27426=FACE_OUTER_BOUND('',#46363,.T.); #27427=FACE_OUTER_BOUND('',#46364,.T.); #27428=FACE_OUTER_BOUND('',#46365,.T.); #27429=FACE_OUTER_BOUND('',#46366,.T.); #27430=FACE_OUTER_BOUND('',#46367,.T.); #27431=FACE_OUTER_BOUND('',#46368,.T.); #27432=FACE_OUTER_BOUND('',#46369,.T.); #27433=FACE_OUTER_BOUND('',#46370,.T.); #27434=FACE_OUTER_BOUND('',#46371,.T.); #27435=FACE_OUTER_BOUND('',#46372,.T.); #27436=FACE_OUTER_BOUND('',#46373,.T.); #27437=FACE_OUTER_BOUND('',#46374,.T.); #27438=FACE_OUTER_BOUND('',#46375,.T.); #27439=FACE_OUTER_BOUND('',#46376,.T.); #27440=FACE_OUTER_BOUND('',#46377,.T.); #27441=FACE_OUTER_BOUND('',#46378,.T.); #27442=FACE_OUTER_BOUND('',#46379,.T.); #27443=FACE_OUTER_BOUND('',#46380,.T.); #27444=FACE_OUTER_BOUND('',#46381,.T.); #27445=FACE_OUTER_BOUND('',#46382,.T.); #27446=FACE_OUTER_BOUND('',#46383,.T.); #27447=FACE_OUTER_BOUND('',#46384,.T.); #27448=FACE_OUTER_BOUND('',#46385,.T.); #27449=FACE_OUTER_BOUND('',#46386,.T.); #27450=FACE_OUTER_BOUND('',#46387,.T.); #27451=FACE_OUTER_BOUND('',#46388,.T.); #27452=FACE_OUTER_BOUND('',#46389,.T.); #27453=FACE_OUTER_BOUND('',#46390,.T.); #27454=FACE_OUTER_BOUND('',#46391,.T.); #27455=FACE_OUTER_BOUND('',#46392,.T.); #27456=FACE_OUTER_BOUND('',#46393,.T.); #27457=FACE_OUTER_BOUND('',#46394,.T.); #27458=FACE_OUTER_BOUND('',#46395,.T.); #27459=FACE_OUTER_BOUND('',#46396,.T.); #27460=FACE_OUTER_BOUND('',#46397,.T.); #27461=FACE_OUTER_BOUND('',#46398,.T.); #27462=FACE_OUTER_BOUND('',#46416,.T.); #27463=FACE_OUTER_BOUND('',#46434,.T.); #27464=FACE_OUTER_BOUND('',#46435,.T.); #27465=FACE_OUTER_BOUND('',#46436,.T.); #27466=FACE_OUTER_BOUND('',#46437,.T.); #27467=FACE_OUTER_BOUND('',#46438,.T.); #27468=FACE_OUTER_BOUND('',#46439,.T.); #27469=FACE_OUTER_BOUND('',#46440,.T.); #27470=FACE_OUTER_BOUND('',#46441,.T.); #27471=FACE_OUTER_BOUND('',#46442,.T.); #27472=FACE_OUTER_BOUND('',#46443,.T.); #27473=FACE_OUTER_BOUND('',#46444,.T.); #27474=FACE_OUTER_BOUND('',#46445,.T.); #27475=FACE_OUTER_BOUND('',#46446,.T.); #27476=FACE_OUTER_BOUND('',#46447,.T.); #27477=FACE_OUTER_BOUND('',#46448,.T.); #27478=FACE_OUTER_BOUND('',#46449,.T.); #27479=FACE_OUTER_BOUND('',#46450,.T.); #27480=FACE_OUTER_BOUND('',#46451,.T.); #27481=FACE_OUTER_BOUND('',#46452,.T.); #27482=FACE_OUTER_BOUND('',#46453,.T.); #27483=FACE_OUTER_BOUND('',#46454,.T.); #27484=FACE_OUTER_BOUND('',#46455,.T.); #27485=FACE_OUTER_BOUND('',#46456,.T.); #27486=FACE_OUTER_BOUND('',#46457,.T.); #27487=FACE_OUTER_BOUND('',#46458,.T.); #27488=FACE_OUTER_BOUND('',#46459,.T.); #27489=FACE_OUTER_BOUND('',#46460,.T.); #27490=FACE_OUTER_BOUND('',#46461,.T.); #27491=FACE_OUTER_BOUND('',#46462,.T.); #27492=FACE_OUTER_BOUND('',#46463,.T.); #27493=FACE_OUTER_BOUND('',#46464,.T.); #27494=FACE_OUTER_BOUND('',#46465,.T.); #27495=FACE_OUTER_BOUND('',#46466,.T.); #27496=FACE_OUTER_BOUND('',#46467,.T.); #27497=FACE_OUTER_BOUND('',#46468,.T.); #27498=FACE_OUTER_BOUND('',#46469,.T.); #27499=FACE_OUTER_BOUND('',#46470,.T.); #27500=FACE_OUTER_BOUND('',#46471,.T.); #27501=FACE_OUTER_BOUND('',#46472,.T.); #27502=FACE_OUTER_BOUND('',#46473,.T.); #27503=FACE_OUTER_BOUND('',#46474,.T.); #27504=FACE_OUTER_BOUND('',#46475,.T.); #27505=FACE_OUTER_BOUND('',#46476,.T.); #27506=FACE_OUTER_BOUND('',#46477,.T.); #27507=FACE_OUTER_BOUND('',#46478,.T.); #27508=FACE_OUTER_BOUND('',#46479,.T.); #27509=FACE_OUTER_BOUND('',#46480,.T.); #27510=FACE_OUTER_BOUND('',#46481,.T.); #27511=FACE_OUTER_BOUND('',#46482,.T.); #27512=FACE_OUTER_BOUND('',#46483,.T.); #27513=FACE_OUTER_BOUND('',#46484,.T.); #27514=FACE_OUTER_BOUND('',#46485,.T.); #27515=FACE_OUTER_BOUND('',#46486,.T.); #27516=FACE_OUTER_BOUND('',#46487,.T.); #27517=FACE_OUTER_BOUND('',#46488,.T.); #27518=FACE_OUTER_BOUND('',#46489,.T.); #27519=FACE_OUTER_BOUND('',#46490,.T.); #27520=FACE_OUTER_BOUND('',#46491,.T.); #27521=FACE_OUTER_BOUND('',#46492,.T.); #27522=FACE_OUTER_BOUND('',#46493,.T.); #27523=FACE_OUTER_BOUND('',#46494,.T.); #27524=FACE_OUTER_BOUND('',#46495,.T.); #27525=FACE_OUTER_BOUND('',#46496,.T.); #27526=FACE_OUTER_BOUND('',#46497,.T.); #27527=FACE_OUTER_BOUND('',#46498,.T.); #27528=FACE_OUTER_BOUND('',#46499,.T.); #27529=FACE_OUTER_BOUND('',#46500,.T.); #27530=FACE_OUTER_BOUND('',#46501,.T.); #27531=FACE_OUTER_BOUND('',#46502,.T.); #27532=FACE_OUTER_BOUND('',#46503,.T.); #27533=FACE_OUTER_BOUND('',#46504,.T.); #27534=FACE_OUTER_BOUND('',#46505,.T.); #27535=FACE_OUTER_BOUND('',#46506,.T.); #27536=FACE_OUTER_BOUND('',#46507,.T.); #27537=FACE_OUTER_BOUND('',#46508,.T.); #27538=FACE_OUTER_BOUND('',#46509,.T.); #27539=FACE_OUTER_BOUND('',#46510,.T.); #27540=FACE_OUTER_BOUND('',#46511,.T.); #27541=FACE_OUTER_BOUND('',#46512,.T.); #27542=FACE_OUTER_BOUND('',#46513,.T.); #27543=FACE_OUTER_BOUND('',#46514,.T.); #27544=FACE_OUTER_BOUND('',#46515,.T.); #27545=FACE_OUTER_BOUND('',#46516,.T.); #27546=FACE_OUTER_BOUND('',#46517,.T.); #27547=FACE_OUTER_BOUND('',#46518,.T.); #27548=FACE_OUTER_BOUND('',#46519,.T.); #27549=FACE_OUTER_BOUND('',#46520,.T.); #27550=FACE_OUTER_BOUND('',#46521,.T.); #27551=FACE_OUTER_BOUND('',#46522,.T.); #27552=FACE_OUTER_BOUND('',#46523,.T.); #27553=FACE_OUTER_BOUND('',#46524,.T.); #27554=FACE_OUTER_BOUND('',#46525,.T.); #27555=FACE_OUTER_BOUND('',#46526,.T.); #27556=FACE_OUTER_BOUND('',#46527,.T.); #27557=FACE_OUTER_BOUND('',#46528,.T.); #27558=FACE_OUTER_BOUND('',#46529,.T.); #27559=FACE_OUTER_BOUND('',#46530,.T.); #27560=FACE_OUTER_BOUND('',#46531,.T.); #27561=FACE_OUTER_BOUND('',#46532,.T.); #27562=FACE_OUTER_BOUND('',#46533,.T.); #27563=FACE_OUTER_BOUND('',#46534,.T.); #27564=FACE_OUTER_BOUND('',#46535,.T.); #27565=FACE_OUTER_BOUND('',#46536,.T.); #27566=FACE_OUTER_BOUND('',#46537,.T.); #27567=FACE_OUTER_BOUND('',#46538,.T.); #27568=FACE_OUTER_BOUND('',#46539,.T.); #27569=FACE_OUTER_BOUND('',#46540,.T.); #27570=FACE_OUTER_BOUND('',#46541,.T.); #27571=FACE_OUTER_BOUND('',#46542,.T.); #27572=FACE_OUTER_BOUND('',#46543,.T.); #27573=FACE_OUTER_BOUND('',#46544,.T.); #27574=FACE_OUTER_BOUND('',#46545,.T.); #27575=FACE_OUTER_BOUND('',#46546,.T.); #27576=FACE_OUTER_BOUND('',#46547,.T.); #27577=FACE_OUTER_BOUND('',#46548,.T.); #27578=FACE_OUTER_BOUND('',#46549,.T.); #27579=FACE_OUTER_BOUND('',#46550,.T.); #27580=FACE_OUTER_BOUND('',#46551,.T.); #27581=FACE_OUTER_BOUND('',#46552,.T.); #27582=FACE_OUTER_BOUND('',#46553,.T.); #27583=FACE_OUTER_BOUND('',#46554,.T.); #27584=FACE_OUTER_BOUND('',#46555,.T.); #27585=FACE_OUTER_BOUND('',#46556,.T.); #27586=FACE_OUTER_BOUND('',#46557,.T.); #27587=FACE_OUTER_BOUND('',#46558,.T.); #27588=FACE_OUTER_BOUND('',#46559,.T.); #27589=FACE_OUTER_BOUND('',#46560,.T.); #27590=FACE_OUTER_BOUND('',#46561,.T.); #27591=FACE_OUTER_BOUND('',#46562,.T.); #27592=FACE_OUTER_BOUND('',#46563,.T.); #27593=FACE_OUTER_BOUND('',#46564,.T.); #27594=FACE_OUTER_BOUND('',#46565,.T.); #27595=FACE_OUTER_BOUND('',#46566,.T.); #27596=FACE_OUTER_BOUND('',#46567,.T.); #27597=FACE_OUTER_BOUND('',#46568,.T.); #27598=FACE_OUTER_BOUND('',#46569,.T.); #27599=FACE_OUTER_BOUND('',#46570,.T.); #27600=FACE_OUTER_BOUND('',#46571,.T.); #27601=FACE_OUTER_BOUND('',#46572,.T.); #27602=FACE_OUTER_BOUND('',#46573,.T.); #27603=FACE_OUTER_BOUND('',#46574,.T.); #27604=FACE_OUTER_BOUND('',#46576,.T.); #27605=FACE_OUTER_BOUND('',#46578,.T.); #27606=FACE_OUTER_BOUND('',#46579,.T.); #27607=FACE_OUTER_BOUND('',#46580,.T.); #27608=FACE_OUTER_BOUND('',#46581,.T.); #27609=FACE_OUTER_BOUND('',#46582,.T.); #27610=FACE_OUTER_BOUND('',#46584,.T.); #27611=FACE_OUTER_BOUND('',#46586,.T.); #27612=FACE_OUTER_BOUND('',#46587,.T.); #27613=FACE_OUTER_BOUND('',#46588,.T.); #27614=FACE_OUTER_BOUND('',#46589,.T.); #27615=FACE_OUTER_BOUND('',#46590,.T.); #27616=FACE_OUTER_BOUND('',#46592,.T.); #27617=FACE_OUTER_BOUND('',#46594,.T.); #27618=FACE_OUTER_BOUND('',#46595,.T.); #27619=FACE_OUTER_BOUND('',#46596,.T.); #27620=FACE_OUTER_BOUND('',#46597,.T.); #27621=FACE_OUTER_BOUND('',#46598,.T.); #27622=FACE_OUTER_BOUND('',#46600,.T.); #27623=FACE_OUTER_BOUND('',#46602,.T.); #27624=FACE_OUTER_BOUND('',#46603,.T.); #27625=FACE_OUTER_BOUND('',#46604,.T.); #27626=FACE_OUTER_BOUND('',#46605,.T.); #27627=FACE_OUTER_BOUND('',#46606,.T.); #27628=FACE_OUTER_BOUND('',#46607,.T.); #27629=FACE_OUTER_BOUND('',#46609,.T.); #27630=FACE_OUTER_BOUND('',#46611,.T.); #27631=FACE_OUTER_BOUND('',#46612,.T.); #27632=FACE_OUTER_BOUND('',#46613,.T.); #27633=FACE_OUTER_BOUND('',#46614,.T.); #27634=FACE_OUTER_BOUND('',#46615,.T.); #27635=FACE_OUTER_BOUND('',#46617,.T.); #27636=FACE_OUTER_BOUND('',#46619,.T.); #27637=FACE_OUTER_BOUND('',#46620,.T.); #27638=FACE_OUTER_BOUND('',#46621,.T.); #27639=FACE_OUTER_BOUND('',#46622,.T.); #27640=FACE_OUTER_BOUND('',#46623,.T.); #27641=FACE_OUTER_BOUND('',#46625,.T.); #27642=FACE_OUTER_BOUND('',#46627,.T.); #27643=FACE_OUTER_BOUND('',#46628,.T.); #27644=FACE_OUTER_BOUND('',#46629,.T.); #27645=FACE_OUTER_BOUND('',#46630,.T.); #27646=FACE_OUTER_BOUND('',#46631,.T.); #27647=FACE_OUTER_BOUND('',#46633,.T.); #27648=FACE_OUTER_BOUND('',#46635,.T.); #27649=FACE_OUTER_BOUND('',#46636,.T.); #27650=FACE_OUTER_BOUND('',#46637,.T.); #27651=FACE_OUTER_BOUND('',#46638,.T.); #27652=FACE_OUTER_BOUND('',#46639,.T.); #27653=FACE_OUTER_BOUND('',#46641,.T.); #27654=FACE_OUTER_BOUND('',#46643,.T.); #27655=FACE_OUTER_BOUND('',#46644,.T.); #27656=FACE_OUTER_BOUND('',#46645,.T.); #27657=FACE_OUTER_BOUND('',#46646,.T.); #27658=FACE_OUTER_BOUND('',#46647,.T.); #27659=FACE_OUTER_BOUND('',#46648,.T.); #27660=FACE_OUTER_BOUND('',#46649,.T.); #27661=FACE_OUTER_BOUND('',#46650,.T.); #27662=FACE_OUTER_BOUND('',#46651,.T.); #27663=FACE_OUTER_BOUND('',#46652,.T.); #27664=FACE_OUTER_BOUND('',#46653,.T.); #27665=FACE_OUTER_BOUND('',#46654,.T.); #27666=FACE_OUTER_BOUND('',#46655,.T.); #27667=FACE_OUTER_BOUND('',#46656,.T.); #27668=FACE_OUTER_BOUND('',#46657,.T.); #27669=FACE_OUTER_BOUND('',#46658,.T.); #27670=FACE_OUTER_BOUND('',#46659,.T.); #27671=FACE_OUTER_BOUND('',#46660,.T.); #27672=FACE_OUTER_BOUND('',#46661,.T.); #27673=FACE_OUTER_BOUND('',#46662,.T.); #27674=FACE_OUTER_BOUND('',#46663,.T.); #27675=FACE_OUTER_BOUND('',#46664,.T.); #27676=FACE_OUTER_BOUND('',#46665,.T.); #27677=FACE_OUTER_BOUND('',#46666,.T.); #27678=FACE_OUTER_BOUND('',#46667,.T.); #27679=FACE_OUTER_BOUND('',#46668,.T.); #27680=FACE_OUTER_BOUND('',#46669,.T.); #27681=FACE_OUTER_BOUND('',#46670,.T.); #27682=FACE_OUTER_BOUND('',#46671,.T.); #27683=FACE_OUTER_BOUND('',#46672,.T.); #27684=FACE_OUTER_BOUND('',#46673,.T.); #27685=FACE_OUTER_BOUND('',#46674,.T.); #27686=FACE_OUTER_BOUND('',#46675,.T.); #27687=FACE_OUTER_BOUND('',#46676,.T.); #27688=FACE_OUTER_BOUND('',#46677,.T.); #27689=FACE_OUTER_BOUND('',#46678,.T.); #27690=FACE_OUTER_BOUND('',#46679,.T.); #27691=FACE_OUTER_BOUND('',#46680,.T.); #27692=FACE_OUTER_BOUND('',#46681,.T.); #27693=FACE_OUTER_BOUND('',#46682,.T.); #27694=FACE_OUTER_BOUND('',#46683,.T.); #27695=FACE_OUTER_BOUND('',#46684,.T.); #27696=FACE_OUTER_BOUND('',#46685,.T.); #27697=FACE_OUTER_BOUND('',#46686,.T.); #27698=FACE_OUTER_BOUND('',#46687,.T.); #27699=FACE_OUTER_BOUND('',#46688,.T.); #27700=FACE_OUTER_BOUND('',#46689,.T.); #27701=FACE_OUTER_BOUND('',#46690,.T.); #27702=FACE_OUTER_BOUND('',#46691,.T.); #27703=FACE_OUTER_BOUND('',#46692,.T.); #27704=FACE_OUTER_BOUND('',#46693,.T.); #27705=FACE_OUTER_BOUND('',#46694,.T.); #27706=FACE_OUTER_BOUND('',#46695,.T.); #27707=FACE_OUTER_BOUND('',#46696,.T.); #27708=FACE_OUTER_BOUND('',#46697,.T.); #27709=FACE_OUTER_BOUND('',#46698,.T.); #27710=FACE_OUTER_BOUND('',#46699,.T.); #27711=FACE_OUTER_BOUND('',#46700,.T.); #27712=FACE_OUTER_BOUND('',#46701,.T.); #27713=FACE_OUTER_BOUND('',#46702,.T.); #27714=FACE_OUTER_BOUND('',#46703,.T.); #27715=FACE_OUTER_BOUND('',#46705,.T.); #27716=FACE_OUTER_BOUND('',#46707,.T.); #27717=FACE_OUTER_BOUND('',#46708,.T.); #27718=FACE_OUTER_BOUND('',#46709,.T.); #27719=FACE_OUTER_BOUND('',#46710,.T.); #27720=FACE_OUTER_BOUND('',#46711,.T.); #27721=FACE_OUTER_BOUND('',#46712,.T.); #27722=FACE_OUTER_BOUND('',#46713,.T.); #27723=FACE_OUTER_BOUND('',#46714,.T.); #27724=FACE_OUTER_BOUND('',#46715,.T.); #27725=FACE_OUTER_BOUND('',#46716,.T.); #27726=FACE_OUTER_BOUND('',#46717,.T.); #27727=FACE_OUTER_BOUND('',#46718,.T.); #27728=FACE_OUTER_BOUND('',#46719,.T.); #27729=FACE_OUTER_BOUND('',#46720,.T.); #27730=FACE_OUTER_BOUND('',#46721,.T.); #27731=FACE_OUTER_BOUND('',#46722,.T.); #27732=FACE_OUTER_BOUND('',#46723,.T.); #27733=FACE_OUTER_BOUND('',#46724,.T.); #27734=FACE_OUTER_BOUND('',#46725,.T.); #27735=FACE_OUTER_BOUND('',#46726,.T.); #27736=FACE_OUTER_BOUND('',#46727,.T.); #27737=FACE_OUTER_BOUND('',#46728,.T.); #27738=FACE_OUTER_BOUND('',#46729,.T.); #27739=FACE_OUTER_BOUND('',#46730,.T.); #27740=FACE_OUTER_BOUND('',#46731,.T.); #27741=FACE_OUTER_BOUND('',#46732,.T.); #27742=FACE_OUTER_BOUND('',#46733,.T.); #27743=FACE_OUTER_BOUND('',#46734,.T.); #27744=FACE_OUTER_BOUND('',#46735,.T.); #27745=FACE_OUTER_BOUND('',#46736,.T.); #27746=FACE_OUTER_BOUND('',#46737,.T.); #27747=FACE_OUTER_BOUND('',#46738,.T.); #27748=FACE_OUTER_BOUND('',#46739,.T.); #27749=FACE_OUTER_BOUND('',#46740,.T.); #27750=FACE_OUTER_BOUND('',#46741,.T.); #27751=FACE_OUTER_BOUND('',#46742,.T.); #27752=FACE_OUTER_BOUND('',#46743,.T.); #27753=FACE_OUTER_BOUND('',#46744,.T.); #27754=FACE_OUTER_BOUND('',#46745,.T.); #27755=FACE_OUTER_BOUND('',#46746,.T.); #27756=FACE_OUTER_BOUND('',#46747,.T.); #27757=FACE_OUTER_BOUND('',#46748,.T.); #27758=FACE_OUTER_BOUND('',#46749,.T.); #27759=FACE_OUTER_BOUND('',#46750,.T.); #27760=FACE_OUTER_BOUND('',#46751,.T.); #27761=FACE_OUTER_BOUND('',#46752,.T.); #27762=FACE_OUTER_BOUND('',#46753,.T.); #27763=FACE_OUTER_BOUND('',#46754,.T.); #27764=FACE_OUTER_BOUND('',#46755,.T.); #27765=FACE_OUTER_BOUND('',#46756,.T.); #27766=FACE_OUTER_BOUND('',#46757,.T.); #27767=FACE_OUTER_BOUND('',#46758,.T.); #27768=FACE_OUTER_BOUND('',#46759,.T.); #27769=FACE_OUTER_BOUND('',#46760,.T.); #27770=FACE_OUTER_BOUND('',#46761,.T.); #27771=FACE_OUTER_BOUND('',#46762,.T.); #27772=FACE_OUTER_BOUND('',#46763,.T.); #27773=FACE_OUTER_BOUND('',#46764,.T.); #27774=FACE_OUTER_BOUND('',#46765,.T.); #27775=FACE_OUTER_BOUND('',#46766,.T.); #27776=FACE_OUTER_BOUND('',#46767,.T.); #27777=FACE_OUTER_BOUND('',#46768,.T.); #27778=FACE_OUTER_BOUND('',#46769,.T.); #27779=FACE_OUTER_BOUND('',#46770,.T.); #27780=FACE_OUTER_BOUND('',#46771,.T.); #27781=FACE_OUTER_BOUND('',#46772,.T.); #27782=FACE_OUTER_BOUND('',#46773,.T.); #27783=FACE_OUTER_BOUND('',#46774,.T.); #27784=FACE_OUTER_BOUND('',#46775,.T.); #27785=FACE_OUTER_BOUND('',#46776,.T.); #27786=FACE_OUTER_BOUND('',#46777,.T.); #27787=FACE_OUTER_BOUND('',#46778,.T.); #27788=FACE_OUTER_BOUND('',#46779,.T.); #27789=FACE_OUTER_BOUND('',#46780,.T.); #27790=FACE_OUTER_BOUND('',#46781,.T.); #27791=FACE_OUTER_BOUND('',#46782,.T.); #27792=FACE_OUTER_BOUND('',#46783,.T.); #27793=FACE_OUTER_BOUND('',#46785,.T.); #27794=FACE_OUTER_BOUND('',#46787,.T.); #27795=FACE_OUTER_BOUND('',#46788,.T.); #27796=FACE_OUTER_BOUND('',#46789,.T.); #27797=FACE_OUTER_BOUND('',#46790,.T.); #27798=FACE_OUTER_BOUND('',#46791,.T.); #27799=FACE_OUTER_BOUND('',#46792,.T.); #27800=FACE_OUTER_BOUND('',#46793,.T.); #27801=FACE_OUTER_BOUND('',#46794,.T.); #27802=FACE_OUTER_BOUND('',#46795,.T.); #27803=FACE_OUTER_BOUND('',#46796,.T.); #27804=FACE_OUTER_BOUND('',#46797,.T.); #27805=FACE_OUTER_BOUND('',#46798,.T.); #27806=FACE_OUTER_BOUND('',#46799,.T.); #27807=FACE_OUTER_BOUND('',#46800,.T.); #27808=FACE_OUTER_BOUND('',#46801,.T.); #27809=FACE_OUTER_BOUND('',#46802,.T.); #27810=FACE_OUTER_BOUND('',#46803,.T.); #27811=FACE_OUTER_BOUND('',#46804,.T.); #27812=FACE_OUTER_BOUND('',#46805,.T.); #27813=FACE_OUTER_BOUND('',#46806,.T.); #27814=FACE_OUTER_BOUND('',#46807,.T.); #27815=FACE_OUTER_BOUND('',#46808,.T.); #27816=FACE_OUTER_BOUND('',#46809,.T.); #27817=FACE_OUTER_BOUND('',#46810,.T.); #27818=FACE_OUTER_BOUND('',#46811,.T.); #27819=FACE_OUTER_BOUND('',#46812,.T.); #27820=FACE_OUTER_BOUND('',#46813,.T.); #27821=FACE_OUTER_BOUND('',#46814,.T.); #27822=FACE_OUTER_BOUND('',#46815,.T.); #27823=FACE_OUTER_BOUND('',#46816,.T.); #27824=FACE_OUTER_BOUND('',#46817,.T.); #27825=FACE_OUTER_BOUND('',#46818,.T.); #27826=FACE_OUTER_BOUND('',#46819,.T.); #27827=FACE_OUTER_BOUND('',#46820,.T.); #27828=FACE_OUTER_BOUND('',#46821,.T.); #27829=FACE_OUTER_BOUND('',#46822,.T.); #27830=FACE_OUTER_BOUND('',#46823,.T.); #27831=FACE_OUTER_BOUND('',#46824,.T.); #27832=FACE_OUTER_BOUND('',#46825,.T.); #27833=FACE_OUTER_BOUND('',#46826,.T.); #27834=FACE_OUTER_BOUND('',#46827,.T.); #27835=FACE_OUTER_BOUND('',#46828,.T.); #27836=FACE_OUTER_BOUND('',#46829,.T.); #27837=FACE_OUTER_BOUND('',#46830,.T.); #27838=FACE_OUTER_BOUND('',#46831,.T.); #27839=FACE_OUTER_BOUND('',#46832,.T.); #27840=FACE_OUTER_BOUND('',#46833,.T.); #27841=FACE_OUTER_BOUND('',#46834,.T.); #27842=FACE_OUTER_BOUND('',#46835,.T.); #27843=FACE_OUTER_BOUND('',#46836,.T.); #27844=FACE_OUTER_BOUND('',#46837,.T.); #27845=FACE_OUTER_BOUND('',#46838,.T.); #27846=FACE_OUTER_BOUND('',#46839,.T.); #27847=FACE_OUTER_BOUND('',#46840,.T.); #27848=FACE_OUTER_BOUND('',#46841,.T.); #27849=FACE_OUTER_BOUND('',#46842,.T.); #27850=FACE_OUTER_BOUND('',#46843,.T.); #27851=FACE_OUTER_BOUND('',#46844,.T.); #27852=FACE_OUTER_BOUND('',#46845,.T.); #27853=FACE_OUTER_BOUND('',#46846,.T.); #27854=FACE_OUTER_BOUND('',#46847,.T.); #27855=FACE_OUTER_BOUND('',#46849,.T.); #27856=FACE_OUTER_BOUND('',#46851,.T.); #27857=FACE_OUTER_BOUND('',#46852,.T.); #27858=FACE_OUTER_BOUND('',#46853,.T.); #27859=FACE_OUTER_BOUND('',#46854,.T.); #27860=FACE_OUTER_BOUND('',#46855,.T.); #27861=FACE_OUTER_BOUND('',#46856,.T.); #27862=FACE_OUTER_BOUND('',#46858,.T.); #27863=FACE_OUTER_BOUND('',#46860,.T.); #27864=FACE_OUTER_BOUND('',#46861,.T.); #27865=FACE_OUTER_BOUND('',#46862,.T.); #27866=FACE_OUTER_BOUND('',#46863,.T.); #27867=FACE_OUTER_BOUND('',#46864,.T.); #27868=FACE_OUTER_BOUND('',#46865,.T.); #27869=FACE_OUTER_BOUND('',#46866,.T.); #27870=FACE_OUTER_BOUND('',#46867,.T.); #27871=FACE_OUTER_BOUND('',#46868,.T.); #27872=FACE_OUTER_BOUND('',#46869,.T.); #27873=FACE_OUTER_BOUND('',#46870,.T.); #27874=FACE_OUTER_BOUND('',#46871,.T.); #27875=FACE_OUTER_BOUND('',#46872,.T.); #27876=FACE_OUTER_BOUND('',#46873,.T.); #27877=FACE_OUTER_BOUND('',#46874,.T.); #27878=FACE_OUTER_BOUND('',#46875,.T.); #27879=FACE_OUTER_BOUND('',#46876,.T.); #27880=FACE_OUTER_BOUND('',#46877,.T.); #27881=FACE_OUTER_BOUND('',#46878,.T.); #27882=FACE_OUTER_BOUND('',#46879,.T.); #27883=FACE_OUTER_BOUND('',#46880,.T.); #27884=FACE_OUTER_BOUND('',#46881,.T.); #27885=FACE_OUTER_BOUND('',#46882,.T.); #27886=FACE_OUTER_BOUND('',#46883,.T.); #27887=FACE_OUTER_BOUND('',#46884,.T.); #27888=FACE_OUTER_BOUND('',#46885,.T.); #27889=FACE_OUTER_BOUND('',#46886,.T.); #27890=FACE_OUTER_BOUND('',#46887,.T.); #27891=FACE_OUTER_BOUND('',#46888,.T.); #27892=FACE_OUTER_BOUND('',#46889,.T.); #27893=FACE_OUTER_BOUND('',#46890,.T.); #27894=FACE_OUTER_BOUND('',#46891,.T.); #27895=FACE_OUTER_BOUND('',#46892,.T.); #27896=FACE_OUTER_BOUND('',#46893,.T.); #27897=FACE_OUTER_BOUND('',#46894,.T.); #27898=FACE_OUTER_BOUND('',#46895,.T.); #27899=FACE_OUTER_BOUND('',#46896,.T.); #27900=FACE_OUTER_BOUND('',#46897,.T.); #27901=FACE_OUTER_BOUND('',#46898,.T.); #27902=FACE_OUTER_BOUND('',#46899,.T.); #27903=FACE_OUTER_BOUND('',#46900,.T.); #27904=FACE_OUTER_BOUND('',#46901,.T.); #27905=FACE_OUTER_BOUND('',#46902,.T.); #27906=FACE_OUTER_BOUND('',#46903,.T.); #27907=FACE_OUTER_BOUND('',#46904,.T.); #27908=FACE_OUTER_BOUND('',#46905,.T.); #27909=FACE_OUTER_BOUND('',#46906,.T.); #27910=FACE_OUTER_BOUND('',#46907,.T.); #27911=FACE_OUTER_BOUND('',#46908,.T.); #27912=FACE_OUTER_BOUND('',#46909,.T.); #27913=FACE_OUTER_BOUND('',#46910,.T.); #27914=FACE_OUTER_BOUND('',#46911,.T.); #27915=FACE_OUTER_BOUND('',#46912,.T.); #27916=FACE_OUTER_BOUND('',#46913,.T.); #27917=FACE_OUTER_BOUND('',#46914,.T.); #27918=FACE_OUTER_BOUND('',#46915,.T.); #27919=FACE_OUTER_BOUND('',#46916,.T.); #27920=FACE_OUTER_BOUND('',#46917,.T.); #27921=FACE_OUTER_BOUND('',#46918,.T.); #27922=FACE_OUTER_BOUND('',#46919,.T.); #27923=FACE_OUTER_BOUND('',#46920,.T.); #27924=FACE_OUTER_BOUND('',#46922,.T.); #27925=FACE_OUTER_BOUND('',#46924,.T.); #27926=FACE_OUTER_BOUND('',#46925,.T.); #27927=FACE_OUTER_BOUND('',#46926,.T.); #27928=FACE_OUTER_BOUND('',#46927,.T.); #27929=FACE_OUTER_BOUND('',#46928,.T.); #27930=FACE_OUTER_BOUND('',#46929,.T.); #27931=FACE_OUTER_BOUND('',#46930,.T.); #27932=FACE_OUTER_BOUND('',#46931,.T.); #27933=FACE_OUTER_BOUND('',#46932,.T.); #27934=FACE_OUTER_BOUND('',#46933,.T.); #27935=FACE_OUTER_BOUND('',#46934,.T.); #27936=FACE_OUTER_BOUND('',#46935,.T.); #27937=FACE_OUTER_BOUND('',#46936,.T.); #27938=FACE_OUTER_BOUND('',#46937,.T.); #27939=FACE_OUTER_BOUND('',#46938,.T.); #27940=FACE_OUTER_BOUND('',#46939,.T.); #27941=FACE_OUTER_BOUND('',#46940,.T.); #27942=FACE_OUTER_BOUND('',#46941,.T.); #27943=FACE_OUTER_BOUND('',#46942,.T.); #27944=FACE_OUTER_BOUND('',#46943,.T.); #27945=FACE_OUTER_BOUND('',#46944,.T.); #27946=FACE_OUTER_BOUND('',#46945,.T.); #27947=FACE_OUTER_BOUND('',#46946,.T.); #27948=FACE_OUTER_BOUND('',#46947,.T.); #27949=FACE_OUTER_BOUND('',#46948,.T.); #27950=FACE_OUTER_BOUND('',#46949,.T.); #27951=FACE_OUTER_BOUND('',#46950,.T.); #27952=FACE_OUTER_BOUND('',#46951,.T.); #27953=FACE_OUTER_BOUND('',#46952,.T.); #27954=FACE_OUTER_BOUND('',#46953,.T.); #27955=FACE_OUTER_BOUND('',#46954,.T.); #27956=FACE_OUTER_BOUND('',#46955,.T.); #27957=FACE_OUTER_BOUND('',#46956,.T.); #27958=FACE_OUTER_BOUND('',#46957,.T.); #27959=FACE_OUTER_BOUND('',#46958,.T.); #27960=FACE_OUTER_BOUND('',#46959,.T.); #27961=FACE_OUTER_BOUND('',#46960,.T.); #27962=FACE_OUTER_BOUND('',#46961,.T.); #27963=FACE_OUTER_BOUND('',#46962,.T.); #27964=FACE_OUTER_BOUND('',#46963,.T.); #27965=FACE_OUTER_BOUND('',#46964,.T.); #27966=FACE_OUTER_BOUND('',#46965,.T.); #27967=FACE_OUTER_BOUND('',#46966,.T.); #27968=FACE_OUTER_BOUND('',#46967,.T.); #27969=FACE_OUTER_BOUND('',#46968,.T.); #27970=FACE_OUTER_BOUND('',#46969,.T.); #27971=FACE_OUTER_BOUND('',#46970,.T.); #27972=FACE_OUTER_BOUND('',#46971,.T.); #27973=FACE_OUTER_BOUND('',#46972,.T.); #27974=FACE_OUTER_BOUND('',#46973,.T.); #27975=FACE_OUTER_BOUND('',#46974,.T.); #27976=FACE_OUTER_BOUND('',#46975,.T.); #27977=FACE_OUTER_BOUND('',#46976,.T.); #27978=FACE_OUTER_BOUND('',#46977,.T.); #27979=FACE_OUTER_BOUND('',#46978,.T.); #27980=FACE_OUTER_BOUND('',#46979,.T.); #27981=FACE_OUTER_BOUND('',#46980,.T.); #27982=FACE_OUTER_BOUND('',#46981,.T.); #27983=FACE_OUTER_BOUND('',#46982,.T.); #27984=FACE_OUTER_BOUND('',#46983,.T.); #27985=FACE_OUTER_BOUND('',#46984,.T.); #27986=FACE_OUTER_BOUND('',#46985,.T.); #27987=FACE_OUTER_BOUND('',#46986,.T.); #27988=FACE_OUTER_BOUND('',#46987,.T.); #27989=FACE_OUTER_BOUND('',#46988,.T.); #27990=FACE_OUTER_BOUND('',#46989,.T.); #27991=FACE_OUTER_BOUND('',#46990,.T.); #27992=FACE_OUTER_BOUND('',#46991,.T.); #27993=FACE_OUTER_BOUND('',#46992,.T.); #27994=FACE_OUTER_BOUND('',#46993,.T.); #27995=FACE_OUTER_BOUND('',#46994,.T.); #27996=FACE_OUTER_BOUND('',#46995,.T.); #27997=FACE_OUTER_BOUND('',#46996,.T.); #27998=FACE_OUTER_BOUND('',#46997,.T.); #27999=FACE_OUTER_BOUND('',#46998,.T.); #28000=FACE_OUTER_BOUND('',#46999,.T.); #28001=FACE_OUTER_BOUND('',#47000,.T.); #28002=FACE_OUTER_BOUND('',#47002,.T.); #28003=FACE_OUTER_BOUND('',#47004,.T.); #28004=FACE_OUTER_BOUND('',#47005,.T.); #28005=FACE_OUTER_BOUND('',#47006,.T.); #28006=FACE_OUTER_BOUND('',#47007,.T.); #28007=FACE_OUTER_BOUND('',#47008,.T.); #28008=FACE_OUTER_BOUND('',#47010,.T.); #28009=FACE_OUTER_BOUND('',#47012,.T.); #28010=FACE_OUTER_BOUND('',#47013,.T.); #28011=FACE_OUTER_BOUND('',#47014,.T.); #28012=FACE_OUTER_BOUND('',#47015,.T.); #28013=FACE_OUTER_BOUND('',#47016,.T.); #28014=FACE_OUTER_BOUND('',#47018,.T.); #28015=FACE_OUTER_BOUND('',#47020,.T.); #28016=FACE_OUTER_BOUND('',#47021,.T.); #28017=FACE_OUTER_BOUND('',#47022,.T.); #28018=FACE_OUTER_BOUND('',#47023,.T.); #28019=FACE_OUTER_BOUND('',#47024,.T.); #28020=FACE_OUTER_BOUND('',#47025,.T.); #28021=FACE_OUTER_BOUND('',#47026,.T.); #28022=FACE_OUTER_BOUND('',#47027,.T.); #28023=FACE_OUTER_BOUND('',#47028,.T.); #28024=FACE_OUTER_BOUND('',#47029,.T.); #28025=FACE_OUTER_BOUND('',#47030,.T.); #28026=FACE_OUTER_BOUND('',#47031,.T.); #28027=FACE_OUTER_BOUND('',#47032,.T.); #28028=FACE_OUTER_BOUND('',#47033,.T.); #28029=FACE_OUTER_BOUND('',#47034,.T.); #28030=FACE_OUTER_BOUND('',#47035,.T.); #28031=FACE_OUTER_BOUND('',#47036,.T.); #28032=FACE_OUTER_BOUND('',#47037,.T.); #28033=FACE_OUTER_BOUND('',#47038,.T.); #28034=FACE_OUTER_BOUND('',#47039,.T.); #28035=FACE_OUTER_BOUND('',#47040,.T.); #28036=FACE_OUTER_BOUND('',#47041,.T.); #28037=FACE_OUTER_BOUND('',#47042,.T.); #28038=FACE_OUTER_BOUND('',#47043,.T.); #28039=FACE_OUTER_BOUND('',#47044,.T.); #28040=FACE_OUTER_BOUND('',#47045,.T.); #28041=FACE_OUTER_BOUND('',#47046,.T.); #28042=FACE_OUTER_BOUND('',#47047,.T.); #28043=FACE_OUTER_BOUND('',#47048,.T.); #28044=FACE_OUTER_BOUND('',#47049,.T.); #28045=FACE_OUTER_BOUND('',#47050,.T.); #28046=FACE_OUTER_BOUND('',#47051,.T.); #28047=FACE_OUTER_BOUND('',#47052,.T.); #28048=FACE_OUTER_BOUND('',#47053,.T.); #28049=FACE_OUTER_BOUND('',#47054,.T.); #28050=FACE_OUTER_BOUND('',#47055,.T.); #28051=FACE_OUTER_BOUND('',#47056,.T.); #28052=FACE_OUTER_BOUND('',#47057,.T.); #28053=FACE_OUTER_BOUND('',#47058,.T.); #28054=FACE_OUTER_BOUND('',#47059,.T.); #28055=FACE_OUTER_BOUND('',#47060,.T.); #28056=FACE_OUTER_BOUND('',#47061,.T.); #28057=FACE_OUTER_BOUND('',#47062,.T.); #28058=FACE_OUTER_BOUND('',#47063,.T.); #28059=FACE_OUTER_BOUND('',#47064,.T.); #28060=FACE_OUTER_BOUND('',#47065,.T.); #28061=FACE_OUTER_BOUND('',#47066,.T.); #28062=FACE_OUTER_BOUND('',#47067,.T.); #28063=FACE_OUTER_BOUND('',#47068,.T.); #28064=FACE_OUTER_BOUND('',#47069,.T.); #28065=FACE_OUTER_BOUND('',#47070,.T.); #28066=FACE_OUTER_BOUND('',#47071,.T.); #28067=FACE_OUTER_BOUND('',#47072,.T.); #28068=FACE_OUTER_BOUND('',#47073,.T.); #28069=FACE_OUTER_BOUND('',#47074,.T.); #28070=FACE_OUTER_BOUND('',#47075,.T.); #28071=FACE_OUTER_BOUND('',#47076,.T.); #28072=FACE_OUTER_BOUND('',#47077,.T.); #28073=FACE_OUTER_BOUND('',#47078,.T.); #28074=FACE_OUTER_BOUND('',#47079,.T.); #28075=FACE_OUTER_BOUND('',#47080,.T.); #28076=FACE_OUTER_BOUND('',#47082,.T.); #28077=FACE_OUTER_BOUND('',#47084,.T.); #28078=FACE_OUTER_BOUND('',#47085,.T.); #28079=FACE_OUTER_BOUND('',#47086,.T.); #28080=FACE_OUTER_BOUND('',#47087,.T.); #28081=FACE_OUTER_BOUND('',#47088,.T.); #28082=FACE_OUTER_BOUND('',#47090,.T.); #28083=FACE_OUTER_BOUND('',#47092,.T.); #28084=FACE_OUTER_BOUND('',#47093,.T.); #28085=FACE_OUTER_BOUND('',#47094,.T.); #28086=FACE_OUTER_BOUND('',#47095,.T.); #28087=FACE_OUTER_BOUND('',#47096,.T.); #28088=FACE_OUTER_BOUND('',#47098,.T.); #28089=FACE_OUTER_BOUND('',#47100,.T.); #28090=FACE_OUTER_BOUND('',#47101,.T.); #28091=FACE_OUTER_BOUND('',#47102,.T.); #28092=FACE_OUTER_BOUND('',#47103,.T.); #28093=FACE_OUTER_BOUND('',#47104,.T.); #28094=FACE_OUTER_BOUND('',#47106,.T.); #28095=FACE_OUTER_BOUND('',#47108,.T.); #28096=FACE_OUTER_BOUND('',#47109,.T.); #28097=FACE_OUTER_BOUND('',#47110,.T.); #28098=FACE_OUTER_BOUND('',#47111,.T.); #28099=FACE_OUTER_BOUND('',#47112,.T.); #28100=FACE_OUTER_BOUND('',#47113,.T.); #28101=FACE_OUTER_BOUND('',#47115,.T.); #28102=FACE_OUTER_BOUND('',#47117,.T.); #28103=FACE_OUTER_BOUND('',#47118,.T.); #28104=FACE_OUTER_BOUND('',#47119,.T.); #28105=FACE_OUTER_BOUND('',#47120,.T.); #28106=FACE_OUTER_BOUND('',#47121,.T.); #28107=FACE_OUTER_BOUND('',#47123,.T.); #28108=FACE_OUTER_BOUND('',#47125,.T.); #28109=FACE_OUTER_BOUND('',#47126,.T.); #28110=FACE_OUTER_BOUND('',#47127,.T.); #28111=FACE_OUTER_BOUND('',#47128,.T.); #28112=FACE_OUTER_BOUND('',#47129,.T.); #28113=FACE_OUTER_BOUND('',#47130,.T.); #28114=FACE_OUTER_BOUND('',#47131,.T.); #28115=FACE_OUTER_BOUND('',#47132,.T.); #28116=FACE_OUTER_BOUND('',#47133,.T.); #28117=FACE_OUTER_BOUND('',#47134,.T.); #28118=FACE_OUTER_BOUND('',#47135,.T.); #28119=FACE_OUTER_BOUND('',#47136,.T.); #28120=FACE_OUTER_BOUND('',#47137,.T.); #28121=FACE_OUTER_BOUND('',#47138,.T.); #28122=FACE_OUTER_BOUND('',#47139,.T.); #28123=FACE_OUTER_BOUND('',#47140,.T.); #28124=FACE_OUTER_BOUND('',#47141,.T.); #28125=FACE_OUTER_BOUND('',#47142,.T.); #28126=FACE_OUTER_BOUND('',#47143,.T.); #28127=FACE_OUTER_BOUND('',#47144,.T.); #28128=FACE_OUTER_BOUND('',#47145,.T.); #28129=FACE_OUTER_BOUND('',#47146,.T.); #28130=FACE_OUTER_BOUND('',#47147,.T.); #28131=FACE_OUTER_BOUND('',#47148,.T.); #28132=FACE_OUTER_BOUND('',#47149,.T.); #28133=FACE_OUTER_BOUND('',#47150,.T.); #28134=FACE_OUTER_BOUND('',#47151,.T.); #28135=FACE_OUTER_BOUND('',#47152,.T.); #28136=FACE_OUTER_BOUND('',#47153,.T.); #28137=FACE_OUTER_BOUND('',#47154,.T.); #28138=FACE_OUTER_BOUND('',#47155,.T.); #28139=FACE_OUTER_BOUND('',#47156,.T.); #28140=FACE_OUTER_BOUND('',#47157,.T.); #28141=FACE_OUTER_BOUND('',#47158,.T.); #28142=FACE_OUTER_BOUND('',#47159,.T.); #28143=FACE_OUTER_BOUND('',#47160,.T.); #28144=FACE_OUTER_BOUND('',#47161,.T.); #28145=FACE_OUTER_BOUND('',#47162,.T.); #28146=FACE_OUTER_BOUND('',#47163,.T.); #28147=FACE_OUTER_BOUND('',#47164,.T.); #28148=FACE_OUTER_BOUND('',#47165,.T.); #28149=FACE_OUTER_BOUND('',#47166,.T.); #28150=FACE_OUTER_BOUND('',#47167,.T.); #28151=FACE_OUTER_BOUND('',#47168,.T.); #28152=FACE_OUTER_BOUND('',#47169,.T.); #28153=FACE_OUTER_BOUND('',#47170,.T.); #28154=FACE_OUTER_BOUND('',#47171,.T.); #28155=FACE_OUTER_BOUND('',#47172,.T.); #28156=FACE_OUTER_BOUND('',#47173,.T.); #28157=FACE_OUTER_BOUND('',#47174,.T.); #28158=FACE_OUTER_BOUND('',#47175,.T.); #28159=FACE_OUTER_BOUND('',#47176,.T.); #28160=FACE_OUTER_BOUND('',#47177,.T.); #28161=FACE_OUTER_BOUND('',#47178,.T.); #28162=FACE_OUTER_BOUND('',#47179,.T.); #28163=FACE_OUTER_BOUND('',#47180,.T.); #28164=FACE_OUTER_BOUND('',#47181,.T.); #28165=FACE_OUTER_BOUND('',#47182,.T.); #28166=FACE_OUTER_BOUND('',#47183,.T.); #28167=FACE_OUTER_BOUND('',#47184,.T.); #28168=FACE_OUTER_BOUND('',#47185,.T.); #28169=FACE_OUTER_BOUND('',#47186,.T.); #28170=FACE_OUTER_BOUND('',#47187,.T.); #28171=FACE_OUTER_BOUND('',#47188,.T.); #28172=FACE_OUTER_BOUND('',#47189,.T.); #28173=FACE_OUTER_BOUND('',#47190,.T.); #28174=FACE_OUTER_BOUND('',#47191,.T.); #28175=FACE_OUTER_BOUND('',#47192,.T.); #28176=FACE_OUTER_BOUND('',#47193,.T.); #28177=FACE_OUTER_BOUND('',#47194,.T.); #28178=FACE_OUTER_BOUND('',#47195,.T.); #28179=FACE_OUTER_BOUND('',#47196,.T.); #28180=FACE_OUTER_BOUND('',#47197,.T.); #28181=FACE_OUTER_BOUND('',#47198,.T.); #28182=FACE_OUTER_BOUND('',#47199,.T.); #28183=FACE_OUTER_BOUND('',#47200,.T.); #28184=FACE_OUTER_BOUND('',#47201,.T.); #28185=FACE_OUTER_BOUND('',#47202,.T.); #28186=FACE_OUTER_BOUND('',#47203,.T.); #28187=FACE_OUTER_BOUND('',#47204,.T.); #28188=FACE_OUTER_BOUND('',#47205,.T.); #28189=FACE_OUTER_BOUND('',#47206,.T.); #28190=FACE_OUTER_BOUND('',#47207,.T.); #28191=FACE_OUTER_BOUND('',#47208,.T.); #28192=FACE_OUTER_BOUND('',#47209,.T.); #28193=FACE_OUTER_BOUND('',#47210,.T.); #28194=FACE_OUTER_BOUND('',#47211,.T.); #28195=FACE_OUTER_BOUND('',#47212,.T.); #28196=FACE_OUTER_BOUND('',#47213,.T.); #28197=FACE_OUTER_BOUND('',#47220,.T.); #28198=FACE_OUTER_BOUND('',#47227,.T.); #28199=FACE_OUTER_BOUND('',#47228,.T.); #28200=FACE_OUTER_BOUND('',#47229,.T.); #28201=FACE_OUTER_BOUND('',#47230,.T.); #28202=FACE_OUTER_BOUND('',#47231,.T.); #28203=FACE_OUTER_BOUND('',#47232,.T.); #28204=FACE_OUTER_BOUND('',#47233,.T.); #28205=FACE_OUTER_BOUND('',#47234,.T.); #28206=FACE_OUTER_BOUND('',#47235,.T.); #28207=FACE_OUTER_BOUND('',#47236,.T.); #28208=FACE_OUTER_BOUND('',#47237,.T.); #28209=FACE_OUTER_BOUND('',#47238,.T.); #28210=FACE_OUTER_BOUND('',#47239,.T.); #28211=FACE_OUTER_BOUND('',#47240,.T.); #28212=FACE_OUTER_BOUND('',#47241,.T.); #28213=FACE_OUTER_BOUND('',#47242,.T.); #28214=FACE_OUTER_BOUND('',#47243,.T.); #28215=FACE_OUTER_BOUND('',#47244,.T.); #28216=FACE_OUTER_BOUND('',#47245,.T.); #28217=FACE_OUTER_BOUND('',#47246,.T.); #28218=FACE_OUTER_BOUND('',#47247,.T.); #28219=FACE_OUTER_BOUND('',#47248,.T.); #28220=FACE_OUTER_BOUND('',#47249,.T.); #28221=FACE_OUTER_BOUND('',#47250,.T.); #28222=FACE_OUTER_BOUND('',#47251,.T.); #28223=FACE_OUTER_BOUND('',#47252,.T.); #28224=FACE_OUTER_BOUND('',#47253,.T.); #28225=FACE_OUTER_BOUND('',#47254,.T.); #28226=FACE_OUTER_BOUND('',#47255,.T.); #28227=FACE_OUTER_BOUND('',#47256,.T.); #28228=FACE_OUTER_BOUND('',#47257,.T.); #28229=FACE_OUTER_BOUND('',#47258,.T.); #28230=FACE_OUTER_BOUND('',#47259,.T.); #28231=FACE_OUTER_BOUND('',#47260,.T.); #28232=FACE_OUTER_BOUND('',#47261,.T.); #28233=FACE_OUTER_BOUND('',#47262,.T.); #28234=FACE_OUTER_BOUND('',#47263,.T.); #28235=FACE_OUTER_BOUND('',#47264,.T.); #28236=FACE_OUTER_BOUND('',#47265,.T.); #28237=FACE_OUTER_BOUND('',#47266,.T.); #28238=FACE_OUTER_BOUND('',#47267,.T.); #28239=FACE_OUTER_BOUND('',#47268,.T.); #28240=FACE_OUTER_BOUND('',#47269,.T.); #28241=FACE_OUTER_BOUND('',#47270,.T.); #28242=FACE_OUTER_BOUND('',#47271,.T.); #28243=FACE_OUTER_BOUND('',#47272,.T.); #28244=FACE_OUTER_BOUND('',#47273,.T.); #28245=FACE_OUTER_BOUND('',#47274,.T.); #28246=FACE_OUTER_BOUND('',#47275,.T.); #28247=FACE_OUTER_BOUND('',#47276,.T.); #28248=FACE_OUTER_BOUND('',#47277,.T.); #28249=FACE_OUTER_BOUND('',#47278,.T.); #28250=FACE_OUTER_BOUND('',#47279,.T.); #28251=FACE_OUTER_BOUND('',#47280,.T.); #28252=FACE_OUTER_BOUND('',#47281,.T.); #28253=FACE_OUTER_BOUND('',#47282,.T.); #28254=FACE_OUTER_BOUND('',#47283,.T.); #28255=FACE_OUTER_BOUND('',#47284,.T.); #28256=FACE_OUTER_BOUND('',#47285,.T.); #28257=FACE_OUTER_BOUND('',#47286,.T.); #28258=FACE_OUTER_BOUND('',#47287,.T.); #28259=FACE_OUTER_BOUND('',#47288,.T.); #28260=FACE_OUTER_BOUND('',#47289,.T.); #28261=FACE_OUTER_BOUND('',#47290,.T.); #28262=FACE_OUTER_BOUND('',#47291,.T.); #28263=FACE_OUTER_BOUND('',#47292,.T.); #28264=FACE_OUTER_BOUND('',#47293,.T.); #28265=FACE_OUTER_BOUND('',#47294,.T.); #28266=FACE_OUTER_BOUND('',#47295,.T.); #28267=FACE_OUTER_BOUND('',#47296,.T.); #28268=FACE_OUTER_BOUND('',#47297,.T.); #28269=FACE_OUTER_BOUND('',#47298,.T.); #28270=FACE_OUTER_BOUND('',#47299,.T.); #28271=FACE_OUTER_BOUND('',#47300,.T.); #28272=FACE_OUTER_BOUND('',#47301,.T.); #28273=FACE_OUTER_BOUND('',#47302,.T.); #28274=FACE_OUTER_BOUND('',#47303,.T.); #28275=FACE_OUTER_BOUND('',#47304,.T.); #28276=FACE_OUTER_BOUND('',#47305,.T.); #28277=FACE_OUTER_BOUND('',#47306,.T.); #28278=FACE_OUTER_BOUND('',#47307,.T.); #28279=FACE_OUTER_BOUND('',#47308,.T.); #28280=FACE_OUTER_BOUND('',#47309,.T.); #28281=FACE_OUTER_BOUND('',#47310,.T.); #28282=FACE_OUTER_BOUND('',#47311,.T.); #28283=FACE_OUTER_BOUND('',#47312,.T.); #28284=FACE_OUTER_BOUND('',#47313,.T.); #28285=FACE_OUTER_BOUND('',#47314,.T.); #28286=FACE_OUTER_BOUND('',#47315,.T.); #28287=FACE_OUTER_BOUND('',#47316,.T.); #28288=FACE_OUTER_BOUND('',#47317,.T.); #28289=FACE_OUTER_BOUND('',#47318,.T.); #28290=FACE_OUTER_BOUND('',#47319,.T.); #28291=FACE_OUTER_BOUND('',#47320,.T.); #28292=FACE_OUTER_BOUND('',#47321,.T.); #28293=FACE_OUTER_BOUND('',#47322,.T.); #28294=FACE_OUTER_BOUND('',#47323,.T.); #28295=FACE_OUTER_BOUND('',#47324,.T.); #28296=FACE_OUTER_BOUND('',#47325,.T.); #28297=FACE_OUTER_BOUND('',#47326,.T.); #28298=FACE_OUTER_BOUND('',#47327,.T.); #28299=FACE_OUTER_BOUND('',#47328,.T.); #28300=FACE_OUTER_BOUND('',#47329,.T.); #28301=FACE_OUTER_BOUND('',#47330,.T.); #28302=FACE_OUTER_BOUND('',#47331,.T.); #28303=FACE_OUTER_BOUND('',#47332,.T.); #28304=FACE_OUTER_BOUND('',#47333,.T.); #28305=FACE_OUTER_BOUND('',#47334,.T.); #28306=FACE_OUTER_BOUND('',#47335,.T.); #28307=FACE_OUTER_BOUND('',#47336,.T.); #28308=FACE_OUTER_BOUND('',#47337,.T.); #28309=FACE_OUTER_BOUND('',#47338,.T.); #28310=FACE_OUTER_BOUND('',#47339,.T.); #28311=FACE_OUTER_BOUND('',#47340,.T.); #28312=FACE_OUTER_BOUND('',#47341,.T.); #28313=FACE_OUTER_BOUND('',#47342,.T.); #28314=FACE_OUTER_BOUND('',#47343,.T.); #28315=FACE_OUTER_BOUND('',#47344,.T.); #28316=FACE_OUTER_BOUND('',#47345,.T.); #28317=FACE_OUTER_BOUND('',#47346,.T.); #28318=FACE_OUTER_BOUND('',#47347,.T.); #28319=FACE_OUTER_BOUND('',#47348,.T.); #28320=FACE_OUTER_BOUND('',#47349,.T.); #28321=FACE_OUTER_BOUND('',#47350,.T.); #28322=FACE_OUTER_BOUND('',#47351,.T.); #28323=FACE_OUTER_BOUND('',#47352,.T.); #28324=FACE_OUTER_BOUND('',#47353,.T.); #28325=FACE_OUTER_BOUND('',#47354,.T.); #28326=FACE_OUTER_BOUND('',#47355,.T.); #28327=FACE_OUTER_BOUND('',#47356,.T.); #28328=FACE_OUTER_BOUND('',#47357,.T.); #28329=FACE_OUTER_BOUND('',#47358,.T.); #28330=FACE_OUTER_BOUND('',#47359,.T.); #28331=FACE_OUTER_BOUND('',#47360,.T.); #28332=FACE_OUTER_BOUND('',#47361,.T.); #28333=FACE_OUTER_BOUND('',#47362,.T.); #28334=FACE_OUTER_BOUND('',#47363,.T.); #28335=FACE_OUTER_BOUND('',#47364,.T.); #28336=FACE_OUTER_BOUND('',#47365,.T.); #28337=FACE_OUTER_BOUND('',#47366,.T.); #28338=FACE_OUTER_BOUND('',#47367,.T.); #28339=FACE_OUTER_BOUND('',#47368,.T.); #28340=FACE_OUTER_BOUND('',#47369,.T.); #28341=FACE_OUTER_BOUND('',#47370,.T.); #28342=FACE_OUTER_BOUND('',#47371,.T.); #28343=FACE_OUTER_BOUND('',#47372,.T.); #28344=FACE_OUTER_BOUND('',#47373,.T.); #28345=FACE_OUTER_BOUND('',#47374,.T.); #28346=FACE_OUTER_BOUND('',#47375,.T.); #28347=FACE_OUTER_BOUND('',#47376,.T.); #28348=FACE_OUTER_BOUND('',#47377,.T.); #28349=FACE_OUTER_BOUND('',#47378,.T.); #28350=FACE_OUTER_BOUND('',#47379,.T.); #28351=FACE_OUTER_BOUND('',#47380,.T.); #28352=FACE_OUTER_BOUND('',#47381,.T.); #28353=FACE_OUTER_BOUND('',#47382,.T.); #28354=FACE_OUTER_BOUND('',#47383,.T.); #28355=FACE_OUTER_BOUND('',#47384,.T.); #28356=FACE_OUTER_BOUND('',#47385,.T.); #28357=FACE_OUTER_BOUND('',#47386,.T.); #28358=FACE_OUTER_BOUND('',#47387,.T.); #28359=FACE_OUTER_BOUND('',#47388,.T.); #28360=FACE_OUTER_BOUND('',#47389,.T.); #28361=FACE_OUTER_BOUND('',#47390,.T.); #28362=FACE_OUTER_BOUND('',#47391,.T.); #28363=FACE_OUTER_BOUND('',#47392,.T.); #28364=FACE_OUTER_BOUND('',#47393,.T.); #28365=FACE_OUTER_BOUND('',#47400,.T.); #28366=FACE_OUTER_BOUND('',#47407,.T.); #28367=FACE_OUTER_BOUND('',#47408,.T.); #28368=FACE_OUTER_BOUND('',#47409,.T.); #28369=FACE_OUTER_BOUND('',#47410,.T.); #28370=FACE_OUTER_BOUND('',#47411,.T.); #28371=FACE_OUTER_BOUND('',#47412,.T.); #28372=FACE_OUTER_BOUND('',#47413,.T.); #28373=FACE_OUTER_BOUND('',#47414,.T.); #28374=FACE_OUTER_BOUND('',#47415,.T.); #28375=FACE_OUTER_BOUND('',#47416,.T.); #28376=FACE_OUTER_BOUND('',#47417,.T.); #28377=FACE_OUTER_BOUND('',#47418,.T.); #28378=FACE_OUTER_BOUND('',#47419,.T.); #28379=FACE_OUTER_BOUND('',#47420,.T.); #28380=FACE_OUTER_BOUND('',#47421,.T.); #28381=FACE_OUTER_BOUND('',#47422,.T.); #28382=FACE_OUTER_BOUND('',#47423,.T.); #28383=FACE_OUTER_BOUND('',#47424,.T.); #28384=FACE_OUTER_BOUND('',#47425,.T.); #28385=FACE_OUTER_BOUND('',#47426,.T.); #28386=FACE_OUTER_BOUND('',#47427,.T.); #28387=FACE_OUTER_BOUND('',#47428,.T.); #28388=FACE_OUTER_BOUND('',#47429,.T.); #28389=FACE_OUTER_BOUND('',#47430,.T.); #28390=FACE_OUTER_BOUND('',#47431,.T.); #28391=FACE_OUTER_BOUND('',#47432,.T.); #28392=FACE_OUTER_BOUND('',#47433,.T.); #28393=FACE_OUTER_BOUND('',#47434,.T.); #28394=FACE_OUTER_BOUND('',#47435,.T.); #28395=FACE_OUTER_BOUND('',#47436,.T.); #28396=FACE_OUTER_BOUND('',#47437,.T.); #28397=FACE_OUTER_BOUND('',#47438,.T.); #28398=FACE_OUTER_BOUND('',#47439,.T.); #28399=FACE_OUTER_BOUND('',#47440,.T.); #28400=FACE_OUTER_BOUND('',#47441,.T.); #28401=FACE_OUTER_BOUND('',#47442,.T.); #28402=FACE_OUTER_BOUND('',#47443,.T.); #28403=FACE_OUTER_BOUND('',#47444,.T.); #28404=FACE_OUTER_BOUND('',#47445,.T.); #28405=FACE_OUTER_BOUND('',#47446,.T.); #28406=FACE_OUTER_BOUND('',#47447,.T.); #28407=FACE_OUTER_BOUND('',#47448,.T.); #28408=FACE_OUTER_BOUND('',#47449,.T.); #28409=FACE_OUTER_BOUND('',#47450,.T.); #28410=FACE_OUTER_BOUND('',#47451,.T.); #28411=FACE_OUTER_BOUND('',#47452,.T.); #28412=FACE_OUTER_BOUND('',#47453,.T.); #28413=FACE_OUTER_BOUND('',#47460,.T.); #28414=FACE_OUTER_BOUND('',#47467,.T.); #28415=FACE_OUTER_BOUND('',#47468,.T.); #28416=FACE_OUTER_BOUND('',#47469,.T.); #28417=FACE_OUTER_BOUND('',#47470,.T.); #28418=FACE_OUTER_BOUND('',#47471,.T.); #28419=FACE_OUTER_BOUND('',#47472,.T.); #28420=FACE_OUTER_BOUND('',#47473,.T.); #28421=FACE_OUTER_BOUND('',#47474,.T.); #28422=FACE_OUTER_BOUND('',#47475,.T.); #28423=FACE_OUTER_BOUND('',#47476,.T.); #28424=FACE_OUTER_BOUND('',#47477,.T.); #28425=FACE_OUTER_BOUND('',#47478,.T.); #28426=FACE_OUTER_BOUND('',#47479,.T.); #28427=FACE_OUTER_BOUND('',#47480,.T.); #28428=FACE_OUTER_BOUND('',#47481,.T.); #28429=FACE_OUTER_BOUND('',#47482,.T.); #28430=FACE_OUTER_BOUND('',#47483,.T.); #28431=FACE_OUTER_BOUND('',#47484,.T.); #28432=FACE_OUTER_BOUND('',#47485,.T.); #28433=FACE_OUTER_BOUND('',#47486,.T.); #28434=FACE_OUTER_BOUND('',#47487,.T.); #28435=FACE_OUTER_BOUND('',#47488,.T.); #28436=FACE_OUTER_BOUND('',#47489,.T.); #28437=FACE_OUTER_BOUND('',#47490,.T.); #28438=FACE_OUTER_BOUND('',#47491,.T.); #28439=FACE_OUTER_BOUND('',#47492,.T.); #28440=FACE_OUTER_BOUND('',#47493,.T.); #28441=FACE_OUTER_BOUND('',#47494,.T.); #28442=FACE_OUTER_BOUND('',#47495,.T.); #28443=FACE_OUTER_BOUND('',#47496,.T.); #28444=FACE_OUTER_BOUND('',#47497,.T.); #28445=FACE_OUTER_BOUND('',#47498,.T.); #28446=FACE_OUTER_BOUND('',#47499,.T.); #28447=FACE_OUTER_BOUND('',#47500,.T.); #28448=FACE_OUTER_BOUND('',#47501,.T.); #28449=FACE_OUTER_BOUND('',#47502,.T.); #28450=FACE_OUTER_BOUND('',#47503,.T.); #28451=FACE_OUTER_BOUND('',#47504,.T.); #28452=FACE_OUTER_BOUND('',#47505,.T.); #28453=FACE_OUTER_BOUND('',#47506,.T.); #28454=FACE_OUTER_BOUND('',#47507,.T.); #28455=FACE_OUTER_BOUND('',#47508,.T.); #28456=FACE_OUTER_BOUND('',#47509,.T.); #28457=FACE_OUTER_BOUND('',#47510,.T.); #28458=FACE_OUTER_BOUND('',#47511,.T.); #28459=FACE_OUTER_BOUND('',#47512,.T.); #28460=FACE_OUTER_BOUND('',#47513,.T.); #28461=FACE_OUTER_BOUND('',#47514,.T.); #28462=FACE_OUTER_BOUND('',#47515,.T.); #28463=FACE_OUTER_BOUND('',#47516,.T.); #28464=FACE_OUTER_BOUND('',#47517,.T.); #28465=FACE_OUTER_BOUND('',#47518,.T.); #28466=FACE_OUTER_BOUND('',#47519,.T.); #28467=FACE_OUTER_BOUND('',#47520,.T.); #28468=FACE_OUTER_BOUND('',#47521,.T.); #28469=FACE_OUTER_BOUND('',#47522,.T.); #28470=FACE_OUTER_BOUND('',#47523,.T.); #28471=FACE_OUTER_BOUND('',#47524,.T.); #28472=FACE_OUTER_BOUND('',#47525,.T.); #28473=FACE_OUTER_BOUND('',#47526,.T.); #28474=FACE_OUTER_BOUND('',#47527,.T.); #28475=FACE_OUTER_BOUND('',#47528,.T.); #28476=FACE_OUTER_BOUND('',#47529,.T.); #28477=FACE_OUTER_BOUND('',#47530,.T.); #28478=FACE_OUTER_BOUND('',#47531,.T.); #28479=FACE_OUTER_BOUND('',#47532,.T.); #28480=FACE_OUTER_BOUND('',#47582,.T.); #28481=FACE_OUTER_BOUND('',#47632,.T.); #28482=FACE_OUTER_BOUND('',#47633,.T.); #28483=FACE_OUTER_BOUND('',#47634,.T.); #28484=FACE_OUTER_BOUND('',#47635,.T.); #28485=FACE_OUTER_BOUND('',#47636,.T.); #28486=FACE_OUTER_BOUND('',#47637,.T.); #28487=FACE_OUTER_BOUND('',#47638,.T.); #28488=FACE_OUTER_BOUND('',#47639,.T.); #28489=FACE_OUTER_BOUND('',#47640,.T.); #28490=FACE_OUTER_BOUND('',#47641,.T.); #28491=FACE_OUTER_BOUND('',#47642,.T.); #28492=FACE_OUTER_BOUND('',#47643,.T.); #28493=FACE_OUTER_BOUND('',#47644,.T.); #28494=FACE_OUTER_BOUND('',#47645,.T.); #28495=FACE_OUTER_BOUND('',#47646,.T.); #28496=FACE_OUTER_BOUND('',#47647,.T.); #28497=FACE_OUTER_BOUND('',#47648,.T.); #28498=FACE_OUTER_BOUND('',#47649,.T.); #28499=FACE_OUTER_BOUND('',#47650,.T.); #28500=FACE_OUTER_BOUND('',#47651,.T.); #28501=FACE_OUTER_BOUND('',#47652,.T.); #28502=FACE_OUTER_BOUND('',#47653,.T.); #28503=FACE_OUTER_BOUND('',#47654,.T.); #28504=FACE_OUTER_BOUND('',#47655,.T.); #28505=FACE_OUTER_BOUND('',#47656,.T.); #28506=FACE_OUTER_BOUND('',#47657,.T.); #28507=FACE_OUTER_BOUND('',#47658,.T.); #28508=FACE_OUTER_BOUND('',#47659,.T.); #28509=FACE_OUTER_BOUND('',#47660,.T.); #28510=FACE_OUTER_BOUND('',#47661,.T.); #28511=FACE_OUTER_BOUND('',#47662,.T.); #28512=FACE_OUTER_BOUND('',#47663,.T.); #28513=FACE_OUTER_BOUND('',#47664,.T.); #28514=FACE_OUTER_BOUND('',#47665,.T.); #28515=FACE_OUTER_BOUND('',#47666,.T.); #28516=FACE_OUTER_BOUND('',#47667,.T.); #28517=FACE_OUTER_BOUND('',#47668,.T.); #28518=FACE_OUTER_BOUND('',#47669,.T.); #28519=FACE_OUTER_BOUND('',#47670,.T.); #28520=FACE_OUTER_BOUND('',#47671,.T.); #28521=FACE_OUTER_BOUND('',#47672,.T.); #28522=FACE_OUTER_BOUND('',#47673,.T.); #28523=FACE_OUTER_BOUND('',#47674,.T.); #28524=FACE_OUTER_BOUND('',#47675,.T.); #28525=FACE_OUTER_BOUND('',#47676,.T.); #28526=FACE_OUTER_BOUND('',#47677,.T.); #28527=FACE_OUTER_BOUND('',#47678,.T.); #28528=FACE_OUTER_BOUND('',#47679,.T.); #28529=FACE_OUTER_BOUND('',#47680,.T.); #28530=FACE_OUTER_BOUND('',#47681,.T.); #28531=FACE_OUTER_BOUND('',#47682,.T.); #28532=FACE_OUTER_BOUND('',#47683,.T.); #28533=FACE_OUTER_BOUND('',#47684,.T.); #28534=FACE_OUTER_BOUND('',#47685,.T.); #28535=FACE_OUTER_BOUND('',#47686,.T.); #28536=FACE_OUTER_BOUND('',#47687,.T.); #28537=FACE_OUTER_BOUND('',#47688,.T.); #28538=FACE_OUTER_BOUND('',#47689,.T.); #28539=FACE_OUTER_BOUND('',#47690,.T.); #28540=FACE_OUTER_BOUND('',#47691,.T.); #28541=FACE_OUTER_BOUND('',#47692,.T.); #28542=FACE_OUTER_BOUND('',#47693,.T.); #28543=FACE_OUTER_BOUND('',#47694,.T.); #28544=FACE_OUTER_BOUND('',#47695,.T.); #28545=FACE_OUTER_BOUND('',#47696,.T.); #28546=FACE_OUTER_BOUND('',#47697,.T.); #28547=FACE_OUTER_BOUND('',#47698,.T.); #28548=FACE_OUTER_BOUND('',#47699,.T.); #28549=FACE_OUTER_BOUND('',#47700,.T.); #28550=FACE_OUTER_BOUND('',#47701,.T.); #28551=FACE_OUTER_BOUND('',#47702,.T.); #28552=FACE_OUTER_BOUND('',#47703,.T.); #28553=FACE_OUTER_BOUND('',#47704,.T.); #28554=FACE_OUTER_BOUND('',#47705,.T.); #28555=FACE_OUTER_BOUND('',#47706,.T.); #28556=FACE_OUTER_BOUND('',#47707,.T.); #28557=FACE_OUTER_BOUND('',#47708,.T.); #28558=FACE_OUTER_BOUND('',#47709,.T.); #28559=FACE_OUTER_BOUND('',#47710,.T.); #28560=FACE_OUTER_BOUND('',#47711,.T.); #28561=FACE_OUTER_BOUND('',#47712,.T.); #28562=FACE_OUTER_BOUND('',#47713,.T.); #28563=FACE_OUTER_BOUND('',#47714,.T.); #28564=FACE_OUTER_BOUND('',#47715,.T.); #28565=FACE_OUTER_BOUND('',#47716,.T.); #28566=FACE_OUTER_BOUND('',#47717,.T.); #28567=FACE_OUTER_BOUND('',#47718,.T.); #28568=FACE_OUTER_BOUND('',#47719,.T.); #28569=FACE_OUTER_BOUND('',#47720,.T.); #28570=FACE_OUTER_BOUND('',#47721,.T.); #28571=FACE_OUTER_BOUND('',#47722,.T.); #28572=FACE_OUTER_BOUND('',#47723,.T.); #28573=FACE_OUTER_BOUND('',#47724,.T.); #28574=FACE_OUTER_BOUND('',#47725,.T.); #28575=FACE_OUTER_BOUND('',#47726,.T.); #28576=FACE_OUTER_BOUND('',#47727,.T.); #28577=FACE_OUTER_BOUND('',#47728,.T.); #28578=FACE_OUTER_BOUND('',#47729,.T.); #28579=FACE_OUTER_BOUND('',#47730,.T.); #28580=FACE_OUTER_BOUND('',#47731,.T.); #28581=FACE_OUTER_BOUND('',#47732,.T.); #28582=FACE_OUTER_BOUND('',#47733,.T.); #28583=FACE_OUTER_BOUND('',#47734,.T.); #28584=FACE_OUTER_BOUND('',#47735,.T.); #28585=FACE_OUTER_BOUND('',#47736,.T.); #28586=FACE_OUTER_BOUND('',#47737,.T.); #28587=FACE_OUTER_BOUND('',#47738,.T.); #28588=FACE_OUTER_BOUND('',#47739,.T.); #28589=FACE_OUTER_BOUND('',#47740,.T.); #28590=FACE_OUTER_BOUND('',#47741,.T.); #28591=FACE_OUTER_BOUND('',#47742,.T.); #28592=FACE_OUTER_BOUND('',#47743,.T.); #28593=FACE_OUTER_BOUND('',#47744,.T.); #28594=FACE_OUTER_BOUND('',#47745,.T.); #28595=FACE_OUTER_BOUND('',#47747,.T.); #28596=FACE_OUTER_BOUND('',#47749,.T.); #28597=FACE_OUTER_BOUND('',#47750,.T.); #28598=FACE_OUTER_BOUND('',#47751,.T.); #28599=FACE_OUTER_BOUND('',#47752,.T.); #28600=FACE_OUTER_BOUND('',#47753,.T.); #28601=FACE_OUTER_BOUND('',#47754,.T.); #28602=FACE_OUTER_BOUND('',#47755,.T.); #28603=FACE_OUTER_BOUND('',#47756,.T.); #28604=FACE_OUTER_BOUND('',#47757,.T.); #28605=FACE_OUTER_BOUND('',#47758,.T.); #28606=FACE_OUTER_BOUND('',#47759,.T.); #28607=FACE_OUTER_BOUND('',#47760,.T.); #28608=FACE_OUTER_BOUND('',#47761,.T.); #28609=FACE_OUTER_BOUND('',#47762,.T.); #28610=FACE_OUTER_BOUND('',#47763,.T.); #28611=FACE_OUTER_BOUND('',#47764,.T.); #28612=FACE_OUTER_BOUND('',#47765,.T.); #28613=FACE_OUTER_BOUND('',#47766,.T.); #28614=FACE_OUTER_BOUND('',#47768,.T.); #28615=FACE_OUTER_BOUND('',#47770,.T.); #28616=FACE_OUTER_BOUND('',#47771,.T.); #28617=FACE_OUTER_BOUND('',#47772,.T.); #28618=FACE_OUTER_BOUND('',#47773,.T.); #28619=FACE_OUTER_BOUND('',#47774,.T.); #28620=FACE_OUTER_BOUND('',#47775,.T.); #28621=FACE_OUTER_BOUND('',#47776,.T.); #28622=FACE_OUTER_BOUND('',#47777,.T.); #28623=FACE_OUTER_BOUND('',#47778,.T.); #28624=FACE_OUTER_BOUND('',#47779,.T.); #28625=FACE_OUTER_BOUND('',#47780,.T.); #28626=FACE_OUTER_BOUND('',#47781,.T.); #28627=FACE_OUTER_BOUND('',#47782,.T.); #28628=FACE_OUTER_BOUND('',#47783,.T.); #28629=FACE_OUTER_BOUND('',#47784,.T.); #28630=FACE_OUTER_BOUND('',#47785,.T.); #28631=FACE_OUTER_BOUND('',#47786,.T.); #28632=FACE_OUTER_BOUND('',#47787,.T.); #28633=FACE_OUTER_BOUND('',#47788,.T.); #28634=FACE_OUTER_BOUND('',#47789,.T.); #28635=FACE_OUTER_BOUND('',#47790,.T.); #28636=FACE_OUTER_BOUND('',#47791,.T.); #28637=FACE_OUTER_BOUND('',#47792,.T.); #28638=FACE_OUTER_BOUND('',#47793,.T.); #28639=FACE_OUTER_BOUND('',#47794,.T.); #28640=FACE_OUTER_BOUND('',#47795,.T.); #28641=FACE_OUTER_BOUND('',#47796,.T.); #28642=FACE_OUTER_BOUND('',#47797,.T.); #28643=FACE_OUTER_BOUND('',#47798,.T.); #28644=FACE_OUTER_BOUND('',#47799,.T.); #28645=FACE_OUTER_BOUND('',#47800,.T.); #28646=FACE_OUTER_BOUND('',#47801,.T.); #28647=FACE_OUTER_BOUND('',#47802,.T.); #28648=FACE_OUTER_BOUND('',#47803,.T.); #28649=FACE_OUTER_BOUND('',#47804,.T.); #28650=FACE_OUTER_BOUND('',#47805,.T.); #28651=FACE_OUTER_BOUND('',#47806,.T.); #28652=FACE_OUTER_BOUND('',#47807,.T.); #28653=FACE_OUTER_BOUND('',#47808,.T.); #28654=FACE_OUTER_BOUND('',#47809,.T.); #28655=FACE_OUTER_BOUND('',#47810,.T.); #28656=FACE_OUTER_BOUND('',#47811,.T.); #28657=FACE_OUTER_BOUND('',#47812,.T.); #28658=FACE_OUTER_BOUND('',#47813,.T.); #28659=FACE_OUTER_BOUND('',#47814,.T.); #28660=FACE_OUTER_BOUND('',#47815,.T.); #28661=FACE_OUTER_BOUND('',#47816,.T.); #28662=FACE_OUTER_BOUND('',#47817,.T.); #28663=FACE_OUTER_BOUND('',#47818,.T.); #28664=FACE_OUTER_BOUND('',#47819,.T.); #28665=FACE_OUTER_BOUND('',#47820,.T.); #28666=FACE_OUTER_BOUND('',#47821,.T.); #28667=FACE_OUTER_BOUND('',#47822,.T.); #28668=FACE_OUTER_BOUND('',#47823,.T.); #28669=FACE_OUTER_BOUND('',#47824,.T.); #28670=FACE_OUTER_BOUND('',#47825,.T.); #28671=FACE_OUTER_BOUND('',#47826,.T.); #28672=FACE_OUTER_BOUND('',#47827,.T.); #28673=FACE_OUTER_BOUND('',#47828,.T.); #28674=FACE_OUTER_BOUND('',#47829,.T.); #28675=FACE_OUTER_BOUND('',#47830,.T.); #28676=FACE_OUTER_BOUND('',#47831,.T.); #28677=FACE_OUTER_BOUND('',#47832,.T.); #28678=FACE_OUTER_BOUND('',#47833,.T.); #28679=FACE_OUTER_BOUND('',#47834,.T.); #28680=FACE_OUTER_BOUND('',#47835,.T.); #28681=FACE_OUTER_BOUND('',#47836,.T.); #28682=FACE_OUTER_BOUND('',#47837,.T.); #28683=FACE_OUTER_BOUND('',#47838,.T.); #28684=FACE_OUTER_BOUND('',#47839,.T.); #28685=FACE_OUTER_BOUND('',#47840,.T.); #28686=FACE_OUTER_BOUND('',#47841,.T.); #28687=FACE_OUTER_BOUND('',#47842,.T.); #28688=FACE_OUTER_BOUND('',#47843,.T.); #28689=FACE_OUTER_BOUND('',#47844,.T.); #28690=FACE_OUTER_BOUND('',#47845,.T.); #28691=FACE_OUTER_BOUND('',#47846,.T.); #28692=FACE_OUTER_BOUND('',#47847,.T.); #28693=FACE_OUTER_BOUND('',#47848,.T.); #28694=FACE_OUTER_BOUND('',#47849,.T.); #28695=FACE_OUTER_BOUND('',#47850,.T.); #28696=FACE_OUTER_BOUND('',#47851,.T.); #28697=FACE_OUTER_BOUND('',#47852,.T.); #28698=FACE_OUTER_BOUND('',#47853,.T.); #28699=FACE_OUTER_BOUND('',#47854,.T.); #28700=FACE_OUTER_BOUND('',#47855,.T.); #28701=FACE_OUTER_BOUND('',#47857,.T.); #28702=FACE_OUTER_BOUND('',#47859,.T.); #28703=FACE_OUTER_BOUND('',#47860,.T.); #28704=FACE_OUTER_BOUND('',#47861,.T.); #28705=FACE_OUTER_BOUND('',#47862,.T.); #28706=FACE_OUTER_BOUND('',#47863,.T.); #28707=FACE_OUTER_BOUND('',#47864,.T.); #28708=FACE_OUTER_BOUND('',#47866,.T.); #28709=FACE_OUTER_BOUND('',#47868,.T.); #28710=FACE_OUTER_BOUND('',#47869,.T.); #28711=FACE_OUTER_BOUND('',#47870,.T.); #28712=FACE_OUTER_BOUND('',#47871,.T.); #28713=FACE_OUTER_BOUND('',#47872,.T.); #28714=FACE_OUTER_BOUND('',#47873,.T.); #28715=FACE_OUTER_BOUND('',#47874,.T.); #28716=FACE_OUTER_BOUND('',#47875,.T.); #28717=FACE_OUTER_BOUND('',#47876,.T.); #28718=FACE_OUTER_BOUND('',#47877,.T.); #28719=FACE_OUTER_BOUND('',#47878,.T.); #28720=FACE_OUTER_BOUND('',#47879,.T.); #28721=FACE_OUTER_BOUND('',#47880,.T.); #28722=FACE_OUTER_BOUND('',#47881,.T.); #28723=FACE_OUTER_BOUND('',#47882,.T.); #28724=FACE_OUTER_BOUND('',#47883,.T.); #28725=FACE_OUTER_BOUND('',#47884,.T.); #28726=FACE_OUTER_BOUND('',#47885,.T.); #28727=FACE_OUTER_BOUND('',#47886,.T.); #28728=FACE_OUTER_BOUND('',#47887,.T.); #28729=FACE_OUTER_BOUND('',#47888,.T.); #28730=FACE_OUTER_BOUND('',#47889,.T.); #28731=FACE_OUTER_BOUND('',#47890,.T.); #28732=FACE_OUTER_BOUND('',#47891,.T.); #28733=FACE_OUTER_BOUND('',#47892,.T.); #28734=FACE_OUTER_BOUND('',#47893,.T.); #28735=FACE_OUTER_BOUND('',#47894,.T.); #28736=FACE_OUTER_BOUND('',#47895,.T.); #28737=FACE_OUTER_BOUND('',#47896,.T.); #28738=FACE_OUTER_BOUND('',#47897,.T.); #28739=FACE_OUTER_BOUND('',#47898,.T.); #28740=FACE_OUTER_BOUND('',#47899,.T.); #28741=FACE_OUTER_BOUND('',#47900,.T.); #28742=FACE_OUTER_BOUND('',#47901,.T.); #28743=FACE_OUTER_BOUND('',#47902,.T.); #28744=FACE_OUTER_BOUND('',#47903,.T.); #28745=FACE_OUTER_BOUND('',#47905,.T.); #28746=FACE_OUTER_BOUND('',#47907,.T.); #28747=FACE_OUTER_BOUND('',#47908,.T.); #28748=FACE_OUTER_BOUND('',#47909,.T.); #28749=FACE_OUTER_BOUND('',#47910,.T.); #28750=FACE_OUTER_BOUND('',#47911,.T.); #28751=FACE_OUTER_BOUND('',#47912,.T.); #28752=FACE_OUTER_BOUND('',#47914,.T.); #28753=FACE_OUTER_BOUND('',#47916,.T.); #28754=FACE_OUTER_BOUND('',#47917,.T.); #28755=FACE_OUTER_BOUND('',#47918,.T.); #28756=FACE_OUTER_BOUND('',#47919,.T.); #28757=FACE_OUTER_BOUND('',#47920,.T.); #28758=FACE_OUTER_BOUND('',#47921,.T.); #28759=FACE_OUTER_BOUND('',#47922,.T.); #28760=FACE_OUTER_BOUND('',#47923,.T.); #28761=FACE_OUTER_BOUND('',#47924,.T.); #28762=FACE_OUTER_BOUND('',#47925,.T.); #28763=FACE_OUTER_BOUND('',#47926,.T.); #28764=FACE_OUTER_BOUND('',#47927,.T.); #28765=FACE_OUTER_BOUND('',#47928,.T.); #28766=FACE_OUTER_BOUND('',#47929,.T.); #28767=FACE_OUTER_BOUND('',#47930,.T.); #28768=FACE_OUTER_BOUND('',#47931,.T.); #28769=FACE_OUTER_BOUND('',#47932,.T.); #28770=FACE_OUTER_BOUND('',#47933,.T.); #28771=FACE_OUTER_BOUND('',#47934,.T.); #28772=FACE_OUTER_BOUND('',#47935,.T.); #28773=FACE_OUTER_BOUND('',#47936,.T.); #28774=FACE_OUTER_BOUND('',#47937,.T.); #28775=FACE_OUTER_BOUND('',#47938,.T.); #28776=FACE_OUTER_BOUND('',#47939,.T.); #28777=FACE_OUTER_BOUND('',#47940,.T.); #28778=FACE_OUTER_BOUND('',#47941,.T.); #28779=FACE_OUTER_BOUND('',#47942,.T.); #28780=FACE_OUTER_BOUND('',#47943,.T.); #28781=FACE_OUTER_BOUND('',#47944,.T.); #28782=FACE_OUTER_BOUND('',#47945,.T.); #28783=FACE_OUTER_BOUND('',#47946,.T.); #28784=FACE_OUTER_BOUND('',#47947,.T.); #28785=FACE_OUTER_BOUND('',#47948,.T.); #28786=FACE_OUTER_BOUND('',#47949,.T.); #28787=FACE_OUTER_BOUND('',#47950,.T.); #28788=FACE_OUTER_BOUND('',#47951,.T.); #28789=FACE_OUTER_BOUND('',#47952,.T.); #28790=FACE_OUTER_BOUND('',#47953,.T.); #28791=FACE_OUTER_BOUND('',#47954,.T.); #28792=FACE_OUTER_BOUND('',#47955,.T.); #28793=FACE_OUTER_BOUND('',#47956,.T.); #28794=FACE_OUTER_BOUND('',#47957,.T.); #28795=FACE_OUTER_BOUND('',#47958,.T.); #28796=FACE_OUTER_BOUND('',#47959,.T.); #28797=FACE_OUTER_BOUND('',#47960,.T.); #28798=FACE_OUTER_BOUND('',#47961,.T.); #28799=FACE_OUTER_BOUND('',#47962,.T.); #28800=FACE_OUTER_BOUND('',#47963,.T.); #28801=FACE_OUTER_BOUND('',#47964,.T.); #28802=FACE_OUTER_BOUND('',#47965,.T.); #28803=FACE_OUTER_BOUND('',#47966,.T.); #28804=FACE_OUTER_BOUND('',#47967,.T.); #28805=FACE_OUTER_BOUND('',#47968,.T.); #28806=FACE_OUTER_BOUND('',#47969,.T.); #28807=FACE_OUTER_BOUND('',#47970,.T.); #28808=FACE_OUTER_BOUND('',#47971,.T.); #28809=FACE_OUTER_BOUND('',#47972,.T.); #28810=FACE_OUTER_BOUND('',#47973,.T.); #28811=FACE_OUTER_BOUND('',#47974,.T.); #28812=FACE_OUTER_BOUND('',#47975,.T.); #28813=FACE_OUTER_BOUND('',#47976,.T.); #28814=FACE_OUTER_BOUND('',#47977,.T.); #28815=FACE_OUTER_BOUND('',#47978,.T.); #28816=FACE_OUTER_BOUND('',#47979,.T.); #28817=FACE_OUTER_BOUND('',#47980,.T.); #28818=FACE_OUTER_BOUND('',#47981,.T.); #28819=FACE_OUTER_BOUND('',#47982,.T.); #28820=FACE_OUTER_BOUND('',#47983,.T.); #28821=FACE_OUTER_BOUND('',#47984,.T.); #28822=FACE_OUTER_BOUND('',#47985,.T.); #28823=FACE_OUTER_BOUND('',#47986,.T.); #28824=FACE_OUTER_BOUND('',#47987,.T.); #28825=FACE_OUTER_BOUND('',#47988,.T.); #28826=FACE_OUTER_BOUND('',#47989,.T.); #28827=FACE_OUTER_BOUND('',#47990,.T.); #28828=FACE_OUTER_BOUND('',#47991,.T.); #28829=FACE_OUTER_BOUND('',#47992,.T.); #28830=FACE_OUTER_BOUND('',#47999,.T.); #28831=FACE_OUTER_BOUND('',#48006,.T.); #28832=FACE_OUTER_BOUND('',#48007,.T.); #28833=FACE_OUTER_BOUND('',#48008,.T.); #28834=FACE_OUTER_BOUND('',#48009,.T.); #28835=FACE_OUTER_BOUND('',#48010,.T.); #28836=FACE_OUTER_BOUND('',#48011,.T.); #28837=FACE_OUTER_BOUND('',#48012,.T.); #28838=FACE_OUTER_BOUND('',#48013,.T.); #28839=FACE_OUTER_BOUND('',#48014,.T.); #28840=FACE_OUTER_BOUND('',#48015,.T.); #28841=FACE_OUTER_BOUND('',#48016,.T.); #28842=FACE_OUTER_BOUND('',#48017,.T.); #28843=FACE_OUTER_BOUND('',#48018,.T.); #28844=FACE_OUTER_BOUND('',#48019,.T.); #28845=FACE_OUTER_BOUND('',#48020,.T.); #28846=FACE_OUTER_BOUND('',#48021,.T.); #28847=FACE_OUTER_BOUND('',#48022,.T.); #28848=FACE_OUTER_BOUND('',#48023,.T.); #28849=FACE_OUTER_BOUND('',#48024,.T.); #28850=FACE_OUTER_BOUND('',#48025,.T.); #28851=FACE_OUTER_BOUND('',#48026,.T.); #28852=FACE_OUTER_BOUND('',#48027,.T.); #28853=FACE_OUTER_BOUND('',#48028,.T.); #28854=FACE_OUTER_BOUND('',#48029,.T.); #28855=FACE_OUTER_BOUND('',#48030,.T.); #28856=FACE_OUTER_BOUND('',#48031,.T.); #28857=FACE_OUTER_BOUND('',#48032,.T.); #28858=FACE_OUTER_BOUND('',#48033,.T.); #28859=FACE_OUTER_BOUND('',#48034,.T.); #28860=FACE_OUTER_BOUND('',#48035,.T.); #28861=FACE_OUTER_BOUND('',#48036,.T.); #28862=FACE_OUTER_BOUND('',#48039,.T.); #28863=FACE_OUTER_BOUND('',#48040,.T.); #28864=FACE_OUTER_BOUND('',#48041,.T.); #28865=FACE_OUTER_BOUND('',#48044,.T.); #28866=FACE_OUTER_BOUND('',#48045,.T.); #28867=FACE_OUTER_BOUND('',#48046,.T.); #28868=FACE_OUTER_BOUND('',#48047,.T.); #28869=FACE_OUTER_BOUND('',#48048,.T.); #28870=FACE_OUTER_BOUND('',#48049,.T.); #28871=FACE_OUTER_BOUND('',#48050,.T.); #28872=FACE_OUTER_BOUND('',#48051,.T.); #28873=FACE_OUTER_BOUND('',#48052,.T.); #28874=FACE_OUTER_BOUND('',#48053,.T.); #28875=FACE_OUTER_BOUND('',#48054,.T.); #28876=FACE_OUTER_BOUND('',#48055,.T.); #28877=FACE_OUTER_BOUND('',#48056,.T.); #28878=FACE_OUTER_BOUND('',#48057,.T.); #28879=FACE_OUTER_BOUND('',#48058,.T.); #28880=FACE_OUTER_BOUND('',#48059,.T.); #28881=FACE_OUTER_BOUND('',#48060,.T.); #28882=FACE_OUTER_BOUND('',#48061,.T.); #28883=FACE_OUTER_BOUND('',#48062,.T.); #28884=FACE_OUTER_BOUND('',#48063,.T.); #28885=FACE_OUTER_BOUND('',#48064,.T.); #28886=FACE_OUTER_BOUND('',#48065,.T.); #28887=FACE_OUTER_BOUND('',#48066,.T.); #28888=FACE_OUTER_BOUND('',#48067,.T.); #28889=FACE_OUTER_BOUND('',#48068,.T.); #28890=FACE_OUTER_BOUND('',#48069,.T.); #28891=FACE_OUTER_BOUND('',#48070,.T.); #28892=FACE_OUTER_BOUND('',#48071,.T.); #28893=FACE_OUTER_BOUND('',#48072,.T.); #28894=FACE_OUTER_BOUND('',#48073,.T.); #28895=FACE_OUTER_BOUND('',#48074,.T.); #28896=FACE_OUTER_BOUND('',#48075,.T.); #28897=FACE_OUTER_BOUND('',#48076,.T.); #28898=FACE_OUTER_BOUND('',#48077,.T.); #28899=FACE_OUTER_BOUND('',#48078,.T.); #28900=FACE_OUTER_BOUND('',#48079,.T.); #28901=FACE_OUTER_BOUND('',#48080,.T.); #28902=FACE_OUTER_BOUND('',#48081,.T.); #28903=FACE_OUTER_BOUND('',#48082,.T.); #28904=FACE_OUTER_BOUND('',#48083,.T.); #28905=FACE_OUTER_BOUND('',#48084,.T.); #28906=FACE_OUTER_BOUND('',#48085,.T.); #28907=FACE_OUTER_BOUND('',#48086,.T.); #28908=FACE_OUTER_BOUND('',#48087,.T.); #28909=FACE_OUTER_BOUND('',#48088,.T.); #28910=FACE_OUTER_BOUND('',#48089,.T.); #28911=FACE_OUTER_BOUND('',#48090,.T.); #28912=FACE_OUTER_BOUND('',#48091,.T.); #28913=FACE_OUTER_BOUND('',#48092,.T.); #28914=FACE_OUTER_BOUND('',#48093,.T.); #28915=FACE_OUTER_BOUND('',#48094,.T.); #28916=FACE_OUTER_BOUND('',#48095,.T.); #28917=FACE_OUTER_BOUND('',#48096,.T.); #28918=FACE_OUTER_BOUND('',#48097,.T.); #28919=FACE_OUTER_BOUND('',#48098,.T.); #28920=FACE_OUTER_BOUND('',#48099,.T.); #28921=FACE_OUTER_BOUND('',#48100,.T.); #28922=FACE_OUTER_BOUND('',#48101,.T.); #28923=FACE_OUTER_BOUND('',#48102,.T.); #28924=FACE_OUTER_BOUND('',#48103,.T.); #28925=FACE_OUTER_BOUND('',#48104,.T.); #28926=FACE_OUTER_BOUND('',#48105,.T.); #28927=FACE_OUTER_BOUND('',#48106,.T.); #28928=FACE_OUTER_BOUND('',#48107,.T.); #28929=FACE_OUTER_BOUND('',#48108,.T.); #28930=FACE_OUTER_BOUND('',#48109,.T.); #28931=FACE_OUTER_BOUND('',#48110,.T.); #28932=FACE_OUTER_BOUND('',#48111,.T.); #28933=FACE_OUTER_BOUND('',#48112,.T.); #28934=FACE_OUTER_BOUND('',#48113,.T.); #28935=FACE_OUTER_BOUND('',#48114,.T.); #28936=FACE_OUTER_BOUND('',#48115,.T.); #28937=FACE_OUTER_BOUND('',#48116,.T.); #28938=FACE_OUTER_BOUND('',#48117,.T.); #28939=FACE_OUTER_BOUND('',#48118,.T.); #28940=FACE_OUTER_BOUND('',#48119,.T.); #28941=FACE_OUTER_BOUND('',#48120,.T.); #28942=FACE_OUTER_BOUND('',#48121,.T.); #28943=FACE_OUTER_BOUND('',#48122,.T.); #28944=FACE_OUTER_BOUND('',#48123,.T.); #28945=FACE_OUTER_BOUND('',#48124,.T.); #28946=FACE_OUTER_BOUND('',#48125,.T.); #28947=FACE_OUTER_BOUND('',#48126,.T.); #28948=FACE_OUTER_BOUND('',#48127,.T.); #28949=FACE_OUTER_BOUND('',#48128,.T.); #28950=FACE_OUTER_BOUND('',#48129,.T.); #28951=FACE_OUTER_BOUND('',#48130,.T.); #28952=FACE_OUTER_BOUND('',#48131,.T.); #28953=FACE_OUTER_BOUND('',#48132,.T.); #28954=FACE_OUTER_BOUND('',#48133,.T.); #28955=FACE_OUTER_BOUND('',#48134,.T.); #28956=FACE_OUTER_BOUND('',#48135,.T.); #28957=FACE_OUTER_BOUND('',#48136,.T.); #28958=FACE_OUTER_BOUND('',#48137,.T.); #28959=FACE_OUTER_BOUND('',#48138,.T.); #28960=FACE_OUTER_BOUND('',#48139,.T.); #28961=FACE_OUTER_BOUND('',#48140,.T.); #28962=FACE_OUTER_BOUND('',#48141,.T.); #28963=FACE_OUTER_BOUND('',#48142,.T.); #28964=FACE_OUTER_BOUND('',#48143,.T.); #28965=FACE_OUTER_BOUND('',#48144,.T.); #28966=FACE_OUTER_BOUND('',#48145,.T.); #28967=FACE_OUTER_BOUND('',#48146,.T.); #28968=FACE_OUTER_BOUND('',#48147,.T.); #28969=FACE_OUTER_BOUND('',#48148,.T.); #28970=FACE_OUTER_BOUND('',#48149,.T.); #28971=FACE_OUTER_BOUND('',#48150,.T.); #28972=FACE_OUTER_BOUND('',#48151,.T.); #28973=FACE_OUTER_BOUND('',#48152,.T.); #28974=FACE_OUTER_BOUND('',#48153,.T.); #28975=FACE_OUTER_BOUND('',#48154,.T.); #28976=FACE_OUTER_BOUND('',#48155,.T.); #28977=FACE_OUTER_BOUND('',#48156,.T.); #28978=FACE_OUTER_BOUND('',#48157,.T.); #28979=FACE_OUTER_BOUND('',#48158,.T.); #28980=FACE_OUTER_BOUND('',#48159,.T.); #28981=FACE_OUTER_BOUND('',#48160,.T.); #28982=FACE_OUTER_BOUND('',#48161,.T.); #28983=FACE_OUTER_BOUND('',#48162,.T.); #28984=FACE_OUTER_BOUND('',#48163,.T.); #28985=FACE_OUTER_BOUND('',#48164,.T.); #28986=FACE_OUTER_BOUND('',#48165,.T.); #28987=FACE_OUTER_BOUND('',#48166,.T.); #28988=FACE_OUTER_BOUND('',#48167,.T.); #28989=FACE_OUTER_BOUND('',#48168,.T.); #28990=FACE_OUTER_BOUND('',#48169,.T.); #28991=FACE_OUTER_BOUND('',#48170,.T.); #28992=FACE_OUTER_BOUND('',#48171,.T.); #28993=FACE_OUTER_BOUND('',#48172,.T.); #28994=FACE_OUTER_BOUND('',#48173,.T.); #28995=FACE_OUTER_BOUND('',#48174,.T.); #28996=FACE_OUTER_BOUND('',#48176,.T.); #28997=FACE_OUTER_BOUND('',#48178,.T.); #28998=FACE_OUTER_BOUND('',#48179,.T.); #28999=FACE_OUTER_BOUND('',#48180,.T.); #29000=FACE_OUTER_BOUND('',#48181,.T.); #29001=FACE_OUTER_BOUND('',#48182,.T.); #29002=FACE_OUTER_BOUND('',#48183,.T.); #29003=FACE_OUTER_BOUND('',#48184,.T.); #29004=FACE_OUTER_BOUND('',#48185,.T.); #29005=FACE_OUTER_BOUND('',#48186,.T.); #29006=FACE_OUTER_BOUND('',#48187,.T.); #29007=FACE_OUTER_BOUND('',#48188,.T.); #29008=FACE_OUTER_BOUND('',#48189,.T.); #29009=FACE_OUTER_BOUND('',#48190,.T.); #29010=FACE_OUTER_BOUND('',#48191,.T.); #29011=FACE_OUTER_BOUND('',#48192,.T.); #29012=FACE_OUTER_BOUND('',#48193,.T.); #29013=FACE_OUTER_BOUND('',#48194,.T.); #29014=FACE_OUTER_BOUND('',#48195,.T.); #29015=FACE_OUTER_BOUND('',#48196,.T.); #29016=FACE_OUTER_BOUND('',#48199,.T.); #29017=FACE_OUTER_BOUND('',#48202,.T.); #29018=FACE_OUTER_BOUND('',#48203,.T.); #29019=FACE_OUTER_BOUND('',#48204,.T.); #29020=FACE_OUTER_BOUND('',#48205,.T.); #29021=FACE_OUTER_BOUND('',#48206,.T.); #29022=FACE_OUTER_BOUND('',#48207,.T.); #29023=FACE_OUTER_BOUND('',#48208,.T.); #29024=FACE_OUTER_BOUND('',#48209,.T.); #29025=FACE_OUTER_BOUND('',#48210,.T.); #29026=FACE_OUTER_BOUND('',#48211,.T.); #29027=FACE_OUTER_BOUND('',#48212,.T.); #29028=FACE_OUTER_BOUND('',#48213,.T.); #29029=FACE_OUTER_BOUND('',#48214,.T.); #29030=FACE_OUTER_BOUND('',#48217,.T.); #29031=FACE_OUTER_BOUND('',#48220,.T.); #29032=FACE_OUTER_BOUND('',#48221,.T.); #29033=FACE_OUTER_BOUND('',#48222,.T.); #29034=FACE_OUTER_BOUND('',#48223,.T.); #29035=FACE_OUTER_BOUND('',#48224,.T.); #29036=FACE_OUTER_BOUND('',#48225,.T.); #29037=FACE_OUTER_BOUND('',#48226,.T.); #29038=FACE_OUTER_BOUND('',#48227,.T.); #29039=FACE_OUTER_BOUND('',#48228,.T.); #29040=FACE_OUTER_BOUND('',#48229,.T.); #29041=FACE_OUTER_BOUND('',#48230,.T.); #29042=FACE_OUTER_BOUND('',#48231,.T.); #29043=FACE_OUTER_BOUND('',#48232,.T.); #29044=FACE_OUTER_BOUND('',#48235,.T.); #29045=FACE_OUTER_BOUND('',#48238,.T.); #29046=FACE_OUTER_BOUND('',#48239,.T.); #29047=FACE_OUTER_BOUND('',#48240,.T.); #29048=FACE_OUTER_BOUND('',#48241,.T.); #29049=FACE_OUTER_BOUND('',#48242,.T.); #29050=FACE_OUTER_BOUND('',#48243,.T.); #29051=FACE_OUTER_BOUND('',#48244,.T.); #29052=FACE_OUTER_BOUND('',#48245,.T.); #29053=FACE_OUTER_BOUND('',#48246,.T.); #29054=FACE_OUTER_BOUND('',#48247,.T.); #29055=FACE_OUTER_BOUND('',#48248,.T.); #29056=FACE_OUTER_BOUND('',#48249,.T.); #29057=FACE_OUTER_BOUND('',#48250,.T.); #29058=FACE_OUTER_BOUND('',#48253,.T.); #29059=FACE_OUTER_BOUND('',#48256,.T.); #29060=FACE_OUTER_BOUND('',#48257,.T.); #29061=FACE_OUTER_BOUND('',#48258,.T.); #29062=FACE_OUTER_BOUND('',#48259,.T.); #29063=FACE_OUTER_BOUND('',#48260,.T.); #29064=FACE_OUTER_BOUND('',#48261,.T.); #29065=FACE_OUTER_BOUND('',#48262,.T.); #29066=FACE_OUTER_BOUND('',#48263,.T.); #29067=FACE_OUTER_BOUND('',#48264,.T.); #29068=FACE_OUTER_BOUND('',#48265,.T.); #29069=FACE_OUTER_BOUND('',#48266,.T.); #29070=FACE_OUTER_BOUND('',#48267,.T.); #29071=FACE_OUTER_BOUND('',#48268,.T.); #29072=FACE_OUTER_BOUND('',#48269,.T.); #29073=FACE_OUTER_BOUND('',#48270,.T.); #29074=FACE_OUTER_BOUND('',#48271,.T.); #29075=FACE_OUTER_BOUND('',#48272,.T.); #29076=FACE_OUTER_BOUND('',#48273,.T.); #29077=FACE_OUTER_BOUND('',#48274,.T.); #29078=FACE_OUTER_BOUND('',#48275,.T.); #29079=FACE_OUTER_BOUND('',#48276,.T.); #29080=FACE_OUTER_BOUND('',#48277,.T.); #29081=FACE_OUTER_BOUND('',#48278,.T.); #29082=FACE_OUTER_BOUND('',#48279,.T.); #29083=FACE_OUTER_BOUND('',#48280,.T.); #29084=FACE_OUTER_BOUND('',#48281,.T.); #29085=FACE_OUTER_BOUND('',#48282,.T.); #29086=FACE_OUTER_BOUND('',#48283,.T.); #29087=FACE_OUTER_BOUND('',#48284,.T.); #29088=FACE_OUTER_BOUND('',#48285,.T.); #29089=FACE_OUTER_BOUND('',#48286,.T.); #29090=FACE_OUTER_BOUND('',#48287,.T.); #29091=FACE_OUTER_BOUND('',#48288,.T.); #29092=FACE_OUTER_BOUND('',#48289,.T.); #29093=FACE_OUTER_BOUND('',#48290,.T.); #29094=FACE_OUTER_BOUND('',#48291,.T.); #29095=FACE_OUTER_BOUND('',#48292,.T.); #29096=FACE_OUTER_BOUND('',#48293,.T.); #29097=FACE_OUTER_BOUND('',#48294,.T.); #29098=FACE_OUTER_BOUND('',#48295,.T.); #29099=FACE_OUTER_BOUND('',#48296,.T.); #29100=FACE_OUTER_BOUND('',#48297,.T.); #29101=FACE_OUTER_BOUND('',#48298,.T.); #29102=FACE_OUTER_BOUND('',#48299,.T.); #29103=FACE_OUTER_BOUND('',#48300,.T.); #29104=FACE_OUTER_BOUND('',#48301,.T.); #29105=FACE_OUTER_BOUND('',#48302,.T.); #29106=FACE_OUTER_BOUND('',#48303,.T.); #29107=FACE_OUTER_BOUND('',#48304,.T.); #29108=FACE_OUTER_BOUND('',#48305,.T.); #29109=FACE_OUTER_BOUND('',#48306,.T.); #29110=FACE_OUTER_BOUND('',#48307,.T.); #29111=FACE_OUTER_BOUND('',#48308,.T.); #29112=FACE_OUTER_BOUND('',#48309,.T.); #29113=FACE_OUTER_BOUND('',#48310,.T.); #29114=FACE_OUTER_BOUND('',#48311,.T.); #29115=FACE_OUTER_BOUND('',#48312,.T.); #29116=FACE_OUTER_BOUND('',#48313,.T.); #29117=FACE_OUTER_BOUND('',#48314,.T.); #29118=FACE_OUTER_BOUND('',#48315,.T.); #29119=FACE_OUTER_BOUND('',#48316,.T.); #29120=FACE_OUTER_BOUND('',#48317,.T.); #29121=FACE_OUTER_BOUND('',#48318,.T.); #29122=FACE_OUTER_BOUND('',#48319,.T.); #29123=FACE_OUTER_BOUND('',#48320,.T.); #29124=FACE_OUTER_BOUND('',#48321,.T.); #29125=FACE_OUTER_BOUND('',#48322,.T.); #29126=FACE_OUTER_BOUND('',#48323,.T.); #29127=FACE_OUTER_BOUND('',#48324,.T.); #29128=FACE_OUTER_BOUND('',#48325,.T.); #29129=FACE_OUTER_BOUND('',#48326,.T.); #29130=FACE_OUTER_BOUND('',#48327,.T.); #29131=FACE_OUTER_BOUND('',#48328,.T.); #29132=FACE_OUTER_BOUND('',#48329,.T.); #29133=FACE_OUTER_BOUND('',#48330,.T.); #29134=FACE_OUTER_BOUND('',#48331,.T.); #29135=FACE_OUTER_BOUND('',#48332,.T.); #29136=FACE_OUTER_BOUND('',#48335,.T.); #29137=FACE_OUTER_BOUND('',#48338,.T.); #29138=FACE_OUTER_BOUND('',#48339,.T.); #29139=FACE_OUTER_BOUND('',#48340,.T.); #29140=FACE_OUTER_BOUND('',#48341,.T.); #29141=FACE_OUTER_BOUND('',#48342,.T.); #29142=FACE_OUTER_BOUND('',#48343,.T.); #29143=FACE_OUTER_BOUND('',#48344,.T.); #29144=FACE_OUTER_BOUND('',#48345,.T.); #29145=FACE_OUTER_BOUND('',#48346,.T.); #29146=FACE_OUTER_BOUND('',#48347,.T.); #29147=FACE_OUTER_BOUND('',#48348,.T.); #29148=FACE_OUTER_BOUND('',#48349,.T.); #29149=FACE_OUTER_BOUND('',#48351,.T.); #29150=FACE_OUTER_BOUND('',#48353,.T.); #29151=FACE_OUTER_BOUND('',#48354,.T.); #29152=FACE_OUTER_BOUND('',#48355,.T.); #29153=FACE_OUTER_BOUND('',#48356,.T.); #29154=FACE_OUTER_BOUND('',#48357,.T.); #29155=FACE_OUTER_BOUND('',#48358,.T.); #29156=FACE_OUTER_BOUND('',#48359,.T.); #29157=FACE_OUTER_BOUND('',#48360,.T.); #29158=FACE_OUTER_BOUND('',#48361,.T.); #29159=FACE_OUTER_BOUND('',#48362,.T.); #29160=FACE_OUTER_BOUND('',#48363,.T.); #29161=FACE_OUTER_BOUND('',#48364,.T.); #29162=FACE_OUTER_BOUND('',#48365,.T.); #29163=FACE_OUTER_BOUND('',#48366,.T.); #29164=FACE_OUTER_BOUND('',#48367,.T.); #29165=FACE_OUTER_BOUND('',#48369,.T.); #29166=FACE_OUTER_BOUND('',#48371,.T.); #29167=FACE_OUTER_BOUND('',#48372,.T.); #29168=FACE_OUTER_BOUND('',#48373,.T.); #29169=FACE_OUTER_BOUND('',#48374,.T.); #29170=FACE_OUTER_BOUND('',#48375,.T.); #29171=FACE_OUTER_BOUND('',#48376,.T.); #29172=FACE_OUTER_BOUND('',#48377,.T.); #29173=FACE_OUTER_BOUND('',#48378,.T.); #29174=FACE_OUTER_BOUND('',#48379,.T.); #29175=FACE_OUTER_BOUND('',#48380,.T.); #29176=FACE_OUTER_BOUND('',#48381,.T.); #29177=FACE_OUTER_BOUND('',#48382,.T.); #29178=FACE_OUTER_BOUND('',#48383,.T.); #29179=FACE_OUTER_BOUND('',#48384,.T.); #29180=FACE_OUTER_BOUND('',#48385,.T.); #29181=FACE_OUTER_BOUND('',#48386,.T.); #29182=FACE_OUTER_BOUND('',#48387,.T.); #29183=FACE_OUTER_BOUND('',#48388,.T.); #29184=FACE_OUTER_BOUND('',#48389,.T.); #29185=FACE_OUTER_BOUND('',#48390,.T.); #29186=FACE_OUTER_BOUND('',#48391,.T.); #29187=FACE_OUTER_BOUND('',#48392,.T.); #29188=FACE_OUTER_BOUND('',#48393,.T.); #29189=FACE_OUTER_BOUND('',#48394,.T.); #29190=FACE_OUTER_BOUND('',#48395,.T.); #29191=FACE_OUTER_BOUND('',#48396,.T.); #29192=FACE_OUTER_BOUND('',#48397,.T.); #29193=FACE_OUTER_BOUND('',#48398,.T.); #29194=FACE_OUTER_BOUND('',#48399,.T.); #29195=FACE_OUTER_BOUND('',#48400,.T.); #29196=FACE_OUTER_BOUND('',#48401,.T.); #29197=FACE_OUTER_BOUND('',#48402,.T.); #29198=FACE_OUTER_BOUND('',#48403,.T.); #29199=FACE_OUTER_BOUND('',#48404,.T.); #29200=FACE_OUTER_BOUND('',#48405,.T.); #29201=FACE_OUTER_BOUND('',#48406,.T.); #29202=FACE_OUTER_BOUND('',#48407,.T.); #29203=FACE_OUTER_BOUND('',#48408,.T.); #29204=FACE_OUTER_BOUND('',#48409,.T.); #29205=FACE_OUTER_BOUND('',#48410,.T.); #29206=FACE_OUTER_BOUND('',#48411,.T.); #29207=FACE_OUTER_BOUND('',#48412,.T.); #29208=FACE_OUTER_BOUND('',#48413,.T.); #29209=FACE_OUTER_BOUND('',#48414,.T.); #29210=FACE_OUTER_BOUND('',#48415,.T.); #29211=FACE_OUTER_BOUND('',#48416,.T.); #29212=FACE_OUTER_BOUND('',#48417,.T.); #29213=FACE_OUTER_BOUND('',#48420,.T.); #29214=FACE_OUTER_BOUND('',#48423,.T.); #29215=FACE_OUTER_BOUND('',#48424,.T.); #29216=FACE_OUTER_BOUND('',#48425,.T.); #29217=FACE_OUTER_BOUND('',#48426,.T.); #29218=FACE_OUTER_BOUND('',#48427,.T.); #29219=FACE_OUTER_BOUND('',#48428,.T.); #29220=FACE_OUTER_BOUND('',#48429,.T.); #29221=FACE_OUTER_BOUND('',#48430,.T.); #29222=FACE_OUTER_BOUND('',#48431,.T.); #29223=FACE_OUTER_BOUND('',#48432,.T.); #29224=FACE_OUTER_BOUND('',#48433,.T.); #29225=FACE_OUTER_BOUND('',#48434,.T.); #29226=FACE_OUTER_BOUND('',#48435,.T.); #29227=FACE_OUTER_BOUND('',#48436,.T.); #29228=FACE_OUTER_BOUND('',#48439,.T.); #29229=FACE_OUTER_BOUND('',#48442,.T.); #29230=FACE_OUTER_BOUND('',#48443,.T.); #29231=FACE_OUTER_BOUND('',#48444,.T.); #29232=FACE_OUTER_BOUND('',#48445,.T.); #29233=FACE_OUTER_BOUND('',#48446,.T.); #29234=FACE_OUTER_BOUND('',#48447,.T.); #29235=FACE_OUTER_BOUND('',#48448,.T.); #29236=FACE_OUTER_BOUND('',#48449,.T.); #29237=FACE_OUTER_BOUND('',#48450,.T.); #29238=FACE_OUTER_BOUND('',#48451,.T.); #29239=FACE_OUTER_BOUND('',#48452,.T.); #29240=FACE_OUTER_BOUND('',#48453,.T.); #29241=FACE_OUTER_BOUND('',#48454,.T.); #29242=FACE_OUTER_BOUND('',#48455,.T.); #29243=FACE_OUTER_BOUND('',#48456,.T.); #29244=FACE_OUTER_BOUND('',#48457,.T.); #29245=FACE_OUTER_BOUND('',#48458,.T.); #29246=FACE_OUTER_BOUND('',#48459,.T.); #29247=FACE_OUTER_BOUND('',#48460,.T.); #29248=FACE_OUTER_BOUND('',#48461,.T.); #29249=FACE_OUTER_BOUND('',#48462,.T.); #29250=FACE_OUTER_BOUND('',#48463,.T.); #29251=FACE_OUTER_BOUND('',#48464,.T.); #29252=FACE_OUTER_BOUND('',#48465,.T.); #29253=FACE_OUTER_BOUND('',#48466,.T.); #29254=FACE_OUTER_BOUND('',#48467,.T.); #29255=FACE_OUTER_BOUND('',#48469,.T.); #29256=FACE_OUTER_BOUND('',#48471,.T.); #29257=FACE_OUTER_BOUND('',#48472,.T.); #29258=FACE_OUTER_BOUND('',#48473,.T.); #29259=FACE_OUTER_BOUND('',#48474,.T.); #29260=FACE_OUTER_BOUND('',#48475,.T.); #29261=FACE_OUTER_BOUND('',#48476,.T.); #29262=FACE_OUTER_BOUND('',#48477,.T.); #29263=FACE_OUTER_BOUND('',#48478,.T.); #29264=FACE_OUTER_BOUND('',#48479,.T.); #29265=FACE_OUTER_BOUND('',#48480,.T.); #29266=FACE_OUTER_BOUND('',#48481,.T.); #29267=FACE_OUTER_BOUND('',#48482,.T.); #29268=FACE_OUTER_BOUND('',#48483,.T.); #29269=FACE_OUTER_BOUND('',#48484,.T.); #29270=FACE_OUTER_BOUND('',#48485,.T.); #29271=FACE_OUTER_BOUND('',#48486,.T.); #29272=FACE_OUTER_BOUND('',#48487,.T.); #29273=FACE_OUTER_BOUND('',#48488,.T.); #29274=FACE_OUTER_BOUND('',#48489,.T.); #29275=FACE_OUTER_BOUND('',#48490,.T.); #29276=FACE_OUTER_BOUND('',#48491,.T.); #29277=FACE_OUTER_BOUND('',#48492,.T.); #29278=FACE_OUTER_BOUND('',#48493,.T.); #29279=FACE_OUTER_BOUND('',#48494,.T.); #29280=FACE_OUTER_BOUND('',#48495,.T.); #29281=FACE_OUTER_BOUND('',#48496,.T.); #29282=FACE_OUTER_BOUND('',#48497,.T.); #29283=FACE_OUTER_BOUND('',#48498,.T.); #29284=FACE_OUTER_BOUND('',#48500,.T.); #29285=FACE_OUTER_BOUND('',#48502,.T.); #29286=FACE_OUTER_BOUND('',#48503,.T.); #29287=FACE_OUTER_BOUND('',#48504,.T.); #29288=FACE_OUTER_BOUND('',#48505,.T.); #29289=FACE_OUTER_BOUND('',#48506,.T.); #29290=FACE_OUTER_BOUND('',#48507,.T.); #29291=FACE_OUTER_BOUND('',#48508,.T.); #29292=FACE_OUTER_BOUND('',#48509,.T.); #29293=FACE_OUTER_BOUND('',#48510,.T.); #29294=FACE_OUTER_BOUND('',#48511,.T.); #29295=FACE_OUTER_BOUND('',#48512,.T.); #29296=FACE_OUTER_BOUND('',#48513,.T.); #29297=FACE_OUTER_BOUND('',#48514,.T.); #29298=FACE_OUTER_BOUND('',#48515,.T.); #29299=FACE_OUTER_BOUND('',#48516,.T.); #29300=FACE_OUTER_BOUND('',#48517,.T.); #29301=FACE_OUTER_BOUND('',#48518,.T.); #29302=FACE_OUTER_BOUND('',#48519,.T.); #29303=FACE_OUTER_BOUND('',#48520,.T.); #29304=FACE_OUTER_BOUND('',#48521,.T.); #29305=FACE_OUTER_BOUND('',#48522,.T.); #29306=FACE_OUTER_BOUND('',#48523,.T.); #29307=FACE_OUTER_BOUND('',#48524,.T.); #29308=FACE_OUTER_BOUND('',#48525,.T.); #29309=FACE_OUTER_BOUND('',#48526,.T.); #29310=FACE_OUTER_BOUND('',#48527,.T.); #29311=FACE_OUTER_BOUND('',#48528,.T.); #29312=FACE_OUTER_BOUND('',#48529,.T.); #29313=FACE_OUTER_BOUND('',#48530,.T.); #29314=FACE_OUTER_BOUND('',#48531,.T.); #29315=FACE_OUTER_BOUND('',#48532,.T.); #29316=FACE_OUTER_BOUND('',#48533,.T.); #29317=FACE_OUTER_BOUND('',#48534,.T.); #29318=FACE_OUTER_BOUND('',#48535,.T.); #29319=FACE_OUTER_BOUND('',#48536,.T.); #29320=FACE_OUTER_BOUND('',#48537,.T.); #29321=FACE_OUTER_BOUND('',#48538,.T.); #29322=FACE_OUTER_BOUND('',#48539,.T.); #29323=FACE_OUTER_BOUND('',#48540,.T.); #29324=FACE_OUTER_BOUND('',#48541,.T.); #29325=FACE_OUTER_BOUND('',#48542,.T.); #29326=FACE_OUTER_BOUND('',#48543,.T.); #29327=FACE_OUTER_BOUND('',#48544,.T.); #29328=FACE_OUTER_BOUND('',#48545,.T.); #29329=FACE_OUTER_BOUND('',#48546,.T.); #29330=FACE_OUTER_BOUND('',#48547,.T.); #29331=FACE_OUTER_BOUND('',#48548,.T.); #29332=FACE_OUTER_BOUND('',#48549,.T.); #29333=FACE_OUTER_BOUND('',#48550,.T.); #29334=FACE_OUTER_BOUND('',#48551,.T.); #29335=FACE_OUTER_BOUND('',#48552,.T.); #29336=FACE_OUTER_BOUND('',#48553,.T.); #29337=FACE_OUTER_BOUND('',#48554,.T.); #29338=FACE_OUTER_BOUND('',#48555,.T.); #29339=FACE_OUTER_BOUND('',#48556,.T.); #29340=FACE_OUTER_BOUND('',#48557,.T.); #29341=FACE_OUTER_BOUND('',#48558,.T.); #29342=FACE_OUTER_BOUND('',#48559,.T.); #29343=FACE_OUTER_BOUND('',#48560,.T.); #29344=FACE_OUTER_BOUND('',#48561,.T.); #29345=FACE_OUTER_BOUND('',#48562,.T.); #29346=FACE_OUTER_BOUND('',#48563,.T.); #29347=FACE_OUTER_BOUND('',#48564,.T.); #29348=FACE_OUTER_BOUND('',#48565,.T.); #29349=FACE_OUTER_BOUND('',#48568,.T.); #29350=FACE_OUTER_BOUND('',#48571,.T.); #29351=FACE_OUTER_BOUND('',#48572,.T.); #29352=FACE_OUTER_BOUND('',#48573,.T.); #29353=FACE_OUTER_BOUND('',#48574,.T.); #29354=FACE_OUTER_BOUND('',#48575,.T.); #29355=FACE_OUTER_BOUND('',#48576,.T.); #29356=FACE_OUTER_BOUND('',#48577,.T.); #29357=FACE_OUTER_BOUND('',#48578,.T.); #29358=FACE_OUTER_BOUND('',#48579,.T.); #29359=FACE_OUTER_BOUND('',#48580,.T.); #29360=FACE_OUTER_BOUND('',#48581,.T.); #29361=FACE_OUTER_BOUND('',#48584,.T.); #29362=FACE_OUTER_BOUND('',#48587,.T.); #29363=FACE_OUTER_BOUND('',#48588,.T.); #29364=FACE_OUTER_BOUND('',#48589,.T.); #29365=FACE_OUTER_BOUND('',#48590,.T.); #29366=FACE_OUTER_BOUND('',#48591,.T.); #29367=FACE_OUTER_BOUND('',#48592,.T.); #29368=FACE_OUTER_BOUND('',#48593,.T.); #29369=FACE_OUTER_BOUND('',#48594,.T.); #29370=FACE_OUTER_BOUND('',#48595,.T.); #29371=FACE_OUTER_BOUND('',#48596,.T.); #29372=FACE_OUTER_BOUND('',#48597,.T.); #29373=FACE_OUTER_BOUND('',#48600,.T.); #29374=FACE_OUTER_BOUND('',#48603,.T.); #29375=FACE_OUTER_BOUND('',#48604,.T.); #29376=FACE_OUTER_BOUND('',#48605,.T.); #29377=FACE_OUTER_BOUND('',#48606,.T.); #29378=FACE_OUTER_BOUND('',#48607,.T.); #29379=FACE_OUTER_BOUND('',#48608,.T.); #29380=FACE_OUTER_BOUND('',#48609,.T.); #29381=FACE_OUTER_BOUND('',#48610,.T.); #29382=FACE_OUTER_BOUND('',#48611,.T.); #29383=FACE_OUTER_BOUND('',#48612,.T.); #29384=FACE_OUTER_BOUND('',#48613,.T.); #29385=FACE_OUTER_BOUND('',#48616,.T.); #29386=FACE_OUTER_BOUND('',#48619,.T.); #29387=FACE_OUTER_BOUND('',#48620,.T.); #29388=FACE_OUTER_BOUND('',#48621,.T.); #29389=FACE_OUTER_BOUND('',#48622,.T.); #29390=FACE_OUTER_BOUND('',#48623,.T.); #29391=FACE_OUTER_BOUND('',#48624,.T.); #29392=FACE_OUTER_BOUND('',#48625,.T.); #29393=FACE_OUTER_BOUND('',#48626,.T.); #29394=FACE_OUTER_BOUND('',#48627,.T.); #29395=FACE_OUTER_BOUND('',#48628,.T.); #29396=FACE_OUTER_BOUND('',#48629,.T.); #29397=FACE_OUTER_BOUND('',#48630,.T.); #29398=FACE_OUTER_BOUND('',#48631,.T.); #29399=FACE_OUTER_BOUND('',#48632,.T.); #29400=FACE_OUTER_BOUND('',#48633,.T.); #29401=FACE_OUTER_BOUND('',#48634,.T.); #29402=FACE_OUTER_BOUND('',#48637,.T.); #29403=FACE_OUTER_BOUND('',#48640,.T.); #29404=FACE_OUTER_BOUND('',#48641,.T.); #29405=FACE_OUTER_BOUND('',#48642,.T.); #29406=FACE_OUTER_BOUND('',#48643,.T.); #29407=FACE_OUTER_BOUND('',#48644,.T.); #29408=FACE_OUTER_BOUND('',#48645,.T.); #29409=FACE_OUTER_BOUND('',#48647,.T.); #29410=FACE_OUTER_BOUND('',#48649,.T.); #29411=FACE_OUTER_BOUND('',#48650,.T.); #29412=FACE_OUTER_BOUND('',#48651,.T.); #29413=FACE_OUTER_BOUND('',#48652,.T.); #29414=FACE_OUTER_BOUND('',#48653,.T.); #29415=FACE_OUTER_BOUND('',#48654,.T.); #29416=FACE_OUTER_BOUND('',#48656,.T.); #29417=FACE_OUTER_BOUND('',#48658,.T.); #29418=FACE_OUTER_BOUND('',#48659,.T.); #29419=FACE_OUTER_BOUND('',#48660,.T.); #29420=FACE_OUTER_BOUND('',#48661,.T.); #29421=FACE_OUTER_BOUND('',#48662,.T.); #29422=FACE_OUTER_BOUND('',#48663,.T.); #29423=FACE_OUTER_BOUND('',#48665,.T.); #29424=FACE_OUTER_BOUND('',#48667,.T.); #29425=FACE_OUTER_BOUND('',#48668,.T.); #29426=FACE_OUTER_BOUND('',#48669,.T.); #29427=FACE_OUTER_BOUND('',#48670,.T.); #29428=FACE_OUTER_BOUND('',#48671,.T.); #29429=FACE_OUTER_BOUND('',#48672,.T.); #29430=FACE_OUTER_BOUND('',#48673,.T.); #29431=FACE_OUTER_BOUND('',#48674,.T.); #29432=FACE_OUTER_BOUND('',#48675,.T.); #29433=FACE_OUTER_BOUND('',#48676,.T.); #29434=FACE_OUTER_BOUND('',#48677,.T.); #29435=FACE_OUTER_BOUND('',#48678,.T.); #29436=FACE_OUTER_BOUND('',#48680,.T.); #29437=FACE_OUTER_BOUND('',#48682,.T.); #29438=FACE_OUTER_BOUND('',#48683,.T.); #29439=FACE_OUTER_BOUND('',#48684,.T.); #29440=FACE_OUTER_BOUND('',#48685,.T.); #29441=FACE_OUTER_BOUND('',#48686,.T.); #29442=FACE_OUTER_BOUND('',#48687,.T.); #29443=FACE_OUTER_BOUND('',#48688,.T.); #29444=FACE_OUTER_BOUND('',#48689,.T.); #29445=FACE_OUTER_BOUND('',#48690,.T.); #29446=FACE_OUTER_BOUND('',#48691,.T.); #29447=FACE_OUTER_BOUND('',#48692,.T.); #29448=FACE_OUTER_BOUND('',#48693,.T.); #29449=FACE_OUTER_BOUND('',#48694,.T.); #29450=FACE_OUTER_BOUND('',#48695,.T.); #29451=FACE_OUTER_BOUND('',#48696,.T.); #29452=FACE_OUTER_BOUND('',#48697,.T.); #29453=FACE_OUTER_BOUND('',#48698,.T.); #29454=FACE_OUTER_BOUND('',#48699,.T.); #29455=FACE_OUTER_BOUND('',#48700,.T.); #29456=FACE_OUTER_BOUND('',#48701,.T.); #29457=FACE_OUTER_BOUND('',#48702,.T.); #29458=FACE_OUTER_BOUND('',#48703,.T.); #29459=FACE_OUTER_BOUND('',#48704,.T.); #29460=FACE_OUTER_BOUND('',#48705,.T.); #29461=FACE_OUTER_BOUND('',#48706,.T.); #29462=FACE_OUTER_BOUND('',#48707,.T.); #29463=FACE_OUTER_BOUND('',#48708,.T.); #29464=FACE_OUTER_BOUND('',#48709,.T.); #29465=FACE_OUTER_BOUND('',#48710,.T.); #29466=FACE_OUTER_BOUND('',#48711,.T.); #29467=FACE_OUTER_BOUND('',#48712,.T.); #29468=FACE_OUTER_BOUND('',#48713,.T.); #29469=FACE_OUTER_BOUND('',#48714,.T.); #29470=FACE_OUTER_BOUND('',#48715,.T.); #29471=FACE_OUTER_BOUND('',#48716,.T.); #29472=FACE_OUTER_BOUND('',#48717,.T.); #29473=FACE_OUTER_BOUND('',#48718,.T.); #29474=FACE_OUTER_BOUND('',#48719,.T.); #29475=FACE_OUTER_BOUND('',#48720,.T.); #29476=FACE_OUTER_BOUND('',#48721,.T.); #29477=FACE_OUTER_BOUND('',#48722,.T.); #29478=FACE_OUTER_BOUND('',#48723,.T.); #29479=FACE_OUTER_BOUND('',#48724,.T.); #29480=FACE_OUTER_BOUND('',#48725,.T.); #29481=FACE_OUTER_BOUND('',#48726,.T.); #29482=FACE_OUTER_BOUND('',#48727,.T.); #29483=FACE_OUTER_BOUND('',#48728,.T.); #29484=FACE_OUTER_BOUND('',#48729,.T.); #29485=FACE_OUTER_BOUND('',#48730,.T.); #29486=FACE_OUTER_BOUND('',#48731,.T.); #29487=FACE_OUTER_BOUND('',#48732,.T.); #29488=FACE_OUTER_BOUND('',#48733,.T.); #29489=FACE_OUTER_BOUND('',#48734,.T.); #29490=FACE_OUTER_BOUND('',#48735,.T.); #29491=FACE_OUTER_BOUND('',#48736,.T.); #29492=FACE_OUTER_BOUND('',#48737,.T.); #29493=FACE_OUTER_BOUND('',#48738,.T.); #29494=FACE_OUTER_BOUND('',#48739,.T.); #29495=FACE_OUTER_BOUND('',#48740,.T.); #29496=FACE_OUTER_BOUND('',#48741,.T.); #29497=FACE_OUTER_BOUND('',#48742,.T.); #29498=FACE_OUTER_BOUND('',#48743,.T.); #29499=FACE_OUTER_BOUND('',#48744,.T.); #29500=FACE_OUTER_BOUND('',#48745,.T.); #29501=FACE_OUTER_BOUND('',#48748,.T.); #29502=FACE_OUTER_BOUND('',#48751,.T.); #29503=FACE_OUTER_BOUND('',#48752,.T.); #29504=FACE_OUTER_BOUND('',#48753,.T.); #29505=FACE_OUTER_BOUND('',#48754,.T.); #29506=FACE_OUTER_BOUND('',#48755,.T.); #29507=FACE_OUTER_BOUND('',#48756,.T.); #29508=FACE_OUTER_BOUND('',#48757,.T.); #29509=FACE_OUTER_BOUND('',#48758,.T.); #29510=FACE_OUTER_BOUND('',#48759,.T.); #29511=FACE_OUTER_BOUND('',#48760,.T.); #29512=FACE_OUTER_BOUND('',#48763,.T.); #29513=FACE_OUTER_BOUND('',#48766,.T.); #29514=FACE_OUTER_BOUND('',#48767,.T.); #29515=FACE_OUTER_BOUND('',#48768,.T.); #29516=FACE_OUTER_BOUND('',#48769,.T.); #29517=FACE_OUTER_BOUND('',#48770,.T.); #29518=FACE_OUTER_BOUND('',#48771,.T.); #29519=FACE_OUTER_BOUND('',#48772,.T.); #29520=FACE_OUTER_BOUND('',#48773,.T.); #29521=FACE_OUTER_BOUND('',#48774,.T.); #29522=FACE_OUTER_BOUND('',#48775,.T.); #29523=FACE_OUTER_BOUND('',#48776,.T.); #29524=FACE_OUTER_BOUND('',#48777,.T.); #29525=FACE_OUTER_BOUND('',#48779,.T.); #29526=FACE_OUTER_BOUND('',#48781,.T.); #29527=FACE_OUTER_BOUND('',#48782,.T.); #29528=FACE_OUTER_BOUND('',#48783,.T.); #29529=FACE_OUTER_BOUND('',#48784,.T.); #29530=FACE_OUTER_BOUND('',#48785,.T.); #29531=FACE_OUTER_BOUND('',#48786,.T.); #29532=FACE_OUTER_BOUND('',#48787,.T.); #29533=FACE_OUTER_BOUND('',#48788,.T.); #29534=FACE_OUTER_BOUND('',#48789,.T.); #29535=FACE_OUTER_BOUND('',#48790,.T.); #29536=FACE_OUTER_BOUND('',#48791,.T.); #29537=FACE_OUTER_BOUND('',#48792,.T.); #29538=FACE_OUTER_BOUND('',#48794,.T.); #29539=FACE_OUTER_BOUND('',#48796,.T.); #29540=FACE_OUTER_BOUND('',#48797,.T.); #29541=FACE_OUTER_BOUND('',#48798,.T.); #29542=FACE_OUTER_BOUND('',#48799,.T.); #29543=FACE_OUTER_BOUND('',#48800,.T.); #29544=FACE_OUTER_BOUND('',#48801,.T.); #29545=FACE_OUTER_BOUND('',#48802,.T.); #29546=FACE_OUTER_BOUND('',#48803,.T.); #29547=FACE_OUTER_BOUND('',#48804,.T.); #29548=FACE_OUTER_BOUND('',#48805,.T.); #29549=FACE_OUTER_BOUND('',#48806,.T.); #29550=FACE_OUTER_BOUND('',#48807,.T.); #29551=FACE_OUTER_BOUND('',#48808,.T.); #29552=FACE_OUTER_BOUND('',#48809,.T.); #29553=FACE_OUTER_BOUND('',#48810,.T.); #29554=FACE_OUTER_BOUND('',#48811,.T.); #29555=FACE_OUTER_BOUND('',#48812,.T.); #29556=FACE_OUTER_BOUND('',#48813,.T.); #29557=FACE_OUTER_BOUND('',#48814,.T.); #29558=FACE_OUTER_BOUND('',#48816,.T.); #29559=FACE_OUTER_BOUND('',#48818,.T.); #29560=FACE_OUTER_BOUND('',#48819,.T.); #29561=FACE_OUTER_BOUND('',#48820,.T.); #29562=FACE_OUTER_BOUND('',#48821,.T.); #29563=FACE_OUTER_BOUND('',#48822,.T.); #29564=FACE_OUTER_BOUND('',#48823,.T.); #29565=FACE_OUTER_BOUND('',#48824,.T.); #29566=FACE_OUTER_BOUND('',#48825,.T.); #29567=FACE_OUTER_BOUND('',#48826,.T.); #29568=FACE_OUTER_BOUND('',#48827,.T.); #29569=FACE_OUTER_BOUND('',#48828,.T.); #29570=FACE_OUTER_BOUND('',#48829,.T.); #29571=FACE_OUTER_BOUND('',#48830,.T.); #29572=FACE_OUTER_BOUND('',#48831,.T.); #29573=FACE_OUTER_BOUND('',#48832,.T.); #29574=FACE_OUTER_BOUND('',#48833,.T.); #29575=FACE_OUTER_BOUND('',#48834,.T.); #29576=FACE_OUTER_BOUND('',#48836,.T.); #29577=FACE_OUTER_BOUND('',#48838,.T.); #29578=FACE_OUTER_BOUND('',#48839,.T.); #29579=FACE_OUTER_BOUND('',#48840,.T.); #29580=FACE_OUTER_BOUND('',#48841,.T.); #29581=FACE_OUTER_BOUND('',#48842,.T.); #29582=FACE_OUTER_BOUND('',#48844,.T.); #29583=FACE_OUTER_BOUND('',#48846,.T.); #29584=FACE_OUTER_BOUND('',#48847,.T.); #29585=FACE_OUTER_BOUND('',#48848,.T.); #29586=FACE_OUTER_BOUND('',#48849,.T.); #29587=FACE_OUTER_BOUND('',#48850,.T.); #29588=FACE_OUTER_BOUND('',#48851,.T.); #29589=FACE_OUTER_BOUND('',#48852,.T.); #29590=FACE_OUTER_BOUND('',#48853,.T.); #29591=FACE_OUTER_BOUND('',#48854,.T.); #29592=FACE_OUTER_BOUND('',#48855,.T.); #29593=FACE_OUTER_BOUND('',#48856,.T.); #29594=FACE_OUTER_BOUND('',#48857,.T.); #29595=FACE_OUTER_BOUND('',#48858,.T.); #29596=FACE_OUTER_BOUND('',#48859,.T.); #29597=FACE_OUTER_BOUND('',#48860,.T.); #29598=FACE_OUTER_BOUND('',#48861,.T.); #29599=FACE_OUTER_BOUND('',#48862,.T.); #29600=FACE_OUTER_BOUND('',#48863,.T.); #29601=FACE_OUTER_BOUND('',#48864,.T.); #29602=FACE_OUTER_BOUND('',#48865,.T.); #29603=FACE_OUTER_BOUND('',#48866,.T.); #29604=FACE_OUTER_BOUND('',#48867,.T.); #29605=FACE_OUTER_BOUND('',#48868,.T.); #29606=FACE_OUTER_BOUND('',#48870,.T.); #29607=FACE_OUTER_BOUND('',#48872,.T.); #29608=FACE_OUTER_BOUND('',#48873,.T.); #29609=FACE_OUTER_BOUND('',#48874,.T.); #29610=FACE_OUTER_BOUND('',#48875,.T.); #29611=FACE_OUTER_BOUND('',#48876,.T.); #29612=FACE_OUTER_BOUND('',#48877,.T.); #29613=FACE_OUTER_BOUND('',#48878,.T.); #29614=FACE_OUTER_BOUND('',#48879,.T.); #29615=FACE_OUTER_BOUND('',#48880,.T.); #29616=FACE_OUTER_BOUND('',#48881,.T.); #29617=FACE_OUTER_BOUND('',#48882,.T.); #29618=FACE_OUTER_BOUND('',#48883,.T.); #29619=FACE_OUTER_BOUND('',#48884,.T.); #29620=FACE_OUTER_BOUND('',#48885,.T.); #29621=FACE_OUTER_BOUND('',#48886,.T.); #29622=FACE_OUTER_BOUND('',#48887,.T.); #29623=FACE_OUTER_BOUND('',#48888,.T.); #29624=FACE_OUTER_BOUND('',#48890,.T.); #29625=FACE_OUTER_BOUND('',#48892,.T.); #29626=FACE_OUTER_BOUND('',#48893,.T.); #29627=FACE_OUTER_BOUND('',#48894,.T.); #29628=FACE_OUTER_BOUND('',#48895,.T.); #29629=FACE_OUTER_BOUND('',#48896,.T.); #29630=FACE_OUTER_BOUND('',#48897,.T.); #29631=FACE_OUTER_BOUND('',#48898,.T.); #29632=FACE_OUTER_BOUND('',#48899,.T.); #29633=FACE_OUTER_BOUND('',#48900,.T.); #29634=FACE_OUTER_BOUND('',#48901,.T.); #29635=FACE_OUTER_BOUND('',#48902,.T.); #29636=FACE_OUTER_BOUND('',#48903,.T.); #29637=FACE_OUTER_BOUND('',#48904,.T.); #29638=FACE_OUTER_BOUND('',#48905,.T.); #29639=FACE_OUTER_BOUND('',#48906,.T.); #29640=FACE_OUTER_BOUND('',#48907,.T.); #29641=FACE_OUTER_BOUND('',#48908,.T.); #29642=FACE_OUTER_BOUND('',#48909,.T.); #29643=FACE_OUTER_BOUND('',#48910,.T.); #29644=FACE_OUTER_BOUND('',#48911,.T.); #29645=FACE_OUTER_BOUND('',#48912,.T.); #29646=FACE_OUTER_BOUND('',#48913,.T.); #29647=FACE_OUTER_BOUND('',#48914,.T.); #29648=FACE_OUTER_BOUND('',#48915,.T.); #29649=FACE_OUTER_BOUND('',#48916,.T.); #29650=FACE_OUTER_BOUND('',#48917,.T.); #29651=FACE_OUTER_BOUND('',#48918,.T.); #29652=FACE_OUTER_BOUND('',#48919,.T.); #29653=FACE_OUTER_BOUND('',#48920,.T.); #29654=FACE_OUTER_BOUND('',#48921,.T.); #29655=FACE_OUTER_BOUND('',#48922,.T.); #29656=FACE_OUTER_BOUND('',#48923,.T.); #29657=FACE_OUTER_BOUND('',#48924,.T.); #29658=FACE_OUTER_BOUND('',#48925,.T.); #29659=FACE_OUTER_BOUND('',#48926,.T.); #29660=FACE_OUTER_BOUND('',#48927,.T.); #29661=FACE_OUTER_BOUND('',#48928,.T.); #29662=FACE_OUTER_BOUND('',#48929,.T.); #29663=FACE_OUTER_BOUND('',#48930,.T.); #29664=FACE_OUTER_BOUND('',#48931,.T.); #29665=FACE_OUTER_BOUND('',#48932,.T.); #29666=FACE_OUTER_BOUND('',#48933,.T.); #29667=FACE_OUTER_BOUND('',#48934,.T.); #29668=FACE_OUTER_BOUND('',#48935,.T.); #29669=FACE_OUTER_BOUND('',#48936,.T.); #29670=FACE_OUTER_BOUND('',#48937,.T.); #29671=FACE_OUTER_BOUND('',#48938,.T.); #29672=FACE_OUTER_BOUND('',#48939,.T.); #29673=FACE_OUTER_BOUND('',#48940,.T.); #29674=FACE_OUTER_BOUND('',#48941,.T.); #29675=FACE_OUTER_BOUND('',#48942,.T.); #29676=FACE_OUTER_BOUND('',#48943,.T.); #29677=FACE_OUTER_BOUND('',#48944,.T.); #29678=FACE_OUTER_BOUND('',#48945,.T.); #29679=FACE_OUTER_BOUND('',#48946,.T.); #29680=FACE_OUTER_BOUND('',#48947,.T.); #29681=FACE_OUTER_BOUND('',#48948,.T.); #29682=FACE_OUTER_BOUND('',#48949,.T.); #29683=FACE_OUTER_BOUND('',#48950,.T.); #29684=FACE_OUTER_BOUND('',#48951,.T.); #29685=FACE_OUTER_BOUND('',#48952,.T.); #29686=FACE_OUTER_BOUND('',#48953,.T.); #29687=FACE_OUTER_BOUND('',#48954,.T.); #29688=FACE_OUTER_BOUND('',#48955,.T.); #29689=FACE_OUTER_BOUND('',#48956,.T.); #29690=FACE_OUTER_BOUND('',#48957,.T.); #29691=FACE_OUTER_BOUND('',#48958,.T.); #29692=FACE_OUTER_BOUND('',#48959,.T.); #29693=FACE_OUTER_BOUND('',#48960,.T.); #29694=FACE_OUTER_BOUND('',#48961,.T.); #29695=FACE_OUTER_BOUND('',#48962,.T.); #29696=FACE_OUTER_BOUND('',#48963,.T.); #29697=FACE_OUTER_BOUND('',#48964,.T.); #29698=FACE_OUTER_BOUND('',#48966,.T.); #29699=FACE_OUTER_BOUND('',#48968,.T.); #29700=FACE_OUTER_BOUND('',#48969,.T.); #29701=FACE_OUTER_BOUND('',#48970,.T.); #29702=FACE_OUTER_BOUND('',#48971,.T.); #29703=FACE_OUTER_BOUND('',#48972,.T.); #29704=FACE_OUTER_BOUND('',#48973,.T.); #29705=FACE_OUTER_BOUND('',#48974,.T.); #29706=FACE_OUTER_BOUND('',#48975,.T.); #29707=FACE_OUTER_BOUND('',#48976,.T.); #29708=FACE_OUTER_BOUND('',#48977,.T.); #29709=FACE_OUTER_BOUND('',#48980,.T.); #29710=FACE_OUTER_BOUND('',#48983,.T.); #29711=FACE_OUTER_BOUND('',#48984,.T.); #29712=FACE_OUTER_BOUND('',#48985,.T.); #29713=FACE_OUTER_BOUND('',#48986,.T.); #29714=FACE_OUTER_BOUND('',#48987,.T.); #29715=FACE_OUTER_BOUND('',#48988,.T.); #29716=FACE_OUTER_BOUND('',#48990,.T.); #29717=FACE_OUTER_BOUND('',#48992,.T.); #29718=FACE_OUTER_BOUND('',#48993,.T.); #29719=FACE_OUTER_BOUND('',#48994,.T.); #29720=FACE_OUTER_BOUND('',#48995,.T.); #29721=FACE_OUTER_BOUND('',#48996,.T.); #29722=FACE_OUTER_BOUND('',#48997,.T.); #29723=FACE_OUTER_BOUND('',#48998,.T.); #29724=FACE_OUTER_BOUND('',#48999,.T.); #29725=FACE_OUTER_BOUND('',#49000,.T.); #29726=FACE_OUTER_BOUND('',#49001,.T.); #29727=FACE_OUTER_BOUND('',#49002,.T.); #29728=FACE_OUTER_BOUND('',#49003,.T.); #29729=FACE_OUTER_BOUND('',#49004,.T.); #29730=FACE_OUTER_BOUND('',#49005,.T.); #29731=FACE_OUTER_BOUND('',#49006,.T.); #29732=FACE_OUTER_BOUND('',#49007,.T.); #29733=FACE_OUTER_BOUND('',#49008,.T.); #29734=FACE_OUTER_BOUND('',#49009,.T.); #29735=FACE_OUTER_BOUND('',#49010,.T.); #29736=FACE_OUTER_BOUND('',#49011,.T.); #29737=FACE_OUTER_BOUND('',#49012,.T.); #29738=FACE_OUTER_BOUND('',#49013,.T.); #29739=FACE_OUTER_BOUND('',#49014,.T.); #29740=FACE_OUTER_BOUND('',#49015,.T.); #29741=FACE_OUTER_BOUND('',#49016,.T.); #29742=FACE_OUTER_BOUND('',#49017,.T.); #29743=FACE_OUTER_BOUND('',#49020,.T.); #29744=FACE_OUTER_BOUND('',#49023,.T.); #29745=FACE_OUTER_BOUND('',#49024,.T.); #29746=FACE_OUTER_BOUND('',#49025,.T.); #29747=FACE_OUTER_BOUND('',#49026,.T.); #29748=FACE_OUTER_BOUND('',#49027,.T.); #29749=FACE_OUTER_BOUND('',#49028,.T.); #29750=FACE_OUTER_BOUND('',#49029,.T.); #29751=FACE_OUTER_BOUND('',#49030,.T.); #29752=FACE_OUTER_BOUND('',#49031,.T.); #29753=FACE_OUTER_BOUND('',#49032,.T.); #29754=FACE_OUTER_BOUND('',#49033,.T.); #29755=FACE_OUTER_BOUND('',#49036,.T.); #29756=FACE_OUTER_BOUND('',#49039,.T.); #29757=FACE_OUTER_BOUND('',#49040,.T.); #29758=FACE_OUTER_BOUND('',#49041,.T.); #29759=FACE_OUTER_BOUND('',#49042,.T.); #29760=FACE_OUTER_BOUND('',#49043,.T.); #29761=FACE_OUTER_BOUND('',#49044,.T.); #29762=FACE_OUTER_BOUND('',#49046,.T.); #29763=FACE_OUTER_BOUND('',#49048,.T.); #29764=FACE_OUTER_BOUND('',#49049,.T.); #29765=FACE_OUTER_BOUND('',#49050,.T.); #29766=FACE_OUTER_BOUND('',#49051,.T.); #29767=FACE_OUTER_BOUND('',#49052,.T.); #29768=FACE_OUTER_BOUND('',#49053,.T.); #29769=FACE_OUTER_BOUND('',#49054,.T.); #29770=FACE_OUTER_BOUND('',#49055,.T.); #29771=FACE_OUTER_BOUND('',#49056,.T.); #29772=FACE_OUTER_BOUND('',#49057,.T.); #29773=FACE_OUTER_BOUND('',#49058,.T.); #29774=FACE_OUTER_BOUND('',#49059,.T.); #29775=FACE_OUTER_BOUND('',#49060,.T.); #29776=FACE_OUTER_BOUND('',#49061,.T.); #29777=FACE_OUTER_BOUND('',#49062,.T.); #29778=FACE_OUTER_BOUND('',#49063,.T.); #29779=FACE_OUTER_BOUND('',#49064,.T.); #29780=FACE_OUTER_BOUND('',#49065,.T.); #29781=FACE_OUTER_BOUND('',#49066,.T.); #29782=FACE_OUTER_BOUND('',#49067,.T.); #29783=FACE_OUTER_BOUND('',#49068,.T.); #29784=FACE_OUTER_BOUND('',#49069,.T.); #29785=FACE_OUTER_BOUND('',#49070,.T.); #29786=FACE_OUTER_BOUND('',#49071,.T.); #29787=FACE_OUTER_BOUND('',#49072,.T.); #29788=FACE_OUTER_BOUND('',#49073,.T.); #29789=FACE_OUTER_BOUND('',#49074,.T.); #29790=FACE_OUTER_BOUND('',#49075,.T.); #29791=FACE_OUTER_BOUND('',#49076,.T.); #29792=FACE_OUTER_BOUND('',#49077,.T.); #29793=FACE_OUTER_BOUND('',#49078,.T.); #29794=FACE_OUTER_BOUND('',#49079,.T.); #29795=FACE_OUTER_BOUND('',#49080,.T.); #29796=FACE_OUTER_BOUND('',#49081,.T.); #29797=FACE_OUTER_BOUND('',#49082,.T.); #29798=FACE_OUTER_BOUND('',#49083,.T.); #29799=FACE_OUTER_BOUND('',#49084,.T.); #29800=FACE_OUTER_BOUND('',#49085,.T.); #29801=FACE_OUTER_BOUND('',#49086,.T.); #29802=FACE_OUTER_BOUND('',#49087,.T.); #29803=FACE_OUTER_BOUND('',#49088,.T.); #29804=FACE_OUTER_BOUND('',#49089,.T.); #29805=FACE_OUTER_BOUND('',#49090,.T.); #29806=FACE_OUTER_BOUND('',#49091,.T.); #29807=FACE_OUTER_BOUND('',#49092,.T.); #29808=FACE_OUTER_BOUND('',#49093,.T.); #29809=FACE_OUTER_BOUND('',#49094,.T.); #29810=FACE_OUTER_BOUND('',#49095,.T.); #29811=FACE_OUTER_BOUND('',#49096,.T.); #29812=FACE_OUTER_BOUND('',#49097,.T.); #29813=FACE_OUTER_BOUND('',#49098,.T.); #29814=FACE_OUTER_BOUND('',#49099,.T.); #29815=FACE_OUTER_BOUND('',#49100,.T.); #29816=FACE_OUTER_BOUND('',#49101,.T.); #29817=FACE_OUTER_BOUND('',#49102,.T.); #29818=FACE_OUTER_BOUND('',#49103,.T.); #29819=FACE_OUTER_BOUND('',#49104,.T.); #29820=FACE_OUTER_BOUND('',#49105,.T.); #29821=FACE_OUTER_BOUND('',#49106,.T.); #29822=FACE_OUTER_BOUND('',#49107,.T.); #29823=FACE_OUTER_BOUND('',#49108,.T.); #29824=FACE_OUTER_BOUND('',#49109,.T.); #29825=FACE_OUTER_BOUND('',#49110,.T.); #29826=FACE_OUTER_BOUND('',#49111,.T.); #29827=FACE_OUTER_BOUND('',#49112,.T.); #29828=FACE_OUTER_BOUND('',#49113,.T.); #29829=FACE_OUTER_BOUND('',#49114,.T.); #29830=FACE_OUTER_BOUND('',#49115,.T.); #29831=FACE_OUTER_BOUND('',#49116,.T.); #29832=FACE_OUTER_BOUND('',#49117,.T.); #29833=FACE_OUTER_BOUND('',#49118,.T.); #29834=FACE_OUTER_BOUND('',#49119,.T.); #29835=FACE_OUTER_BOUND('',#49120,.T.); #29836=FACE_OUTER_BOUND('',#49121,.T.); #29837=FACE_OUTER_BOUND('',#49122,.T.); #29838=FACE_OUTER_BOUND('',#49123,.T.); #29839=FACE_OUTER_BOUND('',#49124,.T.); #29840=FACE_OUTER_BOUND('',#49125,.T.); #29841=FACE_OUTER_BOUND('',#49126,.T.); #29842=FACE_OUTER_BOUND('',#49127,.T.); #29843=FACE_OUTER_BOUND('',#49128,.T.); #29844=FACE_OUTER_BOUND('',#49129,.T.); #29845=FACE_OUTER_BOUND('',#49130,.T.); #29846=FACE_OUTER_BOUND('',#49131,.T.); #29847=FACE_OUTER_BOUND('',#49132,.T.); #29848=FACE_OUTER_BOUND('',#49133,.T.); #29849=FACE_OUTER_BOUND('',#49134,.T.); #29850=FACE_OUTER_BOUND('',#49135,.T.); #29851=FACE_OUTER_BOUND('',#49136,.T.); #29852=FACE_OUTER_BOUND('',#49137,.T.); #29853=FACE_OUTER_BOUND('',#49138,.T.); #29854=FACE_OUTER_BOUND('',#49139,.T.); #29855=FACE_OUTER_BOUND('',#49140,.T.); #29856=FACE_OUTER_BOUND('',#49141,.T.); #29857=FACE_OUTER_BOUND('',#49142,.T.); #29858=FACE_OUTER_BOUND('',#49148,.T.); #29859=FACE_OUTER_BOUND('',#49154,.T.); #29860=FACE_OUTER_BOUND('',#49155,.T.); #29861=FACE_OUTER_BOUND('',#49156,.T.); #29862=FACE_OUTER_BOUND('',#49157,.T.); #29863=FACE_OUTER_BOUND('',#49158,.T.); #29864=FACE_OUTER_BOUND('',#49159,.T.); #29865=FACE_OUTER_BOUND('',#49160,.T.); #29866=FACE_OUTER_BOUND('',#49161,.T.); #29867=FACE_OUTER_BOUND('',#49162,.T.); #29868=FACE_OUTER_BOUND('',#49163,.T.); #29869=FACE_OUTER_BOUND('',#49164,.T.); #29870=FACE_OUTER_BOUND('',#49165,.T.); #29871=FACE_OUTER_BOUND('',#49166,.T.); #29872=FACE_OUTER_BOUND('',#49167,.T.); #29873=FACE_OUTER_BOUND('',#49168,.T.); #29874=FACE_OUTER_BOUND('',#49169,.T.); #29875=FACE_OUTER_BOUND('',#49170,.T.); #29876=FACE_OUTER_BOUND('',#49171,.T.); #29877=FACE_OUTER_BOUND('',#49172,.T.); #29878=FACE_OUTER_BOUND('',#49173,.T.); #29879=FACE_OUTER_BOUND('',#49174,.T.); #29880=FACE_OUTER_BOUND('',#49175,.T.); #29881=FACE_OUTER_BOUND('',#49176,.T.); #29882=FACE_OUTER_BOUND('',#49177,.T.); #29883=FACE_OUTER_BOUND('',#49178,.T.); #29884=FACE_OUTER_BOUND('',#49179,.T.); #29885=FACE_OUTER_BOUND('',#49180,.T.); #29886=FACE_OUTER_BOUND('',#49181,.T.); #29887=FACE_OUTER_BOUND('',#49182,.T.); #29888=FACE_OUTER_BOUND('',#49183,.T.); #29889=FACE_OUTER_BOUND('',#49184,.T.); #29890=FACE_OUTER_BOUND('',#49185,.T.); #29891=FACE_OUTER_BOUND('',#49186,.T.); #29892=FACE_OUTER_BOUND('',#49187,.T.); #29893=FACE_OUTER_BOUND('',#49188,.T.); #29894=FACE_OUTER_BOUND('',#49189,.T.); #29895=FACE_OUTER_BOUND('',#49190,.T.); #29896=FACE_OUTER_BOUND('',#49191,.T.); #29897=FACE_OUTER_BOUND('',#49192,.T.); #29898=FACE_OUTER_BOUND('',#49193,.T.); #29899=FACE_OUTER_BOUND('',#49194,.T.); #29900=FACE_OUTER_BOUND('',#49195,.T.); #29901=FACE_OUTER_BOUND('',#49196,.T.); #29902=FACE_OUTER_BOUND('',#49197,.T.); #29903=FACE_OUTER_BOUND('',#49198,.T.); #29904=FACE_OUTER_BOUND('',#49199,.T.); #29905=FACE_OUTER_BOUND('',#49200,.T.); #29906=FACE_OUTER_BOUND('',#49201,.T.); #29907=FACE_OUTER_BOUND('',#49202,.T.); #29908=FACE_OUTER_BOUND('',#49203,.T.); #29909=FACE_OUTER_BOUND('',#49205,.T.); #29910=FACE_OUTER_BOUND('',#49207,.T.); #29911=FACE_OUTER_BOUND('',#49208,.T.); #29912=FACE_OUTER_BOUND('',#49209,.T.); #29913=FACE_OUTER_BOUND('',#49210,.T.); #29914=FACE_OUTER_BOUND('',#49211,.T.); #29915=FACE_OUTER_BOUND('',#49212,.T.); #29916=FACE_OUTER_BOUND('',#49213,.T.); #29917=FACE_OUTER_BOUND('',#49214,.T.); #29918=FACE_OUTER_BOUND('',#49215,.T.); #29919=FACE_OUTER_BOUND('',#49216,.T.); #29920=FACE_OUTER_BOUND('',#49217,.T.); #29921=FACE_OUTER_BOUND('',#49218,.T.); #29922=FACE_OUTER_BOUND('',#49219,.T.); #29923=FACE_OUTER_BOUND('',#49220,.T.); #29924=FACE_OUTER_BOUND('',#49221,.T.); #29925=FACE_OUTER_BOUND('',#49222,.T.); #29926=FACE_OUTER_BOUND('',#49223,.T.); #29927=FACE_OUTER_BOUND('',#49224,.T.); #29928=FACE_OUTER_BOUND('',#49225,.T.); #29929=FACE_OUTER_BOUND('',#49226,.T.); #29930=FACE_OUTER_BOUND('',#49227,.T.); #29931=FACE_OUTER_BOUND('',#49228,.T.); #29932=FACE_OUTER_BOUND('',#49229,.T.); #29933=FACE_OUTER_BOUND('',#49230,.T.); #29934=FACE_OUTER_BOUND('',#49231,.T.); #29935=FACE_OUTER_BOUND('',#49232,.T.); #29936=FACE_OUTER_BOUND('',#49233,.T.); #29937=FACE_OUTER_BOUND('',#49234,.T.); #29938=FACE_OUTER_BOUND('',#49235,.T.); #29939=FACE_OUTER_BOUND('',#49236,.T.); #29940=FACE_OUTER_BOUND('',#49237,.T.); #29941=FACE_OUTER_BOUND('',#49238,.T.); #29942=FACE_OUTER_BOUND('',#49239,.T.); #29943=FACE_OUTER_BOUND('',#49240,.T.); #29944=FACE_OUTER_BOUND('',#49241,.T.); #29945=FACE_OUTER_BOUND('',#49242,.T.); #29946=FACE_OUTER_BOUND('',#49243,.T.); #29947=FACE_OUTER_BOUND('',#49244,.T.); #29948=FACE_OUTER_BOUND('',#49245,.T.); #29949=FACE_OUTER_BOUND('',#49246,.T.); #29950=FACE_OUTER_BOUND('',#49247,.T.); #29951=FACE_OUTER_BOUND('',#49248,.T.); #29952=FACE_OUTER_BOUND('',#49249,.T.); #29953=FACE_OUTER_BOUND('',#49250,.T.); #29954=FACE_OUTER_BOUND('',#49251,.T.); #29955=FACE_OUTER_BOUND('',#49252,.T.); #29956=FACE_OUTER_BOUND('',#49253,.T.); #29957=FACE_OUTER_BOUND('',#49254,.T.); #29958=FACE_OUTER_BOUND('',#49255,.T.); #29959=FACE_OUTER_BOUND('',#49256,.T.); #29960=FACE_OUTER_BOUND('',#49257,.T.); #29961=FACE_OUTER_BOUND('',#49258,.T.); #29962=FACE_OUTER_BOUND('',#49259,.T.); #29963=FACE_OUTER_BOUND('',#49260,.T.); #29964=FACE_OUTER_BOUND('',#49261,.T.); #29965=FACE_OUTER_BOUND('',#49262,.T.); #29966=FACE_OUTER_BOUND('',#49263,.T.); #29967=FACE_OUTER_BOUND('',#49264,.T.); #29968=FACE_OUTER_BOUND('',#49265,.T.); #29969=FACE_OUTER_BOUND('',#49266,.T.); #29970=FACE_OUTER_BOUND('',#49267,.T.); #29971=FACE_OUTER_BOUND('',#49268,.T.); #29972=FACE_OUTER_BOUND('',#49269,.T.); #29973=FACE_OUTER_BOUND('',#49270,.T.); #29974=FACE_OUTER_BOUND('',#49271,.T.); #29975=FACE_OUTER_BOUND('',#49272,.T.); #29976=FACE_OUTER_BOUND('',#49273,.T.); #29977=FACE_OUTER_BOUND('',#49274,.T.); #29978=FACE_OUTER_BOUND('',#49275,.T.); #29979=FACE_OUTER_BOUND('',#49276,.T.); #29980=FACE_OUTER_BOUND('',#49277,.T.); #29981=FACE_OUTER_BOUND('',#49278,.T.); #29982=FACE_OUTER_BOUND('',#49279,.T.); #29983=FACE_OUTER_BOUND('',#49280,.T.); #29984=FACE_OUTER_BOUND('',#49281,.T.); #29985=FACE_OUTER_BOUND('',#49282,.T.); #29986=FACE_OUTER_BOUND('',#49283,.T.); #29987=FACE_OUTER_BOUND('',#49284,.T.); #29988=FACE_OUTER_BOUND('',#49285,.T.); #29989=FACE_OUTER_BOUND('',#49286,.T.); #29990=FACE_OUTER_BOUND('',#49287,.T.); #29991=FACE_OUTER_BOUND('',#49288,.T.); #29992=FACE_OUTER_BOUND('',#49289,.T.); #29993=FACE_OUTER_BOUND('',#49290,.T.); #29994=FACE_OUTER_BOUND('',#49291,.T.); #29995=FACE_OUTER_BOUND('',#49292,.T.); #29996=FACE_OUTER_BOUND('',#49293,.T.); #29997=FACE_OUTER_BOUND('',#49294,.T.); #29998=FACE_OUTER_BOUND('',#49295,.T.); #29999=FACE_OUTER_BOUND('',#49296,.T.); #30000=FACE_OUTER_BOUND('',#49297,.T.); #30001=FACE_OUTER_BOUND('',#49298,.T.); #30002=FACE_OUTER_BOUND('',#49299,.T.); #30003=FACE_OUTER_BOUND('',#49300,.T.); #30004=FACE_OUTER_BOUND('',#49301,.T.); #30005=FACE_OUTER_BOUND('',#49302,.T.); #30006=FACE_OUTER_BOUND('',#49303,.T.); #30007=FACE_OUTER_BOUND('',#49304,.T.); #30008=FACE_OUTER_BOUND('',#49305,.T.); #30009=FACE_OUTER_BOUND('',#49306,.T.); #30010=FACE_OUTER_BOUND('',#49307,.T.); #30011=FACE_OUTER_BOUND('',#49308,.T.); #30012=FACE_OUTER_BOUND('',#49309,.T.); #30013=FACE_OUTER_BOUND('',#49310,.T.); #30014=FACE_OUTER_BOUND('',#49311,.T.); #30015=FACE_OUTER_BOUND('',#49312,.T.); #30016=FACE_OUTER_BOUND('',#49313,.T.); #30017=FACE_OUTER_BOUND('',#49314,.T.); #30018=FACE_OUTER_BOUND('',#49315,.T.); #30019=FACE_OUTER_BOUND('',#49316,.T.); #30020=FACE_OUTER_BOUND('',#49329,.T.); #30021=FACE_OUTER_BOUND('',#49342,.T.); #30022=FACE_OUTER_BOUND('',#49343,.T.); #30023=FACE_OUTER_BOUND('',#49344,.T.); #30024=FACE_OUTER_BOUND('',#49345,.T.); #30025=FACE_OUTER_BOUND('',#49346,.T.); #30026=FACE_OUTER_BOUND('',#49347,.T.); #30027=FACE_OUTER_BOUND('',#49348,.T.); #30028=FACE_OUTER_BOUND('',#49349,.T.); #30029=FACE_OUTER_BOUND('',#49350,.T.); #30030=FACE_OUTER_BOUND('',#49351,.T.); #30031=FACE_OUTER_BOUND('',#49352,.T.); #30032=FACE_OUTER_BOUND('',#49353,.T.); #30033=FACE_OUTER_BOUND('',#49354,.T.); #30034=FACE_OUTER_BOUND('',#49355,.T.); #30035=FACE_OUTER_BOUND('',#49356,.T.); #30036=FACE_OUTER_BOUND('',#49357,.T.); #30037=FACE_OUTER_BOUND('',#49358,.T.); #30038=FACE_OUTER_BOUND('',#49359,.T.); #30039=FACE_OUTER_BOUND('',#49360,.T.); #30040=FACE_OUTER_BOUND('',#49361,.T.); #30041=FACE_OUTER_BOUND('',#49362,.T.); #30042=FACE_OUTER_BOUND('',#49363,.T.); #30043=FACE_OUTER_BOUND('',#49364,.T.); #30044=FACE_OUTER_BOUND('',#49365,.T.); #30045=FACE_OUTER_BOUND('',#49366,.T.); #30046=FACE_OUTER_BOUND('',#49367,.T.); #30047=FACE_OUTER_BOUND('',#49368,.T.); #30048=FACE_OUTER_BOUND('',#49369,.T.); #30049=FACE_OUTER_BOUND('',#49370,.T.); #30050=FACE_OUTER_BOUND('',#49371,.T.); #30051=FACE_OUTER_BOUND('',#49372,.T.); #30052=FACE_OUTER_BOUND('',#49373,.T.); #30053=FACE_OUTER_BOUND('',#49374,.T.); #30054=FACE_OUTER_BOUND('',#49375,.T.); #30055=FACE_OUTER_BOUND('',#49376,.T.); #30056=FACE_OUTER_BOUND('',#49377,.T.); #30057=FACE_OUTER_BOUND('',#49378,.T.); #30058=FACE_OUTER_BOUND('',#49379,.T.); #30059=FACE_OUTER_BOUND('',#49380,.T.); #30060=FACE_OUTER_BOUND('',#49381,.T.); #30061=FACE_OUTER_BOUND('',#49382,.T.); #30062=FACE_OUTER_BOUND('',#49383,.T.); #30063=FACE_OUTER_BOUND('',#49384,.T.); #30064=FACE_OUTER_BOUND('',#49385,.T.); #30065=FACE_OUTER_BOUND('',#49386,.T.); #30066=FACE_OUTER_BOUND('',#49387,.T.); #30067=FACE_OUTER_BOUND('',#49388,.T.); #30068=FACE_OUTER_BOUND('',#49389,.T.); #30069=FACE_OUTER_BOUND('',#49390,.T.); #30070=FACE_OUTER_BOUND('',#49391,.T.); #30071=FACE_OUTER_BOUND('',#49392,.T.); #30072=FACE_OUTER_BOUND('',#49393,.T.); #30073=FACE_OUTER_BOUND('',#49394,.T.); #30074=FACE_OUTER_BOUND('',#49395,.T.); #30075=FACE_OUTER_BOUND('',#49396,.T.); #30076=FACE_OUTER_BOUND('',#49397,.T.); #30077=FACE_OUTER_BOUND('',#49398,.T.); #30078=FACE_OUTER_BOUND('',#49399,.T.); #30079=FACE_OUTER_BOUND('',#49400,.T.); #30080=FACE_OUTER_BOUND('',#49401,.T.); #30081=FACE_OUTER_BOUND('',#49402,.T.); #30082=FACE_OUTER_BOUND('',#49403,.T.); #30083=FACE_OUTER_BOUND('',#49404,.T.); #30084=FACE_OUTER_BOUND('',#49405,.T.); #30085=FACE_OUTER_BOUND('',#49406,.T.); #30086=FACE_OUTER_BOUND('',#49407,.T.); #30087=FACE_OUTER_BOUND('',#49408,.T.); #30088=FACE_OUTER_BOUND('',#49409,.T.); #30089=FACE_OUTER_BOUND('',#49410,.T.); #30090=FACE_OUTER_BOUND('',#49411,.T.); #30091=FACE_OUTER_BOUND('',#49412,.T.); #30092=FACE_OUTER_BOUND('',#49413,.T.); #30093=FACE_OUTER_BOUND('',#49414,.T.); #30094=FACE_OUTER_BOUND('',#49415,.T.); #30095=FACE_OUTER_BOUND('',#49416,.T.); #30096=FACE_OUTER_BOUND('',#49417,.T.); #30097=FACE_OUTER_BOUND('',#49418,.T.); #30098=FACE_OUTER_BOUND('',#49419,.T.); #30099=FACE_OUTER_BOUND('',#49420,.T.); #30100=FACE_OUTER_BOUND('',#49421,.T.); #30101=FACE_OUTER_BOUND('',#49422,.T.); #30102=FACE_OUTER_BOUND('',#49423,.T.); #30103=FACE_OUTER_BOUND('',#49424,.T.); #30104=FACE_OUTER_BOUND('',#49425,.T.); #30105=FACE_OUTER_BOUND('',#49426,.T.); #30106=FACE_OUTER_BOUND('',#49427,.T.); #30107=FACE_OUTER_BOUND('',#49428,.T.); #30108=FACE_OUTER_BOUND('',#49429,.T.); #30109=FACE_OUTER_BOUND('',#49430,.T.); #30110=FACE_OUTER_BOUND('',#49431,.T.); #30111=FACE_OUTER_BOUND('',#49432,.T.); #30112=FACE_OUTER_BOUND('',#49433,.T.); #30113=FACE_OUTER_BOUND('',#49434,.T.); #30114=FACE_OUTER_BOUND('',#49435,.T.); #30115=FACE_OUTER_BOUND('',#49436,.T.); #30116=FACE_OUTER_BOUND('',#49437,.T.); #30117=FACE_OUTER_BOUND('',#49438,.T.); #30118=FACE_OUTER_BOUND('',#49439,.T.); #30119=FACE_OUTER_BOUND('',#49440,.T.); #30120=FACE_OUTER_BOUND('',#49441,.T.); #30121=FACE_OUTER_BOUND('',#49442,.T.); #30122=FACE_OUTER_BOUND('',#49443,.T.); #30123=FACE_OUTER_BOUND('',#49444,.T.); #30124=FACE_OUTER_BOUND('',#49445,.T.); #30125=FACE_OUTER_BOUND('',#49446,.T.); #30126=FACE_OUTER_BOUND('',#49447,.T.); #30127=FACE_OUTER_BOUND('',#49448,.T.); #30128=FACE_OUTER_BOUND('',#49449,.T.); #30129=FACE_OUTER_BOUND('',#49450,.T.); #30130=FACE_OUTER_BOUND('',#49451,.T.); #30131=FACE_OUTER_BOUND('',#49452,.T.); #30132=FACE_OUTER_BOUND('',#49453,.T.); #30133=FACE_OUTER_BOUND('',#49454,.T.); #30134=FACE_OUTER_BOUND('',#49455,.T.); #30135=FACE_OUTER_BOUND('',#49456,.T.); #30136=FACE_OUTER_BOUND('',#49457,.T.); #30137=FACE_OUTER_BOUND('',#49458,.T.); #30138=FACE_OUTER_BOUND('',#49459,.T.); #30139=FACE_OUTER_BOUND('',#49460,.T.); #30140=FACE_OUTER_BOUND('',#49461,.T.); #30141=FACE_OUTER_BOUND('',#49462,.T.); #30142=FACE_OUTER_BOUND('',#49463,.T.); #30143=FACE_OUTER_BOUND('',#49464,.T.); #30144=FACE_OUTER_BOUND('',#49465,.T.); #30145=FACE_OUTER_BOUND('',#49466,.T.); #30146=FACE_OUTER_BOUND('',#49467,.T.); #30147=FACE_OUTER_BOUND('',#49468,.T.); #30148=FACE_OUTER_BOUND('',#49469,.T.); #30149=FACE_OUTER_BOUND('',#49470,.T.); #30150=FACE_OUTER_BOUND('',#49471,.T.); #30151=FACE_OUTER_BOUND('',#49472,.T.); #30152=FACE_OUTER_BOUND('',#49473,.T.); #30153=FACE_OUTER_BOUND('',#49474,.T.); #30154=FACE_OUTER_BOUND('',#49475,.T.); #30155=FACE_OUTER_BOUND('',#49476,.T.); #30156=FACE_OUTER_BOUND('',#49477,.T.); #30157=FACE_OUTER_BOUND('',#49478,.T.); #30158=FACE_OUTER_BOUND('',#49479,.T.); #30159=FACE_OUTER_BOUND('',#49480,.T.); #30160=FACE_OUTER_BOUND('',#49481,.T.); #30161=FACE_OUTER_BOUND('',#49482,.T.); #30162=FACE_OUTER_BOUND('',#49483,.T.); #30163=FACE_OUTER_BOUND('',#49484,.T.); #30164=FACE_OUTER_BOUND('',#49485,.T.); #30165=FACE_OUTER_BOUND('',#49486,.T.); #30166=FACE_OUTER_BOUND('',#49487,.T.); #30167=FACE_OUTER_BOUND('',#49488,.T.); #30168=FACE_OUTER_BOUND('',#49489,.T.); #30169=FACE_OUTER_BOUND('',#49490,.T.); #30170=FACE_OUTER_BOUND('',#49491,.T.); #30171=FACE_OUTER_BOUND('',#49492,.T.); #30172=FACE_OUTER_BOUND('',#49493,.T.); #30173=FACE_OUTER_BOUND('',#49494,.T.); #30174=FACE_OUTER_BOUND('',#49495,.T.); #30175=FACE_OUTER_BOUND('',#49496,.T.); #30176=FACE_OUTER_BOUND('',#49497,.T.); #30177=FACE_OUTER_BOUND('',#49498,.T.); #30178=FACE_OUTER_BOUND('',#49499,.T.); #30179=FACE_OUTER_BOUND('',#49500,.T.); #30180=FACE_OUTER_BOUND('',#49501,.T.); #30181=FACE_OUTER_BOUND('',#49502,.T.); #30182=FACE_OUTER_BOUND('',#49503,.T.); #30183=FACE_OUTER_BOUND('',#49504,.T.); #30184=FACE_OUTER_BOUND('',#49505,.T.); #30185=FACE_OUTER_BOUND('',#49506,.T.); #30186=FACE_OUTER_BOUND('',#49507,.T.); #30187=FACE_OUTER_BOUND('',#49508,.T.); #30188=FACE_OUTER_BOUND('',#49509,.T.); #30189=FACE_OUTER_BOUND('',#49510,.T.); #30190=FACE_OUTER_BOUND('',#49511,.T.); #30191=FACE_OUTER_BOUND('',#49512,.T.); #30192=FACE_OUTER_BOUND('',#49513,.T.); #30193=FACE_OUTER_BOUND('',#49514,.T.); #30194=FACE_OUTER_BOUND('',#49515,.T.); #30195=FACE_OUTER_BOUND('',#49516,.T.); #30196=FACE_OUTER_BOUND('',#49517,.T.); #30197=FACE_OUTER_BOUND('',#49518,.T.); #30198=FACE_OUTER_BOUND('',#49519,.T.); #30199=FACE_OUTER_BOUND('',#49520,.T.); #30200=FACE_OUTER_BOUND('',#49521,.T.); #30201=FACE_OUTER_BOUND('',#49522,.T.); #30202=FACE_OUTER_BOUND('',#49523,.T.); #30203=FACE_OUTER_BOUND('',#49524,.T.); #30204=FACE_OUTER_BOUND('',#49525,.T.); #30205=FACE_OUTER_BOUND('',#49526,.T.); #30206=FACE_OUTER_BOUND('',#49527,.T.); #30207=FACE_OUTER_BOUND('',#49528,.T.); #30208=FACE_OUTER_BOUND('',#49529,.T.); #30209=FACE_OUTER_BOUND('',#49530,.T.); #30210=FACE_OUTER_BOUND('',#49531,.T.); #30211=FACE_OUTER_BOUND('',#49532,.T.); #30212=FACE_OUTER_BOUND('',#49533,.T.); #30213=FACE_OUTER_BOUND('',#49534,.T.); #30214=FACE_OUTER_BOUND('',#49535,.T.); #30215=FACE_OUTER_BOUND('',#49536,.T.); #30216=FACE_OUTER_BOUND('',#49537,.T.); #30217=FACE_OUTER_BOUND('',#49538,.T.); #30218=FACE_OUTER_BOUND('',#49539,.T.); #30219=FACE_OUTER_BOUND('',#49540,.T.); #30220=FACE_OUTER_BOUND('',#49541,.T.); #30221=FACE_OUTER_BOUND('',#49542,.T.); #30222=FACE_OUTER_BOUND('',#49543,.T.); #30223=FACE_OUTER_BOUND('',#49544,.T.); #30224=FACE_OUTER_BOUND('',#49545,.T.); #30225=FACE_OUTER_BOUND('',#49546,.T.); #30226=FACE_OUTER_BOUND('',#49547,.T.); #30227=FACE_OUTER_BOUND('',#49548,.T.); #30228=FACE_OUTER_BOUND('',#49549,.T.); #30229=FACE_OUTER_BOUND('',#49550,.T.); #30230=FACE_OUTER_BOUND('',#49551,.T.); #30231=FACE_OUTER_BOUND('',#49552,.T.); #30232=FACE_OUTER_BOUND('',#49553,.T.); #30233=FACE_OUTER_BOUND('',#49554,.T.); #30234=FACE_OUTER_BOUND('',#49555,.T.); #30235=FACE_OUTER_BOUND('',#49556,.T.); #30236=FACE_OUTER_BOUND('',#49557,.T.); #30237=FACE_OUTER_BOUND('',#49558,.T.); #30238=FACE_OUTER_BOUND('',#49559,.T.); #30239=FACE_OUTER_BOUND('',#49560,.T.); #30240=FACE_OUTER_BOUND('',#49561,.T.); #30241=FACE_OUTER_BOUND('',#49562,.T.); #30242=FACE_OUTER_BOUND('',#49563,.T.); #30243=FACE_OUTER_BOUND('',#49564,.T.); #30244=FACE_OUTER_BOUND('',#49565,.T.); #30245=FACE_OUTER_BOUND('',#49566,.T.); #30246=FACE_OUTER_BOUND('',#49567,.T.); #30247=FACE_OUTER_BOUND('',#49568,.T.); #30248=FACE_OUTER_BOUND('',#49569,.T.); #30249=FACE_OUTER_BOUND('',#49570,.T.); #30250=FACE_OUTER_BOUND('',#49571,.T.); #30251=FACE_OUTER_BOUND('',#49572,.T.); #30252=FACE_OUTER_BOUND('',#49573,.T.); #30253=FACE_OUTER_BOUND('',#49574,.T.); #30254=FACE_OUTER_BOUND('',#49575,.T.); #30255=FACE_OUTER_BOUND('',#49576,.T.); #30256=FACE_OUTER_BOUND('',#49577,.T.); #30257=FACE_OUTER_BOUND('',#49578,.T.); #30258=FACE_OUTER_BOUND('',#49579,.T.); #30259=FACE_OUTER_BOUND('',#49580,.T.); #30260=FACE_OUTER_BOUND('',#49581,.T.); #30261=FACE_OUTER_BOUND('',#49582,.T.); #30262=FACE_OUTER_BOUND('',#49583,.T.); #30263=FACE_OUTER_BOUND('',#49584,.T.); #30264=FACE_OUTER_BOUND('',#49585,.T.); #30265=FACE_OUTER_BOUND('',#49586,.T.); #30266=FACE_OUTER_BOUND('',#49587,.T.); #30267=FACE_OUTER_BOUND('',#49588,.T.); #30268=FACE_OUTER_BOUND('',#49589,.T.); #30269=FACE_OUTER_BOUND('',#49590,.T.); #30270=FACE_OUTER_BOUND('',#49591,.T.); #30271=FACE_OUTER_BOUND('',#49592,.T.); #30272=FACE_OUTER_BOUND('',#49593,.T.); #30273=FACE_OUTER_BOUND('',#49594,.T.); #30274=FACE_OUTER_BOUND('',#49595,.T.); #30275=FACE_OUTER_BOUND('',#49596,.T.); #30276=FACE_OUTER_BOUND('',#49597,.T.); #30277=FACE_OUTER_BOUND('',#49598,.T.); #30278=FACE_OUTER_BOUND('',#49599,.T.); #30279=FACE_OUTER_BOUND('',#49600,.T.); #30280=FACE_OUTER_BOUND('',#49601,.T.); #30281=FACE_OUTER_BOUND('',#49602,.T.); #30282=FACE_OUTER_BOUND('',#49603,.T.); #30283=FACE_OUTER_BOUND('',#49604,.T.); #30284=FACE_OUTER_BOUND('',#49605,.T.); #30285=FACE_OUTER_BOUND('',#49606,.T.); #30286=FACE_OUTER_BOUND('',#49607,.T.); #30287=FACE_OUTER_BOUND('',#49608,.T.); #30288=FACE_OUTER_BOUND('',#49609,.T.); #30289=FACE_OUTER_BOUND('',#49610,.T.); #30290=FACE_OUTER_BOUND('',#49611,.T.); #30291=FACE_OUTER_BOUND('',#49612,.T.); #30292=FACE_OUTER_BOUND('',#49613,.T.); #30293=FACE_OUTER_BOUND('',#49614,.T.); #30294=FACE_OUTER_BOUND('',#49615,.T.); #30295=FACE_OUTER_BOUND('',#49616,.T.); #30296=FACE_OUTER_BOUND('',#49617,.T.); #30297=FACE_OUTER_BOUND('',#49618,.T.); #30298=FACE_OUTER_BOUND('',#49619,.T.); #30299=FACE_OUTER_BOUND('',#49620,.T.); #30300=FACE_OUTER_BOUND('',#49621,.T.); #30301=FACE_OUTER_BOUND('',#49622,.T.); #30302=FACE_OUTER_BOUND('',#49623,.T.); #30303=FACE_OUTER_BOUND('',#49624,.T.); #30304=FACE_OUTER_BOUND('',#49625,.T.); #30305=FACE_OUTER_BOUND('',#49626,.T.); #30306=FACE_OUTER_BOUND('',#49627,.T.); #30307=FACE_OUTER_BOUND('',#49628,.T.); #30308=FACE_OUTER_BOUND('',#49629,.T.); #30309=FACE_OUTER_BOUND('',#49630,.T.); #30310=FACE_OUTER_BOUND('',#49631,.T.); #30311=FACE_OUTER_BOUND('',#49632,.T.); #30312=FACE_OUTER_BOUND('',#49633,.T.); #30313=FACE_OUTER_BOUND('',#49634,.T.); #30314=FACE_OUTER_BOUND('',#49635,.T.); #30315=FACE_OUTER_BOUND('',#49636,.T.); #30316=FACE_OUTER_BOUND('',#49637,.T.); #30317=FACE_OUTER_BOUND('',#49638,.T.); #30318=FACE_OUTER_BOUND('',#49639,.T.); #30319=FACE_OUTER_BOUND('',#49640,.T.); #30320=FACE_OUTER_BOUND('',#49641,.T.); #30321=FACE_OUTER_BOUND('',#49642,.T.); #30322=FACE_OUTER_BOUND('',#49643,.T.); #30323=FACE_OUTER_BOUND('',#49644,.T.); #30324=FACE_OUTER_BOUND('',#49645,.T.); #30325=FACE_OUTER_BOUND('',#49646,.T.); #30326=FACE_OUTER_BOUND('',#49647,.T.); #30327=FACE_OUTER_BOUND('',#49648,.T.); #30328=FACE_OUTER_BOUND('',#49649,.T.); #30329=FACE_OUTER_BOUND('',#49650,.T.); #30330=FACE_OUTER_BOUND('',#49651,.T.); #30331=FACE_OUTER_BOUND('',#49652,.T.); #30332=FACE_OUTER_BOUND('',#49653,.T.); #30333=FACE_OUTER_BOUND('',#49654,.T.); #30334=FACE_OUTER_BOUND('',#49655,.T.); #30335=FACE_OUTER_BOUND('',#49656,.T.); #30336=FACE_OUTER_BOUND('',#49657,.T.); #30337=FACE_OUTER_BOUND('',#49658,.T.); #30338=FACE_OUTER_BOUND('',#49659,.T.); #30339=FACE_OUTER_BOUND('',#49660,.T.); #30340=FACE_OUTER_BOUND('',#49661,.T.); #30341=FACE_OUTER_BOUND('',#49662,.T.); #30342=FACE_OUTER_BOUND('',#49663,.T.); #30343=FACE_OUTER_BOUND('',#49664,.T.); #30344=FACE_OUTER_BOUND('',#49665,.T.); #30345=FACE_OUTER_BOUND('',#49666,.T.); #30346=FACE_OUTER_BOUND('',#49667,.T.); #30347=FACE_OUTER_BOUND('',#49668,.T.); #30348=FACE_OUTER_BOUND('',#49669,.T.); #30349=FACE_OUTER_BOUND('',#49670,.T.); #30350=FACE_OUTER_BOUND('',#49671,.T.); #30351=FACE_OUTER_BOUND('',#49672,.T.); #30352=FACE_OUTER_BOUND('',#49673,.T.); #30353=FACE_OUTER_BOUND('',#49674,.T.); #30354=FACE_OUTER_BOUND('',#49675,.T.); #30355=FACE_OUTER_BOUND('',#49676,.T.); #30356=FACE_OUTER_BOUND('',#49677,.T.); #30357=FACE_OUTER_BOUND('',#49678,.T.); #30358=FACE_OUTER_BOUND('',#49679,.T.); #30359=FACE_OUTER_BOUND('',#49680,.T.); #30360=FACE_OUTER_BOUND('',#49681,.T.); #30361=FACE_OUTER_BOUND('',#49682,.T.); #30362=FACE_OUTER_BOUND('',#49683,.T.); #30363=FACE_OUTER_BOUND('',#49684,.T.); #30364=FACE_OUTER_BOUND('',#49685,.T.); #30365=FACE_OUTER_BOUND('',#49686,.T.); #30366=FACE_OUTER_BOUND('',#49687,.T.); #30367=FACE_OUTER_BOUND('',#49688,.T.); #30368=FACE_OUTER_BOUND('',#49689,.T.); #30369=FACE_OUTER_BOUND('',#49690,.T.); #30370=FACE_OUTER_BOUND('',#49691,.T.); #30371=FACE_OUTER_BOUND('',#49692,.T.); #30372=FACE_OUTER_BOUND('',#49693,.T.); #30373=FACE_OUTER_BOUND('',#49694,.T.); #30374=FACE_OUTER_BOUND('',#49695,.T.); #30375=FACE_OUTER_BOUND('',#49696,.T.); #30376=FACE_OUTER_BOUND('',#49697,.T.); #30377=FACE_OUTER_BOUND('',#49698,.T.); #30378=FACE_OUTER_BOUND('',#49699,.T.); #30379=FACE_OUTER_BOUND('',#49700,.T.); #30380=FACE_OUTER_BOUND('',#49701,.T.); #30381=FACE_OUTER_BOUND('',#49702,.T.); #30382=FACE_OUTER_BOUND('',#49703,.T.); #30383=FACE_OUTER_BOUND('',#49704,.T.); #30384=FACE_OUTER_BOUND('',#49705,.T.); #30385=FACE_OUTER_BOUND('',#49706,.T.); #30386=FACE_OUTER_BOUND('',#49707,.T.); #30387=FACE_OUTER_BOUND('',#49708,.T.); #30388=FACE_OUTER_BOUND('',#49709,.T.); #30389=FACE_OUTER_BOUND('',#49710,.T.); #30390=FACE_OUTER_BOUND('',#49711,.T.); #30391=FACE_OUTER_BOUND('',#49712,.T.); #30392=FACE_OUTER_BOUND('',#49713,.T.); #30393=FACE_OUTER_BOUND('',#49714,.T.); #30394=FACE_OUTER_BOUND('',#49715,.T.); #30395=FACE_OUTER_BOUND('',#49716,.T.); #30396=FACE_OUTER_BOUND('',#49717,.T.); #30397=FACE_OUTER_BOUND('',#49718,.T.); #30398=FACE_OUTER_BOUND('',#49719,.T.); #30399=FACE_OUTER_BOUND('',#49720,.T.); #30400=FACE_OUTER_BOUND('',#49721,.T.); #30401=FACE_OUTER_BOUND('',#49722,.T.); #30402=FACE_OUTER_BOUND('',#49723,.T.); #30403=FACE_OUTER_BOUND('',#49724,.T.); #30404=FACE_OUTER_BOUND('',#49725,.T.); #30405=FACE_OUTER_BOUND('',#49726,.T.); #30406=FACE_OUTER_BOUND('',#49727,.T.); #30407=FACE_OUTER_BOUND('',#49728,.T.); #30408=FACE_OUTER_BOUND('',#49729,.T.); #30409=FACE_OUTER_BOUND('',#49730,.T.); #30410=FACE_OUTER_BOUND('',#49731,.T.); #30411=FACE_OUTER_BOUND('',#49732,.T.); #30412=FACE_OUTER_BOUND('',#49733,.T.); #30413=FACE_OUTER_BOUND('',#49734,.T.); #30414=FACE_OUTER_BOUND('',#49735,.T.); #30415=FACE_OUTER_BOUND('',#49736,.T.); #30416=FACE_OUTER_BOUND('',#49737,.T.); #30417=FACE_OUTER_BOUND('',#49738,.T.); #30418=FACE_OUTER_BOUND('',#49739,.T.); #30419=FACE_OUTER_BOUND('',#49740,.T.); #30420=FACE_OUTER_BOUND('',#49741,.T.); #30421=FACE_OUTER_BOUND('',#49742,.T.); #30422=FACE_OUTER_BOUND('',#49743,.T.); #30423=FACE_OUTER_BOUND('',#49744,.T.); #30424=FACE_OUTER_BOUND('',#49745,.T.); #30425=FACE_OUTER_BOUND('',#49746,.T.); #30426=FACE_OUTER_BOUND('',#49747,.T.); #30427=FACE_OUTER_BOUND('',#49748,.T.); #30428=FACE_OUTER_BOUND('',#49749,.T.); #30429=FACE_OUTER_BOUND('',#49750,.T.); #30430=FACE_OUTER_BOUND('',#49751,.T.); #30431=FACE_OUTER_BOUND('',#49752,.T.); #30432=FACE_OUTER_BOUND('',#49753,.T.); #30433=FACE_OUTER_BOUND('',#49754,.T.); #30434=FACE_OUTER_BOUND('',#49755,.T.); #30435=FACE_OUTER_BOUND('',#49756,.T.); #30436=FACE_OUTER_BOUND('',#49757,.T.); #30437=FACE_OUTER_BOUND('',#49758,.T.); #30438=FACE_OUTER_BOUND('',#49759,.T.); #30439=FACE_OUTER_BOUND('',#49760,.T.); #30440=FACE_OUTER_BOUND('',#49761,.T.); #30441=FACE_OUTER_BOUND('',#49762,.T.); #30442=FACE_OUTER_BOUND('',#49763,.T.); #30443=FACE_OUTER_BOUND('',#49764,.T.); #30444=FACE_OUTER_BOUND('',#49765,.T.); #30445=FACE_OUTER_BOUND('',#49766,.T.); #30446=FACE_OUTER_BOUND('',#49767,.T.); #30447=FACE_OUTER_BOUND('',#49768,.T.); #30448=FACE_OUTER_BOUND('',#49769,.T.); #30449=FACE_OUTER_BOUND('',#49770,.T.); #30450=FACE_OUTER_BOUND('',#49771,.T.); #30451=FACE_OUTER_BOUND('',#49772,.T.); #30452=FACE_OUTER_BOUND('',#49773,.T.); #30453=FACE_OUTER_BOUND('',#49774,.T.); #30454=FACE_OUTER_BOUND('',#49775,.T.); #30455=FACE_OUTER_BOUND('',#49776,.T.); #30456=FACE_OUTER_BOUND('',#49777,.T.); #30457=FACE_OUTER_BOUND('',#49778,.T.); #30458=FACE_OUTER_BOUND('',#49779,.T.); #30459=FACE_OUTER_BOUND('',#49780,.T.); #30460=FACE_OUTER_BOUND('',#49781,.T.); #30461=FACE_OUTER_BOUND('',#49782,.T.); #30462=FACE_OUTER_BOUND('',#49783,.T.); #30463=FACE_OUTER_BOUND('',#49784,.T.); #30464=FACE_OUTER_BOUND('',#49785,.T.); #30465=FACE_OUTER_BOUND('',#49786,.T.); #30466=FACE_OUTER_BOUND('',#49787,.T.); #30467=FACE_OUTER_BOUND('',#49788,.T.); #30468=FACE_OUTER_BOUND('',#49789,.T.); #30469=FACE_OUTER_BOUND('',#49790,.T.); #30470=FACE_OUTER_BOUND('',#49791,.T.); #30471=FACE_OUTER_BOUND('',#49792,.T.); #30472=FACE_OUTER_BOUND('',#49793,.T.); #30473=FACE_OUTER_BOUND('',#49794,.T.); #30474=FACE_OUTER_BOUND('',#49795,.T.); #30475=FACE_OUTER_BOUND('',#49796,.T.); #30476=FACE_OUTER_BOUND('',#49797,.T.); #30477=FACE_OUTER_BOUND('',#49798,.T.); #30478=FACE_OUTER_BOUND('',#49799,.T.); #30479=FACE_OUTER_BOUND('',#49800,.T.); #30480=FACE_OUTER_BOUND('',#49801,.T.); #30481=FACE_OUTER_BOUND('',#49802,.T.); #30482=FACE_OUTER_BOUND('',#49803,.T.); #30483=FACE_OUTER_BOUND('',#49804,.T.); #30484=FACE_OUTER_BOUND('',#49805,.T.); #30485=FACE_OUTER_BOUND('',#49806,.T.); #30486=FACE_OUTER_BOUND('',#49807,.T.); #30487=FACE_OUTER_BOUND('',#49808,.T.); #30488=FACE_OUTER_BOUND('',#49809,.T.); #30489=FACE_OUTER_BOUND('',#49810,.T.); #30490=FACE_OUTER_BOUND('',#49811,.T.); #30491=FACE_OUTER_BOUND('',#49812,.T.); #30492=FACE_OUTER_BOUND('',#49813,.T.); #30493=FACE_OUTER_BOUND('',#49814,.T.); #30494=FACE_OUTER_BOUND('',#49815,.T.); #30495=FACE_OUTER_BOUND('',#49816,.T.); #30496=FACE_OUTER_BOUND('',#49817,.T.); #30497=FACE_OUTER_BOUND('',#49818,.T.); #30498=FACE_OUTER_BOUND('',#49819,.T.); #30499=FACE_OUTER_BOUND('',#49820,.T.); #30500=FACE_OUTER_BOUND('',#49821,.T.); #30501=FACE_OUTER_BOUND('',#49822,.T.); #30502=FACE_OUTER_BOUND('',#49823,.T.); #30503=FACE_OUTER_BOUND('',#49824,.T.); #30504=FACE_OUTER_BOUND('',#49825,.T.); #30505=FACE_OUTER_BOUND('',#49826,.T.); #30506=FACE_OUTER_BOUND('',#49827,.T.); #30507=FACE_OUTER_BOUND('',#49828,.T.); #30508=FACE_OUTER_BOUND('',#49829,.T.); #30509=FACE_OUTER_BOUND('',#49830,.T.); #30510=FACE_OUTER_BOUND('',#49831,.T.); #30511=FACE_OUTER_BOUND('',#49832,.T.); #30512=FACE_OUTER_BOUND('',#49833,.T.); #30513=FACE_OUTER_BOUND('',#49834,.T.); #30514=FACE_OUTER_BOUND('',#49835,.T.); #30515=FACE_OUTER_BOUND('',#49836,.T.); #30516=FACE_OUTER_BOUND('',#49837,.T.); #30517=FACE_OUTER_BOUND('',#49838,.T.); #30518=FACE_OUTER_BOUND('',#49839,.T.); #30519=FACE_OUTER_BOUND('',#49840,.T.); #30520=FACE_OUTER_BOUND('',#49841,.T.); #30521=FACE_OUTER_BOUND('',#49842,.T.); #30522=FACE_OUTER_BOUND('',#49843,.T.); #30523=FACE_OUTER_BOUND('',#49844,.T.); #30524=FACE_OUTER_BOUND('',#49845,.T.); #30525=FACE_OUTER_BOUND('',#49846,.T.); #30526=FACE_OUTER_BOUND('',#49847,.T.); #30527=FACE_OUTER_BOUND('',#49848,.T.); #30528=FACE_OUTER_BOUND('',#49849,.T.); #30529=FACE_OUTER_BOUND('',#49850,.T.); #30530=FACE_OUTER_BOUND('',#49851,.T.); #30531=FACE_OUTER_BOUND('',#49852,.T.); #30532=FACE_OUTER_BOUND('',#49853,.T.); #30533=FACE_OUTER_BOUND('',#49854,.T.); #30534=FACE_OUTER_BOUND('',#49855,.T.); #30535=FACE_OUTER_BOUND('',#49856,.T.); #30536=FACE_OUTER_BOUND('',#49857,.T.); #30537=FACE_OUTER_BOUND('',#49858,.T.); #30538=FACE_OUTER_BOUND('',#49859,.T.); #30539=FACE_OUTER_BOUND('',#49860,.T.); #30540=FACE_OUTER_BOUND('',#49861,.T.); #30541=FACE_OUTER_BOUND('',#49862,.T.); #30542=FACE_OUTER_BOUND('',#49863,.T.); #30543=FACE_OUTER_BOUND('',#49864,.T.); #30544=FACE_OUTER_BOUND('',#49865,.T.); #30545=FACE_OUTER_BOUND('',#49866,.T.); #30546=FACE_OUTER_BOUND('',#49867,.T.); #30547=FACE_OUTER_BOUND('',#49868,.T.); #30548=FACE_OUTER_BOUND('',#49869,.T.); #30549=FACE_OUTER_BOUND('',#49870,.T.); #30550=FACE_OUTER_BOUND('',#49871,.T.); #30551=FACE_OUTER_BOUND('',#49872,.T.); #30552=FACE_OUTER_BOUND('',#49873,.T.); #30553=FACE_OUTER_BOUND('',#49874,.T.); #30554=FACE_OUTER_BOUND('',#49875,.T.); #30555=FACE_OUTER_BOUND('',#49876,.T.); #30556=FACE_OUTER_BOUND('',#49877,.T.); #30557=FACE_OUTER_BOUND('',#49878,.T.); #30558=FACE_OUTER_BOUND('',#49879,.T.); #30559=FACE_OUTER_BOUND('',#49880,.T.); #30560=FACE_OUTER_BOUND('',#49881,.T.); #30561=FACE_OUTER_BOUND('',#49882,.T.); #30562=FACE_OUTER_BOUND('',#49883,.T.); #30563=FACE_OUTER_BOUND('',#49884,.T.); #30564=FACE_OUTER_BOUND('',#49885,.T.); #30565=FACE_OUTER_BOUND('',#49886,.T.); #30566=FACE_OUTER_BOUND('',#49887,.T.); #30567=FACE_OUTER_BOUND('',#49888,.T.); #30568=FACE_OUTER_BOUND('',#49889,.T.); #30569=FACE_OUTER_BOUND('',#49890,.T.); #30570=FACE_OUTER_BOUND('',#49891,.T.); #30571=FACE_OUTER_BOUND('',#49892,.T.); #30572=FACE_OUTER_BOUND('',#49893,.T.); #30573=FACE_OUTER_BOUND('',#49894,.T.); #30574=FACE_OUTER_BOUND('',#49895,.T.); #30575=FACE_OUTER_BOUND('',#49896,.T.); #30576=FACE_OUTER_BOUND('',#49897,.T.); #30577=FACE_OUTER_BOUND('',#49898,.T.); #30578=FACE_OUTER_BOUND('',#49899,.T.); #30579=FACE_OUTER_BOUND('',#49900,.T.); #30580=FACE_OUTER_BOUND('',#49901,.T.); #30581=FACE_OUTER_BOUND('',#49902,.T.); #30582=FACE_OUTER_BOUND('',#49903,.T.); #30583=FACE_OUTER_BOUND('',#49904,.T.); #30584=FACE_OUTER_BOUND('',#49905,.T.); #30585=FACE_OUTER_BOUND('',#49906,.T.); #30586=FACE_OUTER_BOUND('',#49907,.T.); #30587=FACE_OUTER_BOUND('',#49908,.T.); #30588=FACE_OUTER_BOUND('',#49909,.T.); #30589=FACE_OUTER_BOUND('',#49910,.T.); #30590=FACE_OUTER_BOUND('',#49911,.T.); #30591=FACE_OUTER_BOUND('',#49912,.T.); #30592=FACE_OUTER_BOUND('',#49913,.T.); #30593=FACE_OUTER_BOUND('',#49914,.T.); #30594=FACE_OUTER_BOUND('',#49915,.T.); #30595=FACE_OUTER_BOUND('',#49916,.T.); #30596=FACE_OUTER_BOUND('',#49917,.T.); #30597=FACE_OUTER_BOUND('',#49918,.T.); #30598=FACE_OUTER_BOUND('',#49919,.T.); #30599=FACE_OUTER_BOUND('',#49920,.T.); #30600=FACE_OUTER_BOUND('',#49921,.T.); #30601=FACE_OUTER_BOUND('',#49922,.T.); #30602=FACE_OUTER_BOUND('',#49923,.T.); #30603=FACE_OUTER_BOUND('',#49924,.T.); #30604=FACE_OUTER_BOUND('',#49925,.T.); #30605=FACE_OUTER_BOUND('',#49926,.T.); #30606=FACE_OUTER_BOUND('',#49927,.T.); #30607=FACE_OUTER_BOUND('',#49928,.T.); #30608=FACE_OUTER_BOUND('',#49929,.T.); #30609=FACE_OUTER_BOUND('',#49930,.T.); #30610=FACE_OUTER_BOUND('',#49931,.T.); #30611=FACE_OUTER_BOUND('',#49932,.T.); #30612=FACE_OUTER_BOUND('',#49933,.T.); #30613=FACE_OUTER_BOUND('',#49934,.T.); #30614=FACE_OUTER_BOUND('',#49935,.T.); #30615=FACE_OUTER_BOUND('',#49936,.T.); #30616=FACE_OUTER_BOUND('',#49937,.T.); #30617=FACE_OUTER_BOUND('',#49938,.T.); #30618=FACE_OUTER_BOUND('',#49939,.T.); #30619=FACE_OUTER_BOUND('',#49940,.T.); #30620=FACE_OUTER_BOUND('',#49941,.T.); #30621=FACE_OUTER_BOUND('',#49942,.T.); #30622=FACE_OUTER_BOUND('',#49943,.T.); #30623=FACE_OUTER_BOUND('',#49944,.T.); #30624=FACE_OUTER_BOUND('',#49945,.T.); #30625=FACE_OUTER_BOUND('',#49946,.T.); #30626=FACE_OUTER_BOUND('',#49947,.T.); #30627=FACE_OUTER_BOUND('',#49948,.T.); #30628=FACE_OUTER_BOUND('',#49949,.T.); #30629=FACE_OUTER_BOUND('',#49950,.T.); #30630=FACE_OUTER_BOUND('',#49951,.T.); #30631=FACE_OUTER_BOUND('',#49952,.T.); #30632=FACE_OUTER_BOUND('',#49953,.T.); #30633=FACE_OUTER_BOUND('',#49954,.T.); #30634=FACE_OUTER_BOUND('',#49955,.T.); #30635=FACE_OUTER_BOUND('',#49956,.T.); #30636=FACE_OUTER_BOUND('',#49957,.T.); #30637=FACE_OUTER_BOUND('',#49958,.T.); #30638=FACE_OUTER_BOUND('',#49959,.T.); #30639=FACE_OUTER_BOUND('',#49960,.T.); #30640=FACE_OUTER_BOUND('',#49961,.T.); #30641=FACE_OUTER_BOUND('',#49962,.T.); #30642=FACE_OUTER_BOUND('',#49963,.T.); #30643=FACE_OUTER_BOUND('',#49964,.T.); #30644=FACE_OUTER_BOUND('',#49965,.T.); #30645=FACE_OUTER_BOUND('',#49966,.T.); #30646=FACE_OUTER_BOUND('',#49967,.T.); #30647=FACE_OUTER_BOUND('',#49968,.T.); #30648=FACE_OUTER_BOUND('',#49969,.T.); #30649=FACE_OUTER_BOUND('',#49970,.T.); #30650=FACE_OUTER_BOUND('',#49971,.T.); #30651=FACE_OUTER_BOUND('',#49972,.T.); #30652=FACE_OUTER_BOUND('',#49973,.T.); #30653=FACE_OUTER_BOUND('',#49974,.T.); #30654=FACE_OUTER_BOUND('',#49975,.T.); #30655=FACE_OUTER_BOUND('',#49976,.T.); #30656=FACE_OUTER_BOUND('',#49977,.T.); #30657=FACE_OUTER_BOUND('',#49978,.T.); #30658=FACE_OUTER_BOUND('',#49979,.T.); #30659=FACE_OUTER_BOUND('',#49980,.T.); #30660=FACE_OUTER_BOUND('',#49981,.T.); #30661=FACE_OUTER_BOUND('',#49982,.T.); #30662=FACE_OUTER_BOUND('',#49983,.T.); #30663=FACE_OUTER_BOUND('',#49984,.T.); #30664=FACE_OUTER_BOUND('',#49985,.T.); #30665=FACE_OUTER_BOUND('',#49986,.T.); #30666=FACE_OUTER_BOUND('',#49987,.T.); #30667=FACE_OUTER_BOUND('',#49988,.T.); #30668=FACE_OUTER_BOUND('',#49989,.T.); #30669=FACE_OUTER_BOUND('',#49990,.T.); #30670=FACE_OUTER_BOUND('',#49991,.T.); #30671=FACE_OUTER_BOUND('',#49992,.T.); #30672=FACE_OUTER_BOUND('',#49993,.T.); #30673=FACE_OUTER_BOUND('',#49994,.T.); #30674=FACE_OUTER_BOUND('',#49995,.T.); #30675=FACE_OUTER_BOUND('',#49996,.T.); #30676=FACE_OUTER_BOUND('',#49997,.T.); #30677=FACE_OUTER_BOUND('',#49998,.T.); #30678=FACE_OUTER_BOUND('',#49999,.T.); #30679=FACE_OUTER_BOUND('',#50000,.T.); #30680=FACE_OUTER_BOUND('',#50001,.T.); #30681=FACE_OUTER_BOUND('',#50002,.T.); #30682=FACE_OUTER_BOUND('',#50003,.T.); #30683=FACE_OUTER_BOUND('',#50004,.T.); #30684=FACE_OUTER_BOUND('',#50005,.T.); #30685=FACE_OUTER_BOUND('',#50006,.T.); #30686=FACE_OUTER_BOUND('',#50007,.T.); #30687=FACE_OUTER_BOUND('',#50008,.T.); #30688=FACE_OUTER_BOUND('',#50009,.T.); #30689=FACE_OUTER_BOUND('',#50010,.T.); #30690=FACE_OUTER_BOUND('',#50011,.T.); #30691=FACE_OUTER_BOUND('',#50012,.T.); #30692=FACE_OUTER_BOUND('',#50013,.T.); #30693=FACE_OUTER_BOUND('',#50014,.T.); #30694=FACE_OUTER_BOUND('',#50015,.T.); #30695=FACE_OUTER_BOUND('',#50016,.T.); #30696=FACE_OUTER_BOUND('',#50017,.T.); #30697=FACE_OUTER_BOUND('',#50018,.T.); #30698=FACE_OUTER_BOUND('',#50019,.T.); #30699=FACE_OUTER_BOUND('',#50020,.T.); #30700=FACE_OUTER_BOUND('',#50021,.T.); #30701=FACE_OUTER_BOUND('',#50022,.T.); #30702=FACE_OUTER_BOUND('',#50023,.T.); #30703=FACE_OUTER_BOUND('',#50024,.T.); #30704=FACE_OUTER_BOUND('',#50025,.T.); #30705=FACE_OUTER_BOUND('',#50026,.T.); #30706=FACE_OUTER_BOUND('',#50027,.T.); #30707=FACE_OUTER_BOUND('',#50028,.T.); #30708=FACE_OUTER_BOUND('',#50029,.T.); #30709=FACE_OUTER_BOUND('',#50030,.T.); #30710=FACE_OUTER_BOUND('',#50031,.T.); #30711=FACE_OUTER_BOUND('',#50032,.T.); #30712=FACE_OUTER_BOUND('',#50033,.T.); #30713=FACE_OUTER_BOUND('',#50034,.T.); #30714=FACE_OUTER_BOUND('',#50035,.T.); #30715=FACE_OUTER_BOUND('',#50036,.T.); #30716=FACE_OUTER_BOUND('',#50037,.T.); #30717=FACE_OUTER_BOUND('',#50038,.T.); #30718=FACE_OUTER_BOUND('',#50039,.T.); #30719=FACE_OUTER_BOUND('',#50040,.T.); #30720=FACE_OUTER_BOUND('',#50041,.T.); #30721=FACE_OUTER_BOUND('',#50042,.T.); #30722=FACE_OUTER_BOUND('',#50043,.T.); #30723=FACE_OUTER_BOUND('',#50044,.T.); #30724=FACE_OUTER_BOUND('',#50045,.T.); #30725=FACE_OUTER_BOUND('',#50046,.T.); #30726=FACE_OUTER_BOUND('',#50047,.T.); #30727=FACE_OUTER_BOUND('',#50048,.T.); #30728=FACE_OUTER_BOUND('',#50049,.T.); #30729=FACE_OUTER_BOUND('',#50050,.T.); #30730=FACE_OUTER_BOUND('',#50051,.T.); #30731=FACE_OUTER_BOUND('',#50052,.T.); #30732=FACE_OUTER_BOUND('',#50053,.T.); #30733=FACE_OUTER_BOUND('',#50054,.T.); #30734=FACE_OUTER_BOUND('',#50055,.T.); #30735=FACE_OUTER_BOUND('',#50056,.T.); #30736=FACE_OUTER_BOUND('',#50057,.T.); #30737=FACE_OUTER_BOUND('',#50058,.T.); #30738=FACE_OUTER_BOUND('',#50059,.T.); #30739=FACE_OUTER_BOUND('',#50060,.T.); #30740=FACE_OUTER_BOUND('',#50061,.T.); #30741=FACE_OUTER_BOUND('',#50062,.T.); #30742=FACE_OUTER_BOUND('',#50063,.T.); #30743=FACE_OUTER_BOUND('',#50064,.T.); #30744=FACE_OUTER_BOUND('',#50065,.T.); #30745=FACE_OUTER_BOUND('',#50066,.T.); #30746=FACE_OUTER_BOUND('',#50067,.T.); #30747=FACE_OUTER_BOUND('',#50068,.T.); #30748=FACE_OUTER_BOUND('',#50069,.T.); #30749=FACE_OUTER_BOUND('',#50070,.T.); #30750=FACE_OUTER_BOUND('',#50071,.T.); #30751=FACE_OUTER_BOUND('',#50072,.T.); #30752=FACE_OUTER_BOUND('',#50073,.T.); #30753=FACE_OUTER_BOUND('',#50074,.T.); #30754=FACE_OUTER_BOUND('',#50075,.T.); #30755=FACE_OUTER_BOUND('',#50076,.T.); #30756=FACE_OUTER_BOUND('',#50077,.T.); #30757=FACE_OUTER_BOUND('',#50078,.T.); #30758=FACE_OUTER_BOUND('',#50079,.T.); #30759=FACE_OUTER_BOUND('',#50080,.T.); #30760=FACE_OUTER_BOUND('',#50081,.T.); #30761=FACE_OUTER_BOUND('',#50082,.T.); #30762=FACE_OUTER_BOUND('',#50083,.T.); #30763=FACE_OUTER_BOUND('',#50084,.T.); #30764=FACE_OUTER_BOUND('',#50085,.T.); #30765=FACE_OUTER_BOUND('',#50086,.T.); #30766=FACE_OUTER_BOUND('',#50087,.T.); #30767=FACE_OUTER_BOUND('',#50088,.T.); #30768=FACE_OUTER_BOUND('',#50089,.T.); #30769=FACE_OUTER_BOUND('',#50090,.T.); #30770=FACE_OUTER_BOUND('',#50091,.T.); #30771=FACE_OUTER_BOUND('',#50092,.T.); #30772=FACE_OUTER_BOUND('',#50093,.T.); #30773=FACE_OUTER_BOUND('',#50094,.T.); #30774=FACE_OUTER_BOUND('',#50095,.T.); #30775=FACE_OUTER_BOUND('',#50096,.T.); #30776=FACE_OUTER_BOUND('',#50097,.T.); #30777=FACE_OUTER_BOUND('',#50098,.T.); #30778=FACE_OUTER_BOUND('',#50099,.T.); #30779=FACE_OUTER_BOUND('',#50100,.T.); #30780=FACE_OUTER_BOUND('',#50101,.T.); #30781=FACE_OUTER_BOUND('',#50102,.T.); #30782=FACE_OUTER_BOUND('',#50103,.T.); #30783=FACE_OUTER_BOUND('',#50104,.T.); #30784=FACE_OUTER_BOUND('',#50105,.T.); #30785=FACE_OUTER_BOUND('',#50106,.T.); #30786=FACE_OUTER_BOUND('',#50107,.T.); #30787=FACE_OUTER_BOUND('',#50108,.T.); #30788=FACE_OUTER_BOUND('',#50109,.T.); #30789=FACE_OUTER_BOUND('',#50110,.T.); #30790=FACE_OUTER_BOUND('',#50111,.T.); #30791=FACE_OUTER_BOUND('',#50112,.T.); #30792=FACE_OUTER_BOUND('',#50113,.T.); #30793=FACE_OUTER_BOUND('',#50114,.T.); #30794=FACE_OUTER_BOUND('',#50115,.T.); #30795=FACE_OUTER_BOUND('',#50116,.T.); #30796=FACE_OUTER_BOUND('',#50117,.T.); #30797=FACE_OUTER_BOUND('',#50118,.T.); #30798=FACE_OUTER_BOUND('',#50119,.T.); #30799=FACE_OUTER_BOUND('',#50120,.T.); #30800=FACE_OUTER_BOUND('',#50121,.T.); #30801=FACE_OUTER_BOUND('',#50122,.T.); #30802=FACE_OUTER_BOUND('',#50123,.T.); #30803=FACE_OUTER_BOUND('',#50124,.T.); #30804=FACE_OUTER_BOUND('',#50125,.T.); #30805=FACE_OUTER_BOUND('',#50126,.T.); #30806=FACE_OUTER_BOUND('',#50127,.T.); #30807=FACE_OUTER_BOUND('',#50128,.T.); #30808=FACE_OUTER_BOUND('',#50129,.T.); #30809=FACE_OUTER_BOUND('',#50130,.T.); #30810=FACE_OUTER_BOUND('',#50131,.T.); #30811=FACE_OUTER_BOUND('',#50132,.T.); #30812=FACE_OUTER_BOUND('',#50133,.T.); #30813=FACE_OUTER_BOUND('',#50134,.T.); #30814=FACE_OUTER_BOUND('',#50135,.T.); #30815=FACE_OUTER_BOUND('',#50136,.T.); #30816=FACE_OUTER_BOUND('',#50137,.T.); #30817=FACE_OUTER_BOUND('',#50138,.T.); #30818=FACE_OUTER_BOUND('',#50139,.T.); #30819=FACE_OUTER_BOUND('',#50140,.T.); #30820=FACE_OUTER_BOUND('',#50141,.T.); #30821=FACE_OUTER_BOUND('',#50142,.T.); #30822=FACE_OUTER_BOUND('',#50143,.T.); #30823=FACE_OUTER_BOUND('',#50144,.T.); #30824=FACE_OUTER_BOUND('',#50145,.T.); #30825=FACE_OUTER_BOUND('',#50146,.T.); #30826=FACE_OUTER_BOUND('',#50147,.T.); #30827=FACE_OUTER_BOUND('',#50148,.T.); #30828=FACE_OUTER_BOUND('',#50149,.T.); #30829=FACE_OUTER_BOUND('',#50150,.T.); #30830=FACE_OUTER_BOUND('',#50151,.T.); #30831=FACE_OUTER_BOUND('',#50152,.T.); #30832=FACE_OUTER_BOUND('',#50153,.T.); #30833=FACE_OUTER_BOUND('',#50154,.T.); #30834=FACE_OUTER_BOUND('',#50155,.T.); #30835=FACE_OUTER_BOUND('',#50156,.T.); #30836=FACE_OUTER_BOUND('',#50157,.T.); #30837=FACE_OUTER_BOUND('',#50158,.T.); #30838=FACE_OUTER_BOUND('',#50159,.T.); #30839=FACE_OUTER_BOUND('',#50160,.T.); #30840=FACE_OUTER_BOUND('',#50161,.T.); #30841=FACE_OUTER_BOUND('',#50162,.T.); #30842=FACE_OUTER_BOUND('',#50163,.T.); #30843=FACE_OUTER_BOUND('',#50164,.T.); #30844=FACE_OUTER_BOUND('',#50165,.T.); #30845=FACE_OUTER_BOUND('',#50166,.T.); #30846=FACE_OUTER_BOUND('',#50167,.T.); #30847=FACE_OUTER_BOUND('',#50168,.T.); #30848=FACE_OUTER_BOUND('',#50169,.T.); #30849=FACE_OUTER_BOUND('',#50170,.T.); #30850=FACE_OUTER_BOUND('',#50171,.T.); #30851=FACE_OUTER_BOUND('',#50172,.T.); #30852=FACE_OUTER_BOUND('',#50173,.T.); #30853=FACE_OUTER_BOUND('',#50174,.T.); #30854=FACE_OUTER_BOUND('',#50175,.T.); #30855=FACE_OUTER_BOUND('',#50176,.T.); #30856=FACE_OUTER_BOUND('',#50177,.T.); #30857=FACE_OUTER_BOUND('',#50178,.T.); #30858=FACE_OUTER_BOUND('',#50179,.T.); #30859=FACE_OUTER_BOUND('',#50180,.T.); #30860=FACE_OUTER_BOUND('',#50181,.T.); #30861=FACE_OUTER_BOUND('',#50182,.T.); #30862=FACE_OUTER_BOUND('',#50183,.T.); #30863=FACE_OUTER_BOUND('',#50184,.T.); #30864=FACE_OUTER_BOUND('',#50185,.T.); #30865=FACE_OUTER_BOUND('',#50186,.T.); #30866=FACE_OUTER_BOUND('',#50187,.T.); #30867=FACE_OUTER_BOUND('',#50188,.T.); #30868=FACE_OUTER_BOUND('',#50189,.T.); #30869=FACE_OUTER_BOUND('',#50190,.T.); #30870=FACE_OUTER_BOUND('',#50191,.T.); #30871=FACE_OUTER_BOUND('',#50192,.T.); #30872=FACE_OUTER_BOUND('',#50193,.T.); #30873=FACE_OUTER_BOUND('',#50194,.T.); #30874=FACE_OUTER_BOUND('',#50195,.T.); #30875=FACE_OUTER_BOUND('',#50196,.T.); #30876=FACE_OUTER_BOUND('',#50197,.T.); #30877=FACE_OUTER_BOUND('',#50198,.T.); #30878=FACE_OUTER_BOUND('',#50199,.T.); #30879=FACE_OUTER_BOUND('',#50200,.T.); #30880=FACE_OUTER_BOUND('',#50201,.T.); #30881=FACE_OUTER_BOUND('',#50202,.T.); #30882=FACE_OUTER_BOUND('',#50203,.T.); #30883=FACE_OUTER_BOUND('',#50204,.T.); #30884=FACE_OUTER_BOUND('',#50205,.T.); #30885=FACE_OUTER_BOUND('',#50206,.T.); #30886=FACE_OUTER_BOUND('',#50207,.T.); #30887=FACE_OUTER_BOUND('',#50208,.T.); #30888=FACE_OUTER_BOUND('',#50209,.T.); #30889=FACE_OUTER_BOUND('',#50210,.T.); #30890=FACE_OUTER_BOUND('',#50211,.T.); #30891=FACE_OUTER_BOUND('',#50212,.T.); #30892=FACE_OUTER_BOUND('',#50213,.T.); #30893=FACE_OUTER_BOUND('',#50214,.T.); #30894=FACE_OUTER_BOUND('',#50215,.T.); #30895=FACE_OUTER_BOUND('',#50216,.T.); #30896=FACE_OUTER_BOUND('',#50217,.T.); #30897=FACE_OUTER_BOUND('',#50218,.T.); #30898=FACE_OUTER_BOUND('',#50219,.T.); #30899=FACE_OUTER_BOUND('',#50220,.T.); #30900=FACE_OUTER_BOUND('',#50221,.T.); #30901=FACE_OUTER_BOUND('',#50222,.T.); #30902=FACE_OUTER_BOUND('',#50223,.T.); #30903=FACE_OUTER_BOUND('',#50224,.T.); #30904=FACE_OUTER_BOUND('',#50225,.T.); #30905=FACE_OUTER_BOUND('',#50226,.T.); #30906=FACE_OUTER_BOUND('',#50227,.T.); #30907=FACE_OUTER_BOUND('',#50228,.T.); #30908=FACE_OUTER_BOUND('',#50229,.T.); #30909=FACE_OUTER_BOUND('',#50230,.T.); #30910=FACE_OUTER_BOUND('',#50231,.T.); #30911=FACE_OUTER_BOUND('',#50232,.T.); #30912=FACE_OUTER_BOUND('',#50233,.T.); #30913=FACE_OUTER_BOUND('',#50234,.T.); #30914=FACE_OUTER_BOUND('',#50235,.T.); #30915=FACE_OUTER_BOUND('',#50236,.T.); #30916=FACE_OUTER_BOUND('',#50237,.T.); #30917=FACE_OUTER_BOUND('',#50238,.T.); #30918=FACE_OUTER_BOUND('',#50239,.T.); #30919=FACE_OUTER_BOUND('',#50240,.T.); #30920=FACE_OUTER_BOUND('',#50241,.T.); #30921=FACE_OUTER_BOUND('',#50242,.T.); #30922=FACE_OUTER_BOUND('',#50243,.T.); #30923=FACE_OUTER_BOUND('',#50244,.T.); #30924=FACE_OUTER_BOUND('',#50245,.T.); #30925=FACE_OUTER_BOUND('',#50246,.T.); #30926=FACE_OUTER_BOUND('',#50247,.T.); #30927=FACE_OUTER_BOUND('',#50248,.T.); #30928=FACE_OUTER_BOUND('',#50249,.T.); #30929=FACE_OUTER_BOUND('',#50250,.T.); #30930=FACE_OUTER_BOUND('',#50251,.T.); #30931=FACE_OUTER_BOUND('',#50252,.T.); #30932=FACE_OUTER_BOUND('',#50253,.T.); #30933=FACE_OUTER_BOUND('',#50254,.T.); #30934=FACE_OUTER_BOUND('',#50255,.T.); #30935=FACE_OUTER_BOUND('',#50256,.T.); #30936=FACE_OUTER_BOUND('',#50257,.T.); #30937=FACE_OUTER_BOUND('',#50258,.T.); #30938=FACE_OUTER_BOUND('',#50259,.T.); #30939=FACE_OUTER_BOUND('',#50260,.T.); #30940=FACE_OUTER_BOUND('',#50261,.T.); #30941=FACE_OUTER_BOUND('',#50262,.T.); #30942=FACE_OUTER_BOUND('',#50263,.T.); #30943=FACE_OUTER_BOUND('',#50264,.T.); #30944=FACE_OUTER_BOUND('',#50265,.T.); #30945=FACE_OUTER_BOUND('',#50266,.T.); #30946=FACE_OUTER_BOUND('',#50267,.T.); #30947=FACE_OUTER_BOUND('',#50268,.T.); #30948=FACE_OUTER_BOUND('',#50269,.T.); #30949=FACE_OUTER_BOUND('',#50270,.T.); #30950=FACE_OUTER_BOUND('',#50271,.T.); #30951=FACE_OUTER_BOUND('',#50272,.T.); #30952=FACE_OUTER_BOUND('',#50273,.T.); #30953=FACE_OUTER_BOUND('',#50274,.T.); #30954=FACE_OUTER_BOUND('',#50275,.T.); #30955=FACE_OUTER_BOUND('',#50276,.T.); #30956=FACE_OUTER_BOUND('',#50277,.T.); #30957=FACE_OUTER_BOUND('',#50278,.T.); #30958=FACE_OUTER_BOUND('',#50279,.T.); #30959=FACE_OUTER_BOUND('',#50280,.T.); #30960=FACE_OUTER_BOUND('',#50281,.T.); #30961=FACE_OUTER_BOUND('',#50282,.T.); #30962=FACE_OUTER_BOUND('',#50283,.T.); #30963=FACE_OUTER_BOUND('',#50284,.T.); #30964=FACE_OUTER_BOUND('',#50285,.T.); #30965=FACE_OUTER_BOUND('',#50286,.T.); #30966=FACE_OUTER_BOUND('',#50287,.T.); #30967=FACE_OUTER_BOUND('',#50288,.T.); #30968=FACE_OUTER_BOUND('',#50289,.T.); #30969=FACE_OUTER_BOUND('',#50290,.T.); #30970=FACE_OUTER_BOUND('',#50291,.T.); #30971=FACE_OUTER_BOUND('',#50292,.T.); #30972=FACE_OUTER_BOUND('',#50293,.T.); #30973=FACE_OUTER_BOUND('',#50294,.T.); #30974=FACE_OUTER_BOUND('',#50295,.T.); #30975=FACE_OUTER_BOUND('',#50296,.T.); #30976=FACE_OUTER_BOUND('',#50297,.T.); #30977=FACE_OUTER_BOUND('',#50298,.T.); #30978=FACE_OUTER_BOUND('',#50299,.T.); #30979=FACE_OUTER_BOUND('',#50300,.T.); #30980=FACE_OUTER_BOUND('',#50301,.T.); #30981=FACE_OUTER_BOUND('',#50302,.T.); #30982=FACE_OUTER_BOUND('',#50303,.T.); #30983=FACE_OUTER_BOUND('',#50304,.T.); #30984=FACE_OUTER_BOUND('',#50305,.T.); #30985=FACE_OUTER_BOUND('',#50306,.T.); #30986=FACE_OUTER_BOUND('',#50307,.T.); #30987=FACE_OUTER_BOUND('',#50308,.T.); #30988=FACE_OUTER_BOUND('',#50309,.T.); #30989=FACE_OUTER_BOUND('',#50310,.T.); #30990=FACE_OUTER_BOUND('',#50311,.T.); #30991=FACE_OUTER_BOUND('',#50312,.T.); #30992=FACE_OUTER_BOUND('',#50313,.T.); #30993=FACE_OUTER_BOUND('',#50314,.T.); #30994=FACE_OUTER_BOUND('',#50315,.T.); #30995=FACE_OUTER_BOUND('',#50316,.T.); #30996=FACE_OUTER_BOUND('',#50317,.T.); #30997=FACE_OUTER_BOUND('',#50318,.T.); #30998=FACE_OUTER_BOUND('',#50319,.T.); #30999=FACE_OUTER_BOUND('',#50320,.T.); #31000=FACE_OUTER_BOUND('',#50321,.T.); #31001=FACE_OUTER_BOUND('',#50322,.T.); #31002=FACE_OUTER_BOUND('',#50323,.T.); #31003=FACE_OUTER_BOUND('',#50324,.T.); #31004=FACE_OUTER_BOUND('',#50325,.T.); #31005=FACE_OUTER_BOUND('',#50326,.T.); #31006=FACE_OUTER_BOUND('',#50327,.T.); #31007=FACE_OUTER_BOUND('',#50328,.T.); #31008=FACE_OUTER_BOUND('',#50329,.T.); #31009=FACE_OUTER_BOUND('',#50330,.T.); #31010=FACE_OUTER_BOUND('',#50331,.T.); #31011=FACE_OUTER_BOUND('',#50332,.T.); #31012=FACE_OUTER_BOUND('',#50333,.T.); #31013=FACE_OUTER_BOUND('',#50334,.T.); #31014=FACE_OUTER_BOUND('',#50335,.T.); #31015=FACE_OUTER_BOUND('',#50336,.T.); #31016=FACE_OUTER_BOUND('',#50337,.T.); #31017=FACE_OUTER_BOUND('',#50338,.T.); #31018=FACE_OUTER_BOUND('',#50339,.T.); #31019=FACE_OUTER_BOUND('',#50340,.T.); #31020=FACE_OUTER_BOUND('',#50341,.T.); #31021=FACE_OUTER_BOUND('',#50342,.T.); #31022=FACE_OUTER_BOUND('',#50343,.T.); #31023=FACE_OUTER_BOUND('',#50344,.T.); #31024=FACE_OUTER_BOUND('',#50345,.T.); #31025=FACE_OUTER_BOUND('',#50346,.T.); #31026=FACE_OUTER_BOUND('',#50347,.T.); #31027=FACE_OUTER_BOUND('',#50348,.T.); #31028=FACE_OUTER_BOUND('',#50349,.T.); #31029=FACE_OUTER_BOUND('',#50350,.T.); #31030=FACE_OUTER_BOUND('',#50351,.T.); #31031=FACE_OUTER_BOUND('',#50352,.T.); #31032=FACE_OUTER_BOUND('',#50353,.T.); #31033=FACE_OUTER_BOUND('',#50354,.T.); #31034=FACE_OUTER_BOUND('',#50355,.T.); #31035=FACE_OUTER_BOUND('',#50356,.T.); #31036=FACE_OUTER_BOUND('',#50357,.T.); #31037=FACE_OUTER_BOUND('',#50358,.T.); #31038=FACE_OUTER_BOUND('',#50359,.T.); #31039=FACE_OUTER_BOUND('',#50360,.T.); #31040=FACE_OUTER_BOUND('',#50361,.T.); #31041=FACE_OUTER_BOUND('',#50362,.T.); #31042=FACE_OUTER_BOUND('',#50363,.T.); #31043=FACE_OUTER_BOUND('',#50364,.T.); #31044=FACE_OUTER_BOUND('',#50365,.T.); #31045=FACE_OUTER_BOUND('',#50366,.T.); #31046=FACE_OUTER_BOUND('',#50367,.T.); #31047=FACE_OUTER_BOUND('',#50368,.T.); #31048=FACE_OUTER_BOUND('',#50369,.T.); #31049=FACE_OUTER_BOUND('',#50370,.T.); #31050=FACE_OUTER_BOUND('',#50371,.T.); #31051=FACE_OUTER_BOUND('',#50372,.T.); #31052=FACE_OUTER_BOUND('',#50373,.T.); #31053=FACE_OUTER_BOUND('',#50374,.T.); #31054=FACE_OUTER_BOUND('',#50375,.T.); #31055=FACE_OUTER_BOUND('',#50376,.T.); #31056=FACE_OUTER_BOUND('',#50377,.T.); #31057=FACE_OUTER_BOUND('',#50378,.T.); #31058=FACE_OUTER_BOUND('',#50379,.T.); #31059=FACE_OUTER_BOUND('',#50380,.T.); #31060=FACE_OUTER_BOUND('',#50381,.T.); #31061=FACE_OUTER_BOUND('',#50382,.T.); #31062=FACE_OUTER_BOUND('',#50383,.T.); #31063=FACE_OUTER_BOUND('',#50384,.T.); #31064=FACE_OUTER_BOUND('',#50385,.T.); #31065=FACE_OUTER_BOUND('',#50386,.T.); #31066=FACE_OUTER_BOUND('',#50387,.T.); #31067=FACE_OUTER_BOUND('',#50388,.T.); #31068=FACE_OUTER_BOUND('',#50389,.T.); #31069=FACE_OUTER_BOUND('',#50390,.T.); #31070=FACE_OUTER_BOUND('',#50391,.T.); #31071=FACE_OUTER_BOUND('',#50392,.T.); #31072=FACE_OUTER_BOUND('',#50393,.T.); #31073=FACE_OUTER_BOUND('',#50394,.T.); #31074=FACE_OUTER_BOUND('',#50395,.T.); #31075=FACE_OUTER_BOUND('',#50396,.T.); #31076=FACE_OUTER_BOUND('',#50397,.T.); #31077=FACE_OUTER_BOUND('',#50398,.T.); #31078=FACE_OUTER_BOUND('',#50399,.T.); #31079=FACE_OUTER_BOUND('',#50400,.T.); #31080=FACE_OUTER_BOUND('',#50401,.T.); #31081=FACE_OUTER_BOUND('',#50402,.T.); #31082=FACE_OUTER_BOUND('',#50403,.T.); #31083=FACE_OUTER_BOUND('',#50404,.T.); #31084=FACE_OUTER_BOUND('',#50405,.T.); #31085=FACE_OUTER_BOUND('',#50406,.T.); #31086=FACE_OUTER_BOUND('',#50407,.T.); #31087=FACE_OUTER_BOUND('',#50408,.T.); #31088=FACE_OUTER_BOUND('',#50409,.T.); #31089=FACE_OUTER_BOUND('',#50410,.T.); #31090=FACE_OUTER_BOUND('',#50411,.T.); #31091=FACE_OUTER_BOUND('',#50412,.T.); #31092=FACE_OUTER_BOUND('',#50413,.T.); #31093=FACE_OUTER_BOUND('',#50414,.T.); #31094=FACE_OUTER_BOUND('',#50415,.T.); #31095=FACE_OUTER_BOUND('',#50416,.T.); #31096=FACE_OUTER_BOUND('',#50417,.T.); #31097=FACE_OUTER_BOUND('',#50418,.T.); #31098=FACE_OUTER_BOUND('',#50419,.T.); #31099=FACE_OUTER_BOUND('',#50420,.T.); #31100=FACE_OUTER_BOUND('',#50421,.T.); #31101=FACE_OUTER_BOUND('',#50422,.T.); #31102=FACE_OUTER_BOUND('',#50423,.T.); #31103=FACE_OUTER_BOUND('',#50424,.T.); #31104=FACE_OUTER_BOUND('',#50425,.T.); #31105=FACE_OUTER_BOUND('',#50426,.T.); #31106=FACE_OUTER_BOUND('',#50427,.T.); #31107=FACE_OUTER_BOUND('',#50428,.T.); #31108=FACE_OUTER_BOUND('',#50429,.T.); #31109=FACE_OUTER_BOUND('',#50430,.T.); #31110=FACE_OUTER_BOUND('',#50431,.T.); #31111=FACE_OUTER_BOUND('',#50432,.T.); #31112=FACE_OUTER_BOUND('',#50433,.T.); #31113=FACE_OUTER_BOUND('',#50434,.T.); #31114=FACE_OUTER_BOUND('',#50435,.T.); #31115=FACE_OUTER_BOUND('',#50436,.T.); #31116=FACE_OUTER_BOUND('',#50437,.T.); #31117=FACE_OUTER_BOUND('',#50438,.T.); #31118=FACE_OUTER_BOUND('',#50439,.T.); #31119=FACE_OUTER_BOUND('',#50440,.T.); #31120=FACE_OUTER_BOUND('',#50441,.T.); #31121=FACE_OUTER_BOUND('',#50442,.T.); #31122=FACE_OUTER_BOUND('',#50443,.T.); #31123=FACE_OUTER_BOUND('',#50444,.T.); #31124=FACE_OUTER_BOUND('',#50445,.T.); #31125=FACE_OUTER_BOUND('',#50446,.T.); #31126=FACE_OUTER_BOUND('',#50447,.T.); #31127=FACE_OUTER_BOUND('',#50448,.T.); #31128=FACE_OUTER_BOUND('',#50449,.T.); #31129=FACE_OUTER_BOUND('',#50450,.T.); #31130=FACE_OUTER_BOUND('',#50451,.T.); #31131=FACE_OUTER_BOUND('',#50452,.T.); #31132=FACE_OUTER_BOUND('',#50453,.T.); #31133=FACE_OUTER_BOUND('',#50454,.T.); #31134=FACE_OUTER_BOUND('',#50455,.T.); #31135=FACE_OUTER_BOUND('',#50456,.T.); #31136=FACE_OUTER_BOUND('',#50457,.T.); #31137=FACE_OUTER_BOUND('',#50458,.T.); #31138=FACE_OUTER_BOUND('',#50459,.T.); #31139=FACE_OUTER_BOUND('',#50460,.T.); #31140=FACE_OUTER_BOUND('',#50461,.T.); #31141=FACE_OUTER_BOUND('',#50462,.T.); #31142=FACE_OUTER_BOUND('',#50463,.T.); #31143=FACE_OUTER_BOUND('',#50464,.T.); #31144=FACE_OUTER_BOUND('',#50465,.T.); #31145=FACE_OUTER_BOUND('',#50466,.T.); #31146=FACE_OUTER_BOUND('',#50467,.T.); #31147=FACE_OUTER_BOUND('',#50468,.T.); #31148=FACE_OUTER_BOUND('',#50469,.T.); #31149=FACE_OUTER_BOUND('',#50470,.T.); #31150=FACE_OUTER_BOUND('',#50471,.T.); #31151=FACE_OUTER_BOUND('',#50472,.T.); #31152=FACE_OUTER_BOUND('',#50473,.T.); #31153=FACE_OUTER_BOUND('',#50474,.T.); #31154=FACE_OUTER_BOUND('',#50475,.T.); #31155=FACE_OUTER_BOUND('',#50476,.T.); #31156=FACE_OUTER_BOUND('',#50477,.T.); #31157=FACE_OUTER_BOUND('',#50478,.T.); #31158=FACE_OUTER_BOUND('',#50479,.T.); #31159=FACE_OUTER_BOUND('',#50480,.T.); #31160=FACE_OUTER_BOUND('',#50481,.T.); #31161=FACE_OUTER_BOUND('',#50482,.T.); #31162=FACE_OUTER_BOUND('',#50483,.T.); #31163=FACE_OUTER_BOUND('',#50484,.T.); #31164=FACE_OUTER_BOUND('',#50485,.T.); #31165=FACE_OUTER_BOUND('',#50486,.T.); #31166=FACE_OUTER_BOUND('',#50487,.T.); #31167=FACE_OUTER_BOUND('',#50488,.T.); #31168=FACE_OUTER_BOUND('',#50489,.T.); #31169=FACE_OUTER_BOUND('',#50490,.T.); #31170=FACE_OUTER_BOUND('',#50491,.T.); #31171=FACE_OUTER_BOUND('',#50492,.T.); #31172=FACE_OUTER_BOUND('',#50493,.T.); #31173=FACE_OUTER_BOUND('',#50494,.T.); #31174=FACE_OUTER_BOUND('',#50495,.T.); #31175=FACE_OUTER_BOUND('',#50496,.T.); #31176=FACE_OUTER_BOUND('',#50497,.T.); #31177=FACE_OUTER_BOUND('',#50498,.T.); #31178=FACE_OUTER_BOUND('',#50499,.T.); #31179=FACE_OUTER_BOUND('',#50500,.T.); #31180=FACE_OUTER_BOUND('',#50501,.T.); #31181=FACE_OUTER_BOUND('',#50502,.T.); #31182=FACE_OUTER_BOUND('',#50503,.T.); #31183=FACE_OUTER_BOUND('',#50504,.T.); #31184=FACE_OUTER_BOUND('',#50505,.T.); #31185=FACE_OUTER_BOUND('',#50506,.T.); #31186=FACE_OUTER_BOUND('',#50507,.T.); #31187=FACE_OUTER_BOUND('',#50508,.T.); #31188=FACE_OUTER_BOUND('',#50509,.T.); #31189=FACE_OUTER_BOUND('',#50510,.T.); #31190=FACE_OUTER_BOUND('',#50511,.T.); #31191=FACE_OUTER_BOUND('',#50512,.T.); #31192=FACE_OUTER_BOUND('',#50513,.T.); #31193=FACE_OUTER_BOUND('',#50514,.T.); #31194=FACE_OUTER_BOUND('',#50515,.T.); #31195=FACE_OUTER_BOUND('',#50516,.T.); #31196=FACE_OUTER_BOUND('',#50517,.T.); #31197=FACE_OUTER_BOUND('',#50518,.T.); #31198=FACE_OUTER_BOUND('',#50519,.T.); #31199=FACE_OUTER_BOUND('',#50520,.T.); #31200=FACE_OUTER_BOUND('',#50521,.T.); #31201=FACE_OUTER_BOUND('',#50522,.T.); #31202=FACE_OUTER_BOUND('',#50523,.T.); #31203=FACE_OUTER_BOUND('',#50524,.T.); #31204=FACE_OUTER_BOUND('',#50525,.T.); #31205=FACE_OUTER_BOUND('',#50526,.T.); #31206=FACE_OUTER_BOUND('',#50527,.T.); #31207=FACE_OUTER_BOUND('',#50528,.T.); #31208=FACE_OUTER_BOUND('',#50529,.T.); #31209=FACE_OUTER_BOUND('',#50530,.T.); #31210=FACE_OUTER_BOUND('',#50531,.T.); #31211=FACE_OUTER_BOUND('',#50532,.T.); #31212=FACE_OUTER_BOUND('',#50533,.T.); #31213=FACE_OUTER_BOUND('',#50534,.T.); #31214=FACE_OUTER_BOUND('',#50535,.T.); #31215=FACE_OUTER_BOUND('',#50536,.T.); #31216=FACE_OUTER_BOUND('',#50537,.T.); #31217=FACE_OUTER_BOUND('',#50538,.T.); #31218=FACE_OUTER_BOUND('',#50539,.T.); #31219=FACE_OUTER_BOUND('',#50540,.T.); #31220=FACE_OUTER_BOUND('',#50541,.T.); #31221=FACE_OUTER_BOUND('',#50542,.T.); #31222=FACE_OUTER_BOUND('',#50543,.T.); #31223=FACE_OUTER_BOUND('',#50544,.T.); #31224=FACE_OUTER_BOUND('',#50545,.T.); #31225=FACE_OUTER_BOUND('',#50546,.T.); #31226=FACE_OUTER_BOUND('',#50547,.T.); #31227=FACE_OUTER_BOUND('',#50548,.T.); #31228=FACE_OUTER_BOUND('',#50549,.T.); #31229=FACE_OUTER_BOUND('',#50550,.T.); #31230=FACE_OUTER_BOUND('',#50551,.T.); #31231=FACE_OUTER_BOUND('',#50552,.T.); #31232=FACE_OUTER_BOUND('',#50553,.T.); #31233=FACE_OUTER_BOUND('',#50554,.T.); #31234=FACE_OUTER_BOUND('',#50555,.T.); #31235=FACE_OUTER_BOUND('',#50556,.T.); #31236=FACE_OUTER_BOUND('',#50557,.T.); #31237=FACE_OUTER_BOUND('',#50558,.T.); #31238=FACE_OUTER_BOUND('',#50559,.T.); #31239=FACE_OUTER_BOUND('',#50560,.T.); #31240=FACE_OUTER_BOUND('',#50561,.T.); #31241=FACE_OUTER_BOUND('',#50562,.T.); #31242=FACE_OUTER_BOUND('',#50563,.T.); #31243=FACE_OUTER_BOUND('',#50564,.T.); #31244=FACE_OUTER_BOUND('',#50565,.T.); #31245=FACE_OUTER_BOUND('',#50566,.T.); #31246=FACE_OUTER_BOUND('',#50567,.T.); #31247=FACE_OUTER_BOUND('',#50568,.T.); #31248=FACE_OUTER_BOUND('',#50569,.T.); #31249=FACE_OUTER_BOUND('',#50570,.T.); #31250=FACE_OUTER_BOUND('',#50571,.T.); #31251=FACE_OUTER_BOUND('',#50572,.T.); #31252=FACE_OUTER_BOUND('',#50573,.T.); #31253=FACE_OUTER_BOUND('',#50574,.T.); #31254=FACE_OUTER_BOUND('',#50575,.T.); #31255=FACE_OUTER_BOUND('',#50576,.T.); #31256=FACE_OUTER_BOUND('',#50577,.T.); #31257=FACE_OUTER_BOUND('',#50578,.T.); #31258=FACE_OUTER_BOUND('',#50579,.T.); #31259=FACE_OUTER_BOUND('',#50580,.T.); #31260=FACE_OUTER_BOUND('',#50581,.T.); #31261=FACE_OUTER_BOUND('',#50582,.T.); #31262=FACE_OUTER_BOUND('',#50583,.T.); #31263=FACE_OUTER_BOUND('',#50584,.T.); #31264=FACE_OUTER_BOUND('',#50585,.T.); #31265=FACE_OUTER_BOUND('',#50586,.T.); #31266=FACE_OUTER_BOUND('',#50587,.T.); #31267=FACE_OUTER_BOUND('',#50588,.T.); #31268=FACE_OUTER_BOUND('',#50589,.T.); #31269=FACE_OUTER_BOUND('',#50590,.T.); #31270=FACE_OUTER_BOUND('',#50591,.T.); #31271=FACE_OUTER_BOUND('',#50592,.T.); #31272=FACE_OUTER_BOUND('',#50593,.T.); #31273=FACE_OUTER_BOUND('',#50594,.T.); #31274=FACE_OUTER_BOUND('',#50595,.T.); #31275=FACE_OUTER_BOUND('',#50596,.T.); #31276=FACE_OUTER_BOUND('',#50597,.T.); #31277=FACE_OUTER_BOUND('',#50598,.T.); #31278=FACE_OUTER_BOUND('',#50599,.T.); #31279=FACE_OUTER_BOUND('',#50600,.T.); #31280=FACE_OUTER_BOUND('',#50601,.T.); #31281=FACE_OUTER_BOUND('',#50602,.T.); #31282=FACE_OUTER_BOUND('',#50603,.T.); #31283=FACE_OUTER_BOUND('',#50604,.T.); #31284=FACE_OUTER_BOUND('',#50605,.T.); #31285=FACE_OUTER_BOUND('',#50606,.T.); #31286=FACE_OUTER_BOUND('',#50607,.T.); #31287=FACE_OUTER_BOUND('',#50608,.T.); #31288=FACE_OUTER_BOUND('',#50609,.T.); #31289=FACE_OUTER_BOUND('',#50610,.T.); #31290=FACE_OUTER_BOUND('',#50611,.T.); #31291=FACE_OUTER_BOUND('',#50612,.T.); #31292=FACE_OUTER_BOUND('',#50613,.T.); #31293=FACE_OUTER_BOUND('',#50614,.T.); #31294=FACE_OUTER_BOUND('',#50615,.T.); #31295=FACE_OUTER_BOUND('',#50616,.T.); #31296=FACE_OUTER_BOUND('',#50617,.T.); #31297=FACE_OUTER_BOUND('',#50618,.T.); #31298=FACE_OUTER_BOUND('',#50619,.T.); #31299=FACE_OUTER_BOUND('',#50620,.T.); #31300=FACE_OUTER_BOUND('',#50621,.T.); #31301=FACE_OUTER_BOUND('',#50622,.T.); #31302=FACE_OUTER_BOUND('',#50623,.T.); #31303=FACE_OUTER_BOUND('',#50624,.T.); #31304=FACE_OUTER_BOUND('',#50625,.T.); #31305=FACE_OUTER_BOUND('',#50626,.T.); #31306=FACE_OUTER_BOUND('',#50627,.T.); #31307=FACE_OUTER_BOUND('',#50628,.T.); #31308=FACE_OUTER_BOUND('',#50629,.T.); #31309=FACE_OUTER_BOUND('',#50630,.T.); #31310=FACE_OUTER_BOUND('',#50631,.T.); #31311=FACE_OUTER_BOUND('',#50632,.T.); #31312=FACE_OUTER_BOUND('',#50633,.T.); #31313=FACE_OUTER_BOUND('',#50634,.T.); #31314=FACE_OUTER_BOUND('',#50635,.T.); #31315=FACE_OUTER_BOUND('',#50636,.T.); #31316=FACE_OUTER_BOUND('',#50637,.T.); #31317=FACE_OUTER_BOUND('',#50638,.T.); #31318=FACE_OUTER_BOUND('',#50639,.T.); #31319=FACE_OUTER_BOUND('',#50640,.T.); #31320=FACE_OUTER_BOUND('',#50641,.T.); #31321=FACE_OUTER_BOUND('',#50642,.T.); #31322=FACE_OUTER_BOUND('',#50643,.T.); #31323=FACE_OUTER_BOUND('',#50644,.T.); #31324=FACE_OUTER_BOUND('',#50645,.T.); #31325=FACE_OUTER_BOUND('',#50646,.T.); #31326=FACE_OUTER_BOUND('',#50647,.T.); #31327=FACE_OUTER_BOUND('',#50648,.T.); #31328=FACE_OUTER_BOUND('',#50649,.T.); #31329=FACE_OUTER_BOUND('',#50650,.T.); #31330=FACE_OUTER_BOUND('',#50651,.T.); #31331=FACE_OUTER_BOUND('',#50652,.T.); #31332=FACE_OUTER_BOUND('',#50653,.T.); #31333=FACE_OUTER_BOUND('',#50654,.T.); #31334=FACE_OUTER_BOUND('',#50655,.T.); #31335=FACE_OUTER_BOUND('',#50656,.T.); #31336=FACE_OUTER_BOUND('',#50657,.T.); #31337=FACE_OUTER_BOUND('',#50658,.T.); #31338=FACE_OUTER_BOUND('',#50659,.T.); #31339=FACE_OUTER_BOUND('',#50660,.T.); #31340=FACE_OUTER_BOUND('',#50661,.T.); #31341=FACE_OUTER_BOUND('',#50662,.T.); #31342=FACE_OUTER_BOUND('',#50663,.T.); #31343=FACE_OUTER_BOUND('',#50664,.T.); #31344=FACE_OUTER_BOUND('',#50665,.T.); #31345=FACE_OUTER_BOUND('',#50666,.T.); #31346=FACE_OUTER_BOUND('',#50667,.T.); #31347=FACE_OUTER_BOUND('',#50668,.T.); #31348=FACE_OUTER_BOUND('',#50669,.T.); #31349=FACE_OUTER_BOUND('',#50670,.T.); #31350=FACE_OUTER_BOUND('',#50671,.T.); #31351=FACE_OUTER_BOUND('',#50672,.T.); #31352=FACE_OUTER_BOUND('',#50673,.T.); #31353=FACE_OUTER_BOUND('',#50674,.T.); #31354=FACE_OUTER_BOUND('',#50675,.T.); #31355=FACE_OUTER_BOUND('',#50676,.T.); #31356=FACE_OUTER_BOUND('',#50677,.T.); #31357=FACE_OUTER_BOUND('',#50678,.T.); #31358=FACE_OUTER_BOUND('',#50679,.T.); #31359=FACE_OUTER_BOUND('',#50680,.T.); #31360=FACE_OUTER_BOUND('',#50681,.T.); #31361=FACE_OUTER_BOUND('',#50682,.T.); #31362=FACE_OUTER_BOUND('',#50683,.T.); #31363=FACE_OUTER_BOUND('',#50684,.T.); #31364=FACE_OUTER_BOUND('',#50685,.T.); #31365=FACE_OUTER_BOUND('',#50686,.T.); #31366=FACE_OUTER_BOUND('',#50687,.T.); #31367=FACE_OUTER_BOUND('',#50688,.T.); #31368=FACE_OUTER_BOUND('',#50689,.T.); #31369=FACE_OUTER_BOUND('',#50690,.T.); #31370=FACE_OUTER_BOUND('',#50691,.T.); #31371=FACE_OUTER_BOUND('',#50692,.T.); #31372=FACE_OUTER_BOUND('',#50693,.T.); #31373=FACE_OUTER_BOUND('',#50694,.T.); #31374=FACE_OUTER_BOUND('',#50695,.T.); #31375=FACE_OUTER_BOUND('',#50696,.T.); #31376=FACE_OUTER_BOUND('',#50697,.T.); #31377=FACE_OUTER_BOUND('',#50698,.T.); #31378=FACE_OUTER_BOUND('',#50699,.T.); #31379=FACE_OUTER_BOUND('',#50700,.T.); #31380=FACE_OUTER_BOUND('',#50701,.T.); #31381=FACE_OUTER_BOUND('',#50702,.T.); #31382=FACE_OUTER_BOUND('',#50703,.T.); #31383=FACE_OUTER_BOUND('',#50704,.T.); #31384=FACE_OUTER_BOUND('',#50705,.T.); #31385=FACE_OUTER_BOUND('',#50706,.T.); #31386=FACE_OUTER_BOUND('',#50707,.T.); #31387=FACE_OUTER_BOUND('',#50708,.T.); #31388=FACE_OUTER_BOUND('',#50709,.T.); #31389=FACE_OUTER_BOUND('',#50710,.T.); #31390=FACE_OUTER_BOUND('',#50711,.T.); #31391=FACE_OUTER_BOUND('',#50712,.T.); #31392=FACE_OUTER_BOUND('',#50713,.T.); #31393=FACE_OUTER_BOUND('',#50714,.T.); #31394=FACE_OUTER_BOUND('',#50715,.T.); #31395=FACE_OUTER_BOUND('',#50716,.T.); #31396=FACE_OUTER_BOUND('',#50717,.T.); #31397=FACE_OUTER_BOUND('',#50718,.T.); #31398=FACE_OUTER_BOUND('',#50719,.T.); #31399=FACE_OUTER_BOUND('',#50720,.T.); #31400=FACE_OUTER_BOUND('',#50721,.T.); #31401=FACE_OUTER_BOUND('',#50722,.T.); #31402=FACE_OUTER_BOUND('',#50723,.T.); #31403=FACE_OUTER_BOUND('',#50724,.T.); #31404=FACE_OUTER_BOUND('',#50725,.T.); #31405=FACE_OUTER_BOUND('',#50726,.T.); #31406=FACE_OUTER_BOUND('',#50727,.T.); #31407=FACE_OUTER_BOUND('',#50728,.T.); #31408=FACE_OUTER_BOUND('',#50729,.T.); #31409=FACE_OUTER_BOUND('',#50730,.T.); #31410=FACE_OUTER_BOUND('',#50731,.T.); #31411=FACE_OUTER_BOUND('',#50732,.T.); #31412=FACE_OUTER_BOUND('',#50733,.T.); #31413=FACE_OUTER_BOUND('',#50734,.T.); #31414=FACE_OUTER_BOUND('',#50735,.T.); #31415=FACE_OUTER_BOUND('',#50736,.T.); #31416=FACE_OUTER_BOUND('',#50737,.T.); #31417=FACE_OUTER_BOUND('',#50738,.T.); #31418=FACE_OUTER_BOUND('',#50739,.T.); #31419=FACE_OUTER_BOUND('',#50740,.T.); #31420=FACE_OUTER_BOUND('',#50741,.T.); #31421=FACE_OUTER_BOUND('',#50742,.T.); #31422=FACE_OUTER_BOUND('',#50743,.T.); #31423=FACE_OUTER_BOUND('',#50744,.T.); #31424=FACE_OUTER_BOUND('',#50745,.T.); #31425=FACE_OUTER_BOUND('',#50746,.T.); #31426=FACE_OUTER_BOUND('',#50747,.T.); #31427=FACE_OUTER_BOUND('',#50748,.T.); #31428=FACE_OUTER_BOUND('',#50749,.T.); #31429=FACE_OUTER_BOUND('',#50750,.T.); #31430=FACE_OUTER_BOUND('',#50751,.T.); #31431=FACE_OUTER_BOUND('',#50752,.T.); #31432=FACE_OUTER_BOUND('',#50753,.T.); #31433=FACE_OUTER_BOUND('',#50754,.T.); #31434=FACE_OUTER_BOUND('',#50755,.T.); #31435=FACE_OUTER_BOUND('',#50756,.T.); #31436=FACE_OUTER_BOUND('',#50757,.T.); #31437=FACE_OUTER_BOUND('',#50758,.T.); #31438=FACE_OUTER_BOUND('',#50759,.T.); #31439=FACE_OUTER_BOUND('',#50760,.T.); #31440=FACE_OUTER_BOUND('',#50761,.T.); #31441=FACE_OUTER_BOUND('',#50762,.T.); #31442=FACE_OUTER_BOUND('',#50763,.T.); #31443=FACE_OUTER_BOUND('',#50764,.T.); #31444=FACE_OUTER_BOUND('',#50765,.T.); #31445=FACE_OUTER_BOUND('',#50766,.T.); #31446=FACE_OUTER_BOUND('',#50767,.T.); #31447=FACE_OUTER_BOUND('',#50768,.T.); #31448=FACE_OUTER_BOUND('',#50769,.T.); #31449=FACE_OUTER_BOUND('',#50770,.T.); #31450=FACE_OUTER_BOUND('',#50771,.T.); #31451=FACE_OUTER_BOUND('',#50772,.T.); #31452=FACE_OUTER_BOUND('',#50773,.T.); #31453=FACE_OUTER_BOUND('',#50774,.T.); #31454=FACE_OUTER_BOUND('',#50775,.T.); #31455=FACE_OUTER_BOUND('',#50776,.T.); #31456=FACE_OUTER_BOUND('',#50777,.T.); #31457=FACE_OUTER_BOUND('',#50778,.T.); #31458=FACE_OUTER_BOUND('',#50779,.T.); #31459=FACE_OUTER_BOUND('',#50780,.T.); #31460=FACE_OUTER_BOUND('',#50781,.T.); #31461=FACE_OUTER_BOUND('',#50782,.T.); #31462=FACE_OUTER_BOUND('',#50783,.T.); #31463=FACE_OUTER_BOUND('',#50784,.T.); #31464=FACE_OUTER_BOUND('',#50785,.T.); #31465=FACE_OUTER_BOUND('',#50786,.T.); #31466=FACE_OUTER_BOUND('',#50787,.T.); #31467=FACE_OUTER_BOUND('',#50788,.T.); #31468=FACE_OUTER_BOUND('',#50789,.T.); #31469=FACE_OUTER_BOUND('',#50790,.T.); #31470=FACE_OUTER_BOUND('',#50791,.T.); #31471=FACE_OUTER_BOUND('',#50792,.T.); #31472=FACE_OUTER_BOUND('',#50793,.T.); #31473=FACE_OUTER_BOUND('',#50794,.T.); #31474=FACE_OUTER_BOUND('',#50795,.T.); #31475=FACE_OUTER_BOUND('',#50796,.T.); #31476=FACE_OUTER_BOUND('',#50797,.T.); #31477=FACE_OUTER_BOUND('',#50798,.T.); #31478=FACE_OUTER_BOUND('',#50799,.T.); #31479=FACE_OUTER_BOUND('',#50800,.T.); #31480=FACE_OUTER_BOUND('',#50801,.T.); #31481=FACE_OUTER_BOUND('',#50802,.T.); #31482=FACE_OUTER_BOUND('',#50803,.T.); #31483=FACE_OUTER_BOUND('',#50804,.T.); #31484=FACE_OUTER_BOUND('',#50805,.T.); #31485=FACE_OUTER_BOUND('',#50806,.T.); #31486=FACE_OUTER_BOUND('',#50807,.T.); #31487=FACE_OUTER_BOUND('',#50808,.T.); #31488=FACE_OUTER_BOUND('',#50809,.T.); #31489=FACE_OUTER_BOUND('',#50810,.T.); #31490=FACE_OUTER_BOUND('',#50811,.T.); #31491=FACE_OUTER_BOUND('',#50812,.T.); #31492=FACE_OUTER_BOUND('',#50813,.T.); #31493=FACE_OUTER_BOUND('',#50814,.T.); #31494=FACE_OUTER_BOUND('',#50815,.T.); #31495=FACE_OUTER_BOUND('',#50816,.T.); #31496=FACE_OUTER_BOUND('',#50817,.T.); #31497=FACE_OUTER_BOUND('',#50818,.T.); #31498=FACE_OUTER_BOUND('',#50819,.T.); #31499=FACE_OUTER_BOUND('',#50820,.T.); #31500=FACE_OUTER_BOUND('',#50821,.T.); #31501=FACE_OUTER_BOUND('',#50822,.T.); #31502=FACE_OUTER_BOUND('',#50823,.T.); #31503=FACE_OUTER_BOUND('',#50824,.T.); #31504=FACE_OUTER_BOUND('',#50825,.T.); #31505=FACE_OUTER_BOUND('',#50826,.T.); #31506=FACE_OUTER_BOUND('',#50827,.T.); #31507=FACE_OUTER_BOUND('',#50828,.T.); #31508=FACE_OUTER_BOUND('',#50829,.T.); #31509=FACE_OUTER_BOUND('',#50830,.T.); #31510=FACE_OUTER_BOUND('',#50831,.T.); #31511=FACE_OUTER_BOUND('',#50832,.T.); #31512=FACE_OUTER_BOUND('',#50833,.T.); #31513=FACE_OUTER_BOUND('',#50834,.T.); #31514=FACE_OUTER_BOUND('',#50835,.T.); #31515=FACE_OUTER_BOUND('',#50836,.T.); #31516=FACE_OUTER_BOUND('',#50837,.T.); #31517=FACE_OUTER_BOUND('',#50838,.T.); #31518=FACE_OUTER_BOUND('',#50839,.T.); #31519=FACE_OUTER_BOUND('',#50840,.T.); #31520=FACE_OUTER_BOUND('',#50841,.T.); #31521=FACE_OUTER_BOUND('',#50842,.T.); #31522=FACE_OUTER_BOUND('',#50843,.T.); #31523=FACE_OUTER_BOUND('',#50844,.T.); #31524=FACE_OUTER_BOUND('',#50845,.T.); #31525=FACE_OUTER_BOUND('',#50846,.T.); #31526=FACE_OUTER_BOUND('',#50847,.T.); #31527=FACE_OUTER_BOUND('',#50848,.T.); #31528=FACE_OUTER_BOUND('',#50849,.T.); #31529=FACE_OUTER_BOUND('',#50850,.T.); #31530=FACE_OUTER_BOUND('',#50851,.T.); #31531=FACE_OUTER_BOUND('',#50852,.T.); #31532=FACE_OUTER_BOUND('',#50853,.T.); #31533=FACE_OUTER_BOUND('',#50854,.T.); #31534=FACE_OUTER_BOUND('',#50855,.T.); #31535=FACE_OUTER_BOUND('',#50856,.T.); #31536=FACE_OUTER_BOUND('',#50857,.T.); #31537=FACE_OUTER_BOUND('',#50858,.T.); #31538=FACE_OUTER_BOUND('',#50859,.T.); #31539=FACE_OUTER_BOUND('',#50860,.T.); #31540=FACE_OUTER_BOUND('',#50861,.T.); #31541=FACE_OUTER_BOUND('',#50862,.T.); #31542=FACE_OUTER_BOUND('',#50863,.T.); #31543=FACE_OUTER_BOUND('',#50864,.T.); #31544=FACE_OUTER_BOUND('',#50865,.T.); #31545=FACE_OUTER_BOUND('',#50866,.T.); #31546=FACE_OUTER_BOUND('',#50867,.T.); #31547=FACE_OUTER_BOUND('',#50868,.T.); #31548=FACE_OUTER_BOUND('',#50869,.T.); #31549=FACE_OUTER_BOUND('',#50870,.T.); #31550=FACE_OUTER_BOUND('',#50871,.T.); #31551=FACE_OUTER_BOUND('',#50872,.T.); #31552=FACE_OUTER_BOUND('',#50873,.T.); #31553=FACE_OUTER_BOUND('',#50874,.T.); #31554=FACE_OUTER_BOUND('',#50875,.T.); #31555=FACE_OUTER_BOUND('',#50876,.T.); #31556=FACE_OUTER_BOUND('',#50877,.T.); #31557=FACE_OUTER_BOUND('',#50878,.T.); #31558=FACE_OUTER_BOUND('',#50879,.T.); #31559=FACE_OUTER_BOUND('',#50880,.T.); #31560=FACE_OUTER_BOUND('',#50881,.T.); #31561=FACE_OUTER_BOUND('',#50882,.T.); #31562=FACE_OUTER_BOUND('',#50883,.T.); #31563=FACE_OUTER_BOUND('',#50884,.T.); #31564=FACE_OUTER_BOUND('',#50885,.T.); #31565=FACE_OUTER_BOUND('',#50886,.T.); #31566=FACE_OUTER_BOUND('',#50887,.T.); #31567=FACE_OUTER_BOUND('',#50888,.T.); #31568=FACE_OUTER_BOUND('',#50889,.T.); #31569=FACE_OUTER_BOUND('',#50890,.T.); #31570=FACE_OUTER_BOUND('',#50891,.T.); #31571=FACE_OUTER_BOUND('',#50892,.T.); #31572=FACE_OUTER_BOUND('',#50893,.T.); #31573=FACE_OUTER_BOUND('',#50894,.T.); #31574=FACE_OUTER_BOUND('',#50895,.T.); #31575=FACE_OUTER_BOUND('',#50896,.T.); #31576=FACE_OUTER_BOUND('',#50897,.T.); #31577=FACE_OUTER_BOUND('',#50898,.T.); #31578=FACE_OUTER_BOUND('',#50899,.T.); #31579=FACE_OUTER_BOUND('',#50900,.T.); #31580=FACE_OUTER_BOUND('',#50901,.T.); #31581=FACE_OUTER_BOUND('',#50902,.T.); #31582=FACE_OUTER_BOUND('',#50903,.T.); #31583=FACE_OUTER_BOUND('',#50904,.T.); #31584=FACE_OUTER_BOUND('',#50905,.T.); #31585=FACE_OUTER_BOUND('',#50906,.T.); #31586=FACE_OUTER_BOUND('',#50907,.T.); #31587=FACE_OUTER_BOUND('',#50908,.T.); #31588=FACE_OUTER_BOUND('',#50909,.T.); #31589=FACE_OUTER_BOUND('',#50910,.T.); #31590=FACE_OUTER_BOUND('',#50911,.T.); #31591=FACE_OUTER_BOUND('',#50912,.T.); #31592=FACE_OUTER_BOUND('',#50913,.T.); #31593=FACE_OUTER_BOUND('',#50914,.T.); #31594=FACE_OUTER_BOUND('',#50915,.T.); #31595=FACE_OUTER_BOUND('',#50916,.T.); #31596=FACE_OUTER_BOUND('',#50917,.T.); #31597=FACE_OUTER_BOUND('',#50918,.T.); #31598=FACE_OUTER_BOUND('',#50919,.T.); #31599=FACE_OUTER_BOUND('',#50920,.T.); #31600=FACE_OUTER_BOUND('',#50921,.T.); #31601=FACE_OUTER_BOUND('',#50922,.T.); #31602=FACE_OUTER_BOUND('',#50923,.T.); #31603=FACE_OUTER_BOUND('',#50924,.T.); #31604=FACE_OUTER_BOUND('',#50925,.T.); #31605=FACE_OUTER_BOUND('',#50926,.T.); #31606=FACE_OUTER_BOUND('',#50927,.T.); #31607=FACE_OUTER_BOUND('',#50928,.T.); #31608=FACE_OUTER_BOUND('',#50929,.T.); #31609=FACE_OUTER_BOUND('',#50930,.T.); #31610=FACE_OUTER_BOUND('',#50931,.T.); #31611=FACE_OUTER_BOUND('',#50932,.T.); #31612=FACE_OUTER_BOUND('',#50933,.T.); #31613=FACE_OUTER_BOUND('',#50934,.T.); #31614=FACE_OUTER_BOUND('',#50935,.T.); #31615=FACE_OUTER_BOUND('',#50936,.T.); #31616=FACE_OUTER_BOUND('',#50937,.T.); #31617=FACE_OUTER_BOUND('',#50938,.T.); #31618=FACE_OUTER_BOUND('',#50939,.T.); #31619=FACE_OUTER_BOUND('',#50940,.T.); #31620=FACE_OUTER_BOUND('',#50941,.T.); #31621=FACE_OUTER_BOUND('',#50942,.T.); #31622=FACE_OUTER_BOUND('',#50943,.T.); #31623=FACE_OUTER_BOUND('',#50944,.T.); #31624=FACE_OUTER_BOUND('',#50945,.T.); #31625=FACE_OUTER_BOUND('',#50946,.T.); #31626=FACE_OUTER_BOUND('',#50947,.T.); #31627=FACE_OUTER_BOUND('',#50948,.T.); #31628=FACE_OUTER_BOUND('',#50949,.T.); #31629=FACE_OUTER_BOUND('',#50950,.T.); #31630=FACE_OUTER_BOUND('',#50951,.T.); #31631=FACE_OUTER_BOUND('',#50952,.T.); #31632=FACE_OUTER_BOUND('',#50953,.T.); #31633=FACE_OUTER_BOUND('',#50954,.T.); #31634=FACE_OUTER_BOUND('',#50955,.T.); #31635=FACE_OUTER_BOUND('',#50956,.T.); #31636=FACE_OUTER_BOUND('',#50957,.T.); #31637=FACE_OUTER_BOUND('',#50958,.T.); #31638=FACE_OUTER_BOUND('',#50959,.T.); #31639=FACE_OUTER_BOUND('',#50960,.T.); #31640=FACE_OUTER_BOUND('',#50961,.T.); #31641=FACE_OUTER_BOUND('',#50962,.T.); #31642=FACE_OUTER_BOUND('',#50963,.T.); #31643=FACE_OUTER_BOUND('',#50964,.T.); #31644=FACE_OUTER_BOUND('',#50965,.T.); #31645=FACE_OUTER_BOUND('',#50966,.T.); #31646=FACE_OUTER_BOUND('',#50967,.T.); #31647=FACE_OUTER_BOUND('',#50968,.T.); #31648=FACE_OUTER_BOUND('',#50969,.T.); #31649=FACE_OUTER_BOUND('',#50970,.T.); #31650=FACE_OUTER_BOUND('',#50971,.T.); #31651=FACE_OUTER_BOUND('',#50972,.T.); #31652=FACE_OUTER_BOUND('',#50973,.T.); #31653=FACE_OUTER_BOUND('',#50974,.T.); #31654=FACE_OUTER_BOUND('',#50975,.T.); #31655=FACE_OUTER_BOUND('',#50976,.T.); #31656=FACE_OUTER_BOUND('',#50977,.T.); #31657=FACE_OUTER_BOUND('',#50978,.T.); #31658=FACE_OUTER_BOUND('',#50979,.T.); #31659=FACE_OUTER_BOUND('',#50980,.T.); #31660=FACE_OUTER_BOUND('',#50981,.T.); #31661=FACE_OUTER_BOUND('',#50982,.T.); #31662=FACE_OUTER_BOUND('',#50983,.T.); #31663=FACE_OUTER_BOUND('',#50984,.T.); #31664=FACE_OUTER_BOUND('',#50985,.T.); #31665=FACE_OUTER_BOUND('',#50986,.T.); #31666=FACE_OUTER_BOUND('',#50987,.T.); #31667=FACE_OUTER_BOUND('',#50988,.T.); #31668=FACE_OUTER_BOUND('',#50989,.T.); #31669=FACE_OUTER_BOUND('',#50990,.T.); #31670=FACE_OUTER_BOUND('',#50991,.T.); #31671=FACE_OUTER_BOUND('',#50992,.T.); #31672=FACE_OUTER_BOUND('',#50993,.T.); #31673=FACE_OUTER_BOUND('',#50994,.T.); #31674=FACE_OUTER_BOUND('',#50995,.T.); #31675=FACE_OUTER_BOUND('',#50996,.T.); #31676=FACE_OUTER_BOUND('',#50997,.T.); #31677=FACE_OUTER_BOUND('',#50998,.T.); #31678=FACE_OUTER_BOUND('',#50999,.T.); #31679=FACE_OUTER_BOUND('',#51000,.T.); #31680=FACE_OUTER_BOUND('',#51001,.T.); #31681=FACE_OUTER_BOUND('',#51002,.T.); #31682=FACE_OUTER_BOUND('',#51003,.T.); #31683=FACE_OUTER_BOUND('',#51004,.T.); #31684=FACE_OUTER_BOUND('',#51005,.T.); #31685=FACE_OUTER_BOUND('',#51006,.T.); #31686=FACE_OUTER_BOUND('',#51007,.T.); #31687=FACE_OUTER_BOUND('',#51008,.T.); #31688=FACE_OUTER_BOUND('',#51009,.T.); #31689=FACE_OUTER_BOUND('',#51010,.T.); #31690=FACE_OUTER_BOUND('',#51011,.T.); #31691=FACE_OUTER_BOUND('',#51012,.T.); #31692=FACE_OUTER_BOUND('',#51013,.T.); #31693=FACE_OUTER_BOUND('',#51014,.T.); #31694=FACE_OUTER_BOUND('',#51015,.T.); #31695=FACE_OUTER_BOUND('',#51016,.T.); #31696=FACE_OUTER_BOUND('',#51017,.T.); #31697=FACE_OUTER_BOUND('',#51018,.T.); #31698=FACE_OUTER_BOUND('',#51019,.T.); #31699=FACE_OUTER_BOUND('',#51020,.T.); #31700=FACE_OUTER_BOUND('',#51021,.T.); #31701=FACE_OUTER_BOUND('',#51022,.T.); #31702=FACE_OUTER_BOUND('',#51023,.T.); #31703=FACE_OUTER_BOUND('',#51024,.T.); #31704=FACE_OUTER_BOUND('',#51025,.T.); #31705=FACE_OUTER_BOUND('',#51026,.T.); #31706=FACE_OUTER_BOUND('',#51027,.T.); #31707=FACE_OUTER_BOUND('',#51028,.T.); #31708=FACE_OUTER_BOUND('',#51029,.T.); #31709=FACE_OUTER_BOUND('',#51030,.T.); #31710=FACE_OUTER_BOUND('',#51031,.T.); #31711=FACE_OUTER_BOUND('',#51032,.T.); #31712=FACE_OUTER_BOUND('',#51033,.T.); #31713=FACE_OUTER_BOUND('',#51034,.T.); #31714=FACE_OUTER_BOUND('',#51035,.T.); #31715=FACE_OUTER_BOUND('',#51036,.T.); #31716=FACE_OUTER_BOUND('',#51037,.T.); #31717=FACE_OUTER_BOUND('',#51038,.T.); #31718=FACE_OUTER_BOUND('',#51039,.T.); #31719=FACE_OUTER_BOUND('',#51040,.T.); #31720=FACE_OUTER_BOUND('',#51041,.T.); #31721=FACE_OUTER_BOUND('',#51042,.T.); #31722=FACE_OUTER_BOUND('',#51043,.T.); #31723=FACE_OUTER_BOUND('',#51044,.T.); #31724=FACE_OUTER_BOUND('',#51045,.T.); #31725=FACE_OUTER_BOUND('',#51046,.T.); #31726=FACE_OUTER_BOUND('',#51047,.T.); #31727=FACE_OUTER_BOUND('',#51048,.T.); #31728=FACE_OUTER_BOUND('',#51049,.T.); #31729=FACE_OUTER_BOUND('',#51050,.T.); #31730=FACE_OUTER_BOUND('',#51051,.T.); #31731=FACE_OUTER_BOUND('',#51052,.T.); #31732=FACE_OUTER_BOUND('',#51053,.T.); #31733=FACE_OUTER_BOUND('',#51054,.T.); #31734=FACE_OUTER_BOUND('',#51055,.T.); #31735=FACE_OUTER_BOUND('',#51056,.T.); #31736=FACE_OUTER_BOUND('',#51057,.T.); #31737=FACE_OUTER_BOUND('',#51058,.T.); #31738=FACE_OUTER_BOUND('',#51059,.T.); #31739=FACE_OUTER_BOUND('',#51060,.T.); #31740=FACE_OUTER_BOUND('',#51061,.T.); #31741=FACE_OUTER_BOUND('',#51062,.T.); #31742=FACE_OUTER_BOUND('',#51063,.T.); #31743=FACE_OUTER_BOUND('',#51064,.T.); #31744=FACE_OUTER_BOUND('',#51065,.T.); #31745=FACE_OUTER_BOUND('',#51066,.T.); #31746=FACE_OUTER_BOUND('',#51067,.T.); #31747=FACE_OUTER_BOUND('',#51068,.T.); #31748=FACE_OUTER_BOUND('',#51069,.T.); #31749=FACE_OUTER_BOUND('',#51070,.T.); #31750=FACE_OUTER_BOUND('',#51071,.T.); #31751=FACE_OUTER_BOUND('',#51072,.T.); #31752=FACE_OUTER_BOUND('',#51073,.T.); #31753=FACE_OUTER_BOUND('',#51074,.T.); #31754=FACE_OUTER_BOUND('',#51075,.T.); #31755=FACE_OUTER_BOUND('',#51076,.T.); #31756=FACE_OUTER_BOUND('',#51077,.T.); #31757=FACE_OUTER_BOUND('',#51078,.T.); #31758=FACE_OUTER_BOUND('',#51079,.T.); #31759=FACE_OUTER_BOUND('',#51080,.T.); #31760=FACE_OUTER_BOUND('',#51081,.T.); #31761=FACE_OUTER_BOUND('',#51082,.T.); #31762=FACE_OUTER_BOUND('',#51083,.T.); #31763=FACE_OUTER_BOUND('',#51084,.T.); #31764=FACE_OUTER_BOUND('',#51085,.T.); #31765=FACE_OUTER_BOUND('',#51086,.T.); #31766=FACE_OUTER_BOUND('',#51087,.T.); #31767=FACE_OUTER_BOUND('',#51088,.T.); #31768=FACE_OUTER_BOUND('',#51089,.T.); #31769=FACE_OUTER_BOUND('',#51090,.T.); #31770=FACE_OUTER_BOUND('',#51091,.T.); #31771=FACE_OUTER_BOUND('',#51092,.T.); #31772=FACE_OUTER_BOUND('',#51093,.T.); #31773=FACE_OUTER_BOUND('',#51094,.T.); #31774=FACE_OUTER_BOUND('',#51095,.T.); #31775=FACE_OUTER_BOUND('',#51096,.T.); #31776=FACE_OUTER_BOUND('',#51097,.T.); #31777=FACE_OUTER_BOUND('',#51098,.T.); #31778=FACE_OUTER_BOUND('',#51099,.T.); #31779=FACE_OUTER_BOUND('',#51100,.T.); #31780=FACE_OUTER_BOUND('',#51101,.T.); #31781=FACE_OUTER_BOUND('',#51102,.T.); #31782=FACE_OUTER_BOUND('',#51103,.T.); #31783=FACE_OUTER_BOUND('',#51104,.T.); #31784=FACE_OUTER_BOUND('',#51105,.T.); #31785=FACE_OUTER_BOUND('',#51106,.T.); #31786=FACE_OUTER_BOUND('',#51107,.T.); #31787=FACE_OUTER_BOUND('',#51108,.T.); #31788=FACE_OUTER_BOUND('',#51109,.T.); #31789=FACE_OUTER_BOUND('',#51110,.T.); #31790=FACE_OUTER_BOUND('',#51111,.T.); #31791=FACE_OUTER_BOUND('',#51112,.T.); #31792=FACE_OUTER_BOUND('',#51113,.T.); #31793=FACE_OUTER_BOUND('',#51114,.T.); #31794=FACE_OUTER_BOUND('',#51115,.T.); #31795=FACE_OUTER_BOUND('',#51116,.T.); #31796=FACE_OUTER_BOUND('',#51117,.T.); #31797=FACE_OUTER_BOUND('',#51118,.T.); #31798=FACE_OUTER_BOUND('',#51119,.T.); #31799=FACE_OUTER_BOUND('',#51120,.T.); #31800=FACE_OUTER_BOUND('',#51121,.T.); #31801=FACE_OUTER_BOUND('',#51122,.T.); #31802=FACE_OUTER_BOUND('',#51123,.T.); #31803=FACE_OUTER_BOUND('',#51124,.T.); #31804=FACE_OUTER_BOUND('',#51125,.T.); #31805=FACE_OUTER_BOUND('',#51126,.T.); #31806=FACE_OUTER_BOUND('',#51127,.T.); #31807=FACE_OUTER_BOUND('',#51128,.T.); #31808=FACE_OUTER_BOUND('',#51129,.T.); #31809=FACE_OUTER_BOUND('',#51130,.T.); #31810=FACE_OUTER_BOUND('',#51131,.T.); #31811=FACE_OUTER_BOUND('',#51132,.T.); #31812=FACE_OUTER_BOUND('',#51133,.T.); #31813=FACE_OUTER_BOUND('',#51134,.T.); #31814=FACE_OUTER_BOUND('',#51135,.T.); #31815=FACE_OUTER_BOUND('',#51136,.T.); #31816=FACE_OUTER_BOUND('',#51137,.T.); #31817=FACE_OUTER_BOUND('',#51138,.T.); #31818=FACE_OUTER_BOUND('',#51139,.T.); #31819=FACE_OUTER_BOUND('',#51140,.T.); #31820=FACE_OUTER_BOUND('',#51141,.T.); #31821=FACE_OUTER_BOUND('',#51142,.T.); #31822=FACE_OUTER_BOUND('',#51143,.T.); #31823=FACE_OUTER_BOUND('',#51144,.T.); #31824=FACE_OUTER_BOUND('',#51145,.T.); #31825=FACE_OUTER_BOUND('',#51146,.T.); #31826=FACE_OUTER_BOUND('',#51147,.T.); #31827=FACE_OUTER_BOUND('',#51148,.T.); #31828=FACE_OUTER_BOUND('',#51149,.T.); #31829=FACE_OUTER_BOUND('',#51150,.T.); #31830=FACE_OUTER_BOUND('',#51151,.T.); #31831=FACE_OUTER_BOUND('',#51152,.T.); #31832=FACE_OUTER_BOUND('',#51153,.T.); #31833=FACE_OUTER_BOUND('',#51154,.T.); #31834=FACE_OUTER_BOUND('',#51155,.T.); #31835=FACE_OUTER_BOUND('',#51156,.T.); #31836=FACE_OUTER_BOUND('',#51157,.T.); #31837=FACE_OUTER_BOUND('',#51158,.T.); #31838=FACE_OUTER_BOUND('',#51159,.T.); #31839=FACE_OUTER_BOUND('',#51160,.T.); #31840=FACE_OUTER_BOUND('',#51161,.T.); #31841=FACE_OUTER_BOUND('',#51162,.T.); #31842=FACE_OUTER_BOUND('',#51163,.T.); #31843=FACE_OUTER_BOUND('',#51164,.T.); #31844=FACE_OUTER_BOUND('',#51165,.T.); #31845=FACE_OUTER_BOUND('',#51166,.T.); #31846=FACE_OUTER_BOUND('',#51167,.T.); #31847=FACE_OUTER_BOUND('',#51168,.T.); #31848=FACE_OUTER_BOUND('',#51169,.T.); #31849=FACE_OUTER_BOUND('',#51170,.T.); #31850=FACE_OUTER_BOUND('',#51171,.T.); #31851=FACE_OUTER_BOUND('',#51172,.T.); #31852=FACE_OUTER_BOUND('',#51173,.T.); #31853=FACE_OUTER_BOUND('',#51174,.T.); #31854=FACE_OUTER_BOUND('',#51175,.T.); #31855=FACE_OUTER_BOUND('',#51176,.T.); #31856=FACE_OUTER_BOUND('',#51177,.T.); #31857=FACE_OUTER_BOUND('',#51178,.T.); #31858=FACE_OUTER_BOUND('',#51179,.T.); #31859=FACE_OUTER_BOUND('',#51180,.T.); #31860=FACE_OUTER_BOUND('',#51181,.T.); #31861=FACE_OUTER_BOUND('',#51182,.T.); #31862=FACE_OUTER_BOUND('',#51183,.T.); #31863=FACE_OUTER_BOUND('',#51184,.T.); #31864=FACE_OUTER_BOUND('',#51185,.T.); #31865=FACE_OUTER_BOUND('',#51186,.T.); #31866=FACE_OUTER_BOUND('',#51187,.T.); #31867=FACE_OUTER_BOUND('',#51188,.T.); #31868=FACE_OUTER_BOUND('',#51189,.T.); #31869=FACE_OUTER_BOUND('',#51190,.T.); #31870=FACE_OUTER_BOUND('',#51191,.T.); #31871=FACE_OUTER_BOUND('',#51192,.T.); #31872=FACE_OUTER_BOUND('',#51193,.T.); #31873=FACE_OUTER_BOUND('',#51194,.T.); #31874=FACE_OUTER_BOUND('',#51195,.T.); #31875=FACE_OUTER_BOUND('',#51196,.T.); #31876=FACE_OUTER_BOUND('',#51197,.T.); #31877=FACE_OUTER_BOUND('',#51198,.T.); #31878=FACE_OUTER_BOUND('',#51199,.T.); #31879=FACE_OUTER_BOUND('',#51200,.T.); #31880=FACE_OUTER_BOUND('',#51201,.T.); #31881=FACE_OUTER_BOUND('',#51202,.T.); #31882=FACE_OUTER_BOUND('',#51203,.T.); #31883=FACE_OUTER_BOUND('',#51204,.T.); #31884=FACE_OUTER_BOUND('',#51205,.T.); #31885=FACE_OUTER_BOUND('',#51206,.T.); #31886=FACE_OUTER_BOUND('',#51207,.T.); #31887=FACE_OUTER_BOUND('',#51208,.T.); #31888=FACE_OUTER_BOUND('',#51209,.T.); #31889=FACE_OUTER_BOUND('',#51210,.T.); #31890=FACE_OUTER_BOUND('',#51211,.T.); #31891=FACE_OUTER_BOUND('',#51212,.T.); #31892=FACE_OUTER_BOUND('',#51213,.T.); #31893=FACE_OUTER_BOUND('',#51214,.T.); #31894=FACE_OUTER_BOUND('',#51215,.T.); #31895=FACE_OUTER_BOUND('',#51216,.T.); #31896=FACE_OUTER_BOUND('',#51217,.T.); #31897=FACE_OUTER_BOUND('',#51218,.T.); #31898=FACE_OUTER_BOUND('',#51219,.T.); #31899=FACE_OUTER_BOUND('',#51220,.T.); #31900=FACE_OUTER_BOUND('',#51221,.T.); #31901=FACE_OUTER_BOUND('',#51222,.T.); #31902=FACE_OUTER_BOUND('',#51223,.T.); #31903=FACE_OUTER_BOUND('',#51224,.T.); #31904=FACE_OUTER_BOUND('',#51225,.T.); #31905=FACE_OUTER_BOUND('',#51226,.T.); #31906=FACE_OUTER_BOUND('',#51227,.T.); #31907=FACE_OUTER_BOUND('',#51228,.T.); #31908=FACE_OUTER_BOUND('',#51229,.T.); #31909=FACE_OUTER_BOUND('',#51230,.T.); #31910=FACE_OUTER_BOUND('',#51231,.T.); #31911=FACE_OUTER_BOUND('',#51232,.T.); #31912=FACE_OUTER_BOUND('',#51233,.T.); #31913=FACE_OUTER_BOUND('',#51234,.T.); #31914=FACE_OUTER_BOUND('',#51235,.T.); #31915=FACE_OUTER_BOUND('',#51236,.T.); #31916=FACE_OUTER_BOUND('',#51237,.T.); #31917=FACE_OUTER_BOUND('',#51238,.T.); #31918=FACE_OUTER_BOUND('',#51239,.T.); #31919=FACE_OUTER_BOUND('',#51240,.T.); #31920=FACE_OUTER_BOUND('',#51241,.T.); #31921=FACE_OUTER_BOUND('',#51242,.T.); #31922=FACE_OUTER_BOUND('',#51243,.T.); #31923=FACE_OUTER_BOUND('',#51244,.T.); #31924=FACE_OUTER_BOUND('',#51245,.T.); #31925=FACE_OUTER_BOUND('',#51246,.T.); #31926=FACE_OUTER_BOUND('',#51247,.T.); #31927=FACE_OUTER_BOUND('',#51248,.T.); #31928=FACE_OUTER_BOUND('',#51249,.T.); #31929=FACE_OUTER_BOUND('',#51250,.T.); #31930=FACE_OUTER_BOUND('',#51251,.T.); #31931=FACE_OUTER_BOUND('',#51252,.T.); #31932=FACE_OUTER_BOUND('',#51253,.T.); #31933=FACE_OUTER_BOUND('',#51254,.T.); #31934=FACE_OUTER_BOUND('',#51255,.T.); #31935=FACE_OUTER_BOUND('',#51256,.T.); #31936=FACE_OUTER_BOUND('',#51402,.T.); #31937=FACE_OUTER_BOUND('',#51548,.T.); #31938=FACE_OUTER_BOUND('',#51549,.T.); #31939=FACE_OUTER_BOUND('',#51550,.T.); #31940=FACE_OUTER_BOUND('',#51551,.T.); #31941=FACE_OUTER_BOUND('',#51552,.T.); #31942=FACE_OUTER_BOUND('',#51553,.T.); #31943=FACE_OUTER_BOUND('',#51554,.T.); #31944=FACE_OUTER_BOUND('',#51555,.T.); #31945=FACE_OUTER_BOUND('',#51556,.T.); #31946=FACE_OUTER_BOUND('',#51557,.T.); #31947=FACE_OUTER_BOUND('',#51558,.T.); #31948=FACE_OUTER_BOUND('',#51559,.T.); #31949=FACE_OUTER_BOUND('',#51560,.T.); #31950=FACE_OUTER_BOUND('',#51561,.T.); #31951=FACE_OUTER_BOUND('',#51562,.T.); #31952=FACE_OUTER_BOUND('',#51563,.T.); #31953=FACE_OUTER_BOUND('',#51564,.T.); #31954=FACE_OUTER_BOUND('',#51565,.T.); #31955=FACE_OUTER_BOUND('',#51566,.T.); #31956=FACE_OUTER_BOUND('',#51567,.T.); #31957=FACE_OUTER_BOUND('',#51568,.T.); #31958=FACE_OUTER_BOUND('',#51569,.T.); #31959=FACE_OUTER_BOUND('',#51570,.T.); #31960=FACE_OUTER_BOUND('',#51571,.T.); #31961=FACE_OUTER_BOUND('',#51572,.T.); #31962=FACE_OUTER_BOUND('',#51573,.T.); #31963=FACE_OUTER_BOUND('',#51574,.T.); #31964=FACE_OUTER_BOUND('',#51575,.T.); #31965=FACE_OUTER_BOUND('',#51576,.T.); #31966=FACE_OUTER_BOUND('',#51577,.T.); #31967=FACE_OUTER_BOUND('',#51578,.T.); #31968=FACE_OUTER_BOUND('',#51579,.T.); #31969=FACE_OUTER_BOUND('',#51580,.T.); #31970=FACE_OUTER_BOUND('',#51581,.T.); #31971=FACE_OUTER_BOUND('',#51582,.T.); #31972=FACE_OUTER_BOUND('',#51583,.T.); #31973=FACE_OUTER_BOUND('',#51584,.T.); #31974=FACE_OUTER_BOUND('',#51585,.T.); #31975=FACE_OUTER_BOUND('',#51586,.T.); #31976=FACE_OUTER_BOUND('',#51587,.T.); #31977=FACE_OUTER_BOUND('',#51588,.T.); #31978=FACE_OUTER_BOUND('',#51589,.T.); #31979=FACE_OUTER_BOUND('',#51590,.T.); #31980=FACE_OUTER_BOUND('',#51591,.T.); #31981=FACE_OUTER_BOUND('',#51592,.T.); #31982=FACE_OUTER_BOUND('',#51593,.T.); #31983=FACE_OUTER_BOUND('',#51594,.T.); #31984=FACE_OUTER_BOUND('',#51595,.T.); #31985=FACE_OUTER_BOUND('',#51596,.T.); #31986=FACE_OUTER_BOUND('',#51597,.T.); #31987=FACE_OUTER_BOUND('',#51598,.T.); #31988=FACE_OUTER_BOUND('',#51599,.T.); #31989=FACE_OUTER_BOUND('',#51600,.T.); #31990=FACE_OUTER_BOUND('',#51601,.T.); #31991=FACE_OUTER_BOUND('',#51602,.T.); #31992=FACE_OUTER_BOUND('',#51603,.T.); #31993=FACE_OUTER_BOUND('',#51604,.T.); #31994=FACE_OUTER_BOUND('',#51605,.T.); #31995=FACE_OUTER_BOUND('',#51606,.T.); #31996=FACE_OUTER_BOUND('',#51607,.T.); #31997=FACE_OUTER_BOUND('',#51608,.T.); #31998=FACE_OUTER_BOUND('',#51609,.T.); #31999=FACE_OUTER_BOUND('',#51610,.T.); #32000=FACE_OUTER_BOUND('',#51611,.T.); #32001=FACE_OUTER_BOUND('',#51612,.T.); #32002=FACE_OUTER_BOUND('',#51613,.T.); #32003=FACE_OUTER_BOUND('',#51614,.T.); #32004=FACE_OUTER_BOUND('',#51615,.T.); #32005=FACE_OUTER_BOUND('',#51616,.T.); #32006=FACE_OUTER_BOUND('',#51617,.T.); #32007=FACE_OUTER_BOUND('',#51618,.T.); #32008=FACE_OUTER_BOUND('',#51619,.T.); #32009=FACE_OUTER_BOUND('',#51620,.T.); #32010=FACE_OUTER_BOUND('',#51621,.T.); #32011=FACE_OUTER_BOUND('',#51622,.T.); #32012=FACE_OUTER_BOUND('',#51623,.T.); #32013=FACE_OUTER_BOUND('',#51624,.T.); #32014=FACE_OUTER_BOUND('',#51625,.T.); #32015=FACE_OUTER_BOUND('',#51626,.T.); #32016=FACE_OUTER_BOUND('',#51627,.T.); #32017=FACE_OUTER_BOUND('',#51628,.T.); #32018=FACE_OUTER_BOUND('',#51629,.T.); #32019=FACE_OUTER_BOUND('',#51630,.T.); #32020=FACE_OUTER_BOUND('',#51631,.T.); #32021=FACE_OUTER_BOUND('',#51632,.T.); #32022=FACE_OUTER_BOUND('',#51633,.T.); #32023=FACE_OUTER_BOUND('',#51634,.T.); #32024=FACE_OUTER_BOUND('',#51635,.T.); #32025=FACE_OUTER_BOUND('',#51636,.T.); #32026=FACE_OUTER_BOUND('',#51637,.T.); #32027=FACE_OUTER_BOUND('',#51638,.T.); #32028=FACE_OUTER_BOUND('',#51639,.T.); #32029=FACE_OUTER_BOUND('',#51640,.T.); #32030=FACE_OUTER_BOUND('',#51641,.T.); #32031=FACE_OUTER_BOUND('',#51642,.T.); #32032=FACE_OUTER_BOUND('',#51643,.T.); #32033=FACE_OUTER_BOUND('',#51644,.T.); #32034=FACE_OUTER_BOUND('',#51645,.T.); #32035=FACE_OUTER_BOUND('',#51646,.T.); #32036=FACE_OUTER_BOUND('',#51647,.T.); #32037=FACE_OUTER_BOUND('',#51648,.T.); #32038=FACE_OUTER_BOUND('',#51649,.T.); #32039=FACE_OUTER_BOUND('',#51650,.T.); #32040=FACE_OUTER_BOUND('',#51651,.T.); #32041=FACE_OUTER_BOUND('',#51652,.T.); #32042=FACE_OUTER_BOUND('',#51653,.T.); #32043=FACE_OUTER_BOUND('',#51654,.T.); #32044=FACE_OUTER_BOUND('',#51655,.T.); #32045=FACE_OUTER_BOUND('',#51656,.T.); #32046=FACE_OUTER_BOUND('',#51657,.T.); #32047=FACE_OUTER_BOUND('',#51658,.T.); #32048=FACE_OUTER_BOUND('',#51659,.T.); #32049=FACE_OUTER_BOUND('',#51660,.T.); #32050=FACE_OUTER_BOUND('',#51661,.T.); #32051=FACE_OUTER_BOUND('',#51662,.T.); #32052=FACE_OUTER_BOUND('',#51663,.T.); #32053=FACE_OUTER_BOUND('',#51664,.T.); #32054=FACE_OUTER_BOUND('',#51665,.T.); #32055=FACE_OUTER_BOUND('',#51666,.T.); #32056=FACE_OUTER_BOUND('',#51667,.T.); #32057=FACE_OUTER_BOUND('',#51668,.T.); #32058=FACE_OUTER_BOUND('',#51669,.T.); #32059=FACE_OUTER_BOUND('',#51670,.T.); #32060=FACE_OUTER_BOUND('',#51671,.T.); #32061=FACE_OUTER_BOUND('',#51672,.T.); #32062=FACE_OUTER_BOUND('',#51673,.T.); #32063=FACE_OUTER_BOUND('',#51674,.T.); #32064=FACE_OUTER_BOUND('',#51675,.T.); #32065=FACE_OUTER_BOUND('',#51676,.T.); #32066=FACE_OUTER_BOUND('',#51677,.T.); #32067=FACE_OUTER_BOUND('',#51678,.T.); #32068=FACE_OUTER_BOUND('',#51679,.T.); #32069=FACE_OUTER_BOUND('',#51680,.T.); #32070=FACE_OUTER_BOUND('',#51681,.T.); #32071=FACE_OUTER_BOUND('',#51682,.T.); #32072=FACE_OUTER_BOUND('',#51683,.T.); #32073=FACE_OUTER_BOUND('',#51684,.T.); #32074=FACE_OUTER_BOUND('',#51685,.T.); #32075=FACE_OUTER_BOUND('',#51686,.T.); #32076=FACE_OUTER_BOUND('',#51687,.T.); #32077=FACE_OUTER_BOUND('',#51688,.T.); #32078=FACE_OUTER_BOUND('',#51689,.T.); #32079=FACE_OUTER_BOUND('',#51690,.T.); #32080=FACE_OUTER_BOUND('',#51691,.T.); #32081=FACE_OUTER_BOUND('',#51692,.T.); #32082=FACE_OUTER_BOUND('',#51693,.T.); #32083=FACE_OUTER_BOUND('',#51694,.T.); #32084=FACE_OUTER_BOUND('',#51695,.T.); #32085=FACE_OUTER_BOUND('',#51696,.T.); #32086=FACE_OUTER_BOUND('',#51697,.T.); #32087=FACE_OUTER_BOUND('',#51698,.T.); #32088=FACE_OUTER_BOUND('',#51699,.T.); #32089=FACE_OUTER_BOUND('',#51700,.T.); #32090=FACE_OUTER_BOUND('',#51701,.T.); #32091=FACE_OUTER_BOUND('',#51702,.T.); #32092=FACE_OUTER_BOUND('',#51703,.T.); #32093=FACE_OUTER_BOUND('',#51704,.T.); #32094=FACE_OUTER_BOUND('',#51705,.T.); #32095=FACE_OUTER_BOUND('',#51706,.T.); #32096=FACE_OUTER_BOUND('',#51707,.T.); #32097=FACE_OUTER_BOUND('',#51708,.T.); #32098=FACE_OUTER_BOUND('',#51709,.T.); #32099=FACE_OUTER_BOUND('',#51710,.T.); #32100=FACE_OUTER_BOUND('',#51711,.T.); #32101=FACE_OUTER_BOUND('',#51712,.T.); #32102=FACE_OUTER_BOUND('',#51713,.T.); #32103=FACE_OUTER_BOUND('',#51714,.T.); #32104=FACE_OUTER_BOUND('',#51715,.T.); #32105=FACE_OUTER_BOUND('',#51716,.T.); #32106=FACE_OUTER_BOUND('',#51717,.T.); #32107=FACE_OUTER_BOUND('',#51718,.T.); #32108=FACE_OUTER_BOUND('',#51719,.T.); #32109=FACE_OUTER_BOUND('',#51720,.T.); #32110=FACE_OUTER_BOUND('',#51721,.T.); #32111=FACE_OUTER_BOUND('',#51722,.T.); #32112=FACE_OUTER_BOUND('',#51723,.T.); #32113=FACE_OUTER_BOUND('',#51724,.T.); #32114=FACE_OUTER_BOUND('',#51725,.T.); #32115=FACE_OUTER_BOUND('',#51726,.T.); #32116=FACE_OUTER_BOUND('',#51727,.T.); #32117=FACE_OUTER_BOUND('',#51728,.T.); #32118=FACE_OUTER_BOUND('',#51729,.T.); #32119=FACE_OUTER_BOUND('',#51730,.T.); #32120=FACE_OUTER_BOUND('',#51731,.T.); #32121=FACE_OUTER_BOUND('',#51732,.T.); #32122=FACE_OUTER_BOUND('',#51733,.T.); #32123=FACE_OUTER_BOUND('',#51734,.T.); #32124=FACE_OUTER_BOUND('',#51735,.T.); #32125=FACE_OUTER_BOUND('',#51736,.T.); #32126=FACE_OUTER_BOUND('',#51737,.T.); #32127=FACE_OUTER_BOUND('',#51738,.T.); #32128=FACE_OUTER_BOUND('',#51739,.T.); #32129=FACE_OUTER_BOUND('',#51740,.T.); #32130=FACE_OUTER_BOUND('',#51741,.T.); #32131=FACE_OUTER_BOUND('',#51742,.T.); #32132=FACE_OUTER_BOUND('',#51743,.T.); #32133=FACE_OUTER_BOUND('',#51744,.T.); #32134=FACE_OUTER_BOUND('',#51745,.T.); #32135=FACE_OUTER_BOUND('',#51746,.T.); #32136=FACE_OUTER_BOUND('',#51747,.T.); #32137=FACE_OUTER_BOUND('',#51748,.T.); #32138=FACE_OUTER_BOUND('',#51749,.T.); #32139=FACE_OUTER_BOUND('',#51750,.T.); #32140=FACE_OUTER_BOUND('',#51751,.T.); #32141=FACE_OUTER_BOUND('',#51752,.T.); #32142=FACE_OUTER_BOUND('',#51753,.T.); #32143=FACE_OUTER_BOUND('',#51754,.T.); #32144=FACE_OUTER_BOUND('',#51755,.T.); #32145=FACE_OUTER_BOUND('',#51756,.T.); #32146=FACE_OUTER_BOUND('',#51757,.T.); #32147=FACE_OUTER_BOUND('',#51758,.T.); #32148=FACE_OUTER_BOUND('',#51759,.T.); #32149=FACE_OUTER_BOUND('',#51760,.T.); #32150=FACE_OUTER_BOUND('',#51761,.T.); #32151=FACE_OUTER_BOUND('',#51762,.T.); #32152=FACE_OUTER_BOUND('',#51763,.T.); #32153=FACE_OUTER_BOUND('',#51764,.T.); #32154=FACE_OUTER_BOUND('',#51765,.T.); #32155=FACE_OUTER_BOUND('',#51766,.T.); #32156=FACE_OUTER_BOUND('',#51767,.T.); #32157=FACE_OUTER_BOUND('',#51768,.T.); #32158=FACE_OUTER_BOUND('',#51769,.T.); #32159=FACE_OUTER_BOUND('',#51770,.T.); #32160=FACE_OUTER_BOUND('',#51771,.T.); #32161=FACE_OUTER_BOUND('',#51772,.T.); #32162=FACE_OUTER_BOUND('',#51773,.T.); #32163=FACE_OUTER_BOUND('',#51774,.T.); #32164=FACE_OUTER_BOUND('',#51775,.T.); #32165=FACE_OUTER_BOUND('',#51776,.T.); #32166=FACE_OUTER_BOUND('',#51777,.T.); #32167=FACE_OUTER_BOUND('',#51778,.T.); #32168=FACE_OUTER_BOUND('',#51779,.T.); #32169=FACE_OUTER_BOUND('',#51780,.T.); #32170=FACE_OUTER_BOUND('',#51781,.T.); #32171=FACE_OUTER_BOUND('',#51782,.T.); #32172=FACE_OUTER_BOUND('',#51783,.T.); #32173=FACE_OUTER_BOUND('',#51784,.T.); #32174=FACE_OUTER_BOUND('',#51785,.T.); #32175=FACE_OUTER_BOUND('',#51786,.T.); #32176=FACE_OUTER_BOUND('',#51787,.T.); #32177=FACE_OUTER_BOUND('',#51788,.T.); #32178=FACE_OUTER_BOUND('',#51789,.T.); #32179=FACE_OUTER_BOUND('',#51790,.T.); #32180=FACE_OUTER_BOUND('',#51791,.T.); #32181=FACE_OUTER_BOUND('',#51792,.T.); #32182=FACE_OUTER_BOUND('',#51793,.T.); #32183=FACE_OUTER_BOUND('',#51794,.T.); #32184=FACE_OUTER_BOUND('',#51795,.T.); #32185=FACE_OUTER_BOUND('',#51796,.T.); #32186=FACE_OUTER_BOUND('',#51797,.T.); #32187=FACE_OUTER_BOUND('',#51798,.T.); #32188=FACE_OUTER_BOUND('',#51799,.T.); #32189=FACE_OUTER_BOUND('',#51800,.T.); #32190=FACE_OUTER_BOUND('',#51801,.T.); #32191=FACE_OUTER_BOUND('',#51802,.T.); #32192=FACE_OUTER_BOUND('',#51803,.T.); #32193=FACE_OUTER_BOUND('',#51804,.T.); #32194=FACE_OUTER_BOUND('',#51805,.T.); #32195=FACE_OUTER_BOUND('',#51806,.T.); #32196=FACE_OUTER_BOUND('',#51807,.T.); #32197=FACE_OUTER_BOUND('',#51808,.T.); #32198=FACE_OUTER_BOUND('',#51809,.T.); #32199=FACE_OUTER_BOUND('',#51810,.T.); #32200=FACE_OUTER_BOUND('',#51811,.T.); #32201=FACE_OUTER_BOUND('',#51812,.T.); #32202=FACE_OUTER_BOUND('',#51813,.T.); #32203=FACE_OUTER_BOUND('',#51814,.T.); #32204=FACE_OUTER_BOUND('',#51815,.T.); #32205=FACE_OUTER_BOUND('',#51816,.T.); #32206=FACE_OUTER_BOUND('',#51817,.T.); #32207=FACE_OUTER_BOUND('',#51818,.T.); #32208=FACE_OUTER_BOUND('',#51819,.T.); #32209=FACE_OUTER_BOUND('',#51820,.T.); #32210=FACE_OUTER_BOUND('',#51821,.T.); #32211=FACE_OUTER_BOUND('',#51822,.T.); #32212=FACE_OUTER_BOUND('',#51823,.T.); #32213=FACE_OUTER_BOUND('',#51824,.T.); #32214=FACE_OUTER_BOUND('',#51825,.T.); #32215=FACE_OUTER_BOUND('',#51826,.T.); #32216=FACE_OUTER_BOUND('',#51827,.T.); #32217=FACE_OUTER_BOUND('',#51828,.T.); #32218=FACE_OUTER_BOUND('',#51829,.T.); #32219=FACE_OUTER_BOUND('',#51830,.T.); #32220=FACE_OUTER_BOUND('',#51831,.T.); #32221=FACE_OUTER_BOUND('',#51832,.T.); #32222=FACE_OUTER_BOUND('',#51833,.T.); #32223=FACE_OUTER_BOUND('',#51834,.T.); #32224=FACE_OUTER_BOUND('',#51835,.T.); #32225=FACE_OUTER_BOUND('',#51836,.T.); #32226=FACE_OUTER_BOUND('',#51837,.T.); #32227=FACE_OUTER_BOUND('',#51838,.T.); #32228=FACE_OUTER_BOUND('',#51839,.T.); #32229=FACE_OUTER_BOUND('',#51840,.T.); #32230=FACE_OUTER_BOUND('',#51841,.T.); #32231=FACE_OUTER_BOUND('',#51842,.T.); #32232=FACE_OUTER_BOUND('',#51843,.T.); #32233=FACE_OUTER_BOUND('',#51844,.T.); #32234=FACE_OUTER_BOUND('',#51845,.T.); #32235=FACE_OUTER_BOUND('',#51846,.T.); #32236=FACE_OUTER_BOUND('',#51847,.T.); #32237=FACE_OUTER_BOUND('',#51848,.T.); #32238=FACE_OUTER_BOUND('',#51849,.T.); #32239=FACE_OUTER_BOUND('',#51850,.T.); #32240=FACE_OUTER_BOUND('',#51851,.T.); #32241=FACE_OUTER_BOUND('',#51852,.T.); #32242=FACE_OUTER_BOUND('',#51853,.T.); #32243=FACE_OUTER_BOUND('',#51854,.T.); #32244=FACE_OUTER_BOUND('',#51855,.T.); #32245=FACE_OUTER_BOUND('',#51856,.T.); #32246=FACE_OUTER_BOUND('',#51857,.T.); #32247=FACE_OUTER_BOUND('',#51858,.T.); #32248=FACE_OUTER_BOUND('',#51859,.T.); #32249=FACE_OUTER_BOUND('',#51860,.T.); #32250=FACE_OUTER_BOUND('',#51861,.T.); #32251=FACE_OUTER_BOUND('',#51862,.T.); #32252=FACE_OUTER_BOUND('',#51863,.T.); #32253=FACE_OUTER_BOUND('',#51864,.T.); #32254=FACE_OUTER_BOUND('',#51865,.T.); #32255=FACE_OUTER_BOUND('',#51866,.T.); #32256=FACE_OUTER_BOUND('',#51867,.T.); #32257=FACE_OUTER_BOUND('',#51868,.T.); #32258=FACE_OUTER_BOUND('',#51869,.T.); #32259=FACE_OUTER_BOUND('',#51870,.T.); #32260=FACE_OUTER_BOUND('',#51871,.T.); #32261=FACE_OUTER_BOUND('',#51872,.T.); #32262=FACE_OUTER_BOUND('',#51873,.T.); #32263=FACE_OUTER_BOUND('',#51874,.T.); #32264=FACE_OUTER_BOUND('',#51875,.T.); #32265=FACE_OUTER_BOUND('',#51876,.T.); #32266=FACE_OUTER_BOUND('',#51877,.T.); #32267=FACE_OUTER_BOUND('',#51878,.T.); #32268=FACE_OUTER_BOUND('',#51879,.T.); #32269=FACE_OUTER_BOUND('',#51880,.T.); #32270=FACE_OUTER_BOUND('',#51881,.T.); #32271=FACE_OUTER_BOUND('',#51882,.T.); #32272=FACE_OUTER_BOUND('',#51883,.T.); #32273=FACE_OUTER_BOUND('',#51884,.T.); #32274=FACE_OUTER_BOUND('',#51885,.T.); #32275=FACE_OUTER_BOUND('',#51886,.T.); #32276=FACE_OUTER_BOUND('',#51887,.T.); #32277=FACE_OUTER_BOUND('',#51888,.T.); #32278=FACE_OUTER_BOUND('',#51889,.T.); #32279=FACE_OUTER_BOUND('',#51890,.T.); #32280=FACE_OUTER_BOUND('',#51891,.T.); #32281=FACE_OUTER_BOUND('',#51892,.T.); #32282=FACE_OUTER_BOUND('',#51893,.T.); #32283=FACE_OUTER_BOUND('',#51894,.T.); #32284=FACE_OUTER_BOUND('',#51895,.T.); #32285=FACE_OUTER_BOUND('',#51896,.T.); #32286=FACE_OUTER_BOUND('',#51897,.T.); #32287=FACE_OUTER_BOUND('',#51898,.T.); #32288=FACE_OUTER_BOUND('',#51899,.T.); #32289=FACE_OUTER_BOUND('',#51900,.T.); #32290=FACE_OUTER_BOUND('',#51901,.T.); #32291=FACE_OUTER_BOUND('',#51902,.T.); #32292=FACE_OUTER_BOUND('',#51903,.T.); #32293=FACE_OUTER_BOUND('',#51904,.T.); #32294=FACE_OUTER_BOUND('',#51905,.T.); #32295=FACE_OUTER_BOUND('',#51906,.T.); #32296=FACE_OUTER_BOUND('',#51907,.T.); #32297=FACE_OUTER_BOUND('',#51908,.T.); #32298=FACE_OUTER_BOUND('',#51909,.T.); #32299=FACE_OUTER_BOUND('',#51910,.T.); #32300=FACE_OUTER_BOUND('',#51911,.T.); #32301=FACE_OUTER_BOUND('',#51912,.T.); #32302=FACE_OUTER_BOUND('',#51913,.T.); #32303=FACE_OUTER_BOUND('',#51914,.T.); #32304=FACE_OUTER_BOUND('',#51915,.T.); #32305=FACE_OUTER_BOUND('',#51916,.T.); #32306=FACE_OUTER_BOUND('',#51917,.T.); #32307=FACE_OUTER_BOUND('',#51918,.T.); #32308=FACE_OUTER_BOUND('',#51919,.T.); #32309=FACE_OUTER_BOUND('',#51920,.T.); #32310=FACE_OUTER_BOUND('',#51921,.T.); #32311=FACE_OUTER_BOUND('',#51922,.T.); #32312=FACE_OUTER_BOUND('',#51923,.T.); #32313=FACE_OUTER_BOUND('',#51924,.T.); #32314=FACE_OUTER_BOUND('',#51925,.T.); #32315=FACE_OUTER_BOUND('',#51926,.T.); #32316=FACE_OUTER_BOUND('',#51927,.T.); #32317=FACE_OUTER_BOUND('',#51928,.T.); #32318=FACE_OUTER_BOUND('',#51929,.T.); #32319=FACE_OUTER_BOUND('',#51930,.T.); #32320=FACE_OUTER_BOUND('',#51931,.T.); #32321=FACE_OUTER_BOUND('',#51932,.T.); #32322=FACE_OUTER_BOUND('',#51933,.T.); #32323=FACE_OUTER_BOUND('',#51934,.T.); #32324=FACE_OUTER_BOUND('',#51935,.T.); #32325=FACE_OUTER_BOUND('',#51936,.T.); #32326=FACE_OUTER_BOUND('',#51937,.T.); #32327=FACE_OUTER_BOUND('',#51938,.T.); #32328=FACE_OUTER_BOUND('',#51939,.T.); #32329=FACE_OUTER_BOUND('',#51940,.T.); #32330=FACE_OUTER_BOUND('',#51941,.T.); #32331=FACE_OUTER_BOUND('',#51942,.T.); #32332=FACE_OUTER_BOUND('',#51943,.T.); #32333=FACE_OUTER_BOUND('',#51944,.T.); #32334=FACE_OUTER_BOUND('',#51945,.T.); #32335=FACE_OUTER_BOUND('',#51946,.T.); #32336=FACE_OUTER_BOUND('',#51947,.T.); #32337=FACE_OUTER_BOUND('',#51964,.T.); #32338=FACE_OUTER_BOUND('',#51981,.T.); #32339=FACE_OUTER_BOUND('',#51982,.T.); #32340=FACE_OUTER_BOUND('',#51983,.T.); #32341=FACE_OUTER_BOUND('',#51984,.T.); #32342=FACE_OUTER_BOUND('',#51985,.T.); #32343=FACE_OUTER_BOUND('',#51986,.T.); #32344=FACE_OUTER_BOUND('',#51987,.T.); #32345=FACE_OUTER_BOUND('',#51988,.T.); #32346=FACE_OUTER_BOUND('',#51989,.T.); #32347=FACE_OUTER_BOUND('',#51990,.T.); #32348=FACE_OUTER_BOUND('',#51991,.T.); #32349=FACE_OUTER_BOUND('',#51992,.T.); #32350=FACE_OUTER_BOUND('',#51993,.T.); #32351=FACE_OUTER_BOUND('',#51994,.T.); #32352=FACE_OUTER_BOUND('',#51995,.T.); #32353=FACE_OUTER_BOUND('',#51996,.T.); #32354=FACE_OUTER_BOUND('',#51997,.T.); #32355=FACE_OUTER_BOUND('',#51998,.T.); #32356=FACE_OUTER_BOUND('',#51999,.T.); #32357=FACE_OUTER_BOUND('',#52000,.T.); #32358=FACE_OUTER_BOUND('',#52001,.T.); #32359=FACE_OUTER_BOUND('',#52002,.T.); #32360=FACE_OUTER_BOUND('',#52003,.T.); #32361=FACE_OUTER_BOUND('',#52004,.T.); #32362=FACE_OUTER_BOUND('',#52005,.T.); #32363=FACE_OUTER_BOUND('',#52006,.T.); #32364=FACE_OUTER_BOUND('',#52007,.T.); #32365=FACE_OUTER_BOUND('',#52008,.T.); #32366=FACE_OUTER_BOUND('',#52009,.T.); #32367=FACE_OUTER_BOUND('',#52010,.T.); #32368=FACE_OUTER_BOUND('',#52011,.T.); #32369=FACE_OUTER_BOUND('',#52012,.T.); #32370=FACE_OUTER_BOUND('',#52013,.T.); #32371=FACE_OUTER_BOUND('',#52014,.T.); #32372=FACE_OUTER_BOUND('',#52015,.T.); #32373=FACE_OUTER_BOUND('',#52016,.T.); #32374=FACE_OUTER_BOUND('',#52017,.T.); #32375=FACE_OUTER_BOUND('',#52018,.T.); #32376=FACE_OUTER_BOUND('',#52019,.T.); #32377=FACE_OUTER_BOUND('',#52020,.T.); #32378=FACE_OUTER_BOUND('',#52021,.T.); #32379=FACE_OUTER_BOUND('',#52022,.T.); #32380=FACE_OUTER_BOUND('',#52023,.T.); #32381=FACE_OUTER_BOUND('',#52024,.T.); #32382=FACE_OUTER_BOUND('',#52025,.T.); #32383=FACE_OUTER_BOUND('',#52026,.T.); #32384=FACE_OUTER_BOUND('',#52027,.T.); #32385=FACE_OUTER_BOUND('',#52028,.T.); #32386=FACE_OUTER_BOUND('',#52029,.T.); #32387=FACE_OUTER_BOUND('',#52030,.T.); #32388=FACE_OUTER_BOUND('',#52031,.T.); #32389=FACE_OUTER_BOUND('',#52032,.T.); #32390=FACE_OUTER_BOUND('',#52033,.T.); #32391=FACE_OUTER_BOUND('',#52034,.T.); #32392=FACE_OUTER_BOUND('',#52035,.T.); #32393=FACE_OUTER_BOUND('',#52036,.T.); #32394=FACE_OUTER_BOUND('',#52037,.T.); #32395=FACE_OUTER_BOUND('',#52038,.T.); #32396=FACE_OUTER_BOUND('',#52039,.T.); #32397=FACE_OUTER_BOUND('',#52040,.T.); #32398=FACE_OUTER_BOUND('',#52041,.T.); #32399=FACE_OUTER_BOUND('',#52042,.T.); #32400=FACE_OUTER_BOUND('',#52043,.T.); #32401=FACE_OUTER_BOUND('',#52044,.T.); #32402=FACE_OUTER_BOUND('',#52045,.T.); #32403=FACE_OUTER_BOUND('',#52046,.T.); #32404=FACE_OUTER_BOUND('',#52047,.T.); #32405=FACE_OUTER_BOUND('',#52048,.T.); #32406=FACE_OUTER_BOUND('',#52049,.T.); #32407=FACE_OUTER_BOUND('',#52050,.T.); #32408=FACE_OUTER_BOUND('',#52051,.T.); #32409=FACE_OUTER_BOUND('',#52052,.T.); #32410=FACE_OUTER_BOUND('',#52053,.T.); #32411=FACE_OUTER_BOUND('',#52054,.T.); #32412=FACE_OUTER_BOUND('',#52055,.T.); #32413=FACE_OUTER_BOUND('',#52056,.T.); #32414=FACE_OUTER_BOUND('',#52057,.T.); #32415=FACE_OUTER_BOUND('',#52058,.T.); #32416=FACE_OUTER_BOUND('',#52059,.T.); #32417=FACE_OUTER_BOUND('',#52060,.T.); #32418=FACE_OUTER_BOUND('',#52061,.T.); #32419=FACE_OUTER_BOUND('',#52062,.T.); #32420=FACE_OUTER_BOUND('',#52063,.T.); #32421=FACE_OUTER_BOUND('',#52064,.T.); #32422=FACE_OUTER_BOUND('',#52065,.T.); #32423=FACE_OUTER_BOUND('',#52066,.T.); #32424=FACE_OUTER_BOUND('',#52067,.T.); #32425=FACE_OUTER_BOUND('',#52084,.T.); #32426=FACE_OUTER_BOUND('',#52101,.T.); #32427=FACE_OUTER_BOUND('',#52102,.T.); #32428=FACE_OUTER_BOUND('',#52103,.T.); #32429=FACE_OUTER_BOUND('',#52104,.T.); #32430=FACE_OUTER_BOUND('',#52105,.T.); #32431=FACE_OUTER_BOUND('',#52106,.T.); #32432=FACE_OUTER_BOUND('',#52107,.T.); #32433=FACE_OUTER_BOUND('',#52108,.T.); #32434=FACE_OUTER_BOUND('',#52109,.T.); #32435=FACE_OUTER_BOUND('',#52110,.T.); #32436=FACE_OUTER_BOUND('',#52111,.T.); #32437=FACE_OUTER_BOUND('',#52112,.T.); #32438=FACE_OUTER_BOUND('',#52113,.T.); #32439=FACE_OUTER_BOUND('',#52114,.T.); #32440=FACE_OUTER_BOUND('',#52115,.T.); #32441=FACE_OUTER_BOUND('',#52116,.T.); #32442=FACE_OUTER_BOUND('',#52117,.T.); #32443=FACE_OUTER_BOUND('',#52118,.T.); #32444=FACE_OUTER_BOUND('',#52119,.T.); #32445=FACE_OUTER_BOUND('',#52120,.T.); #32446=FACE_OUTER_BOUND('',#52121,.T.); #32447=FACE_OUTER_BOUND('',#52122,.T.); #32448=FACE_OUTER_BOUND('',#52123,.T.); #32449=FACE_OUTER_BOUND('',#52124,.T.); #32450=FACE_OUTER_BOUND('',#52125,.T.); #32451=FACE_OUTER_BOUND('',#52126,.T.); #32452=FACE_OUTER_BOUND('',#52127,.T.); #32453=FACE_OUTER_BOUND('',#52128,.T.); #32454=FACE_OUTER_BOUND('',#52129,.T.); #32455=FACE_OUTER_BOUND('',#52130,.T.); #32456=FACE_OUTER_BOUND('',#52131,.T.); #32457=FACE_OUTER_BOUND('',#52132,.T.); #32458=FACE_OUTER_BOUND('',#52133,.T.); #32459=FACE_OUTER_BOUND('',#52134,.T.); #32460=FACE_OUTER_BOUND('',#52135,.T.); #32461=FACE_OUTER_BOUND('',#52136,.T.); #32462=FACE_OUTER_BOUND('',#52137,.T.); #32463=FACE_OUTER_BOUND('',#52138,.T.); #32464=FACE_OUTER_BOUND('',#52139,.T.); #32465=FACE_OUTER_BOUND('',#52140,.T.); #32466=FACE_OUTER_BOUND('',#52141,.T.); #32467=FACE_OUTER_BOUND('',#52142,.T.); #32468=FACE_OUTER_BOUND('',#52143,.T.); #32469=FACE_OUTER_BOUND('',#52144,.T.); #32470=FACE_OUTER_BOUND('',#52145,.T.); #32471=FACE_OUTER_BOUND('',#52146,.T.); #32472=FACE_OUTER_BOUND('',#52147,.T.); #32473=FACE_OUTER_BOUND('',#52148,.T.); #32474=FACE_OUTER_BOUND('',#52149,.T.); #32475=FACE_OUTER_BOUND('',#52150,.T.); #32476=FACE_OUTER_BOUND('',#52151,.T.); #32477=FACE_OUTER_BOUND('',#52152,.T.); #32478=FACE_OUTER_BOUND('',#52153,.T.); #32479=FACE_OUTER_BOUND('',#52154,.T.); #32480=FACE_OUTER_BOUND('',#52155,.T.); #32481=FACE_OUTER_BOUND('',#52156,.T.); #32482=FACE_OUTER_BOUND('',#52157,.T.); #32483=FACE_OUTER_BOUND('',#52158,.T.); #32484=FACE_OUTER_BOUND('',#52159,.T.); #32485=FACE_OUTER_BOUND('',#52160,.T.); #32486=FACE_OUTER_BOUND('',#52161,.T.); #32487=FACE_OUTER_BOUND('',#52162,.T.); #32488=FACE_OUTER_BOUND('',#52163,.T.); #32489=FACE_OUTER_BOUND('',#52164,.T.); #32490=FACE_OUTER_BOUND('',#52165,.T.); #32491=FACE_OUTER_BOUND('',#52166,.T.); #32492=FACE_OUTER_BOUND('',#52167,.T.); #32493=FACE_OUTER_BOUND('',#52168,.T.); #32494=FACE_OUTER_BOUND('',#52169,.T.); #32495=FACE_OUTER_BOUND('',#52170,.T.); #32496=FACE_OUTER_BOUND('',#52171,.T.); #32497=FACE_OUTER_BOUND('',#52172,.T.); #32498=FACE_OUTER_BOUND('',#52173,.T.); #32499=FACE_OUTER_BOUND('',#52174,.T.); #32500=FACE_OUTER_BOUND('',#52175,.T.); #32501=FACE_OUTER_BOUND('',#52176,.T.); #32502=FACE_OUTER_BOUND('',#52207,.T.); #32503=FACE_OUTER_BOUND('',#52238,.T.); #32504=FACE_OUTER_BOUND('',#52239,.T.); #32505=FACE_OUTER_BOUND('',#52240,.T.); #32506=FACE_OUTER_BOUND('',#52241,.T.); #32507=FACE_OUTER_BOUND('',#52242,.T.); #32508=FACE_OUTER_BOUND('',#52243,.T.); #32509=FACE_OUTER_BOUND('',#52244,.T.); #32510=FACE_OUTER_BOUND('',#52245,.T.); #32511=FACE_OUTER_BOUND('',#52246,.T.); #32512=FACE_OUTER_BOUND('',#52247,.T.); #32513=FACE_OUTER_BOUND('',#52250,.T.); #32514=FACE_OUTER_BOUND('',#52253,.T.); #32515=FACE_OUTER_BOUND('',#52254,.T.); #32516=FACE_OUTER_BOUND('',#52255,.T.); #32517=FACE_OUTER_BOUND('',#52256,.T.); #32518=FACE_OUTER_BOUND('',#52257,.T.); #32519=FACE_OUTER_BOUND('',#52258,.T.); #32520=FACE_OUTER_BOUND('',#52259,.T.); #32521=FACE_OUTER_BOUND('',#52260,.T.); #32522=FACE_OUTER_BOUND('',#52261,.T.); #32523=FACE_OUTER_BOUND('',#52262,.T.); #32524=FACE_OUTER_BOUND('',#52263,.T.); #32525=FACE_OUTER_BOUND('',#52264,.T.); #32526=FACE_OUTER_BOUND('',#52265,.T.); #32527=FACE_OUTER_BOUND('',#52266,.T.); #32528=FACE_OUTER_BOUND('',#52267,.T.); #32529=FACE_OUTER_BOUND('',#52268,.T.); #32530=FACE_OUTER_BOUND('',#52269,.T.); #32531=FACE_OUTER_BOUND('',#52270,.T.); #32532=FACE_OUTER_BOUND('',#52271,.T.); #32533=FACE_OUTER_BOUND('',#52272,.T.); #32534=FACE_OUTER_BOUND('',#52273,.T.); #32535=FACE_OUTER_BOUND('',#52274,.T.); #32536=FACE_OUTER_BOUND('',#52275,.T.); #32537=FACE_OUTER_BOUND('',#52276,.T.); #32538=FACE_OUTER_BOUND('',#52277,.T.); #32539=FACE_OUTER_BOUND('',#52278,.T.); #32540=FACE_OUTER_BOUND('',#52279,.T.); #32541=FACE_OUTER_BOUND('',#52280,.T.); #32542=FACE_OUTER_BOUND('',#52281,.T.); #32543=FACE_OUTER_BOUND('',#52282,.T.); #32544=FACE_OUTER_BOUND('',#52283,.T.); #32545=FACE_OUTER_BOUND('',#52284,.T.); #32546=FACE_OUTER_BOUND('',#52285,.T.); #32547=FACE_OUTER_BOUND('',#52286,.T.); #32548=FACE_OUTER_BOUND('',#52287,.T.); #32549=FACE_OUTER_BOUND('',#52298,.T.); #32550=FACE_OUTER_BOUND('',#52309,.T.); #32551=FACE_OUTER_BOUND('',#52310,.T.); #32552=FACE_OUTER_BOUND('',#52311,.T.); #32553=FACE_OUTER_BOUND('',#52312,.T.); #32554=FACE_OUTER_BOUND('',#52313,.T.); #32555=FACE_OUTER_BOUND('',#52315,.T.); #32556=FACE_OUTER_BOUND('',#52317,.T.); #32557=FACE_OUTER_BOUND('',#52318,.T.); #32558=FACE_OUTER_BOUND('',#52319,.T.); #32559=FACE_OUTER_BOUND('',#52320,.T.); #32560=FACE_OUTER_BOUND('',#52321,.T.); #32561=FACE_OUTER_BOUND('',#52322,.T.); #32562=FACE_OUTER_BOUND('',#52323,.T.); #32563=FACE_OUTER_BOUND('',#52324,.T.); #32564=FACE_OUTER_BOUND('',#52325,.T.); #32565=FACE_OUTER_BOUND('',#52326,.T.); #32566=FACE_OUTER_BOUND('',#52327,.T.); #32567=FACE_OUTER_BOUND('',#52328,.T.); #32568=FACE_OUTER_BOUND('',#52329,.T.); #32569=FACE_OUTER_BOUND('',#52330,.T.); #32570=FACE_OUTER_BOUND('',#52331,.T.); #32571=FACE_OUTER_BOUND('',#52332,.T.); #32572=FACE_OUTER_BOUND('',#52333,.T.); #32573=FACE_OUTER_BOUND('',#52334,.T.); #32574=FACE_OUTER_BOUND('',#52335,.T.); #32575=FACE_OUTER_BOUND('',#52336,.T.); #32576=FACE_OUTER_BOUND('',#52337,.T.); #32577=FACE_OUTER_BOUND('',#52338,.T.); #32578=FACE_OUTER_BOUND('',#52339,.T.); #32579=FACE_OUTER_BOUND('',#52340,.T.); #32580=FACE_OUTER_BOUND('',#52341,.T.); #32581=FACE_OUTER_BOUND('',#52342,.T.); #32582=FACE_OUTER_BOUND('',#52343,.T.); #32583=FACE_OUTER_BOUND('',#52344,.T.); #32584=FACE_OUTER_BOUND('',#52345,.T.); #32585=FACE_OUTER_BOUND('',#52346,.T.); #32586=FACE_OUTER_BOUND('',#52347,.T.); #32587=FACE_OUTER_BOUND('',#52348,.T.); #32588=FACE_OUTER_BOUND('',#52349,.T.); #32589=FACE_OUTER_BOUND('',#52350,.T.); #32590=FACE_OUTER_BOUND('',#52351,.T.); #32591=FACE_OUTER_BOUND('',#52352,.T.); #32592=FACE_OUTER_BOUND('',#52353,.T.); #32593=FACE_OUTER_BOUND('',#52354,.T.); #32594=FACE_OUTER_BOUND('',#52355,.T.); #32595=FACE_OUTER_BOUND('',#52356,.T.); #32596=FACE_OUTER_BOUND('',#52357,.T.); #32597=FACE_OUTER_BOUND('',#52358,.T.); #32598=FACE_OUTER_BOUND('',#52359,.T.); #32599=FACE_OUTER_BOUND('',#52360,.T.); #32600=FACE_OUTER_BOUND('',#52361,.T.); #32601=FACE_OUTER_BOUND('',#52362,.T.); #32602=FACE_OUTER_BOUND('',#52363,.T.); #32603=FACE_OUTER_BOUND('',#52364,.T.); #32604=FACE_OUTER_BOUND('',#52365,.T.); #32605=FACE_OUTER_BOUND('',#52366,.T.); #32606=FACE_OUTER_BOUND('',#52367,.T.); #32607=FACE_OUTER_BOUND('',#52368,.T.); #32608=FACE_OUTER_BOUND('',#52369,.T.); #32609=FACE_OUTER_BOUND('',#52370,.T.); #32610=FACE_OUTER_BOUND('',#52371,.T.); #32611=FACE_OUTER_BOUND('',#52372,.T.); #32612=FACE_OUTER_BOUND('',#52373,.T.); #32613=FACE_OUTER_BOUND('',#52374,.T.); #32614=FACE_OUTER_BOUND('',#52375,.T.); #32615=FACE_OUTER_BOUND('',#52376,.T.); #32616=FACE_OUTER_BOUND('',#52377,.T.); #32617=FACE_OUTER_BOUND('',#52378,.T.); #32618=FACE_OUTER_BOUND('',#52379,.T.); #32619=FACE_OUTER_BOUND('',#52380,.T.); #32620=FACE_OUTER_BOUND('',#52381,.T.); #32621=FACE_OUTER_BOUND('',#52382,.T.); #32622=FACE_OUTER_BOUND('',#52383,.T.); #32623=FACE_OUTER_BOUND('',#52384,.T.); #32624=FACE_OUTER_BOUND('',#52385,.T.); #32625=FACE_OUTER_BOUND('',#52386,.T.); #32626=FACE_OUTER_BOUND('',#52387,.T.); #32627=FACE_OUTER_BOUND('',#52388,.T.); #32628=FACE_OUTER_BOUND('',#52389,.T.); #32629=FACE_OUTER_BOUND('',#52390,.T.); #32630=FACE_OUTER_BOUND('',#52391,.T.); #32631=FACE_OUTER_BOUND('',#52392,.T.); #32632=FACE_OUTER_BOUND('',#52423,.T.); #32633=FACE_OUTER_BOUND('',#52454,.T.); #32634=FACE_OUTER_BOUND('',#52455,.T.); #32635=FACE_OUTER_BOUND('',#52456,.T.); #32636=FACE_OUTER_BOUND('',#52457,.T.); #32637=FACE_OUTER_BOUND('',#52458,.T.); #32638=FACE_OUTER_BOUND('',#52459,.T.); #32639=FACE_OUTER_BOUND('',#52460,.T.); #32640=FACE_OUTER_BOUND('',#52461,.T.); #32641=FACE_OUTER_BOUND('',#52462,.T.); #32642=FACE_OUTER_BOUND('',#52463,.T.); #32643=FACE_OUTER_BOUND('',#52466,.T.); #32644=FACE_OUTER_BOUND('',#52469,.T.); #32645=FACE_OUTER_BOUND('',#52470,.T.); #32646=FACE_OUTER_BOUND('',#52471,.T.); #32647=FACE_OUTER_BOUND('',#52472,.T.); #32648=FACE_OUTER_BOUND('',#52473,.T.); #32649=FACE_OUTER_BOUND('',#52475,.T.); #32650=FACE_OUTER_BOUND('',#52477,.T.); #32651=FACE_OUTER_BOUND('',#52478,.T.); #32652=FACE_OUTER_BOUND('',#52479,.T.); #32653=FACE_OUTER_BOUND('',#52480,.T.); #32654=FACE_OUTER_BOUND('',#52481,.T.); #32655=FACE_OUTER_BOUND('',#52482,.T.); #32656=FACE_OUTER_BOUND('',#52483,.T.); #32657=FACE_OUTER_BOUND('',#52484,.T.); #32658=FACE_OUTER_BOUND('',#52485,.T.); #32659=FACE_OUTER_BOUND('',#52486,.T.); #32660=FACE_OUTER_BOUND('',#52487,.T.); #32661=FACE_OUTER_BOUND('',#52488,.T.); #32662=FACE_OUTER_BOUND('',#52489,.T.); #32663=FACE_OUTER_BOUND('',#52490,.T.); #32664=FACE_OUTER_BOUND('',#52491,.T.); #32665=FACE_OUTER_BOUND('',#52492,.T.); #32666=FACE_OUTER_BOUND('',#52493,.T.); #32667=FACE_OUTER_BOUND('',#52494,.T.); #32668=FACE_OUTER_BOUND('',#52495,.T.); #32669=FACE_OUTER_BOUND('',#52496,.T.); #32670=FACE_OUTER_BOUND('',#52497,.T.); #32671=FACE_OUTER_BOUND('',#52498,.T.); #32672=FACE_OUTER_BOUND('',#52499,.T.); #32673=FACE_OUTER_BOUND('',#52500,.T.); #32674=FACE_OUTER_BOUND('',#52501,.T.); #32675=FACE_OUTER_BOUND('',#52502,.T.); #32676=FACE_OUTER_BOUND('',#52503,.T.); #32677=FACE_OUTER_BOUND('',#52504,.T.); #32678=FACE_OUTER_BOUND('',#52505,.T.); #32679=FACE_OUTER_BOUND('',#52506,.T.); #32680=FACE_OUTER_BOUND('',#52507,.T.); #32681=FACE_OUTER_BOUND('',#52508,.T.); #32682=FACE_OUTER_BOUND('',#52509,.T.); #32683=FACE_OUTER_BOUND('',#52510,.T.); #32684=FACE_OUTER_BOUND('',#52511,.T.); #32685=FACE_OUTER_BOUND('',#52512,.T.); #32686=FACE_OUTER_BOUND('',#52513,.T.); #32687=FACE_OUTER_BOUND('',#52514,.T.); #32688=FACE_OUTER_BOUND('',#52515,.T.); #32689=FACE_OUTER_BOUND('',#52516,.T.); #32690=FACE_OUTER_BOUND('',#52517,.T.); #32691=FACE_OUTER_BOUND('',#52518,.T.); #32692=FACE_OUTER_BOUND('',#52519,.T.); #32693=FACE_OUTER_BOUND('',#52520,.T.); #32694=FACE_OUTER_BOUND('',#52521,.T.); #32695=FACE_OUTER_BOUND('',#52522,.T.); #32696=FACE_OUTER_BOUND('',#52523,.T.); #32697=FACE_OUTER_BOUND('',#52524,.T.); #32698=FACE_OUTER_BOUND('',#52525,.T.); #32699=FACE_OUTER_BOUND('',#52526,.T.); #32700=FACE_OUTER_BOUND('',#52527,.T.); #32701=FACE_OUTER_BOUND('',#52528,.T.); #32702=FACE_OUTER_BOUND('',#52529,.T.); #32703=FACE_OUTER_BOUND('',#52530,.T.); #32704=FACE_OUTER_BOUND('',#52531,.T.); #32705=FACE_OUTER_BOUND('',#52532,.T.); #32706=FACE_OUTER_BOUND('',#52533,.T.); #32707=FACE_OUTER_BOUND('',#52534,.T.); #32708=FACE_OUTER_BOUND('',#52535,.T.); #32709=FACE_OUTER_BOUND('',#52536,.T.); #32710=FACE_OUTER_BOUND('',#52537,.T.); #32711=FACE_OUTER_BOUND('',#52538,.T.); #32712=FACE_OUTER_BOUND('',#52539,.T.); #32713=FACE_OUTER_BOUND('',#52540,.T.); #32714=FACE_OUTER_BOUND('',#52541,.T.); #32715=FACE_OUTER_BOUND('',#52542,.T.); #32716=FACE_OUTER_BOUND('',#52543,.T.); #32717=FACE_OUTER_BOUND('',#52544,.T.); #32718=FACE_OUTER_BOUND('',#52545,.T.); #32719=FACE_OUTER_BOUND('',#52546,.T.); #32720=FACE_OUTER_BOUND('',#52547,.T.); #32721=FACE_OUTER_BOUND('',#52548,.T.); #32722=FACE_OUTER_BOUND('',#52549,.T.); #32723=FACE_OUTER_BOUND('',#52550,.T.); #32724=FACE_OUTER_BOUND('',#52551,.T.); #32725=FACE_OUTER_BOUND('',#52552,.T.); #32726=FACE_OUTER_BOUND('',#52553,.T.); #32727=FACE_OUTER_BOUND('',#52554,.T.); #32728=FACE_OUTER_BOUND('',#52555,.T.); #32729=FACE_OUTER_BOUND('',#52556,.T.); #32730=FACE_OUTER_BOUND('',#52557,.T.); #32731=FACE_OUTER_BOUND('',#52558,.T.); #32732=FACE_OUTER_BOUND('',#52559,.T.); #32733=FACE_OUTER_BOUND('',#52560,.T.); #32734=FACE_OUTER_BOUND('',#52561,.T.); #32735=FACE_OUTER_BOUND('',#52562,.T.); #32736=FACE_OUTER_BOUND('',#52563,.T.); #32737=FACE_OUTER_BOUND('',#52564,.T.); #32738=FACE_OUTER_BOUND('',#52565,.T.); #32739=FACE_OUTER_BOUND('',#52566,.T.); #32740=FACE_OUTER_BOUND('',#52567,.T.); #32741=FACE_OUTER_BOUND('',#52568,.T.); #32742=FACE_OUTER_BOUND('',#52569,.T.); #32743=FACE_OUTER_BOUND('',#52570,.T.); #32744=FACE_OUTER_BOUND('',#52571,.T.); #32745=FACE_OUTER_BOUND('',#52572,.T.); #32746=FACE_OUTER_BOUND('',#52573,.T.); #32747=FACE_OUTER_BOUND('',#52574,.T.); #32748=FACE_OUTER_BOUND('',#52575,.T.); #32749=FACE_OUTER_BOUND('',#52576,.T.); #32750=FACE_OUTER_BOUND('',#52577,.T.); #32751=FACE_OUTER_BOUND('',#52578,.T.); #32752=FACE_OUTER_BOUND('',#52579,.T.); #32753=FACE_OUTER_BOUND('',#52580,.T.); #32754=FACE_OUTER_BOUND('',#52581,.T.); #32755=FACE_OUTER_BOUND('',#52582,.T.); #32756=FACE_OUTER_BOUND('',#52583,.T.); #32757=FACE_OUTER_BOUND('',#52584,.T.); #32758=FACE_OUTER_BOUND('',#52585,.T.); #32759=FACE_OUTER_BOUND('',#52586,.T.); #32760=FACE_OUTER_BOUND('',#52587,.T.); #32761=FACE_OUTER_BOUND('',#52588,.T.); #32762=FACE_OUTER_BOUND('',#52589,.T.); #32763=FACE_OUTER_BOUND('',#52590,.T.); #32764=FACE_OUTER_BOUND('',#52591,.T.); #32765=FACE_OUTER_BOUND('',#52592,.T.); #32766=FACE_OUTER_BOUND('',#52593,.T.); #32767=FACE_OUTER_BOUND('',#52594,.T.); #32768=FACE_OUTER_BOUND('',#52595,.T.); #32769=FACE_OUTER_BOUND('',#52596,.T.); #32770=FACE_OUTER_BOUND('',#52597,.T.); #32771=FACE_OUTER_BOUND('',#52598,.T.); #32772=FACE_OUTER_BOUND('',#52599,.T.); #32773=FACE_OUTER_BOUND('',#52600,.T.); #32774=FACE_OUTER_BOUND('',#52601,.T.); #32775=FACE_OUTER_BOUND('',#52602,.T.); #32776=FACE_OUTER_BOUND('',#52603,.T.); #32777=FACE_OUTER_BOUND('',#52604,.T.); #32778=FACE_OUTER_BOUND('',#52605,.T.); #32779=FACE_OUTER_BOUND('',#52606,.T.); #32780=FACE_OUTER_BOUND('',#52607,.T.); #32781=FACE_OUTER_BOUND('',#52608,.T.); #32782=FACE_OUTER_BOUND('',#52609,.T.); #32783=FACE_OUTER_BOUND('',#52610,.T.); #32784=FACE_OUTER_BOUND('',#52611,.T.); #32785=FACE_OUTER_BOUND('',#52612,.T.); #32786=FACE_OUTER_BOUND('',#52613,.T.); #32787=FACE_OUTER_BOUND('',#52614,.T.); #32788=FACE_OUTER_BOUND('',#52615,.T.); #32789=FACE_OUTER_BOUND('',#52616,.T.); #32790=FACE_OUTER_BOUND('',#52617,.T.); #32791=FACE_OUTER_BOUND('',#52618,.T.); #32792=FACE_OUTER_BOUND('',#52619,.T.); #32793=FACE_OUTER_BOUND('',#52620,.T.); #32794=FACE_OUTER_BOUND('',#52621,.T.); #32795=FACE_OUTER_BOUND('',#52622,.T.); #32796=FACE_OUTER_BOUND('',#52623,.T.); #32797=FACE_OUTER_BOUND('',#52624,.T.); #32798=FACE_OUTER_BOUND('',#52625,.T.); #32799=FACE_OUTER_BOUND('',#52626,.T.); #32800=FACE_OUTER_BOUND('',#52627,.T.); #32801=FACE_OUTER_BOUND('',#52628,.T.); #32802=FACE_OUTER_BOUND('',#52629,.T.); #32803=FACE_OUTER_BOUND('',#52630,.T.); #32804=FACE_OUTER_BOUND('',#52631,.T.); #32805=FACE_OUTER_BOUND('',#52632,.T.); #32806=FACE_OUTER_BOUND('',#52633,.T.); #32807=FACE_OUTER_BOUND('',#52634,.T.); #32808=FACE_OUTER_BOUND('',#52635,.T.); #32809=FACE_OUTER_BOUND('',#52636,.T.); #32810=FACE_OUTER_BOUND('',#52637,.T.); #32811=FACE_OUTER_BOUND('',#52638,.T.); #32812=FACE_OUTER_BOUND('',#52639,.T.); #32813=FACE_OUTER_BOUND('',#52640,.T.); #32814=FACE_OUTER_BOUND('',#52641,.T.); #32815=FACE_OUTER_BOUND('',#52642,.T.); #32816=FACE_OUTER_BOUND('',#52643,.T.); #32817=FACE_OUTER_BOUND('',#52644,.T.); #32818=FACE_OUTER_BOUND('',#52645,.T.); #32819=FACE_OUTER_BOUND('',#52646,.T.); #32820=FACE_OUTER_BOUND('',#52647,.T.); #32821=FACE_OUTER_BOUND('',#52648,.T.); #32822=FACE_OUTER_BOUND('',#52649,.T.); #32823=FACE_OUTER_BOUND('',#52650,.T.); #32824=FACE_OUTER_BOUND('',#52651,.T.); #32825=FACE_OUTER_BOUND('',#52652,.T.); #32826=FACE_OUTER_BOUND('',#52653,.T.); #32827=FACE_OUTER_BOUND('',#52654,.T.); #32828=FACE_OUTER_BOUND('',#52655,.T.); #32829=FACE_OUTER_BOUND('',#52656,.T.); #32830=FACE_OUTER_BOUND('',#52657,.T.); #32831=FACE_OUTER_BOUND('',#52658,.T.); #32832=FACE_OUTER_BOUND('',#52659,.T.); #32833=FACE_OUTER_BOUND('',#52676,.T.); #32834=FACE_OUTER_BOUND('',#52693,.T.); #32835=FACE_OUTER_BOUND('',#52694,.T.); #32836=FACE_OUTER_BOUND('',#52695,.T.); #32837=FACE_OUTER_BOUND('',#52696,.T.); #32838=FACE_OUTER_BOUND('',#52697,.T.); #32839=FACE_OUTER_BOUND('',#52699,.T.); #32840=FACE_OUTER_BOUND('',#52701,.T.); #32841=FACE_OUTER_BOUND('',#52702,.T.); #32842=FACE_OUTER_BOUND('',#52703,.T.); #32843=FACE_OUTER_BOUND('',#52704,.T.); #32844=FACE_OUTER_BOUND('',#52705,.T.); #32845=FACE_OUTER_BOUND('',#52707,.T.); #32846=FACE_OUTER_BOUND('',#52709,.T.); #32847=FACE_OUTER_BOUND('',#52710,.T.); #32848=FACE_OUTER_BOUND('',#52711,.T.); #32849=FACE_OUTER_BOUND('',#52712,.T.); #32850=FACE_OUTER_BOUND('',#52713,.T.); #32851=FACE_OUTER_BOUND('',#52715,.T.); #32852=FACE_OUTER_BOUND('',#52717,.T.); #32853=FACE_OUTER_BOUND('',#52718,.T.); #32854=FACE_OUTER_BOUND('',#52719,.T.); #32855=FACE_OUTER_BOUND('',#52720,.T.); #32856=FACE_OUTER_BOUND('',#52721,.T.); #32857=FACE_OUTER_BOUND('',#52723,.T.); #32858=FACE_OUTER_BOUND('',#52725,.T.); #32859=FACE_OUTER_BOUND('',#52726,.T.); #32860=FACE_OUTER_BOUND('',#52727,.T.); #32861=FACE_OUTER_BOUND('',#52728,.T.); #32862=FACE_OUTER_BOUND('',#52729,.T.); #32863=FACE_OUTER_BOUND('',#52730,.T.); #32864=FACE_OUTER_BOUND('',#52732,.T.); #32865=FACE_OUTER_BOUND('',#52734,.T.); #32866=FACE_OUTER_BOUND('',#52735,.T.); #32867=FACE_OUTER_BOUND('',#52736,.T.); #32868=FACE_OUTER_BOUND('',#52737,.T.); #32869=FACE_OUTER_BOUND('',#52738,.T.); #32870=FACE_OUTER_BOUND('',#52740,.T.); #32871=FACE_OUTER_BOUND('',#52742,.T.); #32872=FACE_OUTER_BOUND('',#52743,.T.); #32873=FACE_OUTER_BOUND('',#52744,.T.); #32874=FACE_OUTER_BOUND('',#52745,.T.); #32875=FACE_OUTER_BOUND('',#52746,.T.); #32876=FACE_OUTER_BOUND('',#52748,.T.); #32877=FACE_OUTER_BOUND('',#52750,.T.); #32878=FACE_OUTER_BOUND('',#52751,.T.); #32879=FACE_OUTER_BOUND('',#52752,.T.); #32880=FACE_OUTER_BOUND('',#52753,.T.); #32881=FACE_OUTER_BOUND('',#52754,.T.); #32882=FACE_OUTER_BOUND('',#52756,.T.); #32883=FACE_OUTER_BOUND('',#52758,.T.); #32884=FACE_OUTER_BOUND('',#52759,.T.); #32885=FACE_OUTER_BOUND('',#52760,.T.); #32886=FACE_OUTER_BOUND('',#52761,.T.); #32887=FACE_OUTER_BOUND('',#52762,.T.); #32888=FACE_OUTER_BOUND('',#52764,.T.); #32889=FACE_OUTER_BOUND('',#52766,.T.); #32890=FACE_OUTER_BOUND('',#52767,.T.); #32891=FACE_OUTER_BOUND('',#52768,.T.); #32892=FACE_OUTER_BOUND('',#52769,.T.); #32893=FACE_OUTER_BOUND('',#52770,.T.); #32894=FACE_OUTER_BOUND('',#52771,.T.); #32895=FACE_OUTER_BOUND('',#52772,.T.); #32896=FACE_OUTER_BOUND('',#52773,.T.); #32897=FACE_OUTER_BOUND('',#52774,.T.); #32898=FACE_OUTER_BOUND('',#52775,.T.); #32899=FACE_OUTER_BOUND('',#52776,.T.); #32900=FACE_OUTER_BOUND('',#52777,.T.); #32901=FACE_OUTER_BOUND('',#52778,.T.); #32902=FACE_OUTER_BOUND('',#52779,.T.); #32903=FACE_OUTER_BOUND('',#52780,.T.); #32904=FACE_OUTER_BOUND('',#52781,.T.); #32905=FACE_OUTER_BOUND('',#52782,.T.); #32906=FACE_OUTER_BOUND('',#52783,.T.); #32907=FACE_OUTER_BOUND('',#52784,.T.); #32908=FACE_OUTER_BOUND('',#52785,.T.); #32909=FACE_OUTER_BOUND('',#52786,.T.); #32910=FACE_OUTER_BOUND('',#52787,.T.); #32911=FACE_OUTER_BOUND('',#52788,.T.); #32912=FACE_OUTER_BOUND('',#52789,.T.); #32913=FACE_OUTER_BOUND('',#52790,.T.); #32914=FACE_OUTER_BOUND('',#52791,.T.); #32915=FACE_OUTER_BOUND('',#52792,.T.); #32916=FACE_OUTER_BOUND('',#52793,.T.); #32917=FACE_OUTER_BOUND('',#52794,.T.); #32918=FACE_OUTER_BOUND('',#52795,.T.); #32919=FACE_OUTER_BOUND('',#52796,.T.); #32920=FACE_OUTER_BOUND('',#52797,.T.); #32921=FACE_OUTER_BOUND('',#52798,.T.); #32922=FACE_OUTER_BOUND('',#52799,.T.); #32923=FACE_OUTER_BOUND('',#52800,.T.); #32924=FACE_OUTER_BOUND('',#52801,.T.); #32925=FACE_OUTER_BOUND('',#52802,.T.); #32926=FACE_OUTER_BOUND('',#52803,.T.); #32927=FACE_OUTER_BOUND('',#52804,.T.); #32928=FACE_OUTER_BOUND('',#52805,.T.); #32929=FACE_OUTER_BOUND('',#52806,.T.); #32930=FACE_OUTER_BOUND('',#52807,.T.); #32931=FACE_OUTER_BOUND('',#52808,.T.); #32932=FACE_OUTER_BOUND('',#52809,.T.); #32933=FACE_OUTER_BOUND('',#52810,.T.); #32934=FACE_OUTER_BOUND('',#52811,.T.); #32935=FACE_OUTER_BOUND('',#52812,.T.); #32936=FACE_OUTER_BOUND('',#52813,.T.); #32937=FACE_OUTER_BOUND('',#52814,.T.); #32938=FACE_OUTER_BOUND('',#52815,.T.); #32939=FACE_OUTER_BOUND('',#52816,.T.); #32940=FACE_OUTER_BOUND('',#52817,.T.); #32941=FACE_OUTER_BOUND('',#52818,.T.); #32942=FACE_OUTER_BOUND('',#52819,.T.); #32943=FACE_OUTER_BOUND('',#52820,.T.); #32944=FACE_OUTER_BOUND('',#52821,.T.); #32945=FACE_OUTER_BOUND('',#52822,.T.); #32946=FACE_OUTER_BOUND('',#52823,.T.); #32947=FACE_OUTER_BOUND('',#52824,.T.); #32948=FACE_OUTER_BOUND('',#52825,.T.); #32949=FACE_OUTER_BOUND('',#52826,.T.); #32950=FACE_OUTER_BOUND('',#52828,.T.); #32951=FACE_OUTER_BOUND('',#52830,.T.); #32952=FACE_OUTER_BOUND('',#52831,.T.); #32953=FACE_OUTER_BOUND('',#52832,.T.); #32954=FACE_OUTER_BOUND('',#52833,.T.); #32955=FACE_OUTER_BOUND('',#52834,.T.); #32956=FACE_OUTER_BOUND('',#52835,.T.); #32957=FACE_OUTER_BOUND('',#52836,.T.); #32958=FACE_OUTER_BOUND('',#52837,.T.); #32959=FACE_OUTER_BOUND('',#52838,.T.); #32960=FACE_OUTER_BOUND('',#52839,.T.); #32961=FACE_OUTER_BOUND('',#52840,.T.); #32962=FACE_OUTER_BOUND('',#52841,.T.); #32963=FACE_OUTER_BOUND('',#52842,.T.); #32964=FACE_OUTER_BOUND('',#52843,.T.); #32965=FACE_OUTER_BOUND('',#52844,.T.); #32966=FACE_OUTER_BOUND('',#52845,.T.); #32967=FACE_OUTER_BOUND('',#52846,.T.); #32968=FACE_OUTER_BOUND('',#52847,.T.); #32969=FACE_OUTER_BOUND('',#52848,.T.); #32970=FACE_OUTER_BOUND('',#52849,.T.); #32971=FACE_OUTER_BOUND('',#52850,.T.); #32972=FACE_OUTER_BOUND('',#52851,.T.); #32973=FACE_OUTER_BOUND('',#52852,.T.); #32974=FACE_OUTER_BOUND('',#52853,.T.); #32975=FACE_OUTER_BOUND('',#52854,.T.); #32976=FACE_OUTER_BOUND('',#52855,.T.); #32977=FACE_OUTER_BOUND('',#52856,.T.); #32978=FACE_OUTER_BOUND('',#52857,.T.); #32979=FACE_OUTER_BOUND('',#52858,.T.); #32980=FACE_OUTER_BOUND('',#52859,.T.); #32981=FACE_OUTER_BOUND('',#52860,.T.); #32982=FACE_OUTER_BOUND('',#52861,.T.); #32983=FACE_OUTER_BOUND('',#52862,.T.); #32984=FACE_OUTER_BOUND('',#52863,.T.); #32985=FACE_OUTER_BOUND('',#52864,.T.); #32986=FACE_OUTER_BOUND('',#52865,.T.); #32987=FACE_OUTER_BOUND('',#52866,.T.); #32988=FACE_OUTER_BOUND('',#52867,.T.); #32989=FACE_OUTER_BOUND('',#52868,.T.); #32990=FACE_OUTER_BOUND('',#52869,.T.); #32991=FACE_OUTER_BOUND('',#52870,.T.); #32992=FACE_OUTER_BOUND('',#52871,.T.); #32993=FACE_OUTER_BOUND('',#52872,.T.); #32994=FACE_OUTER_BOUND('',#52873,.T.); #32995=FACE_OUTER_BOUND('',#52874,.T.); #32996=FACE_OUTER_BOUND('',#52875,.T.); #32997=FACE_OUTER_BOUND('',#52876,.T.); #32998=FACE_OUTER_BOUND('',#52877,.T.); #32999=FACE_OUTER_BOUND('',#52878,.T.); #33000=FACE_OUTER_BOUND('',#52879,.T.); #33001=FACE_OUTER_BOUND('',#52880,.T.); #33002=FACE_OUTER_BOUND('',#52881,.T.); #33003=FACE_OUTER_BOUND('',#52882,.T.); #33004=FACE_OUTER_BOUND('',#52883,.T.); #33005=FACE_OUTER_BOUND('',#52884,.T.); #33006=FACE_OUTER_BOUND('',#52885,.T.); #33007=FACE_OUTER_BOUND('',#52886,.T.); #33008=FACE_OUTER_BOUND('',#52887,.T.); #33009=FACE_OUTER_BOUND('',#52888,.T.); #33010=FACE_OUTER_BOUND('',#52889,.T.); #33011=FACE_OUTER_BOUND('',#52890,.T.); #33012=FACE_OUTER_BOUND('',#52891,.T.); #33013=FACE_OUTER_BOUND('',#52892,.T.); #33014=FACE_OUTER_BOUND('',#52893,.T.); #33015=FACE_OUTER_BOUND('',#52894,.T.); #33016=FACE_OUTER_BOUND('',#52895,.T.); #33017=FACE_OUTER_BOUND('',#52896,.T.); #33018=FACE_OUTER_BOUND('',#52897,.T.); #33019=FACE_OUTER_BOUND('',#52898,.T.); #33020=FACE_OUTER_BOUND('',#52899,.T.); #33021=FACE_OUTER_BOUND('',#52900,.T.); #33022=FACE_OUTER_BOUND('',#52901,.T.); #33023=FACE_OUTER_BOUND('',#52902,.T.); #33024=FACE_OUTER_BOUND('',#52903,.T.); #33025=FACE_OUTER_BOUND('',#52904,.T.); #33026=FACE_OUTER_BOUND('',#52905,.T.); #33027=FACE_OUTER_BOUND('',#52906,.T.); #33028=FACE_OUTER_BOUND('',#52908,.T.); #33029=FACE_OUTER_BOUND('',#52910,.T.); #33030=FACE_OUTER_BOUND('',#52911,.T.); #33031=FACE_OUTER_BOUND('',#52912,.T.); #33032=FACE_OUTER_BOUND('',#52913,.T.); #33033=FACE_OUTER_BOUND('',#52914,.T.); #33034=FACE_OUTER_BOUND('',#52915,.T.); #33035=FACE_OUTER_BOUND('',#52916,.T.); #33036=FACE_OUTER_BOUND('',#52917,.T.); #33037=FACE_OUTER_BOUND('',#52918,.T.); #33038=FACE_OUTER_BOUND('',#52919,.T.); #33039=FACE_OUTER_BOUND('',#52920,.T.); #33040=FACE_OUTER_BOUND('',#52921,.T.); #33041=FACE_OUTER_BOUND('',#52922,.T.); #33042=FACE_OUTER_BOUND('',#52923,.T.); #33043=FACE_OUTER_BOUND('',#52924,.T.); #33044=FACE_OUTER_BOUND('',#52925,.T.); #33045=FACE_OUTER_BOUND('',#52926,.T.); #33046=FACE_OUTER_BOUND('',#52927,.T.); #33047=FACE_OUTER_BOUND('',#52928,.T.); #33048=FACE_OUTER_BOUND('',#52929,.T.); #33049=FACE_OUTER_BOUND('',#52930,.T.); #33050=FACE_OUTER_BOUND('',#52931,.T.); #33051=FACE_OUTER_BOUND('',#52932,.T.); #33052=FACE_OUTER_BOUND('',#52933,.T.); #33053=FACE_OUTER_BOUND('',#52934,.T.); #33054=FACE_OUTER_BOUND('',#52935,.T.); #33055=FACE_OUTER_BOUND('',#52936,.T.); #33056=FACE_OUTER_BOUND('',#52937,.T.); #33057=FACE_OUTER_BOUND('',#52938,.T.); #33058=FACE_OUTER_BOUND('',#52939,.T.); #33059=FACE_OUTER_BOUND('',#52940,.T.); #33060=FACE_OUTER_BOUND('',#52941,.T.); #33061=FACE_OUTER_BOUND('',#52942,.T.); #33062=FACE_OUTER_BOUND('',#52943,.T.); #33063=FACE_OUTER_BOUND('',#52944,.T.); #33064=FACE_OUTER_BOUND('',#52945,.T.); #33065=FACE_OUTER_BOUND('',#52946,.T.); #33066=FACE_OUTER_BOUND('',#52947,.T.); #33067=FACE_OUTER_BOUND('',#52948,.T.); #33068=FACE_OUTER_BOUND('',#52949,.T.); #33069=FACE_OUTER_BOUND('',#52950,.T.); #33070=FACE_OUTER_BOUND('',#52951,.T.); #33071=FACE_OUTER_BOUND('',#52952,.T.); #33072=FACE_OUTER_BOUND('',#52953,.T.); #33073=FACE_OUTER_BOUND('',#52954,.T.); #33074=FACE_OUTER_BOUND('',#52955,.T.); #33075=FACE_OUTER_BOUND('',#52956,.T.); #33076=FACE_OUTER_BOUND('',#52957,.T.); #33077=FACE_OUTER_BOUND('',#52958,.T.); #33078=FACE_OUTER_BOUND('',#52959,.T.); #33079=FACE_OUTER_BOUND('',#52960,.T.); #33080=FACE_OUTER_BOUND('',#52961,.T.); #33081=FACE_OUTER_BOUND('',#52962,.T.); #33082=FACE_OUTER_BOUND('',#52963,.T.); #33083=FACE_OUTER_BOUND('',#52964,.T.); #33084=FACE_OUTER_BOUND('',#52965,.T.); #33085=FACE_OUTER_BOUND('',#52966,.T.); #33086=FACE_OUTER_BOUND('',#52967,.T.); #33087=FACE_OUTER_BOUND('',#52968,.T.); #33088=FACE_OUTER_BOUND('',#52969,.T.); #33089=FACE_OUTER_BOUND('',#52970,.T.); #33090=FACE_OUTER_BOUND('',#52972,.T.); #33091=FACE_OUTER_BOUND('',#52974,.T.); #33092=FACE_OUTER_BOUND('',#52975,.T.); #33093=FACE_OUTER_BOUND('',#52976,.T.); #33094=FACE_OUTER_BOUND('',#52977,.T.); #33095=FACE_OUTER_BOUND('',#52978,.T.); #33096=FACE_OUTER_BOUND('',#52979,.T.); #33097=FACE_OUTER_BOUND('',#52981,.T.); #33098=FACE_OUTER_BOUND('',#52983,.T.); #33099=FACE_OUTER_BOUND('',#52984,.T.); #33100=FACE_OUTER_BOUND('',#52985,.T.); #33101=FACE_OUTER_BOUND('',#52986,.T.); #33102=FACE_OUTER_BOUND('',#52987,.T.); #33103=FACE_OUTER_BOUND('',#52988,.T.); #33104=FACE_OUTER_BOUND('',#52989,.T.); #33105=FACE_OUTER_BOUND('',#52990,.T.); #33106=FACE_OUTER_BOUND('',#52991,.T.); #33107=FACE_OUTER_BOUND('',#52992,.T.); #33108=FACE_OUTER_BOUND('',#52993,.T.); #33109=FACE_OUTER_BOUND('',#52994,.T.); #33110=FACE_OUTER_BOUND('',#52995,.T.); #33111=FACE_OUTER_BOUND('',#52996,.T.); #33112=FACE_OUTER_BOUND('',#52997,.T.); #33113=FACE_OUTER_BOUND('',#52998,.T.); #33114=FACE_OUTER_BOUND('',#52999,.T.); #33115=FACE_OUTER_BOUND('',#53000,.T.); #33116=FACE_OUTER_BOUND('',#53001,.T.); #33117=FACE_OUTER_BOUND('',#53002,.T.); #33118=FACE_OUTER_BOUND('',#53003,.T.); #33119=FACE_OUTER_BOUND('',#53004,.T.); #33120=FACE_OUTER_BOUND('',#53005,.T.); #33121=FACE_OUTER_BOUND('',#53006,.T.); #33122=FACE_OUTER_BOUND('',#53007,.T.); #33123=FACE_OUTER_BOUND('',#53008,.T.); #33124=FACE_OUTER_BOUND('',#53009,.T.); #33125=FACE_OUTER_BOUND('',#53010,.T.); #33126=FACE_OUTER_BOUND('',#53011,.T.); #33127=FACE_OUTER_BOUND('',#53012,.T.); #33128=FACE_OUTER_BOUND('',#53013,.T.); #33129=FACE_OUTER_BOUND('',#53014,.T.); #33130=FACE_OUTER_BOUND('',#53015,.T.); #33131=FACE_OUTER_BOUND('',#53016,.T.); #33132=FACE_OUTER_BOUND('',#53017,.T.); #33133=FACE_OUTER_BOUND('',#53018,.T.); #33134=FACE_OUTER_BOUND('',#53019,.T.); #33135=FACE_OUTER_BOUND('',#53020,.T.); #33136=FACE_OUTER_BOUND('',#53021,.T.); #33137=FACE_OUTER_BOUND('',#53022,.T.); #33138=FACE_OUTER_BOUND('',#53023,.T.); #33139=FACE_OUTER_BOUND('',#53024,.T.); #33140=FACE_OUTER_BOUND('',#53025,.T.); #33141=FACE_OUTER_BOUND('',#53026,.T.); #33142=FACE_OUTER_BOUND('',#53027,.T.); #33143=FACE_OUTER_BOUND('',#53028,.T.); #33144=FACE_OUTER_BOUND('',#53029,.T.); #33145=FACE_OUTER_BOUND('',#53030,.T.); #33146=FACE_OUTER_BOUND('',#53031,.T.); #33147=FACE_OUTER_BOUND('',#53032,.T.); #33148=FACE_OUTER_BOUND('',#53033,.T.); #33149=FACE_OUTER_BOUND('',#53034,.T.); #33150=FACE_OUTER_BOUND('',#53035,.T.); #33151=FACE_OUTER_BOUND('',#53036,.T.); #33152=FACE_OUTER_BOUND('',#53037,.T.); #33153=FACE_OUTER_BOUND('',#53038,.T.); #33154=FACE_OUTER_BOUND('',#53039,.T.); #33155=FACE_OUTER_BOUND('',#53040,.T.); #33156=FACE_OUTER_BOUND('',#53041,.T.); #33157=FACE_OUTER_BOUND('',#53042,.T.); #33158=FACE_OUTER_BOUND('',#53043,.T.); #33159=FACE_OUTER_BOUND('',#53045,.T.); #33160=FACE_OUTER_BOUND('',#53047,.T.); #33161=FACE_OUTER_BOUND('',#53048,.T.); #33162=FACE_OUTER_BOUND('',#53049,.T.); #33163=FACE_OUTER_BOUND('',#53050,.T.); #33164=FACE_OUTER_BOUND('',#53051,.T.); #33165=FACE_OUTER_BOUND('',#53052,.T.); #33166=FACE_OUTER_BOUND('',#53053,.T.); #33167=FACE_OUTER_BOUND('',#53054,.T.); #33168=FACE_OUTER_BOUND('',#53055,.T.); #33169=FACE_OUTER_BOUND('',#53056,.T.); #33170=FACE_OUTER_BOUND('',#53057,.T.); #33171=FACE_OUTER_BOUND('',#53058,.T.); #33172=FACE_OUTER_BOUND('',#53059,.T.); #33173=FACE_OUTER_BOUND('',#53060,.T.); #33174=FACE_OUTER_BOUND('',#53061,.T.); #33175=FACE_OUTER_BOUND('',#53062,.T.); #33176=FACE_OUTER_BOUND('',#53063,.T.); #33177=FACE_OUTER_BOUND('',#53064,.T.); #33178=FACE_OUTER_BOUND('',#53065,.T.); #33179=FACE_OUTER_BOUND('',#53066,.T.); #33180=FACE_OUTER_BOUND('',#53067,.T.); #33181=FACE_OUTER_BOUND('',#53068,.T.); #33182=FACE_OUTER_BOUND('',#53069,.T.); #33183=FACE_OUTER_BOUND('',#53070,.T.); #33184=FACE_OUTER_BOUND('',#53071,.T.); #33185=FACE_OUTER_BOUND('',#53072,.T.); #33186=FACE_OUTER_BOUND('',#53073,.T.); #33187=FACE_OUTER_BOUND('',#53074,.T.); #33188=FACE_OUTER_BOUND('',#53075,.T.); #33189=FACE_OUTER_BOUND('',#53076,.T.); #33190=FACE_OUTER_BOUND('',#53077,.T.); #33191=FACE_OUTER_BOUND('',#53078,.T.); #33192=FACE_OUTER_BOUND('',#53079,.T.); #33193=FACE_OUTER_BOUND('',#53080,.T.); #33194=FACE_OUTER_BOUND('',#53081,.T.); #33195=FACE_OUTER_BOUND('',#53082,.T.); #33196=FACE_OUTER_BOUND('',#53083,.T.); #33197=FACE_OUTER_BOUND('',#53084,.T.); #33198=FACE_OUTER_BOUND('',#53085,.T.); #33199=FACE_OUTER_BOUND('',#53086,.T.); #33200=FACE_OUTER_BOUND('',#53087,.T.); #33201=FACE_OUTER_BOUND('',#53088,.T.); #33202=FACE_OUTER_BOUND('',#53089,.T.); #33203=FACE_OUTER_BOUND('',#53090,.T.); #33204=FACE_OUTER_BOUND('',#53091,.T.); #33205=FACE_OUTER_BOUND('',#53092,.T.); #33206=FACE_OUTER_BOUND('',#53093,.T.); #33207=FACE_OUTER_BOUND('',#53094,.T.); #33208=FACE_OUTER_BOUND('',#53095,.T.); #33209=FACE_OUTER_BOUND('',#53096,.T.); #33210=FACE_OUTER_BOUND('',#53097,.T.); #33211=FACE_OUTER_BOUND('',#53098,.T.); #33212=FACE_OUTER_BOUND('',#53099,.T.); #33213=FACE_OUTER_BOUND('',#53100,.T.); #33214=FACE_OUTER_BOUND('',#53101,.T.); #33215=FACE_OUTER_BOUND('',#53102,.T.); #33216=FACE_OUTER_BOUND('',#53103,.T.); #33217=FACE_OUTER_BOUND('',#53104,.T.); #33218=FACE_OUTER_BOUND('',#53105,.T.); #33219=FACE_OUTER_BOUND('',#53106,.T.); #33220=FACE_OUTER_BOUND('',#53107,.T.); #33221=FACE_OUTER_BOUND('',#53108,.T.); #33222=FACE_OUTER_BOUND('',#53109,.T.); #33223=FACE_OUTER_BOUND('',#53110,.T.); #33224=FACE_OUTER_BOUND('',#53111,.T.); #33225=FACE_OUTER_BOUND('',#53112,.T.); #33226=FACE_OUTER_BOUND('',#53113,.T.); #33227=FACE_OUTER_BOUND('',#53114,.T.); #33228=FACE_OUTER_BOUND('',#53115,.T.); #33229=FACE_OUTER_BOUND('',#53116,.T.); #33230=FACE_OUTER_BOUND('',#53117,.T.); #33231=FACE_OUTER_BOUND('',#53118,.T.); #33232=FACE_OUTER_BOUND('',#53119,.T.); #33233=FACE_OUTER_BOUND('',#53120,.T.); #33234=FACE_OUTER_BOUND('',#53121,.T.); #33235=FACE_OUTER_BOUND('',#53122,.T.); #33236=FACE_OUTER_BOUND('',#53123,.T.); #33237=FACE_OUTER_BOUND('',#53125,.T.); #33238=FACE_OUTER_BOUND('',#53127,.T.); #33239=FACE_OUTER_BOUND('',#53128,.T.); #33240=FACE_OUTER_BOUND('',#53129,.T.); #33241=FACE_OUTER_BOUND('',#53130,.T.); #33242=FACE_OUTER_BOUND('',#53131,.T.); #33243=FACE_OUTER_BOUND('',#53133,.T.); #33244=FACE_OUTER_BOUND('',#53135,.T.); #33245=FACE_OUTER_BOUND('',#53136,.T.); #33246=FACE_OUTER_BOUND('',#53137,.T.); #33247=FACE_OUTER_BOUND('',#53138,.T.); #33248=FACE_OUTER_BOUND('',#53139,.T.); #33249=FACE_OUTER_BOUND('',#53141,.T.); #33250=FACE_OUTER_BOUND('',#53143,.T.); #33251=FACE_OUTER_BOUND('',#53144,.T.); #33252=FACE_OUTER_BOUND('',#53145,.T.); #33253=FACE_OUTER_BOUND('',#53146,.T.); #33254=FACE_OUTER_BOUND('',#53147,.T.); #33255=FACE_OUTER_BOUND('',#53148,.T.); #33256=FACE_OUTER_BOUND('',#53149,.T.); #33257=FACE_OUTER_BOUND('',#53150,.T.); #33258=FACE_OUTER_BOUND('',#53151,.T.); #33259=FACE_OUTER_BOUND('',#53152,.T.); #33260=FACE_OUTER_BOUND('',#53153,.T.); #33261=FACE_OUTER_BOUND('',#53154,.T.); #33262=FACE_OUTER_BOUND('',#53155,.T.); #33263=FACE_OUTER_BOUND('',#53156,.T.); #33264=FACE_OUTER_BOUND('',#53157,.T.); #33265=FACE_OUTER_BOUND('',#53158,.T.); #33266=FACE_OUTER_BOUND('',#53159,.T.); #33267=FACE_OUTER_BOUND('',#53160,.T.); #33268=FACE_OUTER_BOUND('',#53161,.T.); #33269=FACE_OUTER_BOUND('',#53162,.T.); #33270=FACE_OUTER_BOUND('',#53163,.T.); #33271=FACE_OUTER_BOUND('',#53164,.T.); #33272=FACE_OUTER_BOUND('',#53165,.T.); #33273=FACE_OUTER_BOUND('',#53166,.T.); #33274=FACE_OUTER_BOUND('',#53167,.T.); #33275=FACE_OUTER_BOUND('',#53168,.T.); #33276=FACE_OUTER_BOUND('',#53169,.T.); #33277=FACE_OUTER_BOUND('',#53170,.T.); #33278=FACE_OUTER_BOUND('',#53171,.T.); #33279=FACE_OUTER_BOUND('',#53172,.T.); #33280=FACE_OUTER_BOUND('',#53173,.T.); #33281=FACE_OUTER_BOUND('',#53174,.T.); #33282=FACE_OUTER_BOUND('',#53175,.T.); #33283=FACE_OUTER_BOUND('',#53176,.T.); #33284=FACE_OUTER_BOUND('',#53177,.T.); #33285=FACE_OUTER_BOUND('',#53178,.T.); #33286=FACE_OUTER_BOUND('',#53179,.T.); #33287=FACE_OUTER_BOUND('',#53180,.T.); #33288=FACE_OUTER_BOUND('',#53181,.T.); #33289=FACE_OUTER_BOUND('',#53182,.T.); #33290=FACE_OUTER_BOUND('',#53183,.T.); #33291=FACE_OUTER_BOUND('',#53184,.T.); #33292=FACE_OUTER_BOUND('',#53185,.T.); #33293=FACE_OUTER_BOUND('',#53186,.T.); #33294=FACE_OUTER_BOUND('',#53187,.T.); #33295=FACE_OUTER_BOUND('',#53188,.T.); #33296=FACE_OUTER_BOUND('',#53189,.T.); #33297=FACE_OUTER_BOUND('',#53190,.T.); #33298=FACE_OUTER_BOUND('',#53191,.T.); #33299=FACE_OUTER_BOUND('',#53192,.T.); #33300=FACE_OUTER_BOUND('',#53193,.T.); #33301=FACE_OUTER_BOUND('',#53194,.T.); #33302=FACE_OUTER_BOUND('',#53195,.T.); #33303=FACE_OUTER_BOUND('',#53196,.T.); #33304=FACE_OUTER_BOUND('',#53197,.T.); #33305=FACE_OUTER_BOUND('',#53198,.T.); #33306=FACE_OUTER_BOUND('',#53199,.T.); #33307=FACE_OUTER_BOUND('',#53200,.T.); #33308=FACE_OUTER_BOUND('',#53201,.T.); #33309=FACE_OUTER_BOUND('',#53202,.T.); #33310=FACE_OUTER_BOUND('',#53203,.T.); #33311=FACE_OUTER_BOUND('',#53205,.T.); #33312=FACE_OUTER_BOUND('',#53207,.T.); #33313=FACE_OUTER_BOUND('',#53208,.T.); #33314=FACE_OUTER_BOUND('',#53209,.T.); #33315=FACE_OUTER_BOUND('',#53210,.T.); #33316=FACE_OUTER_BOUND('',#53211,.T.); #33317=FACE_OUTER_BOUND('',#53213,.T.); #33318=FACE_OUTER_BOUND('',#53215,.T.); #33319=FACE_OUTER_BOUND('',#53216,.T.); #33320=FACE_OUTER_BOUND('',#53217,.T.); #33321=FACE_OUTER_BOUND('',#53218,.T.); #33322=FACE_OUTER_BOUND('',#53219,.T.); #33323=FACE_OUTER_BOUND('',#53221,.T.); #33324=FACE_OUTER_BOUND('',#53223,.T.); #33325=FACE_OUTER_BOUND('',#53224,.T.); #33326=FACE_OUTER_BOUND('',#53225,.T.); #33327=FACE_OUTER_BOUND('',#53226,.T.); #33328=FACE_OUTER_BOUND('',#53227,.T.); #33329=FACE_OUTER_BOUND('',#53229,.T.); #33330=FACE_OUTER_BOUND('',#53231,.T.); #33331=FACE_OUTER_BOUND('',#53232,.T.); #33332=FACE_OUTER_BOUND('',#53233,.T.); #33333=FACE_OUTER_BOUND('',#53234,.T.); #33334=FACE_OUTER_BOUND('',#53235,.T.); #33335=FACE_OUTER_BOUND('',#53236,.T.); #33336=FACE_OUTER_BOUND('',#53238,.T.); #33337=FACE_OUTER_BOUND('',#53240,.T.); #33338=FACE_OUTER_BOUND('',#53241,.T.); #33339=FACE_OUTER_BOUND('',#53242,.T.); #33340=FACE_OUTER_BOUND('',#53243,.T.); #33341=FACE_OUTER_BOUND('',#53244,.T.); #33342=FACE_OUTER_BOUND('',#53246,.T.); #33343=FACE_OUTER_BOUND('',#53248,.T.); #33344=FACE_OUTER_BOUND('',#53249,.T.); #33345=FACE_OUTER_BOUND('',#53250,.T.); #33346=FACE_OUTER_BOUND('',#53251,.T.); #33347=FACE_OUTER_BOUND('',#53252,.T.); #33348=FACE_OUTER_BOUND('',#53253,.T.); #33349=FACE_OUTER_BOUND('',#53254,.T.); #33350=FACE_OUTER_BOUND('',#53255,.T.); #33351=FACE_OUTER_BOUND('',#53256,.T.); #33352=FACE_OUTER_BOUND('',#53257,.T.); #33353=FACE_OUTER_BOUND('',#53258,.T.); #33354=FACE_OUTER_BOUND('',#53259,.T.); #33355=FACE_OUTER_BOUND('',#53260,.T.); #33356=FACE_OUTER_BOUND('',#53261,.T.); #33357=FACE_OUTER_BOUND('',#53262,.T.); #33358=FACE_OUTER_BOUND('',#53263,.T.); #33359=FACE_OUTER_BOUND('',#53264,.T.); #33360=FACE_OUTER_BOUND('',#53265,.T.); #33361=FACE_OUTER_BOUND('',#53266,.T.); #33362=FACE_OUTER_BOUND('',#53267,.T.); #33363=FACE_OUTER_BOUND('',#53268,.T.); #33364=FACE_OUTER_BOUND('',#53269,.T.); #33365=FACE_OUTER_BOUND('',#53270,.T.); #33366=FACE_OUTER_BOUND('',#53271,.T.); #33367=FACE_OUTER_BOUND('',#53272,.T.); #33368=FACE_OUTER_BOUND('',#53273,.T.); #33369=FACE_OUTER_BOUND('',#53274,.T.); #33370=FACE_OUTER_BOUND('',#53275,.T.); #33371=FACE_OUTER_BOUND('',#53276,.T.); #33372=FACE_OUTER_BOUND('',#53277,.T.); #33373=FACE_OUTER_BOUND('',#53278,.T.); #33374=FACE_OUTER_BOUND('',#53279,.T.); #33375=FACE_OUTER_BOUND('',#53280,.T.); #33376=FACE_OUTER_BOUND('',#53281,.T.); #33377=FACE_OUTER_BOUND('',#53282,.T.); #33378=FACE_OUTER_BOUND('',#53283,.T.); #33379=FACE_OUTER_BOUND('',#53284,.T.); #33380=FACE_OUTER_BOUND('',#53285,.T.); #33381=FACE_OUTER_BOUND('',#53286,.T.); #33382=FACE_OUTER_BOUND('',#53287,.T.); #33383=FACE_OUTER_BOUND('',#53288,.T.); #33384=FACE_OUTER_BOUND('',#53289,.T.); #33385=FACE_OUTER_BOUND('',#53290,.T.); #33386=FACE_OUTER_BOUND('',#53291,.T.); #33387=FACE_OUTER_BOUND('',#53292,.T.); #33388=FACE_OUTER_BOUND('',#53293,.T.); #33389=FACE_OUTER_BOUND('',#53294,.T.); #33390=FACE_OUTER_BOUND('',#53295,.T.); #33391=FACE_OUTER_BOUND('',#53296,.T.); #33392=FACE_OUTER_BOUND('',#53297,.T.); #33393=FACE_OUTER_BOUND('',#53298,.T.); #33394=FACE_OUTER_BOUND('',#53299,.T.); #33395=FACE_OUTER_BOUND('',#53300,.T.); #33396=FACE_OUTER_BOUND('',#53301,.T.); #33397=FACE_OUTER_BOUND('',#53302,.T.); #33398=FACE_OUTER_BOUND('',#53303,.T.); #33399=FACE_OUTER_BOUND('',#53304,.T.); #33400=FACE_OUTER_BOUND('',#53305,.T.); #33401=FACE_OUTER_BOUND('',#53306,.T.); #33402=FACE_OUTER_BOUND('',#53307,.T.); #33403=FACE_OUTER_BOUND('',#53308,.T.); #33404=FACE_OUTER_BOUND('',#53309,.T.); #33405=FACE_OUTER_BOUND('',#53310,.T.); #33406=FACE_OUTER_BOUND('',#53311,.T.); #33407=FACE_OUTER_BOUND('',#53312,.T.); #33408=FACE_OUTER_BOUND('',#53313,.T.); #33409=FACE_OUTER_BOUND('',#53314,.T.); #33410=FACE_OUTER_BOUND('',#53315,.T.); #33411=FACE_OUTER_BOUND('',#53316,.T.); #33412=FACE_OUTER_BOUND('',#53317,.T.); #33413=FACE_OUTER_BOUND('',#53318,.T.); #33414=FACE_OUTER_BOUND('',#53319,.T.); #33415=FACE_OUTER_BOUND('',#53320,.T.); #33416=FACE_OUTER_BOUND('',#53321,.T.); #33417=FACE_OUTER_BOUND('',#53322,.T.); #33418=FACE_OUTER_BOUND('',#53323,.T.); #33419=FACE_OUTER_BOUND('',#53324,.T.); #33420=FACE_OUTER_BOUND('',#53325,.T.); #33421=FACE_OUTER_BOUND('',#53326,.T.); #33422=FACE_OUTER_BOUND('',#53327,.T.); #33423=FACE_OUTER_BOUND('',#53328,.T.); #33424=FACE_OUTER_BOUND('',#53329,.T.); #33425=FACE_OUTER_BOUND('',#53330,.T.); #33426=FACE_OUTER_BOUND('',#53331,.T.); #33427=FACE_OUTER_BOUND('',#53332,.T.); #33428=FACE_OUTER_BOUND('',#53333,.T.); #33429=FACE_OUTER_BOUND('',#53334,.T.); #33430=FACE_OUTER_BOUND('',#53335,.T.); #33431=FACE_OUTER_BOUND('',#53336,.T.); #33432=FACE_OUTER_BOUND('',#53337,.T.); #33433=FACE_OUTER_BOUND('',#53338,.T.); #33434=FACE_OUTER_BOUND('',#53339,.T.); #33435=FACE_OUTER_BOUND('',#53340,.T.); #33436=FACE_OUTER_BOUND('',#53341,.T.); #33437=FACE_OUTER_BOUND('',#53342,.T.); #33438=FACE_OUTER_BOUND('',#53343,.T.); #33439=FACE_OUTER_BOUND('',#53344,.T.); #33440=FACE_OUTER_BOUND('',#53345,.T.); #33441=FACE_OUTER_BOUND('',#53346,.T.); #33442=FACE_OUTER_BOUND('',#53347,.T.); #33443=FACE_OUTER_BOUND('',#53348,.T.); #33444=FACE_OUTER_BOUND('',#53349,.T.); #33445=FACE_OUTER_BOUND('',#53350,.T.); #33446=FACE_OUTER_BOUND('',#53351,.T.); #33447=FACE_OUTER_BOUND('',#53352,.T.); #33448=FACE_OUTER_BOUND('',#53353,.T.); #33449=FACE_OUTER_BOUND('',#53354,.T.); #33450=FACE_OUTER_BOUND('',#53355,.T.); #33451=FACE_OUTER_BOUND('',#53356,.T.); #33452=FACE_OUTER_BOUND('',#53357,.T.); #33453=FACE_OUTER_BOUND('',#53358,.T.); #33454=FACE_OUTER_BOUND('',#53359,.T.); #33455=FACE_OUTER_BOUND('',#53360,.T.); #33456=FACE_OUTER_BOUND('',#53361,.T.); #33457=FACE_OUTER_BOUND('',#53362,.T.); #33458=FACE_OUTER_BOUND('',#53363,.T.); #33459=FACE_OUTER_BOUND('',#53364,.T.); #33460=FACE_OUTER_BOUND('',#53365,.T.); #33461=FACE_OUTER_BOUND('',#53366,.T.); #33462=FACE_OUTER_BOUND('',#53367,.T.); #33463=FACE_OUTER_BOUND('',#53368,.T.); #33464=FACE_OUTER_BOUND('',#53369,.T.); #33465=FACE_OUTER_BOUND('',#53370,.T.); #33466=FACE_OUTER_BOUND('',#53371,.T.); #33467=FACE_OUTER_BOUND('',#53372,.T.); #33468=FACE_OUTER_BOUND('',#53373,.T.); #33469=FACE_OUTER_BOUND('',#53374,.T.); #33470=FACE_OUTER_BOUND('',#53375,.T.); #33471=FACE_OUTER_BOUND('',#53376,.T.); #33472=FACE_OUTER_BOUND('',#53377,.T.); #33473=FACE_OUTER_BOUND('',#53378,.T.); #33474=FACE_OUTER_BOUND('',#53379,.T.); #33475=FACE_OUTER_BOUND('',#53380,.T.); #33476=FACE_OUTER_BOUND('',#53381,.T.); #33477=FACE_OUTER_BOUND('',#53382,.T.); #33478=FACE_OUTER_BOUND('',#53383,.T.); #33479=FACE_OUTER_BOUND('',#53384,.T.); #33480=FACE_OUTER_BOUND('',#53385,.T.); #33481=FACE_OUTER_BOUND('',#53386,.T.); #33482=FACE_OUTER_BOUND('',#53387,.T.); #33483=FACE_OUTER_BOUND('',#53388,.T.); #33484=FACE_OUTER_BOUND('',#53389,.T.); #33485=FACE_OUTER_BOUND('',#53390,.T.); #33486=FACE_OUTER_BOUND('',#53391,.T.); #33487=FACE_OUTER_BOUND('',#53392,.T.); #33488=FACE_OUTER_BOUND('',#53395,.T.); #33489=FACE_OUTER_BOUND('',#53398,.T.); #33490=FACE_OUTER_BOUND('',#53399,.T.); #33491=FACE_OUTER_BOUND('',#53400,.T.); #33492=FACE_OUTER_BOUND('',#53401,.T.); #33493=FACE_OUTER_BOUND('',#53402,.T.); #33494=FACE_OUTER_BOUND('',#53403,.T.); #33495=FACE_OUTER_BOUND('',#53404,.T.); #33496=FACE_OUTER_BOUND('',#53405,.T.); #33497=FACE_OUTER_BOUND('',#53406,.T.); #33498=FACE_OUTER_BOUND('',#53407,.T.); #33499=FACE_OUTER_BOUND('',#53408,.T.); #33500=FACE_OUTER_BOUND('',#53409,.T.); #33501=FACE_OUTER_BOUND('',#53410,.T.); #33502=FACE_OUTER_BOUND('',#53413,.T.); #33503=FACE_OUTER_BOUND('',#53416,.T.); #33504=FACE_OUTER_BOUND('',#53417,.T.); #33505=FACE_OUTER_BOUND('',#53418,.T.); #33506=FACE_OUTER_BOUND('',#53419,.T.); #33507=FACE_OUTER_BOUND('',#53420,.T.); #33508=FACE_OUTER_BOUND('',#53421,.T.); #33509=FACE_OUTER_BOUND('',#53422,.T.); #33510=FACE_OUTER_BOUND('',#53423,.T.); #33511=FACE_OUTER_BOUND('',#53424,.T.); #33512=FACE_OUTER_BOUND('',#53425,.T.); #33513=FACE_OUTER_BOUND('',#53426,.T.); #33514=FACE_OUTER_BOUND('',#53427,.T.); #33515=FACE_OUTER_BOUND('',#53428,.T.); #33516=FACE_OUTER_BOUND('',#53429,.T.); #33517=FACE_OUTER_BOUND('',#53430,.T.); #33518=FACE_OUTER_BOUND('',#53431,.T.); #33519=FACE_OUTER_BOUND('',#53432,.T.); #33520=FACE_OUTER_BOUND('',#53433,.T.); #33521=FACE_OUTER_BOUND('',#53434,.T.); #33522=FACE_OUTER_BOUND('',#53435,.T.); #33523=FACE_OUTER_BOUND('',#53436,.T.); #33524=FACE_OUTER_BOUND('',#53437,.T.); #33525=FACE_OUTER_BOUND('',#53438,.T.); #33526=FACE_OUTER_BOUND('',#53439,.T.); #33527=FACE_OUTER_BOUND('',#53440,.T.); #33528=FACE_OUTER_BOUND('',#53441,.T.); #33529=FACE_OUTER_BOUND('',#53442,.T.); #33530=FACE_OUTER_BOUND('',#53443,.T.); #33531=FACE_OUTER_BOUND('',#53444,.T.); #33532=FACE_OUTER_BOUND('',#53445,.T.); #33533=FACE_OUTER_BOUND('',#53446,.T.); #33534=FACE_OUTER_BOUND('',#53447,.T.); #33535=FACE_OUTER_BOUND('',#53448,.T.); #33536=FACE_OUTER_BOUND('',#53449,.T.); #33537=FACE_OUTER_BOUND('',#53450,.T.); #33538=FACE_OUTER_BOUND('',#53451,.T.); #33539=FACE_OUTER_BOUND('',#53452,.T.); #33540=FACE_OUTER_BOUND('',#53453,.T.); #33541=FACE_OUTER_BOUND('',#53454,.T.); #33542=FACE_OUTER_BOUND('',#53455,.T.); #33543=FACE_OUTER_BOUND('',#53456,.T.); #33544=FACE_OUTER_BOUND('',#53457,.T.); #33545=FACE_OUTER_BOUND('',#53458,.T.); #33546=FACE_OUTER_BOUND('',#53459,.T.); #33547=FACE_OUTER_BOUND('',#53460,.T.); #33548=FACE_OUTER_BOUND('',#53461,.T.); #33549=FACE_OUTER_BOUND('',#53462,.T.); #33550=FACE_OUTER_BOUND('',#53463,.T.); #33551=FACE_OUTER_BOUND('',#53464,.T.); #33552=FACE_OUTER_BOUND('',#53465,.T.); #33553=FACE_OUTER_BOUND('',#53466,.T.); #33554=FACE_OUTER_BOUND('',#53467,.T.); #33555=FACE_OUTER_BOUND('',#53468,.T.); #33556=FACE_OUTER_BOUND('',#53469,.T.); #33557=FACE_OUTER_BOUND('',#53470,.T.); #33558=FACE_OUTER_BOUND('',#53471,.T.); #33559=FACE_OUTER_BOUND('',#53472,.T.); #33560=FACE_OUTER_BOUND('',#53473,.T.); #33561=FACE_OUTER_BOUND('',#53474,.T.); #33562=FACE_OUTER_BOUND('',#53475,.T.); #33563=FACE_OUTER_BOUND('',#53476,.T.); #33564=FACE_OUTER_BOUND('',#53477,.T.); #33565=FACE_OUTER_BOUND('',#53478,.T.); #33566=FACE_OUTER_BOUND('',#53479,.T.); #33567=FACE_OUTER_BOUND('',#53480,.T.); #33568=FACE_OUTER_BOUND('',#53481,.T.); #33569=FACE_OUTER_BOUND('',#53482,.T.); #33570=FACE_OUTER_BOUND('',#53483,.T.); #33571=FACE_OUTER_BOUND('',#53484,.T.); #33572=FACE_OUTER_BOUND('',#53485,.T.); #33573=FACE_OUTER_BOUND('',#53486,.T.); #33574=FACE_OUTER_BOUND('',#53487,.T.); #33575=FACE_OUTER_BOUND('',#53488,.T.); #33576=FACE_OUTER_BOUND('',#53489,.T.); #33577=FACE_OUTER_BOUND('',#53490,.T.); #33578=FACE_OUTER_BOUND('',#53491,.T.); #33579=FACE_OUTER_BOUND('',#53492,.T.); #33580=FACE_OUTER_BOUND('',#53493,.T.); #33581=FACE_OUTER_BOUND('',#53494,.T.); #33582=FACE_OUTER_BOUND('',#53495,.T.); #33583=FACE_OUTER_BOUND('',#53496,.T.); #33584=FACE_OUTER_BOUND('',#53497,.T.); #33585=FACE_OUTER_BOUND('',#53498,.T.); #33586=FACE_OUTER_BOUND('',#53499,.T.); #33587=FACE_OUTER_BOUND('',#53500,.T.); #33588=FACE_OUTER_BOUND('',#53501,.T.); #33589=FACE_OUTER_BOUND('',#53502,.T.); #33590=FACE_OUTER_BOUND('',#53503,.T.); #33591=FACE_OUTER_BOUND('',#53504,.T.); #33592=FACE_OUTER_BOUND('',#53505,.T.); #33593=FACE_OUTER_BOUND('',#53506,.T.); #33594=FACE_OUTER_BOUND('',#53507,.T.); #33595=FACE_OUTER_BOUND('',#53508,.T.); #33596=FACE_OUTER_BOUND('',#53509,.T.); #33597=FACE_OUTER_BOUND('',#53510,.T.); #33598=FACE_OUTER_BOUND('',#53511,.T.); #33599=FACE_OUTER_BOUND('',#53512,.T.); #33600=FACE_OUTER_BOUND('',#53513,.T.); #33601=FACE_OUTER_BOUND('',#53514,.T.); #33602=FACE_OUTER_BOUND('',#53515,.T.); #33603=FACE_OUTER_BOUND('',#53516,.T.); #33604=FACE_OUTER_BOUND('',#53517,.T.); #33605=FACE_OUTER_BOUND('',#53519,.T.); #33606=FACE_OUTER_BOUND('',#53521,.T.); #33607=FACE_OUTER_BOUND('',#53522,.T.); #33608=FACE_OUTER_BOUND('',#53523,.T.); #33609=FACE_OUTER_BOUND('',#53524,.T.); #33610=FACE_OUTER_BOUND('',#53525,.T.); #33611=FACE_OUTER_BOUND('',#53526,.T.); #33612=FACE_OUTER_BOUND('',#53527,.T.); #33613=FACE_OUTER_BOUND('',#53528,.T.); #33614=FACE_OUTER_BOUND('',#53529,.T.); #33615=FACE_OUTER_BOUND('',#53530,.T.); #33616=FACE_OUTER_BOUND('',#53531,.T.); #33617=FACE_OUTER_BOUND('',#53532,.T.); #33618=FACE_OUTER_BOUND('',#53533,.T.); #33619=FACE_OUTER_BOUND('',#53534,.T.); #33620=FACE_OUTER_BOUND('',#53535,.T.); #33621=FACE_OUTER_BOUND('',#53536,.T.); #33622=FACE_OUTER_BOUND('',#53537,.T.); #33623=FACE_OUTER_BOUND('',#53538,.T.); #33624=FACE_OUTER_BOUND('',#53539,.T.); #33625=FACE_OUTER_BOUND('',#53540,.T.); #33626=FACE_OUTER_BOUND('',#53541,.T.); #33627=FACE_OUTER_BOUND('',#53542,.T.); #33628=FACE_OUTER_BOUND('',#53543,.T.); #33629=FACE_OUTER_BOUND('',#53544,.T.); #33630=FACE_OUTER_BOUND('',#53545,.T.); #33631=FACE_OUTER_BOUND('',#53546,.T.); #33632=FACE_OUTER_BOUND('',#53547,.T.); #33633=FACE_OUTER_BOUND('',#53548,.T.); #33634=FACE_OUTER_BOUND('',#53549,.T.); #33635=FACE_OUTER_BOUND('',#53550,.T.); #33636=FACE_OUTER_BOUND('',#53551,.T.); #33637=FACE_OUTER_BOUND('',#53552,.T.); #33638=FACE_OUTER_BOUND('',#53553,.T.); #33639=FACE_OUTER_BOUND('',#53554,.T.); #33640=FACE_OUTER_BOUND('',#53555,.T.); #33641=FACE_OUTER_BOUND('',#53556,.T.); #33642=FACE_OUTER_BOUND('',#53557,.T.); #33643=FACE_OUTER_BOUND('',#53558,.T.); #33644=FACE_OUTER_BOUND('',#53559,.T.); #33645=FACE_OUTER_BOUND('',#53560,.T.); #33646=FACE_OUTER_BOUND('',#53561,.T.); #33647=FACE_OUTER_BOUND('',#53562,.T.); #33648=FACE_OUTER_BOUND('',#53563,.T.); #33649=FACE_OUTER_BOUND('',#53564,.T.); #33650=FACE_OUTER_BOUND('',#53580,.T.); #33651=FACE_OUTER_BOUND('',#53596,.T.); #33652=FACE_OUTER_BOUND('',#53597,.T.); #33653=FACE_OUTER_BOUND('',#53598,.T.); #33654=FACE_OUTER_BOUND('',#53599,.T.); #33655=FACE_OUTER_BOUND('',#53600,.T.); #33656=FACE_OUTER_BOUND('',#53601,.T.); #33657=FACE_OUTER_BOUND('',#53602,.T.); #33658=FACE_OUTER_BOUND('',#53603,.T.); #33659=FACE_OUTER_BOUND('',#53604,.T.); #33660=FACE_OUTER_BOUND('',#53605,.T.); #33661=FACE_OUTER_BOUND('',#53606,.T.); #33662=FACE_OUTER_BOUND('',#53607,.T.); #33663=FACE_OUTER_BOUND('',#53608,.T.); #33664=FACE_OUTER_BOUND('',#53609,.T.); #33665=FACE_OUTER_BOUND('',#53610,.T.); #33666=FACE_OUTER_BOUND('',#53611,.T.); #33667=FACE_OUTER_BOUND('',#53612,.T.); #33668=FACE_OUTER_BOUND('',#53613,.T.); #33669=FACE_OUTER_BOUND('',#53614,.T.); #33670=FACE_OUTER_BOUND('',#53615,.T.); #33671=FACE_OUTER_BOUND('',#53616,.T.); #33672=FACE_OUTER_BOUND('',#53617,.T.); #33673=FACE_OUTER_BOUND('',#53618,.T.); #33674=FACE_OUTER_BOUND('',#53619,.T.); #33675=FACE_OUTER_BOUND('',#53621,.T.); #33676=FACE_OUTER_BOUND('',#53623,.T.); #33677=FACE_OUTER_BOUND('',#53624,.T.); #33678=FACE_OUTER_BOUND('',#53625,.T.); #33679=FACE_OUTER_BOUND('',#53626,.T.); #33680=FACE_OUTER_BOUND('',#53627,.T.); #33681=FACE_OUTER_BOUND('',#53628,.T.); #33682=FACE_OUTER_BOUND('',#53629,.T.); #33683=FACE_OUTER_BOUND('',#53630,.T.); #33684=FACE_OUTER_BOUND('',#53631,.T.); #33685=FACE_OUTER_BOUND('',#53632,.T.); #33686=FACE_OUTER_BOUND('',#53633,.T.); #33687=FACE_OUTER_BOUND('',#53634,.T.); #33688=FACE_OUTER_BOUND('',#53635,.T.); #33689=FACE_OUTER_BOUND('',#53636,.T.); #33690=FACE_OUTER_BOUND('',#53637,.T.); #33691=FACE_OUTER_BOUND('',#53638,.T.); #33692=FACE_OUTER_BOUND('',#53639,.T.); #33693=FACE_OUTER_BOUND('',#53640,.T.); #33694=FACE_OUTER_BOUND('',#53641,.T.); #33695=FACE_OUTER_BOUND('',#53642,.T.); #33696=FACE_OUTER_BOUND('',#53643,.T.); #33697=FACE_OUTER_BOUND('',#53644,.T.); #33698=FACE_OUTER_BOUND('',#53645,.T.); #33699=FACE_OUTER_BOUND('',#53646,.T.); #33700=FACE_OUTER_BOUND('',#53647,.T.); #33701=FACE_OUTER_BOUND('',#53648,.T.); #33702=FACE_OUTER_BOUND('',#53649,.T.); #33703=FACE_OUTER_BOUND('',#53650,.T.); #33704=FACE_OUTER_BOUND('',#53651,.T.); #33705=FACE_OUTER_BOUND('',#53652,.T.); #33706=FACE_OUTER_BOUND('',#53653,.T.); #33707=FACE_OUTER_BOUND('',#53654,.T.); #33708=FACE_OUTER_BOUND('',#53655,.T.); #33709=FACE_OUTER_BOUND('',#53656,.T.); #33710=FACE_OUTER_BOUND('',#53657,.T.); #33711=FACE_OUTER_BOUND('',#53658,.T.); #33712=FACE_OUTER_BOUND('',#53659,.T.); #33713=FACE_OUTER_BOUND('',#53660,.T.); #33714=FACE_OUTER_BOUND('',#53661,.T.); #33715=FACE_OUTER_BOUND('',#53662,.T.); #33716=FACE_OUTER_BOUND('',#53663,.T.); #33717=FACE_OUTER_BOUND('',#53664,.T.); #33718=FACE_OUTER_BOUND('',#53665,.T.); #33719=FACE_OUTER_BOUND('',#53666,.T.); #33720=FACE_OUTER_BOUND('',#53682,.T.); #33721=FACE_OUTER_BOUND('',#53698,.T.); #33722=FACE_OUTER_BOUND('',#53699,.T.); #33723=FACE_OUTER_BOUND('',#53700,.T.); #33724=FACE_OUTER_BOUND('',#53701,.T.); #33725=FACE_OUTER_BOUND('',#53702,.T.); #33726=FACE_OUTER_BOUND('',#53703,.T.); #33727=FACE_OUTER_BOUND('',#53704,.T.); #33728=FACE_OUTER_BOUND('',#53705,.T.); #33729=FACE_OUTER_BOUND('',#53706,.T.); #33730=FACE_OUTER_BOUND('',#53707,.T.); #33731=FACE_OUTER_BOUND('',#53708,.T.); #33732=FACE_OUTER_BOUND('',#53709,.T.); #33733=FACE_OUTER_BOUND('',#53710,.T.); #33734=FACE_OUTER_BOUND('',#53711,.T.); #33735=FACE_OUTER_BOUND('',#53712,.T.); #33736=FACE_OUTER_BOUND('',#53713,.T.); #33737=FACE_OUTER_BOUND('',#53714,.T.); #33738=FACE_OUTER_BOUND('',#53715,.T.); #33739=FACE_OUTER_BOUND('',#53716,.T.); #33740=FACE_OUTER_BOUND('',#53717,.T.); #33741=FACE_OUTER_BOUND('',#53718,.T.); #33742=FACE_OUTER_BOUND('',#53719,.T.); #33743=FACE_OUTER_BOUND('',#53720,.T.); #33744=FACE_OUTER_BOUND('',#53721,.T.); #33745=FACE_OUTER_BOUND('',#53723,.T.); #33746=FACE_OUTER_BOUND('',#53725,.T.); #33747=FACE_OUTER_BOUND('',#53726,.T.); #33748=FACE_OUTER_BOUND('',#53727,.T.); #33749=FACE_OUTER_BOUND('',#53728,.T.); #33750=FACE_OUTER_BOUND('',#53729,.T.); #33751=FACE_OUTER_BOUND('',#53730,.T.); #33752=FACE_OUTER_BOUND('',#53732,.T.); #33753=FACE_OUTER_BOUND('',#53734,.T.); #33754=FACE_OUTER_BOUND('',#53735,.T.); #33755=FACE_OUTER_BOUND('',#53736,.T.); #33756=FACE_OUTER_BOUND('',#53737,.T.); #33757=FACE_OUTER_BOUND('',#53738,.T.); #33758=FACE_OUTER_BOUND('',#53739,.T.); #33759=FACE_OUTER_BOUND('',#53741,.T.); #33760=FACE_OUTER_BOUND('',#53743,.T.); #33761=FACE_OUTER_BOUND('',#53744,.T.); #33762=FACE_OUTER_BOUND('',#53745,.T.); #33763=FACE_OUTER_BOUND('',#53746,.T.); #33764=FACE_OUTER_BOUND('',#53747,.T.); #33765=FACE_OUTER_BOUND('',#53748,.T.); #33766=FACE_OUTER_BOUND('',#53749,.T.); #33767=FACE_OUTER_BOUND('',#53750,.T.); #33768=FACE_OUTER_BOUND('',#53751,.T.); #33769=FACE_OUTER_BOUND('',#53752,.T.); #33770=FACE_OUTER_BOUND('',#53753,.T.); #33771=FACE_OUTER_BOUND('',#53754,.T.); #33772=FACE_OUTER_BOUND('',#53755,.T.); #33773=FACE_OUTER_BOUND('',#53756,.T.); #33774=FACE_OUTER_BOUND('',#53757,.T.); #33775=FACE_OUTER_BOUND('',#53758,.T.); #33776=FACE_OUTER_BOUND('',#53759,.T.); #33777=FACE_OUTER_BOUND('',#53760,.T.); #33778=FACE_OUTER_BOUND('',#53761,.T.); #33779=FACE_OUTER_BOUND('',#53762,.T.); #33780=FACE_OUTER_BOUND('',#53778,.T.); #33781=FACE_OUTER_BOUND('',#53794,.T.); #33782=FACE_OUTER_BOUND('',#53795,.T.); #33783=FACE_OUTER_BOUND('',#53796,.T.); #33784=FACE_OUTER_BOUND('',#53797,.T.); #33785=FACE_OUTER_BOUND('',#53798,.T.); #33786=FACE_OUTER_BOUND('',#53799,.T.); #33787=FACE_OUTER_BOUND('',#53800,.T.); #33788=FACE_OUTER_BOUND('',#53801,.T.); #33789=FACE_OUTER_BOUND('',#53802,.T.); #33790=FACE_OUTER_BOUND('',#53803,.T.); #33791=FACE_OUTER_BOUND('',#53804,.T.); #33792=FACE_OUTER_BOUND('',#53805,.T.); #33793=FACE_OUTER_BOUND('',#53806,.T.); #33794=FACE_OUTER_BOUND('',#53807,.T.); #33795=FACE_OUTER_BOUND('',#53808,.T.); #33796=FACE_OUTER_BOUND('',#53809,.T.); #33797=FACE_OUTER_BOUND('',#53810,.T.); #33798=FACE_OUTER_BOUND('',#53811,.T.); #33799=FACE_OUTER_BOUND('',#53812,.T.); #33800=FACE_OUTER_BOUND('',#53813,.T.); #33801=FACE_OUTER_BOUND('',#53814,.T.); #33802=FACE_OUTER_BOUND('',#53815,.T.); #33803=FACE_OUTER_BOUND('',#53816,.T.); #33804=FACE_OUTER_BOUND('',#53817,.T.); #33805=FACE_OUTER_BOUND('',#53818,.T.); #33806=FACE_OUTER_BOUND('',#53819,.T.); #33807=FACE_OUTER_BOUND('',#53820,.T.); #33808=FACE_OUTER_BOUND('',#53821,.T.); #33809=FACE_OUTER_BOUND('',#53822,.T.); #33810=FACE_OUTER_BOUND('',#53823,.T.); #33811=FACE_OUTER_BOUND('',#53824,.T.); #33812=FACE_OUTER_BOUND('',#53825,.T.); #33813=FACE_OUTER_BOUND('',#53826,.T.); #33814=FACE_OUTER_BOUND('',#53827,.T.); #33815=FACE_OUTER_BOUND('',#53828,.T.); #33816=FACE_OUTER_BOUND('',#53829,.T.); #33817=FACE_OUTER_BOUND('',#53830,.T.); #33818=FACE_OUTER_BOUND('',#53831,.T.); #33819=FACE_OUTER_BOUND('',#53832,.T.); #33820=FACE_OUTER_BOUND('',#53833,.T.); #33821=FACE_OUTER_BOUND('',#53834,.T.); #33822=FACE_OUTER_BOUND('',#53835,.T.); #33823=FACE_OUTER_BOUND('',#53836,.T.); #33824=FACE_OUTER_BOUND('',#53837,.T.); #33825=FACE_OUTER_BOUND('',#53838,.T.); #33826=FACE_OUTER_BOUND('',#53839,.T.); #33827=FACE_OUTER_BOUND('',#53840,.T.); #33828=FACE_OUTER_BOUND('',#53841,.T.); #33829=FACE_OUTER_BOUND('',#53842,.T.); #33830=FACE_OUTER_BOUND('',#53843,.T.); #33831=FACE_OUTER_BOUND('',#53844,.T.); #33832=FACE_OUTER_BOUND('',#53845,.T.); #33833=FACE_OUTER_BOUND('',#53846,.T.); #33834=FACE_OUTER_BOUND('',#53847,.T.); #33835=FACE_OUTER_BOUND('',#53848,.T.); #33836=FACE_OUTER_BOUND('',#53849,.T.); #33837=FACE_OUTER_BOUND('',#53850,.T.); #33838=FACE_OUTER_BOUND('',#53851,.T.); #33839=FACE_OUTER_BOUND('',#53852,.T.); #33840=FACE_OUTER_BOUND('',#53853,.T.); #33841=FACE_OUTER_BOUND('',#53854,.T.); #33842=FACE_OUTER_BOUND('',#53855,.T.); #33843=FACE_OUTER_BOUND('',#53856,.T.); #33844=FACE_OUTER_BOUND('',#53857,.T.); #33845=FACE_OUTER_BOUND('',#53858,.T.); #33846=FACE_OUTER_BOUND('',#53859,.T.); #33847=FACE_OUTER_BOUND('',#53860,.T.); #33848=FACE_OUTER_BOUND('',#53861,.T.); #33849=FACE_OUTER_BOUND('',#53862,.T.); #33850=FACE_OUTER_BOUND('',#53863,.T.); #33851=FACE_OUTER_BOUND('',#53864,.T.); #33852=FACE_OUTER_BOUND('',#53865,.T.); #33853=FACE_OUTER_BOUND('',#53866,.T.); #33854=FACE_OUTER_BOUND('',#53867,.T.); #33855=FACE_OUTER_BOUND('',#53868,.T.); #33856=FACE_OUTER_BOUND('',#53869,.T.); #33857=FACE_OUTER_BOUND('',#53870,.T.); #33858=FACE_OUTER_BOUND('',#53871,.T.); #33859=FACE_OUTER_BOUND('',#53872,.T.); #33860=FACE_OUTER_BOUND('',#53873,.T.); #33861=FACE_OUTER_BOUND('',#53874,.T.); #33862=FACE_OUTER_BOUND('',#53875,.T.); #33863=FACE_OUTER_BOUND('',#53876,.T.); #33864=FACE_OUTER_BOUND('',#53877,.T.); #33865=FACE_OUTER_BOUND('',#53878,.T.); #33866=FACE_OUTER_BOUND('',#53879,.T.); #33867=FACE_OUTER_BOUND('',#53880,.T.); #33868=FACE_OUTER_BOUND('',#53881,.T.); #33869=FACE_OUTER_BOUND('',#53882,.T.); #33870=FACE_OUTER_BOUND('',#53883,.T.); #33871=FACE_OUTER_BOUND('',#53884,.T.); #33872=FACE_OUTER_BOUND('',#53885,.T.); #33873=FACE_OUTER_BOUND('',#53886,.T.); #33874=FACE_OUTER_BOUND('',#53887,.T.); #33875=FACE_OUTER_BOUND('',#53888,.T.); #33876=FACE_OUTER_BOUND('',#53889,.T.); #33877=FACE_OUTER_BOUND('',#53890,.T.); #33878=FACE_OUTER_BOUND('',#53891,.T.); #33879=FACE_OUTER_BOUND('',#53892,.T.); #33880=FACE_OUTER_BOUND('',#53893,.T.); #33881=FACE_OUTER_BOUND('',#53894,.T.); #33882=FACE_OUTER_BOUND('',#53895,.T.); #33883=FACE_OUTER_BOUND('',#53896,.T.); #33884=FACE_OUTER_BOUND('',#53897,.T.); #33885=FACE_OUTER_BOUND('',#53898,.T.); #33886=FACE_OUTER_BOUND('',#53899,.T.); #33887=FACE_OUTER_BOUND('',#53900,.T.); #33888=FACE_OUTER_BOUND('',#53901,.T.); #33889=FACE_OUTER_BOUND('',#53902,.T.); #33890=FACE_OUTER_BOUND('',#53903,.T.); #33891=FACE_OUTER_BOUND('',#53904,.T.); #33892=FACE_OUTER_BOUND('',#53905,.T.); #33893=FACE_OUTER_BOUND('',#53906,.T.); #33894=FACE_OUTER_BOUND('',#53907,.T.); #33895=FACE_OUTER_BOUND('',#53908,.T.); #33896=FACE_OUTER_BOUND('',#53909,.T.); #33897=FACE_OUTER_BOUND('',#53910,.T.); #33898=FACE_OUTER_BOUND('',#53911,.T.); #33899=FACE_OUTER_BOUND('',#53912,.T.); #33900=FACE_OUTER_BOUND('',#53913,.T.); #33901=FACE_OUTER_BOUND('',#53914,.T.); #33902=FACE_OUTER_BOUND('',#53915,.T.); #33903=FACE_OUTER_BOUND('',#53916,.T.); #33904=FACE_OUTER_BOUND('',#53917,.T.); #33905=FACE_OUTER_BOUND('',#53918,.T.); #33906=FACE_OUTER_BOUND('',#53919,.T.); #33907=FACE_OUTER_BOUND('',#53920,.T.); #33908=FACE_OUTER_BOUND('',#53921,.T.); #33909=FACE_OUTER_BOUND('',#53922,.T.); #33910=FACE_OUTER_BOUND('',#53923,.T.); #33911=FACE_OUTER_BOUND('',#53924,.T.); #33912=FACE_OUTER_BOUND('',#53925,.T.); #33913=FACE_OUTER_BOUND('',#53926,.T.); #33914=FACE_OUTER_BOUND('',#53927,.T.); #33915=FACE_OUTER_BOUND('',#53928,.T.); #33916=FACE_OUTER_BOUND('',#53929,.T.); #33917=FACE_OUTER_BOUND('',#53930,.T.); #33918=FACE_OUTER_BOUND('',#53931,.T.); #33919=FACE_OUTER_BOUND('',#53932,.T.); #33920=FACE_OUTER_BOUND('',#53933,.T.); #33921=FACE_OUTER_BOUND('',#53949,.T.); #33922=FACE_OUTER_BOUND('',#53965,.T.); #33923=FACE_OUTER_BOUND('',#53966,.T.); #33924=FACE_OUTER_BOUND('',#53967,.T.); #33925=FACE_OUTER_BOUND('',#53968,.T.); #33926=FACE_OUTER_BOUND('',#53969,.T.); #33927=FACE_OUTER_BOUND('',#53970,.T.); #33928=FACE_OUTER_BOUND('',#53971,.T.); #33929=FACE_OUTER_BOUND('',#53972,.T.); #33930=FACE_OUTER_BOUND('',#53973,.T.); #33931=FACE_OUTER_BOUND('',#53974,.T.); #33932=FACE_OUTER_BOUND('',#53975,.T.); #33933=FACE_OUTER_BOUND('',#53976,.T.); #33934=FACE_OUTER_BOUND('',#53977,.T.); #33935=FACE_OUTER_BOUND('',#53978,.T.); #33936=FACE_OUTER_BOUND('',#53979,.T.); #33937=FACE_OUTER_BOUND('',#53980,.T.); #33938=FACE_OUTER_BOUND('',#53981,.T.); #33939=FACE_OUTER_BOUND('',#53982,.T.); #33940=FACE_OUTER_BOUND('',#53983,.T.); #33941=FACE_OUTER_BOUND('',#53984,.T.); #33942=FACE_OUTER_BOUND('',#53985,.T.); #33943=FACE_OUTER_BOUND('',#53986,.T.); #33944=FACE_OUTER_BOUND('',#53987,.T.); #33945=FACE_OUTER_BOUND('',#53988,.T.); #33946=FACE_OUTER_BOUND('',#53989,.T.); #33947=FACE_OUTER_BOUND('',#53990,.T.); #33948=FACE_OUTER_BOUND('',#53991,.T.); #33949=FACE_OUTER_BOUND('',#53992,.T.); #33950=FACE_OUTER_BOUND('',#53993,.T.); #33951=FACE_OUTER_BOUND('',#53994,.T.); #33952=FACE_OUTER_BOUND('',#53995,.T.); #33953=FACE_OUTER_BOUND('',#53996,.T.); #33954=FACE_OUTER_BOUND('',#53997,.T.); #33955=FACE_OUTER_BOUND('',#53998,.T.); #33956=FACE_OUTER_BOUND('',#53999,.T.); #33957=FACE_OUTER_BOUND('',#54000,.T.); #33958=FACE_OUTER_BOUND('',#54001,.T.); #33959=FACE_OUTER_BOUND('',#54002,.T.); #33960=FACE_OUTER_BOUND('',#54003,.T.); #33961=FACE_OUTER_BOUND('',#54004,.T.); #33962=FACE_OUTER_BOUND('',#54005,.T.); #33963=FACE_OUTER_BOUND('',#54006,.T.); #33964=FACE_OUTER_BOUND('',#54008,.T.); #33965=FACE_OUTER_BOUND('',#54010,.T.); #33966=FACE_OUTER_BOUND('',#54011,.T.); #33967=FACE_OUTER_BOUND('',#54012,.T.); #33968=FACE_OUTER_BOUND('',#54013,.T.); #33969=FACE_OUTER_BOUND('',#54014,.T.); #33970=FACE_OUTER_BOUND('',#54015,.T.); #33971=FACE_OUTER_BOUND('',#54016,.T.); #33972=FACE_OUTER_BOUND('',#54019,.T.); #33973=FACE_OUTER_BOUND('',#54022,.T.); #33974=FACE_OUTER_BOUND('',#54023,.T.); #33975=FACE_OUTER_BOUND('',#54024,.T.); #33976=FACE_OUTER_BOUND('',#54025,.T.); #33977=FACE_OUTER_BOUND('',#54026,.T.); #33978=FACE_OUTER_BOUND('',#54027,.T.); #33979=FACE_OUTER_BOUND('',#54028,.T.); #33980=FACE_OUTER_BOUND('',#54031,.T.); #33981=FACE_OUTER_BOUND('',#54034,.T.); #33982=FACE_OUTER_BOUND('',#54035,.T.); #33983=FACE_OUTER_BOUND('',#54036,.T.); #33984=FACE_OUTER_BOUND('',#54037,.T.); #33985=FACE_OUTER_BOUND('',#54038,.T.); #33986=FACE_OUTER_BOUND('',#54039,.T.); #33987=FACE_OUTER_BOUND('',#54041,.T.); #33988=FACE_OUTER_BOUND('',#54043,.T.); #33989=FACE_OUTER_BOUND('',#54044,.T.); #33990=FACE_OUTER_BOUND('',#54045,.T.); #33991=FACE_OUTER_BOUND('',#54046,.T.); #33992=FACE_OUTER_BOUND('',#54047,.T.); #33993=FACE_OUTER_BOUND('',#54048,.T.); #33994=FACE_OUTER_BOUND('',#54049,.T.); #33995=FACE_OUTER_BOUND('',#54050,.T.); #33996=FACE_OUTER_BOUND('',#54051,.T.); #33997=FACE_OUTER_BOUND('',#54052,.T.); #33998=FACE_OUTER_BOUND('',#54053,.T.); #33999=FACE_OUTER_BOUND('',#54054,.T.); #34000=FACE_OUTER_BOUND('',#54055,.T.); #34001=FACE_OUTER_BOUND('',#54056,.T.); #34002=FACE_OUTER_BOUND('',#54057,.T.); #34003=FACE_OUTER_BOUND('',#54058,.T.); #34004=FACE_OUTER_BOUND('',#54059,.T.); #34005=FACE_OUTER_BOUND('',#54060,.T.); #34006=FACE_OUTER_BOUND('',#54061,.T.); #34007=FACE_OUTER_BOUND('',#54062,.T.); #34008=FACE_OUTER_BOUND('',#54063,.T.); #34009=FACE_OUTER_BOUND('',#54064,.T.); #34010=FACE_OUTER_BOUND('',#54065,.T.); #34011=FACE_OUTER_BOUND('',#54066,.T.); #34012=FACE_OUTER_BOUND('',#54067,.T.); #34013=FACE_OUTER_BOUND('',#54068,.T.); #34014=FACE_OUTER_BOUND('',#54069,.T.); #34015=FACE_OUTER_BOUND('',#54070,.T.); #34016=FACE_OUTER_BOUND('',#54071,.T.); #34017=FACE_OUTER_BOUND('',#54072,.T.); #34018=FACE_OUTER_BOUND('',#54073,.T.); #34019=FACE_OUTER_BOUND('',#54074,.T.); #34020=FACE_OUTER_BOUND('',#54075,.T.); #34021=FACE_OUTER_BOUND('',#54076,.T.); #34022=FACE_OUTER_BOUND('',#54077,.T.); #34023=FACE_OUTER_BOUND('',#54078,.T.); #34024=FACE_OUTER_BOUND('',#54079,.T.); #34025=FACE_OUTER_BOUND('',#54080,.T.); #34026=FACE_OUTER_BOUND('',#54081,.T.); #34027=FACE_OUTER_BOUND('',#54082,.T.); #34028=FACE_OUTER_BOUND('',#54083,.T.); #34029=FACE_OUTER_BOUND('',#54084,.T.); #34030=FACE_OUTER_BOUND('',#54085,.T.); #34031=FACE_OUTER_BOUND('',#54086,.T.); #34032=FACE_OUTER_BOUND('',#54087,.T.); #34033=FACE_OUTER_BOUND('',#54088,.T.); #34034=FACE_OUTER_BOUND('',#54089,.T.); #34035=FACE_OUTER_BOUND('',#54090,.T.); #34036=FACE_OUTER_BOUND('',#54091,.T.); #34037=FACE_OUTER_BOUND('',#54092,.T.); #34038=FACE_OUTER_BOUND('',#54093,.T.); #34039=FACE_OUTER_BOUND('',#54094,.T.); #34040=FACE_OUTER_BOUND('',#54095,.T.); #34041=FACE_OUTER_BOUND('',#54096,.T.); #34042=FACE_OUTER_BOUND('',#54097,.T.); #34043=FACE_OUTER_BOUND('',#54098,.T.); #34044=FACE_OUTER_BOUND('',#54099,.T.); #34045=FACE_OUTER_BOUND('',#54100,.T.); #34046=FACE_OUTER_BOUND('',#54101,.T.); #34047=FACE_OUTER_BOUND('',#54102,.T.); #34048=FACE_OUTER_BOUND('',#54103,.T.); #34049=FACE_OUTER_BOUND('',#54104,.T.); #34050=FACE_OUTER_BOUND('',#54105,.T.); #34051=FACE_OUTER_BOUND('',#54106,.T.); #34052=FACE_OUTER_BOUND('',#54107,.T.); #34053=FACE_OUTER_BOUND('',#54108,.T.); #34054=FACE_OUTER_BOUND('',#54109,.T.); #34055=FACE_OUTER_BOUND('',#54110,.T.); #34056=FACE_OUTER_BOUND('',#54111,.T.); #34057=FACE_OUTER_BOUND('',#54112,.T.); #34058=FACE_OUTER_BOUND('',#54113,.T.); #34059=FACE_OUTER_BOUND('',#54114,.T.); #34060=FACE_OUTER_BOUND('',#54115,.T.); #34061=FACE_OUTER_BOUND('',#54116,.T.); #34062=FACE_OUTER_BOUND('',#54117,.T.); #34063=FACE_OUTER_BOUND('',#54118,.T.); #34064=FACE_OUTER_BOUND('',#54119,.T.); #34065=FACE_OUTER_BOUND('',#54120,.T.); #34066=FACE_OUTER_BOUND('',#54121,.T.); #34067=FACE_OUTER_BOUND('',#54122,.T.); #34068=FACE_OUTER_BOUND('',#54123,.T.); #34069=FACE_OUTER_BOUND('',#54124,.T.); #34070=FACE_OUTER_BOUND('',#54125,.T.); #34071=FACE_OUTER_BOUND('',#54126,.T.); #34072=FACE_OUTER_BOUND('',#54127,.T.); #34073=FACE_OUTER_BOUND('',#54128,.T.); #34074=FACE_OUTER_BOUND('',#54129,.T.); #34075=FACE_OUTER_BOUND('',#54130,.T.); #34076=FACE_OUTER_BOUND('',#54131,.T.); #34077=FACE_OUTER_BOUND('',#54132,.T.); #34078=FACE_OUTER_BOUND('',#54133,.T.); #34079=FACE_OUTER_BOUND('',#54134,.T.); #34080=FACE_OUTER_BOUND('',#54135,.T.); #34081=FACE_OUTER_BOUND('',#54136,.T.); #34082=FACE_OUTER_BOUND('',#54137,.T.); #34083=FACE_OUTER_BOUND('',#54138,.T.); #34084=FACE_OUTER_BOUND('',#54139,.T.); #34085=FACE_OUTER_BOUND('',#54140,.T.); #34086=FACE_OUTER_BOUND('',#54141,.T.); #34087=FACE_OUTER_BOUND('',#54142,.T.); #34088=FACE_OUTER_BOUND('',#54143,.T.); #34089=FACE_OUTER_BOUND('',#54144,.T.); #34090=FACE_OUTER_BOUND('',#54145,.T.); #34091=FACE_OUTER_BOUND('',#54146,.T.); #34092=FACE_OUTER_BOUND('',#54147,.T.); #34093=FACE_OUTER_BOUND('',#54148,.T.); #34094=FACE_OUTER_BOUND('',#54149,.T.); #34095=FACE_OUTER_BOUND('',#54150,.T.); #34096=FACE_OUTER_BOUND('',#54151,.T.); #34097=FACE_OUTER_BOUND('',#54152,.T.); #34098=FACE_OUTER_BOUND('',#54153,.T.); #34099=FACE_OUTER_BOUND('',#54154,.T.); #34100=FACE_OUTER_BOUND('',#54155,.T.); #34101=FACE_OUTER_BOUND('',#54156,.T.); #34102=FACE_OUTER_BOUND('',#54157,.T.); #34103=FACE_OUTER_BOUND('',#54158,.T.); #34104=FACE_OUTER_BOUND('',#54159,.T.); #34105=FACE_OUTER_BOUND('',#54160,.T.); #34106=FACE_OUTER_BOUND('',#54161,.T.); #34107=FACE_OUTER_BOUND('',#54162,.T.); #34108=FACE_OUTER_BOUND('',#54163,.T.); #34109=FACE_OUTER_BOUND('',#54164,.T.); #34110=FACE_OUTER_BOUND('',#54165,.T.); #34111=FACE_OUTER_BOUND('',#54166,.T.); #34112=FACE_OUTER_BOUND('',#54167,.T.); #34113=FACE_OUTER_BOUND('',#54168,.T.); #34114=FACE_OUTER_BOUND('',#54169,.T.); #34115=FACE_OUTER_BOUND('',#54170,.T.); #34116=FACE_OUTER_BOUND('',#54171,.T.); #34117=FACE_OUTER_BOUND('',#54172,.T.); #34118=FACE_OUTER_BOUND('',#54173,.T.); #34119=FACE_OUTER_BOUND('',#54174,.T.); #34120=FACE_OUTER_BOUND('',#54175,.T.); #34121=FACE_OUTER_BOUND('',#54176,.T.); #34122=FACE_OUTER_BOUND('',#54177,.T.); #34123=FACE_OUTER_BOUND('',#54178,.T.); #34124=FACE_OUTER_BOUND('',#54179,.T.); #34125=FACE_OUTER_BOUND('',#54180,.T.); #34126=FACE_OUTER_BOUND('',#54181,.T.); #34127=FACE_OUTER_BOUND('',#54182,.T.); #34128=FACE_OUTER_BOUND('',#54183,.T.); #34129=FACE_OUTER_BOUND('',#54184,.T.); #34130=FACE_OUTER_BOUND('',#54185,.T.); #34131=FACE_OUTER_BOUND('',#54186,.T.); #34132=FACE_OUTER_BOUND('',#54187,.T.); #34133=FACE_OUTER_BOUND('',#54188,.T.); #34134=FACE_OUTER_BOUND('',#54189,.T.); #34135=FACE_OUTER_BOUND('',#54190,.T.); #34136=FACE_OUTER_BOUND('',#54191,.T.); #34137=FACE_OUTER_BOUND('',#54192,.T.); #34138=FACE_OUTER_BOUND('',#54193,.T.); #34139=FACE_OUTER_BOUND('',#54194,.T.); #34140=FACE_OUTER_BOUND('',#54195,.T.); #34141=FACE_OUTER_BOUND('',#54196,.T.); #34142=FACE_OUTER_BOUND('',#54197,.T.); #34143=FACE_OUTER_BOUND('',#54198,.T.); #34144=FACE_OUTER_BOUND('',#54199,.T.); #34145=FACE_OUTER_BOUND('',#54200,.T.); #34146=FACE_OUTER_BOUND('',#54201,.T.); #34147=FACE_OUTER_BOUND('',#54202,.T.); #34148=FACE_OUTER_BOUND('',#54203,.T.); #34149=FACE_OUTER_BOUND('',#54204,.T.); #34150=FACE_OUTER_BOUND('',#54205,.T.); #34151=FACE_OUTER_BOUND('',#54206,.T.); #34152=FACE_OUTER_BOUND('',#54207,.T.); #34153=FACE_OUTER_BOUND('',#54208,.T.); #34154=FACE_OUTER_BOUND('',#54209,.T.); #34155=FACE_OUTER_BOUND('',#54210,.T.); #34156=FACE_OUTER_BOUND('',#54211,.T.); #34157=FACE_OUTER_BOUND('',#54212,.T.); #34158=FACE_OUTER_BOUND('',#54213,.T.); #34159=FACE_OUTER_BOUND('',#54214,.T.); #34160=FACE_OUTER_BOUND('',#54215,.T.); #34161=FACE_OUTER_BOUND('',#54216,.T.); #34162=FACE_OUTER_BOUND('',#54217,.T.); #34163=FACE_OUTER_BOUND('',#54218,.T.); #34164=FACE_OUTER_BOUND('',#54219,.T.); #34165=FACE_OUTER_BOUND('',#54220,.T.); #34166=FACE_OUTER_BOUND('',#54221,.T.); #34167=FACE_OUTER_BOUND('',#54222,.T.); #34168=FACE_OUTER_BOUND('',#54223,.T.); #34169=FACE_OUTER_BOUND('',#54224,.T.); #34170=FACE_OUTER_BOUND('',#54225,.T.); #34171=FACE_OUTER_BOUND('',#54226,.T.); #34172=FACE_OUTER_BOUND('',#54227,.T.); #34173=FACE_OUTER_BOUND('',#54228,.T.); #34174=FACE_OUTER_BOUND('',#54229,.T.); #34175=FACE_OUTER_BOUND('',#54230,.T.); #34176=FACE_OUTER_BOUND('',#54231,.T.); #34177=FACE_OUTER_BOUND('',#54232,.T.); #34178=FACE_OUTER_BOUND('',#54233,.T.); #34179=FACE_OUTER_BOUND('',#54234,.T.); #34180=FACE_OUTER_BOUND('',#54235,.T.); #34181=FACE_OUTER_BOUND('',#54236,.T.); #34182=FACE_OUTER_BOUND('',#54237,.T.); #34183=FACE_OUTER_BOUND('',#54238,.T.); #34184=FACE_OUTER_BOUND('',#54239,.T.); #34185=FACE_OUTER_BOUND('',#54240,.T.); #34186=FACE_OUTER_BOUND('',#54241,.T.); #34187=FACE_OUTER_BOUND('',#54242,.T.); #34188=FACE_OUTER_BOUND('',#54243,.T.); #34189=FACE_OUTER_BOUND('',#54244,.T.); #34190=FACE_OUTER_BOUND('',#54245,.T.); #34191=FACE_OUTER_BOUND('',#54246,.T.); #34192=FACE_OUTER_BOUND('',#54247,.T.); #34193=FACE_OUTER_BOUND('',#54248,.T.); #34194=FACE_OUTER_BOUND('',#54249,.T.); #34195=FACE_OUTER_BOUND('',#54250,.T.); #34196=FACE_OUTER_BOUND('',#54251,.T.); #34197=FACE_OUTER_BOUND('',#54252,.T.); #34198=FACE_OUTER_BOUND('',#54253,.T.); #34199=FACE_OUTER_BOUND('',#54254,.T.); #34200=FACE_OUTER_BOUND('',#54255,.T.); #34201=FACE_OUTER_BOUND('',#54256,.T.); #34202=FACE_OUTER_BOUND('',#54257,.T.); #34203=FACE_OUTER_BOUND('',#54258,.T.); #34204=FACE_OUTER_BOUND('',#54259,.T.); #34205=FACE_OUTER_BOUND('',#54260,.T.); #34206=FACE_OUTER_BOUND('',#54261,.T.); #34207=FACE_OUTER_BOUND('',#54262,.T.); #34208=FACE_OUTER_BOUND('',#54263,.T.); #34209=FACE_OUTER_BOUND('',#54264,.T.); #34210=FACE_OUTER_BOUND('',#54265,.T.); #34211=FACE_OUTER_BOUND('',#54266,.T.); #34212=FACE_OUTER_BOUND('',#54267,.T.); #34213=FACE_OUTER_BOUND('',#54268,.T.); #34214=FACE_OUTER_BOUND('',#54269,.T.); #34215=FACE_OUTER_BOUND('',#54270,.T.); #34216=FACE_OUTER_BOUND('',#54271,.T.); #34217=FACE_OUTER_BOUND('',#54272,.T.); #34218=FACE_OUTER_BOUND('',#54273,.T.); #34219=FACE_OUTER_BOUND('',#54274,.T.); #34220=FACE_OUTER_BOUND('',#54275,.T.); #34221=FACE_OUTER_BOUND('',#54276,.T.); #34222=FACE_OUTER_BOUND('',#54277,.T.); #34223=FACE_OUTER_BOUND('',#54278,.T.); #34224=FACE_OUTER_BOUND('',#54279,.T.); #34225=FACE_OUTER_BOUND('',#54280,.T.); #34226=FACE_OUTER_BOUND('',#54281,.T.); #34227=FACE_OUTER_BOUND('',#54282,.T.); #34228=FACE_OUTER_BOUND('',#54283,.T.); #34229=FACE_OUTER_BOUND('',#54284,.T.); #34230=FACE_OUTER_BOUND('',#54285,.T.); #34231=FACE_OUTER_BOUND('',#54286,.T.); #34232=FACE_OUTER_BOUND('',#54287,.T.); #34233=FACE_OUTER_BOUND('',#54288,.T.); #34234=FACE_OUTER_BOUND('',#54289,.T.); #34235=FACE_OUTER_BOUND('',#54290,.T.); #34236=FACE_OUTER_BOUND('',#54291,.T.); #34237=FACE_OUTER_BOUND('',#54292,.T.); #34238=FACE_OUTER_BOUND('',#54293,.T.); #34239=FACE_OUTER_BOUND('',#54294,.T.); #34240=FACE_OUTER_BOUND('',#54295,.T.); #34241=FACE_OUTER_BOUND('',#54296,.T.); #34242=FACE_OUTER_BOUND('',#54297,.T.); #34243=FACE_OUTER_BOUND('',#54298,.T.); #34244=FACE_OUTER_BOUND('',#54299,.T.); #34245=FACE_OUTER_BOUND('',#54300,.T.); #34246=FACE_OUTER_BOUND('',#54301,.T.); #34247=FACE_OUTER_BOUND('',#54302,.T.); #34248=FACE_OUTER_BOUND('',#54303,.T.); #34249=FACE_OUTER_BOUND('',#54304,.T.); #34250=FACE_OUTER_BOUND('',#54305,.T.); #34251=FACE_OUTER_BOUND('',#54306,.T.); #34252=FACE_OUTER_BOUND('',#54307,.T.); #34253=FACE_OUTER_BOUND('',#54308,.T.); #34254=FACE_OUTER_BOUND('',#54309,.T.); #34255=FACE_OUTER_BOUND('',#54310,.T.); #34256=FACE_OUTER_BOUND('',#54311,.T.); #34257=FACE_OUTER_BOUND('',#54312,.T.); #34258=FACE_OUTER_BOUND('',#54313,.T.); #34259=FACE_OUTER_BOUND('',#54314,.T.); #34260=FACE_OUTER_BOUND('',#54315,.T.); #34261=FACE_OUTER_BOUND('',#54316,.T.); #34262=FACE_OUTER_BOUND('',#54317,.T.); #34263=FACE_OUTER_BOUND('',#54318,.T.); #34264=FACE_OUTER_BOUND('',#54319,.T.); #34265=FACE_OUTER_BOUND('',#54320,.T.); #34266=FACE_OUTER_BOUND('',#54321,.T.); #34267=FACE_OUTER_BOUND('',#54322,.T.); #34268=FACE_OUTER_BOUND('',#54323,.T.); #34269=FACE_OUTER_BOUND('',#54324,.T.); #34270=FACE_OUTER_BOUND('',#54325,.T.); #34271=FACE_OUTER_BOUND('',#54326,.T.); #34272=FACE_OUTER_BOUND('',#54327,.T.); #34273=FACE_OUTER_BOUND('',#54328,.T.); #34274=FACE_OUTER_BOUND('',#54329,.T.); #34275=FACE_OUTER_BOUND('',#54330,.T.); #34276=FACE_OUTER_BOUND('',#54331,.T.); #34277=FACE_OUTER_BOUND('',#54332,.T.); #34278=FACE_OUTER_BOUND('',#54333,.T.); #34279=FACE_OUTER_BOUND('',#54334,.T.); #34280=FACE_OUTER_BOUND('',#54335,.T.); #34281=FACE_OUTER_BOUND('',#54336,.T.); #34282=FACE_OUTER_BOUND('',#54337,.T.); #34283=FACE_OUTER_BOUND('',#54338,.T.); #34284=FACE_OUTER_BOUND('',#54339,.T.); #34285=FACE_OUTER_BOUND('',#54340,.T.); #34286=FACE_OUTER_BOUND('',#54341,.T.); #34287=FACE_OUTER_BOUND('',#54342,.T.); #34288=FACE_OUTER_BOUND('',#54343,.T.); #34289=FACE_OUTER_BOUND('',#54344,.T.); #34290=FACE_OUTER_BOUND('',#54345,.T.); #34291=FACE_OUTER_BOUND('',#54346,.T.); #34292=FACE_OUTER_BOUND('',#54347,.T.); #34293=FACE_OUTER_BOUND('',#54348,.T.); #34294=FACE_OUTER_BOUND('',#54349,.T.); #34295=FACE_OUTER_BOUND('',#54350,.T.); #34296=FACE_OUTER_BOUND('',#54351,.T.); #34297=FACE_OUTER_BOUND('',#54352,.T.); #34298=FACE_OUTER_BOUND('',#54353,.T.); #34299=FACE_OUTER_BOUND('',#54354,.T.); #34300=FACE_OUTER_BOUND('',#54355,.T.); #34301=FACE_OUTER_BOUND('',#54356,.T.); #34302=FACE_OUTER_BOUND('',#54357,.T.); #34303=FACE_OUTER_BOUND('',#54358,.T.); #34304=FACE_OUTER_BOUND('',#54359,.T.); #34305=FACE_OUTER_BOUND('',#54360,.T.); #34306=FACE_OUTER_BOUND('',#54361,.T.); #34307=FACE_OUTER_BOUND('',#54362,.T.); #34308=FACE_OUTER_BOUND('',#54363,.T.); #34309=FACE_OUTER_BOUND('',#54364,.T.); #34310=FACE_OUTER_BOUND('',#54365,.T.); #34311=FACE_OUTER_BOUND('',#54366,.T.); #34312=FACE_OUTER_BOUND('',#54367,.T.); #34313=FACE_OUTER_BOUND('',#54368,.T.); #34314=FACE_OUTER_BOUND('',#54369,.T.); #34315=FACE_OUTER_BOUND('',#54370,.T.); #34316=FACE_OUTER_BOUND('',#54371,.T.); #34317=FACE_OUTER_BOUND('',#54372,.T.); #34318=FACE_OUTER_BOUND('',#54373,.T.); #34319=FACE_OUTER_BOUND('',#54374,.T.); #34320=FACE_OUTER_BOUND('',#54375,.T.); #34321=FACE_OUTER_BOUND('',#54376,.T.); #34322=FACE_OUTER_BOUND('',#54377,.T.); #34323=FACE_OUTER_BOUND('',#54378,.T.); #34324=FACE_OUTER_BOUND('',#54379,.T.); #34325=FACE_OUTER_BOUND('',#54697,.T.); #34326=FACE_OUTER_BOUND('',#55015,.T.); #34327=FACE_OUTER_BOUND('',#55016,.T.); #34328=FACE_OUTER_BOUND('',#55017,.T.); #34329=FACE_OUTER_BOUND('',#55018,.T.); #34330=FACE_OUTER_BOUND('',#55019,.T.); #34331=FACE_OUTER_BOUND('',#55020,.T.); #34332=FACE_OUTER_BOUND('',#55021,.T.); #34333=FACE_OUTER_BOUND('',#55022,.T.); #34334=FACE_OUTER_BOUND('',#55023,.T.); #34335=FACE_OUTER_BOUND('',#55024,.T.); #34336=FACE_OUTER_BOUND('',#55025,.T.); #34337=FACE_OUTER_BOUND('',#55026,.T.); #34338=FACE_OUTER_BOUND('',#55027,.T.); #34339=FACE_OUTER_BOUND('',#55028,.T.); #34340=FACE_OUTER_BOUND('',#55029,.T.); #34341=FACE_OUTER_BOUND('',#55030,.T.); #34342=FACE_OUTER_BOUND('',#55031,.T.); #34343=FACE_OUTER_BOUND('',#55032,.T.); #34344=FACE_OUTER_BOUND('',#55033,.T.); #34345=FACE_OUTER_BOUND('',#55034,.T.); #34346=FACE_OUTER_BOUND('',#55035,.T.); #34347=FACE_OUTER_BOUND('',#55036,.T.); #34348=FACE_OUTER_BOUND('',#55037,.T.); #34349=FACE_OUTER_BOUND('',#55038,.T.); #34350=FACE_OUTER_BOUND('',#55039,.T.); #34351=FACE_OUTER_BOUND('',#55040,.T.); #34352=FACE_OUTER_BOUND('',#55041,.T.); #34353=FACE_OUTER_BOUND('',#55042,.T.); #34354=FACE_OUTER_BOUND('',#55043,.T.); #34355=FACE_OUTER_BOUND('',#55044,.T.); #34356=FACE_OUTER_BOUND('',#55045,.T.); #34357=FACE_OUTER_BOUND('',#55046,.T.); #34358=FACE_OUTER_BOUND('',#55047,.T.); #34359=FACE_OUTER_BOUND('',#55048,.T.); #34360=FACE_OUTER_BOUND('',#55049,.T.); #34361=FACE_OUTER_BOUND('',#55050,.T.); #34362=FACE_OUTER_BOUND('',#55051,.T.); #34363=FACE_OUTER_BOUND('',#55052,.T.); #34364=FACE_OUTER_BOUND('',#55053,.T.); #34365=FACE_OUTER_BOUND('',#55054,.T.); #34366=FACE_OUTER_BOUND('',#55055,.T.); #34367=FACE_OUTER_BOUND('',#55056,.T.); #34368=FACE_OUTER_BOUND('',#55057,.T.); #34369=FACE_OUTER_BOUND('',#55058,.T.); #34370=FACE_OUTER_BOUND('',#55059,.T.); #34371=FACE_OUTER_BOUND('',#55060,.T.); #34372=FACE_OUTER_BOUND('',#55061,.T.); #34373=FACE_OUTER_BOUND('',#55062,.T.); #34374=FACE_OUTER_BOUND('',#55063,.T.); #34375=FACE_OUTER_BOUND('',#55064,.T.); #34376=FACE_OUTER_BOUND('',#55065,.T.); #34377=FACE_OUTER_BOUND('',#55066,.T.); #34378=FACE_OUTER_BOUND('',#55067,.T.); #34379=FACE_OUTER_BOUND('',#55068,.T.); #34380=FACE_OUTER_BOUND('',#55069,.T.); #34381=FACE_OUTER_BOUND('',#55070,.T.); #34382=FACE_OUTER_BOUND('',#55071,.T.); #34383=FACE_OUTER_BOUND('',#55072,.T.); #34384=FACE_OUTER_BOUND('',#55073,.T.); #34385=FACE_OUTER_BOUND('',#55074,.T.); #34386=FACE_OUTER_BOUND('',#55075,.T.); #34387=FACE_OUTER_BOUND('',#55076,.T.); #34388=FACE_OUTER_BOUND('',#55077,.T.); #34389=FACE_OUTER_BOUND('',#55078,.T.); #34390=FACE_OUTER_BOUND('',#55079,.T.); #34391=FACE_OUTER_BOUND('',#55080,.T.); #34392=FACE_OUTER_BOUND('',#55081,.T.); #34393=FACE_OUTER_BOUND('',#55082,.T.); #34394=FACE_OUTER_BOUND('',#55083,.T.); #34395=FACE_OUTER_BOUND('',#55084,.T.); #34396=FACE_OUTER_BOUND('',#55085,.T.); #34397=FACE_OUTER_BOUND('',#55086,.T.); #34398=FACE_OUTER_BOUND('',#55087,.T.); #34399=FACE_OUTER_BOUND('',#55088,.T.); #34400=FACE_OUTER_BOUND('',#55089,.T.); #34401=FACE_OUTER_BOUND('',#55090,.T.); #34402=FACE_OUTER_BOUND('',#55091,.T.); #34403=FACE_OUTER_BOUND('',#55092,.T.); #34404=FACE_OUTER_BOUND('',#55093,.T.); #34405=FACE_OUTER_BOUND('',#55094,.T.); #34406=FACE_OUTER_BOUND('',#55095,.T.); #34407=FACE_OUTER_BOUND('',#55096,.T.); #34408=FACE_OUTER_BOUND('',#55097,.T.); #34409=FACE_OUTER_BOUND('',#55098,.T.); #34410=FACE_OUTER_BOUND('',#55099,.T.); #34411=FACE_OUTER_BOUND('',#55100,.T.); #34412=FACE_OUTER_BOUND('',#55101,.T.); #34413=FACE_OUTER_BOUND('',#55102,.T.); #34414=FACE_OUTER_BOUND('',#55103,.T.); #34415=FACE_OUTER_BOUND('',#55104,.T.); #34416=FACE_OUTER_BOUND('',#55105,.T.); #34417=FACE_OUTER_BOUND('',#55106,.T.); #34418=FACE_OUTER_BOUND('',#55107,.T.); #34419=FACE_OUTER_BOUND('',#55108,.T.); #34420=FACE_OUTER_BOUND('',#55109,.T.); #34421=FACE_OUTER_BOUND('',#55110,.T.); #34422=FACE_OUTER_BOUND('',#55111,.T.); #34423=FACE_OUTER_BOUND('',#55112,.T.); #34424=FACE_OUTER_BOUND('',#55113,.T.); #34425=FACE_OUTER_BOUND('',#55114,.T.); #34426=FACE_OUTER_BOUND('',#55115,.T.); #34427=FACE_OUTER_BOUND('',#55116,.T.); #34428=FACE_OUTER_BOUND('',#55117,.T.); #34429=FACE_OUTER_BOUND('',#55118,.T.); #34430=FACE_OUTER_BOUND('',#55119,.T.); #34431=FACE_OUTER_BOUND('',#55120,.T.); #34432=FACE_OUTER_BOUND('',#55121,.T.); #34433=FACE_OUTER_BOUND('',#55122,.T.); #34434=FACE_OUTER_BOUND('',#55123,.T.); #34435=FACE_OUTER_BOUND('',#55124,.T.); #34436=FACE_OUTER_BOUND('',#55125,.T.); #34437=FACE_OUTER_BOUND('',#55126,.T.); #34438=FACE_OUTER_BOUND('',#55127,.T.); #34439=FACE_OUTER_BOUND('',#55128,.T.); #34440=FACE_OUTER_BOUND('',#55129,.T.); #34441=FACE_OUTER_BOUND('',#55130,.T.); #34442=FACE_OUTER_BOUND('',#55131,.T.); #34443=FACE_OUTER_BOUND('',#55132,.T.); #34444=FACE_OUTER_BOUND('',#55133,.T.); #34445=FACE_OUTER_BOUND('',#55134,.T.); #34446=FACE_OUTER_BOUND('',#55135,.T.); #34447=FACE_OUTER_BOUND('',#55136,.T.); #34448=FACE_OUTER_BOUND('',#55137,.T.); #34449=FACE_OUTER_BOUND('',#55138,.T.); #34450=FACE_OUTER_BOUND('',#55139,.T.); #34451=FACE_OUTER_BOUND('',#55140,.T.); #34452=FACE_OUTER_BOUND('',#55141,.T.); #34453=FACE_OUTER_BOUND('',#55142,.T.); #34454=FACE_OUTER_BOUND('',#55143,.T.); #34455=FACE_OUTER_BOUND('',#55144,.T.); #34456=FACE_OUTER_BOUND('',#55145,.T.); #34457=FACE_OUTER_BOUND('',#55146,.T.); #34458=FACE_OUTER_BOUND('',#55147,.T.); #34459=FACE_OUTER_BOUND('',#55148,.T.); #34460=FACE_OUTER_BOUND('',#55149,.T.); #34461=FACE_OUTER_BOUND('',#55150,.T.); #34462=FACE_OUTER_BOUND('',#55151,.T.); #34463=FACE_OUTER_BOUND('',#55152,.T.); #34464=FACE_OUTER_BOUND('',#55153,.T.); #34465=FACE_OUTER_BOUND('',#55154,.T.); #34466=FACE_OUTER_BOUND('',#55155,.T.); #34467=FACE_OUTER_BOUND('',#55156,.T.); #34468=FACE_OUTER_BOUND('',#55157,.T.); #34469=FACE_OUTER_BOUND('',#55158,.T.); #34470=FACE_OUTER_BOUND('',#55159,.T.); #34471=FACE_OUTER_BOUND('',#55160,.T.); #34472=FACE_OUTER_BOUND('',#55161,.T.); #34473=FACE_OUTER_BOUND('',#55162,.T.); #34474=FACE_OUTER_BOUND('',#55163,.T.); #34475=FACE_OUTER_BOUND('',#55164,.T.); #34476=FACE_OUTER_BOUND('',#55165,.T.); #34477=FACE_OUTER_BOUND('',#55166,.T.); #34478=FACE_OUTER_BOUND('',#55167,.T.); #34479=FACE_OUTER_BOUND('',#55168,.T.); #34480=FACE_OUTER_BOUND('',#55169,.T.); #34481=FACE_OUTER_BOUND('',#55170,.T.); #34482=FACE_OUTER_BOUND('',#55171,.T.); #34483=FACE_OUTER_BOUND('',#55172,.T.); #34484=FACE_OUTER_BOUND('',#55173,.T.); #34485=FACE_OUTER_BOUND('',#55174,.T.); #34486=FACE_OUTER_BOUND('',#55175,.T.); #34487=FACE_OUTER_BOUND('',#55176,.T.); #34488=FACE_OUTER_BOUND('',#55177,.T.); #34489=FACE_OUTER_BOUND('',#55178,.T.); #34490=FACE_OUTER_BOUND('',#55179,.T.); #34491=FACE_OUTER_BOUND('',#55180,.T.); #34492=FACE_OUTER_BOUND('',#55181,.T.); #34493=FACE_OUTER_BOUND('',#55182,.T.); #34494=FACE_OUTER_BOUND('',#55183,.T.); #34495=FACE_OUTER_BOUND('',#55184,.T.); #34496=FACE_OUTER_BOUND('',#55185,.T.); #34497=FACE_OUTER_BOUND('',#55186,.T.); #34498=FACE_OUTER_BOUND('',#55187,.T.); #34499=FACE_OUTER_BOUND('',#55188,.T.); #34500=FACE_OUTER_BOUND('',#55189,.T.); #34501=FACE_OUTER_BOUND('',#55190,.T.); #34502=FACE_OUTER_BOUND('',#55191,.T.); #34503=FACE_OUTER_BOUND('',#55192,.T.); #34504=FACE_OUTER_BOUND('',#55193,.T.); #34505=FACE_OUTER_BOUND('',#55194,.T.); #34506=FACE_OUTER_BOUND('',#55195,.T.); #34507=FACE_OUTER_BOUND('',#55196,.T.); #34508=FACE_OUTER_BOUND('',#55197,.T.); #34509=FACE_OUTER_BOUND('',#55198,.T.); #34510=FACE_OUTER_BOUND('',#55199,.T.); #34511=FACE_OUTER_BOUND('',#55200,.T.); #34512=FACE_OUTER_BOUND('',#55201,.T.); #34513=FACE_OUTER_BOUND('',#55202,.T.); #34514=FACE_OUTER_BOUND('',#55203,.T.); #34515=FACE_OUTER_BOUND('',#55204,.T.); #34516=FACE_OUTER_BOUND('',#55205,.T.); #34517=FACE_OUTER_BOUND('',#55206,.T.); #34518=FACE_OUTER_BOUND('',#55207,.T.); #34519=FACE_OUTER_BOUND('',#55208,.T.); #34520=FACE_OUTER_BOUND('',#55209,.T.); #34521=FACE_OUTER_BOUND('',#55210,.T.); #34522=FACE_OUTER_BOUND('',#55211,.T.); #34523=FACE_OUTER_BOUND('',#55212,.T.); #34524=FACE_OUTER_BOUND('',#55213,.T.); #34525=FACE_OUTER_BOUND('',#55214,.T.); #34526=FACE_OUTER_BOUND('',#55215,.T.); #34527=FACE_OUTER_BOUND('',#55216,.T.); #34528=FACE_OUTER_BOUND('',#55217,.T.); #34529=FACE_OUTER_BOUND('',#55218,.T.); #34530=FACE_OUTER_BOUND('',#55219,.T.); #34531=FACE_OUTER_BOUND('',#55220,.T.); #34532=FACE_OUTER_BOUND('',#55221,.T.); #34533=FACE_OUTER_BOUND('',#55222,.T.); #34534=FACE_OUTER_BOUND('',#55223,.T.); #34535=FACE_OUTER_BOUND('',#55224,.T.); #34536=FACE_OUTER_BOUND('',#55225,.T.); #34537=FACE_OUTER_BOUND('',#55226,.T.); #34538=FACE_OUTER_BOUND('',#55227,.T.); #34539=FACE_OUTER_BOUND('',#55228,.T.); #34540=FACE_OUTER_BOUND('',#55229,.T.); #34541=FACE_OUTER_BOUND('',#55230,.T.); #34542=FACE_OUTER_BOUND('',#55231,.T.); #34543=FACE_OUTER_BOUND('',#55232,.T.); #34544=FACE_OUTER_BOUND('',#55233,.T.); #34545=FACE_OUTER_BOUND('',#55234,.T.); #34546=FACE_OUTER_BOUND('',#55235,.T.); #34547=FACE_OUTER_BOUND('',#55236,.T.); #34548=FACE_OUTER_BOUND('',#55237,.T.); #34549=FACE_OUTER_BOUND('',#55238,.T.); #34550=FACE_OUTER_BOUND('',#55239,.T.); #34551=FACE_OUTER_BOUND('',#55240,.T.); #34552=FACE_OUTER_BOUND('',#55241,.T.); #34553=FACE_OUTER_BOUND('',#55242,.T.); #34554=FACE_OUTER_BOUND('',#55243,.T.); #34555=FACE_OUTER_BOUND('',#55244,.T.); #34556=FACE_OUTER_BOUND('',#55245,.T.); #34557=FACE_OUTER_BOUND('',#55246,.T.); #34558=FACE_OUTER_BOUND('',#55247,.T.); #34559=FACE_OUTER_BOUND('',#55248,.T.); #34560=FACE_OUTER_BOUND('',#55249,.T.); #34561=FACE_OUTER_BOUND('',#55250,.T.); #34562=FACE_OUTER_BOUND('',#55251,.T.); #34563=FACE_OUTER_BOUND('',#55252,.T.); #34564=FACE_OUTER_BOUND('',#55253,.T.); #34565=FACE_OUTER_BOUND('',#55254,.T.); #34566=FACE_OUTER_BOUND('',#55255,.T.); #34567=FACE_OUTER_BOUND('',#55256,.T.); #34568=FACE_OUTER_BOUND('',#55257,.T.); #34569=FACE_OUTER_BOUND('',#55258,.T.); #34570=FACE_OUTER_BOUND('',#55259,.T.); #34571=FACE_OUTER_BOUND('',#55260,.T.); #34572=FACE_OUTER_BOUND('',#55261,.T.); #34573=FACE_OUTER_BOUND('',#55262,.T.); #34574=FACE_OUTER_BOUND('',#55263,.T.); #34575=FACE_OUTER_BOUND('',#55264,.T.); #34576=FACE_OUTER_BOUND('',#55265,.T.); #34577=FACE_OUTER_BOUND('',#55266,.T.); #34578=FACE_OUTER_BOUND('',#55267,.T.); #34579=FACE_OUTER_BOUND('',#55268,.T.); #34580=FACE_OUTER_BOUND('',#55269,.T.); #34581=FACE_OUTER_BOUND('',#55270,.T.); #34582=FACE_OUTER_BOUND('',#55271,.T.); #34583=FACE_OUTER_BOUND('',#55272,.T.); #34584=FACE_OUTER_BOUND('',#55273,.T.); #34585=FACE_OUTER_BOUND('',#55274,.T.); #34586=FACE_OUTER_BOUND('',#55275,.T.); #34587=FACE_OUTER_BOUND('',#55276,.T.); #34588=FACE_OUTER_BOUND('',#55277,.T.); #34589=FACE_OUTER_BOUND('',#55278,.T.); #34590=FACE_OUTER_BOUND('',#55279,.T.); #34591=FACE_OUTER_BOUND('',#55280,.T.); #34592=FACE_OUTER_BOUND('',#55281,.T.); #34593=FACE_OUTER_BOUND('',#55282,.T.); #34594=FACE_OUTER_BOUND('',#55283,.T.); #34595=FACE_OUTER_BOUND('',#55284,.T.); #34596=FACE_OUTER_BOUND('',#55285,.T.); #34597=FACE_OUTER_BOUND('',#55286,.T.); #34598=FACE_OUTER_BOUND('',#55287,.T.); #34599=FACE_OUTER_BOUND('',#55288,.T.); #34600=FACE_OUTER_BOUND('',#55289,.T.); #34601=FACE_OUTER_BOUND('',#55290,.T.); #34602=FACE_OUTER_BOUND('',#55291,.T.); #34603=FACE_OUTER_BOUND('',#55292,.T.); #34604=FACE_OUTER_BOUND('',#55293,.T.); #34605=FACE_OUTER_BOUND('',#55294,.T.); #34606=FACE_OUTER_BOUND('',#55295,.T.); #34607=FACE_OUTER_BOUND('',#55296,.T.); #34608=FACE_OUTER_BOUND('',#55297,.T.); #34609=FACE_OUTER_BOUND('',#55298,.T.); #34610=FACE_OUTER_BOUND('',#55299,.T.); #34611=FACE_OUTER_BOUND('',#55300,.T.); #34612=FACE_OUTER_BOUND('',#55301,.T.); #34613=FACE_OUTER_BOUND('',#55302,.T.); #34614=FACE_OUTER_BOUND('',#55303,.T.); #34615=FACE_OUTER_BOUND('',#55304,.T.); #34616=FACE_OUTER_BOUND('',#55305,.T.); #34617=FACE_OUTER_BOUND('',#55306,.T.); #34618=FACE_OUTER_BOUND('',#55307,.T.); #34619=FACE_OUTER_BOUND('',#55308,.T.); #34620=FACE_OUTER_BOUND('',#55309,.T.); #34621=FACE_OUTER_BOUND('',#55310,.T.); #34622=FACE_OUTER_BOUND('',#55311,.T.); #34623=FACE_OUTER_BOUND('',#55312,.T.); #34624=FACE_OUTER_BOUND('',#55313,.T.); #34625=FACE_OUTER_BOUND('',#55314,.T.); #34626=FACE_OUTER_BOUND('',#55315,.T.); #34627=FACE_OUTER_BOUND('',#55316,.T.); #34628=FACE_OUTER_BOUND('',#55317,.T.); #34629=FACE_OUTER_BOUND('',#55318,.T.); #34630=FACE_OUTER_BOUND('',#55319,.T.); #34631=FACE_OUTER_BOUND('',#55320,.T.); #34632=FACE_OUTER_BOUND('',#55321,.T.); #34633=FACE_OUTER_BOUND('',#55322,.T.); #34634=FACE_OUTER_BOUND('',#55323,.T.); #34635=FACE_OUTER_BOUND('',#55324,.T.); #34636=FACE_OUTER_BOUND('',#55325,.T.); #34637=FACE_OUTER_BOUND('',#55326,.T.); #34638=FACE_OUTER_BOUND('',#55327,.T.); #34639=FACE_OUTER_BOUND('',#55328,.T.); #34640=FACE_OUTER_BOUND('',#55329,.T.); #34641=FACE_OUTER_BOUND('',#55330,.T.); #34642=FACE_OUTER_BOUND('',#55331,.T.); #34643=FACE_OUTER_BOUND('',#55332,.T.); #34644=FACE_OUTER_BOUND('',#55333,.T.); #34645=FACE_OUTER_BOUND('',#55334,.T.); #34646=FACE_OUTER_BOUND('',#55335,.T.); #34647=FACE_OUTER_BOUND('',#55336,.T.); #34648=FACE_OUTER_BOUND('',#55337,.T.); #34649=FACE_OUTER_BOUND('',#55338,.T.); #34650=FACE_OUTER_BOUND('',#55339,.T.); #34651=FACE_OUTER_BOUND('',#55340,.T.); #34652=FACE_OUTER_BOUND('',#55341,.T.); #34653=FACE_OUTER_BOUND('',#55342,.T.); #34654=FACE_OUTER_BOUND('',#55343,.T.); #34655=FACE_OUTER_BOUND('',#55344,.T.); #34656=FACE_OUTER_BOUND('',#55345,.T.); #34657=FACE_OUTER_BOUND('',#55346,.T.); #34658=FACE_OUTER_BOUND('',#55347,.T.); #34659=FACE_OUTER_BOUND('',#55348,.T.); #34660=FACE_OUTER_BOUND('',#55349,.T.); #34661=FACE_OUTER_BOUND('',#55350,.T.); #34662=FACE_OUTER_BOUND('',#55351,.T.); #34663=FACE_OUTER_BOUND('',#55669,.T.); #34664=FACE_OUTER_BOUND('',#55987,.T.); #34665=FACE_OUTER_BOUND('',#55988,.T.); #34666=FACE_OUTER_BOUND('',#55989,.T.); #34667=FACE_OUTER_BOUND('',#55990,.T.); #34668=FACE_OUTER_BOUND('',#55991,.T.); #34669=FACE_OUTER_BOUND('',#55992,.T.); #34670=FACE_OUTER_BOUND('',#55993,.T.); #34671=FACE_OUTER_BOUND('',#55998,.T.); #34672=FACE_OUTER_BOUND('',#56000,.T.); #34673=FACE_OUTER_BOUND('',#56002,.T.); #34674=FACE_OUTER_BOUND('',#56004,.T.); #34675=FACE_OUTER_BOUND('',#56005,.T.); #34676=FACE_OUTER_BOUND('',#56006,.T.); #34677=FACE_OUTER_BOUND('',#56008,.T.); #34678=FACE_OUTER_BOUND('',#56009,.T.); #34679=FACE_OUTER_BOUND('',#56010,.T.); #34680=FACE_OUTER_BOUND('',#56011,.T.); #34681=FACE_OUTER_BOUND('',#56012,.T.); #34682=FACE_OUTER_BOUND('',#56013,.T.); #34683=FACE_OUTER_BOUND('',#56014,.T.); #34684=FACE_OUTER_BOUND('',#56015,.T.); #34685=FACE_OUTER_BOUND('',#56016,.T.); #34686=FACE_OUTER_BOUND('',#56017,.T.); #34687=FACE_OUTER_BOUND('',#56018,.T.); #34688=FACE_OUTER_BOUND('',#56019,.T.); #34689=FACE_OUTER_BOUND('',#56020,.T.); #34690=FACE_OUTER_BOUND('',#56021,.T.); #34691=FACE_OUTER_BOUND('',#56022,.T.); #34692=FACE_OUTER_BOUND('',#56023,.T.); #34693=FACE_OUTER_BOUND('',#56024,.T.); #34694=FACE_OUTER_BOUND('',#56025,.T.); #34695=FACE_OUTER_BOUND('',#56026,.T.); #34696=FACE_OUTER_BOUND('',#56027,.T.); #34697=FACE_OUTER_BOUND('',#56028,.T.); #34698=FACE_OUTER_BOUND('',#56029,.T.); #34699=FACE_OUTER_BOUND('',#56030,.T.); #34700=FACE_OUTER_BOUND('',#56031,.T.); #34701=FACE_OUTER_BOUND('',#56032,.T.); #34702=FACE_OUTER_BOUND('',#56033,.T.); #34703=FACE_OUTER_BOUND('',#56034,.T.); #34704=FACE_OUTER_BOUND('',#56035,.T.); #34705=FACE_OUTER_BOUND('',#56036,.T.); #34706=FACE_OUTER_BOUND('',#56037,.T.); #34707=FACE_OUTER_BOUND('',#56038,.T.); #34708=FACE_OUTER_BOUND('',#56039,.T.); #34709=FACE_OUTER_BOUND('',#56040,.T.); #34710=FACE_OUTER_BOUND('',#56041,.T.); #34711=FACE_OUTER_BOUND('',#56042,.T.); #34712=FACE_OUTER_BOUND('',#56043,.T.); #34713=FACE_OUTER_BOUND('',#56044,.T.); #34714=FACE_OUTER_BOUND('',#56045,.T.); #34715=FACE_OUTER_BOUND('',#56046,.T.); #34716=FACE_OUTER_BOUND('',#56047,.T.); #34717=FACE_OUTER_BOUND('',#56048,.T.); #34718=FACE_OUTER_BOUND('',#56049,.T.); #34719=FACE_OUTER_BOUND('',#56050,.T.); #34720=FACE_OUTER_BOUND('',#56051,.T.); #34721=FACE_OUTER_BOUND('',#56052,.T.); #34722=FACE_OUTER_BOUND('',#56053,.T.); #34723=FACE_OUTER_BOUND('',#56054,.T.); #34724=FACE_OUTER_BOUND('',#56055,.T.); #34725=FACE_OUTER_BOUND('',#56056,.T.); #34726=FACE_OUTER_BOUND('',#56057,.T.); #34727=FACE_OUTER_BOUND('',#56058,.T.); #34728=FACE_OUTER_BOUND('',#56059,.T.); #34729=FACE_OUTER_BOUND('',#56060,.T.); #34730=FACE_OUTER_BOUND('',#56061,.T.); #34731=FACE_OUTER_BOUND('',#56062,.T.); #34732=FACE_OUTER_BOUND('',#56063,.T.); #34733=FACE_OUTER_BOUND('',#56064,.T.); #34734=FACE_OUTER_BOUND('',#56065,.T.); #34735=FACE_OUTER_BOUND('',#56066,.T.); #34736=FACE_OUTER_BOUND('',#56067,.T.); #34737=FACE_OUTER_BOUND('',#56068,.T.); #34738=FACE_OUTER_BOUND('',#56069,.T.); #34739=FACE_OUTER_BOUND('',#56070,.T.); #34740=FACE_OUTER_BOUND('',#56071,.T.); #34741=FACE_OUTER_BOUND('',#56072,.T.); #34742=FACE_OUTER_BOUND('',#56073,.T.); #34743=FACE_OUTER_BOUND('',#56074,.T.); #34744=FACE_OUTER_BOUND('',#56075,.T.); #34745=FACE_OUTER_BOUND('',#56076,.T.); #34746=FACE_OUTER_BOUND('',#56077,.T.); #34747=FACE_OUTER_BOUND('',#56078,.T.); #34748=FACE_OUTER_BOUND('',#56079,.T.); #34749=FACE_OUTER_BOUND('',#56080,.T.); #34750=FACE_OUTER_BOUND('',#56081,.T.); #34751=FACE_OUTER_BOUND('',#56082,.T.); #34752=FACE_OUTER_BOUND('',#56083,.T.); #34753=FACE_OUTER_BOUND('',#56084,.T.); #34754=FACE_OUTER_BOUND('',#56085,.T.); #34755=FACE_OUTER_BOUND('',#56086,.T.); #34756=FACE_OUTER_BOUND('',#56087,.T.); #34757=FACE_OUTER_BOUND('',#56088,.T.); #34758=FACE_OUTER_BOUND('',#56089,.T.); #34759=FACE_OUTER_BOUND('',#56090,.T.); #34760=FACE_OUTER_BOUND('',#56091,.T.); #34761=FACE_OUTER_BOUND('',#56092,.T.); #34762=FACE_OUTER_BOUND('',#56093,.T.); #34763=FACE_OUTER_BOUND('',#56094,.T.); #34764=FACE_OUTER_BOUND('',#56095,.T.); #34765=FACE_OUTER_BOUND('',#56096,.T.); #34766=FACE_OUTER_BOUND('',#56097,.T.); #34767=FACE_OUTER_BOUND('',#56098,.T.); #34768=FACE_OUTER_BOUND('',#56099,.T.); #34769=FACE_OUTER_BOUND('',#56100,.T.); #34770=FACE_OUTER_BOUND('',#56101,.T.); #34771=FACE_OUTER_BOUND('',#56102,.T.); #34772=FACE_OUTER_BOUND('',#56103,.T.); #34773=FACE_OUTER_BOUND('',#56104,.T.); #34774=FACE_OUTER_BOUND('',#56105,.T.); #34775=FACE_OUTER_BOUND('',#56106,.T.); #34776=FACE_OUTER_BOUND('',#56107,.T.); #34777=FACE_OUTER_BOUND('',#56108,.T.); #34778=FACE_OUTER_BOUND('',#56109,.T.); #34779=FACE_OUTER_BOUND('',#56110,.T.); #34780=FACE_OUTER_BOUND('',#56111,.T.); #34781=FACE_OUTER_BOUND('',#56112,.T.); #34782=FACE_OUTER_BOUND('',#56113,.T.); #34783=FACE_OUTER_BOUND('',#56114,.T.); #34784=FACE_OUTER_BOUND('',#56115,.T.); #34785=FACE_OUTER_BOUND('',#56116,.T.); #34786=FACE_OUTER_BOUND('',#56117,.T.); #34787=FACE_OUTER_BOUND('',#56118,.T.); #34788=FACE_OUTER_BOUND('',#56119,.T.); #34789=FACE_OUTER_BOUND('',#56120,.T.); #34790=FACE_OUTER_BOUND('',#56121,.T.); #34791=FACE_OUTER_BOUND('',#56122,.T.); #34792=FACE_OUTER_BOUND('',#56123,.T.); #34793=FACE_OUTER_BOUND('',#56124,.T.); #34794=FACE_OUTER_BOUND('',#56125,.T.); #34795=FACE_OUTER_BOUND('',#56126,.T.); #34796=FACE_OUTER_BOUND('',#56127,.T.); #34797=FACE_OUTER_BOUND('',#56128,.T.); #34798=FACE_OUTER_BOUND('',#56129,.T.); #34799=FACE_OUTER_BOUND('',#56130,.T.); #34800=FACE_OUTER_BOUND('',#56131,.T.); #34801=FACE_OUTER_BOUND('',#56132,.T.); #34802=FACE_OUTER_BOUND('',#56133,.T.); #34803=FACE_OUTER_BOUND('',#56134,.T.); #34804=FACE_OUTER_BOUND('',#56135,.T.); #34805=FACE_OUTER_BOUND('',#56136,.T.); #34806=FACE_OUTER_BOUND('',#56137,.T.); #34807=FACE_OUTER_BOUND('',#56138,.T.); #34808=FACE_OUTER_BOUND('',#56139,.T.); #34809=FACE_OUTER_BOUND('',#56140,.T.); #34810=FACE_OUTER_BOUND('',#56141,.T.); #34811=FACE_OUTER_BOUND('',#56142,.T.); #34812=FACE_OUTER_BOUND('',#56143,.T.); #34813=FACE_OUTER_BOUND('',#56144,.T.); #34814=FACE_OUTER_BOUND('',#56145,.T.); #34815=FACE_OUTER_BOUND('',#56146,.T.); #34816=FACE_OUTER_BOUND('',#56147,.T.); #34817=FACE_OUTER_BOUND('',#56148,.T.); #34818=FACE_OUTER_BOUND('',#56149,.T.); #34819=FACE_OUTER_BOUND('',#56150,.T.); #34820=FACE_OUTER_BOUND('',#56151,.T.); #34821=FACE_OUTER_BOUND('',#56152,.T.); #34822=FACE_OUTER_BOUND('',#56153,.T.); #34823=FACE_OUTER_BOUND('',#56154,.T.); #34824=FACE_OUTER_BOUND('',#56155,.T.); #34825=FACE_OUTER_BOUND('',#56156,.T.); #34826=FACE_OUTER_BOUND('',#56157,.T.); #34827=FACE_OUTER_BOUND('',#56158,.T.); #34828=FACE_OUTER_BOUND('',#56159,.T.); #34829=FACE_OUTER_BOUND('',#56160,.T.); #34830=FACE_OUTER_BOUND('',#56161,.T.); #34831=FACE_OUTER_BOUND('',#56162,.T.); #34832=FACE_OUTER_BOUND('',#56163,.T.); #34833=FACE_OUTER_BOUND('',#56164,.T.); #34834=FACE_OUTER_BOUND('',#56165,.T.); #34835=FACE_OUTER_BOUND('',#56166,.T.); #34836=FACE_OUTER_BOUND('',#56167,.T.); #34837=FACE_OUTER_BOUND('',#56168,.T.); #34838=FACE_OUTER_BOUND('',#56169,.T.); #34839=FACE_OUTER_BOUND('',#56170,.T.); #34840=FACE_OUTER_BOUND('',#56171,.T.); #34841=FACE_OUTER_BOUND('',#56172,.T.); #34842=FACE_OUTER_BOUND('',#56173,.T.); #34843=FACE_OUTER_BOUND('',#56174,.T.); #34844=FACE_OUTER_BOUND('',#56175,.T.); #34845=FACE_OUTER_BOUND('',#56176,.T.); #34846=FACE_OUTER_BOUND('',#56177,.T.); #34847=FACE_OUTER_BOUND('',#56178,.T.); #34848=FACE_OUTER_BOUND('',#56179,.T.); #34849=FACE_OUTER_BOUND('',#56180,.T.); #34850=FACE_OUTER_BOUND('',#56181,.T.); #34851=FACE_OUTER_BOUND('',#56182,.T.); #34852=FACE_OUTER_BOUND('',#56183,.T.); #34853=FACE_OUTER_BOUND('',#56184,.T.); #34854=FACE_OUTER_BOUND('',#56185,.T.); #34855=FACE_OUTER_BOUND('',#56186,.T.); #34856=FACE_OUTER_BOUND('',#56187,.T.); #34857=FACE_OUTER_BOUND('',#56188,.T.); #34858=FACE_OUTER_BOUND('',#56189,.T.); #34859=FACE_OUTER_BOUND('',#56190,.T.); #34860=FACE_OUTER_BOUND('',#56191,.T.); #34861=FACE_OUTER_BOUND('',#56192,.T.); #34862=FACE_OUTER_BOUND('',#56193,.T.); #34863=FACE_OUTER_BOUND('',#56194,.T.); #34864=FACE_OUTER_BOUND('',#56195,.T.); #34865=FACE_OUTER_BOUND('',#56196,.T.); #34866=FACE_OUTER_BOUND('',#56197,.T.); #34867=FACE_OUTER_BOUND('',#56198,.T.); #34868=FACE_OUTER_BOUND('',#56199,.T.); #34869=FACE_OUTER_BOUND('',#56200,.T.); #34870=FACE_OUTER_BOUND('',#56201,.T.); #34871=FACE_OUTER_BOUND('',#56202,.T.); #34872=FACE_OUTER_BOUND('',#56203,.T.); #34873=FACE_OUTER_BOUND('',#56204,.T.); #34874=FACE_OUTER_BOUND('',#56205,.T.); #34875=FACE_OUTER_BOUND('',#56206,.T.); #34876=FACE_OUTER_BOUND('',#56207,.T.); #34877=FACE_OUTER_BOUND('',#56208,.T.); #34878=FACE_OUTER_BOUND('',#56209,.T.); #34879=FACE_OUTER_BOUND('',#56210,.T.); #34880=FACE_OUTER_BOUND('',#56211,.T.); #34881=FACE_OUTER_BOUND('',#56212,.T.); #34882=FACE_OUTER_BOUND('',#56213,.T.); #34883=FACE_OUTER_BOUND('',#56214,.T.); #34884=FACE_OUTER_BOUND('',#56215,.T.); #34885=FACE_OUTER_BOUND('',#56216,.T.); #34886=FACE_OUTER_BOUND('',#56217,.T.); #34887=FACE_OUTER_BOUND('',#56218,.T.); #34888=FACE_OUTER_BOUND('',#56219,.T.); #34889=FACE_OUTER_BOUND('',#56220,.T.); #34890=FACE_OUTER_BOUND('',#56221,.T.); #34891=FACE_OUTER_BOUND('',#56222,.T.); #34892=FACE_OUTER_BOUND('',#56223,.T.); #34893=FACE_OUTER_BOUND('',#56224,.T.); #34894=FACE_OUTER_BOUND('',#56225,.T.); #34895=FACE_OUTER_BOUND('',#56226,.T.); #34896=FACE_OUTER_BOUND('',#56227,.T.); #34897=FACE_OUTER_BOUND('',#56228,.T.); #34898=FACE_OUTER_BOUND('',#56229,.T.); #34899=FACE_OUTER_BOUND('',#56230,.T.); #34900=FACE_OUTER_BOUND('',#56231,.T.); #34901=FACE_OUTER_BOUND('',#56232,.T.); #34902=FACE_OUTER_BOUND('',#56233,.T.); #34903=FACE_OUTER_BOUND('',#56234,.T.); #34904=FACE_OUTER_BOUND('',#56235,.T.); #34905=FACE_OUTER_BOUND('',#56236,.T.); #34906=FACE_OUTER_BOUND('',#56237,.T.); #34907=FACE_OUTER_BOUND('',#56238,.T.); #34908=FACE_OUTER_BOUND('',#56239,.T.); #34909=FACE_OUTER_BOUND('',#56240,.T.); #34910=FACE_OUTER_BOUND('',#56241,.T.); #34911=FACE_OUTER_BOUND('',#56242,.T.); #34912=FACE_OUTER_BOUND('',#56243,.T.); #34913=FACE_OUTER_BOUND('',#56244,.T.); #34914=FACE_OUTER_BOUND('',#56245,.T.); #34915=FACE_OUTER_BOUND('',#56246,.T.); #34916=FACE_OUTER_BOUND('',#56247,.T.); #34917=FACE_OUTER_BOUND('',#56248,.T.); #34918=FACE_OUTER_BOUND('',#56249,.T.); #34919=FACE_OUTER_BOUND('',#56250,.T.); #34920=FACE_OUTER_BOUND('',#56251,.T.); #34921=FACE_OUTER_BOUND('',#56252,.T.); #34922=FACE_OUTER_BOUND('',#56253,.T.); #34923=FACE_OUTER_BOUND('',#56254,.T.); #34924=FACE_OUTER_BOUND('',#56255,.T.); #34925=FACE_OUTER_BOUND('',#56256,.T.); #34926=FACE_OUTER_BOUND('',#56257,.T.); #34927=FACE_OUTER_BOUND('',#56258,.T.); #34928=FACE_OUTER_BOUND('',#56259,.T.); #34929=FACE_OUTER_BOUND('',#56260,.T.); #34930=FACE_OUTER_BOUND('',#56261,.T.); #34931=FACE_OUTER_BOUND('',#56262,.T.); #34932=FACE_OUTER_BOUND('',#56263,.T.); #34933=FACE_OUTER_BOUND('',#56264,.T.); #34934=FACE_OUTER_BOUND('',#56265,.T.); #34935=FACE_OUTER_BOUND('',#56266,.T.); #34936=FACE_OUTER_BOUND('',#56267,.T.); #34937=FACE_OUTER_BOUND('',#56268,.T.); #34938=FACE_OUTER_BOUND('',#56269,.T.); #34939=FACE_OUTER_BOUND('',#56270,.T.); #34940=FACE_OUTER_BOUND('',#56271,.T.); #34941=FACE_OUTER_BOUND('',#56273,.T.); #34942=FACE_OUTER_BOUND('',#56274,.T.); #34943=FACE_OUTER_BOUND('',#56275,.T.); #34944=FACE_OUTER_BOUND('',#56276,.T.); #34945=FACE_OUTER_BOUND('',#56277,.T.); #34946=FACE_OUTER_BOUND('',#56278,.T.); #34947=FACE_OUTER_BOUND('',#56279,.T.); #34948=FACE_OUTER_BOUND('',#56280,.T.); #34949=FACE_OUTER_BOUND('',#56281,.T.); #34950=FACE_OUTER_BOUND('',#56282,.T.); #34951=FACE_OUTER_BOUND('',#56283,.T.); #34952=FACE_OUTER_BOUND('',#56284,.T.); #34953=FACE_OUTER_BOUND('',#56285,.T.); #34954=FACE_OUTER_BOUND('',#56286,.T.); #34955=FACE_OUTER_BOUND('',#56287,.T.); #34956=FACE_OUTER_BOUND('',#56288,.T.); #34957=FACE_OUTER_BOUND('',#56289,.T.); #34958=FACE_OUTER_BOUND('',#56290,.T.); #34959=FACE_OUTER_BOUND('',#56291,.T.); #34960=FACE_OUTER_BOUND('',#56292,.T.); #34961=FACE_OUTER_BOUND('',#56293,.T.); #34962=FACE_OUTER_BOUND('',#56294,.T.); #34963=FACE_OUTER_BOUND('',#56295,.T.); #34964=FACE_OUTER_BOUND('',#56296,.T.); #34965=FACE_OUTER_BOUND('',#56297,.T.); #34966=FACE_OUTER_BOUND('',#56298,.T.); #34967=FACE_OUTER_BOUND('',#56299,.T.); #34968=FACE_OUTER_BOUND('',#56300,.T.); #34969=FACE_OUTER_BOUND('',#56301,.T.); #34970=FACE_OUTER_BOUND('',#56302,.T.); #34971=FACE_OUTER_BOUND('',#56303,.T.); #34972=FACE_OUTER_BOUND('',#56304,.T.); #34973=FACE_OUTER_BOUND('',#56305,.T.); #34974=FACE_OUTER_BOUND('',#56306,.T.); #34975=FACE_OUTER_BOUND('',#56307,.T.); #34976=FACE_OUTER_BOUND('',#56308,.T.); #34977=FACE_OUTER_BOUND('',#56309,.T.); #34978=FACE_OUTER_BOUND('',#56310,.T.); #34979=FACE_OUTER_BOUND('',#56311,.T.); #34980=FACE_OUTER_BOUND('',#56312,.T.); #34981=FACE_OUTER_BOUND('',#56313,.T.); #34982=FACE_OUTER_BOUND('',#56314,.T.); #34983=FACE_OUTER_BOUND('',#56315,.T.); #34984=FACE_OUTER_BOUND('',#56316,.T.); #34985=FACE_OUTER_BOUND('',#56317,.T.); #34986=FACE_OUTER_BOUND('',#56318,.T.); #34987=FACE_OUTER_BOUND('',#56319,.T.); #34988=FACE_OUTER_BOUND('',#56320,.T.); #34989=FACE_OUTER_BOUND('',#56321,.T.); #34990=FACE_OUTER_BOUND('',#56322,.T.); #34991=FACE_OUTER_BOUND('',#56323,.T.); #34992=FACE_OUTER_BOUND('',#56324,.T.); #34993=FACE_OUTER_BOUND('',#56325,.T.); #34994=FACE_OUTER_BOUND('',#56326,.T.); #34995=FACE_OUTER_BOUND('',#56327,.T.); #34996=FACE_OUTER_BOUND('',#56330,.T.); #34997=FACE_OUTER_BOUND('',#56331,.T.); #34998=FACE_OUTER_BOUND('',#56332,.T.); #34999=FACE_OUTER_BOUND('',#56333,.T.); #35000=FACE_OUTER_BOUND('',#56334,.T.); #35001=FACE_OUTER_BOUND('',#56335,.T.); #35002=FACE_OUTER_BOUND('',#56336,.T.); #35003=FACE_OUTER_BOUND('',#56337,.T.); #35004=FACE_OUTER_BOUND('',#56338,.T.); #35005=FACE_OUTER_BOUND('',#56339,.T.); #35006=FACE_OUTER_BOUND('',#56340,.T.); #35007=FACE_OUTER_BOUND('',#56341,.T.); #35008=FACE_OUTER_BOUND('',#56342,.T.); #35009=FACE_OUTER_BOUND('',#56343,.T.); #35010=FACE_OUTER_BOUND('',#56344,.T.); #35011=FACE_OUTER_BOUND('',#56345,.T.); #35012=FACE_OUTER_BOUND('',#56346,.T.); #35013=FACE_OUTER_BOUND('',#56347,.T.); #35014=FACE_OUTER_BOUND('',#56348,.T.); #35015=FACE_OUTER_BOUND('',#56349,.T.); #35016=FACE_OUTER_BOUND('',#56350,.T.); #35017=FACE_OUTER_BOUND('',#56351,.T.); #35018=FACE_OUTER_BOUND('',#56352,.T.); #35019=FACE_OUTER_BOUND('',#56353,.T.); #35020=FACE_OUTER_BOUND('',#56354,.T.); #35021=FACE_OUTER_BOUND('',#56355,.T.); #35022=FACE_OUTER_BOUND('',#56356,.T.); #35023=FACE_OUTER_BOUND('',#56357,.T.); #35024=FACE_OUTER_BOUND('',#56358,.T.); #35025=FACE_OUTER_BOUND('',#56359,.T.); #35026=FACE_OUTER_BOUND('',#56360,.T.); #35027=FACE_OUTER_BOUND('',#56361,.T.); #35028=FACE_OUTER_BOUND('',#56362,.T.); #35029=FACE_OUTER_BOUND('',#56363,.T.); #35030=FACE_OUTER_BOUND('',#56364,.T.); #35031=FACE_OUTER_BOUND('',#56365,.T.); #35032=FACE_OUTER_BOUND('',#56366,.T.); #35033=FACE_OUTER_BOUND('',#56367,.T.); #35034=FACE_OUTER_BOUND('',#56368,.T.); #35035=FACE_OUTER_BOUND('',#56369,.T.); #35036=FACE_OUTER_BOUND('',#56370,.T.); #35037=FACE_OUTER_BOUND('',#56371,.T.); #35038=FACE_OUTER_BOUND('',#56372,.T.); #35039=FACE_OUTER_BOUND('',#56373,.T.); #35040=FACE_OUTER_BOUND('',#56374,.T.); #35041=FACE_OUTER_BOUND('',#56375,.T.); #35042=FACE_OUTER_BOUND('',#56376,.T.); #35043=FACE_OUTER_BOUND('',#56377,.T.); #35044=FACE_OUTER_BOUND('',#56378,.T.); #35045=FACE_OUTER_BOUND('',#56379,.T.); #35046=FACE_OUTER_BOUND('',#56380,.T.); #35047=FACE_OUTER_BOUND('',#56381,.T.); #35048=FACE_OUTER_BOUND('',#56382,.T.); #35049=FACE_OUTER_BOUND('',#56383,.T.); #35050=FACE_OUTER_BOUND('',#56384,.T.); #35051=FACE_OUTER_BOUND('',#56385,.T.); #35052=FACE_OUTER_BOUND('',#56386,.T.); #35053=FACE_OUTER_BOUND('',#56387,.T.); #35054=FACE_OUTER_BOUND('',#56388,.T.); #35055=FACE_OUTER_BOUND('',#56389,.T.); #35056=FACE_OUTER_BOUND('',#56390,.T.); #35057=FACE_OUTER_BOUND('',#56391,.T.); #35058=FACE_OUTER_BOUND('',#56392,.T.); #35059=FACE_OUTER_BOUND('',#56393,.T.); #35060=FACE_OUTER_BOUND('',#56394,.T.); #35061=FACE_OUTER_BOUND('',#56395,.T.); #35062=FACE_OUTER_BOUND('',#56396,.T.); #35063=FACE_OUTER_BOUND('',#56397,.T.); #35064=FACE_OUTER_BOUND('',#56398,.T.); #35065=FACE_OUTER_BOUND('',#56399,.T.); #35066=FACE_OUTER_BOUND('',#56400,.T.); #35067=FACE_OUTER_BOUND('',#56401,.T.); #35068=FACE_OUTER_BOUND('',#56402,.T.); #35069=FACE_OUTER_BOUND('',#56403,.T.); #35070=FACE_OUTER_BOUND('',#56404,.T.); #35071=FACE_OUTER_BOUND('',#56405,.T.); #35072=FACE_OUTER_BOUND('',#56406,.T.); #35073=FACE_OUTER_BOUND('',#56407,.T.); #35074=FACE_OUTER_BOUND('',#56408,.T.); #35075=FACE_OUTER_BOUND('',#56409,.T.); #35076=FACE_OUTER_BOUND('',#56410,.T.); #35077=FACE_OUTER_BOUND('',#56411,.T.); #35078=FACE_OUTER_BOUND('',#56412,.T.); #35079=FACE_OUTER_BOUND('',#56413,.T.); #35080=FACE_OUTER_BOUND('',#56414,.T.); #35081=FACE_OUTER_BOUND('',#56415,.T.); #35082=FACE_OUTER_BOUND('',#56416,.T.); #35083=FACE_OUTER_BOUND('',#56417,.T.); #35084=FACE_OUTER_BOUND('',#56418,.T.); #35085=FACE_OUTER_BOUND('',#56419,.T.); #35086=FACE_OUTER_BOUND('',#56420,.T.); #35087=FACE_OUTER_BOUND('',#56421,.T.); #35088=FACE_OUTER_BOUND('',#56422,.T.); #35089=FACE_OUTER_BOUND('',#56423,.T.); #35090=FACE_OUTER_BOUND('',#56424,.T.); #35091=FACE_OUTER_BOUND('',#56425,.T.); #35092=FACE_OUTER_BOUND('',#56426,.T.); #35093=FACE_OUTER_BOUND('',#56427,.T.); #35094=FACE_OUTER_BOUND('',#56428,.T.); #35095=FACE_OUTER_BOUND('',#56429,.T.); #35096=FACE_OUTER_BOUND('',#56430,.T.); #35097=FACE_OUTER_BOUND('',#56431,.T.); #35098=FACE_OUTER_BOUND('',#56432,.T.); #35099=FACE_OUTER_BOUND('',#56433,.T.); #35100=FACE_OUTER_BOUND('',#56434,.T.); #35101=FACE_OUTER_BOUND('',#56435,.T.); #35102=FACE_OUTER_BOUND('',#56436,.T.); #35103=FACE_OUTER_BOUND('',#56437,.T.); #35104=FACE_OUTER_BOUND('',#56438,.T.); #35105=FACE_OUTER_BOUND('',#56439,.T.); #35106=FACE_OUTER_BOUND('',#56440,.T.); #35107=FACE_OUTER_BOUND('',#56441,.T.); #35108=FACE_OUTER_BOUND('',#56442,.T.); #35109=FACE_OUTER_BOUND('',#56443,.T.); #35110=FACE_OUTER_BOUND('',#56444,.T.); #35111=FACE_OUTER_BOUND('',#56445,.T.); #35112=FACE_OUTER_BOUND('',#56446,.T.); #35113=FACE_OUTER_BOUND('',#56447,.T.); #35114=FACE_OUTER_BOUND('',#56448,.T.); #35115=FACE_OUTER_BOUND('',#56449,.T.); #35116=FACE_OUTER_BOUND('',#56450,.T.); #35117=FACE_OUTER_BOUND('',#56451,.T.); #35118=FACE_OUTER_BOUND('',#56452,.T.); #35119=FACE_OUTER_BOUND('',#56453,.T.); #35120=FACE_OUTER_BOUND('',#56454,.T.); #35121=FACE_OUTER_BOUND('',#56455,.T.); #35122=FACE_OUTER_BOUND('',#56456,.T.); #35123=FACE_OUTER_BOUND('',#56457,.T.); #35124=FACE_OUTER_BOUND('',#56458,.T.); #35125=FACE_OUTER_BOUND('',#56459,.T.); #35126=FACE_OUTER_BOUND('',#56460,.T.); #35127=FACE_OUTER_BOUND('',#56461,.T.); #35128=FACE_OUTER_BOUND('',#56462,.T.); #35129=FACE_OUTER_BOUND('',#56463,.T.); #35130=FACE_OUTER_BOUND('',#56464,.T.); #35131=FACE_OUTER_BOUND('',#56465,.T.); #35132=FACE_OUTER_BOUND('',#56466,.T.); #35133=FACE_OUTER_BOUND('',#56467,.T.); #35134=FACE_OUTER_BOUND('',#56468,.T.); #35135=FACE_OUTER_BOUND('',#56469,.T.); #35136=FACE_OUTER_BOUND('',#56470,.T.); #35137=FACE_OUTER_BOUND('',#56471,.T.); #35138=FACE_OUTER_BOUND('',#56472,.T.); #35139=FACE_OUTER_BOUND('',#56473,.T.); #35140=FACE_OUTER_BOUND('',#56474,.T.); #35141=FACE_OUTER_BOUND('',#56475,.T.); #35142=FACE_OUTER_BOUND('',#56476,.T.); #35143=FACE_OUTER_BOUND('',#56477,.T.); #35144=FACE_OUTER_BOUND('',#56478,.T.); #35145=FACE_OUTER_BOUND('',#56479,.T.); #35146=FACE_OUTER_BOUND('',#56480,.T.); #35147=FACE_OUTER_BOUND('',#56481,.T.); #35148=FACE_OUTER_BOUND('',#56482,.T.); #35149=FACE_OUTER_BOUND('',#56483,.T.); #35150=FACE_OUTER_BOUND('',#56484,.T.); #35151=FACE_OUTER_BOUND('',#56485,.T.); #35152=FACE_OUTER_BOUND('',#56486,.T.); #35153=FACE_OUTER_BOUND('',#56487,.T.); #35154=FACE_OUTER_BOUND('',#56488,.T.); #35155=FACE_OUTER_BOUND('',#56489,.T.); #35156=FACE_OUTER_BOUND('',#56490,.T.); #35157=FACE_OUTER_BOUND('',#56491,.T.); #35158=FACE_OUTER_BOUND('',#56492,.T.); #35159=FACE_OUTER_BOUND('',#56493,.T.); #35160=FACE_OUTER_BOUND('',#56494,.T.); #35161=FACE_OUTER_BOUND('',#56495,.T.); #35162=FACE_OUTER_BOUND('',#56496,.T.); #35163=FACE_OUTER_BOUND('',#56497,.T.); #35164=FACE_OUTER_BOUND('',#56498,.T.); #35165=FACE_OUTER_BOUND('',#56499,.T.); #35166=FACE_OUTER_BOUND('',#56500,.T.); #35167=FACE_OUTER_BOUND('',#56501,.T.); #35168=FACE_OUTER_BOUND('',#56502,.T.); #35169=FACE_OUTER_BOUND('',#56503,.T.); #35170=FACE_OUTER_BOUND('',#56504,.T.); #35171=FACE_OUTER_BOUND('',#56505,.T.); #35172=FACE_OUTER_BOUND('',#56506,.T.); #35173=FACE_OUTER_BOUND('',#56507,.T.); #35174=FACE_OUTER_BOUND('',#56508,.T.); #35175=FACE_OUTER_BOUND('',#56509,.T.); #35176=FACE_OUTER_BOUND('',#56510,.T.); #35177=FACE_OUTER_BOUND('',#56511,.T.); #35178=FACE_OUTER_BOUND('',#56512,.T.); #35179=FACE_OUTER_BOUND('',#56513,.T.); #35180=FACE_OUTER_BOUND('',#56514,.T.); #35181=FACE_OUTER_BOUND('',#56515,.T.); #35182=FACE_OUTER_BOUND('',#56516,.T.); #35183=FACE_OUTER_BOUND('',#56517,.T.); #35184=FACE_OUTER_BOUND('',#56518,.T.); #35185=FACE_OUTER_BOUND('',#56519,.T.); #35186=FACE_OUTER_BOUND('',#56520,.T.); #35187=FACE_OUTER_BOUND('',#56521,.T.); #35188=FACE_OUTER_BOUND('',#56522,.T.); #35189=FACE_OUTER_BOUND('',#56523,.T.); #35190=FACE_OUTER_BOUND('',#56524,.T.); #35191=FACE_OUTER_BOUND('',#56525,.T.); #35192=FACE_OUTER_BOUND('',#56526,.T.); #35193=FACE_OUTER_BOUND('',#56527,.T.); #35194=FACE_OUTER_BOUND('',#56528,.T.); #35195=FACE_OUTER_BOUND('',#56529,.T.); #35196=FACE_OUTER_BOUND('',#56530,.T.); #35197=FACE_OUTER_BOUND('',#56531,.T.); #35198=FACE_OUTER_BOUND('',#56532,.T.); #35199=FACE_OUTER_BOUND('',#56533,.T.); #35200=FACE_OUTER_BOUND('',#56534,.T.); #35201=FACE_OUTER_BOUND('',#56535,.T.); #35202=FACE_OUTER_BOUND('',#56536,.T.); #35203=FACE_OUTER_BOUND('',#56537,.T.); #35204=FACE_OUTER_BOUND('',#56538,.T.); #35205=FACE_OUTER_BOUND('',#56539,.T.); #35206=FACE_OUTER_BOUND('',#56540,.T.); #35207=FACE_OUTER_BOUND('',#56541,.T.); #35208=FACE_OUTER_BOUND('',#56542,.T.); #35209=FACE_OUTER_BOUND('',#56543,.T.); #35210=FACE_OUTER_BOUND('',#56544,.T.); #35211=FACE_OUTER_BOUND('',#56545,.T.); #35212=FACE_OUTER_BOUND('',#56546,.T.); #35213=FACE_OUTER_BOUND('',#56547,.T.); #35214=FACE_OUTER_BOUND('',#56548,.T.); #35215=FACE_OUTER_BOUND('',#56549,.T.); #35216=FACE_OUTER_BOUND('',#56550,.T.); #35217=FACE_OUTER_BOUND('',#56551,.T.); #35218=FACE_OUTER_BOUND('',#56552,.T.); #35219=FACE_OUTER_BOUND('',#56553,.T.); #35220=FACE_OUTER_BOUND('',#56554,.T.); #35221=FACE_OUTER_BOUND('',#56555,.T.); #35222=FACE_OUTER_BOUND('',#56556,.T.); #35223=FACE_OUTER_BOUND('',#56557,.T.); #35224=FACE_OUTER_BOUND('',#56558,.T.); #35225=FACE_OUTER_BOUND('',#56559,.T.); #35226=FACE_OUTER_BOUND('',#56560,.T.); #35227=FACE_OUTER_BOUND('',#56561,.T.); #35228=FACE_OUTER_BOUND('',#56562,.T.); #35229=FACE_OUTER_BOUND('',#56563,.T.); #35230=FACE_OUTER_BOUND('',#56564,.T.); #35231=FACE_OUTER_BOUND('',#56565,.T.); #35232=FACE_OUTER_BOUND('',#56566,.T.); #35233=FACE_OUTER_BOUND('',#56567,.T.); #35234=FACE_OUTER_BOUND('',#56568,.T.); #35235=FACE_OUTER_BOUND('',#56569,.T.); #35236=FACE_OUTER_BOUND('',#56570,.T.); #35237=FACE_OUTER_BOUND('',#56571,.T.); #35238=FACE_OUTER_BOUND('',#56572,.T.); #35239=FACE_OUTER_BOUND('',#56573,.T.); #35240=FACE_OUTER_BOUND('',#56574,.T.); #35241=FACE_OUTER_BOUND('',#56575,.T.); #35242=FACE_OUTER_BOUND('',#56576,.T.); #35243=FACE_OUTER_BOUND('',#56577,.T.); #35244=FACE_OUTER_BOUND('',#56578,.T.); #35245=FACE_OUTER_BOUND('',#56579,.T.); #35246=FACE_OUTER_BOUND('',#56580,.T.); #35247=FACE_OUTER_BOUND('',#56581,.T.); #35248=FACE_OUTER_BOUND('',#56582,.T.); #35249=FACE_OUTER_BOUND('',#56583,.T.); #35250=FACE_OUTER_BOUND('',#56584,.T.); #35251=FACE_OUTER_BOUND('',#56585,.T.); #35252=FACE_OUTER_BOUND('',#56586,.T.); #35253=FACE_OUTER_BOUND('',#56587,.T.); #35254=FACE_OUTER_BOUND('',#56588,.T.); #35255=FACE_OUTER_BOUND('',#56589,.T.); #35256=FACE_OUTER_BOUND('',#56590,.T.); #35257=FACE_OUTER_BOUND('',#56591,.T.); #35258=FACE_OUTER_BOUND('',#56592,.T.); #35259=FACE_OUTER_BOUND('',#56593,.T.); #35260=FACE_OUTER_BOUND('',#56594,.T.); #35261=FACE_OUTER_BOUND('',#56595,.T.); #35262=FACE_OUTER_BOUND('',#56596,.T.); #35263=FACE_OUTER_BOUND('',#56597,.T.); #35264=FACE_OUTER_BOUND('',#56598,.T.); #35265=FACE_OUTER_BOUND('',#56599,.T.); #35266=FACE_OUTER_BOUND('',#56600,.T.); #35267=FACE_OUTER_BOUND('',#56601,.T.); #35268=FACE_OUTER_BOUND('',#56602,.T.); #35269=FACE_OUTER_BOUND('',#56603,.T.); #35270=FACE_OUTER_BOUND('',#56604,.T.); #35271=FACE_OUTER_BOUND('',#56605,.T.); #35272=FACE_OUTER_BOUND('',#56606,.T.); #35273=FACE_OUTER_BOUND('',#56607,.T.); #35274=FACE_OUTER_BOUND('',#56608,.T.); #35275=FACE_OUTER_BOUND('',#56609,.T.); #35276=FACE_OUTER_BOUND('',#56610,.T.); #35277=FACE_OUTER_BOUND('',#56611,.T.); #35278=FACE_OUTER_BOUND('',#56612,.T.); #35279=FACE_OUTER_BOUND('',#56613,.T.); #35280=FACE_OUTER_BOUND('',#56614,.T.); #35281=FACE_OUTER_BOUND('',#56615,.T.); #35282=FACE_OUTER_BOUND('',#56616,.T.); #35283=FACE_OUTER_BOUND('',#56617,.T.); #35284=FACE_OUTER_BOUND('',#56618,.T.); #35285=FACE_OUTER_BOUND('',#56619,.T.); #35286=FACE_OUTER_BOUND('',#56620,.T.); #35287=FACE_OUTER_BOUND('',#56621,.T.); #35288=FACE_OUTER_BOUND('',#56622,.T.); #35289=FACE_OUTER_BOUND('',#56623,.T.); #35290=FACE_OUTER_BOUND('',#56624,.T.); #35291=FACE_OUTER_BOUND('',#56625,.T.); #35292=FACE_OUTER_BOUND('',#56626,.T.); #35293=FACE_OUTER_BOUND('',#56627,.T.); #35294=FACE_OUTER_BOUND('',#56628,.T.); #35295=FACE_OUTER_BOUND('',#56629,.T.); #35296=FACE_OUTER_BOUND('',#56630,.T.); #35297=FACE_OUTER_BOUND('',#56631,.T.); #35298=FACE_OUTER_BOUND('',#56632,.T.); #35299=FACE_OUTER_BOUND('',#56633,.T.); #35300=FACE_OUTER_BOUND('',#56634,.T.); #35301=FACE_OUTER_BOUND('',#56635,.T.); #35302=FACE_OUTER_BOUND('',#56636,.T.); #35303=FACE_OUTER_BOUND('',#56637,.T.); #35304=FACE_OUTER_BOUND('',#56638,.T.); #35305=FACE_OUTER_BOUND('',#56639,.T.); #35306=FACE_OUTER_BOUND('',#56640,.T.); #35307=FACE_OUTER_BOUND('',#56641,.T.); #35308=FACE_OUTER_BOUND('',#56642,.T.); #35309=FACE_OUTER_BOUND('',#56643,.T.); #35310=FACE_OUTER_BOUND('',#56644,.T.); #35311=FACE_OUTER_BOUND('',#56645,.T.); #35312=FACE_OUTER_BOUND('',#56646,.T.); #35313=FACE_OUTER_BOUND('',#56647,.T.); #35314=FACE_OUTER_BOUND('',#56648,.T.); #35315=FACE_OUTER_BOUND('',#56649,.T.); #35316=FACE_OUTER_BOUND('',#56650,.T.); #35317=FACE_OUTER_BOUND('',#56651,.T.); #35318=FACE_OUTER_BOUND('',#56652,.T.); #35319=FACE_OUTER_BOUND('',#56653,.T.); #35320=FACE_OUTER_BOUND('',#56654,.T.); #35321=FACE_OUTER_BOUND('',#56655,.T.); #35322=FACE_OUTER_BOUND('',#56656,.T.); #35323=FACE_OUTER_BOUND('',#56657,.T.); #35324=FACE_OUTER_BOUND('',#56658,.T.); #35325=FACE_OUTER_BOUND('',#56659,.T.); #35326=FACE_OUTER_BOUND('',#56660,.T.); #35327=FACE_OUTER_BOUND('',#56661,.T.); #35328=FACE_OUTER_BOUND('',#56662,.T.); #35329=FACE_OUTER_BOUND('',#56663,.T.); #35330=FACE_OUTER_BOUND('',#56664,.T.); #35331=FACE_OUTER_BOUND('',#56665,.T.); #35332=FACE_OUTER_BOUND('',#56666,.T.); #35333=FACE_OUTER_BOUND('',#56667,.T.); #35334=FACE_OUTER_BOUND('',#56668,.T.); #35335=FACE_OUTER_BOUND('',#56669,.T.); #35336=FACE_OUTER_BOUND('',#56670,.T.); #35337=FACE_OUTER_BOUND('',#56671,.T.); #35338=FACE_OUTER_BOUND('',#56672,.T.); #35339=FACE_OUTER_BOUND('',#56673,.T.); #35340=FACE_OUTER_BOUND('',#56674,.T.); #35341=FACE_OUTER_BOUND('',#56675,.T.); #35342=FACE_OUTER_BOUND('',#56676,.T.); #35343=FACE_OUTER_BOUND('',#56677,.T.); #35344=FACE_OUTER_BOUND('',#56678,.T.); #35345=FACE_OUTER_BOUND('',#56679,.T.); #35346=FACE_OUTER_BOUND('',#56680,.T.); #35347=FACE_OUTER_BOUND('',#56681,.T.); #35348=FACE_OUTER_BOUND('',#56682,.T.); #35349=FACE_OUTER_BOUND('',#56683,.T.); #35350=FACE_OUTER_BOUND('',#56684,.T.); #35351=FACE_OUTER_BOUND('',#56685,.T.); #35352=FACE_OUTER_BOUND('',#56686,.T.); #35353=FACE_OUTER_BOUND('',#56687,.T.); #35354=FACE_OUTER_BOUND('',#56688,.T.); #35355=FACE_OUTER_BOUND('',#56689,.T.); #35356=FACE_OUTER_BOUND('',#56690,.T.); #35357=FACE_OUTER_BOUND('',#56691,.T.); #35358=FACE_OUTER_BOUND('',#56692,.T.); #35359=FACE_OUTER_BOUND('',#56693,.T.); #35360=FACE_OUTER_BOUND('',#56694,.T.); #35361=FACE_OUTER_BOUND('',#56695,.T.); #35362=FACE_OUTER_BOUND('',#56696,.T.); #35363=FACE_OUTER_BOUND('',#56697,.T.); #35364=FACE_OUTER_BOUND('',#56698,.T.); #35365=FACE_OUTER_BOUND('',#56699,.T.); #35366=FACE_OUTER_BOUND('',#56700,.T.); #35367=FACE_OUTER_BOUND('',#56701,.T.); #35368=FACE_OUTER_BOUND('',#56702,.T.); #35369=FACE_OUTER_BOUND('',#56703,.T.); #35370=FACE_OUTER_BOUND('',#56704,.T.); #35371=FACE_OUTER_BOUND('',#56705,.T.); #35372=FACE_OUTER_BOUND('',#56706,.T.); #35373=FACE_OUTER_BOUND('',#56707,.T.); #35374=FACE_OUTER_BOUND('',#56708,.T.); #35375=FACE_OUTER_BOUND('',#56709,.T.); #35376=FACE_OUTER_BOUND('',#56710,.T.); #35377=FACE_OUTER_BOUND('',#56711,.T.); #35378=FACE_OUTER_BOUND('',#56712,.T.); #35379=FACE_OUTER_BOUND('',#56713,.T.); #35380=FACE_OUTER_BOUND('',#56714,.T.); #35381=FACE_OUTER_BOUND('',#56715,.T.); #35382=FACE_OUTER_BOUND('',#56716,.T.); #35383=FACE_OUTER_BOUND('',#56717,.T.); #35384=FACE_OUTER_BOUND('',#56718,.T.); #35385=FACE_OUTER_BOUND('',#56719,.T.); #35386=FACE_OUTER_BOUND('',#56720,.T.); #35387=FACE_OUTER_BOUND('',#56721,.T.); #35388=FACE_OUTER_BOUND('',#56722,.T.); #35389=FACE_OUTER_BOUND('',#56723,.T.); #35390=FACE_OUTER_BOUND('',#56724,.T.); #35391=FACE_OUTER_BOUND('',#56725,.T.); #35392=FACE_OUTER_BOUND('',#56726,.T.); #35393=FACE_OUTER_BOUND('',#56727,.T.); #35394=FACE_OUTER_BOUND('',#56728,.T.); #35395=FACE_OUTER_BOUND('',#56729,.T.); #35396=FACE_OUTER_BOUND('',#56730,.T.); #35397=FACE_OUTER_BOUND('',#56731,.T.); #35398=FACE_OUTER_BOUND('',#56732,.T.); #35399=FACE_OUTER_BOUND('',#56733,.T.); #35400=FACE_OUTER_BOUND('',#56734,.T.); #35401=FACE_OUTER_BOUND('',#56735,.T.); #35402=FACE_OUTER_BOUND('',#56736,.T.); #35403=FACE_OUTER_BOUND('',#56737,.T.); #35404=FACE_OUTER_BOUND('',#56738,.T.); #35405=FACE_OUTER_BOUND('',#56739,.T.); #35406=FACE_OUTER_BOUND('',#56740,.T.); #35407=FACE_OUTER_BOUND('',#56741,.T.); #35408=FACE_OUTER_BOUND('',#56742,.T.); #35409=FACE_OUTER_BOUND('',#56743,.T.); #35410=FACE_OUTER_BOUND('',#56744,.T.); #35411=FACE_OUTER_BOUND('',#56745,.T.); #35412=FACE_OUTER_BOUND('',#56747,.T.); #35413=FACE_OUTER_BOUND('',#56749,.T.); #35414=FACE_OUTER_BOUND('',#56750,.T.); #35415=FACE_OUTER_BOUND('',#56751,.T.); #35416=FACE_OUTER_BOUND('',#56752,.T.); #35417=FACE_OUTER_BOUND('',#56753,.T.); #35418=FACE_OUTER_BOUND('',#56756,.T.); #35419=FACE_OUTER_BOUND('',#56758,.T.); #35420=FACE_OUTER_BOUND('',#56759,.T.); #35421=FACE_OUTER_BOUND('',#56761,.T.); #35422=FACE_OUTER_BOUND('',#56762,.T.); #35423=FACE_OUTER_BOUND('',#56763,.T.); #35424=FACE_OUTER_BOUND('',#56764,.T.); #35425=FACE_OUTER_BOUND('',#56765,.T.); #35426=FACE_OUTER_BOUND('',#56766,.T.); #35427=FACE_OUTER_BOUND('',#56767,.T.); #35428=FACE_OUTER_BOUND('',#56769,.T.); #35429=FACE_OUTER_BOUND('',#56770,.T.); #35430=FACE_OUTER_BOUND('',#56771,.T.); #35431=FACE_OUTER_BOUND('',#56772,.T.); #35432=FACE_OUTER_BOUND('',#56773,.T.); #35433=FACE_OUTER_BOUND('',#56774,.T.); #35434=FACE_OUTER_BOUND('',#56776,.T.); #35435=FACE_OUTER_BOUND('',#56777,.T.); #35436=FACE_OUTER_BOUND('',#56779,.T.); #35437=FACE_OUTER_BOUND('',#56780,.T.); #35438=FACE_OUTER_BOUND('',#56781,.T.); #35439=FACE_OUTER_BOUND('',#56782,.T.); #35440=FACE_OUTER_BOUND('',#56783,.T.); #35441=FACE_OUTER_BOUND('',#56784,.T.); #35442=FACE_OUTER_BOUND('',#56785,.T.); #35443=FACE_OUTER_BOUND('',#56786,.T.); #35444=FACE_OUTER_BOUND('',#56787,.T.); #35445=FACE_OUTER_BOUND('',#56788,.T.); #35446=FACE_OUTER_BOUND('',#56789,.T.); #35447=FACE_OUTER_BOUND('',#56790,.T.); #35448=FACE_OUTER_BOUND('',#56791,.T.); #35449=FACE_OUTER_BOUND('',#56792,.T.); #35450=FACE_OUTER_BOUND('',#56793,.T.); #35451=FACE_OUTER_BOUND('',#56795,.T.); #35452=FACE_OUTER_BOUND('',#56796,.T.); #35453=FACE_OUTER_BOUND('',#56799,.T.); #35454=FACE_OUTER_BOUND('',#56800,.T.); #35455=FACE_OUTER_BOUND('',#56801,.T.); #35456=FACE_OUTER_BOUND('',#56802,.T.); #35457=FACE_OUTER_BOUND('',#56803,.T.); #35458=FACE_OUTER_BOUND('',#56804,.T.); #35459=FACE_OUTER_BOUND('',#56805,.T.); #35460=FACE_OUTER_BOUND('',#56806,.T.); #35461=FACE_OUTER_BOUND('',#56807,.T.); #35462=FACE_OUTER_BOUND('',#56808,.T.); #35463=FACE_OUTER_BOUND('',#56809,.T.); #35464=FACE_OUTER_BOUND('',#56810,.T.); #35465=FACE_OUTER_BOUND('',#56811,.T.); #35466=FACE_OUTER_BOUND('',#56812,.T.); #35467=FACE_OUTER_BOUND('',#56813,.T.); #35468=FACE_OUTER_BOUND('',#56814,.T.); #35469=FACE_OUTER_BOUND('',#56815,.T.); #35470=FACE_OUTER_BOUND('',#56816,.T.); #35471=FACE_OUTER_BOUND('',#56817,.T.); #35472=FACE_OUTER_BOUND('',#56818,.T.); #35473=FACE_OUTER_BOUND('',#56819,.T.); #35474=FACE_OUTER_BOUND('',#56820,.T.); #35475=FACE_OUTER_BOUND('',#56821,.T.); #35476=FACE_OUTER_BOUND('',#56822,.T.); #35477=FACE_OUTER_BOUND('',#56823,.T.); #35478=FACE_OUTER_BOUND('',#56824,.T.); #35479=FACE_OUTER_BOUND('',#56825,.T.); #35480=FACE_OUTER_BOUND('',#56826,.T.); #35481=FACE_OUTER_BOUND('',#56827,.T.); #35482=FACE_OUTER_BOUND('',#56828,.T.); #35483=FACE_OUTER_BOUND('',#56829,.T.); #35484=FACE_OUTER_BOUND('',#56830,.T.); #35485=FACE_OUTER_BOUND('',#56831,.T.); #35486=FACE_OUTER_BOUND('',#56832,.T.); #35487=FACE_OUTER_BOUND('',#56833,.T.); #35488=FACE_OUTER_BOUND('',#56834,.T.); #35489=FACE_OUTER_BOUND('',#56835,.T.); #35490=FACE_OUTER_BOUND('',#56836,.T.); #35491=FACE_OUTER_BOUND('',#56837,.T.); #35492=FACE_OUTER_BOUND('',#56838,.T.); #35493=FACE_OUTER_BOUND('',#56839,.T.); #35494=FACE_OUTER_BOUND('',#56840,.T.); #35495=FACE_OUTER_BOUND('',#56841,.T.); #35496=FACE_OUTER_BOUND('',#56842,.T.); #35497=FACE_OUTER_BOUND('',#56843,.T.); #35498=FACE_OUTER_BOUND('',#56844,.T.); #35499=FACE_OUTER_BOUND('',#56845,.T.); #35500=FACE_OUTER_BOUND('',#56846,.T.); #35501=FACE_OUTER_BOUND('',#56847,.T.); #35502=FACE_OUTER_BOUND('',#56848,.T.); #35503=FACE_OUTER_BOUND('',#56849,.T.); #35504=FACE_OUTER_BOUND('',#56850,.T.); #35505=FACE_OUTER_BOUND('',#56851,.T.); #35506=FACE_OUTER_BOUND('',#56852,.T.); #35507=FACE_OUTER_BOUND('',#56853,.T.); #35508=FACE_OUTER_BOUND('',#56854,.T.); #35509=FACE_OUTER_BOUND('',#56855,.T.); #35510=FACE_OUTER_BOUND('',#56856,.T.); #35511=FACE_OUTER_BOUND('',#56857,.T.); #35512=FACE_OUTER_BOUND('',#56858,.T.); #35513=FACE_OUTER_BOUND('',#56859,.T.); #35514=FACE_OUTER_BOUND('',#56860,.T.); #35515=FACE_OUTER_BOUND('',#56861,.T.); #35516=FACE_OUTER_BOUND('',#56862,.T.); #35517=FACE_OUTER_BOUND('',#56863,.T.); #35518=FACE_OUTER_BOUND('',#56864,.T.); #35519=FACE_OUTER_BOUND('',#56865,.T.); #35520=FACE_OUTER_BOUND('',#56866,.T.); #35521=FACE_OUTER_BOUND('',#56867,.T.); #35522=FACE_OUTER_BOUND('',#56868,.T.); #35523=FACE_OUTER_BOUND('',#56869,.T.); #35524=FACE_OUTER_BOUND('',#56870,.T.); #35525=FACE_OUTER_BOUND('',#56871,.T.); #35526=FACE_OUTER_BOUND('',#56872,.T.); #35527=FACE_OUTER_BOUND('',#56873,.T.); #35528=FACE_OUTER_BOUND('',#56874,.T.); #35529=FACE_OUTER_BOUND('',#56875,.T.); #35530=FACE_OUTER_BOUND('',#56876,.T.); #35531=FACE_OUTER_BOUND('',#56877,.T.); #35532=FACE_OUTER_BOUND('',#56878,.T.); #35533=FACE_OUTER_BOUND('',#56879,.T.); #35534=FACE_OUTER_BOUND('',#56880,.T.); #35535=FACE_OUTER_BOUND('',#56881,.T.); #35536=FACE_OUTER_BOUND('',#56882,.T.); #35537=FACE_OUTER_BOUND('',#56883,.T.); #35538=FACE_OUTER_BOUND('',#56884,.T.); #35539=FACE_OUTER_BOUND('',#56885,.T.); #35540=FACE_OUTER_BOUND('',#56886,.T.); #35541=FACE_OUTER_BOUND('',#56887,.T.); #35542=FACE_OUTER_BOUND('',#56888,.T.); #35543=FACE_OUTER_BOUND('',#56889,.T.); #35544=FACE_OUTER_BOUND('',#56890,.T.); #35545=FACE_OUTER_BOUND('',#56891,.T.); #35546=FACE_OUTER_BOUND('',#56892,.T.); #35547=FACE_OUTER_BOUND('',#56893,.T.); #35548=FACE_OUTER_BOUND('',#56894,.T.); #35549=FACE_OUTER_BOUND('',#56895,.T.); #35550=FACE_OUTER_BOUND('',#56896,.T.); #35551=FACE_OUTER_BOUND('',#56897,.T.); #35552=FACE_OUTER_BOUND('',#56898,.T.); #35553=FACE_OUTER_BOUND('',#56899,.T.); #35554=FACE_OUTER_BOUND('',#56900,.T.); #35555=FACE_OUTER_BOUND('',#56901,.T.); #35556=FACE_OUTER_BOUND('',#56902,.T.); #35557=FACE_OUTER_BOUND('',#56903,.T.); #35558=FACE_OUTER_BOUND('',#56904,.T.); #35559=FACE_OUTER_BOUND('',#56905,.T.); #35560=FACE_OUTER_BOUND('',#56906,.T.); #35561=FACE_OUTER_BOUND('',#56907,.T.); #35562=FACE_OUTER_BOUND('',#56908,.T.); #35563=FACE_OUTER_BOUND('',#56909,.T.); #35564=FACE_OUTER_BOUND('',#56910,.T.); #35565=FACE_OUTER_BOUND('',#56911,.T.); #35566=FACE_OUTER_BOUND('',#56912,.T.); #35567=FACE_OUTER_BOUND('',#56913,.T.); #35568=FACE_OUTER_BOUND('',#56914,.T.); #35569=FACE_OUTER_BOUND('',#56915,.T.); #35570=FACE_OUTER_BOUND('',#56916,.T.); #35571=FACE_OUTER_BOUND('',#56917,.T.); #35572=FACE_OUTER_BOUND('',#56918,.T.); #35573=FACE_OUTER_BOUND('',#56919,.T.); #35574=FACE_OUTER_BOUND('',#56920,.T.); #35575=FACE_OUTER_BOUND('',#56921,.T.); #35576=FACE_OUTER_BOUND('',#56922,.T.); #35577=FACE_OUTER_BOUND('',#56923,.T.); #35578=FACE_OUTER_BOUND('',#56924,.T.); #35579=FACE_OUTER_BOUND('',#56925,.T.); #35580=FACE_OUTER_BOUND('',#56926,.T.); #35581=FACE_OUTER_BOUND('',#56927,.T.); #35582=FACE_OUTER_BOUND('',#56928,.T.); #35583=FACE_OUTER_BOUND('',#56929,.T.); #35584=FACE_OUTER_BOUND('',#56930,.T.); #35585=FACE_OUTER_BOUND('',#56931,.T.); #35586=FACE_OUTER_BOUND('',#56932,.T.); #35587=FACE_OUTER_BOUND('',#56933,.T.); #35588=FACE_OUTER_BOUND('',#56934,.T.); #35589=FACE_OUTER_BOUND('',#56935,.T.); #35590=FACE_OUTER_BOUND('',#56936,.T.); #35591=FACE_OUTER_BOUND('',#56937,.T.); #35592=FACE_OUTER_BOUND('',#56938,.T.); #35593=FACE_OUTER_BOUND('',#56939,.T.); #35594=FACE_OUTER_BOUND('',#56940,.T.); #35595=FACE_OUTER_BOUND('',#56941,.T.); #35596=FACE_OUTER_BOUND('',#56942,.T.); #35597=FACE_OUTER_BOUND('',#56943,.T.); #35598=FACE_OUTER_BOUND('',#56944,.T.); #35599=FACE_OUTER_BOUND('',#56945,.T.); #35600=FACE_OUTER_BOUND('',#56946,.T.); #35601=FACE_OUTER_BOUND('',#56947,.T.); #35602=FACE_OUTER_BOUND('',#56948,.T.); #35603=FACE_OUTER_BOUND('',#56949,.T.); #35604=FACE_OUTER_BOUND('',#56950,.T.); #35605=FACE_OUTER_BOUND('',#56951,.T.); #35606=FACE_OUTER_BOUND('',#56952,.T.); #35607=FACE_OUTER_BOUND('',#56953,.T.); #35608=FACE_OUTER_BOUND('',#56954,.T.); #35609=FACE_OUTER_BOUND('',#56955,.T.); #35610=FACE_OUTER_BOUND('',#56956,.T.); #35611=FACE_OUTER_BOUND('',#56957,.T.); #35612=FACE_OUTER_BOUND('',#56958,.T.); #35613=FACE_OUTER_BOUND('',#56959,.T.); #35614=FACE_OUTER_BOUND('',#56960,.T.); #35615=FACE_OUTER_BOUND('',#56961,.T.); #35616=FACE_OUTER_BOUND('',#56962,.T.); #35617=FACE_OUTER_BOUND('',#56963,.T.); #35618=FACE_OUTER_BOUND('',#56964,.T.); #35619=FACE_OUTER_BOUND('',#56965,.T.); #35620=FACE_OUTER_BOUND('',#56966,.T.); #35621=FACE_OUTER_BOUND('',#56967,.T.); #35622=FACE_OUTER_BOUND('',#56968,.T.); #35623=FACE_OUTER_BOUND('',#56969,.T.); #35624=FACE_OUTER_BOUND('',#56970,.T.); #35625=FACE_OUTER_BOUND('',#56971,.T.); #35626=FACE_OUTER_BOUND('',#56972,.T.); #35627=FACE_OUTER_BOUND('',#56973,.T.); #35628=FACE_OUTER_BOUND('',#56974,.T.); #35629=FACE_OUTER_BOUND('',#56975,.T.); #35630=FACE_OUTER_BOUND('',#56976,.T.); #35631=FACE_OUTER_BOUND('',#56977,.T.); #35632=FACE_OUTER_BOUND('',#56978,.T.); #35633=FACE_OUTER_BOUND('',#56979,.T.); #35634=FACE_OUTER_BOUND('',#56980,.T.); #35635=FACE_OUTER_BOUND('',#56981,.T.); #35636=FACE_OUTER_BOUND('',#56982,.T.); #35637=FACE_OUTER_BOUND('',#56983,.T.); #35638=FACE_OUTER_BOUND('',#56984,.T.); #35639=FACE_OUTER_BOUND('',#56985,.T.); #35640=FACE_OUTER_BOUND('',#56986,.T.); #35641=FACE_OUTER_BOUND('',#56987,.T.); #35642=FACE_OUTER_BOUND('',#56988,.T.); #35643=FACE_OUTER_BOUND('',#56989,.T.); #35644=FACE_OUTER_BOUND('',#56990,.T.); #35645=FACE_OUTER_BOUND('',#56991,.T.); #35646=FACE_OUTER_BOUND('',#56992,.T.); #35647=FACE_OUTER_BOUND('',#56993,.T.); #35648=FACE_OUTER_BOUND('',#56994,.T.); #35649=FACE_OUTER_BOUND('',#56995,.T.); #35650=FACE_OUTER_BOUND('',#56996,.T.); #35651=FACE_OUTER_BOUND('',#56997,.T.); #35652=FACE_OUTER_BOUND('',#56998,.T.); #35653=FACE_OUTER_BOUND('',#56999,.T.); #35654=FACE_OUTER_BOUND('',#57000,.T.); #35655=FACE_OUTER_BOUND('',#57001,.T.); #35656=FACE_OUTER_BOUND('',#57002,.T.); #35657=FACE_OUTER_BOUND('',#57003,.T.); #35658=FACE_OUTER_BOUND('',#57004,.T.); #35659=FACE_OUTER_BOUND('',#57005,.T.); #35660=FACE_OUTER_BOUND('',#57006,.T.); #35661=FACE_OUTER_BOUND('',#57007,.T.); #35662=FACE_OUTER_BOUND('',#57008,.T.); #35663=FACE_OUTER_BOUND('',#57009,.T.); #35664=FACE_OUTER_BOUND('',#57010,.T.); #35665=FACE_OUTER_BOUND('',#57011,.T.); #35666=FACE_OUTER_BOUND('',#57012,.T.); #35667=FACE_OUTER_BOUND('',#57013,.T.); #35668=FACE_OUTER_BOUND('',#57014,.T.); #35669=FACE_OUTER_BOUND('',#57015,.T.); #35670=FACE_OUTER_BOUND('',#57016,.T.); #35671=FACE_OUTER_BOUND('',#57017,.T.); #35672=FACE_OUTER_BOUND('',#57018,.T.); #35673=FACE_OUTER_BOUND('',#57019,.T.); #35674=FACE_OUTER_BOUND('',#57020,.T.); #35675=FACE_OUTER_BOUND('',#57021,.T.); #35676=FACE_OUTER_BOUND('',#57022,.T.); #35677=FACE_OUTER_BOUND('',#57023,.T.); #35678=FACE_OUTER_BOUND('',#57024,.T.); #35679=FACE_OUTER_BOUND('',#57025,.T.); #35680=FACE_OUTER_BOUND('',#57026,.T.); #35681=FACE_OUTER_BOUND('',#57027,.T.); #35682=FACE_OUTER_BOUND('',#57028,.T.); #35683=FACE_OUTER_BOUND('',#57029,.T.); #35684=FACE_OUTER_BOUND('',#57030,.T.); #35685=FACE_OUTER_BOUND('',#57031,.T.); #35686=FACE_OUTER_BOUND('',#57032,.T.); #35687=FACE_OUTER_BOUND('',#57033,.T.); #35688=FACE_OUTER_BOUND('',#57034,.T.); #35689=FACE_OUTER_BOUND('',#57035,.T.); #35690=FACE_OUTER_BOUND('',#57036,.T.); #35691=FACE_OUTER_BOUND('',#57037,.T.); #35692=FACE_OUTER_BOUND('',#57038,.T.); #35693=FACE_OUTER_BOUND('',#57039,.T.); #35694=FACE_OUTER_BOUND('',#57040,.T.); #35695=FACE_OUTER_BOUND('',#57041,.T.); #35696=FACE_OUTER_BOUND('',#57042,.T.); #35697=FACE_OUTER_BOUND('',#57043,.T.); #35698=FACE_OUTER_BOUND('',#57044,.T.); #35699=FACE_OUTER_BOUND('',#57045,.T.); #35700=FACE_OUTER_BOUND('',#57046,.T.); #35701=FACE_OUTER_BOUND('',#57047,.T.); #35702=FACE_OUTER_BOUND('',#57048,.T.); #35703=FACE_OUTER_BOUND('',#57049,.T.); #35704=FACE_OUTER_BOUND('',#57050,.T.); #35705=FACE_OUTER_BOUND('',#57051,.T.); #35706=FACE_OUTER_BOUND('',#57052,.T.); #35707=FACE_OUTER_BOUND('',#57053,.T.); #35708=FACE_OUTER_BOUND('',#57054,.T.); #35709=FACE_OUTER_BOUND('',#57055,.T.); #35710=FACE_OUTER_BOUND('',#57056,.T.); #35711=FACE_OUTER_BOUND('',#57057,.T.); #35712=FACE_OUTER_BOUND('',#57058,.T.); #35713=FACE_OUTER_BOUND('',#57059,.T.); #35714=FACE_OUTER_BOUND('',#57060,.T.); #35715=FACE_OUTER_BOUND('',#57061,.T.); #35716=FACE_OUTER_BOUND('',#57062,.T.); #35717=FACE_OUTER_BOUND('',#57063,.T.); #35718=FACE_OUTER_BOUND('',#57064,.T.); #35719=FACE_OUTER_BOUND('',#57065,.T.); #35720=FACE_OUTER_BOUND('',#57066,.T.); #35721=FACE_OUTER_BOUND('',#57067,.T.); #35722=FACE_OUTER_BOUND('',#57068,.T.); #35723=FACE_OUTER_BOUND('',#57069,.T.); #35724=FACE_OUTER_BOUND('',#57070,.T.); #35725=FACE_OUTER_BOUND('',#57071,.T.); #35726=FACE_OUTER_BOUND('',#57072,.T.); #35727=FACE_OUTER_BOUND('',#57073,.T.); #35728=FACE_OUTER_BOUND('',#57074,.T.); #35729=FACE_OUTER_BOUND('',#57075,.T.); #35730=FACE_OUTER_BOUND('',#57076,.T.); #35731=FACE_OUTER_BOUND('',#57077,.T.); #35732=FACE_OUTER_BOUND('',#57079,.T.); #35733=FACE_OUTER_BOUND('',#57080,.T.); #35734=FACE_OUTER_BOUND('',#57081,.T.); #35735=FACE_OUTER_BOUND('',#57083,.T.); #35736=FACE_OUTER_BOUND('',#57085,.T.); #35737=FACE_OUTER_BOUND('',#57086,.T.); #35738=FACE_OUTER_BOUND('',#57087,.T.); #35739=FACE_OUTER_BOUND('',#57088,.T.); #35740=FACE_OUTER_BOUND('',#57090,.T.); #35741=FACE_OUTER_BOUND('',#57091,.T.); #35742=FACE_OUTER_BOUND('',#57092,.T.); #35743=FACE_OUTER_BOUND('',#57093,.T.); #35744=FACE_OUTER_BOUND('',#57094,.T.); #35745=FACE_OUTER_BOUND('',#57095,.T.); #35746=FACE_OUTER_BOUND('',#57096,.T.); #35747=FACE_OUTER_BOUND('',#57097,.T.); #35748=FACE_OUTER_BOUND('',#57098,.T.); #35749=FACE_OUTER_BOUND('',#57099,.T.); #35750=FACE_OUTER_BOUND('',#57100,.T.); #35751=FACE_OUTER_BOUND('',#57101,.T.); #35752=FACE_OUTER_BOUND('',#57102,.T.); #35753=FACE_OUTER_BOUND('',#57103,.T.); #35754=FACE_OUTER_BOUND('',#57104,.T.); #35755=FACE_OUTER_BOUND('',#57105,.T.); #35756=FACE_OUTER_BOUND('',#57106,.T.); #35757=FACE_OUTER_BOUND('',#57107,.T.); #35758=FACE_OUTER_BOUND('',#57108,.T.); #35759=FACE_OUTER_BOUND('',#57109,.T.); #35760=FACE_OUTER_BOUND('',#57110,.T.); #35761=FACE_OUTER_BOUND('',#57111,.T.); #35762=FACE_OUTER_BOUND('',#57112,.T.); #35763=FACE_OUTER_BOUND('',#57113,.T.); #35764=FACE_OUTER_BOUND('',#57114,.T.); #35765=FACE_OUTER_BOUND('',#57115,.T.); #35766=FACE_OUTER_BOUND('',#57116,.T.); #35767=FACE_OUTER_BOUND('',#57117,.T.); #35768=FACE_OUTER_BOUND('',#57118,.T.); #35769=FACE_OUTER_BOUND('',#57119,.T.); #35770=FACE_OUTER_BOUND('',#57120,.T.); #35771=FACE_OUTER_BOUND('',#57121,.T.); #35772=FACE_OUTER_BOUND('',#57122,.T.); #35773=FACE_OUTER_BOUND('',#57123,.T.); #35774=FACE_OUTER_BOUND('',#57124,.T.); #35775=FACE_OUTER_BOUND('',#57125,.T.); #35776=FACE_OUTER_BOUND('',#57126,.T.); #35777=FACE_OUTER_BOUND('',#57127,.T.); #35778=FACE_OUTER_BOUND('',#57128,.T.); #35779=FACE_OUTER_BOUND('',#57129,.T.); #35780=FACE_OUTER_BOUND('',#57130,.T.); #35781=FACE_OUTER_BOUND('',#57131,.T.); #35782=FACE_OUTER_BOUND('',#57132,.T.); #35783=FACE_OUTER_BOUND('',#57133,.T.); #35784=FACE_OUTER_BOUND('',#57134,.T.); #35785=FACE_OUTER_BOUND('',#57135,.T.); #35786=FACE_OUTER_BOUND('',#57136,.T.); #35787=FACE_OUTER_BOUND('',#57137,.T.); #35788=FACE_OUTER_BOUND('',#57138,.T.); #35789=FACE_OUTER_BOUND('',#57139,.T.); #35790=FACE_OUTER_BOUND('',#57140,.T.); #35791=FACE_OUTER_BOUND('',#57141,.T.); #35792=FACE_OUTER_BOUND('',#57142,.T.); #35793=FACE_OUTER_BOUND('',#57143,.T.); #35794=FACE_OUTER_BOUND('',#57144,.T.); #35795=FACE_OUTER_BOUND('',#57145,.T.); #35796=FACE_OUTER_BOUND('',#57146,.T.); #35797=FACE_OUTER_BOUND('',#57147,.T.); #35798=FACE_OUTER_BOUND('',#57148,.T.); #35799=FACE_OUTER_BOUND('',#57149,.T.); #35800=FACE_OUTER_BOUND('',#57150,.T.); #35801=FACE_OUTER_BOUND('',#57151,.T.); #35802=FACE_OUTER_BOUND('',#57152,.T.); #35803=FACE_OUTER_BOUND('',#57153,.T.); #35804=FACE_OUTER_BOUND('',#57154,.T.); #35805=FACE_OUTER_BOUND('',#57155,.T.); #35806=FACE_OUTER_BOUND('',#57156,.T.); #35807=FACE_OUTER_BOUND('',#57157,.T.); #35808=FACE_OUTER_BOUND('',#57158,.T.); #35809=FACE_OUTER_BOUND('',#57159,.T.); #35810=FACE_OUTER_BOUND('',#57160,.T.); #35811=FACE_OUTER_BOUND('',#57161,.T.); #35812=FACE_OUTER_BOUND('',#57162,.T.); #35813=FACE_OUTER_BOUND('',#57163,.T.); #35814=FACE_OUTER_BOUND('',#57164,.T.); #35815=FACE_OUTER_BOUND('',#57165,.T.); #35816=FACE_OUTER_BOUND('',#57166,.T.); #35817=FACE_OUTER_BOUND('',#57167,.T.); #35818=FACE_OUTER_BOUND('',#57168,.T.); #35819=FACE_OUTER_BOUND('',#57169,.T.); #35820=FACE_OUTER_BOUND('',#57170,.T.); #35821=FACE_OUTER_BOUND('',#57171,.T.); #35822=FACE_OUTER_BOUND('',#57172,.T.); #35823=FACE_OUTER_BOUND('',#57173,.T.); #35824=FACE_OUTER_BOUND('',#57174,.T.); #35825=FACE_OUTER_BOUND('',#57175,.T.); #35826=FACE_OUTER_BOUND('',#57176,.T.); #35827=FACE_OUTER_BOUND('',#57177,.T.); #35828=FACE_OUTER_BOUND('',#57178,.T.); #35829=FACE_OUTER_BOUND('',#57179,.T.); #35830=FACE_OUTER_BOUND('',#57180,.T.); #35831=FACE_OUTER_BOUND('',#57181,.T.); #35832=FACE_OUTER_BOUND('',#57182,.T.); #35833=FACE_OUTER_BOUND('',#57183,.T.); #35834=FACE_OUTER_BOUND('',#57184,.T.); #35835=FACE_OUTER_BOUND('',#57185,.T.); #35836=FACE_OUTER_BOUND('',#57186,.T.); #35837=FACE_OUTER_BOUND('',#57187,.T.); #35838=FACE_OUTER_BOUND('',#57188,.T.); #35839=FACE_OUTER_BOUND('',#57189,.T.); #35840=FACE_OUTER_BOUND('',#57190,.T.); #35841=FACE_OUTER_BOUND('',#57191,.T.); #35842=FACE_OUTER_BOUND('',#57192,.T.); #35843=FACE_OUTER_BOUND('',#57193,.T.); #35844=FACE_OUTER_BOUND('',#57194,.T.); #35845=FACE_OUTER_BOUND('',#57195,.T.); #35846=FACE_OUTER_BOUND('',#57196,.T.); #35847=FACE_OUTER_BOUND('',#57197,.T.); #35848=FACE_OUTER_BOUND('',#57198,.T.); #35849=FACE_OUTER_BOUND('',#57199,.T.); #35850=FACE_OUTER_BOUND('',#57201,.T.); #35851=FACE_OUTER_BOUND('',#57203,.T.); #35852=FACE_OUTER_BOUND('',#57206,.T.); #35853=FACE_OUTER_BOUND('',#57207,.T.); #35854=FACE_OUTER_BOUND('',#57208,.T.); #35855=FACE_OUTER_BOUND('',#57209,.T.); #35856=FACE_OUTER_BOUND('',#57210,.T.); #35857=FACE_OUTER_BOUND('',#57211,.T.); #35858=FACE_OUTER_BOUND('',#57212,.T.); #35859=FACE_OUTER_BOUND('',#57213,.T.); #35860=FACE_OUTER_BOUND('',#57214,.T.); #35861=FACE_OUTER_BOUND('',#57215,.T.); #35862=FACE_OUTER_BOUND('',#57216,.T.); #35863=FACE_OUTER_BOUND('',#57217,.T.); #35864=FACE_OUTER_BOUND('',#57218,.T.); #35865=FACE_OUTER_BOUND('',#57219,.T.); #35866=FACE_OUTER_BOUND('',#57220,.T.); #35867=FACE_OUTER_BOUND('',#57221,.T.); #35868=FACE_OUTER_BOUND('',#57222,.T.); #35869=FACE_OUTER_BOUND('',#57223,.T.); #35870=FACE_OUTER_BOUND('',#57224,.T.); #35871=FACE_OUTER_BOUND('',#57225,.T.); #35872=FACE_OUTER_BOUND('',#57226,.T.); #35873=FACE_OUTER_BOUND('',#57227,.T.); #35874=FACE_OUTER_BOUND('',#57228,.T.); #35875=FACE_OUTER_BOUND('',#57229,.T.); #35876=FACE_OUTER_BOUND('',#57230,.T.); #35877=FACE_OUTER_BOUND('',#57231,.T.); #35878=FACE_OUTER_BOUND('',#57232,.T.); #35879=FACE_OUTER_BOUND('',#57233,.T.); #35880=FACE_OUTER_BOUND('',#57234,.T.); #35881=FACE_OUTER_BOUND('',#57235,.T.); #35882=FACE_OUTER_BOUND('',#57236,.T.); #35883=FACE_OUTER_BOUND('',#57237,.T.); #35884=FACE_OUTER_BOUND('',#57238,.T.); #35885=FACE_OUTER_BOUND('',#57239,.T.); #35886=FACE_OUTER_BOUND('',#57240,.T.); #35887=FACE_OUTER_BOUND('',#57241,.T.); #35888=FACE_OUTER_BOUND('',#57242,.T.); #35889=FACE_OUTER_BOUND('',#57243,.T.); #35890=FACE_OUTER_BOUND('',#57244,.T.); #35891=FACE_OUTER_BOUND('',#57245,.T.); #35892=FACE_OUTER_BOUND('',#57246,.T.); #35893=FACE_OUTER_BOUND('',#57247,.T.); #35894=FACE_OUTER_BOUND('',#57248,.T.); #35895=FACE_OUTER_BOUND('',#57249,.T.); #35896=FACE_OUTER_BOUND('',#57250,.T.); #35897=FACE_OUTER_BOUND('',#57251,.T.); #35898=FACE_OUTER_BOUND('',#57252,.T.); #35899=FACE_OUTER_BOUND('',#57253,.T.); #35900=FACE_OUTER_BOUND('',#57254,.T.); #35901=FACE_OUTER_BOUND('',#57255,.T.); #35902=FACE_OUTER_BOUND('',#57256,.T.); #35903=FACE_OUTER_BOUND('',#57257,.T.); #35904=FACE_OUTER_BOUND('',#57258,.T.); #35905=FACE_OUTER_BOUND('',#57259,.T.); #35906=FACE_OUTER_BOUND('',#57260,.T.); #35907=FACE_OUTER_BOUND('',#57261,.T.); #35908=FACE_OUTER_BOUND('',#57262,.T.); #35909=FACE_OUTER_BOUND('',#57263,.T.); #35910=FACE_OUTER_BOUND('',#57264,.T.); #35911=FACE_OUTER_BOUND('',#57265,.T.); #35912=FACE_OUTER_BOUND('',#57266,.T.); #35913=FACE_OUTER_BOUND('',#57267,.T.); #35914=FACE_OUTER_BOUND('',#57268,.T.); #35915=FACE_OUTER_BOUND('',#57269,.T.); #35916=FACE_OUTER_BOUND('',#57270,.T.); #35917=FACE_OUTER_BOUND('',#57271,.T.); #35918=FACE_OUTER_BOUND('',#57272,.T.); #35919=FACE_OUTER_BOUND('',#57273,.T.); #35920=FACE_OUTER_BOUND('',#57274,.T.); #35921=FACE_OUTER_BOUND('',#57275,.T.); #35922=FACE_OUTER_BOUND('',#57276,.T.); #35923=FACE_OUTER_BOUND('',#57277,.T.); #35924=FACE_OUTER_BOUND('',#57278,.T.); #35925=FACE_OUTER_BOUND('',#57279,.T.); #35926=FACE_OUTER_BOUND('',#57280,.T.); #35927=FACE_OUTER_BOUND('',#57281,.T.); #35928=FACE_OUTER_BOUND('',#57282,.T.); #35929=FACE_OUTER_BOUND('',#57283,.T.); #35930=FACE_OUTER_BOUND('',#57284,.T.); #35931=FACE_OUTER_BOUND('',#57285,.T.); #35932=FACE_OUTER_BOUND('',#57286,.T.); #35933=FACE_OUTER_BOUND('',#57287,.T.); #35934=FACE_OUTER_BOUND('',#57288,.T.); #35935=FACE_OUTER_BOUND('',#57289,.T.); #35936=FACE_OUTER_BOUND('',#57290,.T.); #35937=FACE_OUTER_BOUND('',#57291,.T.); #35938=FACE_OUTER_BOUND('',#57292,.T.); #35939=FACE_OUTER_BOUND('',#57293,.T.); #35940=FACE_OUTER_BOUND('',#57294,.T.); #35941=FACE_OUTER_BOUND('',#57295,.T.); #35942=FACE_OUTER_BOUND('',#57296,.T.); #35943=FACE_OUTER_BOUND('',#57297,.T.); #35944=FACE_OUTER_BOUND('',#57298,.T.); #35945=FACE_OUTER_BOUND('',#57299,.T.); #35946=FACE_OUTER_BOUND('',#57300,.T.); #35947=FACE_OUTER_BOUND('',#57301,.T.); #35948=FACE_OUTER_BOUND('',#57302,.T.); #35949=FACE_OUTER_BOUND('',#57303,.T.); #35950=FACE_OUTER_BOUND('',#57304,.T.); #35951=FACE_OUTER_BOUND('',#57305,.T.); #35952=FACE_OUTER_BOUND('',#57306,.T.); #35953=FACE_OUTER_BOUND('',#57307,.T.); #35954=FACE_OUTER_BOUND('',#57308,.T.); #35955=FACE_OUTER_BOUND('',#57309,.T.); #35956=FACE_OUTER_BOUND('',#57310,.T.); #35957=FACE_OUTER_BOUND('',#57311,.T.); #35958=FACE_OUTER_BOUND('',#57312,.T.); #35959=FACE_OUTER_BOUND('',#57313,.T.); #35960=FACE_OUTER_BOUND('',#57314,.T.); #35961=FACE_OUTER_BOUND('',#57315,.T.); #35962=FACE_OUTER_BOUND('',#57316,.T.); #35963=FACE_OUTER_BOUND('',#57317,.T.); #35964=FACE_OUTER_BOUND('',#57318,.T.); #35965=FACE_OUTER_BOUND('',#57319,.T.); #35966=FACE_OUTER_BOUND('',#57320,.T.); #35967=FACE_OUTER_BOUND('',#57321,.T.); #35968=FACE_OUTER_BOUND('',#57322,.T.); #35969=FACE_OUTER_BOUND('',#57323,.T.); #35970=FACE_OUTER_BOUND('',#57324,.T.); #35971=FACE_OUTER_BOUND('',#57325,.T.); #35972=FACE_OUTER_BOUND('',#57326,.T.); #35973=FACE_OUTER_BOUND('',#57327,.T.); #35974=FACE_OUTER_BOUND('',#57328,.T.); #35975=FACE_OUTER_BOUND('',#57329,.T.); #35976=FACE_OUTER_BOUND('',#57330,.T.); #35977=FACE_OUTER_BOUND('',#57331,.T.); #35978=FACE_OUTER_BOUND('',#57332,.T.); #35979=FACE_OUTER_BOUND('',#57333,.T.); #35980=FACE_OUTER_BOUND('',#57334,.T.); #35981=FACE_OUTER_BOUND('',#57335,.T.); #35982=FACE_OUTER_BOUND('',#57336,.T.); #35983=FACE_OUTER_BOUND('',#57337,.T.); #35984=FACE_OUTER_BOUND('',#57338,.T.); #35985=FACE_OUTER_BOUND('',#57339,.T.); #35986=FACE_OUTER_BOUND('',#57340,.T.); #35987=FACE_OUTER_BOUND('',#57341,.T.); #35988=FACE_OUTER_BOUND('',#57342,.T.); #35989=FACE_OUTER_BOUND('',#57343,.T.); #35990=FACE_OUTER_BOUND('',#57344,.T.); #35991=FACE_OUTER_BOUND('',#57345,.T.); #35992=FACE_OUTER_BOUND('',#57346,.T.); #35993=FACE_OUTER_BOUND('',#57347,.T.); #35994=FACE_OUTER_BOUND('',#57348,.T.); #35995=FACE_OUTER_BOUND('',#57349,.T.); #35996=FACE_OUTER_BOUND('',#57350,.T.); #35997=FACE_OUTER_BOUND('',#57351,.T.); #35998=FACE_OUTER_BOUND('',#57352,.T.); #35999=FACE_OUTER_BOUND('',#57353,.T.); #36000=FACE_OUTER_BOUND('',#57354,.T.); #36001=FACE_OUTER_BOUND('',#57355,.T.); #36002=FACE_OUTER_BOUND('',#57356,.T.); #36003=FACE_OUTER_BOUND('',#57357,.T.); #36004=FACE_OUTER_BOUND('',#57358,.T.); #36005=FACE_OUTER_BOUND('',#57359,.T.); #36006=FACE_OUTER_BOUND('',#57360,.T.); #36007=FACE_OUTER_BOUND('',#57361,.T.); #36008=FACE_OUTER_BOUND('',#57362,.T.); #36009=FACE_OUTER_BOUND('',#57363,.T.); #36010=FACE_OUTER_BOUND('',#57364,.T.); #36011=FACE_OUTER_BOUND('',#57365,.T.); #36012=FACE_OUTER_BOUND('',#57366,.T.); #36013=FACE_OUTER_BOUND('',#57367,.T.); #36014=FACE_OUTER_BOUND('',#57368,.T.); #36015=FACE_OUTER_BOUND('',#57369,.T.); #36016=FACE_OUTER_BOUND('',#57370,.T.); #36017=FACE_OUTER_BOUND('',#57371,.T.); #36018=FACE_OUTER_BOUND('',#57372,.T.); #36019=FACE_OUTER_BOUND('',#57373,.T.); #36020=FACE_OUTER_BOUND('',#57374,.T.); #36021=FACE_OUTER_BOUND('',#57375,.T.); #36022=FACE_OUTER_BOUND('',#57376,.T.); #36023=FACE_OUTER_BOUND('',#57377,.T.); #36024=FACE_OUTER_BOUND('',#57378,.T.); #36025=FACE_OUTER_BOUND('',#57379,.T.); #36026=FACE_OUTER_BOUND('',#57380,.T.); #36027=FACE_OUTER_BOUND('',#57381,.T.); #36028=FACE_OUTER_BOUND('',#57382,.T.); #36029=FACE_OUTER_BOUND('',#57383,.T.); #36030=FACE_OUTER_BOUND('',#57384,.T.); #36031=FACE_OUTER_BOUND('',#57385,.T.); #36032=FACE_OUTER_BOUND('',#57386,.T.); #36033=FACE_OUTER_BOUND('',#57387,.T.); #36034=FACE_OUTER_BOUND('',#57388,.T.); #36035=FACE_OUTER_BOUND('',#57389,.T.); #36036=FACE_OUTER_BOUND('',#57390,.T.); #36037=FACE_OUTER_BOUND('',#57391,.T.); #36038=FACE_OUTER_BOUND('',#57392,.T.); #36039=FACE_OUTER_BOUND('',#57393,.T.); #36040=FACE_OUTER_BOUND('',#57394,.T.); #36041=FACE_OUTER_BOUND('',#57395,.T.); #36042=FACE_OUTER_BOUND('',#57396,.T.); #36043=FACE_OUTER_BOUND('',#57397,.T.); #36044=FACE_OUTER_BOUND('',#57398,.T.); #36045=FACE_OUTER_BOUND('',#57399,.T.); #36046=FACE_OUTER_BOUND('',#57400,.T.); #36047=FACE_OUTER_BOUND('',#57401,.T.); #36048=FACE_OUTER_BOUND('',#57402,.T.); #36049=FACE_OUTER_BOUND('',#57403,.T.); #36050=FACE_OUTER_BOUND('',#57404,.T.); #36051=FACE_OUTER_BOUND('',#57405,.T.); #36052=FACE_OUTER_BOUND('',#57406,.T.); #36053=FACE_OUTER_BOUND('',#57407,.T.); #36054=FACE_OUTER_BOUND('',#57408,.T.); #36055=FACE_OUTER_BOUND('',#57409,.T.); #36056=FACE_OUTER_BOUND('',#57410,.T.); #36057=FACE_OUTER_BOUND('',#57411,.T.); #36058=FACE_OUTER_BOUND('',#57412,.T.); #36059=FACE_OUTER_BOUND('',#57413,.T.); #36060=FACE_OUTER_BOUND('',#57414,.T.); #36061=FACE_OUTER_BOUND('',#57415,.T.); #36062=FACE_OUTER_BOUND('',#57416,.T.); #36063=FACE_OUTER_BOUND('',#57417,.T.); #36064=FACE_OUTER_BOUND('',#57418,.T.); #36065=FACE_OUTER_BOUND('',#57419,.T.); #36066=FACE_OUTER_BOUND('',#57420,.T.); #36067=FACE_OUTER_BOUND('',#57421,.T.); #36068=FACE_OUTER_BOUND('',#57422,.T.); #36069=FACE_OUTER_BOUND('',#57423,.T.); #36070=FACE_OUTER_BOUND('',#57424,.T.); #36071=FACE_OUTER_BOUND('',#57425,.T.); #36072=FACE_OUTER_BOUND('',#57426,.T.); #36073=FACE_OUTER_BOUND('',#57427,.T.); #36074=FACE_OUTER_BOUND('',#57428,.T.); #36075=FACE_OUTER_BOUND('',#57429,.T.); #36076=FACE_OUTER_BOUND('',#57430,.T.); #36077=FACE_OUTER_BOUND('',#57431,.T.); #36078=FACE_OUTER_BOUND('',#57432,.T.); #36079=FACE_OUTER_BOUND('',#57433,.T.); #36080=FACE_OUTER_BOUND('',#57434,.T.); #36081=FACE_OUTER_BOUND('',#57435,.T.); #36082=FACE_OUTER_BOUND('',#57436,.T.); #36083=FACE_OUTER_BOUND('',#57437,.T.); #36084=FACE_OUTER_BOUND('',#57438,.T.); #36085=FACE_OUTER_BOUND('',#57439,.T.); #36086=FACE_OUTER_BOUND('',#57440,.T.); #36087=FACE_OUTER_BOUND('',#57441,.T.); #36088=FACE_OUTER_BOUND('',#57442,.T.); #36089=FACE_OUTER_BOUND('',#57443,.T.); #36090=FACE_OUTER_BOUND('',#57444,.T.); #36091=FACE_OUTER_BOUND('',#57445,.T.); #36092=FACE_OUTER_BOUND('',#57446,.T.); #36093=FACE_OUTER_BOUND('',#57447,.T.); #36094=FACE_OUTER_BOUND('',#57448,.T.); #36095=FACE_OUTER_BOUND('',#57449,.T.); #36096=FACE_OUTER_BOUND('',#57450,.T.); #36097=FACE_OUTER_BOUND('',#57451,.T.); #36098=FACE_OUTER_BOUND('',#57452,.T.); #36099=FACE_OUTER_BOUND('',#57453,.T.); #36100=FACE_OUTER_BOUND('',#57454,.T.); #36101=FACE_OUTER_BOUND('',#57455,.T.); #36102=FACE_OUTER_BOUND('',#57456,.T.); #36103=FACE_OUTER_BOUND('',#57457,.T.); #36104=FACE_OUTER_BOUND('',#57458,.T.); #36105=FACE_OUTER_BOUND('',#57459,.T.); #36106=FACE_OUTER_BOUND('',#57460,.T.); #36107=FACE_OUTER_BOUND('',#57461,.T.); #36108=FACE_OUTER_BOUND('',#57462,.T.); #36109=FACE_OUTER_BOUND('',#57463,.T.); #36110=FACE_OUTER_BOUND('',#57464,.T.); #36111=FACE_OUTER_BOUND('',#57465,.T.); #36112=FACE_OUTER_BOUND('',#57467,.T.); #36113=FACE_OUTER_BOUND('',#57468,.T.); #36114=FACE_OUTER_BOUND('',#57469,.T.); #36115=FACE_OUTER_BOUND('',#57470,.T.); #36116=FACE_OUTER_BOUND('',#57471,.T.); #36117=FACE_OUTER_BOUND('',#57472,.T.); #36118=FACE_OUTER_BOUND('',#57473,.T.); #36119=FACE_OUTER_BOUND('',#57474,.T.); #36120=FACE_OUTER_BOUND('',#57475,.T.); #36121=FACE_OUTER_BOUND('',#57476,.T.); #36122=FACE_OUTER_BOUND('',#57477,.T.); #36123=FACE_OUTER_BOUND('',#57478,.T.); #36124=FACE_OUTER_BOUND('',#57479,.T.); #36125=FACE_OUTER_BOUND('',#57480,.T.); #36126=FACE_OUTER_BOUND('',#57481,.T.); #36127=FACE_OUTER_BOUND('',#57482,.T.); #36128=FACE_OUTER_BOUND('',#57483,.T.); #36129=FACE_OUTER_BOUND('',#57484,.T.); #36130=FACE_OUTER_BOUND('',#57485,.T.); #36131=FACE_OUTER_BOUND('',#57486,.T.); #36132=FACE_OUTER_BOUND('',#57487,.T.); #36133=FACE_OUTER_BOUND('',#57488,.T.); #36134=FACE_OUTER_BOUND('',#57489,.T.); #36135=FACE_OUTER_BOUND('',#57490,.T.); #36136=FACE_OUTER_BOUND('',#57491,.T.); #36137=FACE_OUTER_BOUND('',#57492,.T.); #36138=FACE_OUTER_BOUND('',#57493,.T.); #36139=FACE_OUTER_BOUND('',#57494,.T.); #36140=FACE_OUTER_BOUND('',#57495,.T.); #36141=FACE_OUTER_BOUND('',#57496,.T.); #36142=FACE_OUTER_BOUND('',#57497,.T.); #36143=FACE_OUTER_BOUND('',#57498,.T.); #36144=FACE_OUTER_BOUND('',#57499,.T.); #36145=FACE_OUTER_BOUND('',#57500,.T.); #36146=FACE_OUTER_BOUND('',#57501,.T.); #36147=FACE_OUTER_BOUND('',#57502,.T.); #36148=FACE_OUTER_BOUND('',#57503,.T.); #36149=FACE_OUTER_BOUND('',#57504,.T.); #36150=FACE_OUTER_BOUND('',#57505,.T.); #36151=FACE_OUTER_BOUND('',#57506,.T.); #36152=FACE_OUTER_BOUND('',#57507,.T.); #36153=FACE_OUTER_BOUND('',#57508,.T.); #36154=FACE_OUTER_BOUND('',#57509,.T.); #36155=FACE_OUTER_BOUND('',#57510,.T.); #36156=FACE_OUTER_BOUND('',#57511,.T.); #36157=FACE_OUTER_BOUND('',#57512,.T.); #36158=FACE_OUTER_BOUND('',#57513,.T.); #36159=FACE_OUTER_BOUND('',#57514,.T.); #36160=FACE_OUTER_BOUND('',#57515,.T.); #36161=FACE_OUTER_BOUND('',#57516,.T.); #36162=FACE_OUTER_BOUND('',#57517,.T.); #36163=FACE_OUTER_BOUND('',#57518,.T.); #36164=FACE_OUTER_BOUND('',#57519,.T.); #36165=FACE_OUTER_BOUND('',#57520,.T.); #36166=FACE_OUTER_BOUND('',#57521,.T.); #36167=FACE_OUTER_BOUND('',#57522,.T.); #36168=FACE_OUTER_BOUND('',#57523,.T.); #36169=FACE_OUTER_BOUND('',#57524,.T.); #36170=FACE_OUTER_BOUND('',#57525,.T.); #36171=FACE_OUTER_BOUND('',#57526,.T.); #36172=FACE_OUTER_BOUND('',#57527,.T.); #36173=FACE_OUTER_BOUND('',#57528,.T.); #36174=FACE_OUTER_BOUND('',#57529,.T.); #36175=FACE_OUTER_BOUND('',#57530,.T.); #36176=FACE_OUTER_BOUND('',#57531,.T.); #36177=FACE_OUTER_BOUND('',#57532,.T.); #36178=FACE_OUTER_BOUND('',#57533,.T.); #36179=FACE_OUTER_BOUND('',#57534,.T.); #36180=FACE_OUTER_BOUND('',#57535,.T.); #36181=FACE_OUTER_BOUND('',#57536,.T.); #36182=FACE_OUTER_BOUND('',#57537,.T.); #36183=FACE_OUTER_BOUND('',#57538,.T.); #36184=FACE_OUTER_BOUND('',#57539,.T.); #36185=FACE_OUTER_BOUND('',#57540,.T.); #36186=FACE_OUTER_BOUND('',#57541,.T.); #36187=FACE_OUTER_BOUND('',#57542,.T.); #36188=FACE_OUTER_BOUND('',#57543,.T.); #36189=FACE_OUTER_BOUND('',#57544,.T.); #36190=FACE_OUTER_BOUND('',#57545,.T.); #36191=FACE_OUTER_BOUND('',#57546,.T.); #36192=FACE_OUTER_BOUND('',#57547,.T.); #36193=FACE_OUTER_BOUND('',#57548,.T.); #36194=FACE_OUTER_BOUND('',#57549,.T.); #36195=FACE_OUTER_BOUND('',#57550,.T.); #36196=FACE_OUTER_BOUND('',#57551,.T.); #36197=FACE_OUTER_BOUND('',#57552,.T.); #36198=FACE_OUTER_BOUND('',#57553,.T.); #36199=FACE_OUTER_BOUND('',#57555,.T.); #36200=FACE_OUTER_BOUND('',#57556,.T.); #36201=FACE_OUTER_BOUND('',#57557,.T.); #36202=FACE_OUTER_BOUND('',#57558,.T.); #36203=FACE_OUTER_BOUND('',#57559,.T.); #36204=FACE_OUTER_BOUND('',#57560,.T.); #36205=FACE_OUTER_BOUND('',#57561,.T.); #36206=FACE_OUTER_BOUND('',#57562,.T.); #36207=FACE_OUTER_BOUND('',#57563,.T.); #36208=FACE_OUTER_BOUND('',#57564,.T.); #36209=FACE_OUTER_BOUND('',#57565,.T.); #36210=FACE_OUTER_BOUND('',#57566,.T.); #36211=FACE_OUTER_BOUND('',#57567,.T.); #36212=FACE_OUTER_BOUND('',#57568,.T.); #36213=FACE_OUTER_BOUND('',#57569,.T.); #36214=FACE_OUTER_BOUND('',#57570,.T.); #36215=FACE_OUTER_BOUND('',#57571,.T.); #36216=FACE_OUTER_BOUND('',#57572,.T.); #36217=FACE_OUTER_BOUND('',#57573,.T.); #36218=FACE_OUTER_BOUND('',#57574,.T.); #36219=FACE_OUTER_BOUND('',#57575,.T.); #36220=FACE_OUTER_BOUND('',#57576,.T.); #36221=FACE_OUTER_BOUND('',#57577,.T.); #36222=FACE_OUTER_BOUND('',#57578,.T.); #36223=FACE_OUTER_BOUND('',#57579,.T.); #36224=FACE_OUTER_BOUND('',#57580,.T.); #36225=FACE_OUTER_BOUND('',#57581,.T.); #36226=FACE_OUTER_BOUND('',#57582,.T.); #36227=FACE_OUTER_BOUND('',#57583,.T.); #36228=FACE_OUTER_BOUND('',#57584,.T.); #36229=FACE_OUTER_BOUND('',#57585,.T.); #36230=FACE_OUTER_BOUND('',#57586,.T.); #36231=FACE_OUTER_BOUND('',#57587,.T.); #36232=FACE_OUTER_BOUND('',#57588,.T.); #36233=FACE_OUTER_BOUND('',#57589,.T.); #36234=FACE_OUTER_BOUND('',#57590,.T.); #36235=FACE_OUTER_BOUND('',#57591,.T.); #36236=FACE_OUTER_BOUND('',#57592,.T.); #36237=FACE_OUTER_BOUND('',#57593,.T.); #36238=FACE_OUTER_BOUND('',#57594,.T.); #36239=FACE_OUTER_BOUND('',#57595,.T.); #36240=FACE_OUTER_BOUND('',#57596,.T.); #36241=FACE_OUTER_BOUND('',#57597,.T.); #36242=FACE_OUTER_BOUND('',#57598,.T.); #36243=FACE_OUTER_BOUND('',#57599,.T.); #36244=FACE_OUTER_BOUND('',#57600,.T.); #36245=FACE_OUTER_BOUND('',#57601,.T.); #36246=FACE_OUTER_BOUND('',#57602,.T.); #36247=FACE_OUTER_BOUND('',#57603,.T.); #36248=FACE_OUTER_BOUND('',#57604,.T.); #36249=FACE_OUTER_BOUND('',#57605,.T.); #36250=FACE_OUTER_BOUND('',#57606,.T.); #36251=FACE_OUTER_BOUND('',#57607,.T.); #36252=FACE_OUTER_BOUND('',#57608,.T.); #36253=FACE_OUTER_BOUND('',#57609,.T.); #36254=FACE_OUTER_BOUND('',#57610,.T.); #36255=FACE_OUTER_BOUND('',#57611,.T.); #36256=FACE_OUTER_BOUND('',#57612,.T.); #36257=FACE_OUTER_BOUND('',#57613,.T.); #36258=FACE_OUTER_BOUND('',#57614,.T.); #36259=FACE_OUTER_BOUND('',#57615,.T.); #36260=FACE_OUTER_BOUND('',#57616,.T.); #36261=FACE_OUTER_BOUND('',#57617,.T.); #36262=FACE_OUTER_BOUND('',#57618,.T.); #36263=FACE_OUTER_BOUND('',#57619,.T.); #36264=FACE_OUTER_BOUND('',#57620,.T.); #36265=FACE_OUTER_BOUND('',#57621,.T.); #36266=FACE_OUTER_BOUND('',#57622,.T.); #36267=FACE_OUTER_BOUND('',#57623,.T.); #36268=FACE_OUTER_BOUND('',#57624,.T.); #36269=FACE_OUTER_BOUND('',#57625,.T.); #36270=FACE_OUTER_BOUND('',#57626,.T.); #36271=FACE_OUTER_BOUND('',#57627,.T.); #36272=FACE_OUTER_BOUND('',#57628,.T.); #36273=FACE_OUTER_BOUND('',#57629,.T.); #36274=FACE_OUTER_BOUND('',#57630,.T.); #36275=FACE_OUTER_BOUND('',#57631,.T.); #36276=FACE_OUTER_BOUND('',#57632,.T.); #36277=FACE_OUTER_BOUND('',#57633,.T.); #36278=FACE_OUTER_BOUND('',#57634,.T.); #36279=FACE_OUTER_BOUND('',#57635,.T.); #36280=FACE_OUTER_BOUND('',#57636,.T.); #36281=FACE_OUTER_BOUND('',#57637,.T.); #36282=FACE_OUTER_BOUND('',#57638,.T.); #36283=FACE_OUTER_BOUND('',#57639,.T.); #36284=FACE_OUTER_BOUND('',#57640,.T.); #36285=FACE_OUTER_BOUND('',#57641,.T.); #36286=FACE_OUTER_BOUND('',#57642,.T.); #36287=FACE_OUTER_BOUND('',#57643,.T.); #36288=FACE_OUTER_BOUND('',#57644,.T.); #36289=FACE_OUTER_BOUND('',#57645,.T.); #36290=FACE_OUTER_BOUND('',#57646,.T.); #36291=FACE_OUTER_BOUND('',#57647,.T.); #36292=FACE_OUTER_BOUND('',#57648,.T.); #36293=FACE_OUTER_BOUND('',#57649,.T.); #36294=FACE_OUTER_BOUND('',#57650,.T.); #36295=FACE_OUTER_BOUND('',#57651,.T.); #36296=FACE_OUTER_BOUND('',#57652,.T.); #36297=FACE_OUTER_BOUND('',#57653,.T.); #36298=FACE_OUTER_BOUND('',#57654,.T.); #36299=FACE_OUTER_BOUND('',#57655,.T.); #36300=FACE_OUTER_BOUND('',#57656,.T.); #36301=FACE_OUTER_BOUND('',#57657,.T.); #36302=FACE_OUTER_BOUND('',#57658,.T.); #36303=FACE_OUTER_BOUND('',#57659,.T.); #36304=FACE_OUTER_BOUND('',#57660,.T.); #36305=FACE_OUTER_BOUND('',#57661,.T.); #36306=FACE_OUTER_BOUND('',#57662,.T.); #36307=FACE_OUTER_BOUND('',#57663,.T.); #36308=FACE_OUTER_BOUND('',#57664,.T.); #36309=FACE_OUTER_BOUND('',#57665,.T.); #36310=FACE_OUTER_BOUND('',#57666,.T.); #36311=FACE_OUTER_BOUND('',#57667,.T.); #36312=FACE_OUTER_BOUND('',#57668,.T.); #36313=FACE_OUTER_BOUND('',#57669,.T.); #36314=FACE_OUTER_BOUND('',#57670,.T.); #36315=FACE_OUTER_BOUND('',#57671,.T.); #36316=FACE_OUTER_BOUND('',#57672,.T.); #36317=FACE_OUTER_BOUND('',#57673,.T.); #36318=FACE_OUTER_BOUND('',#57674,.T.); #36319=FACE_OUTER_BOUND('',#57675,.T.); #36320=FACE_OUTER_BOUND('',#57676,.T.); #36321=FACE_OUTER_BOUND('',#57677,.T.); #36322=FACE_OUTER_BOUND('',#57678,.T.); #36323=FACE_OUTER_BOUND('',#57679,.T.); #36324=FACE_OUTER_BOUND('',#57680,.T.); #36325=FACE_OUTER_BOUND('',#57681,.T.); #36326=FACE_OUTER_BOUND('',#57682,.T.); #36327=FACE_OUTER_BOUND('',#57683,.T.); #36328=FACE_OUTER_BOUND('',#57684,.T.); #36329=FACE_OUTER_BOUND('',#57686,.T.); #36330=FACE_OUTER_BOUND('',#57687,.T.); #36331=FACE_OUTER_BOUND('',#57688,.T.); #36332=FACE_OUTER_BOUND('',#57689,.T.); #36333=FACE_OUTER_BOUND('',#57690,.T.); #36334=FACE_OUTER_BOUND('',#57691,.T.); #36335=FACE_OUTER_BOUND('',#57692,.T.); #36336=FACE_OUTER_BOUND('',#57693,.T.); #36337=FACE_OUTER_BOUND('',#57694,.T.); #36338=FACE_OUTER_BOUND('',#57695,.T.); #36339=FACE_OUTER_BOUND('',#57696,.T.); #36340=FACE_OUTER_BOUND('',#57697,.T.); #36341=FACE_OUTER_BOUND('',#57698,.T.); #36342=FACE_OUTER_BOUND('',#57699,.T.); #36343=FACE_OUTER_BOUND('',#57700,.T.); #36344=FACE_OUTER_BOUND('',#57701,.T.); #36345=FACE_OUTER_BOUND('',#57702,.T.); #36346=FACE_OUTER_BOUND('',#57703,.T.); #36347=FACE_OUTER_BOUND('',#57704,.T.); #36348=FACE_OUTER_BOUND('',#57705,.T.); #36349=FACE_OUTER_BOUND('',#57706,.T.); #36350=FACE_OUTER_BOUND('',#57707,.T.); #36351=FACE_OUTER_BOUND('',#57708,.T.); #36352=FACE_OUTER_BOUND('',#57709,.T.); #36353=FACE_OUTER_BOUND('',#57710,.T.); #36354=FACE_OUTER_BOUND('',#57711,.T.); #36355=FACE_OUTER_BOUND('',#57712,.T.); #36356=FACE_OUTER_BOUND('',#57713,.T.); #36357=FACE_OUTER_BOUND('',#57714,.T.); #36358=FACE_OUTER_BOUND('',#57715,.T.); #36359=FACE_OUTER_BOUND('',#57716,.T.); #36360=FACE_OUTER_BOUND('',#57717,.T.); #36361=FACE_OUTER_BOUND('',#57718,.T.); #36362=FACE_OUTER_BOUND('',#57719,.T.); #36363=FACE_OUTER_BOUND('',#57720,.T.); #36364=FACE_OUTER_BOUND('',#57721,.T.); #36365=FACE_OUTER_BOUND('',#57722,.T.); #36366=FACE_OUTER_BOUND('',#57723,.T.); #36367=FACE_OUTER_BOUND('',#57724,.T.); #36368=FACE_OUTER_BOUND('',#57725,.T.); #36369=FACE_OUTER_BOUND('',#57726,.T.); #36370=FACE_OUTER_BOUND('',#57727,.T.); #36371=FACE_OUTER_BOUND('',#57728,.T.); #36372=FACE_OUTER_BOUND('',#57729,.T.); #36373=FACE_OUTER_BOUND('',#57730,.T.); #36374=FACE_OUTER_BOUND('',#57731,.T.); #36375=FACE_OUTER_BOUND('',#57732,.T.); #36376=FACE_OUTER_BOUND('',#57733,.T.); #36377=FACE_OUTER_BOUND('',#57734,.T.); #36378=FACE_OUTER_BOUND('',#57735,.T.); #36379=FACE_OUTER_BOUND('',#57736,.T.); #36380=FACE_OUTER_BOUND('',#57737,.T.); #36381=FACE_OUTER_BOUND('',#57738,.T.); #36382=FACE_OUTER_BOUND('',#57739,.T.); #36383=FACE_OUTER_BOUND('',#57740,.T.); #36384=FACE_OUTER_BOUND('',#57741,.T.); #36385=FACE_OUTER_BOUND('',#57742,.T.); #36386=FACE_OUTER_BOUND('',#57743,.T.); #36387=FACE_OUTER_BOUND('',#57744,.T.); #36388=FACE_OUTER_BOUND('',#57745,.T.); #36389=FACE_OUTER_BOUND('',#57746,.T.); #36390=FACE_OUTER_BOUND('',#57747,.T.); #36391=FACE_OUTER_BOUND('',#57748,.T.); #36392=FACE_OUTER_BOUND('',#57749,.T.); #36393=FACE_OUTER_BOUND('',#57750,.T.); #36394=FACE_OUTER_BOUND('',#57751,.T.); #36395=FACE_OUTER_BOUND('',#57752,.T.); #36396=FACE_OUTER_BOUND('',#57753,.T.); #36397=FACE_OUTER_BOUND('',#57754,.T.); #36398=FACE_OUTER_BOUND('',#57755,.T.); #36399=FACE_OUTER_BOUND('',#57756,.T.); #36400=FACE_OUTER_BOUND('',#57757,.T.); #36401=FACE_OUTER_BOUND('',#57758,.T.); #36402=FACE_OUTER_BOUND('',#57759,.T.); #36403=FACE_OUTER_BOUND('',#57760,.T.); #36404=FACE_OUTER_BOUND('',#57761,.T.); #36405=FACE_OUTER_BOUND('',#57762,.T.); #36406=FACE_OUTER_BOUND('',#57763,.T.); #36407=FACE_OUTER_BOUND('',#57764,.T.); #36408=FACE_OUTER_BOUND('',#57765,.T.); #36409=FACE_OUTER_BOUND('',#57766,.T.); #36410=FACE_OUTER_BOUND('',#57767,.T.); #36411=FACE_OUTER_BOUND('',#57768,.T.); #36412=FACE_OUTER_BOUND('',#57769,.T.); #36413=FACE_OUTER_BOUND('',#57770,.T.); #36414=FACE_OUTER_BOUND('',#57771,.T.); #36415=FACE_OUTER_BOUND('',#57772,.T.); #36416=FACE_OUTER_BOUND('',#57773,.T.); #36417=FACE_OUTER_BOUND('',#57774,.T.); #36418=FACE_OUTER_BOUND('',#57775,.T.); #36419=FACE_OUTER_BOUND('',#57776,.T.); #36420=FACE_OUTER_BOUND('',#57777,.T.); #36421=FACE_OUTER_BOUND('',#57778,.T.); #36422=FACE_OUTER_BOUND('',#57779,.T.); #36423=FACE_OUTER_BOUND('',#57780,.T.); #36424=FACE_OUTER_BOUND('',#57781,.T.); #36425=FACE_OUTER_BOUND('',#57782,.T.); #36426=FACE_OUTER_BOUND('',#57783,.T.); #36427=FACE_OUTER_BOUND('',#57784,.T.); #36428=FACE_OUTER_BOUND('',#57785,.T.); #36429=FACE_OUTER_BOUND('',#57786,.T.); #36430=FACE_OUTER_BOUND('',#57787,.T.); #36431=FACE_OUTER_BOUND('',#57788,.T.); #36432=FACE_OUTER_BOUND('',#57789,.T.); #36433=FACE_OUTER_BOUND('',#57790,.T.); #36434=FACE_OUTER_BOUND('',#57791,.T.); #36435=FACE_OUTER_BOUND('',#57792,.T.); #36436=FACE_OUTER_BOUND('',#57793,.T.); #36437=FACE_OUTER_BOUND('',#57794,.T.); #36438=FACE_OUTER_BOUND('',#57795,.T.); #36439=FACE_OUTER_BOUND('',#57796,.T.); #36440=FACE_OUTER_BOUND('',#57797,.T.); #36441=FACE_OUTER_BOUND('',#57798,.T.); #36442=FACE_OUTER_BOUND('',#57799,.T.); #36443=FACE_OUTER_BOUND('',#57800,.T.); #36444=FACE_OUTER_BOUND('',#57801,.T.); #36445=FACE_OUTER_BOUND('',#57802,.T.); #36446=FACE_OUTER_BOUND('',#57803,.T.); #36447=FACE_OUTER_BOUND('',#57804,.T.); #36448=FACE_OUTER_BOUND('',#57805,.T.); #36449=FACE_OUTER_BOUND('',#57806,.T.); #36450=FACE_OUTER_BOUND('',#57807,.T.); #36451=FACE_OUTER_BOUND('',#57808,.T.); #36452=FACE_OUTER_BOUND('',#57809,.T.); #36453=FACE_OUTER_BOUND('',#57810,.T.); #36454=FACE_OUTER_BOUND('',#57811,.T.); #36455=FACE_OUTER_BOUND('',#57812,.T.); #36456=FACE_OUTER_BOUND('',#57813,.T.); #36457=FACE_OUTER_BOUND('',#57814,.T.); #36458=FACE_OUTER_BOUND('',#57815,.T.); #36459=FACE_OUTER_BOUND('',#57816,.T.); #36460=FACE_OUTER_BOUND('',#57817,.T.); #36461=FACE_OUTER_BOUND('',#57818,.T.); #36462=FACE_OUTER_BOUND('',#57819,.T.); #36463=FACE_OUTER_BOUND('',#57820,.T.); #36464=FACE_OUTER_BOUND('',#57821,.T.); #36465=FACE_OUTER_BOUND('',#57822,.T.); #36466=FACE_OUTER_BOUND('',#57823,.T.); #36467=FACE_OUTER_BOUND('',#57824,.T.); #36468=FACE_OUTER_BOUND('',#57825,.T.); #36469=FACE_OUTER_BOUND('',#57826,.T.); #36470=FACE_OUTER_BOUND('',#57827,.T.); #36471=FACE_OUTER_BOUND('',#57828,.T.); #36472=FACE_OUTER_BOUND('',#57829,.T.); #36473=FACE_OUTER_BOUND('',#57830,.T.); #36474=FACE_OUTER_BOUND('',#57831,.T.); #36475=FACE_OUTER_BOUND('',#57832,.T.); #36476=FACE_OUTER_BOUND('',#57833,.T.); #36477=FACE_OUTER_BOUND('',#57834,.T.); #36478=FACE_OUTER_BOUND('',#57835,.T.); #36479=FACE_OUTER_BOUND('',#57836,.T.); #36480=FACE_OUTER_BOUND('',#57837,.T.); #36481=FACE_OUTER_BOUND('',#57838,.T.); #36482=FACE_OUTER_BOUND('',#57839,.T.); #36483=FACE_OUTER_BOUND('',#57840,.T.); #36484=FACE_OUTER_BOUND('',#57841,.T.); #36485=FACE_OUTER_BOUND('',#57842,.T.); #36486=FACE_OUTER_BOUND('',#57843,.T.); #36487=FACE_OUTER_BOUND('',#57845,.T.); #36488=FACE_OUTER_BOUND('',#57847,.T.); #36489=FACE_OUTER_BOUND('',#57848,.T.); #36490=FACE_OUTER_BOUND('',#57849,.T.); #36491=FACE_OUTER_BOUND('',#57850,.T.); #36492=FACE_OUTER_BOUND('',#57851,.T.); #36493=FACE_OUTER_BOUND('',#57852,.T.); #36494=FACE_OUTER_BOUND('',#57853,.T.); #36495=FACE_OUTER_BOUND('',#57854,.T.); #36496=FACE_OUTER_BOUND('',#57855,.T.); #36497=FACE_OUTER_BOUND('',#57856,.T.); #36498=FACE_OUTER_BOUND('',#57857,.T.); #36499=FACE_OUTER_BOUND('',#57858,.T.); #36500=FACE_OUTER_BOUND('',#57859,.T.); #36501=FACE_OUTER_BOUND('',#57861,.T.); #36502=FACE_OUTER_BOUND('',#57862,.T.); #36503=FACE_OUTER_BOUND('',#57863,.T.); #36504=FACE_OUTER_BOUND('',#57864,.T.); #36505=FACE_OUTER_BOUND('',#57865,.T.); #36506=FACE_OUTER_BOUND('',#57866,.T.); #36507=FACE_OUTER_BOUND('',#57867,.T.); #36508=FACE_OUTER_BOUND('',#57868,.T.); #36509=FACE_OUTER_BOUND('',#57869,.T.); #36510=FACE_OUTER_BOUND('',#57870,.T.); #36511=FACE_OUTER_BOUND('',#57871,.T.); #36512=FACE_OUTER_BOUND('',#57872,.T.); #36513=FACE_OUTER_BOUND('',#57873,.T.); #36514=FACE_OUTER_BOUND('',#57874,.T.); #36515=FACE_OUTER_BOUND('',#57875,.T.); #36516=FACE_OUTER_BOUND('',#57876,.T.); #36517=FACE_OUTER_BOUND('',#57877,.T.); #36518=FACE_OUTER_BOUND('',#57878,.T.); #36519=FACE_OUTER_BOUND('',#57879,.T.); #36520=FACE_OUTER_BOUND('',#57880,.T.); #36521=FACE_OUTER_BOUND('',#57881,.T.); #36522=FACE_OUTER_BOUND('',#57882,.T.); #36523=FACE_OUTER_BOUND('',#57883,.T.); #36524=FACE_OUTER_BOUND('',#57884,.T.); #36525=FACE_OUTER_BOUND('',#57885,.T.); #36526=FACE_OUTER_BOUND('',#57886,.T.); #36527=FACE_OUTER_BOUND('',#57887,.T.); #36528=FACE_OUTER_BOUND('',#57888,.T.); #36529=FACE_OUTER_BOUND('',#57889,.T.); #36530=FACE_OUTER_BOUND('',#57890,.T.); #36531=FACE_OUTER_BOUND('',#57891,.T.); #36532=FACE_OUTER_BOUND('',#57892,.T.); #36533=FACE_OUTER_BOUND('',#57893,.T.); #36534=FACE_OUTER_BOUND('',#57894,.T.); #36535=FACE_OUTER_BOUND('',#57895,.T.); #36536=FACE_OUTER_BOUND('',#57896,.T.); #36537=FACE_OUTER_BOUND('',#57897,.T.); #36538=FACE_OUTER_BOUND('',#57898,.T.); #36539=FACE_OUTER_BOUND('',#57899,.T.); #36540=FACE_OUTER_BOUND('',#57900,.T.); #36541=FACE_OUTER_BOUND('',#57901,.T.); #36542=FACE_OUTER_BOUND('',#57902,.T.); #36543=FACE_OUTER_BOUND('',#57903,.T.); #36544=FACE_OUTER_BOUND('',#57904,.T.); #36545=FACE_OUTER_BOUND('',#57905,.T.); #36546=FACE_OUTER_BOUND('',#57906,.T.); #36547=FACE_OUTER_BOUND('',#57907,.T.); #36548=FACE_OUTER_BOUND('',#57908,.T.); #36549=FACE_OUTER_BOUND('',#57909,.T.); #36550=FACE_OUTER_BOUND('',#57910,.T.); #36551=FACE_OUTER_BOUND('',#57911,.T.); #36552=FACE_OUTER_BOUND('',#57912,.T.); #36553=FACE_OUTER_BOUND('',#57913,.T.); #36554=FACE_OUTER_BOUND('',#57914,.T.); #36555=FACE_OUTER_BOUND('',#57915,.T.); #36556=FACE_OUTER_BOUND('',#57916,.T.); #36557=FACE_OUTER_BOUND('',#57917,.T.); #36558=FACE_OUTER_BOUND('',#57918,.T.); #36559=FACE_OUTER_BOUND('',#57919,.T.); #36560=FACE_OUTER_BOUND('',#57920,.T.); #36561=FACE_OUTER_BOUND('',#57921,.T.); #36562=FACE_OUTER_BOUND('',#57922,.T.); #36563=FACE_OUTER_BOUND('',#57923,.T.); #36564=FACE_OUTER_BOUND('',#57924,.T.); #36565=FACE_OUTER_BOUND('',#57925,.T.); #36566=FACE_OUTER_BOUND('',#57926,.T.); #36567=FACE_OUTER_BOUND('',#57927,.T.); #36568=FACE_OUTER_BOUND('',#57928,.T.); #36569=FACE_OUTER_BOUND('',#57929,.T.); #36570=FACE_OUTER_BOUND('',#57930,.T.); #36571=FACE_OUTER_BOUND('',#57931,.T.); #36572=FACE_OUTER_BOUND('',#57932,.T.); #36573=FACE_OUTER_BOUND('',#57933,.T.); #36574=FACE_OUTER_BOUND('',#57934,.T.); #36575=FACE_OUTER_BOUND('',#57935,.T.); #36576=FACE_OUTER_BOUND('',#57936,.T.); #36577=FACE_OUTER_BOUND('',#57937,.T.); #36578=FACE_OUTER_BOUND('',#57938,.T.); #36579=FACE_OUTER_BOUND('',#57939,.T.); #36580=FACE_OUTER_BOUND('',#57940,.T.); #36581=FACE_OUTER_BOUND('',#57941,.T.); #36582=FACE_OUTER_BOUND('',#57942,.T.); #36583=FACE_OUTER_BOUND('',#57943,.T.); #36584=FACE_OUTER_BOUND('',#57944,.T.); #36585=FACE_OUTER_BOUND('',#57945,.T.); #36586=FACE_OUTER_BOUND('',#57946,.T.); #36587=FACE_OUTER_BOUND('',#57947,.T.); #36588=FACE_OUTER_BOUND('',#57948,.T.); #36589=FACE_OUTER_BOUND('',#57949,.T.); #36590=FACE_OUTER_BOUND('',#57950,.T.); #36591=FACE_OUTER_BOUND('',#57951,.T.); #36592=FACE_OUTER_BOUND('',#57952,.T.); #36593=FACE_OUTER_BOUND('',#57953,.T.); #36594=FACE_OUTER_BOUND('',#57954,.T.); #36595=FACE_OUTER_BOUND('',#57955,.T.); #36596=FACE_OUTER_BOUND('',#57956,.T.); #36597=FACE_OUTER_BOUND('',#57957,.T.); #36598=FACE_OUTER_BOUND('',#57958,.T.); #36599=FACE_OUTER_BOUND('',#57959,.T.); #36600=FACE_OUTER_BOUND('',#57960,.T.); #36601=FACE_OUTER_BOUND('',#57961,.T.); #36602=FACE_OUTER_BOUND('',#57962,.T.); #36603=FACE_OUTER_BOUND('',#57963,.T.); #36604=FACE_OUTER_BOUND('',#57964,.T.); #36605=FACE_OUTER_BOUND('',#57965,.T.); #36606=FACE_OUTER_BOUND('',#57966,.T.); #36607=FACE_OUTER_BOUND('',#57967,.T.); #36608=FACE_OUTER_BOUND('',#57968,.T.); #36609=FACE_OUTER_BOUND('',#57969,.T.); #36610=FACE_OUTER_BOUND('',#57970,.T.); #36611=FACE_OUTER_BOUND('',#57971,.T.); #36612=FACE_OUTER_BOUND('',#57972,.T.); #36613=FACE_OUTER_BOUND('',#57973,.T.); #36614=FACE_OUTER_BOUND('',#57974,.T.); #36615=FACE_OUTER_BOUND('',#57975,.T.); #36616=FACE_OUTER_BOUND('',#57976,.T.); #36617=FACE_OUTER_BOUND('',#57977,.T.); #36618=FACE_OUTER_BOUND('',#57978,.T.); #36619=FACE_OUTER_BOUND('',#57979,.T.); #36620=FACE_OUTER_BOUND('',#57980,.T.); #36621=FACE_OUTER_BOUND('',#57981,.T.); #36622=FACE_OUTER_BOUND('',#57982,.T.); #36623=FACE_OUTER_BOUND('',#57983,.T.); #36624=FACE_OUTER_BOUND('',#57984,.T.); #36625=FACE_OUTER_BOUND('',#57985,.T.); #36626=FACE_OUTER_BOUND('',#57986,.T.); #36627=FACE_OUTER_BOUND('',#57987,.T.); #36628=FACE_OUTER_BOUND('',#57988,.T.); #36629=FACE_OUTER_BOUND('',#57989,.T.); #36630=FACE_OUTER_BOUND('',#57990,.T.); #36631=FACE_OUTER_BOUND('',#57991,.T.); #36632=FACE_OUTER_BOUND('',#57992,.T.); #36633=FACE_OUTER_BOUND('',#57993,.T.); #36634=FACE_OUTER_BOUND('',#57994,.T.); #36635=FACE_OUTER_BOUND('',#57995,.T.); #36636=FACE_OUTER_BOUND('',#57996,.T.); #36637=FACE_OUTER_BOUND('',#57997,.T.); #36638=FACE_OUTER_BOUND('',#57998,.T.); #36639=FACE_OUTER_BOUND('',#57999,.T.); #36640=FACE_OUTER_BOUND('',#58000,.T.); #36641=FACE_OUTER_BOUND('',#58001,.T.); #36642=FACE_OUTER_BOUND('',#58002,.T.); #36643=FACE_OUTER_BOUND('',#58003,.T.); #36644=FACE_OUTER_BOUND('',#58004,.T.); #36645=FACE_OUTER_BOUND('',#58005,.T.); #36646=FACE_OUTER_BOUND('',#58006,.T.); #36647=FACE_OUTER_BOUND('',#58007,.T.); #36648=FACE_OUTER_BOUND('',#58008,.T.); #36649=FACE_OUTER_BOUND('',#58009,.T.); #36650=FACE_OUTER_BOUND('',#58010,.T.); #36651=FACE_OUTER_BOUND('',#58011,.T.); #36652=FACE_OUTER_BOUND('',#58012,.T.); #36653=FACE_OUTER_BOUND('',#58013,.T.); #36654=FACE_OUTER_BOUND('',#58014,.T.); #36655=FACE_OUTER_BOUND('',#58015,.T.); #36656=FACE_OUTER_BOUND('',#58016,.T.); #36657=FACE_OUTER_BOUND('',#58017,.T.); #36658=FACE_OUTER_BOUND('',#58018,.T.); #36659=FACE_OUTER_BOUND('',#58019,.T.); #36660=FACE_OUTER_BOUND('',#58020,.T.); #36661=FACE_OUTER_BOUND('',#58021,.T.); #36662=FACE_OUTER_BOUND('',#58022,.T.); #36663=FACE_OUTER_BOUND('',#58023,.T.); #36664=FACE_OUTER_BOUND('',#58024,.T.); #36665=FACE_OUTER_BOUND('',#58025,.T.); #36666=FACE_OUTER_BOUND('',#58026,.T.); #36667=FACE_OUTER_BOUND('',#58027,.T.); #36668=FACE_OUTER_BOUND('',#58028,.T.); #36669=FACE_OUTER_BOUND('',#58029,.T.); #36670=FACE_OUTER_BOUND('',#58030,.T.); #36671=FACE_OUTER_BOUND('',#58031,.T.); #36672=FACE_OUTER_BOUND('',#58032,.T.); #36673=FACE_OUTER_BOUND('',#58033,.T.); #36674=FACE_OUTER_BOUND('',#58034,.T.); #36675=FACE_OUTER_BOUND('',#58035,.T.); #36676=FACE_OUTER_BOUND('',#58036,.T.); #36677=FACE_OUTER_BOUND('',#58037,.T.); #36678=FACE_OUTER_BOUND('',#58038,.T.); #36679=FACE_OUTER_BOUND('',#58039,.T.); #36680=FACE_OUTER_BOUND('',#58040,.T.); #36681=FACE_OUTER_BOUND('',#58041,.T.); #36682=FACE_OUTER_BOUND('',#58042,.T.); #36683=FACE_OUTER_BOUND('',#58043,.T.); #36684=FACE_OUTER_BOUND('',#58044,.T.); #36685=FACE_OUTER_BOUND('',#58047,.T.); #36686=FACE_OUTER_BOUND('',#58048,.T.); #36687=FACE_OUTER_BOUND('',#58049,.T.); #36688=FACE_OUTER_BOUND('',#58050,.T.); #36689=FACE_OUTER_BOUND('',#58051,.T.); #36690=FACE_OUTER_BOUND('',#58052,.T.); #36691=FACE_OUTER_BOUND('',#58053,.T.); #36692=FACE_OUTER_BOUND('',#58054,.T.); #36693=FACE_OUTER_BOUND('',#58055,.T.); #36694=FACE_OUTER_BOUND('',#58056,.T.); #36695=FACE_OUTER_BOUND('',#58057,.T.); #36696=FACE_OUTER_BOUND('',#58058,.T.); #36697=FACE_OUTER_BOUND('',#58060,.T.); #36698=FACE_OUTER_BOUND('',#58061,.T.); #36699=FACE_OUTER_BOUND('',#58062,.T.); #36700=FACE_OUTER_BOUND('',#58063,.T.); #36701=FACE_OUTER_BOUND('',#58064,.T.); #36702=FACE_OUTER_BOUND('',#58065,.T.); #36703=FACE_OUTER_BOUND('',#58066,.T.); #36704=FACE_OUTER_BOUND('',#58067,.T.); #36705=FACE_OUTER_BOUND('',#58068,.T.); #36706=FACE_OUTER_BOUND('',#58069,.T.); #36707=FACE_OUTER_BOUND('',#58070,.T.); #36708=FACE_OUTER_BOUND('',#58071,.T.); #36709=FACE_OUTER_BOUND('',#58072,.T.); #36710=FACE_OUTER_BOUND('',#58073,.T.); #36711=FACE_OUTER_BOUND('',#58074,.T.); #36712=FACE_OUTER_BOUND('',#58075,.T.); #36713=FACE_OUTER_BOUND('',#58076,.T.); #36714=FACE_OUTER_BOUND('',#58077,.T.); #36715=FACE_OUTER_BOUND('',#58078,.T.); #36716=FACE_OUTER_BOUND('',#58079,.T.); #36717=FACE_OUTER_BOUND('',#58080,.T.); #36718=FACE_OUTER_BOUND('',#58082,.T.); #36719=FACE_OUTER_BOUND('',#58083,.T.); #36720=FACE_OUTER_BOUND('',#58084,.T.); #36721=FACE_OUTER_BOUND('',#58085,.T.); #36722=FACE_OUTER_BOUND('',#58086,.T.); #36723=FACE_OUTER_BOUND('',#58087,.T.); #36724=FACE_OUTER_BOUND('',#58088,.T.); #36725=FACE_OUTER_BOUND('',#58089,.T.); #36726=FACE_OUTER_BOUND('',#58090,.T.); #36727=FACE_OUTER_BOUND('',#58091,.T.); #36728=FACE_OUTER_BOUND('',#58092,.T.); #36729=FACE_OUTER_BOUND('',#58093,.T.); #36730=FACE_OUTER_BOUND('',#58094,.T.); #36731=FACE_OUTER_BOUND('',#58095,.T.); #36732=FACE_OUTER_BOUND('',#58096,.T.); #36733=FACE_OUTER_BOUND('',#58097,.T.); #36734=FACE_OUTER_BOUND('',#58098,.T.); #36735=FACE_OUTER_BOUND('',#58099,.T.); #36736=FACE_OUTER_BOUND('',#58100,.T.); #36737=FACE_OUTER_BOUND('',#58101,.T.); #36738=FACE_OUTER_BOUND('',#58102,.T.); #36739=FACE_OUTER_BOUND('',#58103,.T.); #36740=FACE_OUTER_BOUND('',#58104,.T.); #36741=FACE_OUTER_BOUND('',#58105,.T.); #36742=FACE_OUTER_BOUND('',#58106,.T.); #36743=FACE_OUTER_BOUND('',#58107,.T.); #36744=FACE_OUTER_BOUND('',#58108,.T.); #36745=FACE_OUTER_BOUND('',#58109,.T.); #36746=FACE_OUTER_BOUND('',#58110,.T.); #36747=FACE_OUTER_BOUND('',#58111,.T.); #36748=FACE_OUTER_BOUND('',#58112,.T.); #36749=FACE_OUTER_BOUND('',#58113,.T.); #36750=FACE_OUTER_BOUND('',#58117,.T.); #36751=FACE_OUTER_BOUND('',#58118,.T.); #36752=FACE_OUTER_BOUND('',#58119,.T.); #36753=FACE_OUTER_BOUND('',#58120,.T.); #36754=FACE_OUTER_BOUND('',#58121,.T.); #36755=FACE_OUTER_BOUND('',#58122,.T.); #36756=FACE_OUTER_BOUND('',#58123,.T.); #36757=FACE_OUTER_BOUND('',#58124,.T.); #36758=FACE_OUTER_BOUND('',#58125,.T.); #36759=FACE_OUTER_BOUND('',#58126,.T.); #36760=FACE_OUTER_BOUND('',#58127,.T.); #36761=FACE_OUTER_BOUND('',#58128,.T.); #36762=FACE_OUTER_BOUND('',#58129,.T.); #36763=FACE_OUTER_BOUND('',#58130,.T.); #36764=FACE_OUTER_BOUND('',#58131,.T.); #36765=FACE_OUTER_BOUND('',#58132,.T.); #36766=FACE_OUTER_BOUND('',#58133,.T.); #36767=FACE_OUTER_BOUND('',#58134,.T.); #36768=FACE_OUTER_BOUND('',#58135,.T.); #36769=FACE_OUTER_BOUND('',#58136,.T.); #36770=FACE_OUTER_BOUND('',#58137,.T.); #36771=FACE_OUTER_BOUND('',#58138,.T.); #36772=FACE_OUTER_BOUND('',#58139,.T.); #36773=FACE_OUTER_BOUND('',#58140,.T.); #36774=FACE_OUTER_BOUND('',#58141,.T.); #36775=FACE_OUTER_BOUND('',#58142,.T.); #36776=FACE_OUTER_BOUND('',#58143,.T.); #36777=FACE_OUTER_BOUND('',#58144,.T.); #36778=FACE_OUTER_BOUND('',#58145,.T.); #36779=FACE_OUTER_BOUND('',#58146,.T.); #36780=FACE_OUTER_BOUND('',#58147,.T.); #36781=FACE_OUTER_BOUND('',#58148,.T.); #36782=FACE_OUTER_BOUND('',#58149,.T.); #36783=FACE_OUTER_BOUND('',#58150,.T.); #36784=FACE_OUTER_BOUND('',#58151,.T.); #36785=FACE_OUTER_BOUND('',#58152,.T.); #36786=FACE_OUTER_BOUND('',#58153,.T.); #36787=FACE_OUTER_BOUND('',#58154,.T.); #36788=FACE_OUTER_BOUND('',#58155,.T.); #36789=FACE_OUTER_BOUND('',#58156,.T.); #36790=FACE_OUTER_BOUND('',#58157,.T.); #36791=FACE_OUTER_BOUND('',#58158,.T.); #36792=FACE_OUTER_BOUND('',#58159,.T.); #36793=FACE_OUTER_BOUND('',#58160,.T.); #36794=FACE_OUTER_BOUND('',#58161,.T.); #36795=FACE_OUTER_BOUND('',#58162,.T.); #36796=FACE_OUTER_BOUND('',#58163,.T.); #36797=FACE_OUTER_BOUND('',#58164,.T.); #36798=FACE_OUTER_BOUND('',#58165,.T.); #36799=FACE_OUTER_BOUND('',#58166,.T.); #36800=FACE_OUTER_BOUND('',#58167,.T.); #36801=FACE_OUTER_BOUND('',#58168,.T.); #36802=FACE_OUTER_BOUND('',#58169,.T.); #36803=FACE_OUTER_BOUND('',#58170,.T.); #36804=FACE_OUTER_BOUND('',#58171,.T.); #36805=FACE_OUTER_BOUND('',#58172,.T.); #36806=FACE_OUTER_BOUND('',#58173,.T.); #36807=FACE_OUTER_BOUND('',#58174,.T.); #36808=FACE_OUTER_BOUND('',#58175,.T.); #36809=FACE_OUTER_BOUND('',#58176,.T.); #36810=FACE_OUTER_BOUND('',#58177,.T.); #36811=FACE_OUTER_BOUND('',#58178,.T.); #36812=FACE_OUTER_BOUND('',#58179,.T.); #36813=FACE_OUTER_BOUND('',#58180,.T.); #36814=FACE_OUTER_BOUND('',#58181,.T.); #36815=FACE_OUTER_BOUND('',#58182,.T.); #36816=FACE_OUTER_BOUND('',#58183,.T.); #36817=FACE_OUTER_BOUND('',#58184,.T.); #36818=FACE_OUTER_BOUND('',#58185,.T.); #36819=FACE_OUTER_BOUND('',#58186,.T.); #36820=FACE_OUTER_BOUND('',#58187,.T.); #36821=FACE_OUTER_BOUND('',#58188,.T.); #36822=FACE_OUTER_BOUND('',#58189,.T.); #36823=FACE_OUTER_BOUND('',#58190,.T.); #36824=FACE_OUTER_BOUND('',#58191,.T.); #36825=FACE_OUTER_BOUND('',#58192,.T.); #36826=FACE_OUTER_BOUND('',#58193,.T.); #36827=FACE_OUTER_BOUND('',#58194,.T.); #36828=FACE_OUTER_BOUND('',#58195,.T.); #36829=FACE_OUTER_BOUND('',#58196,.T.); #36830=FACE_OUTER_BOUND('',#58197,.T.); #36831=FACE_OUTER_BOUND('',#58198,.T.); #36832=FACE_OUTER_BOUND('',#58199,.T.); #36833=FACE_OUTER_BOUND('',#58200,.T.); #36834=FACE_OUTER_BOUND('',#58201,.T.); #36835=FACE_OUTER_BOUND('',#58202,.T.); #36836=FACE_OUTER_BOUND('',#58203,.T.); #36837=FACE_OUTER_BOUND('',#58204,.T.); #36838=FACE_OUTER_BOUND('',#58205,.T.); #36839=FACE_OUTER_BOUND('',#58206,.T.); #36840=FACE_OUTER_BOUND('',#58207,.T.); #36841=FACE_OUTER_BOUND('',#58208,.T.); #36842=FACE_OUTER_BOUND('',#58209,.T.); #36843=FACE_OUTER_BOUND('',#58210,.T.); #36844=FACE_OUTER_BOUND('',#58211,.T.); #36845=FACE_OUTER_BOUND('',#58212,.T.); #36846=FACE_OUTER_BOUND('',#58213,.T.); #36847=FACE_OUTER_BOUND('',#58214,.T.); #36848=FACE_OUTER_BOUND('',#58215,.T.); #36849=FACE_OUTER_BOUND('',#58216,.T.); #36850=FACE_OUTER_BOUND('',#58217,.T.); #36851=FACE_OUTER_BOUND('',#58218,.T.); #36852=FACE_OUTER_BOUND('',#58219,.T.); #36853=FACE_OUTER_BOUND('',#58220,.T.); #36854=FACE_OUTER_BOUND('',#58221,.T.); #36855=FACE_OUTER_BOUND('',#58222,.T.); #36856=FACE_OUTER_BOUND('',#58223,.T.); #36857=FACE_OUTER_BOUND('',#58224,.T.); #36858=FACE_OUTER_BOUND('',#58225,.T.); #36859=FACE_OUTER_BOUND('',#58226,.T.); #36860=FACE_OUTER_BOUND('',#58227,.T.); #36861=FACE_OUTER_BOUND('',#58228,.T.); #36862=FACE_OUTER_BOUND('',#58229,.T.); #36863=FACE_OUTER_BOUND('',#58230,.T.); #36864=FACE_OUTER_BOUND('',#58231,.T.); #36865=FACE_OUTER_BOUND('',#58232,.T.); #36866=FACE_OUTER_BOUND('',#58233,.T.); #36867=FACE_OUTER_BOUND('',#58234,.T.); #36868=FACE_OUTER_BOUND('',#58235,.T.); #36869=FACE_OUTER_BOUND('',#58236,.T.); #36870=FACE_OUTER_BOUND('',#58237,.T.); #36871=FACE_OUTER_BOUND('',#58238,.T.); #36872=FACE_OUTER_BOUND('',#58239,.T.); #36873=FACE_OUTER_BOUND('',#58240,.T.); #36874=FACE_OUTER_BOUND('',#58241,.T.); #36875=FACE_OUTER_BOUND('',#58242,.T.); #36876=FACE_OUTER_BOUND('',#58243,.T.); #36877=FACE_OUTER_BOUND('',#58244,.T.); #36878=FACE_OUTER_BOUND('',#58245,.T.); #36879=FACE_OUTER_BOUND('',#58246,.T.); #36880=FACE_OUTER_BOUND('',#58247,.T.); #36881=FACE_OUTER_BOUND('',#58248,.T.); #36882=FACE_OUTER_BOUND('',#58249,.T.); #36883=FACE_OUTER_BOUND('',#58250,.T.); #36884=FACE_OUTER_BOUND('',#58251,.T.); #36885=FACE_OUTER_BOUND('',#58252,.T.); #36886=FACE_OUTER_BOUND('',#58253,.T.); #36887=FACE_OUTER_BOUND('',#58254,.T.); #36888=FACE_OUTER_BOUND('',#58255,.T.); #36889=FACE_OUTER_BOUND('',#58256,.T.); #36890=FACE_OUTER_BOUND('',#58257,.T.); #36891=FACE_OUTER_BOUND('',#58258,.T.); #36892=FACE_OUTER_BOUND('',#58259,.T.); #36893=FACE_OUTER_BOUND('',#58260,.T.); #36894=FACE_OUTER_BOUND('',#58261,.T.); #36895=FACE_OUTER_BOUND('',#58262,.T.); #36896=FACE_OUTER_BOUND('',#58263,.T.); #36897=FACE_OUTER_BOUND('',#58264,.T.); #36898=FACE_OUTER_BOUND('',#58265,.T.); #36899=FACE_OUTER_BOUND('',#58266,.T.); #36900=FACE_OUTER_BOUND('',#58267,.T.); #36901=FACE_OUTER_BOUND('',#58268,.T.); #36902=FACE_OUTER_BOUND('',#58269,.T.); #36903=FACE_OUTER_BOUND('',#58270,.T.); #36904=FACE_OUTER_BOUND('',#58271,.T.); #36905=FACE_OUTER_BOUND('',#58272,.T.); #36906=FACE_OUTER_BOUND('',#58274,.T.); #36907=FACE_OUTER_BOUND('',#58275,.T.); #36908=FACE_OUTER_BOUND('',#58276,.T.); #36909=FACE_OUTER_BOUND('',#58277,.T.); #36910=FACE_OUTER_BOUND('',#58278,.T.); #36911=FACE_OUTER_BOUND('',#58279,.T.); #36912=FACE_OUTER_BOUND('',#58280,.T.); #36913=FACE_OUTER_BOUND('',#58281,.T.); #36914=FACE_OUTER_BOUND('',#58282,.T.); #36915=FACE_OUTER_BOUND('',#58283,.T.); #36916=FACE_OUTER_BOUND('',#58284,.T.); #36917=FACE_OUTER_BOUND('',#58285,.T.); #36918=FACE_OUTER_BOUND('',#58286,.T.); #36919=FACE_OUTER_BOUND('',#58287,.T.); #36920=FACE_OUTER_BOUND('',#58288,.T.); #36921=FACE_OUTER_BOUND('',#58289,.T.); #36922=FACE_OUTER_BOUND('',#58290,.T.); #36923=FACE_OUTER_BOUND('',#58291,.T.); #36924=FACE_OUTER_BOUND('',#58292,.T.); #36925=FACE_OUTER_BOUND('',#58293,.T.); #36926=FACE_OUTER_BOUND('',#58294,.T.); #36927=FACE_OUTER_BOUND('',#58295,.T.); #36928=FACE_OUTER_BOUND('',#58296,.T.); #36929=FACE_OUTER_BOUND('',#58297,.T.); #36930=FACE_OUTER_BOUND('',#58298,.T.); #36931=FACE_OUTER_BOUND('',#58299,.T.); #36932=FACE_OUTER_BOUND('',#58300,.T.); #36933=FACE_OUTER_BOUND('',#58301,.T.); #36934=FACE_OUTER_BOUND('',#58302,.T.); #36935=FACE_OUTER_BOUND('',#58303,.T.); #36936=FACE_OUTER_BOUND('',#58304,.T.); #36937=FACE_OUTER_BOUND('',#58305,.T.); #36938=FACE_OUTER_BOUND('',#58306,.T.); #36939=FACE_OUTER_BOUND('',#58307,.T.); #36940=FACE_OUTER_BOUND('',#58308,.T.); #36941=FACE_OUTER_BOUND('',#58309,.T.); #36942=FACE_OUTER_BOUND('',#58310,.T.); #36943=FACE_OUTER_BOUND('',#58311,.T.); #36944=FACE_OUTER_BOUND('',#58312,.T.); #36945=FACE_OUTER_BOUND('',#58313,.T.); #36946=FACE_OUTER_BOUND('',#58314,.T.); #36947=FACE_OUTER_BOUND('',#58315,.T.); #36948=FACE_OUTER_BOUND('',#58316,.T.); #36949=FACE_OUTER_BOUND('',#58317,.T.); #36950=FACE_OUTER_BOUND('',#58318,.T.); #36951=FACE_OUTER_BOUND('',#58319,.T.); #36952=FACE_OUTER_BOUND('',#58320,.T.); #36953=FACE_OUTER_BOUND('',#58321,.T.); #36954=FACE_OUTER_BOUND('',#58322,.T.); #36955=FACE_OUTER_BOUND('',#58323,.T.); #36956=FACE_OUTER_BOUND('',#58324,.T.); #36957=FACE_OUTER_BOUND('',#58325,.T.); #36958=FACE_OUTER_BOUND('',#58326,.T.); #36959=FACE_OUTER_BOUND('',#58327,.T.); #36960=FACE_OUTER_BOUND('',#58328,.T.); #36961=FACE_OUTER_BOUND('',#58329,.T.); #36962=FACE_OUTER_BOUND('',#58330,.T.); #36963=FACE_OUTER_BOUND('',#58331,.T.); #36964=FACE_OUTER_BOUND('',#58332,.T.); #36965=FACE_OUTER_BOUND('',#58333,.T.); #36966=FACE_OUTER_BOUND('',#58334,.T.); #36967=FACE_OUTER_BOUND('',#58335,.T.); #36968=FACE_OUTER_BOUND('',#58336,.T.); #36969=FACE_OUTER_BOUND('',#58337,.T.); #36970=FACE_OUTER_BOUND('',#58338,.T.); #36971=FACE_OUTER_BOUND('',#58339,.T.); #36972=FACE_OUTER_BOUND('',#58340,.T.); #36973=FACE_OUTER_BOUND('',#58341,.T.); #36974=FACE_OUTER_BOUND('',#58342,.T.); #36975=FACE_OUTER_BOUND('',#58343,.T.); #36976=FACE_OUTER_BOUND('',#58344,.T.); #36977=FACE_OUTER_BOUND('',#58345,.T.); #36978=FACE_OUTER_BOUND('',#58346,.T.); #36979=FACE_OUTER_BOUND('',#58347,.T.); #36980=FACE_OUTER_BOUND('',#58348,.T.); #36981=FACE_OUTER_BOUND('',#58349,.T.); #36982=FACE_OUTER_BOUND('',#58350,.T.); #36983=FACE_OUTER_BOUND('',#58351,.T.); #36984=FACE_OUTER_BOUND('',#58352,.T.); #36985=FACE_OUTER_BOUND('',#58353,.T.); #36986=FACE_OUTER_BOUND('',#58354,.T.); #36987=FACE_OUTER_BOUND('',#58355,.T.); #36988=FACE_OUTER_BOUND('',#58356,.T.); #36989=FACE_OUTER_BOUND('',#58357,.T.); #36990=FACE_OUTER_BOUND('',#58358,.T.); #36991=FACE_OUTER_BOUND('',#58359,.T.); #36992=FACE_OUTER_BOUND('',#58360,.T.); #36993=FACE_OUTER_BOUND('',#58361,.T.); #36994=FACE_OUTER_BOUND('',#58362,.T.); #36995=FACE_OUTER_BOUND('',#58363,.T.); #36996=FACE_OUTER_BOUND('',#58364,.T.); #36997=FACE_OUTER_BOUND('',#58365,.T.); #36998=FACE_OUTER_BOUND('',#58366,.T.); #36999=FACE_OUTER_BOUND('',#58367,.T.); #37000=FACE_OUTER_BOUND('',#58368,.T.); #37001=FACE_OUTER_BOUND('',#58369,.T.); #37002=FACE_OUTER_BOUND('',#58370,.T.); #37003=FACE_OUTER_BOUND('',#58371,.T.); #37004=FACE_OUTER_BOUND('',#58372,.T.); #37005=FACE_OUTER_BOUND('',#58373,.T.); #37006=FACE_OUTER_BOUND('',#58374,.T.); #37007=FACE_OUTER_BOUND('',#58375,.T.); #37008=FACE_OUTER_BOUND('',#58376,.T.); #37009=FACE_OUTER_BOUND('',#58377,.T.); #37010=FACE_OUTER_BOUND('',#58378,.T.); #37011=FACE_OUTER_BOUND('',#58379,.T.); #37012=FACE_OUTER_BOUND('',#58380,.T.); #37013=FACE_OUTER_BOUND('',#58381,.T.); #37014=FACE_OUTER_BOUND('',#58382,.T.); #37015=FACE_OUTER_BOUND('',#58383,.T.); #37016=FACE_OUTER_BOUND('',#58384,.T.); #37017=FACE_OUTER_BOUND('',#58385,.T.); #37018=FACE_OUTER_BOUND('',#58386,.T.); #37019=FACE_OUTER_BOUND('',#58387,.T.); #37020=FACE_OUTER_BOUND('',#58388,.T.); #37021=FACE_OUTER_BOUND('',#58389,.T.); #37022=FACE_OUTER_BOUND('',#58390,.T.); #37023=FACE_OUTER_BOUND('',#58391,.T.); #37024=FACE_OUTER_BOUND('',#58392,.T.); #37025=FACE_OUTER_BOUND('',#58393,.T.); #37026=FACE_OUTER_BOUND('',#58394,.T.); #37027=FACE_OUTER_BOUND('',#58395,.T.); #37028=FACE_OUTER_BOUND('',#58396,.T.); #37029=FACE_OUTER_BOUND('',#58397,.T.); #37030=FACE_OUTER_BOUND('',#58398,.T.); #37031=FACE_OUTER_BOUND('',#58399,.T.); #37032=FACE_OUTER_BOUND('',#58400,.T.); #37033=FACE_OUTER_BOUND('',#58401,.T.); #37034=FACE_OUTER_BOUND('',#58402,.T.); #37035=FACE_OUTER_BOUND('',#58403,.T.); #37036=FACE_OUTER_BOUND('',#58404,.T.); #37037=FACE_OUTER_BOUND('',#58405,.T.); #37038=FACE_OUTER_BOUND('',#58406,.T.); #37039=FACE_OUTER_BOUND('',#58407,.T.); #37040=FACE_OUTER_BOUND('',#58408,.T.); #37041=FACE_OUTER_BOUND('',#58409,.T.); #37042=FACE_OUTER_BOUND('',#58410,.T.); #37043=FACE_OUTER_BOUND('',#58411,.T.); #37044=FACE_OUTER_BOUND('',#58412,.T.); #37045=FACE_OUTER_BOUND('',#58413,.T.); #37046=FACE_OUTER_BOUND('',#58414,.T.); #37047=FACE_OUTER_BOUND('',#58415,.T.); #37048=FACE_OUTER_BOUND('',#58416,.T.); #37049=FACE_OUTER_BOUND('',#58417,.T.); #37050=FACE_OUTER_BOUND('',#58418,.T.); #37051=FACE_OUTER_BOUND('',#58419,.T.); #37052=FACE_OUTER_BOUND('',#58420,.T.); #37053=FACE_OUTER_BOUND('',#58421,.T.); #37054=FACE_OUTER_BOUND('',#58422,.T.); #37055=FACE_OUTER_BOUND('',#58423,.T.); #37056=FACE_OUTER_BOUND('',#58424,.T.); #37057=FACE_OUTER_BOUND('',#58425,.T.); #37058=FACE_OUTER_BOUND('',#58426,.T.); #37059=FACE_OUTER_BOUND('',#58427,.T.); #37060=FACE_OUTER_BOUND('',#58428,.T.); #37061=FACE_OUTER_BOUND('',#58429,.T.); #37062=FACE_OUTER_BOUND('',#58430,.T.); #37063=FACE_OUTER_BOUND('',#58431,.T.); #37064=FACE_OUTER_BOUND('',#58432,.T.); #37065=FACE_OUTER_BOUND('',#58433,.T.); #37066=FACE_OUTER_BOUND('',#58434,.T.); #37067=FACE_OUTER_BOUND('',#58435,.T.); #37068=FACE_OUTER_BOUND('',#58436,.T.); #37069=FACE_OUTER_BOUND('',#58437,.T.); #37070=FACE_OUTER_BOUND('',#58438,.T.); #37071=FACE_OUTER_BOUND('',#58439,.T.); #37072=FACE_OUTER_BOUND('',#58440,.T.); #37073=FACE_OUTER_BOUND('',#58441,.T.); #37074=FACE_OUTER_BOUND('',#58442,.T.); #37075=FACE_OUTER_BOUND('',#58443,.T.); #37076=FACE_OUTER_BOUND('',#58444,.T.); #37077=FACE_OUTER_BOUND('',#58445,.T.); #37078=FACE_OUTER_BOUND('',#58446,.T.); #37079=FACE_OUTER_BOUND('',#58447,.T.); #37080=FACE_OUTER_BOUND('',#58448,.T.); #37081=FACE_OUTER_BOUND('',#58449,.T.); #37082=FACE_OUTER_BOUND('',#58450,.T.); #37083=FACE_OUTER_BOUND('',#58451,.T.); #37084=FACE_OUTER_BOUND('',#58452,.T.); #37085=FACE_OUTER_BOUND('',#58453,.T.); #37086=FACE_OUTER_BOUND('',#58454,.T.); #37087=FACE_OUTER_BOUND('',#58455,.T.); #37088=FACE_OUTER_BOUND('',#58456,.T.); #37089=FACE_OUTER_BOUND('',#58457,.T.); #37090=FACE_OUTER_BOUND('',#58458,.T.); #37091=FACE_OUTER_BOUND('',#58459,.T.); #37092=FACE_OUTER_BOUND('',#58460,.T.); #37093=FACE_OUTER_BOUND('',#58461,.T.); #37094=FACE_OUTER_BOUND('',#58462,.T.); #37095=FACE_OUTER_BOUND('',#58463,.T.); #37096=FACE_OUTER_BOUND('',#58464,.T.); #37097=FACE_OUTER_BOUND('',#58465,.T.); #37098=FACE_OUTER_BOUND('',#58466,.T.); #37099=FACE_OUTER_BOUND('',#58467,.T.); #37100=FACE_OUTER_BOUND('',#58468,.T.); #37101=FACE_OUTER_BOUND('',#58469,.T.); #37102=FACE_OUTER_BOUND('',#58470,.T.); #37103=FACE_OUTER_BOUND('',#58471,.T.); #37104=FACE_OUTER_BOUND('',#58472,.T.); #37105=FACE_OUTER_BOUND('',#58473,.T.); #37106=FACE_OUTER_BOUND('',#58474,.T.); #37107=FACE_OUTER_BOUND('',#58475,.T.); #37108=FACE_OUTER_BOUND('',#58476,.T.); #37109=FACE_OUTER_BOUND('',#58477,.T.); #37110=FACE_OUTER_BOUND('',#58478,.T.); #37111=FACE_OUTER_BOUND('',#58479,.T.); #37112=FACE_OUTER_BOUND('',#58480,.T.); #37113=FACE_OUTER_BOUND('',#58481,.T.); #37114=FACE_OUTER_BOUND('',#58482,.T.); #37115=FACE_OUTER_BOUND('',#58483,.T.); #37116=FACE_OUTER_BOUND('',#58484,.T.); #37117=FACE_OUTER_BOUND('',#58485,.T.); #37118=FACE_OUTER_BOUND('',#58486,.T.); #37119=FACE_OUTER_BOUND('',#58487,.T.); #37120=FACE_OUTER_BOUND('',#58488,.T.); #37121=FACE_OUTER_BOUND('',#58489,.T.); #37122=FACE_OUTER_BOUND('',#58490,.T.); #37123=FACE_OUTER_BOUND('',#58491,.T.); #37124=FACE_OUTER_BOUND('',#58492,.T.); #37125=FACE_OUTER_BOUND('',#58493,.T.); #37126=FACE_OUTER_BOUND('',#58494,.T.); #37127=FACE_OUTER_BOUND('',#58495,.T.); #37128=FACE_OUTER_BOUND('',#58496,.T.); #37129=FACE_OUTER_BOUND('',#58497,.T.); #37130=FACE_OUTER_BOUND('',#58498,.T.); #37131=FACE_OUTER_BOUND('',#58499,.T.); #37132=FACE_OUTER_BOUND('',#58500,.T.); #37133=FACE_OUTER_BOUND('',#58501,.T.); #37134=FACE_OUTER_BOUND('',#58502,.T.); #37135=FACE_OUTER_BOUND('',#58503,.T.); #37136=FACE_OUTER_BOUND('',#58504,.T.); #37137=FACE_OUTER_BOUND('',#58505,.T.); #37138=FACE_OUTER_BOUND('',#58506,.T.); #37139=FACE_OUTER_BOUND('',#58507,.T.); #37140=FACE_OUTER_BOUND('',#58508,.T.); #37141=FACE_OUTER_BOUND('',#58509,.T.); #37142=FACE_OUTER_BOUND('',#58510,.T.); #37143=FACE_OUTER_BOUND('',#58511,.T.); #37144=FACE_OUTER_BOUND('',#58512,.T.); #37145=FACE_OUTER_BOUND('',#58513,.T.); #37146=FACE_OUTER_BOUND('',#58514,.T.); #37147=FACE_OUTER_BOUND('',#58515,.T.); #37148=FACE_OUTER_BOUND('',#58516,.T.); #37149=FACE_OUTER_BOUND('',#58517,.T.); #37150=FACE_OUTER_BOUND('',#58518,.T.); #37151=FACE_OUTER_BOUND('',#58519,.T.); #37152=FACE_OUTER_BOUND('',#58520,.T.); #37153=FACE_OUTER_BOUND('',#58521,.T.); #37154=FACE_OUTER_BOUND('',#58522,.T.); #37155=FACE_OUTER_BOUND('',#58523,.T.); #37156=FACE_OUTER_BOUND('',#58524,.T.); #37157=FACE_OUTER_BOUND('',#58525,.T.); #37158=FACE_OUTER_BOUND('',#58526,.T.); #37159=FACE_OUTER_BOUND('',#58527,.T.); #37160=FACE_OUTER_BOUND('',#58528,.T.); #37161=FACE_OUTER_BOUND('',#58529,.T.); #37162=FACE_OUTER_BOUND('',#58530,.T.); #37163=FACE_OUTER_BOUND('',#58531,.T.); #37164=FACE_OUTER_BOUND('',#58532,.T.); #37165=FACE_OUTER_BOUND('',#58533,.T.); #37166=FACE_OUTER_BOUND('',#58534,.T.); #37167=FACE_OUTER_BOUND('',#58535,.T.); #37168=FACE_OUTER_BOUND('',#58536,.T.); #37169=FACE_OUTER_BOUND('',#58537,.T.); #37170=FACE_OUTER_BOUND('',#58538,.T.); #37171=FACE_OUTER_BOUND('',#58539,.T.); #37172=FACE_OUTER_BOUND('',#58540,.T.); #37173=FACE_OUTER_BOUND('',#58541,.T.); #37174=FACE_OUTER_BOUND('',#58542,.T.); #37175=FACE_OUTER_BOUND('',#58543,.T.); #37176=FACE_OUTER_BOUND('',#58544,.T.); #37177=FACE_OUTER_BOUND('',#58545,.T.); #37178=FACE_OUTER_BOUND('',#58546,.T.); #37179=FACE_OUTER_BOUND('',#58547,.T.); #37180=FACE_OUTER_BOUND('',#58548,.T.); #37181=FACE_OUTER_BOUND('',#58549,.T.); #37182=FACE_OUTER_BOUND('',#58550,.T.); #37183=FACE_OUTER_BOUND('',#58551,.T.); #37184=FACE_OUTER_BOUND('',#58552,.T.); #37185=FACE_OUTER_BOUND('',#58553,.T.); #37186=FACE_OUTER_BOUND('',#58554,.T.); #37187=FACE_OUTER_BOUND('',#58555,.T.); #37188=FACE_OUTER_BOUND('',#58556,.T.); #37189=FACE_OUTER_BOUND('',#58557,.T.); #37190=FACE_OUTER_BOUND('',#58558,.T.); #37191=FACE_OUTER_BOUND('',#58559,.T.); #37192=FACE_OUTER_BOUND('',#58560,.T.); #37193=FACE_OUTER_BOUND('',#58561,.T.); #37194=FACE_OUTER_BOUND('',#58562,.T.); #37195=FACE_OUTER_BOUND('',#58563,.T.); #37196=FACE_OUTER_BOUND('',#58564,.T.); #37197=FACE_OUTER_BOUND('',#58565,.T.); #37198=FACE_OUTER_BOUND('',#58566,.T.); #37199=FACE_OUTER_BOUND('',#58567,.T.); #37200=FACE_OUTER_BOUND('',#58568,.T.); #37201=FACE_OUTER_BOUND('',#58569,.T.); #37202=FACE_OUTER_BOUND('',#58570,.T.); #37203=FACE_OUTER_BOUND('',#58571,.T.); #37204=FACE_OUTER_BOUND('',#58572,.T.); #37205=FACE_OUTER_BOUND('',#58573,.T.); #37206=FACE_OUTER_BOUND('',#58574,.T.); #37207=FACE_OUTER_BOUND('',#58575,.T.); #37208=FACE_OUTER_BOUND('',#58576,.T.); #37209=FACE_OUTER_BOUND('',#58577,.T.); #37210=FACE_OUTER_BOUND('',#58578,.T.); #37211=FACE_OUTER_BOUND('',#58579,.T.); #37212=FACE_OUTER_BOUND('',#58580,.T.); #37213=FACE_OUTER_BOUND('',#58581,.T.); #37214=FACE_OUTER_BOUND('',#58582,.T.); #37215=FACE_OUTER_BOUND('',#58583,.T.); #37216=FACE_OUTER_BOUND('',#58584,.T.); #37217=FACE_OUTER_BOUND('',#58585,.T.); #37218=FACE_OUTER_BOUND('',#58586,.T.); #37219=FACE_OUTER_BOUND('',#58587,.T.); #37220=FACE_OUTER_BOUND('',#58588,.T.); #37221=FACE_OUTER_BOUND('',#58589,.T.); #37222=FACE_OUTER_BOUND('',#58590,.T.); #37223=FACE_OUTER_BOUND('',#58591,.T.); #37224=FACE_OUTER_BOUND('',#58592,.T.); #37225=FACE_OUTER_BOUND('',#58593,.T.); #37226=FACE_OUTER_BOUND('',#58594,.T.); #37227=FACE_OUTER_BOUND('',#58595,.T.); #37228=FACE_OUTER_BOUND('',#58596,.T.); #37229=FACE_OUTER_BOUND('',#58597,.T.); #37230=FACE_OUTER_BOUND('',#58598,.T.); #37231=FACE_OUTER_BOUND('',#58599,.T.); #37232=FACE_OUTER_BOUND('',#58600,.T.); #37233=FACE_OUTER_BOUND('',#58601,.T.); #37234=FACE_OUTER_BOUND('',#58602,.T.); #37235=FACE_OUTER_BOUND('',#58603,.T.); #37236=FACE_OUTER_BOUND('',#58604,.T.); #37237=FACE_OUTER_BOUND('',#58605,.T.); #37238=FACE_OUTER_BOUND('',#58606,.T.); #37239=FACE_OUTER_BOUND('',#58607,.T.); #37240=FACE_OUTER_BOUND('',#58608,.T.); #37241=FACE_OUTER_BOUND('',#58609,.T.); #37242=FACE_OUTER_BOUND('',#58610,.T.); #37243=FACE_OUTER_BOUND('',#58611,.T.); #37244=FACE_OUTER_BOUND('',#58612,.T.); #37245=FACE_OUTER_BOUND('',#58613,.T.); #37246=FACE_OUTER_BOUND('',#58614,.T.); #37247=FACE_OUTER_BOUND('',#58615,.T.); #37248=FACE_OUTER_BOUND('',#58616,.T.); #37249=FACE_OUTER_BOUND('',#58617,.T.); #37250=FACE_OUTER_BOUND('',#58618,.T.); #37251=FACE_OUTER_BOUND('',#58619,.T.); #37252=FACE_OUTER_BOUND('',#58620,.T.); #37253=FACE_OUTER_BOUND('',#58621,.T.); #37254=FACE_OUTER_BOUND('',#58622,.T.); #37255=FACE_OUTER_BOUND('',#58623,.T.); #37256=FACE_OUTER_BOUND('',#58624,.T.); #37257=FACE_OUTER_BOUND('',#58625,.T.); #37258=FACE_OUTER_BOUND('',#58626,.T.); #37259=FACE_OUTER_BOUND('',#58627,.T.); #37260=FACE_OUTER_BOUND('',#58628,.T.); #37261=FACE_OUTER_BOUND('',#58629,.T.); #37262=FACE_OUTER_BOUND('',#58630,.T.); #37263=FACE_OUTER_BOUND('',#58631,.T.); #37264=FACE_OUTER_BOUND('',#58632,.T.); #37265=FACE_OUTER_BOUND('',#58633,.T.); #37266=FACE_OUTER_BOUND('',#58634,.T.); #37267=FACE_OUTER_BOUND('',#58635,.T.); #37268=FACE_OUTER_BOUND('',#58636,.T.); #37269=FACE_OUTER_BOUND('',#58637,.T.); #37270=FACE_OUTER_BOUND('',#58638,.T.); #37271=FACE_OUTER_BOUND('',#58639,.T.); #37272=FACE_OUTER_BOUND('',#58640,.T.); #37273=FACE_OUTER_BOUND('',#58641,.T.); #37274=FACE_OUTER_BOUND('',#58642,.T.); #37275=FACE_OUTER_BOUND('',#58643,.T.); #37276=FACE_OUTER_BOUND('',#58644,.T.); #37277=FACE_OUTER_BOUND('',#58645,.T.); #37278=FACE_OUTER_BOUND('',#58646,.T.); #37279=FACE_OUTER_BOUND('',#58647,.T.); #37280=FACE_OUTER_BOUND('',#58648,.T.); #37281=FACE_OUTER_BOUND('',#58649,.T.); #37282=FACE_OUTER_BOUND('',#58650,.T.); #37283=FACE_OUTER_BOUND('',#58651,.T.); #37284=FACE_OUTER_BOUND('',#58652,.T.); #37285=FACE_OUTER_BOUND('',#58653,.T.); #37286=FACE_OUTER_BOUND('',#58654,.T.); #37287=FACE_OUTER_BOUND('',#58655,.T.); #37288=FACE_OUTER_BOUND('',#58656,.T.); #37289=FACE_OUTER_BOUND('',#58657,.T.); #37290=FACE_OUTER_BOUND('',#58658,.T.); #37291=FACE_OUTER_BOUND('',#58659,.T.); #37292=FACE_OUTER_BOUND('',#58660,.T.); #37293=FACE_OUTER_BOUND('',#58661,.T.); #37294=FACE_OUTER_BOUND('',#58662,.T.); #37295=FACE_OUTER_BOUND('',#58663,.T.); #37296=FACE_OUTER_BOUND('',#58664,.T.); #37297=FACE_OUTER_BOUND('',#58665,.T.); #37298=FACE_OUTER_BOUND('',#58666,.T.); #37299=FACE_OUTER_BOUND('',#58667,.T.); #37300=FACE_OUTER_BOUND('',#58668,.T.); #37301=FACE_OUTER_BOUND('',#58669,.T.); #37302=FACE_OUTER_BOUND('',#58670,.T.); #37303=FACE_OUTER_BOUND('',#58671,.T.); #37304=FACE_OUTER_BOUND('',#58672,.T.); #37305=FACE_OUTER_BOUND('',#58673,.T.); #37306=FACE_OUTER_BOUND('',#58674,.T.); #37307=FACE_OUTER_BOUND('',#58675,.T.); #37308=FACE_OUTER_BOUND('',#58676,.T.); #37309=FACE_OUTER_BOUND('',#58677,.T.); #37310=FACE_OUTER_BOUND('',#58678,.T.); #37311=FACE_OUTER_BOUND('',#58679,.T.); #37312=FACE_OUTER_BOUND('',#58680,.T.); #37313=FACE_OUTER_BOUND('',#58681,.T.); #37314=FACE_OUTER_BOUND('',#58682,.T.); #37315=FACE_OUTER_BOUND('',#58683,.T.); #37316=FACE_OUTER_BOUND('',#58684,.T.); #37317=FACE_OUTER_BOUND('',#58685,.T.); #37318=FACE_OUTER_BOUND('',#58686,.T.); #37319=FACE_OUTER_BOUND('',#58687,.T.); #37320=FACE_OUTER_BOUND('',#58688,.T.); #37321=FACE_OUTER_BOUND('',#58689,.T.); #37322=FACE_OUTER_BOUND('',#58690,.T.); #37323=FACE_OUTER_BOUND('',#58691,.T.); #37324=FACE_OUTER_BOUND('',#58692,.T.); #37325=FACE_OUTER_BOUND('',#58693,.T.); #37326=FACE_OUTER_BOUND('',#58694,.T.); #37327=FACE_OUTER_BOUND('',#58695,.T.); #37328=FACE_OUTER_BOUND('',#58696,.T.); #37329=FACE_OUTER_BOUND('',#58697,.T.); #37330=FACE_OUTER_BOUND('',#58698,.T.); #37331=FACE_OUTER_BOUND('',#58699,.T.); #37332=FACE_OUTER_BOUND('',#58700,.T.); #37333=FACE_OUTER_BOUND('',#58701,.T.); #37334=FACE_OUTER_BOUND('',#58702,.T.); #37335=FACE_OUTER_BOUND('',#58703,.T.); #37336=FACE_OUTER_BOUND('',#58704,.T.); #37337=FACE_OUTER_BOUND('',#58705,.T.); #37338=FACE_OUTER_BOUND('',#58706,.T.); #37339=FACE_OUTER_BOUND('',#58707,.T.); #37340=FACE_OUTER_BOUND('',#58708,.T.); #37341=FACE_OUTER_BOUND('',#58709,.T.); #37342=FACE_OUTER_BOUND('',#58710,.T.); #37343=FACE_OUTER_BOUND('',#58711,.T.); #37344=FACE_OUTER_BOUND('',#58712,.T.); #37345=FACE_OUTER_BOUND('',#58713,.T.); #37346=FACE_OUTER_BOUND('',#58714,.T.); #37347=FACE_OUTER_BOUND('',#58715,.T.); #37348=FACE_OUTER_BOUND('',#58716,.T.); #37349=FACE_OUTER_BOUND('',#58717,.T.); #37350=FACE_OUTER_BOUND('',#58718,.T.); #37351=FACE_OUTER_BOUND('',#58719,.T.); #37352=FACE_OUTER_BOUND('',#58720,.T.); #37353=FACE_OUTER_BOUND('',#58721,.T.); #37354=FACE_OUTER_BOUND('',#58722,.T.); #37355=FACE_OUTER_BOUND('',#58723,.T.); #37356=FACE_OUTER_BOUND('',#58724,.T.); #37357=FACE_OUTER_BOUND('',#58725,.T.); #37358=FACE_OUTER_BOUND('',#58726,.T.); #37359=FACE_OUTER_BOUND('',#58727,.T.); #37360=FACE_OUTER_BOUND('',#58728,.T.); #37361=FACE_OUTER_BOUND('',#58729,.T.); #37362=FACE_OUTER_BOUND('',#58730,.T.); #37363=FACE_OUTER_BOUND('',#58731,.T.); #37364=FACE_OUTER_BOUND('',#58732,.T.); #37365=FACE_OUTER_BOUND('',#58733,.T.); #37366=FACE_OUTER_BOUND('',#58734,.T.); #37367=FACE_OUTER_BOUND('',#58735,.T.); #37368=FACE_OUTER_BOUND('',#58736,.T.); #37369=FACE_OUTER_BOUND('',#58737,.T.); #37370=FACE_OUTER_BOUND('',#58738,.T.); #37371=FACE_OUTER_BOUND('',#58739,.T.); #37372=FACE_OUTER_BOUND('',#58740,.T.); #37373=FACE_OUTER_BOUND('',#58741,.T.); #37374=FACE_OUTER_BOUND('',#58742,.T.); #37375=FACE_OUTER_BOUND('',#58743,.T.); #37376=FACE_OUTER_BOUND('',#58744,.T.); #37377=FACE_OUTER_BOUND('',#58745,.T.); #37378=FACE_OUTER_BOUND('',#58746,.T.); #37379=FACE_OUTER_BOUND('',#58747,.T.); #37380=FACE_OUTER_BOUND('',#58748,.T.); #37381=FACE_OUTER_BOUND('',#58749,.T.); #37382=FACE_OUTER_BOUND('',#58750,.T.); #37383=FACE_OUTER_BOUND('',#58751,.T.); #37384=FACE_OUTER_BOUND('',#58752,.T.); #37385=FACE_OUTER_BOUND('',#58753,.T.); #37386=FACE_OUTER_BOUND('',#58754,.T.); #37387=FACE_OUTER_BOUND('',#58755,.T.); #37388=FACE_OUTER_BOUND('',#58756,.T.); #37389=FACE_OUTER_BOUND('',#58757,.T.); #37390=FACE_OUTER_BOUND('',#58758,.T.); #37391=FACE_OUTER_BOUND('',#58759,.T.); #37392=FACE_OUTER_BOUND('',#58760,.T.); #37393=FACE_OUTER_BOUND('',#58761,.T.); #37394=FACE_OUTER_BOUND('',#58762,.T.); #37395=FACE_OUTER_BOUND('',#58763,.T.); #37396=FACE_OUTER_BOUND('',#58764,.T.); #37397=FACE_OUTER_BOUND('',#58765,.T.); #37398=FACE_OUTER_BOUND('',#58766,.T.); #37399=FACE_OUTER_BOUND('',#58767,.T.); #37400=FACE_OUTER_BOUND('',#58768,.T.); #37401=FACE_OUTER_BOUND('',#58769,.T.); #37402=FACE_OUTER_BOUND('',#58770,.T.); #37403=FACE_OUTER_BOUND('',#58771,.T.); #37404=FACE_OUTER_BOUND('',#58772,.T.); #37405=FACE_OUTER_BOUND('',#58773,.T.); #37406=FACE_OUTER_BOUND('',#58775,.T.); #37407=FACE_OUTER_BOUND('',#58776,.T.); #37408=FACE_OUTER_BOUND('',#58777,.T.); #37409=FACE_OUTER_BOUND('',#58778,.T.); #37410=FACE_OUTER_BOUND('',#58779,.T.); #37411=FACE_OUTER_BOUND('',#58780,.T.); #37412=FACE_OUTER_BOUND('',#58781,.T.); #37413=FACE_OUTER_BOUND('',#58782,.T.); #37414=FACE_OUTER_BOUND('',#58783,.T.); #37415=FACE_OUTER_BOUND('',#58784,.T.); #37416=FACE_OUTER_BOUND('',#58785,.T.); #37417=FACE_OUTER_BOUND('',#58786,.T.); #37418=FACE_OUTER_BOUND('',#58787,.T.); #37419=FACE_OUTER_BOUND('',#58788,.T.); #37420=FACE_OUTER_BOUND('',#58789,.T.); #37421=FACE_OUTER_BOUND('',#58790,.T.); #37422=FACE_OUTER_BOUND('',#58791,.T.); #37423=FACE_OUTER_BOUND('',#58792,.T.); #37424=FACE_OUTER_BOUND('',#58793,.T.); #37425=FACE_OUTER_BOUND('',#58794,.T.); #37426=FACE_OUTER_BOUND('',#58795,.T.); #37427=FACE_OUTER_BOUND('',#58796,.T.); #37428=FACE_OUTER_BOUND('',#58797,.T.); #37429=FACE_OUTER_BOUND('',#58798,.T.); #37430=FACE_OUTER_BOUND('',#58799,.T.); #37431=FACE_OUTER_BOUND('',#58800,.T.); #37432=FACE_OUTER_BOUND('',#58801,.T.); #37433=FACE_OUTER_BOUND('',#58802,.T.); #37434=FACE_OUTER_BOUND('',#58803,.T.); #37435=FACE_OUTER_BOUND('',#58804,.T.); #37436=FACE_OUTER_BOUND('',#58805,.T.); #37437=FACE_OUTER_BOUND('',#58806,.T.); #37438=FACE_OUTER_BOUND('',#58807,.T.); #37439=FACE_OUTER_BOUND('',#58808,.T.); #37440=FACE_OUTER_BOUND('',#58809,.T.); #37441=FACE_OUTER_BOUND('',#58810,.T.); #37442=FACE_OUTER_BOUND('',#58811,.T.); #37443=FACE_OUTER_BOUND('',#58812,.T.); #37444=FACE_OUTER_BOUND('',#58813,.T.); #37445=FACE_OUTER_BOUND('',#58814,.T.); #37446=FACE_OUTER_BOUND('',#58815,.T.); #37447=FACE_OUTER_BOUND('',#58816,.T.); #37448=FACE_OUTER_BOUND('',#58817,.T.); #37449=FACE_OUTER_BOUND('',#58818,.T.); #37450=FACE_OUTER_BOUND('',#58819,.T.); #37451=FACE_OUTER_BOUND('',#58820,.T.); #37452=FACE_OUTER_BOUND('',#58821,.T.); #37453=FACE_OUTER_BOUND('',#58822,.T.); #37454=FACE_OUTER_BOUND('',#58823,.T.); #37455=FACE_OUTER_BOUND('',#58824,.T.); #37456=FACE_OUTER_BOUND('',#58825,.T.); #37457=FACE_OUTER_BOUND('',#58826,.T.); #37458=FACE_OUTER_BOUND('',#58827,.T.); #37459=FACE_OUTER_BOUND('',#58828,.T.); #37460=FACE_OUTER_BOUND('',#58829,.T.); #37461=FACE_OUTER_BOUND('',#58830,.T.); #37462=FACE_OUTER_BOUND('',#58831,.T.); #37463=FACE_OUTER_BOUND('',#58832,.T.); #37464=FACE_OUTER_BOUND('',#58833,.T.); #37465=FACE_OUTER_BOUND('',#58834,.T.); #37466=FACE_OUTER_BOUND('',#58835,.T.); #37467=FACE_OUTER_BOUND('',#58836,.T.); #37468=FACE_OUTER_BOUND('',#58837,.T.); #37469=FACE_OUTER_BOUND('',#58838,.T.); #37470=FACE_OUTER_BOUND('',#58839,.T.); #37471=FACE_OUTER_BOUND('',#58840,.T.); #37472=FACE_OUTER_BOUND('',#58841,.T.); #37473=FACE_OUTER_BOUND('',#58842,.T.); #37474=FACE_OUTER_BOUND('',#58843,.T.); #37475=FACE_OUTER_BOUND('',#58844,.T.); #37476=FACE_OUTER_BOUND('',#58845,.T.); #37477=FACE_OUTER_BOUND('',#58846,.T.); #37478=FACE_OUTER_BOUND('',#58847,.T.); #37479=FACE_OUTER_BOUND('',#58848,.T.); #37480=FACE_OUTER_BOUND('',#58849,.T.); #37481=FACE_OUTER_BOUND('',#58850,.T.); #37482=FACE_OUTER_BOUND('',#58851,.T.); #37483=FACE_OUTER_BOUND('',#58852,.T.); #37484=FACE_OUTER_BOUND('',#58853,.T.); #37485=FACE_OUTER_BOUND('',#58854,.T.); #37486=FACE_OUTER_BOUND('',#58855,.T.); #37487=FACE_OUTER_BOUND('',#58856,.T.); #37488=FACE_OUTER_BOUND('',#58857,.T.); #37489=FACE_OUTER_BOUND('',#58858,.T.); #37490=FACE_OUTER_BOUND('',#58859,.T.); #37491=FACE_OUTER_BOUND('',#58860,.T.); #37492=FACE_OUTER_BOUND('',#58861,.T.); #37493=FACE_OUTER_BOUND('',#58862,.T.); #37494=FACE_OUTER_BOUND('',#58863,.T.); #37495=FACE_OUTER_BOUND('',#58864,.T.); #37496=FACE_OUTER_BOUND('',#58865,.T.); #37497=FACE_OUTER_BOUND('',#58866,.T.); #37498=FACE_OUTER_BOUND('',#58867,.T.); #37499=FACE_OUTER_BOUND('',#58868,.T.); #37500=FACE_OUTER_BOUND('',#58869,.T.); #37501=FACE_OUTER_BOUND('',#58870,.T.); #37502=FACE_OUTER_BOUND('',#58871,.T.); #37503=FACE_OUTER_BOUND('',#58872,.T.); #37504=FACE_OUTER_BOUND('',#58873,.T.); #37505=FACE_OUTER_BOUND('',#58874,.T.); #37506=FACE_OUTER_BOUND('',#58875,.T.); #37507=FACE_OUTER_BOUND('',#58876,.T.); #37508=FACE_OUTER_BOUND('',#58877,.T.); #37509=FACE_OUTER_BOUND('',#58878,.T.); #37510=FACE_OUTER_BOUND('',#58879,.T.); #37511=FACE_OUTER_BOUND('',#58880,.T.); #37512=FACE_OUTER_BOUND('',#58881,.T.); #37513=FACE_OUTER_BOUND('',#58882,.T.); #37514=FACE_OUTER_BOUND('',#58883,.T.); #37515=FACE_OUTER_BOUND('',#58884,.T.); #37516=FACE_OUTER_BOUND('',#58885,.T.); #37517=FACE_OUTER_BOUND('',#58886,.T.); #37518=FACE_OUTER_BOUND('',#58887,.T.); #37519=FACE_OUTER_BOUND('',#58888,.T.); #37520=FACE_OUTER_BOUND('',#58889,.T.); #37521=FACE_OUTER_BOUND('',#58890,.T.); #37522=FACE_OUTER_BOUND('',#58891,.T.); #37523=FACE_OUTER_BOUND('',#58892,.T.); #37524=FACE_OUTER_BOUND('',#58893,.T.); #37525=FACE_OUTER_BOUND('',#58894,.T.); #37526=FACE_OUTER_BOUND('',#58895,.T.); #37527=FACE_OUTER_BOUND('',#58896,.T.); #37528=FACE_OUTER_BOUND('',#58897,.T.); #37529=FACE_OUTER_BOUND('',#58898,.T.); #37530=FACE_OUTER_BOUND('',#58899,.T.); #37531=FACE_OUTER_BOUND('',#58900,.T.); #37532=FACE_OUTER_BOUND('',#58901,.T.); #37533=FACE_OUTER_BOUND('',#58902,.T.); #37534=FACE_OUTER_BOUND('',#58904,.T.); #37535=FACE_OUTER_BOUND('',#58905,.T.); #37536=FACE_OUTER_BOUND('',#58906,.T.); #37537=FACE_OUTER_BOUND('',#58907,.T.); #37538=FACE_OUTER_BOUND('',#58908,.T.); #37539=FACE_OUTER_BOUND('',#58909,.T.); #37540=FACE_OUTER_BOUND('',#58910,.T.); #37541=FACE_OUTER_BOUND('',#58911,.T.); #37542=FACE_OUTER_BOUND('',#58912,.T.); #37543=FACE_OUTER_BOUND('',#58913,.T.); #37544=FACE_OUTER_BOUND('',#58914,.T.); #37545=FACE_OUTER_BOUND('',#58915,.T.); #37546=FACE_OUTER_BOUND('',#58916,.T.); #37547=FACE_OUTER_BOUND('',#58917,.T.); #37548=FACE_OUTER_BOUND('',#58918,.T.); #37549=FACE_OUTER_BOUND('',#58919,.T.); #37550=FACE_OUTER_BOUND('',#58920,.T.); #37551=FACE_OUTER_BOUND('',#58921,.T.); #37552=FACE_OUTER_BOUND('',#58922,.T.); #37553=FACE_OUTER_BOUND('',#58923,.T.); #37554=FACE_OUTER_BOUND('',#58924,.T.); #37555=FACE_OUTER_BOUND('',#58925,.T.); #37556=FACE_OUTER_BOUND('',#58926,.T.); #37557=FACE_OUTER_BOUND('',#58927,.T.); #37558=FACE_OUTER_BOUND('',#58928,.T.); #37559=FACE_OUTER_BOUND('',#58929,.T.); #37560=FACE_OUTER_BOUND('',#58930,.T.); #37561=FACE_OUTER_BOUND('',#58931,.T.); #37562=FACE_OUTER_BOUND('',#58932,.T.); #37563=FACE_OUTER_BOUND('',#58933,.T.); #37564=FACE_OUTER_BOUND('',#58934,.T.); #37565=FACE_OUTER_BOUND('',#58935,.T.); #37566=FACE_OUTER_BOUND('',#58936,.T.); #37567=FACE_OUTER_BOUND('',#58937,.T.); #37568=FACE_OUTER_BOUND('',#58938,.T.); #37569=FACE_OUTER_BOUND('',#58939,.T.); #37570=FACE_OUTER_BOUND('',#58940,.T.); #37571=FACE_OUTER_BOUND('',#58941,.T.); #37572=FACE_OUTER_BOUND('',#58942,.T.); #37573=FACE_OUTER_BOUND('',#58943,.T.); #37574=FACE_OUTER_BOUND('',#58944,.T.); #37575=FACE_OUTER_BOUND('',#58945,.T.); #37576=FACE_OUTER_BOUND('',#58946,.T.); #37577=FACE_OUTER_BOUND('',#58947,.T.); #37578=FACE_OUTER_BOUND('',#58948,.T.); #37579=FACE_OUTER_BOUND('',#58949,.T.); #37580=FACE_OUTER_BOUND('',#58950,.T.); #37581=FACE_OUTER_BOUND('',#58951,.T.); #37582=FACE_OUTER_BOUND('',#58952,.T.); #37583=FACE_OUTER_BOUND('',#58953,.T.); #37584=FACE_OUTER_BOUND('',#58954,.T.); #37585=FACE_OUTER_BOUND('',#58955,.T.); #37586=FACE_OUTER_BOUND('',#58956,.T.); #37587=FACE_OUTER_BOUND('',#58957,.T.); #37588=FACE_OUTER_BOUND('',#58958,.T.); #37589=FACE_OUTER_BOUND('',#58959,.T.); #37590=FACE_OUTER_BOUND('',#58960,.T.); #37591=FACE_OUTER_BOUND('',#58961,.T.); #37592=FACE_OUTER_BOUND('',#58962,.T.); #37593=FACE_OUTER_BOUND('',#58963,.T.); #37594=FACE_OUTER_BOUND('',#58964,.T.); #37595=FACE_OUTER_BOUND('',#58965,.T.); #37596=FACE_OUTER_BOUND('',#58966,.T.); #37597=FACE_OUTER_BOUND('',#58967,.T.); #37598=FACE_OUTER_BOUND('',#58968,.T.); #37599=FACE_OUTER_BOUND('',#58969,.T.); #37600=FACE_OUTER_BOUND('',#58970,.T.); #37601=FACE_OUTER_BOUND('',#58971,.T.); #37602=FACE_OUTER_BOUND('',#58972,.T.); #37603=FACE_OUTER_BOUND('',#58973,.T.); #37604=FACE_OUTER_BOUND('',#58974,.T.); #37605=FACE_OUTER_BOUND('',#58975,.T.); #37606=FACE_OUTER_BOUND('',#58976,.T.); #37607=FACE_OUTER_BOUND('',#58977,.T.); #37608=FACE_OUTER_BOUND('',#58978,.T.); #37609=FACE_OUTER_BOUND('',#58979,.T.); #37610=FACE_OUTER_BOUND('',#58980,.T.); #37611=FACE_OUTER_BOUND('',#58981,.T.); #37612=FACE_OUTER_BOUND('',#58982,.T.); #37613=FACE_OUTER_BOUND('',#58983,.T.); #37614=FACE_OUTER_BOUND('',#58984,.T.); #37615=FACE_OUTER_BOUND('',#58985,.T.); #37616=FACE_OUTER_BOUND('',#58986,.T.); #37617=FACE_OUTER_BOUND('',#58987,.T.); #37618=FACE_OUTER_BOUND('',#58988,.T.); #37619=FACE_OUTER_BOUND('',#58989,.T.); #37620=FACE_OUTER_BOUND('',#58990,.T.); #37621=FACE_OUTER_BOUND('',#58991,.T.); #37622=FACE_OUTER_BOUND('',#58992,.T.); #37623=FACE_OUTER_BOUND('',#58993,.T.); #37624=FACE_OUTER_BOUND('',#58994,.T.); #37625=FACE_OUTER_BOUND('',#58995,.T.); #37626=FACE_OUTER_BOUND('',#58996,.T.); #37627=FACE_OUTER_BOUND('',#58997,.T.); #37628=FACE_OUTER_BOUND('',#58998,.T.); #37629=FACE_OUTER_BOUND('',#58999,.T.); #37630=FACE_OUTER_BOUND('',#59000,.T.); #37631=FACE_OUTER_BOUND('',#59001,.T.); #37632=FACE_OUTER_BOUND('',#59002,.T.); #37633=FACE_OUTER_BOUND('',#59003,.T.); #37634=FACE_OUTER_BOUND('',#59004,.T.); #37635=FACE_OUTER_BOUND('',#59005,.T.); #37636=FACE_OUTER_BOUND('',#59006,.T.); #37637=FACE_OUTER_BOUND('',#59007,.T.); #37638=FACE_OUTER_BOUND('',#59008,.T.); #37639=FACE_OUTER_BOUND('',#59009,.T.); #37640=FACE_OUTER_BOUND('',#59010,.T.); #37641=FACE_OUTER_BOUND('',#59011,.T.); #37642=FACE_OUTER_BOUND('',#59012,.T.); #37643=FACE_OUTER_BOUND('',#59013,.T.); #37644=FACE_OUTER_BOUND('',#59014,.T.); #37645=FACE_OUTER_BOUND('',#59015,.T.); #37646=FACE_OUTER_BOUND('',#59016,.T.); #37647=FACE_OUTER_BOUND('',#59017,.T.); #37648=FACE_OUTER_BOUND('',#59018,.T.); #37649=FACE_OUTER_BOUND('',#59019,.T.); #37650=FACE_OUTER_BOUND('',#59020,.T.); #37651=FACE_OUTER_BOUND('',#59021,.T.); #37652=FACE_OUTER_BOUND('',#59022,.T.); #37653=FACE_OUTER_BOUND('',#59023,.T.); #37654=FACE_OUTER_BOUND('',#59024,.T.); #37655=FACE_OUTER_BOUND('',#59025,.T.); #37656=FACE_OUTER_BOUND('',#59026,.T.); #37657=FACE_OUTER_BOUND('',#59027,.T.); #37658=FACE_OUTER_BOUND('',#59028,.T.); #37659=FACE_OUTER_BOUND('',#59029,.T.); #37660=FACE_OUTER_BOUND('',#59030,.T.); #37661=FACE_OUTER_BOUND('',#59031,.T.); #37662=FACE_OUTER_BOUND('',#59032,.T.); #37663=FACE_OUTER_BOUND('',#59033,.T.); #37664=FACE_OUTER_BOUND('',#59034,.T.); #37665=FACE_OUTER_BOUND('',#59035,.T.); #37666=FACE_OUTER_BOUND('',#59036,.T.); #37667=FACE_OUTER_BOUND('',#59037,.T.); #37668=FACE_OUTER_BOUND('',#59038,.T.); #37669=EDGE_LOOP('',(#214923,#214924,#214925,#214926)); #37670=EDGE_LOOP('',(#214927,#214928,#214929,#214930)); #37671=EDGE_LOOP('',(#214931,#214932,#214933,#214934)); #37672=EDGE_LOOP('',(#214935,#214936,#214937,#214938)); #37673=EDGE_LOOP('',(#214939,#214940,#214941,#214942)); #37674=EDGE_LOOP('',(#214943,#214944,#214945,#214946)); #37675=EDGE_LOOP('',(#214947,#214948,#214949,#214950)); #37676=EDGE_LOOP('',(#214951,#214952,#214953,#214954)); #37677=EDGE_LOOP('',(#214955,#214956,#214957,#214958)); #37678=EDGE_LOOP('',(#214959,#214960,#214961,#214962)); #37679=EDGE_LOOP('',(#214963,#214964,#214965,#214966)); #37680=EDGE_LOOP('',(#214967,#214968,#214969,#214970)); #37681=EDGE_LOOP('',(#214971,#214972,#214973,#214974)); #37682=EDGE_LOOP('',(#214975,#214976,#214977,#214978)); #37683=EDGE_LOOP('',(#214979,#214980,#214981,#214982)); #37684=EDGE_LOOP('',(#214983,#214984,#214985,#214986)); #37685=EDGE_LOOP('',(#214987,#214988,#214989,#214990)); #37686=EDGE_LOOP('',(#214991,#214992,#214993,#214994)); #37687=EDGE_LOOP('',(#214995,#214996,#214997,#214998)); #37688=EDGE_LOOP('',(#214999,#215000,#215001,#215002)); #37689=EDGE_LOOP('',(#215003,#215004,#215005,#215006)); #37690=EDGE_LOOP('',(#215007,#215008,#215009,#215010)); #37691=EDGE_LOOP('',(#215011,#215012,#215013,#215014)); #37692=EDGE_LOOP('',(#215015,#215016,#215017,#215018)); #37693=EDGE_LOOP('',(#215019,#215020,#215021,#215022)); #37694=EDGE_LOOP('',(#215023,#215024,#215025,#215026)); #37695=EDGE_LOOP('',(#215027,#215028,#215029,#215030)); #37696=EDGE_LOOP('',(#215031,#215032,#215033,#215034)); #37697=EDGE_LOOP('',(#215035,#215036,#215037,#215038)); #37698=EDGE_LOOP('',(#215039,#215040,#215041,#215042)); #37699=EDGE_LOOP('',(#215043,#215044,#215045,#215046)); #37700=EDGE_LOOP('',(#215047,#215048,#215049,#215050)); #37701=EDGE_LOOP('',(#215051,#215052,#215053,#215054)); #37702=EDGE_LOOP('',(#215055,#215056,#215057,#215058)); #37703=EDGE_LOOP('',(#215059,#215060,#215061,#215062)); #37704=EDGE_LOOP('',(#215063,#215064,#215065,#215066)); #37705=EDGE_LOOP('',(#215067,#215068,#215069,#215070)); #37706=EDGE_LOOP('',(#215071,#215072,#215073,#215074)); #37707=EDGE_LOOP('',(#215075,#215076,#215077,#215078)); #37708=EDGE_LOOP('',(#215079,#215080,#215081,#215082)); #37709=EDGE_LOOP('',(#215083,#215084,#215085,#215086)); #37710=EDGE_LOOP('',(#215087,#215088,#215089,#215090)); #37711=EDGE_LOOP('',(#215091,#215092,#215093,#215094)); #37712=EDGE_LOOP('',(#215095,#215096,#215097,#215098)); #37713=EDGE_LOOP('',(#215099,#215100,#215101,#215102)); #37714=EDGE_LOOP('',(#215103,#215104,#215105,#215106)); #37715=EDGE_LOOP('',(#215107,#215108,#215109,#215110)); #37716=EDGE_LOOP('',(#215111,#215112,#215113,#215114)); #37717=EDGE_LOOP('',(#215115,#215116,#215117,#215118)); #37718=EDGE_LOOP('',(#215119,#215120,#215121,#215122)); #37719=EDGE_LOOP('',(#215123,#215124,#215125,#215126)); #37720=EDGE_LOOP('',(#215127,#215128,#215129,#215130)); #37721=EDGE_LOOP('',(#215131,#215132,#215133,#215134)); #37722=EDGE_LOOP('',(#215135,#215136,#215137,#215138)); #37723=EDGE_LOOP('',(#215139,#215140,#215141,#215142)); #37724=EDGE_LOOP('',(#215143,#215144,#215145,#215146)); #37725=EDGE_LOOP('',(#215147,#215148,#215149,#215150)); #37726=EDGE_LOOP('',(#215151,#215152,#215153,#215154)); #37727=EDGE_LOOP('',(#215155,#215156,#215157,#215158)); #37728=EDGE_LOOP('',(#215159,#215160,#215161,#215162)); #37729=EDGE_LOOP('',(#215163,#215164,#215165,#215166)); #37730=EDGE_LOOP('',(#215167,#215168,#215169,#215170)); #37731=EDGE_LOOP('',(#215171,#215172,#215173,#215174)); #37732=EDGE_LOOP('',(#215175,#215176,#215177,#215178)); #37733=EDGE_LOOP('',(#215179,#215180,#215181,#215182)); #37734=EDGE_LOOP('',(#215183,#215184,#215185,#215186)); #37735=EDGE_LOOP('',(#215187,#215188,#215189,#215190)); #37736=EDGE_LOOP('',(#215191,#215192,#215193,#215194)); #37737=EDGE_LOOP('',(#215195,#215196,#215197,#215198)); #37738=EDGE_LOOP('',(#215199,#215200,#215201,#215202)); #37739=EDGE_LOOP('',(#215203,#215204,#215205,#215206)); #37740=EDGE_LOOP('',(#215207,#215208,#215209,#215210)); #37741=EDGE_LOOP('',(#215211,#215212,#215213,#215214)); #37742=EDGE_LOOP('',(#215215,#215216,#215217,#215218)); #37743=EDGE_LOOP('',(#215219,#215220,#215221,#215222)); #37744=EDGE_LOOP('',(#215223,#215224,#215225,#215226)); #37745=EDGE_LOOP('',(#215227,#215228,#215229,#215230)); #37746=EDGE_LOOP('',(#215231,#215232,#215233,#215234)); #37747=EDGE_LOOP('',(#215235,#215236,#215237,#215238)); #37748=EDGE_LOOP('',(#215239,#215240,#215241,#215242)); #37749=EDGE_LOOP('',(#215243,#215244,#215245,#215246)); #37750=EDGE_LOOP('',(#215247,#215248,#215249,#215250)); #37751=EDGE_LOOP('',(#215251,#215252,#215253,#215254)); #37752=EDGE_LOOP('',(#215255,#215256,#215257,#215258)); #37753=EDGE_LOOP('',(#215259,#215260,#215261,#215262)); #37754=EDGE_LOOP('',(#215263,#215264,#215265,#215266)); #37755=EDGE_LOOP('',(#215267,#215268,#215269,#215270)); #37756=EDGE_LOOP('',(#215271,#215272,#215273,#215274)); #37757=EDGE_LOOP('',(#215275,#215276,#215277,#215278)); #37758=EDGE_LOOP('',(#215279,#215280,#215281,#215282)); #37759=EDGE_LOOP('',(#215283,#215284,#215285,#215286)); #37760=EDGE_LOOP('',(#215287,#215288,#215289,#215290)); #37761=EDGE_LOOP('',(#215291,#215292,#215293,#215294)); #37762=EDGE_LOOP('',(#215295,#215296,#215297,#215298)); #37763=EDGE_LOOP('',(#215299,#215300,#215301,#215302)); #37764=EDGE_LOOP('',(#215303,#215304,#215305,#215306)); #37765=EDGE_LOOP('',(#215307,#215308,#215309,#215310)); #37766=EDGE_LOOP('',(#215311,#215312,#215313,#215314)); #37767=EDGE_LOOP('',(#215315,#215316,#215317,#215318)); #37768=EDGE_LOOP('',(#215319,#215320,#215321,#215322)); #37769=EDGE_LOOP('',(#215323,#215324,#215325,#215326)); #37770=EDGE_LOOP('',(#215327,#215328,#215329,#215330)); #37771=EDGE_LOOP('',(#215331,#215332,#215333,#215334)); #37772=EDGE_LOOP('',(#215335,#215336,#215337,#215338)); #37773=EDGE_LOOP('',(#215339,#215340,#215341,#215342)); #37774=EDGE_LOOP('',(#215343,#215344,#215345,#215346)); #37775=EDGE_LOOP('',(#215347,#215348,#215349,#215350)); #37776=EDGE_LOOP('',(#215351,#215352,#215353,#215354)); #37777=EDGE_LOOP('',(#215355,#215356,#215357,#215358)); #37778=EDGE_LOOP('',(#215359,#215360,#215361,#215362)); #37779=EDGE_LOOP('',(#215363,#215364,#215365,#215366)); #37780=EDGE_LOOP('',(#215367,#215368,#215369,#215370)); #37781=EDGE_LOOP('',(#215371,#215372,#215373,#215374)); #37782=EDGE_LOOP('',(#215375,#215376,#215377,#215378)); #37783=EDGE_LOOP('',(#215379,#215380,#215381,#215382)); #37784=EDGE_LOOP('',(#215383,#215384,#215385,#215386)); #37785=EDGE_LOOP('',(#215387,#215388,#215389,#215390)); #37786=EDGE_LOOP('',(#215391,#215392,#215393,#215394)); #37787=EDGE_LOOP('',(#215395,#215396,#215397,#215398)); #37788=EDGE_LOOP('',(#215399,#215400,#215401,#215402)); #37789=EDGE_LOOP('',(#215403,#215404,#215405,#215406)); #37790=EDGE_LOOP('',(#215407,#215408,#215409,#215410)); #37791=EDGE_LOOP('',(#215411,#215412,#215413,#215414)); #37792=EDGE_LOOP('',(#215415,#215416,#215417,#215418)); #37793=EDGE_LOOP('',(#215419,#215420,#215421,#215422)); #37794=EDGE_LOOP('',(#215423,#215424,#215425,#215426)); #37795=EDGE_LOOP('',(#215427,#215428,#215429,#215430)); #37796=EDGE_LOOP('',(#215431,#215432,#215433,#215434)); #37797=EDGE_LOOP('',(#215435,#215436,#215437,#215438)); #37798=EDGE_LOOP('',(#215439,#215440,#215441,#215442)); #37799=EDGE_LOOP('',(#215443,#215444,#215445,#215446)); #37800=EDGE_LOOP('',(#215447,#215448,#215449,#215450)); #37801=EDGE_LOOP('',(#215451,#215452,#215453,#215454)); #37802=EDGE_LOOP('',(#215455,#215456,#215457,#215458)); #37803=EDGE_LOOP('',(#215459,#215460,#215461,#215462)); #37804=EDGE_LOOP('',(#215463,#215464,#215465,#215466)); #37805=EDGE_LOOP('',(#215467,#215468,#215469,#215470)); #37806=EDGE_LOOP('',(#215471,#215472,#215473,#215474)); #37807=EDGE_LOOP('',(#215475,#215476,#215477,#215478)); #37808=EDGE_LOOP('',(#215479,#215480,#215481,#215482)); #37809=EDGE_LOOP('',(#215483,#215484,#215485,#215486)); #37810=EDGE_LOOP('',(#215487,#215488,#215489,#215490)); #37811=EDGE_LOOP('',(#215491,#215492,#215493,#215494)); #37812=EDGE_LOOP('',(#215495,#215496,#215497,#215498)); #37813=EDGE_LOOP('',(#215499,#215500,#215501,#215502)); #37814=EDGE_LOOP('',(#215503,#215504,#215505,#215506)); #37815=EDGE_LOOP('',(#215507,#215508,#215509,#215510)); #37816=EDGE_LOOP('',(#215511,#215512,#215513,#215514)); #37817=EDGE_LOOP('',(#215515,#215516,#215517,#215518)); #37818=EDGE_LOOP('',(#215519,#215520,#215521,#215522)); #37819=EDGE_LOOP('',(#215523,#215524,#215525,#215526)); #37820=EDGE_LOOP('',(#215527,#215528,#215529,#215530)); #37821=EDGE_LOOP('',(#215531,#215532,#215533,#215534)); #37822=EDGE_LOOP('',(#215535,#215536,#215537,#215538)); #37823=EDGE_LOOP('',(#215539,#215540,#215541,#215542)); #37824=EDGE_LOOP('',(#215543,#215544,#215545,#215546)); #37825=EDGE_LOOP('',(#215547,#215548,#215549,#215550)); #37826=EDGE_LOOP('',(#215551,#215552,#215553,#215554)); #37827=EDGE_LOOP('',(#215555,#215556,#215557,#215558)); #37828=EDGE_LOOP('',(#215559,#215560,#215561,#215562)); #37829=EDGE_LOOP('',(#215563,#215564,#215565,#215566)); #37830=EDGE_LOOP('',(#215567,#215568,#215569,#215570)); #37831=EDGE_LOOP('',(#215571,#215572,#215573,#215574)); #37832=EDGE_LOOP('',(#215575,#215576,#215577,#215578)); #37833=EDGE_LOOP('',(#215579,#215580,#215581,#215582)); #37834=EDGE_LOOP('',(#215583,#215584,#215585,#215586)); #37835=EDGE_LOOP('',(#215587,#215588,#215589,#215590)); #37836=EDGE_LOOP('',(#215591,#215592,#215593,#215594)); #37837=EDGE_LOOP('',(#215595,#215596,#215597,#215598)); #37838=EDGE_LOOP('',(#215599,#215600,#215601,#215602)); #37839=EDGE_LOOP('',(#215603,#215604,#215605,#215606)); #37840=EDGE_LOOP('',(#215607,#215608,#215609,#215610)); #37841=EDGE_LOOP('',(#215611,#215612,#215613,#215614)); #37842=EDGE_LOOP('',(#215615,#215616,#215617,#215618)); #37843=EDGE_LOOP('',(#215619,#215620,#215621,#215622)); #37844=EDGE_LOOP('',(#215623,#215624,#215625,#215626)); #37845=EDGE_LOOP('',(#215627,#215628,#215629,#215630)); #37846=EDGE_LOOP('',(#215631,#215632,#215633,#215634)); #37847=EDGE_LOOP('',(#215635,#215636,#215637,#215638)); #37848=EDGE_LOOP('',(#215639,#215640,#215641,#215642)); #37849=EDGE_LOOP('',(#215643,#215644,#215645,#215646)); #37850=EDGE_LOOP('',(#215647,#215648,#215649,#215650)); #37851=EDGE_LOOP('',(#215651,#215652,#215653,#215654)); #37852=EDGE_LOOP('',(#215655,#215656,#215657,#215658)); #37853=EDGE_LOOP('',(#215659,#215660,#215661,#215662)); #37854=EDGE_LOOP('',(#215663,#215664,#215665,#215666)); #37855=EDGE_LOOP('',(#215667,#215668,#215669,#215670)); #37856=EDGE_LOOP('',(#215671,#215672,#215673,#215674)); #37857=EDGE_LOOP('',(#215675,#215676,#215677,#215678)); #37858=EDGE_LOOP('',(#215679,#215680,#215681,#215682)); #37859=EDGE_LOOP('',(#215683,#215684,#215685,#215686)); #37860=EDGE_LOOP('',(#215687,#215688,#215689,#215690)); #37861=EDGE_LOOP('',(#215691,#215692,#215693,#215694)); #37862=EDGE_LOOP('',(#215695,#215696,#215697,#215698)); #37863=EDGE_LOOP('',(#215699,#215700,#215701,#215702)); #37864=EDGE_LOOP('',(#215703,#215704,#215705,#215706)); #37865=EDGE_LOOP('',(#215707,#215708,#215709,#215710)); #37866=EDGE_LOOP('',(#215711,#215712,#215713,#215714)); #37867=EDGE_LOOP('',(#215715,#215716,#215717,#215718)); #37868=EDGE_LOOP('',(#215719,#215720,#215721,#215722)); #37869=EDGE_LOOP('',(#215723,#215724,#215725,#215726)); #37870=EDGE_LOOP('',(#215727,#215728,#215729,#215730)); #37871=EDGE_LOOP('',(#215731,#215732,#215733,#215734)); #37872=EDGE_LOOP('',(#215735,#215736,#215737,#215738)); #37873=EDGE_LOOP('',(#215739,#215740,#215741,#215742)); #37874=EDGE_LOOP('',(#215743,#215744,#215745,#215746)); #37875=EDGE_LOOP('',(#215747,#215748,#215749,#215750)); #37876=EDGE_LOOP('',(#215751,#215752,#215753,#215754)); #37877=EDGE_LOOP('',(#215755,#215756,#215757,#215758)); #37878=EDGE_LOOP('',(#215759,#215760,#215761,#215762)); #37879=EDGE_LOOP('',(#215763,#215764,#215765,#215766)); #37880=EDGE_LOOP('',(#215767,#215768,#215769,#215770)); #37881=EDGE_LOOP('',(#215771,#215772,#215773,#215774)); #37882=EDGE_LOOP('',(#215775,#215776,#215777,#215778)); #37883=EDGE_LOOP('',(#215779,#215780,#215781,#215782)); #37884=EDGE_LOOP('',(#215783,#215784,#215785,#215786)); #37885=EDGE_LOOP('',(#215787,#215788,#215789,#215790)); #37886=EDGE_LOOP('',(#215791,#215792,#215793,#215794)); #37887=EDGE_LOOP('',(#215795,#215796,#215797,#215798)); #37888=EDGE_LOOP('',(#215799,#215800,#215801,#215802)); #37889=EDGE_LOOP('',(#215803,#215804,#215805,#215806)); #37890=EDGE_LOOP('',(#215807,#215808,#215809,#215810)); #37891=EDGE_LOOP('',(#215811,#215812,#215813,#215814)); #37892=EDGE_LOOP('',(#215815,#215816,#215817,#215818)); #37893=EDGE_LOOP('',(#215819,#215820,#215821,#215822)); #37894=EDGE_LOOP('',(#215823,#215824,#215825,#215826)); #37895=EDGE_LOOP('',(#215827,#215828,#215829,#215830)); #37896=EDGE_LOOP('',(#215831,#215832,#215833,#215834)); #37897=EDGE_LOOP('',(#215835,#215836,#215837,#215838)); #37898=EDGE_LOOP('',(#215839,#215840,#215841,#215842)); #37899=EDGE_LOOP('',(#215843,#215844,#215845,#215846)); #37900=EDGE_LOOP('',(#215847,#215848,#215849,#215850)); #37901=EDGE_LOOP('',(#215851,#215852,#215853,#215854)); #37902=EDGE_LOOP('',(#215855,#215856,#215857,#215858)); #37903=EDGE_LOOP('',(#215859,#215860,#215861,#215862)); #37904=EDGE_LOOP('',(#215863,#215864,#215865,#215866)); #37905=EDGE_LOOP('',(#215867,#215868,#215869,#215870)); #37906=EDGE_LOOP('',(#215871,#215872,#215873,#215874)); #37907=EDGE_LOOP('',(#215875,#215876,#215877,#215878)); #37908=EDGE_LOOP('',(#215879,#215880,#215881,#215882)); #37909=EDGE_LOOP('',(#215883,#215884,#215885,#215886)); #37910=EDGE_LOOP('',(#215887,#215888,#215889,#215890)); #37911=EDGE_LOOP('',(#215891,#215892,#215893,#215894)); #37912=EDGE_LOOP('',(#215895,#215896,#215897,#215898)); #37913=EDGE_LOOP('',(#215899,#215900,#215901,#215902)); #37914=EDGE_LOOP('',(#215903,#215904,#215905,#215906)); #37915=EDGE_LOOP('',(#215907,#215908,#215909,#215910)); #37916=EDGE_LOOP('',(#215911,#215912,#215913,#215914)); #37917=EDGE_LOOP('',(#215915,#215916,#215917,#215918)); #37918=EDGE_LOOP('',(#215919,#215920,#215921,#215922)); #37919=EDGE_LOOP('',(#215923,#215924,#215925,#215926)); #37920=EDGE_LOOP('',(#215927,#215928,#215929,#215930)); #37921=EDGE_LOOP('',(#215931,#215932,#215933,#215934)); #37922=EDGE_LOOP('',(#215935,#215936,#215937,#215938)); #37923=EDGE_LOOP('',(#215939,#215940,#215941,#215942)); #37924=EDGE_LOOP('',(#215943,#215944,#215945,#215946)); #37925=EDGE_LOOP('',(#215947,#215948,#215949,#215950)); #37926=EDGE_LOOP('',(#215951,#215952,#215953,#215954)); #37927=EDGE_LOOP('',(#215955,#215956,#215957,#215958)); #37928=EDGE_LOOP('',(#215959,#215960,#215961,#215962)); #37929=EDGE_LOOP('',(#215963,#215964,#215965,#215966)); #37930=EDGE_LOOP('',(#215967,#215968,#215969,#215970)); #37931=EDGE_LOOP('',(#215971,#215972,#215973,#215974)); #37932=EDGE_LOOP('',(#215975,#215976,#215977,#215978)); #37933=EDGE_LOOP('',(#215979,#215980,#215981,#215982)); #37934=EDGE_LOOP('',(#215983,#215984,#215985,#215986)); #37935=EDGE_LOOP('',(#215987,#215988,#215989,#215990)); #37936=EDGE_LOOP('',(#215991,#215992,#215993,#215994)); #37937=EDGE_LOOP('',(#215995,#215996,#215997,#215998)); #37938=EDGE_LOOP('',(#215999,#216000,#216001,#216002)); #37939=EDGE_LOOP('',(#216003,#216004,#216005,#216006)); #37940=EDGE_LOOP('',(#216007,#216008,#216009,#216010)); #37941=EDGE_LOOP('',(#216011,#216012,#216013,#216014)); #37942=EDGE_LOOP('',(#216015,#216016,#216017,#216018)); #37943=EDGE_LOOP('',(#216019,#216020,#216021,#216022)); #37944=EDGE_LOOP('',(#216023,#216024,#216025,#216026)); #37945=EDGE_LOOP('',(#216027,#216028,#216029,#216030)); #37946=EDGE_LOOP('',(#216031,#216032,#216033,#216034)); #37947=EDGE_LOOP('',(#216035,#216036,#216037,#216038)); #37948=EDGE_LOOP('',(#216039,#216040,#216041,#216042)); #37949=EDGE_LOOP('',(#216043,#216044,#216045,#216046)); #37950=EDGE_LOOP('',(#216047,#216048,#216049,#216050)); #37951=EDGE_LOOP('',(#216051,#216052,#216053,#216054)); #37952=EDGE_LOOP('',(#216055,#216056,#216057,#216058)); #37953=EDGE_LOOP('',(#216059,#216060,#216061,#216062)); #37954=EDGE_LOOP('',(#216063,#216064,#216065,#216066)); #37955=EDGE_LOOP('',(#216067,#216068,#216069,#216070)); #37956=EDGE_LOOP('',(#216071,#216072,#216073,#216074)); #37957=EDGE_LOOP('',(#216075,#216076,#216077,#216078)); #37958=EDGE_LOOP('',(#216079,#216080,#216081,#216082)); #37959=EDGE_LOOP('',(#216083,#216084,#216085,#216086)); #37960=EDGE_LOOP('',(#216087,#216088,#216089,#216090)); #37961=EDGE_LOOP('',(#216091,#216092,#216093,#216094)); #37962=EDGE_LOOP('',(#216095,#216096,#216097,#216098)); #37963=EDGE_LOOP('',(#216099,#216100,#216101,#216102)); #37964=EDGE_LOOP('',(#216103,#216104,#216105,#216106)); #37965=EDGE_LOOP('',(#216107,#216108,#216109,#216110)); #37966=EDGE_LOOP('',(#216111,#216112,#216113,#216114)); #37967=EDGE_LOOP('',(#216115,#216116,#216117,#216118)); #37968=EDGE_LOOP('',(#216119,#216120,#216121,#216122)); #37969=EDGE_LOOP('',(#216123,#216124,#216125,#216126)); #37970=EDGE_LOOP('',(#216127,#216128,#216129,#216130)); #37971=EDGE_LOOP('',(#216131,#216132,#216133,#216134)); #37972=EDGE_LOOP('',(#216135,#216136,#216137,#216138)); #37973=EDGE_LOOP('',(#216139,#216140,#216141,#216142)); #37974=EDGE_LOOP('',(#216143,#216144,#216145,#216146)); #37975=EDGE_LOOP('',(#216147,#216148,#216149,#216150)); #37976=EDGE_LOOP('',(#216151,#216152,#216153,#216154)); #37977=EDGE_LOOP('',(#216155,#216156,#216157,#216158)); #37978=EDGE_LOOP('',(#216159,#216160,#216161,#216162)); #37979=EDGE_LOOP('',(#216163,#216164,#216165,#216166)); #37980=EDGE_LOOP('',(#216167,#216168,#216169,#216170)); #37981=EDGE_LOOP('',(#216171,#216172,#216173,#216174)); #37982=EDGE_LOOP('',(#216175,#216176,#216177,#216178)); #37983=EDGE_LOOP('',(#216179,#216180,#216181,#216182)); #37984=EDGE_LOOP('',(#216183,#216184,#216185,#216186)); #37985=EDGE_LOOP('',(#216187,#216188,#216189,#216190)); #37986=EDGE_LOOP('',(#216191,#216192,#216193,#216194)); #37987=EDGE_LOOP('',(#216195,#216196,#216197,#216198)); #37988=EDGE_LOOP('',(#216199,#216200,#216201,#216202)); #37989=EDGE_LOOP('',(#216203,#216204,#216205,#216206)); #37990=EDGE_LOOP('',(#216207,#216208,#216209,#216210)); #37991=EDGE_LOOP('',(#216211,#216212,#216213,#216214)); #37992=EDGE_LOOP('',(#216215,#216216,#216217,#216218)); #37993=EDGE_LOOP('',(#216219,#216220,#216221,#216222)); #37994=EDGE_LOOP('',(#216223,#216224,#216225,#216226)); #37995=EDGE_LOOP('',(#216227,#216228,#216229,#216230)); #37996=EDGE_LOOP('',(#216231,#216232,#216233,#216234)); #37997=EDGE_LOOP('',(#216235,#216236,#216237,#216238)); #37998=EDGE_LOOP('',(#216239,#216240,#216241,#216242)); #37999=EDGE_LOOP('',(#216243,#216244,#216245,#216246)); #38000=EDGE_LOOP('',(#216247,#216248,#216249,#216250)); #38001=EDGE_LOOP('',(#216251,#216252,#216253,#216254)); #38002=EDGE_LOOP('',(#216255,#216256,#216257,#216258)); #38003=EDGE_LOOP('',(#216259,#216260,#216261,#216262)); #38004=EDGE_LOOP('',(#216263,#216264,#216265,#216266)); #38005=EDGE_LOOP('',(#216267)); #38006=EDGE_LOOP('',(#216268)); #38007=EDGE_LOOP('',(#216269)); #38008=EDGE_LOOP('',(#216270)); #38009=EDGE_LOOP('',(#216271)); #38010=EDGE_LOOP('',(#216272)); #38011=EDGE_LOOP('',(#216273)); #38012=EDGE_LOOP('',(#216274)); #38013=EDGE_LOOP('',(#216275)); #38014=EDGE_LOOP('',(#216276)); #38015=EDGE_LOOP('',(#216277)); #38016=EDGE_LOOP('',(#216278)); #38017=EDGE_LOOP('',(#216279)); #38018=EDGE_LOOP('',(#216280)); #38019=EDGE_LOOP('',(#216281)); #38020=EDGE_LOOP('',(#216282)); #38021=EDGE_LOOP('',(#216283)); #38022=EDGE_LOOP('',(#216284)); #38023=EDGE_LOOP('',(#216285)); #38024=EDGE_LOOP('',(#216286)); #38025=EDGE_LOOP('',(#216287)); #38026=EDGE_LOOP('',(#216288)); #38027=EDGE_LOOP('',(#216289)); #38028=EDGE_LOOP('',(#216290)); #38029=EDGE_LOOP('',(#216291)); #38030=EDGE_LOOP('',(#216292)); #38031=EDGE_LOOP('',(#216293)); #38032=EDGE_LOOP('',(#216294)); #38033=EDGE_LOOP('',(#216295)); #38034=EDGE_LOOP('',(#216296)); #38035=EDGE_LOOP('',(#216297)); #38036=EDGE_LOOP('',(#216298)); #38037=EDGE_LOOP('',(#216299)); #38038=EDGE_LOOP('',(#216300)); #38039=EDGE_LOOP('',(#216301)); #38040=EDGE_LOOP('',(#216302)); #38041=EDGE_LOOP('',(#216303)); #38042=EDGE_LOOP('',(#216304)); #38043=EDGE_LOOP('',(#216305)); #38044=EDGE_LOOP('',(#216306)); #38045=EDGE_LOOP('',(#216307)); #38046=EDGE_LOOP('',(#216308)); #38047=EDGE_LOOP('',(#216309)); #38048=EDGE_LOOP('',(#216310)); #38049=EDGE_LOOP('',(#216311)); #38050=EDGE_LOOP('',(#216312)); #38051=EDGE_LOOP('',(#216313)); #38052=EDGE_LOOP('',(#216314)); #38053=EDGE_LOOP('',(#216315)); #38054=EDGE_LOOP('',(#216316)); #38055=EDGE_LOOP('',(#216317)); #38056=EDGE_LOOP('',(#216318)); #38057=EDGE_LOOP('',(#216319)); #38058=EDGE_LOOP('',(#216320)); #38059=EDGE_LOOP('',(#216321)); #38060=EDGE_LOOP('',(#216322)); #38061=EDGE_LOOP('',(#216323)); #38062=EDGE_LOOP('',(#216324)); #38063=EDGE_LOOP('',(#216325)); #38064=EDGE_LOOP('',(#216326)); #38065=EDGE_LOOP('',(#216327)); #38066=EDGE_LOOP('',(#216328)); #38067=EDGE_LOOP('',(#216329)); #38068=EDGE_LOOP('',(#216330)); #38069=EDGE_LOOP('',(#216331)); #38070=EDGE_LOOP('',(#216332)); #38071=EDGE_LOOP('',(#216333)); #38072=EDGE_LOOP('',(#216334)); #38073=EDGE_LOOP('',(#216335)); #38074=EDGE_LOOP('',(#216336)); #38075=EDGE_LOOP('',(#216337)); #38076=EDGE_LOOP('',(#216338)); #38077=EDGE_LOOP('',(#216339)); #38078=EDGE_LOOP('',(#216340)); #38079=EDGE_LOOP('',(#216341)); #38080=EDGE_LOOP('',(#216342)); #38081=EDGE_LOOP('',(#216343)); #38082=EDGE_LOOP('',(#216344)); #38083=EDGE_LOOP('',(#216345)); #38084=EDGE_LOOP('',(#216346)); #38085=EDGE_LOOP('',(#216347)); #38086=EDGE_LOOP('',(#216348)); #38087=EDGE_LOOP('',(#216349)); #38088=EDGE_LOOP('',(#216350)); #38089=EDGE_LOOP('',(#216351)); #38090=EDGE_LOOP('',(#216352)); #38091=EDGE_LOOP('',(#216353)); #38092=EDGE_LOOP('',(#216354)); #38093=EDGE_LOOP('',(#216355)); #38094=EDGE_LOOP('',(#216356)); #38095=EDGE_LOOP('',(#216357)); #38096=EDGE_LOOP('',(#216358)); #38097=EDGE_LOOP('',(#216359)); #38098=EDGE_LOOP('',(#216360)); #38099=EDGE_LOOP('',(#216361)); #38100=EDGE_LOOP('',(#216362)); #38101=EDGE_LOOP('',(#216363)); #38102=EDGE_LOOP('',(#216364)); #38103=EDGE_LOOP('',(#216365)); #38104=EDGE_LOOP('',(#216366)); #38105=EDGE_LOOP('',(#216367)); #38106=EDGE_LOOP('',(#216368)); #38107=EDGE_LOOP('',(#216369)); #38108=EDGE_LOOP('',(#216370)); #38109=EDGE_LOOP('',(#216371)); #38110=EDGE_LOOP('',(#216372)); #38111=EDGE_LOOP('',(#216373)); #38112=EDGE_LOOP('',(#216374)); #38113=EDGE_LOOP('',(#216375)); #38114=EDGE_LOOP('',(#216376)); #38115=EDGE_LOOP('',(#216377)); #38116=EDGE_LOOP('',(#216378)); #38117=EDGE_LOOP('',(#216379)); #38118=EDGE_LOOP('',(#216380)); #38119=EDGE_LOOP('',(#216381)); #38120=EDGE_LOOP('',(#216382)); #38121=EDGE_LOOP('',(#216383)); #38122=EDGE_LOOP('',(#216384)); #38123=EDGE_LOOP('',(#216385)); #38124=EDGE_LOOP('',(#216386)); #38125=EDGE_LOOP('',(#216387)); #38126=EDGE_LOOP('',(#216388)); #38127=EDGE_LOOP('',(#216389)); #38128=EDGE_LOOP('',(#216390)); #38129=EDGE_LOOP('',(#216391)); #38130=EDGE_LOOP('',(#216392)); #38131=EDGE_LOOP('',(#216393)); #38132=EDGE_LOOP('',(#216394)); #38133=EDGE_LOOP('',(#216395)); #38134=EDGE_LOOP('',(#216396)); #38135=EDGE_LOOP('',(#216397)); #38136=EDGE_LOOP('',(#216398)); #38137=EDGE_LOOP('',(#216399)); #38138=EDGE_LOOP('',(#216400)); #38139=EDGE_LOOP('',(#216401)); #38140=EDGE_LOOP('',(#216402)); #38141=EDGE_LOOP('',(#216403)); #38142=EDGE_LOOP('',(#216404)); #38143=EDGE_LOOP('',(#216405)); #38144=EDGE_LOOP('',(#216406)); #38145=EDGE_LOOP('',(#216407)); #38146=EDGE_LOOP('',(#216408)); #38147=EDGE_LOOP('',(#216409)); #38148=EDGE_LOOP('',(#216410)); #38149=EDGE_LOOP('',(#216411)); #38150=EDGE_LOOP('',(#216412)); #38151=EDGE_LOOP('',(#216413)); #38152=EDGE_LOOP('',(#216414)); #38153=EDGE_LOOP('',(#216415)); #38154=EDGE_LOOP('',(#216416)); #38155=EDGE_LOOP('',(#216417)); #38156=EDGE_LOOP('',(#216418)); #38157=EDGE_LOOP('',(#216419)); #38158=EDGE_LOOP('',(#216420)); #38159=EDGE_LOOP('',(#216421)); #38160=EDGE_LOOP('',(#216422)); #38161=EDGE_LOOP('',(#216423)); #38162=EDGE_LOOP('',(#216424)); #38163=EDGE_LOOP('',(#216425)); #38164=EDGE_LOOP('',(#216426)); #38165=EDGE_LOOP('',(#216427)); #38166=EDGE_LOOP('',(#216428)); #38167=EDGE_LOOP('',(#216429)); #38168=EDGE_LOOP('',(#216430)); #38169=EDGE_LOOP('',(#216431,#216432,#216433,#216434)); #38170=EDGE_LOOP('',(#216435)); #38171=EDGE_LOOP('',(#216436,#216437,#216438,#216439)); #38172=EDGE_LOOP('',(#216440)); #38173=EDGE_LOOP('',(#216441)); #38174=EDGE_LOOP('',(#216442)); #38175=EDGE_LOOP('',(#216443,#216444,#216445,#216446)); #38176=EDGE_LOOP('',(#216447)); #38177=EDGE_LOOP('',(#216448)); #38178=EDGE_LOOP('',(#216449)); #38179=EDGE_LOOP('',(#216450)); #38180=EDGE_LOOP('',(#216451)); #38181=EDGE_LOOP('',(#216452)); #38182=EDGE_LOOP('',(#216453)); #38183=EDGE_LOOP('',(#216454)); #38184=EDGE_LOOP('',(#216455)); #38185=EDGE_LOOP('',(#216456)); #38186=EDGE_LOOP('',(#216457,#216458,#216459,#216460)); #38187=EDGE_LOOP('',(#216461)); #38188=EDGE_LOOP('',(#216462,#216463,#216464,#216465)); #38189=EDGE_LOOP('',(#216466)); #38190=EDGE_LOOP('',(#216467,#216468,#216469,#216470)); #38191=EDGE_LOOP('',(#216471)); #38192=EDGE_LOOP('',(#216472)); #38193=EDGE_LOOP('',(#216473)); #38194=EDGE_LOOP('',(#216474)); #38195=EDGE_LOOP('',(#216475)); #38196=EDGE_LOOP('',(#216476)); #38197=EDGE_LOOP('',(#216477)); #38198=EDGE_LOOP('',(#216478)); #38199=EDGE_LOOP('',(#216479)); #38200=EDGE_LOOP('',(#216480)); #38201=EDGE_LOOP('',(#216481)); #38202=EDGE_LOOP('',(#216482)); #38203=EDGE_LOOP('',(#216483)); #38204=EDGE_LOOP('',(#216484)); #38205=EDGE_LOOP('',(#216485)); #38206=EDGE_LOOP('',(#216486)); #38207=EDGE_LOOP('',(#216487)); #38208=EDGE_LOOP('',(#216488)); #38209=EDGE_LOOP('',(#216489)); #38210=EDGE_LOOP('',(#216490)); #38211=EDGE_LOOP('',(#216491)); #38212=EDGE_LOOP('',(#216492)); #38213=EDGE_LOOP('',(#216493)); #38214=EDGE_LOOP('',(#216494)); #38215=EDGE_LOOP('',(#216495)); #38216=EDGE_LOOP('',(#216496)); #38217=EDGE_LOOP('',(#216497)); #38218=EDGE_LOOP('',(#216498)); #38219=EDGE_LOOP('',(#216499)); #38220=EDGE_LOOP('',(#216500)); #38221=EDGE_LOOP('',(#216501)); #38222=EDGE_LOOP('',(#216502)); #38223=EDGE_LOOP('',(#216503)); #38224=EDGE_LOOP('',(#216504)); #38225=EDGE_LOOP('',(#216505)); #38226=EDGE_LOOP('',(#216506)); #38227=EDGE_LOOP('',(#216507)); #38228=EDGE_LOOP('',(#216508)); #38229=EDGE_LOOP('',(#216509)); #38230=EDGE_LOOP('',(#216510)); #38231=EDGE_LOOP('',(#216511)); #38232=EDGE_LOOP('',(#216512)); #38233=EDGE_LOOP('',(#216513)); #38234=EDGE_LOOP('',(#216514)); #38235=EDGE_LOOP('',(#216515)); #38236=EDGE_LOOP('',(#216516)); #38237=EDGE_LOOP('',(#216517)); #38238=EDGE_LOOP('',(#216518)); #38239=EDGE_LOOP('',(#216519)); #38240=EDGE_LOOP('',(#216520)); #38241=EDGE_LOOP('',(#216521)); #38242=EDGE_LOOP('',(#216522)); #38243=EDGE_LOOP('',(#216523)); #38244=EDGE_LOOP('',(#216524)); #38245=EDGE_LOOP('',(#216525)); #38246=EDGE_LOOP('',(#216526)); #38247=EDGE_LOOP('',(#216527)); #38248=EDGE_LOOP('',(#216528)); #38249=EDGE_LOOP('',(#216529)); #38250=EDGE_LOOP('',(#216530)); #38251=EDGE_LOOP('',(#216531)); #38252=EDGE_LOOP('',(#216532)); #38253=EDGE_LOOP('',(#216533)); #38254=EDGE_LOOP('',(#216534)); #38255=EDGE_LOOP('',(#216535)); #38256=EDGE_LOOP('',(#216536)); #38257=EDGE_LOOP('',(#216537)); #38258=EDGE_LOOP('',(#216538)); #38259=EDGE_LOOP('',(#216539)); #38260=EDGE_LOOP('',(#216540)); #38261=EDGE_LOOP('',(#216541)); #38262=EDGE_LOOP('',(#216542)); #38263=EDGE_LOOP('',(#216543)); #38264=EDGE_LOOP('',(#216544)); #38265=EDGE_LOOP('',(#216545)); #38266=EDGE_LOOP('',(#216546)); #38267=EDGE_LOOP('',(#216547)); #38268=EDGE_LOOP('',(#216548)); #38269=EDGE_LOOP('',(#216549)); #38270=EDGE_LOOP('',(#216550)); #38271=EDGE_LOOP('',(#216551)); #38272=EDGE_LOOP('',(#216552)); #38273=EDGE_LOOP('',(#216553)); #38274=EDGE_LOOP('',(#216554)); #38275=EDGE_LOOP('',(#216555)); #38276=EDGE_LOOP('',(#216556)); #38277=EDGE_LOOP('',(#216557)); #38278=EDGE_LOOP('',(#216558)); #38279=EDGE_LOOP('',(#216559)); #38280=EDGE_LOOP('',(#216560)); #38281=EDGE_LOOP('',(#216561)); #38282=EDGE_LOOP('',(#216562)); #38283=EDGE_LOOP('',(#216563)); #38284=EDGE_LOOP('',(#216564)); #38285=EDGE_LOOP('',(#216565)); #38286=EDGE_LOOP('',(#216566)); #38287=EDGE_LOOP('',(#216567)); #38288=EDGE_LOOP('',(#216568)); #38289=EDGE_LOOP('',(#216569)); #38290=EDGE_LOOP('',(#216570)); #38291=EDGE_LOOP('',(#216571)); #38292=EDGE_LOOP('',(#216572)); #38293=EDGE_LOOP('',(#216573)); #38294=EDGE_LOOP('',(#216574)); #38295=EDGE_LOOP('',(#216575)); #38296=EDGE_LOOP('',(#216576)); #38297=EDGE_LOOP('',(#216577)); #38298=EDGE_LOOP('',(#216578)); #38299=EDGE_LOOP('',(#216579)); #38300=EDGE_LOOP('',(#216580)); #38301=EDGE_LOOP('',(#216581)); #38302=EDGE_LOOP('',(#216582)); #38303=EDGE_LOOP('',(#216583)); #38304=EDGE_LOOP('',(#216584)); #38305=EDGE_LOOP('',(#216585)); #38306=EDGE_LOOP('',(#216586)); #38307=EDGE_LOOP('',(#216587)); #38308=EDGE_LOOP('',(#216588)); #38309=EDGE_LOOP('',(#216589)); #38310=EDGE_LOOP('',(#216590)); #38311=EDGE_LOOP('',(#216591)); #38312=EDGE_LOOP('',(#216592)); #38313=EDGE_LOOP('',(#216593)); #38314=EDGE_LOOP('',(#216594)); #38315=EDGE_LOOP('',(#216595)); #38316=EDGE_LOOP('',(#216596)); #38317=EDGE_LOOP('',(#216597)); #38318=EDGE_LOOP('',(#216598)); #38319=EDGE_LOOP('',(#216599)); #38320=EDGE_LOOP('',(#216600)); #38321=EDGE_LOOP('',(#216601)); #38322=EDGE_LOOP('',(#216602)); #38323=EDGE_LOOP('',(#216603)); #38324=EDGE_LOOP('',(#216604)); #38325=EDGE_LOOP('',(#216605)); #38326=EDGE_LOOP('',(#216606)); #38327=EDGE_LOOP('',(#216607)); #38328=EDGE_LOOP('',(#216608)); #38329=EDGE_LOOP('',(#216609)); #38330=EDGE_LOOP('',(#216610)); #38331=EDGE_LOOP('',(#216611)); #38332=EDGE_LOOP('',(#216612)); #38333=EDGE_LOOP('',(#216613)); #38334=EDGE_LOOP('',(#216614)); #38335=EDGE_LOOP('',(#216615)); #38336=EDGE_LOOP('',(#216616)); #38337=EDGE_LOOP('',(#216617)); #38338=EDGE_LOOP('',(#216618)); #38339=EDGE_LOOP('',(#216619)); #38340=EDGE_LOOP('',(#216620)); #38341=EDGE_LOOP('',(#216621)); #38342=EDGE_LOOP('',(#216622)); #38343=EDGE_LOOP('',(#216623)); #38344=EDGE_LOOP('',(#216624)); #38345=EDGE_LOOP('',(#216625)); #38346=EDGE_LOOP('',(#216626)); #38347=EDGE_LOOP('',(#216627)); #38348=EDGE_LOOP('',(#216628)); #38349=EDGE_LOOP('',(#216629)); #38350=EDGE_LOOP('',(#216630)); #38351=EDGE_LOOP('',(#216631)); #38352=EDGE_LOOP('',(#216632)); #38353=EDGE_LOOP('',(#216633)); #38354=EDGE_LOOP('',(#216634)); #38355=EDGE_LOOP('',(#216635)); #38356=EDGE_LOOP('',(#216636)); #38357=EDGE_LOOP('',(#216637)); #38358=EDGE_LOOP('',(#216638)); #38359=EDGE_LOOP('',(#216639)); #38360=EDGE_LOOP('',(#216640)); #38361=EDGE_LOOP('',(#216641)); #38362=EDGE_LOOP('',(#216642)); #38363=EDGE_LOOP('',(#216643)); #38364=EDGE_LOOP('',(#216644)); #38365=EDGE_LOOP('',(#216645)); #38366=EDGE_LOOP('',(#216646)); #38367=EDGE_LOOP('',(#216647)); #38368=EDGE_LOOP('',(#216648)); #38369=EDGE_LOOP('',(#216649)); #38370=EDGE_LOOP('',(#216650)); #38371=EDGE_LOOP('',(#216651)); #38372=EDGE_LOOP('',(#216652)); #38373=EDGE_LOOP('',(#216653)); #38374=EDGE_LOOP('',(#216654)); #38375=EDGE_LOOP('',(#216655)); #38376=EDGE_LOOP('',(#216656)); #38377=EDGE_LOOP('',(#216657)); #38378=EDGE_LOOP('',(#216658)); #38379=EDGE_LOOP('',(#216659)); #38380=EDGE_LOOP('',(#216660)); #38381=EDGE_LOOP('',(#216661)); #38382=EDGE_LOOP('',(#216662)); #38383=EDGE_LOOP('',(#216663)); #38384=EDGE_LOOP('',(#216664)); #38385=EDGE_LOOP('',(#216665)); #38386=EDGE_LOOP('',(#216666)); #38387=EDGE_LOOP('',(#216667)); #38388=EDGE_LOOP('',(#216668)); #38389=EDGE_LOOP('',(#216669)); #38390=EDGE_LOOP('',(#216670)); #38391=EDGE_LOOP('',(#216671)); #38392=EDGE_LOOP('',(#216672)); #38393=EDGE_LOOP('',(#216673)); #38394=EDGE_LOOP('',(#216674)); #38395=EDGE_LOOP('',(#216675)); #38396=EDGE_LOOP('',(#216676)); #38397=EDGE_LOOP('',(#216677)); #38398=EDGE_LOOP('',(#216678)); #38399=EDGE_LOOP('',(#216679)); #38400=EDGE_LOOP('',(#216680)); #38401=EDGE_LOOP('',(#216681)); #38402=EDGE_LOOP('',(#216682)); #38403=EDGE_LOOP('',(#216683)); #38404=EDGE_LOOP('',(#216684)); #38405=EDGE_LOOP('',(#216685)); #38406=EDGE_LOOP('',(#216686)); #38407=EDGE_LOOP('',(#216687)); #38408=EDGE_LOOP('',(#216688)); #38409=EDGE_LOOP('',(#216689)); #38410=EDGE_LOOP('',(#216690)); #38411=EDGE_LOOP('',(#216691)); #38412=EDGE_LOOP('',(#216692)); #38413=EDGE_LOOP('',(#216693)); #38414=EDGE_LOOP('',(#216694)); #38415=EDGE_LOOP('',(#216695)); #38416=EDGE_LOOP('',(#216696)); #38417=EDGE_LOOP('',(#216697)); #38418=EDGE_LOOP('',(#216698)); #38419=EDGE_LOOP('',(#216699)); #38420=EDGE_LOOP('',(#216700)); #38421=EDGE_LOOP('',(#216701)); #38422=EDGE_LOOP('',(#216702)); #38423=EDGE_LOOP('',(#216703)); #38424=EDGE_LOOP('',(#216704)); #38425=EDGE_LOOP('',(#216705)); #38426=EDGE_LOOP('',(#216706)); #38427=EDGE_LOOP('',(#216707)); #38428=EDGE_LOOP('',(#216708)); #38429=EDGE_LOOP('',(#216709)); #38430=EDGE_LOOP('',(#216710)); #38431=EDGE_LOOP('',(#216711)); #38432=EDGE_LOOP('',(#216712)); #38433=EDGE_LOOP('',(#216713)); #38434=EDGE_LOOP('',(#216714)); #38435=EDGE_LOOP('',(#216715)); #38436=EDGE_LOOP('',(#216716)); #38437=EDGE_LOOP('',(#216717)); #38438=EDGE_LOOP('',(#216718)); #38439=EDGE_LOOP('',(#216719)); #38440=EDGE_LOOP('',(#216720)); #38441=EDGE_LOOP('',(#216721)); #38442=EDGE_LOOP('',(#216722)); #38443=EDGE_LOOP('',(#216723)); #38444=EDGE_LOOP('',(#216724)); #38445=EDGE_LOOP('',(#216725)); #38446=EDGE_LOOP('',(#216726)); #38447=EDGE_LOOP('',(#216727)); #38448=EDGE_LOOP('',(#216728)); #38449=EDGE_LOOP('',(#216729)); #38450=EDGE_LOOP('',(#216730)); #38451=EDGE_LOOP('',(#216731)); #38452=EDGE_LOOP('',(#216732)); #38453=EDGE_LOOP('',(#216733)); #38454=EDGE_LOOP('',(#216734)); #38455=EDGE_LOOP('',(#216735)); #38456=EDGE_LOOP('',(#216736)); #38457=EDGE_LOOP('',(#216737)); #38458=EDGE_LOOP('',(#216738)); #38459=EDGE_LOOP('',(#216739)); #38460=EDGE_LOOP('',(#216740)); #38461=EDGE_LOOP('',(#216741)); #38462=EDGE_LOOP('',(#216742)); #38463=EDGE_LOOP('',(#216743)); #38464=EDGE_LOOP('',(#216744)); #38465=EDGE_LOOP('',(#216745)); #38466=EDGE_LOOP('',(#216746)); #38467=EDGE_LOOP('',(#216747)); #38468=EDGE_LOOP('',(#216748)); #38469=EDGE_LOOP('',(#216749)); #38470=EDGE_LOOP('',(#216750)); #38471=EDGE_LOOP('',(#216751)); #38472=EDGE_LOOP('',(#216752)); #38473=EDGE_LOOP('',(#216753)); #38474=EDGE_LOOP('',(#216754)); #38475=EDGE_LOOP('',(#216755)); #38476=EDGE_LOOP('',(#216756)); #38477=EDGE_LOOP('',(#216757)); #38478=EDGE_LOOP('',(#216758)); #38479=EDGE_LOOP('',(#216759)); #38480=EDGE_LOOP('',(#216760)); #38481=EDGE_LOOP('',(#216761)); #38482=EDGE_LOOP('',(#216762)); #38483=EDGE_LOOP('',(#216763)); #38484=EDGE_LOOP('',(#216764)); #38485=EDGE_LOOP('',(#216765)); #38486=EDGE_LOOP('',(#216766)); #38487=EDGE_LOOP('',(#216767,#216768,#216769,#216770)); #38488=EDGE_LOOP('',(#216771)); #38489=EDGE_LOOP('',(#216772,#216773,#216774,#216775)); #38490=EDGE_LOOP('',(#216776)); #38491=EDGE_LOOP('',(#216777)); #38492=EDGE_LOOP('',(#216778)); #38493=EDGE_LOOP('',(#216779,#216780,#216781,#216782)); #38494=EDGE_LOOP('',(#216783)); #38495=EDGE_LOOP('',(#216784)); #38496=EDGE_LOOP('',(#216785)); #38497=EDGE_LOOP('',(#216786)); #38498=EDGE_LOOP('',(#216787)); #38499=EDGE_LOOP('',(#216788)); #38500=EDGE_LOOP('',(#216789)); #38501=EDGE_LOOP('',(#216790)); #38502=EDGE_LOOP('',(#216791)); #38503=EDGE_LOOP('',(#216792)); #38504=EDGE_LOOP('',(#216793,#216794,#216795,#216796)); #38505=EDGE_LOOP('',(#216797)); #38506=EDGE_LOOP('',(#216798,#216799,#216800,#216801)); #38507=EDGE_LOOP('',(#216802)); #38508=EDGE_LOOP('',(#216803,#216804,#216805,#216806)); #38509=EDGE_LOOP('',(#216807)); #38510=EDGE_LOOP('',(#216808)); #38511=EDGE_LOOP('',(#216809)); #38512=EDGE_LOOP('',(#216810)); #38513=EDGE_LOOP('',(#216811)); #38514=EDGE_LOOP('',(#216812)); #38515=EDGE_LOOP('',(#216813)); #38516=EDGE_LOOP('',(#216814)); #38517=EDGE_LOOP('',(#216815)); #38518=EDGE_LOOP('',(#216816)); #38519=EDGE_LOOP('',(#216817)); #38520=EDGE_LOOP('',(#216818)); #38521=EDGE_LOOP('',(#216819)); #38522=EDGE_LOOP('',(#216820)); #38523=EDGE_LOOP('',(#216821)); #38524=EDGE_LOOP('',(#216822)); #38525=EDGE_LOOP('',(#216823)); #38526=EDGE_LOOP('',(#216824)); #38527=EDGE_LOOP('',(#216825)); #38528=EDGE_LOOP('',(#216826)); #38529=EDGE_LOOP('',(#216827)); #38530=EDGE_LOOP('',(#216828)); #38531=EDGE_LOOP('',(#216829)); #38532=EDGE_LOOP('',(#216830)); #38533=EDGE_LOOP('',(#216831)); #38534=EDGE_LOOP('',(#216832)); #38535=EDGE_LOOP('',(#216833)); #38536=EDGE_LOOP('',(#216834)); #38537=EDGE_LOOP('',(#216835)); #38538=EDGE_LOOP('',(#216836)); #38539=EDGE_LOOP('',(#216837)); #38540=EDGE_LOOP('',(#216838)); #38541=EDGE_LOOP('',(#216839)); #38542=EDGE_LOOP('',(#216840)); #38543=EDGE_LOOP('',(#216841)); #38544=EDGE_LOOP('',(#216842)); #38545=EDGE_LOOP('',(#216843)); #38546=EDGE_LOOP('',(#216844)); #38547=EDGE_LOOP('',(#216845)); #38548=EDGE_LOOP('',(#216846)); #38549=EDGE_LOOP('',(#216847)); #38550=EDGE_LOOP('',(#216848)); #38551=EDGE_LOOP('',(#216849)); #38552=EDGE_LOOP('',(#216850)); #38553=EDGE_LOOP('',(#216851)); #38554=EDGE_LOOP('',(#216852)); #38555=EDGE_LOOP('',(#216853)); #38556=EDGE_LOOP('',(#216854)); #38557=EDGE_LOOP('',(#216855)); #38558=EDGE_LOOP('',(#216856)); #38559=EDGE_LOOP('',(#216857)); #38560=EDGE_LOOP('',(#216858)); #38561=EDGE_LOOP('',(#216859)); #38562=EDGE_LOOP('',(#216860)); #38563=EDGE_LOOP('',(#216861)); #38564=EDGE_LOOP('',(#216862)); #38565=EDGE_LOOP('',(#216863)); #38566=EDGE_LOOP('',(#216864)); #38567=EDGE_LOOP('',(#216865)); #38568=EDGE_LOOP('',(#216866)); #38569=EDGE_LOOP('',(#216867)); #38570=EDGE_LOOP('',(#216868)); #38571=EDGE_LOOP('',(#216869)); #38572=EDGE_LOOP('',(#216870)); #38573=EDGE_LOOP('',(#216871)); #38574=EDGE_LOOP('',(#216872)); #38575=EDGE_LOOP('',(#216873)); #38576=EDGE_LOOP('',(#216874)); #38577=EDGE_LOOP('',(#216875)); #38578=EDGE_LOOP('',(#216876)); #38579=EDGE_LOOP('',(#216877)); #38580=EDGE_LOOP('',(#216878)); #38581=EDGE_LOOP('',(#216879)); #38582=EDGE_LOOP('',(#216880)); #38583=EDGE_LOOP('',(#216881)); #38584=EDGE_LOOP('',(#216882)); #38585=EDGE_LOOP('',(#216883)); #38586=EDGE_LOOP('',(#216884)); #38587=EDGE_LOOP('',(#216885)); #38588=EDGE_LOOP('',(#216886)); #38589=EDGE_LOOP('',(#216887)); #38590=EDGE_LOOP('',(#216888)); #38591=EDGE_LOOP('',(#216889)); #38592=EDGE_LOOP('',(#216890)); #38593=EDGE_LOOP('',(#216891)); #38594=EDGE_LOOP('',(#216892)); #38595=EDGE_LOOP('',(#216893)); #38596=EDGE_LOOP('',(#216894)); #38597=EDGE_LOOP('',(#216895)); #38598=EDGE_LOOP('',(#216896)); #38599=EDGE_LOOP('',(#216897)); #38600=EDGE_LOOP('',(#216898)); #38601=EDGE_LOOP('',(#216899)); #38602=EDGE_LOOP('',(#216900)); #38603=EDGE_LOOP('',(#216901)); #38604=EDGE_LOOP('',(#216902)); #38605=EDGE_LOOP('',(#216903)); #38606=EDGE_LOOP('',(#216904)); #38607=EDGE_LOOP('',(#216905)); #38608=EDGE_LOOP('',(#216906)); #38609=EDGE_LOOP('',(#216907)); #38610=EDGE_LOOP('',(#216908)); #38611=EDGE_LOOP('',(#216909)); #38612=EDGE_LOOP('',(#216910)); #38613=EDGE_LOOP('',(#216911)); #38614=EDGE_LOOP('',(#216912)); #38615=EDGE_LOOP('',(#216913)); #38616=EDGE_LOOP('',(#216914)); #38617=EDGE_LOOP('',(#216915)); #38618=EDGE_LOOP('',(#216916)); #38619=EDGE_LOOP('',(#216917)); #38620=EDGE_LOOP('',(#216918)); #38621=EDGE_LOOP('',(#216919)); #38622=EDGE_LOOP('',(#216920)); #38623=EDGE_LOOP('',(#216921)); #38624=EDGE_LOOP('',(#216922)); #38625=EDGE_LOOP('',(#216923)); #38626=EDGE_LOOP('',(#216924)); #38627=EDGE_LOOP('',(#216925)); #38628=EDGE_LOOP('',(#216926)); #38629=EDGE_LOOP('',(#216927)); #38630=EDGE_LOOP('',(#216928)); #38631=EDGE_LOOP('',(#216929)); #38632=EDGE_LOOP('',(#216930)); #38633=EDGE_LOOP('',(#216931)); #38634=EDGE_LOOP('',(#216932)); #38635=EDGE_LOOP('',(#216933)); #38636=EDGE_LOOP('',(#216934)); #38637=EDGE_LOOP('',(#216935)); #38638=EDGE_LOOP('',(#216936)); #38639=EDGE_LOOP('',(#216937)); #38640=EDGE_LOOP('',(#216938)); #38641=EDGE_LOOP('',(#216939,#216940,#216941,#216942)); #38642=EDGE_LOOP('',(#216943,#216944,#216945,#216946)); #38643=EDGE_LOOP('',(#216947)); #38644=EDGE_LOOP('',(#216948)); #38645=EDGE_LOOP('',(#216949)); #38646=EDGE_LOOP('',(#216950)); #38647=EDGE_LOOP('',(#216951,#216952,#216953,#216954)); #38648=EDGE_LOOP('',(#216955,#216956,#216957,#216958)); #38649=EDGE_LOOP('',(#216959)); #38650=EDGE_LOOP('',(#216960)); #38651=EDGE_LOOP('',(#216961)); #38652=EDGE_LOOP('',(#216962)); #38653=EDGE_LOOP('',(#216963,#216964,#216965,#216966)); #38654=EDGE_LOOP('',(#216967,#216968,#216969,#216970)); #38655=EDGE_LOOP('',(#216971)); #38656=EDGE_LOOP('',(#216972)); #38657=EDGE_LOOP('',(#216973)); #38658=EDGE_LOOP('',(#216974)); #38659=EDGE_LOOP('',(#216975,#216976,#216977,#216978)); #38660=EDGE_LOOP('',(#216979,#216980,#216981,#216982)); #38661=EDGE_LOOP('',(#216983)); #38662=EDGE_LOOP('',(#216984)); #38663=EDGE_LOOP('',(#216985)); #38664=EDGE_LOOP('',(#216986)); #38665=EDGE_LOOP('',(#216987,#216988,#216989,#216990)); #38666=EDGE_LOOP('',(#216991,#216992,#216993,#216994)); #38667=EDGE_LOOP('',(#216995)); #38668=EDGE_LOOP('',(#216996)); #38669=EDGE_LOOP('',(#216997)); #38670=EDGE_LOOP('',(#216998)); #38671=EDGE_LOOP('',(#216999,#217000,#217001,#217002)); #38672=EDGE_LOOP('',(#217003,#217004,#217005,#217006)); #38673=EDGE_LOOP('',(#217007)); #38674=EDGE_LOOP('',(#217008)); #38675=EDGE_LOOP('',(#217009)); #38676=EDGE_LOOP('',(#217010)); #38677=EDGE_LOOP('',(#217011,#217012,#217013,#217014)); #38678=EDGE_LOOP('',(#217015,#217016,#217017,#217018)); #38679=EDGE_LOOP('',(#217019)); #38680=EDGE_LOOP('',(#217020)); #38681=EDGE_LOOP('',(#217021)); #38682=EDGE_LOOP('',(#217022)); #38683=EDGE_LOOP('',(#217023,#217024,#217025,#217026)); #38684=EDGE_LOOP('',(#217027,#217028,#217029,#217030)); #38685=EDGE_LOOP('',(#217031)); #38686=EDGE_LOOP('',(#217032)); #38687=EDGE_LOOP('',(#217033)); #38688=EDGE_LOOP('',(#217034)); #38689=EDGE_LOOP('',(#217035,#217036,#217037,#217038)); #38690=EDGE_LOOP('',(#217039,#217040,#217041,#217042)); #38691=EDGE_LOOP('',(#217043)); #38692=EDGE_LOOP('',(#217044)); #38693=EDGE_LOOP('',(#217045)); #38694=EDGE_LOOP('',(#217046)); #38695=EDGE_LOOP('',(#217047,#217048,#217049,#217050)); #38696=EDGE_LOOP('',(#217051,#217052,#217053,#217054)); #38697=EDGE_LOOP('',(#217055)); #38698=EDGE_LOOP('',(#217056)); #38699=EDGE_LOOP('',(#217057)); #38700=EDGE_LOOP('',(#217058)); #38701=EDGE_LOOP('',(#217059,#217060,#217061,#217062)); #38702=EDGE_LOOP('',(#217063,#217064,#217065,#217066)); #38703=EDGE_LOOP('',(#217067)); #38704=EDGE_LOOP('',(#217068)); #38705=EDGE_LOOP('',(#217069)); #38706=EDGE_LOOP('',(#217070)); #38707=EDGE_LOOP('',(#217071,#217072,#217073,#217074)); #38708=EDGE_LOOP('',(#217075,#217076,#217077,#217078)); #38709=EDGE_LOOP('',(#217079)); #38710=EDGE_LOOP('',(#217080)); #38711=EDGE_LOOP('',(#217081)); #38712=EDGE_LOOP('',(#217082)); #38713=EDGE_LOOP('',(#217083,#217084,#217085,#217086)); #38714=EDGE_LOOP('',(#217087,#217088,#217089,#217090)); #38715=EDGE_LOOP('',(#217091)); #38716=EDGE_LOOP('',(#217092)); #38717=EDGE_LOOP('',(#217093)); #38718=EDGE_LOOP('',(#217094)); #38719=EDGE_LOOP('',(#217095,#217096,#217097,#217098)); #38720=EDGE_LOOP('',(#217099,#217100,#217101,#217102)); #38721=EDGE_LOOP('',(#217103)); #38722=EDGE_LOOP('',(#217104)); #38723=EDGE_LOOP('',(#217105)); #38724=EDGE_LOOP('',(#217106)); #38725=EDGE_LOOP('',(#217107,#217108,#217109,#217110)); #38726=EDGE_LOOP('',(#217111,#217112,#217113,#217114)); #38727=EDGE_LOOP('',(#217115)); #38728=EDGE_LOOP('',(#217116)); #38729=EDGE_LOOP('',(#217117)); #38730=EDGE_LOOP('',(#217118)); #38731=EDGE_LOOP('',(#217119,#217120,#217121,#217122)); #38732=EDGE_LOOP('',(#217123,#217124,#217125,#217126)); #38733=EDGE_LOOP('',(#217127)); #38734=EDGE_LOOP('',(#217128)); #38735=EDGE_LOOP('',(#217129)); #38736=EDGE_LOOP('',(#217130)); #38737=EDGE_LOOP('',(#217131,#217132,#217133,#217134)); #38738=EDGE_LOOP('',(#217135,#217136,#217137,#217138)); #38739=EDGE_LOOP('',(#217139)); #38740=EDGE_LOOP('',(#217140)); #38741=EDGE_LOOP('',(#217141)); #38742=EDGE_LOOP('',(#217142)); #38743=EDGE_LOOP('',(#217143,#217144,#217145,#217146)); #38744=EDGE_LOOP('',(#217147,#217148,#217149,#217150)); #38745=EDGE_LOOP('',(#217151)); #38746=EDGE_LOOP('',(#217152)); #38747=EDGE_LOOP('',(#217153)); #38748=EDGE_LOOP('',(#217154)); #38749=EDGE_LOOP('',(#217155,#217156,#217157,#217158)); #38750=EDGE_LOOP('',(#217159,#217160,#217161,#217162)); #38751=EDGE_LOOP('',(#217163)); #38752=EDGE_LOOP('',(#217164)); #38753=EDGE_LOOP('',(#217165)); #38754=EDGE_LOOP('',(#217166)); #38755=EDGE_LOOP('',(#217167,#217168,#217169,#217170)); #38756=EDGE_LOOP('',(#217171,#217172,#217173,#217174)); #38757=EDGE_LOOP('',(#217175)); #38758=EDGE_LOOP('',(#217176)); #38759=EDGE_LOOP('',(#217177)); #38760=EDGE_LOOP('',(#217178)); #38761=EDGE_LOOP('',(#217179,#217180,#217181,#217182)); #38762=EDGE_LOOP('',(#217183,#217184,#217185,#217186)); #38763=EDGE_LOOP('',(#217187)); #38764=EDGE_LOOP('',(#217188)); #38765=EDGE_LOOP('',(#217189)); #38766=EDGE_LOOP('',(#217190)); #38767=EDGE_LOOP('',(#217191,#217192,#217193,#217194)); #38768=EDGE_LOOP('',(#217195,#217196,#217197,#217198)); #38769=EDGE_LOOP('',(#217199)); #38770=EDGE_LOOP('',(#217200)); #38771=EDGE_LOOP('',(#217201)); #38772=EDGE_LOOP('',(#217202)); #38773=EDGE_LOOP('',(#217203,#217204,#217205,#217206)); #38774=EDGE_LOOP('',(#217207,#217208,#217209,#217210)); #38775=EDGE_LOOP('',(#217211)); #38776=EDGE_LOOP('',(#217212)); #38777=EDGE_LOOP('',(#217213)); #38778=EDGE_LOOP('',(#217214)); #38779=EDGE_LOOP('',(#217215,#217216,#217217,#217218)); #38780=EDGE_LOOP('',(#217219,#217220,#217221,#217222)); #38781=EDGE_LOOP('',(#217223)); #38782=EDGE_LOOP('',(#217224)); #38783=EDGE_LOOP('',(#217225)); #38784=EDGE_LOOP('',(#217226)); #38785=EDGE_LOOP('',(#217227,#217228,#217229,#217230)); #38786=EDGE_LOOP('',(#217231,#217232,#217233,#217234)); #38787=EDGE_LOOP('',(#217235)); #38788=EDGE_LOOP('',(#217236)); #38789=EDGE_LOOP('',(#217237)); #38790=EDGE_LOOP('',(#217238)); #38791=EDGE_LOOP('',(#217239,#217240,#217241,#217242)); #38792=EDGE_LOOP('',(#217243,#217244,#217245,#217246)); #38793=EDGE_LOOP('',(#217247)); #38794=EDGE_LOOP('',(#217248)); #38795=EDGE_LOOP('',(#217249)); #38796=EDGE_LOOP('',(#217250)); #38797=EDGE_LOOP('',(#217251,#217252,#217253,#217254)); #38798=EDGE_LOOP('',(#217255,#217256,#217257,#217258)); #38799=EDGE_LOOP('',(#217259)); #38800=EDGE_LOOP('',(#217260)); #38801=EDGE_LOOP('',(#217261)); #38802=EDGE_LOOP('',(#217262)); #38803=EDGE_LOOP('',(#217263,#217264,#217265,#217266)); #38804=EDGE_LOOP('',(#217267,#217268,#217269,#217270)); #38805=EDGE_LOOP('',(#217271)); #38806=EDGE_LOOP('',(#217272)); #38807=EDGE_LOOP('',(#217273)); #38808=EDGE_LOOP('',(#217274)); #38809=EDGE_LOOP('',(#217275,#217276,#217277,#217278)); #38810=EDGE_LOOP('',(#217279,#217280,#217281,#217282)); #38811=EDGE_LOOP('',(#217283)); #38812=EDGE_LOOP('',(#217284)); #38813=EDGE_LOOP('',(#217285)); #38814=EDGE_LOOP('',(#217286)); #38815=EDGE_LOOP('',(#217287,#217288,#217289,#217290)); #38816=EDGE_LOOP('',(#217291,#217292,#217293,#217294)); #38817=EDGE_LOOP('',(#217295)); #38818=EDGE_LOOP('',(#217296)); #38819=EDGE_LOOP('',(#217297)); #38820=EDGE_LOOP('',(#217298)); #38821=EDGE_LOOP('',(#217299,#217300,#217301,#217302)); #38822=EDGE_LOOP('',(#217303,#217304,#217305,#217306)); #38823=EDGE_LOOP('',(#217307)); #38824=EDGE_LOOP('',(#217308)); #38825=EDGE_LOOP('',(#217309)); #38826=EDGE_LOOP('',(#217310)); #38827=EDGE_LOOP('',(#217311,#217312,#217313,#217314)); #38828=EDGE_LOOP('',(#217315,#217316,#217317,#217318)); #38829=EDGE_LOOP('',(#217319)); #38830=EDGE_LOOP('',(#217320)); #38831=EDGE_LOOP('',(#217321)); #38832=EDGE_LOOP('',(#217322)); #38833=EDGE_LOOP('',(#217323,#217324,#217325,#217326)); #38834=EDGE_LOOP('',(#217327,#217328,#217329,#217330)); #38835=EDGE_LOOP('',(#217331)); #38836=EDGE_LOOP('',(#217332)); #38837=EDGE_LOOP('',(#217333)); #38838=EDGE_LOOP('',(#217334)); #38839=EDGE_LOOP('',(#217335,#217336,#217337,#217338)); #38840=EDGE_LOOP('',(#217339,#217340,#217341,#217342)); #38841=EDGE_LOOP('',(#217343)); #38842=EDGE_LOOP('',(#217344)); #38843=EDGE_LOOP('',(#217345)); #38844=EDGE_LOOP('',(#217346)); #38845=EDGE_LOOP('',(#217347,#217348,#217349,#217350)); #38846=EDGE_LOOP('',(#217351,#217352,#217353,#217354)); #38847=EDGE_LOOP('',(#217355)); #38848=EDGE_LOOP('',(#217356)); #38849=EDGE_LOOP('',(#217357)); #38850=EDGE_LOOP('',(#217358)); #38851=EDGE_LOOP('',(#217359,#217360,#217361,#217362)); #38852=EDGE_LOOP('',(#217363,#217364,#217365,#217366)); #38853=EDGE_LOOP('',(#217367)); #38854=EDGE_LOOP('',(#217368)); #38855=EDGE_LOOP('',(#217369)); #38856=EDGE_LOOP('',(#217370)); #38857=EDGE_LOOP('',(#217371,#217372,#217373,#217374)); #38858=EDGE_LOOP('',(#217375,#217376,#217377,#217378)); #38859=EDGE_LOOP('',(#217379)); #38860=EDGE_LOOP('',(#217380)); #38861=EDGE_LOOP('',(#217381)); #38862=EDGE_LOOP('',(#217382)); #38863=EDGE_LOOP('',(#217383,#217384,#217385,#217386)); #38864=EDGE_LOOP('',(#217387,#217388,#217389,#217390)); #38865=EDGE_LOOP('',(#217391)); #38866=EDGE_LOOP('',(#217392)); #38867=EDGE_LOOP('',(#217393)); #38868=EDGE_LOOP('',(#217394)); #38869=EDGE_LOOP('',(#217395,#217396,#217397,#217398)); #38870=EDGE_LOOP('',(#217399,#217400,#217401,#217402)); #38871=EDGE_LOOP('',(#217403)); #38872=EDGE_LOOP('',(#217404)); #38873=EDGE_LOOP('',(#217405)); #38874=EDGE_LOOP('',(#217406)); #38875=EDGE_LOOP('',(#217407,#217408,#217409,#217410)); #38876=EDGE_LOOP('',(#217411,#217412,#217413,#217414)); #38877=EDGE_LOOP('',(#217415)); #38878=EDGE_LOOP('',(#217416)); #38879=EDGE_LOOP('',(#217417)); #38880=EDGE_LOOP('',(#217418)); #38881=EDGE_LOOP('',(#217419,#217420,#217421,#217422)); #38882=EDGE_LOOP('',(#217423,#217424,#217425,#217426)); #38883=EDGE_LOOP('',(#217427)); #38884=EDGE_LOOP('',(#217428)); #38885=EDGE_LOOP('',(#217429)); #38886=EDGE_LOOP('',(#217430)); #38887=EDGE_LOOP('',(#217431,#217432,#217433,#217434)); #38888=EDGE_LOOP('',(#217435,#217436,#217437,#217438)); #38889=EDGE_LOOP('',(#217439)); #38890=EDGE_LOOP('',(#217440)); #38891=EDGE_LOOP('',(#217441)); #38892=EDGE_LOOP('',(#217442)); #38893=EDGE_LOOP('',(#217443,#217444,#217445,#217446)); #38894=EDGE_LOOP('',(#217447,#217448,#217449,#217450)); #38895=EDGE_LOOP('',(#217451)); #38896=EDGE_LOOP('',(#217452)); #38897=EDGE_LOOP('',(#217453)); #38898=EDGE_LOOP('',(#217454)); #38899=EDGE_LOOP('',(#217455,#217456,#217457,#217458)); #38900=EDGE_LOOP('',(#217459,#217460,#217461,#217462)); #38901=EDGE_LOOP('',(#217463)); #38902=EDGE_LOOP('',(#217464)); #38903=EDGE_LOOP('',(#217465)); #38904=EDGE_LOOP('',(#217466)); #38905=EDGE_LOOP('',(#217467,#217468,#217469,#217470)); #38906=EDGE_LOOP('',(#217471,#217472,#217473,#217474)); #38907=EDGE_LOOP('',(#217475)); #38908=EDGE_LOOP('',(#217476)); #38909=EDGE_LOOP('',(#217477)); #38910=EDGE_LOOP('',(#217478)); #38911=EDGE_LOOP('',(#217479,#217480,#217481,#217482)); #38912=EDGE_LOOP('',(#217483,#217484,#217485,#217486)); #38913=EDGE_LOOP('',(#217487)); #38914=EDGE_LOOP('',(#217488)); #38915=EDGE_LOOP('',(#217489)); #38916=EDGE_LOOP('',(#217490)); #38917=EDGE_LOOP('',(#217491,#217492,#217493,#217494)); #38918=EDGE_LOOP('',(#217495,#217496,#217497,#217498)); #38919=EDGE_LOOP('',(#217499)); #38920=EDGE_LOOP('',(#217500)); #38921=EDGE_LOOP('',(#217501)); #38922=EDGE_LOOP('',(#217502)); #38923=EDGE_LOOP('',(#217503,#217504,#217505,#217506)); #38924=EDGE_LOOP('',(#217507,#217508,#217509,#217510)); #38925=EDGE_LOOP('',(#217511)); #38926=EDGE_LOOP('',(#217512)); #38927=EDGE_LOOP('',(#217513)); #38928=EDGE_LOOP('',(#217514)); #38929=EDGE_LOOP('',(#217515,#217516,#217517,#217518)); #38930=EDGE_LOOP('',(#217519,#217520,#217521,#217522)); #38931=EDGE_LOOP('',(#217523)); #38932=EDGE_LOOP('',(#217524)); #38933=EDGE_LOOP('',(#217525)); #38934=EDGE_LOOP('',(#217526)); #38935=EDGE_LOOP('',(#217527,#217528,#217529,#217530)); #38936=EDGE_LOOP('',(#217531,#217532,#217533,#217534)); #38937=EDGE_LOOP('',(#217535)); #38938=EDGE_LOOP('',(#217536)); #38939=EDGE_LOOP('',(#217537)); #38940=EDGE_LOOP('',(#217538)); #38941=EDGE_LOOP('',(#217539,#217540,#217541,#217542)); #38942=EDGE_LOOP('',(#217543,#217544,#217545,#217546)); #38943=EDGE_LOOP('',(#217547)); #38944=EDGE_LOOP('',(#217548)); #38945=EDGE_LOOP('',(#217549)); #38946=EDGE_LOOP('',(#217550)); #38947=EDGE_LOOP('',(#217551,#217552,#217553,#217554)); #38948=EDGE_LOOP('',(#217555,#217556,#217557,#217558)); #38949=EDGE_LOOP('',(#217559)); #38950=EDGE_LOOP('',(#217560)); #38951=EDGE_LOOP('',(#217561)); #38952=EDGE_LOOP('',(#217562)); #38953=EDGE_LOOP('',(#217563,#217564,#217565,#217566)); #38954=EDGE_LOOP('',(#217567,#217568,#217569,#217570)); #38955=EDGE_LOOP('',(#217571)); #38956=EDGE_LOOP('',(#217572)); #38957=EDGE_LOOP('',(#217573)); #38958=EDGE_LOOP('',(#217574)); #38959=EDGE_LOOP('',(#217575,#217576,#217577,#217578)); #38960=EDGE_LOOP('',(#217579,#217580,#217581,#217582)); #38961=EDGE_LOOP('',(#217583)); #38962=EDGE_LOOP('',(#217584)); #38963=EDGE_LOOP('',(#217585)); #38964=EDGE_LOOP('',(#217586)); #38965=EDGE_LOOP('',(#217587,#217588,#217589,#217590)); #38966=EDGE_LOOP('',(#217591,#217592,#217593,#217594)); #38967=EDGE_LOOP('',(#217595)); #38968=EDGE_LOOP('',(#217596)); #38969=EDGE_LOOP('',(#217597)); #38970=EDGE_LOOP('',(#217598)); #38971=EDGE_LOOP('',(#217599,#217600,#217601,#217602)); #38972=EDGE_LOOP('',(#217603,#217604,#217605,#217606)); #38973=EDGE_LOOP('',(#217607)); #38974=EDGE_LOOP('',(#217608)); #38975=EDGE_LOOP('',(#217609)); #38976=EDGE_LOOP('',(#217610)); #38977=EDGE_LOOP('',(#217611,#217612,#217613,#217614)); #38978=EDGE_LOOP('',(#217615,#217616,#217617,#217618)); #38979=EDGE_LOOP('',(#217619)); #38980=EDGE_LOOP('',(#217620)); #38981=EDGE_LOOP('',(#217621)); #38982=EDGE_LOOP('',(#217622)); #38983=EDGE_LOOP('',(#217623,#217624,#217625,#217626)); #38984=EDGE_LOOP('',(#217627,#217628,#217629,#217630)); #38985=EDGE_LOOP('',(#217631)); #38986=EDGE_LOOP('',(#217632)); #38987=EDGE_LOOP('',(#217633)); #38988=EDGE_LOOP('',(#217634)); #38989=EDGE_LOOP('',(#217635,#217636,#217637,#217638)); #38990=EDGE_LOOP('',(#217639,#217640,#217641,#217642)); #38991=EDGE_LOOP('',(#217643)); #38992=EDGE_LOOP('',(#217644)); #38993=EDGE_LOOP('',(#217645)); #38994=EDGE_LOOP('',(#217646)); #38995=EDGE_LOOP('',(#217647,#217648,#217649,#217650)); #38996=EDGE_LOOP('',(#217651,#217652,#217653,#217654)); #38997=EDGE_LOOP('',(#217655)); #38998=EDGE_LOOP('',(#217656)); #38999=EDGE_LOOP('',(#217657)); #39000=EDGE_LOOP('',(#217658)); #39001=EDGE_LOOP('',(#217659,#217660,#217661,#217662)); #39002=EDGE_LOOP('',(#217663,#217664,#217665,#217666)); #39003=EDGE_LOOP('',(#217667)); #39004=EDGE_LOOP('',(#217668)); #39005=EDGE_LOOP('',(#217669)); #39006=EDGE_LOOP('',(#217670)); #39007=EDGE_LOOP('',(#217671,#217672,#217673,#217674)); #39008=EDGE_LOOP('',(#217675,#217676,#217677,#217678)); #39009=EDGE_LOOP('',(#217679)); #39010=EDGE_LOOP('',(#217680)); #39011=EDGE_LOOP('',(#217681)); #39012=EDGE_LOOP('',(#217682)); #39013=EDGE_LOOP('',(#217683,#217684,#217685,#217686)); #39014=EDGE_LOOP('',(#217687,#217688,#217689,#217690)); #39015=EDGE_LOOP('',(#217691)); #39016=EDGE_LOOP('',(#217692)); #39017=EDGE_LOOP('',(#217693)); #39018=EDGE_LOOP('',(#217694)); #39019=EDGE_LOOP('',(#217695,#217696,#217697,#217698)); #39020=EDGE_LOOP('',(#217699,#217700,#217701,#217702)); #39021=EDGE_LOOP('',(#217703)); #39022=EDGE_LOOP('',(#217704)); #39023=EDGE_LOOP('',(#217705)); #39024=EDGE_LOOP('',(#217706)); #39025=EDGE_LOOP('',(#217707,#217708,#217709,#217710)); #39026=EDGE_LOOP('',(#217711,#217712,#217713,#217714)); #39027=EDGE_LOOP('',(#217715)); #39028=EDGE_LOOP('',(#217716)); #39029=EDGE_LOOP('',(#217717)); #39030=EDGE_LOOP('',(#217718)); #39031=EDGE_LOOP('',(#217719,#217720,#217721,#217722)); #39032=EDGE_LOOP('',(#217723,#217724,#217725,#217726)); #39033=EDGE_LOOP('',(#217727)); #39034=EDGE_LOOP('',(#217728)); #39035=EDGE_LOOP('',(#217729)); #39036=EDGE_LOOP('',(#217730)); #39037=EDGE_LOOP('',(#217731,#217732,#217733,#217734)); #39038=EDGE_LOOP('',(#217735,#217736,#217737,#217738)); #39039=EDGE_LOOP('',(#217739)); #39040=EDGE_LOOP('',(#217740)); #39041=EDGE_LOOP('',(#217741)); #39042=EDGE_LOOP('',(#217742)); #39043=EDGE_LOOP('',(#217743,#217744,#217745,#217746)); #39044=EDGE_LOOP('',(#217747,#217748,#217749,#217750)); #39045=EDGE_LOOP('',(#217751)); #39046=EDGE_LOOP('',(#217752)); #39047=EDGE_LOOP('',(#217753)); #39048=EDGE_LOOP('',(#217754)); #39049=EDGE_LOOP('',(#217755,#217756,#217757,#217758)); #39050=EDGE_LOOP('',(#217759,#217760,#217761,#217762)); #39051=EDGE_LOOP('',(#217763)); #39052=EDGE_LOOP('',(#217764)); #39053=EDGE_LOOP('',(#217765)); #39054=EDGE_LOOP('',(#217766)); #39055=EDGE_LOOP('',(#217767,#217768,#217769,#217770)); #39056=EDGE_LOOP('',(#217771,#217772,#217773,#217774)); #39057=EDGE_LOOP('',(#217775)); #39058=EDGE_LOOP('',(#217776)); #39059=EDGE_LOOP('',(#217777)); #39060=EDGE_LOOP('',(#217778)); #39061=EDGE_LOOP('',(#217779,#217780,#217781,#217782)); #39062=EDGE_LOOP('',(#217783,#217784,#217785,#217786)); #39063=EDGE_LOOP('',(#217787)); #39064=EDGE_LOOP('',(#217788)); #39065=EDGE_LOOP('',(#217789)); #39066=EDGE_LOOP('',(#217790)); #39067=EDGE_LOOP('',(#217791,#217792,#217793,#217794)); #39068=EDGE_LOOP('',(#217795,#217796,#217797,#217798)); #39069=EDGE_LOOP('',(#217799)); #39070=EDGE_LOOP('',(#217800)); #39071=EDGE_LOOP('',(#217801)); #39072=EDGE_LOOP('',(#217802)); #39073=EDGE_LOOP('',(#217803,#217804,#217805,#217806)); #39074=EDGE_LOOP('',(#217807,#217808,#217809,#217810)); #39075=EDGE_LOOP('',(#217811)); #39076=EDGE_LOOP('',(#217812)); #39077=EDGE_LOOP('',(#217813)); #39078=EDGE_LOOP('',(#217814)); #39079=EDGE_LOOP('',(#217815,#217816,#217817,#217818)); #39080=EDGE_LOOP('',(#217819,#217820,#217821,#217822)); #39081=EDGE_LOOP('',(#217823)); #39082=EDGE_LOOP('',(#217824)); #39083=EDGE_LOOP('',(#217825)); #39084=EDGE_LOOP('',(#217826)); #39085=EDGE_LOOP('',(#217827,#217828,#217829,#217830)); #39086=EDGE_LOOP('',(#217831,#217832,#217833,#217834)); #39087=EDGE_LOOP('',(#217835)); #39088=EDGE_LOOP('',(#217836)); #39089=EDGE_LOOP('',(#217837)); #39090=EDGE_LOOP('',(#217838)); #39091=EDGE_LOOP('',(#217839,#217840,#217841,#217842)); #39092=EDGE_LOOP('',(#217843,#217844,#217845,#217846)); #39093=EDGE_LOOP('',(#217847)); #39094=EDGE_LOOP('',(#217848)); #39095=EDGE_LOOP('',(#217849)); #39096=EDGE_LOOP('',(#217850)); #39097=EDGE_LOOP('',(#217851,#217852,#217853,#217854)); #39098=EDGE_LOOP('',(#217855,#217856,#217857,#217858)); #39099=EDGE_LOOP('',(#217859)); #39100=EDGE_LOOP('',(#217860)); #39101=EDGE_LOOP('',(#217861)); #39102=EDGE_LOOP('',(#217862)); #39103=EDGE_LOOP('',(#217863,#217864,#217865,#217866)); #39104=EDGE_LOOP('',(#217867,#217868,#217869,#217870)); #39105=EDGE_LOOP('',(#217871)); #39106=EDGE_LOOP('',(#217872)); #39107=EDGE_LOOP('',(#217873)); #39108=EDGE_LOOP('',(#217874)); #39109=EDGE_LOOP('',(#217875,#217876,#217877,#217878)); #39110=EDGE_LOOP('',(#217879,#217880,#217881,#217882)); #39111=EDGE_LOOP('',(#217883)); #39112=EDGE_LOOP('',(#217884)); #39113=EDGE_LOOP('',(#217885)); #39114=EDGE_LOOP('',(#217886)); #39115=EDGE_LOOP('',(#217887,#217888,#217889,#217890)); #39116=EDGE_LOOP('',(#217891,#217892,#217893,#217894)); #39117=EDGE_LOOP('',(#217895)); #39118=EDGE_LOOP('',(#217896)); #39119=EDGE_LOOP('',(#217897)); #39120=EDGE_LOOP('',(#217898)); #39121=EDGE_LOOP('',(#217899,#217900,#217901,#217902)); #39122=EDGE_LOOP('',(#217903,#217904,#217905,#217906)); #39123=EDGE_LOOP('',(#217907)); #39124=EDGE_LOOP('',(#217908)); #39125=EDGE_LOOP('',(#217909)); #39126=EDGE_LOOP('',(#217910)); #39127=EDGE_LOOP('',(#217911,#217912,#217913,#217914)); #39128=EDGE_LOOP('',(#217915,#217916,#217917,#217918)); #39129=EDGE_LOOP('',(#217919)); #39130=EDGE_LOOP('',(#217920)); #39131=EDGE_LOOP('',(#217921)); #39132=EDGE_LOOP('',(#217922)); #39133=EDGE_LOOP('',(#217923,#217924,#217925,#217926)); #39134=EDGE_LOOP('',(#217927,#217928,#217929,#217930)); #39135=EDGE_LOOP('',(#217931)); #39136=EDGE_LOOP('',(#217932)); #39137=EDGE_LOOP('',(#217933)); #39138=EDGE_LOOP('',(#217934)); #39139=EDGE_LOOP('',(#217935,#217936,#217937,#217938)); #39140=EDGE_LOOP('',(#217939,#217940,#217941,#217942)); #39141=EDGE_LOOP('',(#217943)); #39142=EDGE_LOOP('',(#217944)); #39143=EDGE_LOOP('',(#217945)); #39144=EDGE_LOOP('',(#217946)); #39145=EDGE_LOOP('',(#217947,#217948,#217949,#217950)); #39146=EDGE_LOOP('',(#217951,#217952,#217953,#217954)); #39147=EDGE_LOOP('',(#217955)); #39148=EDGE_LOOP('',(#217956)); #39149=EDGE_LOOP('',(#217957)); #39150=EDGE_LOOP('',(#217958)); #39151=EDGE_LOOP('',(#217959,#217960,#217961,#217962)); #39152=EDGE_LOOP('',(#217963,#217964,#217965,#217966)); #39153=EDGE_LOOP('',(#217967)); #39154=EDGE_LOOP('',(#217968)); #39155=EDGE_LOOP('',(#217969)); #39156=EDGE_LOOP('',(#217970)); #39157=EDGE_LOOP('',(#217971,#217972,#217973,#217974)); #39158=EDGE_LOOP('',(#217975,#217976,#217977,#217978)); #39159=EDGE_LOOP('',(#217979)); #39160=EDGE_LOOP('',(#217980)); #39161=EDGE_LOOP('',(#217981)); #39162=EDGE_LOOP('',(#217982)); #39163=EDGE_LOOP('',(#217983,#217984,#217985,#217986)); #39164=EDGE_LOOP('',(#217987,#217988,#217989,#217990)); #39165=EDGE_LOOP('',(#217991)); #39166=EDGE_LOOP('',(#217992)); #39167=EDGE_LOOP('',(#217993)); #39168=EDGE_LOOP('',(#217994)); #39169=EDGE_LOOP('',(#217995,#217996,#217997,#217998)); #39170=EDGE_LOOP('',(#217999,#218000,#218001,#218002)); #39171=EDGE_LOOP('',(#218003)); #39172=EDGE_LOOP('',(#218004)); #39173=EDGE_LOOP('',(#218005)); #39174=EDGE_LOOP('',(#218006)); #39175=EDGE_LOOP('',(#218007,#218008,#218009,#218010)); #39176=EDGE_LOOP('',(#218011,#218012,#218013,#218014)); #39177=EDGE_LOOP('',(#218015)); #39178=EDGE_LOOP('',(#218016)); #39179=EDGE_LOOP('',(#218017)); #39180=EDGE_LOOP('',(#218018)); #39181=EDGE_LOOP('',(#218019,#218020,#218021,#218022)); #39182=EDGE_LOOP('',(#218023,#218024,#218025,#218026)); #39183=EDGE_LOOP('',(#218027)); #39184=EDGE_LOOP('',(#218028)); #39185=EDGE_LOOP('',(#218029)); #39186=EDGE_LOOP('',(#218030)); #39187=EDGE_LOOP('',(#218031,#218032,#218033,#218034)); #39188=EDGE_LOOP('',(#218035,#218036,#218037,#218038)); #39189=EDGE_LOOP('',(#218039)); #39190=EDGE_LOOP('',(#218040)); #39191=EDGE_LOOP('',(#218041)); #39192=EDGE_LOOP('',(#218042)); #39193=EDGE_LOOP('',(#218043,#218044,#218045,#218046)); #39194=EDGE_LOOP('',(#218047,#218048,#218049,#218050)); #39195=EDGE_LOOP('',(#218051)); #39196=EDGE_LOOP('',(#218052)); #39197=EDGE_LOOP('',(#218053)); #39198=EDGE_LOOP('',(#218054)); #39199=EDGE_LOOP('',(#218055,#218056,#218057,#218058)); #39200=EDGE_LOOP('',(#218059,#218060,#218061,#218062)); #39201=EDGE_LOOP('',(#218063)); #39202=EDGE_LOOP('',(#218064)); #39203=EDGE_LOOP('',(#218065)); #39204=EDGE_LOOP('',(#218066)); #39205=EDGE_LOOP('',(#218067,#218068,#218069,#218070)); #39206=EDGE_LOOP('',(#218071,#218072,#218073,#218074)); #39207=EDGE_LOOP('',(#218075)); #39208=EDGE_LOOP('',(#218076)); #39209=EDGE_LOOP('',(#218077)); #39210=EDGE_LOOP('',(#218078)); #39211=EDGE_LOOP('',(#218079,#218080,#218081,#218082)); #39212=EDGE_LOOP('',(#218083,#218084,#218085,#218086)); #39213=EDGE_LOOP('',(#218087)); #39214=EDGE_LOOP('',(#218088)); #39215=EDGE_LOOP('',(#218089)); #39216=EDGE_LOOP('',(#218090)); #39217=EDGE_LOOP('',(#218091,#218092,#218093,#218094)); #39218=EDGE_LOOP('',(#218095,#218096,#218097,#218098)); #39219=EDGE_LOOP('',(#218099)); #39220=EDGE_LOOP('',(#218100)); #39221=EDGE_LOOP('',(#218101)); #39222=EDGE_LOOP('',(#218102)); #39223=EDGE_LOOP('',(#218103,#218104,#218105,#218106)); #39224=EDGE_LOOP('',(#218107,#218108,#218109,#218110)); #39225=EDGE_LOOP('',(#218111)); #39226=EDGE_LOOP('',(#218112)); #39227=EDGE_LOOP('',(#218113)); #39228=EDGE_LOOP('',(#218114)); #39229=EDGE_LOOP('',(#218115,#218116,#218117,#218118)); #39230=EDGE_LOOP('',(#218119,#218120,#218121,#218122)); #39231=EDGE_LOOP('',(#218123)); #39232=EDGE_LOOP('',(#218124)); #39233=EDGE_LOOP('',(#218125)); #39234=EDGE_LOOP('',(#218126)); #39235=EDGE_LOOP('',(#218127,#218128,#218129,#218130)); #39236=EDGE_LOOP('',(#218131,#218132,#218133,#218134)); #39237=EDGE_LOOP('',(#218135)); #39238=EDGE_LOOP('',(#218136)); #39239=EDGE_LOOP('',(#218137)); #39240=EDGE_LOOP('',(#218138)); #39241=EDGE_LOOP('',(#218139,#218140,#218141,#218142)); #39242=EDGE_LOOP('',(#218143,#218144,#218145,#218146)); #39243=EDGE_LOOP('',(#218147)); #39244=EDGE_LOOP('',(#218148)); #39245=EDGE_LOOP('',(#218149)); #39246=EDGE_LOOP('',(#218150)); #39247=EDGE_LOOP('',(#218151,#218152,#218153,#218154)); #39248=EDGE_LOOP('',(#218155,#218156,#218157,#218158)); #39249=EDGE_LOOP('',(#218159)); #39250=EDGE_LOOP('',(#218160)); #39251=EDGE_LOOP('',(#218161)); #39252=EDGE_LOOP('',(#218162)); #39253=EDGE_LOOP('',(#218163,#218164,#218165,#218166)); #39254=EDGE_LOOP('',(#218167,#218168,#218169,#218170)); #39255=EDGE_LOOP('',(#218171)); #39256=EDGE_LOOP('',(#218172)); #39257=EDGE_LOOP('',(#218173)); #39258=EDGE_LOOP('',(#218174)); #39259=EDGE_LOOP('',(#218175,#218176,#218177,#218178)); #39260=EDGE_LOOP('',(#218179,#218180,#218181,#218182)); #39261=EDGE_LOOP('',(#218183)); #39262=EDGE_LOOP('',(#218184)); #39263=EDGE_LOOP('',(#218185)); #39264=EDGE_LOOP('',(#218186)); #39265=EDGE_LOOP('',(#218187,#218188,#218189,#218190)); #39266=EDGE_LOOP('',(#218191,#218192,#218193,#218194)); #39267=EDGE_LOOP('',(#218195)); #39268=EDGE_LOOP('',(#218196)); #39269=EDGE_LOOP('',(#218197)); #39270=EDGE_LOOP('',(#218198)); #39271=EDGE_LOOP('',(#218199,#218200,#218201,#218202)); #39272=EDGE_LOOP('',(#218203,#218204,#218205,#218206)); #39273=EDGE_LOOP('',(#218207)); #39274=EDGE_LOOP('',(#218208)); #39275=EDGE_LOOP('',(#218209)); #39276=EDGE_LOOP('',(#218210)); #39277=EDGE_LOOP('',(#218211,#218212,#218213,#218214)); #39278=EDGE_LOOP('',(#218215,#218216,#218217,#218218)); #39279=EDGE_LOOP('',(#218219)); #39280=EDGE_LOOP('',(#218220)); #39281=EDGE_LOOP('',(#218221)); #39282=EDGE_LOOP('',(#218222)); #39283=EDGE_LOOP('',(#218223,#218224,#218225,#218226)); #39284=EDGE_LOOP('',(#218227,#218228,#218229,#218230)); #39285=EDGE_LOOP('',(#218231)); #39286=EDGE_LOOP('',(#218232)); #39287=EDGE_LOOP('',(#218233)); #39288=EDGE_LOOP('',(#218234)); #39289=EDGE_LOOP('',(#218235,#218236,#218237,#218238)); #39290=EDGE_LOOP('',(#218239,#218240,#218241,#218242)); #39291=EDGE_LOOP('',(#218243)); #39292=EDGE_LOOP('',(#218244)); #39293=EDGE_LOOP('',(#218245)); #39294=EDGE_LOOP('',(#218246)); #39295=EDGE_LOOP('',(#218247,#218248,#218249,#218250)); #39296=EDGE_LOOP('',(#218251,#218252,#218253,#218254)); #39297=EDGE_LOOP('',(#218255)); #39298=EDGE_LOOP('',(#218256)); #39299=EDGE_LOOP('',(#218257)); #39300=EDGE_LOOP('',(#218258)); #39301=EDGE_LOOP('',(#218259,#218260,#218261,#218262)); #39302=EDGE_LOOP('',(#218263,#218264,#218265,#218266)); #39303=EDGE_LOOP('',(#218267)); #39304=EDGE_LOOP('',(#218268)); #39305=EDGE_LOOP('',(#218269)); #39306=EDGE_LOOP('',(#218270)); #39307=EDGE_LOOP('',(#218271,#218272,#218273,#218274)); #39308=EDGE_LOOP('',(#218275,#218276,#218277,#218278)); #39309=EDGE_LOOP('',(#218279)); #39310=EDGE_LOOP('',(#218280)); #39311=EDGE_LOOP('',(#218281)); #39312=EDGE_LOOP('',(#218282)); #39313=EDGE_LOOP('',(#218283,#218284,#218285,#218286)); #39314=EDGE_LOOP('',(#218287,#218288,#218289,#218290)); #39315=EDGE_LOOP('',(#218291)); #39316=EDGE_LOOP('',(#218292)); #39317=EDGE_LOOP('',(#218293)); #39318=EDGE_LOOP('',(#218294)); #39319=EDGE_LOOP('',(#218295,#218296,#218297,#218298)); #39320=EDGE_LOOP('',(#218299,#218300,#218301,#218302)); #39321=EDGE_LOOP('',(#218303)); #39322=EDGE_LOOP('',(#218304)); #39323=EDGE_LOOP('',(#218305)); #39324=EDGE_LOOP('',(#218306)); #39325=EDGE_LOOP('',(#218307,#218308,#218309,#218310)); #39326=EDGE_LOOP('',(#218311,#218312,#218313,#218314)); #39327=EDGE_LOOP('',(#218315)); #39328=EDGE_LOOP('',(#218316)); #39329=EDGE_LOOP('',(#218317)); #39330=EDGE_LOOP('',(#218318)); #39331=EDGE_LOOP('',(#218319,#218320,#218321,#218322)); #39332=EDGE_LOOP('',(#218323,#218324,#218325,#218326)); #39333=EDGE_LOOP('',(#218327)); #39334=EDGE_LOOP('',(#218328)); #39335=EDGE_LOOP('',(#218329)); #39336=EDGE_LOOP('',(#218330)); #39337=EDGE_LOOP('',(#218331,#218332,#218333,#218334)); #39338=EDGE_LOOP('',(#218335,#218336,#218337,#218338)); #39339=EDGE_LOOP('',(#218339)); #39340=EDGE_LOOP('',(#218340)); #39341=EDGE_LOOP('',(#218341)); #39342=EDGE_LOOP('',(#218342)); #39343=EDGE_LOOP('',(#218343,#218344,#218345,#218346)); #39344=EDGE_LOOP('',(#218347,#218348,#218349,#218350)); #39345=EDGE_LOOP('',(#218351)); #39346=EDGE_LOOP('',(#218352)); #39347=EDGE_LOOP('',(#218353)); #39348=EDGE_LOOP('',(#218354)); #39349=EDGE_LOOP('',(#218355,#218356,#218357,#218358)); #39350=EDGE_LOOP('',(#218359,#218360,#218361,#218362)); #39351=EDGE_LOOP('',(#218363)); #39352=EDGE_LOOP('',(#218364)); #39353=EDGE_LOOP('',(#218365)); #39354=EDGE_LOOP('',(#218366)); #39355=EDGE_LOOP('',(#218367,#218368,#218369,#218370)); #39356=EDGE_LOOP('',(#218371,#218372,#218373,#218374)); #39357=EDGE_LOOP('',(#218375)); #39358=EDGE_LOOP('',(#218376)); #39359=EDGE_LOOP('',(#218377)); #39360=EDGE_LOOP('',(#218378)); #39361=EDGE_LOOP('',(#218379,#218380,#218381,#218382)); #39362=EDGE_LOOP('',(#218383,#218384,#218385,#218386)); #39363=EDGE_LOOP('',(#218387)); #39364=EDGE_LOOP('',(#218388)); #39365=EDGE_LOOP('',(#218389)); #39366=EDGE_LOOP('',(#218390)); #39367=EDGE_LOOP('',(#218391,#218392,#218393,#218394)); #39368=EDGE_LOOP('',(#218395,#218396,#218397,#218398)); #39369=EDGE_LOOP('',(#218399)); #39370=EDGE_LOOP('',(#218400)); #39371=EDGE_LOOP('',(#218401)); #39372=EDGE_LOOP('',(#218402)); #39373=EDGE_LOOP('',(#218403,#218404,#218405,#218406)); #39374=EDGE_LOOP('',(#218407,#218408,#218409,#218410)); #39375=EDGE_LOOP('',(#218411)); #39376=EDGE_LOOP('',(#218412)); #39377=EDGE_LOOP('',(#218413)); #39378=EDGE_LOOP('',(#218414)); #39379=EDGE_LOOP('',(#218415,#218416,#218417,#218418)); #39380=EDGE_LOOP('',(#218419,#218420,#218421,#218422)); #39381=EDGE_LOOP('',(#218423)); #39382=EDGE_LOOP('',(#218424)); #39383=EDGE_LOOP('',(#218425)); #39384=EDGE_LOOP('',(#218426)); #39385=EDGE_LOOP('',(#218427,#218428,#218429,#218430)); #39386=EDGE_LOOP('',(#218431,#218432,#218433,#218434)); #39387=EDGE_LOOP('',(#218435)); #39388=EDGE_LOOP('',(#218436)); #39389=EDGE_LOOP('',(#218437)); #39390=EDGE_LOOP('',(#218438)); #39391=EDGE_LOOP('',(#218439,#218440,#218441,#218442)); #39392=EDGE_LOOP('',(#218443,#218444,#218445,#218446)); #39393=EDGE_LOOP('',(#218447)); #39394=EDGE_LOOP('',(#218448)); #39395=EDGE_LOOP('',(#218449)); #39396=EDGE_LOOP('',(#218450)); #39397=EDGE_LOOP('',(#218451,#218452,#218453,#218454)); #39398=EDGE_LOOP('',(#218455,#218456,#218457,#218458)); #39399=EDGE_LOOP('',(#218459)); #39400=EDGE_LOOP('',(#218460)); #39401=EDGE_LOOP('',(#218461)); #39402=EDGE_LOOP('',(#218462)); #39403=EDGE_LOOP('',(#218463,#218464,#218465,#218466)); #39404=EDGE_LOOP('',(#218467,#218468,#218469,#218470)); #39405=EDGE_LOOP('',(#218471)); #39406=EDGE_LOOP('',(#218472)); #39407=EDGE_LOOP('',(#218473)); #39408=EDGE_LOOP('',(#218474)); #39409=EDGE_LOOP('',(#218475,#218476,#218477,#218478)); #39410=EDGE_LOOP('',(#218479,#218480,#218481,#218482)); #39411=EDGE_LOOP('',(#218483)); #39412=EDGE_LOOP('',(#218484)); #39413=EDGE_LOOP('',(#218485)); #39414=EDGE_LOOP('',(#218486)); #39415=EDGE_LOOP('',(#218487,#218488,#218489,#218490)); #39416=EDGE_LOOP('',(#218491,#218492,#218493,#218494)); #39417=EDGE_LOOP('',(#218495)); #39418=EDGE_LOOP('',(#218496)); #39419=EDGE_LOOP('',(#218497)); #39420=EDGE_LOOP('',(#218498)); #39421=EDGE_LOOP('',(#218499,#218500,#218501,#218502)); #39422=EDGE_LOOP('',(#218503,#218504,#218505,#218506)); #39423=EDGE_LOOP('',(#218507)); #39424=EDGE_LOOP('',(#218508)); #39425=EDGE_LOOP('',(#218509)); #39426=EDGE_LOOP('',(#218510)); #39427=EDGE_LOOP('',(#218511,#218512,#218513,#218514)); #39428=EDGE_LOOP('',(#218515,#218516,#218517,#218518)); #39429=EDGE_LOOP('',(#218519)); #39430=EDGE_LOOP('',(#218520)); #39431=EDGE_LOOP('',(#218521)); #39432=EDGE_LOOP('',(#218522)); #39433=EDGE_LOOP('',(#218523,#218524,#218525,#218526)); #39434=EDGE_LOOP('',(#218527,#218528,#218529,#218530)); #39435=EDGE_LOOP('',(#218531,#218532,#218533,#218534)); #39436=EDGE_LOOP('',(#218535,#218536,#218537,#218538)); #39437=EDGE_LOOP('',(#218539,#218540,#218541,#218542)); #39438=EDGE_LOOP('',(#218543,#218544,#218545,#218546)); #39439=EDGE_LOOP('',(#218547,#218548,#218549,#218550)); #39440=EDGE_LOOP('',(#218551,#218552,#218553,#218554)); #39441=EDGE_LOOP('',(#218555,#218556,#218557,#218558)); #39442=EDGE_LOOP('',(#218559,#218560,#218561,#218562)); #39443=EDGE_LOOP('',(#218563,#218564,#218565,#218566)); #39444=EDGE_LOOP('',(#218567,#218568,#218569,#218570)); #39445=EDGE_LOOP('',(#218571,#218572,#218573,#218574)); #39446=EDGE_LOOP('',(#218575,#218576,#218577,#218578)); #39447=EDGE_LOOP('',(#218579)); #39448=EDGE_LOOP('',(#218580)); #39449=EDGE_LOOP('',(#218581)); #39450=EDGE_LOOP('',(#218582)); #39451=EDGE_LOOP('',(#218583,#218584,#218585,#218586)); #39452=EDGE_LOOP('',(#218587,#218588,#218589,#218590)); #39453=EDGE_LOOP('',(#218591,#218592,#218593,#218594)); #39454=EDGE_LOOP('',(#218595,#218596,#218597,#218598)); #39455=EDGE_LOOP('',(#218599,#218600,#218601,#218602)); #39456=EDGE_LOOP('',(#218603,#218604,#218605,#218606)); #39457=EDGE_LOOP('',(#218607,#218608,#218609,#218610)); #39458=EDGE_LOOP('',(#218611,#218612,#218613,#218614)); #39459=EDGE_LOOP('',(#218615,#218616,#218617,#218618)); #39460=EDGE_LOOP('',(#218619,#218620,#218621,#218622)); #39461=EDGE_LOOP('',(#218623,#218624,#218625,#218626)); #39462=EDGE_LOOP('',(#218627,#218628,#218629,#218630)); #39463=EDGE_LOOP('',(#218631,#218632,#218633,#218634)); #39464=EDGE_LOOP('',(#218635,#218636,#218637,#218638)); #39465=EDGE_LOOP('',(#218639)); #39466=EDGE_LOOP('',(#218640)); #39467=EDGE_LOOP('',(#218641)); #39468=EDGE_LOOP('',(#218642)); #39469=EDGE_LOOP('',(#218643,#218644,#218645,#218646)); #39470=EDGE_LOOP('',(#218647,#218648,#218649,#218650)); #39471=EDGE_LOOP('',(#218651,#218652,#218653,#218654)); #39472=EDGE_LOOP('',(#218655,#218656,#218657,#218658)); #39473=EDGE_LOOP('',(#218659,#218660,#218661,#218662)); #39474=EDGE_LOOP('',(#218663,#218664,#218665,#218666)); #39475=EDGE_LOOP('',(#218667,#218668,#218669,#218670)); #39476=EDGE_LOOP('',(#218671,#218672,#218673,#218674)); #39477=EDGE_LOOP('',(#218675,#218676,#218677,#218678)); #39478=EDGE_LOOP('',(#218679,#218680,#218681,#218682)); #39479=EDGE_LOOP('',(#218683,#218684,#218685,#218686)); #39480=EDGE_LOOP('',(#218687,#218688,#218689,#218690)); #39481=EDGE_LOOP('',(#218691,#218692,#218693,#218694)); #39482=EDGE_LOOP('',(#218695,#218696,#218697,#218698)); #39483=EDGE_LOOP('',(#218699)); #39484=EDGE_LOOP('',(#218700)); #39485=EDGE_LOOP('',(#218701)); #39486=EDGE_LOOP('',(#218702)); #39487=EDGE_LOOP('',(#218703,#218704,#218705,#218706)); #39488=EDGE_LOOP('',(#218707,#218708,#218709,#218710)); #39489=EDGE_LOOP('',(#218711)); #39490=EDGE_LOOP('',(#218712)); #39491=EDGE_LOOP('',(#218713)); #39492=EDGE_LOOP('',(#218714)); #39493=EDGE_LOOP('',(#218715,#218716,#218717,#218718)); #39494=EDGE_LOOP('',(#218719,#218720,#218721,#218722)); #39495=EDGE_LOOP('',(#218723)); #39496=EDGE_LOOP('',(#218724)); #39497=EDGE_LOOP('',(#218725)); #39498=EDGE_LOOP('',(#218726)); #39499=EDGE_LOOP('',(#218727,#218728,#218729,#218730)); #39500=EDGE_LOOP('',(#218731,#218732,#218733,#218734)); #39501=EDGE_LOOP('',(#218735)); #39502=EDGE_LOOP('',(#218736)); #39503=EDGE_LOOP('',(#218737)); #39504=EDGE_LOOP('',(#218738)); #39505=EDGE_LOOP('',(#218739,#218740,#218741,#218742)); #39506=EDGE_LOOP('',(#218743,#218744,#218745,#218746)); #39507=EDGE_LOOP('',(#218747)); #39508=EDGE_LOOP('',(#218748)); #39509=EDGE_LOOP('',(#218749)); #39510=EDGE_LOOP('',(#218750)); #39511=EDGE_LOOP('',(#218751,#218752,#218753,#218754)); #39512=EDGE_LOOP('',(#218755,#218756,#218757,#218758)); #39513=EDGE_LOOP('',(#218759)); #39514=EDGE_LOOP('',(#218760)); #39515=EDGE_LOOP('',(#218761)); #39516=EDGE_LOOP('',(#218762)); #39517=EDGE_LOOP('',(#218763,#218764,#218765,#218766)); #39518=EDGE_LOOP('',(#218767,#218768,#218769,#218770)); #39519=EDGE_LOOP('',(#218771)); #39520=EDGE_LOOP('',(#218772)); #39521=EDGE_LOOP('',(#218773)); #39522=EDGE_LOOP('',(#218774)); #39523=EDGE_LOOP('',(#218775,#218776,#218777,#218778)); #39524=EDGE_LOOP('',(#218779,#218780,#218781,#218782)); #39525=EDGE_LOOP('',(#218783)); #39526=EDGE_LOOP('',(#218784)); #39527=EDGE_LOOP('',(#218785)); #39528=EDGE_LOOP('',(#218786)); #39529=EDGE_LOOP('',(#218787,#218788,#218789,#218790)); #39530=EDGE_LOOP('',(#218791,#218792,#218793,#218794)); #39531=EDGE_LOOP('',(#218795)); #39532=EDGE_LOOP('',(#218796)); #39533=EDGE_LOOP('',(#218797)); #39534=EDGE_LOOP('',(#218798)); #39535=EDGE_LOOP('',(#218799,#218800,#218801,#218802)); #39536=EDGE_LOOP('',(#218803,#218804,#218805,#218806)); #39537=EDGE_LOOP('',(#218807)); #39538=EDGE_LOOP('',(#218808)); #39539=EDGE_LOOP('',(#218809)); #39540=EDGE_LOOP('',(#218810)); #39541=EDGE_LOOP('',(#218811,#218812,#218813,#218814)); #39542=EDGE_LOOP('',(#218815,#218816,#218817,#218818)); #39543=EDGE_LOOP('',(#218819,#218820,#218821,#218822)); #39544=EDGE_LOOP('',(#218823,#218824,#218825,#218826)); #39545=EDGE_LOOP('',(#218827,#218828,#218829,#218830)); #39546=EDGE_LOOP('',(#218831,#218832,#218833,#218834)); #39547=EDGE_LOOP('',(#218835,#218836,#218837,#218838)); #39548=EDGE_LOOP('',(#218839,#218840,#218841,#218842)); #39549=EDGE_LOOP('',(#218843,#218844,#218845,#218846)); #39550=EDGE_LOOP('',(#218847,#218848,#218849,#218850)); #39551=EDGE_LOOP('',(#218851,#218852,#218853,#218854)); #39552=EDGE_LOOP('',(#218855,#218856,#218857,#218858)); #39553=EDGE_LOOP('',(#218859,#218860,#218861,#218862)); #39554=EDGE_LOOP('',(#218863,#218864,#218865,#218866)); #39555=EDGE_LOOP('',(#218867)); #39556=EDGE_LOOP('',(#218868)); #39557=EDGE_LOOP('',(#218869)); #39558=EDGE_LOOP('',(#218870)); #39559=EDGE_LOOP('',(#218871,#218872,#218873,#218874)); #39560=EDGE_LOOP('',(#218875,#218876,#218877,#218878)); #39561=EDGE_LOOP('',(#218879)); #39562=EDGE_LOOP('',(#218880)); #39563=EDGE_LOOP('',(#218881)); #39564=EDGE_LOOP('',(#218882)); #39565=EDGE_LOOP('',(#218883,#218884,#218885,#218886)); #39566=EDGE_LOOP('',(#218887,#218888,#218889,#218890)); #39567=EDGE_LOOP('',(#218891)); #39568=EDGE_LOOP('',(#218892)); #39569=EDGE_LOOP('',(#218893)); #39570=EDGE_LOOP('',(#218894)); #39571=EDGE_LOOP('',(#218895,#218896,#218897,#218898)); #39572=EDGE_LOOP('',(#218899,#218900,#218901,#218902)); #39573=EDGE_LOOP('',(#218903,#218904,#218905,#218906)); #39574=EDGE_LOOP('',(#218907,#218908,#218909,#218910)); #39575=EDGE_LOOP('',(#218911,#218912,#218913,#218914)); #39576=EDGE_LOOP('',(#218915,#218916,#218917,#218918)); #39577=EDGE_LOOP('',(#218919,#218920,#218921,#218922)); #39578=EDGE_LOOP('',(#218923,#218924,#218925,#218926)); #39579=EDGE_LOOP('',(#218927,#218928,#218929,#218930)); #39580=EDGE_LOOP('',(#218931,#218932,#218933,#218934)); #39581=EDGE_LOOP('',(#218935,#218936,#218937,#218938)); #39582=EDGE_LOOP('',(#218939,#218940,#218941,#218942)); #39583=EDGE_LOOP('',(#218943,#218944,#218945,#218946)); #39584=EDGE_LOOP('',(#218947,#218948,#218949,#218950)); #39585=EDGE_LOOP('',(#218951)); #39586=EDGE_LOOP('',(#218952)); #39587=EDGE_LOOP('',(#218953)); #39588=EDGE_LOOP('',(#218954)); #39589=EDGE_LOOP('',(#218955,#218956,#218957,#218958)); #39590=EDGE_LOOP('',(#218959,#218960,#218961,#218962)); #39591=EDGE_LOOP('',(#218963,#218964,#218965,#218966)); #39592=EDGE_LOOP('',(#218967,#218968,#218969,#218970)); #39593=EDGE_LOOP('',(#218971,#218972,#218973,#218974)); #39594=EDGE_LOOP('',(#218975,#218976,#218977,#218978)); #39595=EDGE_LOOP('',(#218979,#218980,#218981,#218982)); #39596=EDGE_LOOP('',(#218983,#218984,#218985,#218986)); #39597=EDGE_LOOP('',(#218987,#218988,#218989,#218990)); #39598=EDGE_LOOP('',(#218991,#218992,#218993,#218994)); #39599=EDGE_LOOP('',(#218995,#218996,#218997,#218998)); #39600=EDGE_LOOP('',(#218999,#219000,#219001,#219002)); #39601=EDGE_LOOP('',(#219003,#219004,#219005,#219006)); #39602=EDGE_LOOP('',(#219007,#219008,#219009,#219010)); #39603=EDGE_LOOP('',(#219011)); #39604=EDGE_LOOP('',(#219012)); #39605=EDGE_LOOP('',(#219013)); #39606=EDGE_LOOP('',(#219014)); #39607=EDGE_LOOP('',(#219015,#219016,#219017,#219018)); #39608=EDGE_LOOP('',(#219019,#219020,#219021,#219022)); #39609=EDGE_LOOP('',(#219023)); #39610=EDGE_LOOP('',(#219024)); #39611=EDGE_LOOP('',(#219025)); #39612=EDGE_LOOP('',(#219026)); #39613=EDGE_LOOP('',(#219027,#219028,#219029,#219030)); #39614=EDGE_LOOP('',(#219031,#219032,#219033,#219034)); #39615=EDGE_LOOP('',(#219035)); #39616=EDGE_LOOP('',(#219036)); #39617=EDGE_LOOP('',(#219037)); #39618=EDGE_LOOP('',(#219038)); #39619=EDGE_LOOP('',(#219039,#219040,#219041,#219042)); #39620=EDGE_LOOP('',(#219043,#219044,#219045,#219046)); #39621=EDGE_LOOP('',(#219047)); #39622=EDGE_LOOP('',(#219048)); #39623=EDGE_LOOP('',(#219049)); #39624=EDGE_LOOP('',(#219050)); #39625=EDGE_LOOP('',(#219051,#219052,#219053,#219054)); #39626=EDGE_LOOP('',(#219055,#219056,#219057,#219058)); #39627=EDGE_LOOP('',(#219059)); #39628=EDGE_LOOP('',(#219060)); #39629=EDGE_LOOP('',(#219061)); #39630=EDGE_LOOP('',(#219062)); #39631=EDGE_LOOP('',(#219063,#219064,#219065,#219066)); #39632=EDGE_LOOP('',(#219067,#219068,#219069,#219070)); #39633=EDGE_LOOP('',(#219071)); #39634=EDGE_LOOP('',(#219072)); #39635=EDGE_LOOP('',(#219073)); #39636=EDGE_LOOP('',(#219074)); #39637=EDGE_LOOP('',(#219075,#219076,#219077,#219078)); #39638=EDGE_LOOP('',(#219079,#219080,#219081,#219082)); #39639=EDGE_LOOP('',(#219083)); #39640=EDGE_LOOP('',(#219084)); #39641=EDGE_LOOP('',(#219085)); #39642=EDGE_LOOP('',(#219086)); #39643=EDGE_LOOP('',(#219087,#219088,#219089,#219090)); #39644=EDGE_LOOP('',(#219091,#219092,#219093,#219094)); #39645=EDGE_LOOP('',(#219095)); #39646=EDGE_LOOP('',(#219096)); #39647=EDGE_LOOP('',(#219097)); #39648=EDGE_LOOP('',(#219098)); #39649=EDGE_LOOP('',(#219099,#219100,#219101,#219102)); #39650=EDGE_LOOP('',(#219103,#219104,#219105,#219106)); #39651=EDGE_LOOP('',(#219107)); #39652=EDGE_LOOP('',(#219108)); #39653=EDGE_LOOP('',(#219109)); #39654=EDGE_LOOP('',(#219110)); #39655=EDGE_LOOP('',(#219111,#219112,#219113,#219114)); #39656=EDGE_LOOP('',(#219115,#219116,#219117,#219118)); #39657=EDGE_LOOP('',(#219119)); #39658=EDGE_LOOP('',(#219120)); #39659=EDGE_LOOP('',(#219121)); #39660=EDGE_LOOP('',(#219122)); #39661=EDGE_LOOP('',(#219123,#219124,#219125,#219126)); #39662=EDGE_LOOP('',(#219127,#219128,#219129,#219130)); #39663=EDGE_LOOP('',(#219131)); #39664=EDGE_LOOP('',(#219132)); #39665=EDGE_LOOP('',(#219133)); #39666=EDGE_LOOP('',(#219134)); #39667=EDGE_LOOP('',(#219135,#219136,#219137,#219138)); #39668=EDGE_LOOP('',(#219139,#219140,#219141,#219142)); #39669=EDGE_LOOP('',(#219143)); #39670=EDGE_LOOP('',(#219144)); #39671=EDGE_LOOP('',(#219145)); #39672=EDGE_LOOP('',(#219146)); #39673=EDGE_LOOP('',(#219147,#219148,#219149,#219150)); #39674=EDGE_LOOP('',(#219151,#219152,#219153,#219154)); #39675=EDGE_LOOP('',(#219155)); #39676=EDGE_LOOP('',(#219156)); #39677=EDGE_LOOP('',(#219157)); #39678=EDGE_LOOP('',(#219158)); #39679=EDGE_LOOP('',(#219159,#219160,#219161,#219162)); #39680=EDGE_LOOP('',(#219163,#219164,#219165,#219166)); #39681=EDGE_LOOP('',(#219167)); #39682=EDGE_LOOP('',(#219168)); #39683=EDGE_LOOP('',(#219169)); #39684=EDGE_LOOP('',(#219170)); #39685=EDGE_LOOP('',(#219171,#219172,#219173,#219174)); #39686=EDGE_LOOP('',(#219175,#219176,#219177,#219178)); #39687=EDGE_LOOP('',(#219179)); #39688=EDGE_LOOP('',(#219180)); #39689=EDGE_LOOP('',(#219181)); #39690=EDGE_LOOP('',(#219182)); #39691=EDGE_LOOP('',(#219183,#219184,#219185,#219186)); #39692=EDGE_LOOP('',(#219187,#219188,#219189,#219190)); #39693=EDGE_LOOP('',(#219191)); #39694=EDGE_LOOP('',(#219192)); #39695=EDGE_LOOP('',(#219193)); #39696=EDGE_LOOP('',(#219194)); #39697=EDGE_LOOP('',(#219195,#219196,#219197,#219198)); #39698=EDGE_LOOP('',(#219199,#219200,#219201,#219202)); #39699=EDGE_LOOP('',(#219203)); #39700=EDGE_LOOP('',(#219204)); #39701=EDGE_LOOP('',(#219205)); #39702=EDGE_LOOP('',(#219206)); #39703=EDGE_LOOP('',(#219207,#219208,#219209,#219210)); #39704=EDGE_LOOP('',(#219211,#219212,#219213,#219214)); #39705=EDGE_LOOP('',(#219215)); #39706=EDGE_LOOP('',(#219216)); #39707=EDGE_LOOP('',(#219217)); #39708=EDGE_LOOP('',(#219218)); #39709=EDGE_LOOP('',(#219219,#219220,#219221,#219222)); #39710=EDGE_LOOP('',(#219223,#219224,#219225,#219226)); #39711=EDGE_LOOP('',(#219227)); #39712=EDGE_LOOP('',(#219228)); #39713=EDGE_LOOP('',(#219229)); #39714=EDGE_LOOP('',(#219230)); #39715=EDGE_LOOP('',(#219231,#219232,#219233,#219234)); #39716=EDGE_LOOP('',(#219235,#219236,#219237,#219238)); #39717=EDGE_LOOP('',(#219239)); #39718=EDGE_LOOP('',(#219240)); #39719=EDGE_LOOP('',(#219241)); #39720=EDGE_LOOP('',(#219242)); #39721=EDGE_LOOP('',(#219243,#219244,#219245,#219246)); #39722=EDGE_LOOP('',(#219247,#219248,#219249,#219250)); #39723=EDGE_LOOP('',(#219251)); #39724=EDGE_LOOP('',(#219252)); #39725=EDGE_LOOP('',(#219253)); #39726=EDGE_LOOP('',(#219254)); #39727=EDGE_LOOP('',(#219255,#219256,#219257,#219258)); #39728=EDGE_LOOP('',(#219259,#219260,#219261,#219262)); #39729=EDGE_LOOP('',(#219263)); #39730=EDGE_LOOP('',(#219264)); #39731=EDGE_LOOP('',(#219265)); #39732=EDGE_LOOP('',(#219266)); #39733=EDGE_LOOP('',(#219267,#219268,#219269,#219270)); #39734=EDGE_LOOP('',(#219271,#219272,#219273,#219274)); #39735=EDGE_LOOP('',(#219275)); #39736=EDGE_LOOP('',(#219276)); #39737=EDGE_LOOP('',(#219277)); #39738=EDGE_LOOP('',(#219278)); #39739=EDGE_LOOP('',(#219279,#219280,#219281,#219282)); #39740=EDGE_LOOP('',(#219283,#219284,#219285,#219286)); #39741=EDGE_LOOP('',(#219287)); #39742=EDGE_LOOP('',(#219288)); #39743=EDGE_LOOP('',(#219289)); #39744=EDGE_LOOP('',(#219290)); #39745=EDGE_LOOP('',(#219291,#219292,#219293,#219294)); #39746=EDGE_LOOP('',(#219295,#219296,#219297,#219298)); #39747=EDGE_LOOP('',(#219299)); #39748=EDGE_LOOP('',(#219300)); #39749=EDGE_LOOP('',(#219301)); #39750=EDGE_LOOP('',(#219302)); #39751=EDGE_LOOP('',(#219303,#219304,#219305,#219306)); #39752=EDGE_LOOP('',(#219307,#219308,#219309,#219310)); #39753=EDGE_LOOP('',(#219311)); #39754=EDGE_LOOP('',(#219312)); #39755=EDGE_LOOP('',(#219313)); #39756=EDGE_LOOP('',(#219314)); #39757=EDGE_LOOP('',(#219315,#219316,#219317,#219318)); #39758=EDGE_LOOP('',(#219319,#219320,#219321,#219322)); #39759=EDGE_LOOP('',(#219323)); #39760=EDGE_LOOP('',(#219324)); #39761=EDGE_LOOP('',(#219325)); #39762=EDGE_LOOP('',(#219326)); #39763=EDGE_LOOP('',(#219327,#219328,#219329,#219330)); #39764=EDGE_LOOP('',(#219331,#219332,#219333,#219334)); #39765=EDGE_LOOP('',(#219335)); #39766=EDGE_LOOP('',(#219336)); #39767=EDGE_LOOP('',(#219337)); #39768=EDGE_LOOP('',(#219338)); #39769=EDGE_LOOP('',(#219339,#219340,#219341,#219342)); #39770=EDGE_LOOP('',(#219343,#219344,#219345,#219346)); #39771=EDGE_LOOP('',(#219347)); #39772=EDGE_LOOP('',(#219348)); #39773=EDGE_LOOP('',(#219349)); #39774=EDGE_LOOP('',(#219350)); #39775=EDGE_LOOP('',(#219351,#219352,#219353,#219354)); #39776=EDGE_LOOP('',(#219355,#219356,#219357,#219358)); #39777=EDGE_LOOP('',(#219359)); #39778=EDGE_LOOP('',(#219360)); #39779=EDGE_LOOP('',(#219361)); #39780=EDGE_LOOP('',(#219362)); #39781=EDGE_LOOP('',(#219363,#219364,#219365,#219366)); #39782=EDGE_LOOP('',(#219367,#219368,#219369,#219370)); #39783=EDGE_LOOP('',(#219371)); #39784=EDGE_LOOP('',(#219372)); #39785=EDGE_LOOP('',(#219373)); #39786=EDGE_LOOP('',(#219374)); #39787=EDGE_LOOP('',(#219375,#219376,#219377,#219378)); #39788=EDGE_LOOP('',(#219379,#219380,#219381,#219382)); #39789=EDGE_LOOP('',(#219383)); #39790=EDGE_LOOP('',(#219384)); #39791=EDGE_LOOP('',(#219385)); #39792=EDGE_LOOP('',(#219386)); #39793=EDGE_LOOP('',(#219387,#219388,#219389,#219390)); #39794=EDGE_LOOP('',(#219391,#219392,#219393,#219394)); #39795=EDGE_LOOP('',(#219395)); #39796=EDGE_LOOP('',(#219396)); #39797=EDGE_LOOP('',(#219397)); #39798=EDGE_LOOP('',(#219398)); #39799=EDGE_LOOP('',(#219399,#219400,#219401,#219402)); #39800=EDGE_LOOP('',(#219403,#219404,#219405,#219406)); #39801=EDGE_LOOP('',(#219407)); #39802=EDGE_LOOP('',(#219408)); #39803=EDGE_LOOP('',(#219409)); #39804=EDGE_LOOP('',(#219410)); #39805=EDGE_LOOP('',(#219411,#219412,#219413,#219414)); #39806=EDGE_LOOP('',(#219415,#219416,#219417,#219418)); #39807=EDGE_LOOP('',(#219419)); #39808=EDGE_LOOP('',(#219420)); #39809=EDGE_LOOP('',(#219421)); #39810=EDGE_LOOP('',(#219422)); #39811=EDGE_LOOP('',(#219423,#219424,#219425,#219426)); #39812=EDGE_LOOP('',(#219427,#219428,#219429,#219430)); #39813=EDGE_LOOP('',(#219431)); #39814=EDGE_LOOP('',(#219432)); #39815=EDGE_LOOP('',(#219433)); #39816=EDGE_LOOP('',(#219434)); #39817=EDGE_LOOP('',(#219435,#219436,#219437,#219438)); #39818=EDGE_LOOP('',(#219439,#219440,#219441,#219442)); #39819=EDGE_LOOP('',(#219443)); #39820=EDGE_LOOP('',(#219444)); #39821=EDGE_LOOP('',(#219445)); #39822=EDGE_LOOP('',(#219446)); #39823=EDGE_LOOP('',(#219447,#219448,#219449,#219450)); #39824=EDGE_LOOP('',(#219451,#219452,#219453,#219454)); #39825=EDGE_LOOP('',(#219455)); #39826=EDGE_LOOP('',(#219456)); #39827=EDGE_LOOP('',(#219457)); #39828=EDGE_LOOP('',(#219458)); #39829=EDGE_LOOP('',(#219459,#219460,#219461,#219462)); #39830=EDGE_LOOP('',(#219463,#219464,#219465,#219466)); #39831=EDGE_LOOP('',(#219467)); #39832=EDGE_LOOP('',(#219468)); #39833=EDGE_LOOP('',(#219469)); #39834=EDGE_LOOP('',(#219470)); #39835=EDGE_LOOP('',(#219471,#219472,#219473,#219474)); #39836=EDGE_LOOP('',(#219475,#219476,#219477,#219478)); #39837=EDGE_LOOP('',(#219479)); #39838=EDGE_LOOP('',(#219480)); #39839=EDGE_LOOP('',(#219481)); #39840=EDGE_LOOP('',(#219482)); #39841=EDGE_LOOP('',(#219483,#219484,#219485,#219486)); #39842=EDGE_LOOP('',(#219487,#219488,#219489,#219490)); #39843=EDGE_LOOP('',(#219491)); #39844=EDGE_LOOP('',(#219492)); #39845=EDGE_LOOP('',(#219493)); #39846=EDGE_LOOP('',(#219494)); #39847=EDGE_LOOP('',(#219495,#219496,#219497,#219498)); #39848=EDGE_LOOP('',(#219499,#219500,#219501,#219502)); #39849=EDGE_LOOP('',(#219503)); #39850=EDGE_LOOP('',(#219504)); #39851=EDGE_LOOP('',(#219505)); #39852=EDGE_LOOP('',(#219506)); #39853=EDGE_LOOP('',(#219507,#219508,#219509,#219510)); #39854=EDGE_LOOP('',(#219511,#219512,#219513,#219514)); #39855=EDGE_LOOP('',(#219515)); #39856=EDGE_LOOP('',(#219516)); #39857=EDGE_LOOP('',(#219517)); #39858=EDGE_LOOP('',(#219518)); #39859=EDGE_LOOP('',(#219519,#219520,#219521,#219522)); #39860=EDGE_LOOP('',(#219523,#219524,#219525,#219526)); #39861=EDGE_LOOP('',(#219527)); #39862=EDGE_LOOP('',(#219528)); #39863=EDGE_LOOP('',(#219529)); #39864=EDGE_LOOP('',(#219530)); #39865=EDGE_LOOP('',(#219531,#219532,#219533,#219534)); #39866=EDGE_LOOP('',(#219535,#219536,#219537,#219538)); #39867=EDGE_LOOP('',(#219539)); #39868=EDGE_LOOP('',(#219540)); #39869=EDGE_LOOP('',(#219541)); #39870=EDGE_LOOP('',(#219542)); #39871=EDGE_LOOP('',(#219543,#219544,#219545,#219546)); #39872=EDGE_LOOP('',(#219547,#219548,#219549,#219550)); #39873=EDGE_LOOP('',(#219551)); #39874=EDGE_LOOP('',(#219552)); #39875=EDGE_LOOP('',(#219553)); #39876=EDGE_LOOP('',(#219554)); #39877=EDGE_LOOP('',(#219555,#219556,#219557,#219558)); #39878=EDGE_LOOP('',(#219559,#219560,#219561,#219562)); #39879=EDGE_LOOP('',(#219563)); #39880=EDGE_LOOP('',(#219564)); #39881=EDGE_LOOP('',(#219565)); #39882=EDGE_LOOP('',(#219566)); #39883=EDGE_LOOP('',(#219567,#219568,#219569,#219570)); #39884=EDGE_LOOP('',(#219571,#219572,#219573,#219574)); #39885=EDGE_LOOP('',(#219575)); #39886=EDGE_LOOP('',(#219576)); #39887=EDGE_LOOP('',(#219577)); #39888=EDGE_LOOP('',(#219578)); #39889=EDGE_LOOP('',(#219579,#219580,#219581,#219582)); #39890=EDGE_LOOP('',(#219583,#219584,#219585,#219586)); #39891=EDGE_LOOP('',(#219587)); #39892=EDGE_LOOP('',(#219588)); #39893=EDGE_LOOP('',(#219589)); #39894=EDGE_LOOP('',(#219590)); #39895=EDGE_LOOP('',(#219591,#219592,#219593,#219594)); #39896=EDGE_LOOP('',(#219595,#219596,#219597,#219598)); #39897=EDGE_LOOP('',(#219599)); #39898=EDGE_LOOP('',(#219600)); #39899=EDGE_LOOP('',(#219601)); #39900=EDGE_LOOP('',(#219602)); #39901=EDGE_LOOP('',(#219603,#219604,#219605,#219606)); #39902=EDGE_LOOP('',(#219607,#219608,#219609,#219610)); #39903=EDGE_LOOP('',(#219611)); #39904=EDGE_LOOP('',(#219612)); #39905=EDGE_LOOP('',(#219613)); #39906=EDGE_LOOP('',(#219614)); #39907=EDGE_LOOP('',(#219615,#219616,#219617,#219618)); #39908=EDGE_LOOP('',(#219619,#219620,#219621,#219622)); #39909=EDGE_LOOP('',(#219623)); #39910=EDGE_LOOP('',(#219624)); #39911=EDGE_LOOP('',(#219625)); #39912=EDGE_LOOP('',(#219626)); #39913=EDGE_LOOP('',(#219627,#219628,#219629,#219630)); #39914=EDGE_LOOP('',(#219631,#219632,#219633,#219634)); #39915=EDGE_LOOP('',(#219635)); #39916=EDGE_LOOP('',(#219636)); #39917=EDGE_LOOP('',(#219637)); #39918=EDGE_LOOP('',(#219638)); #39919=EDGE_LOOP('',(#219639,#219640,#219641,#219642)); #39920=EDGE_LOOP('',(#219643,#219644,#219645,#219646)); #39921=EDGE_LOOP('',(#219647)); #39922=EDGE_LOOP('',(#219648)); #39923=EDGE_LOOP('',(#219649)); #39924=EDGE_LOOP('',(#219650)); #39925=EDGE_LOOP('',(#219651,#219652,#219653,#219654)); #39926=EDGE_LOOP('',(#219655,#219656,#219657,#219658)); #39927=EDGE_LOOP('',(#219659)); #39928=EDGE_LOOP('',(#219660)); #39929=EDGE_LOOP('',(#219661)); #39930=EDGE_LOOP('',(#219662)); #39931=EDGE_LOOP('',(#219663,#219664,#219665,#219666)); #39932=EDGE_LOOP('',(#219667,#219668,#219669,#219670)); #39933=EDGE_LOOP('',(#219671)); #39934=EDGE_LOOP('',(#219672)); #39935=EDGE_LOOP('',(#219673)); #39936=EDGE_LOOP('',(#219674)); #39937=EDGE_LOOP('',(#219675,#219676,#219677,#219678)); #39938=EDGE_LOOP('',(#219679,#219680,#219681,#219682)); #39939=EDGE_LOOP('',(#219683)); #39940=EDGE_LOOP('',(#219684)); #39941=EDGE_LOOP('',(#219685)); #39942=EDGE_LOOP('',(#219686)); #39943=EDGE_LOOP('',(#219687,#219688,#219689,#219690)); #39944=EDGE_LOOP('',(#219691,#219692,#219693,#219694)); #39945=EDGE_LOOP('',(#219695)); #39946=EDGE_LOOP('',(#219696)); #39947=EDGE_LOOP('',(#219697)); #39948=EDGE_LOOP('',(#219698)); #39949=EDGE_LOOP('',(#219699,#219700,#219701,#219702)); #39950=EDGE_LOOP('',(#219703,#219704,#219705,#219706)); #39951=EDGE_LOOP('',(#219707)); #39952=EDGE_LOOP('',(#219708)); #39953=EDGE_LOOP('',(#219709)); #39954=EDGE_LOOP('',(#219710)); #39955=EDGE_LOOP('',(#219711,#219712,#219713,#219714)); #39956=EDGE_LOOP('',(#219715,#219716,#219717,#219718)); #39957=EDGE_LOOP('',(#219719)); #39958=EDGE_LOOP('',(#219720)); #39959=EDGE_LOOP('',(#219721)); #39960=EDGE_LOOP('',(#219722)); #39961=EDGE_LOOP('',(#219723,#219724,#219725,#219726)); #39962=EDGE_LOOP('',(#219727,#219728,#219729,#219730)); #39963=EDGE_LOOP('',(#219731)); #39964=EDGE_LOOP('',(#219732)); #39965=EDGE_LOOP('',(#219733)); #39966=EDGE_LOOP('',(#219734)); #39967=EDGE_LOOP('',(#219735,#219736,#219737,#219738)); #39968=EDGE_LOOP('',(#219739,#219740,#219741,#219742)); #39969=EDGE_LOOP('',(#219743)); #39970=EDGE_LOOP('',(#219744)); #39971=EDGE_LOOP('',(#219745)); #39972=EDGE_LOOP('',(#219746)); #39973=EDGE_LOOP('',(#219747,#219748,#219749,#219750)); #39974=EDGE_LOOP('',(#219751,#219752,#219753,#219754)); #39975=EDGE_LOOP('',(#219755)); #39976=EDGE_LOOP('',(#219756)); #39977=EDGE_LOOP('',(#219757)); #39978=EDGE_LOOP('',(#219758)); #39979=EDGE_LOOP('',(#219759,#219760,#219761,#219762)); #39980=EDGE_LOOP('',(#219763,#219764,#219765,#219766)); #39981=EDGE_LOOP('',(#219767)); #39982=EDGE_LOOP('',(#219768)); #39983=EDGE_LOOP('',(#219769)); #39984=EDGE_LOOP('',(#219770)); #39985=EDGE_LOOP('',(#219771,#219772,#219773,#219774)); #39986=EDGE_LOOP('',(#219775,#219776,#219777,#219778)); #39987=EDGE_LOOP('',(#219779)); #39988=EDGE_LOOP('',(#219780)); #39989=EDGE_LOOP('',(#219781)); #39990=EDGE_LOOP('',(#219782)); #39991=EDGE_LOOP('',(#219783,#219784,#219785,#219786)); #39992=EDGE_LOOP('',(#219787,#219788,#219789,#219790)); #39993=EDGE_LOOP('',(#219791)); #39994=EDGE_LOOP('',(#219792)); #39995=EDGE_LOOP('',(#219793)); #39996=EDGE_LOOP('',(#219794)); #39997=EDGE_LOOP('',(#219795,#219796,#219797,#219798)); #39998=EDGE_LOOP('',(#219799,#219800,#219801,#219802)); #39999=EDGE_LOOP('',(#219803)); #40000=EDGE_LOOP('',(#219804)); #40001=EDGE_LOOP('',(#219805)); #40002=EDGE_LOOP('',(#219806)); #40003=EDGE_LOOP('',(#219807,#219808,#219809,#219810)); #40004=EDGE_LOOP('',(#219811,#219812,#219813,#219814)); #40005=EDGE_LOOP('',(#219815)); #40006=EDGE_LOOP('',(#219816)); #40007=EDGE_LOOP('',(#219817)); #40008=EDGE_LOOP('',(#219818)); #40009=EDGE_LOOP('',(#219819,#219820,#219821,#219822)); #40010=EDGE_LOOP('',(#219823,#219824,#219825,#219826)); #40011=EDGE_LOOP('',(#219827)); #40012=EDGE_LOOP('',(#219828)); #40013=EDGE_LOOP('',(#219829)); #40014=EDGE_LOOP('',(#219830)); #40015=EDGE_LOOP('',(#219831,#219832,#219833,#219834)); #40016=EDGE_LOOP('',(#219835,#219836,#219837,#219838)); #40017=EDGE_LOOP('',(#219839)); #40018=EDGE_LOOP('',(#219840)); #40019=EDGE_LOOP('',(#219841)); #40020=EDGE_LOOP('',(#219842)); #40021=EDGE_LOOP('',(#219843,#219844,#219845,#219846)); #40022=EDGE_LOOP('',(#219847,#219848,#219849,#219850)); #40023=EDGE_LOOP('',(#219851)); #40024=EDGE_LOOP('',(#219852)); #40025=EDGE_LOOP('',(#219853)); #40026=EDGE_LOOP('',(#219854)); #40027=EDGE_LOOP('',(#219855,#219856,#219857,#219858)); #40028=EDGE_LOOP('',(#219859,#219860,#219861,#219862)); #40029=EDGE_LOOP('',(#219863)); #40030=EDGE_LOOP('',(#219864)); #40031=EDGE_LOOP('',(#219865)); #40032=EDGE_LOOP('',(#219866)); #40033=EDGE_LOOP('',(#219867,#219868,#219869,#219870)); #40034=EDGE_LOOP('',(#219871,#219872,#219873,#219874)); #40035=EDGE_LOOP('',(#219875)); #40036=EDGE_LOOP('',(#219876)); #40037=EDGE_LOOP('',(#219877)); #40038=EDGE_LOOP('',(#219878)); #40039=EDGE_LOOP('',(#219879,#219880,#219881,#219882)); #40040=EDGE_LOOP('',(#219883,#219884,#219885,#219886)); #40041=EDGE_LOOP('',(#219887)); #40042=EDGE_LOOP('',(#219888)); #40043=EDGE_LOOP('',(#219889)); #40044=EDGE_LOOP('',(#219890)); #40045=EDGE_LOOP('',(#219891,#219892,#219893,#219894)); #40046=EDGE_LOOP('',(#219895,#219896,#219897,#219898)); #40047=EDGE_LOOP('',(#219899)); #40048=EDGE_LOOP('',(#219900)); #40049=EDGE_LOOP('',(#219901)); #40050=EDGE_LOOP('',(#219902)); #40051=EDGE_LOOP('',(#219903,#219904,#219905,#219906)); #40052=EDGE_LOOP('',(#219907,#219908,#219909,#219910)); #40053=EDGE_LOOP('',(#219911)); #40054=EDGE_LOOP('',(#219912)); #40055=EDGE_LOOP('',(#219913)); #40056=EDGE_LOOP('',(#219914)); #40057=EDGE_LOOP('',(#219915,#219916,#219917,#219918)); #40058=EDGE_LOOP('',(#219919,#219920,#219921,#219922)); #40059=EDGE_LOOP('',(#219923)); #40060=EDGE_LOOP('',(#219924)); #40061=EDGE_LOOP('',(#219925)); #40062=EDGE_LOOP('',(#219926)); #40063=EDGE_LOOP('',(#219927,#219928,#219929,#219930)); #40064=EDGE_LOOP('',(#219931,#219932,#219933,#219934)); #40065=EDGE_LOOP('',(#219935)); #40066=EDGE_LOOP('',(#219936)); #40067=EDGE_LOOP('',(#219937)); #40068=EDGE_LOOP('',(#219938)); #40069=EDGE_LOOP('',(#219939,#219940,#219941,#219942)); #40070=EDGE_LOOP('',(#219943,#219944,#219945,#219946)); #40071=EDGE_LOOP('',(#219947)); #40072=EDGE_LOOP('',(#219948)); #40073=EDGE_LOOP('',(#219949)); #40074=EDGE_LOOP('',(#219950)); #40075=EDGE_LOOP('',(#219951,#219952,#219953,#219954)); #40076=EDGE_LOOP('',(#219955,#219956,#219957,#219958)); #40077=EDGE_LOOP('',(#219959)); #40078=EDGE_LOOP('',(#219960)); #40079=EDGE_LOOP('',(#219961)); #40080=EDGE_LOOP('',(#219962)); #40081=EDGE_LOOP('',(#219963,#219964,#219965,#219966)); #40082=EDGE_LOOP('',(#219967,#219968,#219969,#219970)); #40083=EDGE_LOOP('',(#219971)); #40084=EDGE_LOOP('',(#219972)); #40085=EDGE_LOOP('',(#219973)); #40086=EDGE_LOOP('',(#219974)); #40087=EDGE_LOOP('',(#219975,#219976,#219977,#219978)); #40088=EDGE_LOOP('',(#219979,#219980,#219981,#219982)); #40089=EDGE_LOOP('',(#219983)); #40090=EDGE_LOOP('',(#219984)); #40091=EDGE_LOOP('',(#219985)); #40092=EDGE_LOOP('',(#219986)); #40093=EDGE_LOOP('',(#219987,#219988,#219989,#219990)); #40094=EDGE_LOOP('',(#219991,#219992,#219993,#219994)); #40095=EDGE_LOOP('',(#219995)); #40096=EDGE_LOOP('',(#219996)); #40097=EDGE_LOOP('',(#219997)); #40098=EDGE_LOOP('',(#219998)); #40099=EDGE_LOOP('',(#219999,#220000,#220001,#220002)); #40100=EDGE_LOOP('',(#220003,#220004,#220005,#220006)); #40101=EDGE_LOOP('',(#220007)); #40102=EDGE_LOOP('',(#220008)); #40103=EDGE_LOOP('',(#220009)); #40104=EDGE_LOOP('',(#220010)); #40105=EDGE_LOOP('',(#220011,#220012,#220013,#220014)); #40106=EDGE_LOOP('',(#220015,#220016,#220017,#220018)); #40107=EDGE_LOOP('',(#220019)); #40108=EDGE_LOOP('',(#220020)); #40109=EDGE_LOOP('',(#220021)); #40110=EDGE_LOOP('',(#220022)); #40111=EDGE_LOOP('',(#220023,#220024,#220025,#220026)); #40112=EDGE_LOOP('',(#220027,#220028,#220029,#220030)); #40113=EDGE_LOOP('',(#220031)); #40114=EDGE_LOOP('',(#220032)); #40115=EDGE_LOOP('',(#220033)); #40116=EDGE_LOOP('',(#220034)); #40117=EDGE_LOOP('',(#220035,#220036,#220037,#220038)); #40118=EDGE_LOOP('',(#220039,#220040,#220041,#220042)); #40119=EDGE_LOOP('',(#220043)); #40120=EDGE_LOOP('',(#220044)); #40121=EDGE_LOOP('',(#220045)); #40122=EDGE_LOOP('',(#220046)); #40123=EDGE_LOOP('',(#220047,#220048,#220049,#220050)); #40124=EDGE_LOOP('',(#220051,#220052,#220053,#220054)); #40125=EDGE_LOOP('',(#220055)); #40126=EDGE_LOOP('',(#220056)); #40127=EDGE_LOOP('',(#220057)); #40128=EDGE_LOOP('',(#220058)); #40129=EDGE_LOOP('',(#220059,#220060,#220061,#220062)); #40130=EDGE_LOOP('',(#220063,#220064,#220065,#220066)); #40131=EDGE_LOOP('',(#220067)); #40132=EDGE_LOOP('',(#220068)); #40133=EDGE_LOOP('',(#220069)); #40134=EDGE_LOOP('',(#220070)); #40135=EDGE_LOOP('',(#220071,#220072,#220073,#220074)); #40136=EDGE_LOOP('',(#220075,#220076,#220077,#220078)); #40137=EDGE_LOOP('',(#220079)); #40138=EDGE_LOOP('',(#220080)); #40139=EDGE_LOOP('',(#220081)); #40140=EDGE_LOOP('',(#220082)); #40141=EDGE_LOOP('',(#220083,#220084,#220085,#220086)); #40142=EDGE_LOOP('',(#220087,#220088,#220089,#220090)); #40143=EDGE_LOOP('',(#220091)); #40144=EDGE_LOOP('',(#220092)); #40145=EDGE_LOOP('',(#220093)); #40146=EDGE_LOOP('',(#220094)); #40147=EDGE_LOOP('',(#220095,#220096,#220097,#220098)); #40148=EDGE_LOOP('',(#220099,#220100,#220101,#220102)); #40149=EDGE_LOOP('',(#220103)); #40150=EDGE_LOOP('',(#220104)); #40151=EDGE_LOOP('',(#220105)); #40152=EDGE_LOOP('',(#220106)); #40153=EDGE_LOOP('',(#220107,#220108,#220109,#220110)); #40154=EDGE_LOOP('',(#220111,#220112,#220113,#220114)); #40155=EDGE_LOOP('',(#220115)); #40156=EDGE_LOOP('',(#220116)); #40157=EDGE_LOOP('',(#220117)); #40158=EDGE_LOOP('',(#220118)); #40159=EDGE_LOOP('',(#220119,#220120,#220121,#220122)); #40160=EDGE_LOOP('',(#220123,#220124,#220125,#220126)); #40161=EDGE_LOOP('',(#220127)); #40162=EDGE_LOOP('',(#220128)); #40163=EDGE_LOOP('',(#220129)); #40164=EDGE_LOOP('',(#220130)); #40165=EDGE_LOOP('',(#220131,#220132,#220133,#220134)); #40166=EDGE_LOOP('',(#220135,#220136,#220137,#220138)); #40167=EDGE_LOOP('',(#220139)); #40168=EDGE_LOOP('',(#220140)); #40169=EDGE_LOOP('',(#220141)); #40170=EDGE_LOOP('',(#220142)); #40171=EDGE_LOOP('',(#220143,#220144,#220145,#220146)); #40172=EDGE_LOOP('',(#220147,#220148,#220149,#220150)); #40173=EDGE_LOOP('',(#220151)); #40174=EDGE_LOOP('',(#220152)); #40175=EDGE_LOOP('',(#220153)); #40176=EDGE_LOOP('',(#220154)); #40177=EDGE_LOOP('',(#220155,#220156,#220157,#220158)); #40178=EDGE_LOOP('',(#220159,#220160,#220161,#220162)); #40179=EDGE_LOOP('',(#220163)); #40180=EDGE_LOOP('',(#220164)); #40181=EDGE_LOOP('',(#220165)); #40182=EDGE_LOOP('',(#220166)); #40183=EDGE_LOOP('',(#220167,#220168,#220169,#220170)); #40184=EDGE_LOOP('',(#220171,#220172,#220173,#220174)); #40185=EDGE_LOOP('',(#220175)); #40186=EDGE_LOOP('',(#220176)); #40187=EDGE_LOOP('',(#220177)); #40188=EDGE_LOOP('',(#220178)); #40189=EDGE_LOOP('',(#220179,#220180,#220181,#220182)); #40190=EDGE_LOOP('',(#220183,#220184,#220185,#220186)); #40191=EDGE_LOOP('',(#220187)); #40192=EDGE_LOOP('',(#220188)); #40193=EDGE_LOOP('',(#220189)); #40194=EDGE_LOOP('',(#220190)); #40195=EDGE_LOOP('',(#220191,#220192,#220193,#220194)); #40196=EDGE_LOOP('',(#220195,#220196,#220197,#220198)); #40197=EDGE_LOOP('',(#220199)); #40198=EDGE_LOOP('',(#220200)); #40199=EDGE_LOOP('',(#220201)); #40200=EDGE_LOOP('',(#220202)); #40201=EDGE_LOOP('',(#220203,#220204,#220205,#220206)); #40202=EDGE_LOOP('',(#220207,#220208,#220209,#220210)); #40203=EDGE_LOOP('',(#220211)); #40204=EDGE_LOOP('',(#220212)); #40205=EDGE_LOOP('',(#220213)); #40206=EDGE_LOOP('',(#220214)); #40207=EDGE_LOOP('',(#220215,#220216,#220217,#220218)); #40208=EDGE_LOOP('',(#220219,#220220,#220221,#220222)); #40209=EDGE_LOOP('',(#220223)); #40210=EDGE_LOOP('',(#220224)); #40211=EDGE_LOOP('',(#220225)); #40212=EDGE_LOOP('',(#220226)); #40213=EDGE_LOOP('',(#220227,#220228,#220229,#220230)); #40214=EDGE_LOOP('',(#220231,#220232,#220233,#220234)); #40215=EDGE_LOOP('',(#220235)); #40216=EDGE_LOOP('',(#220236)); #40217=EDGE_LOOP('',(#220237)); #40218=EDGE_LOOP('',(#220238)); #40219=EDGE_LOOP('',(#220239,#220240,#220241,#220242)); #40220=EDGE_LOOP('',(#220243,#220244,#220245,#220246)); #40221=EDGE_LOOP('',(#220247)); #40222=EDGE_LOOP('',(#220248)); #40223=EDGE_LOOP('',(#220249)); #40224=EDGE_LOOP('',(#220250)); #40225=EDGE_LOOP('',(#220251,#220252,#220253,#220254)); #40226=EDGE_LOOP('',(#220255,#220256,#220257,#220258)); #40227=EDGE_LOOP('',(#220259)); #40228=EDGE_LOOP('',(#220260)); #40229=EDGE_LOOP('',(#220261)); #40230=EDGE_LOOP('',(#220262)); #40231=EDGE_LOOP('',(#220263,#220264,#220265,#220266)); #40232=EDGE_LOOP('',(#220267,#220268,#220269,#220270)); #40233=EDGE_LOOP('',(#220271)); #40234=EDGE_LOOP('',(#220272)); #40235=EDGE_LOOP('',(#220273)); #40236=EDGE_LOOP('',(#220274)); #40237=EDGE_LOOP('',(#220275,#220276,#220277,#220278)); #40238=EDGE_LOOP('',(#220279,#220280,#220281,#220282)); #40239=EDGE_LOOP('',(#220283)); #40240=EDGE_LOOP('',(#220284)); #40241=EDGE_LOOP('',(#220285)); #40242=EDGE_LOOP('',(#220286)); #40243=EDGE_LOOP('',(#220287,#220288,#220289,#220290)); #40244=EDGE_LOOP('',(#220291,#220292,#220293,#220294)); #40245=EDGE_LOOP('',(#220295)); #40246=EDGE_LOOP('',(#220296)); #40247=EDGE_LOOP('',(#220297)); #40248=EDGE_LOOP('',(#220298)); #40249=EDGE_LOOP('',(#220299,#220300,#220301,#220302)); #40250=EDGE_LOOP('',(#220303,#220304,#220305,#220306)); #40251=EDGE_LOOP('',(#220307)); #40252=EDGE_LOOP('',(#220308)); #40253=EDGE_LOOP('',(#220309)); #40254=EDGE_LOOP('',(#220310)); #40255=EDGE_LOOP('',(#220311,#220312,#220313,#220314)); #40256=EDGE_LOOP('',(#220315,#220316,#220317,#220318)); #40257=EDGE_LOOP('',(#220319)); #40258=EDGE_LOOP('',(#220320)); #40259=EDGE_LOOP('',(#220321)); #40260=EDGE_LOOP('',(#220322)); #40261=EDGE_LOOP('',(#220323,#220324,#220325,#220326)); #40262=EDGE_LOOP('',(#220327,#220328,#220329,#220330)); #40263=EDGE_LOOP('',(#220331)); #40264=EDGE_LOOP('',(#220332)); #40265=EDGE_LOOP('',(#220333)); #40266=EDGE_LOOP('',(#220334)); #40267=EDGE_LOOP('',(#220335,#220336,#220337,#220338)); #40268=EDGE_LOOP('',(#220339,#220340,#220341,#220342)); #40269=EDGE_LOOP('',(#220343)); #40270=EDGE_LOOP('',(#220344)); #40271=EDGE_LOOP('',(#220345)); #40272=EDGE_LOOP('',(#220346)); #40273=EDGE_LOOP('',(#220347,#220348,#220349,#220350)); #40274=EDGE_LOOP('',(#220351,#220352,#220353,#220354)); #40275=EDGE_LOOP('',(#220355)); #40276=EDGE_LOOP('',(#220356)); #40277=EDGE_LOOP('',(#220357)); #40278=EDGE_LOOP('',(#220358)); #40279=EDGE_LOOP('',(#220359,#220360,#220361,#220362)); #40280=EDGE_LOOP('',(#220363,#220364,#220365,#220366)); #40281=EDGE_LOOP('',(#220367)); #40282=EDGE_LOOP('',(#220368)); #40283=EDGE_LOOP('',(#220369)); #40284=EDGE_LOOP('',(#220370)); #40285=EDGE_LOOP('',(#220371,#220372,#220373,#220374)); #40286=EDGE_LOOP('',(#220375,#220376,#220377,#220378)); #40287=EDGE_LOOP('',(#220379)); #40288=EDGE_LOOP('',(#220380)); #40289=EDGE_LOOP('',(#220381)); #40290=EDGE_LOOP('',(#220382)); #40291=EDGE_LOOP('',(#220383,#220384,#220385,#220386)); #40292=EDGE_LOOP('',(#220387,#220388,#220389,#220390)); #40293=EDGE_LOOP('',(#220391)); #40294=EDGE_LOOP('',(#220392)); #40295=EDGE_LOOP('',(#220393)); #40296=EDGE_LOOP('',(#220394)); #40297=EDGE_LOOP('',(#220395,#220396,#220397,#220398)); #40298=EDGE_LOOP('',(#220399,#220400,#220401,#220402)); #40299=EDGE_LOOP('',(#220403)); #40300=EDGE_LOOP('',(#220404)); #40301=EDGE_LOOP('',(#220405)); #40302=EDGE_LOOP('',(#220406)); #40303=EDGE_LOOP('',(#220407,#220408,#220409,#220410)); #40304=EDGE_LOOP('',(#220411,#220412,#220413,#220414)); #40305=EDGE_LOOP('',(#220415)); #40306=EDGE_LOOP('',(#220416)); #40307=EDGE_LOOP('',(#220417)); #40308=EDGE_LOOP('',(#220418)); #40309=EDGE_LOOP('',(#220419,#220420,#220421,#220422)); #40310=EDGE_LOOP('',(#220423,#220424,#220425,#220426)); #40311=EDGE_LOOP('',(#220427)); #40312=EDGE_LOOP('',(#220428)); #40313=EDGE_LOOP('',(#220429)); #40314=EDGE_LOOP('',(#220430)); #40315=EDGE_LOOP('',(#220431,#220432,#220433,#220434)); #40316=EDGE_LOOP('',(#220435,#220436,#220437,#220438)); #40317=EDGE_LOOP('',(#220439)); #40318=EDGE_LOOP('',(#220440)); #40319=EDGE_LOOP('',(#220441)); #40320=EDGE_LOOP('',(#220442)); #40321=EDGE_LOOP('',(#220443,#220444,#220445,#220446)); #40322=EDGE_LOOP('',(#220447,#220448,#220449,#220450)); #40323=EDGE_LOOP('',(#220451)); #40324=EDGE_LOOP('',(#220452)); #40325=EDGE_LOOP('',(#220453)); #40326=EDGE_LOOP('',(#220454)); #40327=EDGE_LOOP('',(#220455,#220456,#220457,#220458)); #40328=EDGE_LOOP('',(#220459,#220460,#220461,#220462)); #40329=EDGE_LOOP('',(#220463)); #40330=EDGE_LOOP('',(#220464)); #40331=EDGE_LOOP('',(#220465)); #40332=EDGE_LOOP('',(#220466)); #40333=EDGE_LOOP('',(#220467,#220468,#220469,#220470)); #40334=EDGE_LOOP('',(#220471,#220472,#220473,#220474)); #40335=EDGE_LOOP('',(#220475)); #40336=EDGE_LOOP('',(#220476)); #40337=EDGE_LOOP('',(#220477)); #40338=EDGE_LOOP('',(#220478)); #40339=EDGE_LOOP('',(#220479,#220480,#220481,#220482)); #40340=EDGE_LOOP('',(#220483,#220484,#220485,#220486)); #40341=EDGE_LOOP('',(#220487)); #40342=EDGE_LOOP('',(#220488)); #40343=EDGE_LOOP('',(#220489)); #40344=EDGE_LOOP('',(#220490)); #40345=EDGE_LOOP('',(#220491,#220492,#220493,#220494)); #40346=EDGE_LOOP('',(#220495,#220496,#220497,#220498)); #40347=EDGE_LOOP('',(#220499)); #40348=EDGE_LOOP('',(#220500)); #40349=EDGE_LOOP('',(#220501)); #40350=EDGE_LOOP('',(#220502)); #40351=EDGE_LOOP('',(#220503,#220504,#220505,#220506)); #40352=EDGE_LOOP('',(#220507,#220508,#220509,#220510)); #40353=EDGE_LOOP('',(#220511)); #40354=EDGE_LOOP('',(#220512)); #40355=EDGE_LOOP('',(#220513)); #40356=EDGE_LOOP('',(#220514)); #40357=EDGE_LOOP('',(#220515,#220516,#220517,#220518)); #40358=EDGE_LOOP('',(#220519,#220520,#220521,#220522)); #40359=EDGE_LOOP('',(#220523)); #40360=EDGE_LOOP('',(#220524)); #40361=EDGE_LOOP('',(#220525)); #40362=EDGE_LOOP('',(#220526)); #40363=EDGE_LOOP('',(#220527,#220528,#220529,#220530)); #40364=EDGE_LOOP('',(#220531,#220532,#220533,#220534)); #40365=EDGE_LOOP('',(#220535)); #40366=EDGE_LOOP('',(#220536)); #40367=EDGE_LOOP('',(#220537)); #40368=EDGE_LOOP('',(#220538)); #40369=EDGE_LOOP('',(#220539,#220540,#220541,#220542)); #40370=EDGE_LOOP('',(#220543,#220544,#220545,#220546)); #40371=EDGE_LOOP('',(#220547)); #40372=EDGE_LOOP('',(#220548)); #40373=EDGE_LOOP('',(#220549)); #40374=EDGE_LOOP('',(#220550)); #40375=EDGE_LOOP('',(#220551,#220552,#220553,#220554)); #40376=EDGE_LOOP('',(#220555,#220556,#220557,#220558)); #40377=EDGE_LOOP('',(#220559)); #40378=EDGE_LOOP('',(#220560)); #40379=EDGE_LOOP('',(#220561)); #40380=EDGE_LOOP('',(#220562)); #40381=EDGE_LOOP('',(#220563,#220564,#220565,#220566)); #40382=EDGE_LOOP('',(#220567,#220568,#220569,#220570)); #40383=EDGE_LOOP('',(#220571)); #40384=EDGE_LOOP('',(#220572)); #40385=EDGE_LOOP('',(#220573)); #40386=EDGE_LOOP('',(#220574)); #40387=EDGE_LOOP('',(#220575,#220576,#220577,#220578)); #40388=EDGE_LOOP('',(#220579,#220580,#220581,#220582)); #40389=EDGE_LOOP('',(#220583)); #40390=EDGE_LOOP('',(#220584)); #40391=EDGE_LOOP('',(#220585)); #40392=EDGE_LOOP('',(#220586)); #40393=EDGE_LOOP('',(#220587,#220588,#220589,#220590)); #40394=EDGE_LOOP('',(#220591,#220592,#220593,#220594)); #40395=EDGE_LOOP('',(#220595)); #40396=EDGE_LOOP('',(#220596)); #40397=EDGE_LOOP('',(#220597)); #40398=EDGE_LOOP('',(#220598)); #40399=EDGE_LOOP('',(#220599,#220600,#220601,#220602)); #40400=EDGE_LOOP('',(#220603,#220604,#220605,#220606)); #40401=EDGE_LOOP('',(#220607)); #40402=EDGE_LOOP('',(#220608)); #40403=EDGE_LOOP('',(#220609)); #40404=EDGE_LOOP('',(#220610)); #40405=EDGE_LOOP('',(#220611,#220612,#220613,#220614)); #40406=EDGE_LOOP('',(#220615,#220616,#220617,#220618)); #40407=EDGE_LOOP('',(#220619)); #40408=EDGE_LOOP('',(#220620)); #40409=EDGE_LOOP('',(#220621)); #40410=EDGE_LOOP('',(#220622)); #40411=EDGE_LOOP('',(#220623,#220624,#220625,#220626)); #40412=EDGE_LOOP('',(#220627,#220628,#220629,#220630)); #40413=EDGE_LOOP('',(#220631)); #40414=EDGE_LOOP('',(#220632)); #40415=EDGE_LOOP('',(#220633)); #40416=EDGE_LOOP('',(#220634)); #40417=EDGE_LOOP('',(#220635,#220636,#220637,#220638)); #40418=EDGE_LOOP('',(#220639,#220640,#220641,#220642)); #40419=EDGE_LOOP('',(#220643)); #40420=EDGE_LOOP('',(#220644)); #40421=EDGE_LOOP('',(#220645)); #40422=EDGE_LOOP('',(#220646)); #40423=EDGE_LOOP('',(#220647,#220648,#220649,#220650)); #40424=EDGE_LOOP('',(#220651,#220652,#220653,#220654)); #40425=EDGE_LOOP('',(#220655)); #40426=EDGE_LOOP('',(#220656)); #40427=EDGE_LOOP('',(#220657)); #40428=EDGE_LOOP('',(#220658)); #40429=EDGE_LOOP('',(#220659,#220660,#220661,#220662)); #40430=EDGE_LOOP('',(#220663,#220664,#220665,#220666)); #40431=EDGE_LOOP('',(#220667)); #40432=EDGE_LOOP('',(#220668)); #40433=EDGE_LOOP('',(#220669)); #40434=EDGE_LOOP('',(#220670)); #40435=EDGE_LOOP('',(#220671,#220672,#220673,#220674)); #40436=EDGE_LOOP('',(#220675,#220676,#220677,#220678)); #40437=EDGE_LOOP('',(#220679)); #40438=EDGE_LOOP('',(#220680)); #40439=EDGE_LOOP('',(#220681)); #40440=EDGE_LOOP('',(#220682)); #40441=EDGE_LOOP('',(#220683,#220684,#220685,#220686)); #40442=EDGE_LOOP('',(#220687,#220688,#220689,#220690)); #40443=EDGE_LOOP('',(#220691)); #40444=EDGE_LOOP('',(#220692)); #40445=EDGE_LOOP('',(#220693)); #40446=EDGE_LOOP('',(#220694)); #40447=EDGE_LOOP('',(#220695,#220696,#220697,#220698)); #40448=EDGE_LOOP('',(#220699,#220700,#220701,#220702)); #40449=EDGE_LOOP('',(#220703)); #40450=EDGE_LOOP('',(#220704)); #40451=EDGE_LOOP('',(#220705)); #40452=EDGE_LOOP('',(#220706)); #40453=EDGE_LOOP('',(#220707,#220708,#220709,#220710)); #40454=EDGE_LOOP('',(#220711,#220712,#220713,#220714)); #40455=EDGE_LOOP('',(#220715)); #40456=EDGE_LOOP('',(#220716)); #40457=EDGE_LOOP('',(#220717)); #40458=EDGE_LOOP('',(#220718)); #40459=EDGE_LOOP('',(#220719,#220720,#220721,#220722)); #40460=EDGE_LOOP('',(#220723,#220724,#220725,#220726)); #40461=EDGE_LOOP('',(#220727)); #40462=EDGE_LOOP('',(#220728)); #40463=EDGE_LOOP('',(#220729)); #40464=EDGE_LOOP('',(#220730)); #40465=EDGE_LOOP('',(#220731,#220732,#220733,#220734)); #40466=EDGE_LOOP('',(#220735,#220736,#220737,#220738)); #40467=EDGE_LOOP('',(#220739)); #40468=EDGE_LOOP('',(#220740)); #40469=EDGE_LOOP('',(#220741)); #40470=EDGE_LOOP('',(#220742)); #40471=EDGE_LOOP('',(#220743,#220744,#220745,#220746)); #40472=EDGE_LOOP('',(#220747,#220748,#220749,#220750)); #40473=EDGE_LOOP('',(#220751)); #40474=EDGE_LOOP('',(#220752)); #40475=EDGE_LOOP('',(#220753)); #40476=EDGE_LOOP('',(#220754)); #40477=EDGE_LOOP('',(#220755,#220756,#220757,#220758)); #40478=EDGE_LOOP('',(#220759,#220760,#220761,#220762)); #40479=EDGE_LOOP('',(#220763)); #40480=EDGE_LOOP('',(#220764)); #40481=EDGE_LOOP('',(#220765)); #40482=EDGE_LOOP('',(#220766)); #40483=EDGE_LOOP('',(#220767,#220768,#220769,#220770)); #40484=EDGE_LOOP('',(#220771,#220772,#220773,#220774)); #40485=EDGE_LOOP('',(#220775)); #40486=EDGE_LOOP('',(#220776)); #40487=EDGE_LOOP('',(#220777)); #40488=EDGE_LOOP('',(#220778)); #40489=EDGE_LOOP('',(#220779,#220780,#220781,#220782)); #40490=EDGE_LOOP('',(#220783,#220784,#220785,#220786)); #40491=EDGE_LOOP('',(#220787)); #40492=EDGE_LOOP('',(#220788)); #40493=EDGE_LOOP('',(#220789)); #40494=EDGE_LOOP('',(#220790)); #40495=EDGE_LOOP('',(#220791,#220792,#220793,#220794)); #40496=EDGE_LOOP('',(#220795,#220796,#220797,#220798)); #40497=EDGE_LOOP('',(#220799)); #40498=EDGE_LOOP('',(#220800)); #40499=EDGE_LOOP('',(#220801)); #40500=EDGE_LOOP('',(#220802)); #40501=EDGE_LOOP('',(#220803,#220804,#220805,#220806)); #40502=EDGE_LOOP('',(#220807,#220808,#220809,#220810)); #40503=EDGE_LOOP('',(#220811)); #40504=EDGE_LOOP('',(#220812)); #40505=EDGE_LOOP('',(#220813)); #40506=EDGE_LOOP('',(#220814)); #40507=EDGE_LOOP('',(#220815,#220816,#220817,#220818)); #40508=EDGE_LOOP('',(#220819,#220820,#220821,#220822)); #40509=EDGE_LOOP('',(#220823)); #40510=EDGE_LOOP('',(#220824)); #40511=EDGE_LOOP('',(#220825)); #40512=EDGE_LOOP('',(#220826)); #40513=EDGE_LOOP('',(#220827,#220828,#220829,#220830)); #40514=EDGE_LOOP('',(#220831,#220832,#220833,#220834)); #40515=EDGE_LOOP('',(#220835)); #40516=EDGE_LOOP('',(#220836)); #40517=EDGE_LOOP('',(#220837)); #40518=EDGE_LOOP('',(#220838)); #40519=EDGE_LOOP('',(#220839,#220840,#220841,#220842)); #40520=EDGE_LOOP('',(#220843,#220844,#220845,#220846)); #40521=EDGE_LOOP('',(#220847)); #40522=EDGE_LOOP('',(#220848)); #40523=EDGE_LOOP('',(#220849)); #40524=EDGE_LOOP('',(#220850)); #40525=EDGE_LOOP('',(#220851,#220852,#220853,#220854)); #40526=EDGE_LOOP('',(#220855,#220856,#220857,#220858)); #40527=EDGE_LOOP('',(#220859)); #40528=EDGE_LOOP('',(#220860)); #40529=EDGE_LOOP('',(#220861)); #40530=EDGE_LOOP('',(#220862)); #40531=EDGE_LOOP('',(#220863,#220864,#220865,#220866)); #40532=EDGE_LOOP('',(#220867,#220868,#220869,#220870)); #40533=EDGE_LOOP('',(#220871)); #40534=EDGE_LOOP('',(#220872)); #40535=EDGE_LOOP('',(#220873)); #40536=EDGE_LOOP('',(#220874)); #40537=EDGE_LOOP('',(#220875,#220876,#220877,#220878)); #40538=EDGE_LOOP('',(#220879,#220880,#220881,#220882)); #40539=EDGE_LOOP('',(#220883)); #40540=EDGE_LOOP('',(#220884)); #40541=EDGE_LOOP('',(#220885)); #40542=EDGE_LOOP('',(#220886)); #40543=EDGE_LOOP('',(#220887,#220888,#220889,#220890)); #40544=EDGE_LOOP('',(#220891,#220892,#220893,#220894)); #40545=EDGE_LOOP('',(#220895)); #40546=EDGE_LOOP('',(#220896)); #40547=EDGE_LOOP('',(#220897)); #40548=EDGE_LOOP('',(#220898)); #40549=EDGE_LOOP('',(#220899,#220900,#220901,#220902)); #40550=EDGE_LOOP('',(#220903,#220904,#220905,#220906)); #40551=EDGE_LOOP('',(#220907)); #40552=EDGE_LOOP('',(#220908)); #40553=EDGE_LOOP('',(#220909)); #40554=EDGE_LOOP('',(#220910)); #40555=EDGE_LOOP('',(#220911,#220912,#220913,#220914)); #40556=EDGE_LOOP('',(#220915,#220916,#220917,#220918)); #40557=EDGE_LOOP('',(#220919)); #40558=EDGE_LOOP('',(#220920)); #40559=EDGE_LOOP('',(#220921)); #40560=EDGE_LOOP('',(#220922)); #40561=EDGE_LOOP('',(#220923,#220924,#220925,#220926)); #40562=EDGE_LOOP('',(#220927,#220928,#220929,#220930)); #40563=EDGE_LOOP('',(#220931)); #40564=EDGE_LOOP('',(#220932)); #40565=EDGE_LOOP('',(#220933)); #40566=EDGE_LOOP('',(#220934)); #40567=EDGE_LOOP('',(#220935,#220936,#220937,#220938)); #40568=EDGE_LOOP('',(#220939,#220940,#220941,#220942)); #40569=EDGE_LOOP('',(#220943,#220944,#220945,#220946)); #40570=EDGE_LOOP('',(#220947,#220948,#220949,#220950)); #40571=EDGE_LOOP('',(#220951,#220952,#220953)); #40572=EDGE_LOOP('',(#220954)); #40573=EDGE_LOOP('',(#220955,#220956,#220957)); #40574=EDGE_LOOP('',(#220958)); #40575=EDGE_LOOP('',(#220959,#220960,#220961,#220962)); #40576=EDGE_LOOP('',(#220963,#220964,#220965,#220966)); #40577=EDGE_LOOP('',(#220967,#220968,#220969,#220970)); #40578=EDGE_LOOP('',(#220971,#220972,#220973,#220974)); #40579=EDGE_LOOP('',(#220975,#220976,#220977,#220978)); #40580=EDGE_LOOP('',(#220979,#220980,#220981,#220982)); #40581=EDGE_LOOP('',(#220983,#220984,#220985,#220986)); #40582=EDGE_LOOP('',(#220987,#220988,#220989,#220990)); #40583=EDGE_LOOP('',(#220991,#220992,#220993,#220994)); #40584=EDGE_LOOP('',(#220995,#220996,#220997,#220998)); #40585=EDGE_LOOP('',(#220999,#221000,#221001,#221002)); #40586=EDGE_LOOP('',(#221003,#221004,#221005,#221006)); #40587=EDGE_LOOP('',(#221007,#221008,#221009,#221010)); #40588=EDGE_LOOP('',(#221011,#221012,#221013,#221014)); #40589=EDGE_LOOP('',(#221015,#221016,#221017,#221018)); #40590=EDGE_LOOP('',(#221019,#221020,#221021,#221022)); #40591=EDGE_LOOP('',(#221023,#221024,#221025,#221026)); #40592=EDGE_LOOP('',(#221027,#221028,#221029,#221030)); #40593=EDGE_LOOP('',(#221031,#221032,#221033,#221034)); #40594=EDGE_LOOP('',(#221035,#221036,#221037,#221038)); #40595=EDGE_LOOP('',(#221039,#221040,#221041,#221042)); #40596=EDGE_LOOP('',(#221043,#221044,#221045,#221046)); #40597=EDGE_LOOP('',(#221047,#221048,#221049,#221050)); #40598=EDGE_LOOP('',(#221051,#221052,#221053,#221054)); #40599=EDGE_LOOP('',(#221055,#221056,#221057,#221058)); #40600=EDGE_LOOP('',(#221059,#221060,#221061,#221062)); #40601=EDGE_LOOP('',(#221063,#221064,#221065,#221066)); #40602=EDGE_LOOP('',(#221067,#221068,#221069,#221070)); #40603=EDGE_LOOP('',(#221071,#221072,#221073,#221074)); #40604=EDGE_LOOP('',(#221075,#221076,#221077,#221078)); #40605=EDGE_LOOP('',(#221079,#221080,#221081,#221082)); #40606=EDGE_LOOP('',(#221083,#221084,#221085,#221086)); #40607=EDGE_LOOP('',(#221087,#221088,#221089,#221090)); #40608=EDGE_LOOP('',(#221091,#221092,#221093,#221094)); #40609=EDGE_LOOP('',(#221095,#221096,#221097,#221098)); #40610=EDGE_LOOP('',(#221099,#221100,#221101,#221102)); #40611=EDGE_LOOP('',(#221103,#221104,#221105,#221106)); #40612=EDGE_LOOP('',(#221107,#221108,#221109,#221110)); #40613=EDGE_LOOP('',(#221111,#221112,#221113,#221114)); #40614=EDGE_LOOP('',(#221115,#221116,#221117,#221118)); #40615=EDGE_LOOP('',(#221119,#221120,#221121,#221122)); #40616=EDGE_LOOP('',(#221123,#221124,#221125,#221126)); #40617=EDGE_LOOP('',(#221127,#221128,#221129,#221130)); #40618=EDGE_LOOP('',(#221131,#221132,#221133,#221134)); #40619=EDGE_LOOP('',(#221135,#221136,#221137,#221138)); #40620=EDGE_LOOP('',(#221139,#221140,#221141,#221142)); #40621=EDGE_LOOP('',(#221143,#221144,#221145,#221146)); #40622=EDGE_LOOP('',(#221147,#221148,#221149,#221150)); #40623=EDGE_LOOP('',(#221151,#221152,#221153,#221154)); #40624=EDGE_LOOP('',(#221155,#221156,#221157,#221158)); #40625=EDGE_LOOP('',(#221159,#221160,#221161,#221162)); #40626=EDGE_LOOP('',(#221163,#221164,#221165,#221166)); #40627=EDGE_LOOP('',(#221167,#221168,#221169,#221170)); #40628=EDGE_LOOP('',(#221171,#221172,#221173,#221174)); #40629=EDGE_LOOP('',(#221175,#221176,#221177,#221178)); #40630=EDGE_LOOP('',(#221179,#221180,#221181,#221182)); #40631=EDGE_LOOP('',(#221183,#221184,#221185,#221186)); #40632=EDGE_LOOP('',(#221187,#221188,#221189,#221190)); #40633=EDGE_LOOP('',(#221191,#221192,#221193,#221194)); #40634=EDGE_LOOP('',(#221195,#221196,#221197,#221198)); #40635=EDGE_LOOP('',(#221199,#221200,#221201,#221202)); #40636=EDGE_LOOP('',(#221203,#221204,#221205,#221206)); #40637=EDGE_LOOP('',(#221207,#221208,#221209,#221210)); #40638=EDGE_LOOP('',(#221211,#221212,#221213,#221214)); #40639=EDGE_LOOP('',(#221215,#221216,#221217)); #40640=EDGE_LOOP('',(#221218)); #40641=EDGE_LOOP('',(#221219,#221220,#221221)); #40642=EDGE_LOOP('',(#221222)); #40643=EDGE_LOOP('',(#221223,#221224,#221225,#221226)); #40644=EDGE_LOOP('',(#221227,#221228,#221229,#221230)); #40645=EDGE_LOOP('',(#221231,#221232,#221233,#221234)); #40646=EDGE_LOOP('',(#221235,#221236,#221237,#221238)); #40647=EDGE_LOOP('',(#221239,#221240,#221241,#221242)); #40648=EDGE_LOOP('',(#221243,#221244,#221245,#221246)); #40649=EDGE_LOOP('',(#221247,#221248,#221249,#221250)); #40650=EDGE_LOOP('',(#221251,#221252,#221253,#221254)); #40651=EDGE_LOOP('',(#221255,#221256,#221257,#221258)); #40652=EDGE_LOOP('',(#221259,#221260,#221261,#221262)); #40653=EDGE_LOOP('',(#221263,#221264,#221265,#221266,#221267,#221268,#221269, #221270)); #40654=EDGE_LOOP('',(#221271)); #40655=EDGE_LOOP('',(#221272)); #40656=EDGE_LOOP('',(#221273,#221274,#221275,#221276,#221277,#221278,#221279, #221280)); #40657=EDGE_LOOP('',(#221281)); #40658=EDGE_LOOP('',(#221282)); #40659=EDGE_LOOP('',(#221283,#221284,#221285,#221286)); #40660=EDGE_LOOP('',(#221287,#221288,#221289,#221290)); #40661=EDGE_LOOP('',(#221291,#221292,#221293,#221294)); #40662=EDGE_LOOP('',(#221295,#221296,#221297,#221298)); #40663=EDGE_LOOP('',(#221299,#221300,#221301)); #40664=EDGE_LOOP('',(#221302)); #40665=EDGE_LOOP('',(#221303,#221304,#221305)); #40666=EDGE_LOOP('',(#221306)); #40667=EDGE_LOOP('',(#221307,#221308,#221309,#221310)); #40668=EDGE_LOOP('',(#221311,#221312,#221313,#221314)); #40669=EDGE_LOOP('',(#221315,#221316,#221317,#221318)); #40670=EDGE_LOOP('',(#221319,#221320,#221321,#221322)); #40671=EDGE_LOOP('',(#221323,#221324,#221325)); #40672=EDGE_LOOP('',(#221326)); #40673=EDGE_LOOP('',(#221327,#221328,#221329)); #40674=EDGE_LOOP('',(#221330)); #40675=EDGE_LOOP('',(#221331,#221332,#221333,#221334)); #40676=EDGE_LOOP('',(#221335,#221336,#221337,#221338)); #40677=EDGE_LOOP('',(#221339,#221340,#221341,#221342)); #40678=EDGE_LOOP('',(#221343,#221344,#221345,#221346)); #40679=EDGE_LOOP('',(#221347,#221348,#221349,#221350)); #40680=EDGE_LOOP('',(#221351,#221352,#221353,#221354)); #40681=EDGE_LOOP('',(#221355,#221356,#221357,#221358)); #40682=EDGE_LOOP('',(#221359,#221360,#221361,#221362)); #40683=EDGE_LOOP('',(#221363,#221364,#221365,#221366)); #40684=EDGE_LOOP('',(#221367,#221368,#221369,#221370)); #40685=EDGE_LOOP('',(#221371,#221372,#221373,#221374)); #40686=EDGE_LOOP('',(#221375,#221376,#221377,#221378)); #40687=EDGE_LOOP('',(#221379,#221380,#221381,#221382)); #40688=EDGE_LOOP('',(#221383,#221384,#221385,#221386)); #40689=EDGE_LOOP('',(#221387,#221388,#221389,#221390,#221391,#221392,#221393, #221394,#221395,#221396,#221397,#221398,#221399)); #40690=EDGE_LOOP('',(#221400)); #40691=EDGE_LOOP('',(#221401,#221402,#221403,#221404,#221405,#221406,#221407, #221408,#221409,#221410,#221411,#221412,#221413)); #40692=EDGE_LOOP('',(#221414)); #40693=EDGE_LOOP('',(#221415,#221416,#221417,#221418)); #40694=EDGE_LOOP('',(#221419,#221420,#221421,#221422)); #40695=EDGE_LOOP('',(#221423,#221424,#221425,#221426)); #40696=EDGE_LOOP('',(#221427,#221428,#221429,#221430)); #40697=EDGE_LOOP('',(#221431,#221432,#221433,#221434)); #40698=EDGE_LOOP('',(#221435,#221436,#221437,#221438)); #40699=EDGE_LOOP('',(#221439,#221440,#221441,#221442)); #40700=EDGE_LOOP('',(#221443,#221444,#221445,#221446)); #40701=EDGE_LOOP('',(#221447,#221448,#221449,#221450)); #40702=EDGE_LOOP('',(#221451,#221452,#221453,#221454)); #40703=EDGE_LOOP('',(#221455,#221456,#221457,#221458)); #40704=EDGE_LOOP('',(#221459,#221460,#221461,#221462,#221463,#221464,#221465, #221466,#221467,#221468)); #40705=EDGE_LOOP('',(#221469)); #40706=EDGE_LOOP('',(#221470,#221471,#221472,#221473,#221474,#221475,#221476, #221477,#221478,#221479)); #40707=EDGE_LOOP('',(#221480)); #40708=EDGE_LOOP('',(#221481,#221482,#221483,#221484)); #40709=EDGE_LOOP('',(#221485,#221486,#221487,#221488)); #40710=EDGE_LOOP('',(#221489,#221490,#221491,#221492)); #40711=EDGE_LOOP('',(#221493,#221494,#221495,#221496)); #40712=EDGE_LOOP('',(#221497,#221498,#221499,#221500)); #40713=EDGE_LOOP('',(#221501,#221502,#221503,#221504)); #40714=EDGE_LOOP('',(#221505,#221506,#221507,#221508)); #40715=EDGE_LOOP('',(#221509,#221510,#221511,#221512)); #40716=EDGE_LOOP('',(#221513,#221514,#221515,#221516)); #40717=EDGE_LOOP('',(#221517,#221518,#221519,#221520)); #40718=EDGE_LOOP('',(#221521,#221522,#221523,#221524)); #40719=EDGE_LOOP('',(#221525,#221526,#221527,#221528)); #40720=EDGE_LOOP('',(#221529,#221530,#221531,#221532)); #40721=EDGE_LOOP('',(#221533,#221534,#221535,#221536)); #40722=EDGE_LOOP('',(#221537,#221538,#221539,#221540)); #40723=EDGE_LOOP('',(#221541,#221542,#221543,#221544)); #40724=EDGE_LOOP('',(#221545,#221546,#221547,#221548)); #40725=EDGE_LOOP('',(#221549,#221550,#221551,#221552)); #40726=EDGE_LOOP('',(#221553,#221554,#221555,#221556)); #40727=EDGE_LOOP('',(#221557,#221558,#221559,#221560)); #40728=EDGE_LOOP('',(#221561,#221562,#221563,#221564,#221565,#221566,#221567, #221568,#221569,#221570,#221571,#221572,#221573,#221574,#221575,#221576, #221577,#221578,#221579,#221580)); #40729=EDGE_LOOP('',(#221581,#221582,#221583,#221584,#221585,#221586,#221587, #221588,#221589,#221590,#221591,#221592,#221593,#221594,#221595,#221596, #221597,#221598,#221599,#221600)); #40730=EDGE_LOOP('',(#221601,#221602,#221603,#221604)); #40731=EDGE_LOOP('',(#221605,#221606,#221607,#221608)); #40732=EDGE_LOOP('',(#221609,#221610,#221611,#221612)); #40733=EDGE_LOOP('',(#221613,#221614,#221615,#221616)); #40734=EDGE_LOOP('',(#221617,#221618,#221619,#221620)); #40735=EDGE_LOOP('',(#221621,#221622,#221623,#221624)); #40736=EDGE_LOOP('',(#221625,#221626,#221627,#221628)); #40737=EDGE_LOOP('',(#221629,#221630,#221631,#221632)); #40738=EDGE_LOOP('',(#221633,#221634,#221635,#221636)); #40739=EDGE_LOOP('',(#221637,#221638,#221639,#221640)); #40740=EDGE_LOOP('',(#221641,#221642,#221643,#221644)); #40741=EDGE_LOOP('',(#221645,#221646,#221647,#221648)); #40742=EDGE_LOOP('',(#221649,#221650,#221651,#221652)); #40743=EDGE_LOOP('',(#221653,#221654,#221655,#221656)); #40744=EDGE_LOOP('',(#221657,#221658,#221659,#221660)); #40745=EDGE_LOOP('',(#221661,#221662,#221663,#221664)); #40746=EDGE_LOOP('',(#221665,#221666,#221667,#221668)); #40747=EDGE_LOOP('',(#221669,#221670,#221671,#221672)); #40748=EDGE_LOOP('',(#221673,#221674,#221675,#221676)); #40749=EDGE_LOOP('',(#221677,#221678,#221679,#221680)); #40750=EDGE_LOOP('',(#221681,#221682,#221683,#221684)); #40751=EDGE_LOOP('',(#221685,#221686,#221687,#221688)); #40752=EDGE_LOOP('',(#221689,#221690,#221691,#221692)); #40753=EDGE_LOOP('',(#221693,#221694,#221695,#221696)); #40754=EDGE_LOOP('',(#221697,#221698,#221699,#221700)); #40755=EDGE_LOOP('',(#221701,#221702,#221703,#221704)); #40756=EDGE_LOOP('',(#221705,#221706,#221707,#221708)); #40757=EDGE_LOOP('',(#221709,#221710,#221711,#221712)); #40758=EDGE_LOOP('',(#221713,#221714,#221715,#221716)); #40759=EDGE_LOOP('',(#221717,#221718,#221719,#221720)); #40760=EDGE_LOOP('',(#221721,#221722,#221723,#221724)); #40761=EDGE_LOOP('',(#221725,#221726,#221727,#221728)); #40762=EDGE_LOOP('',(#221729,#221730,#221731,#221732)); #40763=EDGE_LOOP('',(#221733,#221734,#221735,#221736)); #40764=EDGE_LOOP('',(#221737,#221738,#221739,#221740)); #40765=EDGE_LOOP('',(#221741,#221742,#221743,#221744)); #40766=EDGE_LOOP('',(#221745,#221746,#221747,#221748,#221749,#221750,#221751, #221752,#221753,#221754,#221755,#221756,#221757,#221758,#221759,#221760, #221761,#221762,#221763,#221764,#221765,#221766,#221767,#221768,#221769, #221770,#221771,#221772,#221773,#221774,#221775,#221776,#221777,#221778, #221779,#221780)); #40767=EDGE_LOOP('',(#221781,#221782,#221783,#221784,#221785,#221786,#221787, #221788,#221789,#221790,#221791,#221792,#221793,#221794,#221795,#221796, #221797,#221798,#221799,#221800,#221801,#221802,#221803,#221804,#221805, #221806,#221807,#221808,#221809,#221810,#221811,#221812,#221813,#221814, #221815,#221816)); #40768=EDGE_LOOP('',(#221817,#221818,#221819,#221820)); #40769=EDGE_LOOP('',(#221821,#221822,#221823,#221824)); #40770=EDGE_LOOP('',(#221825,#221826,#221827,#221828)); #40771=EDGE_LOOP('',(#221829,#221830,#221831,#221832)); #40772=EDGE_LOOP('',(#221833,#221834,#221835,#221836)); #40773=EDGE_LOOP('',(#221837,#221838,#221839,#221840)); #40774=EDGE_LOOP('',(#221841,#221842,#221843,#221844)); #40775=EDGE_LOOP('',(#221845,#221846,#221847,#221848)); #40776=EDGE_LOOP('',(#221849,#221850,#221851,#221852)); #40777=EDGE_LOOP('',(#221853,#221854,#221855,#221856)); #40778=EDGE_LOOP('',(#221857,#221858,#221859,#221860)); #40779=EDGE_LOOP('',(#221861,#221862,#221863,#221864)); #40780=EDGE_LOOP('',(#221865,#221866,#221867,#221868)); #40781=EDGE_LOOP('',(#221869,#221870,#221871,#221872)); #40782=EDGE_LOOP('',(#221873,#221874,#221875,#221876)); #40783=EDGE_LOOP('',(#221877,#221878,#221879,#221880)); #40784=EDGE_LOOP('',(#221881,#221882,#221883,#221884)); #40785=EDGE_LOOP('',(#221885,#221886,#221887,#221888)); #40786=EDGE_LOOP('',(#221889,#221890,#221891,#221892)); #40787=EDGE_LOOP('',(#221893,#221894,#221895,#221896)); #40788=EDGE_LOOP('',(#221897,#221898,#221899,#221900)); #40789=EDGE_LOOP('',(#221901,#221902,#221903,#221904)); #40790=EDGE_LOOP('',(#221905,#221906,#221907,#221908)); #40791=EDGE_LOOP('',(#221909,#221910,#221911,#221912)); #40792=EDGE_LOOP('',(#221913,#221914,#221915,#221916)); #40793=EDGE_LOOP('',(#221917,#221918,#221919,#221920)); #40794=EDGE_LOOP('',(#221921,#221922,#221923,#221924)); #40795=EDGE_LOOP('',(#221925,#221926,#221927,#221928)); #40796=EDGE_LOOP('',(#221929,#221930,#221931,#221932)); #40797=EDGE_LOOP('',(#221933,#221934,#221935,#221936)); #40798=EDGE_LOOP('',(#221937,#221938,#221939,#221940)); #40799=EDGE_LOOP('',(#221941,#221942,#221943,#221944)); #40800=EDGE_LOOP('',(#221945,#221946,#221947,#221948)); #40801=EDGE_LOOP('',(#221949,#221950,#221951,#221952)); #40802=EDGE_LOOP('',(#221953,#221954,#221955,#221956)); #40803=EDGE_LOOP('',(#221957,#221958,#221959,#221960)); #40804=EDGE_LOOP('',(#221961,#221962,#221963,#221964,#221965,#221966,#221967, #221968,#221969,#221970,#221971,#221972,#221973,#221974,#221975,#221976, #221977,#221978,#221979,#221980,#221981,#221982,#221983,#221984,#221985, #221986,#221987,#221988,#221989,#221990,#221991,#221992,#221993,#221994, #221995,#221996)); #40805=EDGE_LOOP('',(#221997,#221998,#221999,#222000,#222001,#222002,#222003, #222004,#222005,#222006,#222007,#222008,#222009,#222010,#222011,#222012, #222013,#222014,#222015,#222016,#222017,#222018,#222019,#222020,#222021, #222022,#222023,#222024,#222025,#222026,#222027,#222028,#222029,#222030, #222031,#222032)); #40806=EDGE_LOOP('',(#222033,#222034,#222035,#222036)); #40807=EDGE_LOOP('',(#222037,#222038,#222039,#222040)); #40808=EDGE_LOOP('',(#222041,#222042,#222043,#222044)); #40809=EDGE_LOOP('',(#222045,#222046,#222047,#222048)); #40810=EDGE_LOOP('',(#222049,#222050,#222051,#222052)); #40811=EDGE_LOOP('',(#222053,#222054,#222055,#222056)); #40812=EDGE_LOOP('',(#222057,#222058,#222059,#222060)); #40813=EDGE_LOOP('',(#222061,#222062,#222063,#222064)); #40814=EDGE_LOOP('',(#222065,#222066,#222067,#222068)); #40815=EDGE_LOOP('',(#222069,#222070,#222071,#222072)); #40816=EDGE_LOOP('',(#222073,#222074,#222075,#222076)); #40817=EDGE_LOOP('',(#222077,#222078,#222079,#222080)); #40818=EDGE_LOOP('',(#222081,#222082,#222083,#222084)); #40819=EDGE_LOOP('',(#222085,#222086,#222087,#222088)); #40820=EDGE_LOOP('',(#222089,#222090,#222091,#222092)); #40821=EDGE_LOOP('',(#222093,#222094,#222095,#222096)); #40822=EDGE_LOOP('',(#222097,#222098,#222099,#222100)); #40823=EDGE_LOOP('',(#222101,#222102,#222103,#222104)); #40824=EDGE_LOOP('',(#222105,#222106,#222107,#222108)); #40825=EDGE_LOOP('',(#222109,#222110,#222111,#222112)); #40826=EDGE_LOOP('',(#222113,#222114,#222115,#222116)); #40827=EDGE_LOOP('',(#222117,#222118,#222119,#222120)); #40828=EDGE_LOOP('',(#222121,#222122,#222123,#222124)); #40829=EDGE_LOOP('',(#222125,#222126,#222127,#222128,#222129,#222130,#222131, #222132,#222133,#222134,#222135,#222136,#222137,#222138,#222139,#222140, #222141,#222142,#222143,#222144,#222145,#222146)); #40830=EDGE_LOOP('',(#222147)); #40831=EDGE_LOOP('',(#222148,#222149,#222150,#222151,#222152,#222153,#222154, #222155,#222156,#222157,#222158,#222159,#222160,#222161,#222162,#222163, #222164,#222165,#222166,#222167,#222168,#222169)); #40832=EDGE_LOOP('',(#222170)); #40833=EDGE_LOOP('',(#222171,#222172,#222173,#222174)); #40834=EDGE_LOOP('',(#222175,#222176,#222177,#222178)); #40835=EDGE_LOOP('',(#222179,#222180,#222181,#222182)); #40836=EDGE_LOOP('',(#222183,#222184,#222185,#222186)); #40837=EDGE_LOOP('',(#222187,#222188,#222189,#222190)); #40838=EDGE_LOOP('',(#222191,#222192,#222193,#222194)); #40839=EDGE_LOOP('',(#222195,#222196,#222197,#222198)); #40840=EDGE_LOOP('',(#222199,#222200,#222201,#222202)); #40841=EDGE_LOOP('',(#222203,#222204,#222205,#222206)); #40842=EDGE_LOOP('',(#222207,#222208,#222209,#222210)); #40843=EDGE_LOOP('',(#222211,#222212,#222213,#222214)); #40844=EDGE_LOOP('',(#222215,#222216,#222217,#222218)); #40845=EDGE_LOOP('',(#222219,#222220,#222221,#222222)); #40846=EDGE_LOOP('',(#222223,#222224,#222225,#222226)); #40847=EDGE_LOOP('',(#222227,#222228,#222229,#222230)); #40848=EDGE_LOOP('',(#222231,#222232,#222233,#222234)); #40849=EDGE_LOOP('',(#222235,#222236,#222237,#222238)); #40850=EDGE_LOOP('',(#222239,#222240,#222241,#222242,#222243,#222244,#222245, #222246,#222247,#222248,#222249,#222250,#222251,#222252,#222253,#222254)); #40851=EDGE_LOOP('',(#222255)); #40852=EDGE_LOOP('',(#222256,#222257,#222258,#222259,#222260,#222261,#222262, #222263,#222264,#222265,#222266,#222267,#222268,#222269,#222270,#222271)); #40853=EDGE_LOOP('',(#222272)); #40854=EDGE_LOOP('',(#222273,#222274,#222275,#222276)); #40855=EDGE_LOOP('',(#222277,#222278,#222279,#222280)); #40856=EDGE_LOOP('',(#222281,#222282,#222283,#222284)); #40857=EDGE_LOOP('',(#222285,#222286,#222287,#222288)); #40858=EDGE_LOOP('',(#222289,#222290,#222291,#222292)); #40859=EDGE_LOOP('',(#222293,#222294,#222295,#222296)); #40860=EDGE_LOOP('',(#222297,#222298,#222299,#222300)); #40861=EDGE_LOOP('',(#222301,#222302,#222303,#222304)); #40862=EDGE_LOOP('',(#222305,#222306,#222307,#222308)); #40863=EDGE_LOOP('',(#222309,#222310,#222311,#222312)); #40864=EDGE_LOOP('',(#222313,#222314,#222315,#222316)); #40865=EDGE_LOOP('',(#222317,#222318,#222319,#222320)); #40866=EDGE_LOOP('',(#222321,#222322,#222323,#222324)); #40867=EDGE_LOOP('',(#222325,#222326,#222327,#222328,#222329,#222330,#222331, #222332,#222333,#222334,#222335,#222336)); #40868=EDGE_LOOP('',(#222337)); #40869=EDGE_LOOP('',(#222338,#222339,#222340,#222341,#222342,#222343,#222344, #222345,#222346,#222347,#222348,#222349)); #40870=EDGE_LOOP('',(#222350)); #40871=EDGE_LOOP('',(#222351,#222352,#222353,#222354)); #40872=EDGE_LOOP('',(#222355,#222356,#222357,#222358)); #40873=EDGE_LOOP('',(#222359,#222360,#222361,#222362)); #40874=EDGE_LOOP('',(#222363,#222364,#222365,#222366)); #40875=EDGE_LOOP('',(#222367,#222368,#222369,#222370)); #40876=EDGE_LOOP('',(#222371,#222372,#222373,#222374)); #40877=EDGE_LOOP('',(#222375,#222376,#222377,#222378)); #40878=EDGE_LOOP('',(#222379,#222380,#222381,#222382)); #40879=EDGE_LOOP('',(#222383,#222384,#222385,#222386)); #40880=EDGE_LOOP('',(#222387,#222388,#222389,#222390)); #40881=EDGE_LOOP('',(#222391,#222392,#222393,#222394)); #40882=EDGE_LOOP('',(#222395,#222396,#222397,#222398)); #40883=EDGE_LOOP('',(#222399,#222400,#222401,#222402)); #40884=EDGE_LOOP('',(#222403,#222404,#222405,#222406)); #40885=EDGE_LOOP('',(#222407,#222408,#222409,#222410)); #40886=EDGE_LOOP('',(#222411,#222412,#222413,#222414,#222415,#222416,#222417, #222418,#222419,#222420,#222421,#222422,#222423,#222424)); #40887=EDGE_LOOP('',(#222425)); #40888=EDGE_LOOP('',(#222426,#222427,#222428,#222429,#222430,#222431,#222432, #222433,#222434,#222435,#222436,#222437,#222438,#222439)); #40889=EDGE_LOOP('',(#222440)); #40890=EDGE_LOOP('',(#222441,#222442,#222443,#222444)); #40891=EDGE_LOOP('',(#222445,#222446,#222447,#222448)); #40892=EDGE_LOOP('',(#222449,#222450,#222451,#222452)); #40893=EDGE_LOOP('',(#222453,#222454,#222455,#222456)); #40894=EDGE_LOOP('',(#222457,#222458,#222459,#222460)); #40895=EDGE_LOOP('',(#222461,#222462,#222463,#222464)); #40896=EDGE_LOOP('',(#222465,#222466,#222467,#222468)); #40897=EDGE_LOOP('',(#222469,#222470,#222471,#222472)); #40898=EDGE_LOOP('',(#222473,#222474,#222475,#222476)); #40899=EDGE_LOOP('',(#222477,#222478,#222479,#222480)); #40900=EDGE_LOOP('',(#222481,#222482,#222483,#222484,#222485,#222486,#222487, #222488)); #40901=EDGE_LOOP('',(#222489)); #40902=EDGE_LOOP('',(#222490)); #40903=EDGE_LOOP('',(#222491,#222492,#222493,#222494,#222495,#222496,#222497, #222498)); #40904=EDGE_LOOP('',(#222499)); #40905=EDGE_LOOP('',(#222500)); #40906=EDGE_LOOP('',(#222501,#222502,#222503,#222504)); #40907=EDGE_LOOP('',(#222505,#222506,#222507,#222508)); #40908=EDGE_LOOP('',(#222509,#222510,#222511,#222512)); #40909=EDGE_LOOP('',(#222513,#222514,#222515,#222516)); #40910=EDGE_LOOP('',(#222517,#222518,#222519,#222520)); #40911=EDGE_LOOP('',(#222521,#222522,#222523,#222524)); #40912=EDGE_LOOP('',(#222525,#222526,#222527,#222528)); #40913=EDGE_LOOP('',(#222529,#222530,#222531,#222532)); #40914=EDGE_LOOP('',(#222533,#222534,#222535,#222536)); #40915=EDGE_LOOP('',(#222537,#222538,#222539,#222540)); #40916=EDGE_LOOP('',(#222541,#222542,#222543,#222544)); #40917=EDGE_LOOP('',(#222545,#222546,#222547,#222548)); #40918=EDGE_LOOP('',(#222549,#222550,#222551,#222552)); #40919=EDGE_LOOP('',(#222553,#222554,#222555,#222556)); #40920=EDGE_LOOP('',(#222557,#222558,#222559,#222560)); #40921=EDGE_LOOP('',(#222561,#222562,#222563,#222564)); #40922=EDGE_LOOP('',(#222565,#222566,#222567,#222568)); #40923=EDGE_LOOP('',(#222569,#222570,#222571,#222572,#222573,#222574,#222575, #222576,#222577,#222578,#222579,#222580,#222581,#222582,#222583,#222584)); #40924=EDGE_LOOP('',(#222585)); #40925=EDGE_LOOP('',(#222586,#222587,#222588,#222589,#222590,#222591,#222592, #222593,#222594,#222595,#222596,#222597,#222598,#222599,#222600,#222601)); #40926=EDGE_LOOP('',(#222602)); #40927=EDGE_LOOP('',(#222603,#222604,#222605,#222606)); #40928=EDGE_LOOP('',(#222607,#222608,#222609,#222610)); #40929=EDGE_LOOP('',(#222611,#222612,#222613,#222614)); #40930=EDGE_LOOP('',(#222615,#222616,#222617,#222618)); #40931=EDGE_LOOP('',(#222619,#222620,#222621,#222622)); #40932=EDGE_LOOP('',(#222623,#222624,#222625,#222626)); #40933=EDGE_LOOP('',(#222627,#222628,#222629,#222630)); #40934=EDGE_LOOP('',(#222631,#222632,#222633,#222634)); #40935=EDGE_LOOP('',(#222635,#222636,#222637,#222638)); #40936=EDGE_LOOP('',(#222639,#222640,#222641,#222642)); #40937=EDGE_LOOP('',(#222643,#222644,#222645,#222646)); #40938=EDGE_LOOP('',(#222647,#222648,#222649,#222650)); #40939=EDGE_LOOP('',(#222651,#222652,#222653,#222654)); #40940=EDGE_LOOP('',(#222655,#222656,#222657,#222658)); #40941=EDGE_LOOP('',(#222659,#222660,#222661,#222662)); #40942=EDGE_LOOP('',(#222663,#222664,#222665,#222666)); #40943=EDGE_LOOP('',(#222667,#222668,#222669,#222670)); #40944=EDGE_LOOP('',(#222671,#222672,#222673,#222674)); #40945=EDGE_LOOP('',(#222675,#222676,#222677,#222678)); #40946=EDGE_LOOP('',(#222679,#222680,#222681,#222682)); #40947=EDGE_LOOP('',(#222683,#222684,#222685,#222686)); #40948=EDGE_LOOP('',(#222687,#222688,#222689,#222690)); #40949=EDGE_LOOP('',(#222691,#222692,#222693,#222694)); #40950=EDGE_LOOP('',(#222695,#222696,#222697,#222698,#222699,#222700,#222701, #222702,#222703,#222704,#222705,#222706,#222707,#222708,#222709,#222710, #222711,#222712,#222713,#222714,#222715,#222716)); #40951=EDGE_LOOP('',(#222717)); #40952=EDGE_LOOP('',(#222718,#222719,#222720,#222721,#222722,#222723,#222724, #222725,#222726,#222727,#222728,#222729,#222730,#222731,#222732,#222733, #222734,#222735,#222736,#222737,#222738,#222739)); #40953=EDGE_LOOP('',(#222740)); #40954=EDGE_LOOP('',(#222741,#222742,#222743,#222744)); #40955=EDGE_LOOP('',(#222745,#222746,#222747,#222748)); #40956=EDGE_LOOP('',(#222749,#222750,#222751,#222752)); #40957=EDGE_LOOP('',(#222753,#222754,#222755,#222756)); #40958=EDGE_LOOP('',(#222757,#222758,#222759,#222760)); #40959=EDGE_LOOP('',(#222761,#222762,#222763,#222764)); #40960=EDGE_LOOP('',(#222765,#222766,#222767,#222768)); #40961=EDGE_LOOP('',(#222769,#222770,#222771,#222772)); #40962=EDGE_LOOP('',(#222773,#222774,#222775,#222776)); #40963=EDGE_LOOP('',(#222777,#222778,#222779,#222780)); #40964=EDGE_LOOP('',(#222781,#222782,#222783,#222784)); #40965=EDGE_LOOP('',(#222785,#222786,#222787,#222788)); #40966=EDGE_LOOP('',(#222789,#222790,#222791,#222792)); #40967=EDGE_LOOP('',(#222793,#222794,#222795,#222796)); #40968=EDGE_LOOP('',(#222797,#222798,#222799,#222800)); #40969=EDGE_LOOP('',(#222801,#222802,#222803,#222804)); #40970=EDGE_LOOP('',(#222805,#222806,#222807,#222808)); #40971=EDGE_LOOP('',(#222809,#222810,#222811,#222812)); #40972=EDGE_LOOP('',(#222813,#222814,#222815,#222816)); #40973=EDGE_LOOP('',(#222817,#222818,#222819,#222820)); #40974=EDGE_LOOP('',(#222821,#222822,#222823,#222824)); #40975=EDGE_LOOP('',(#222825,#222826,#222827,#222828)); #40976=EDGE_LOOP('',(#222829,#222830,#222831,#222832)); #40977=EDGE_LOOP('',(#222833,#222834,#222835,#222836)); #40978=EDGE_LOOP('',(#222837,#222838,#222839,#222840)); #40979=EDGE_LOOP('',(#222841,#222842,#222843,#222844)); #40980=EDGE_LOOP('',(#222845,#222846,#222847,#222848,#222849,#222850,#222851, #222852,#222853,#222854,#222855,#222856,#222857,#222858,#222859,#222860, #222861,#222862,#222863,#222864,#222865,#222866,#222867,#222868,#222869, #222870)); #40981=EDGE_LOOP('',(#222871,#222872,#222873,#222874,#222875,#222876,#222877, #222878,#222879,#222880,#222881,#222882,#222883,#222884,#222885,#222886, #222887,#222888,#222889,#222890,#222891,#222892,#222893,#222894,#222895, #222896)); #40982=EDGE_LOOP('',(#222897,#222898,#222899,#222900)); #40983=EDGE_LOOP('',(#222901,#222902,#222903,#222904)); #40984=EDGE_LOOP('',(#222905,#222906,#222907,#222908)); #40985=EDGE_LOOP('',(#222909,#222910,#222911,#222912)); #40986=EDGE_LOOP('',(#222913,#222914,#222915,#222916)); #40987=EDGE_LOOP('',(#222917,#222918,#222919,#222920)); #40988=EDGE_LOOP('',(#222921,#222922,#222923,#222924)); #40989=EDGE_LOOP('',(#222925,#222926,#222927,#222928)); #40990=EDGE_LOOP('',(#222929,#222930,#222931,#222932)); #40991=EDGE_LOOP('',(#222933,#222934,#222935,#222936)); #40992=EDGE_LOOP('',(#222937,#222938,#222939,#222940)); #40993=EDGE_LOOP('',(#222941,#222942,#222943,#222944)); #40994=EDGE_LOOP('',(#222945,#222946,#222947,#222948)); #40995=EDGE_LOOP('',(#222949,#222950,#222951,#222952)); #40996=EDGE_LOOP('',(#222953,#222954,#222955,#222956)); #40997=EDGE_LOOP('',(#222957,#222958,#222959,#222960)); #40998=EDGE_LOOP('',(#222961,#222962,#222963,#222964)); #40999=EDGE_LOOP('',(#222965,#222966,#222967,#222968)); #41000=EDGE_LOOP('',(#222969,#222970,#222971,#222972)); #41001=EDGE_LOOP('',(#222973,#222974,#222975,#222976)); #41002=EDGE_LOOP('',(#222977,#222978,#222979,#222980)); #41003=EDGE_LOOP('',(#222981,#222982,#222983,#222984)); #41004=EDGE_LOOP('',(#222985,#222986,#222987,#222988)); #41005=EDGE_LOOP('',(#222989,#222990,#222991,#222992)); #41006=EDGE_LOOP('',(#222993,#222994,#222995,#222996)); #41007=EDGE_LOOP('',(#222997,#222998,#222999,#223000,#223001,#223002,#223003, #223004,#223005,#223006,#223007,#223008,#223009,#223010,#223011,#223012, #223013,#223014,#223015,#223016,#223017,#223018,#223019,#223020)); #41008=EDGE_LOOP('',(#223021)); #41009=EDGE_LOOP('',(#223022,#223023,#223024,#223025,#223026,#223027,#223028, #223029,#223030,#223031,#223032,#223033,#223034,#223035,#223036,#223037, #223038,#223039,#223040,#223041,#223042,#223043,#223044,#223045)); #41010=EDGE_LOOP('',(#223046)); #41011=EDGE_LOOP('',(#223047,#223048,#223049,#223050)); #41012=EDGE_LOOP('',(#223051,#223052,#223053,#223054)); #41013=EDGE_LOOP('',(#223055,#223056,#223057,#223058)); #41014=EDGE_LOOP('',(#223059,#223060,#223061,#223062)); #41015=EDGE_LOOP('',(#223063,#223064,#223065,#223066)); #41016=EDGE_LOOP('',(#223067,#223068,#223069,#223070)); #41017=EDGE_LOOP('',(#223071,#223072,#223073,#223074)); #41018=EDGE_LOOP('',(#223075,#223076,#223077,#223078)); #41019=EDGE_LOOP('',(#223079,#223080,#223081,#223082)); #41020=EDGE_LOOP('',(#223083,#223084,#223085,#223086)); #41021=EDGE_LOOP('',(#223087,#223088,#223089,#223090)); #41022=EDGE_LOOP('',(#223091,#223092,#223093,#223094)); #41023=EDGE_LOOP('',(#223095,#223096,#223097,#223098,#223099,#223100,#223101, #223102,#223103,#223104,#223105)); #41024=EDGE_LOOP('',(#223106)); #41025=EDGE_LOOP('',(#223107,#223108,#223109,#223110,#223111,#223112,#223113, #223114,#223115,#223116,#223117)); #41026=EDGE_LOOP('',(#223118)); #41027=EDGE_LOOP('',(#223119,#223120,#223121,#223122)); #41028=EDGE_LOOP('',(#223123,#223124,#223125,#223126)); #41029=EDGE_LOOP('',(#223127,#223128,#223129,#223130)); #41030=EDGE_LOOP('',(#223131,#223132,#223133,#223134)); #41031=EDGE_LOOP('',(#223135,#223136,#223137,#223138)); #41032=EDGE_LOOP('',(#223139,#223140,#223141,#223142)); #41033=EDGE_LOOP('',(#223143,#223144,#223145,#223146)); #41034=EDGE_LOOP('',(#223147,#223148,#223149,#223150)); #41035=EDGE_LOOP('',(#223151,#223152,#223153,#223154)); #41036=EDGE_LOOP('',(#223155,#223156,#223157,#223158)); #41037=EDGE_LOOP('',(#223159,#223160,#223161,#223162)); #41038=EDGE_LOOP('',(#223163,#223164,#223165,#223166)); #41039=EDGE_LOOP('',(#223167,#223168,#223169,#223170)); #41040=EDGE_LOOP('',(#223171,#223172,#223173,#223174)); #41041=EDGE_LOOP('',(#223175,#223176,#223177,#223178)); #41042=EDGE_LOOP('',(#223179,#223180,#223181,#223182)); #41043=EDGE_LOOP('',(#223183,#223184,#223185,#223186)); #41044=EDGE_LOOP('',(#223187,#223188,#223189,#223190)); #41045=EDGE_LOOP('',(#223191,#223192,#223193,#223194)); #41046=EDGE_LOOP('',(#223195,#223196,#223197,#223198)); #41047=EDGE_LOOP('',(#223199,#223200,#223201,#223202)); #41048=EDGE_LOOP('',(#223203,#223204,#223205,#223206)); #41049=EDGE_LOOP('',(#223207,#223208,#223209,#223210)); #41050=EDGE_LOOP('',(#223211,#223212,#223213,#223214)); #41051=EDGE_LOOP('',(#223215,#223216,#223217,#223218)); #41052=EDGE_LOOP('',(#223219,#223220,#223221,#223222)); #41053=EDGE_LOOP('',(#223223,#223224,#223225,#223226)); #41054=EDGE_LOOP('',(#223227,#223228,#223229,#223230)); #41055=EDGE_LOOP('',(#223231,#223232,#223233,#223234)); #41056=EDGE_LOOP('',(#223235,#223236,#223237,#223238)); #41057=EDGE_LOOP('',(#223239,#223240,#223241,#223242)); #41058=EDGE_LOOP('',(#223243,#223244,#223245,#223246)); #41059=EDGE_LOOP('',(#223247,#223248,#223249,#223250)); #41060=EDGE_LOOP('',(#223251,#223252,#223253,#223254)); #41061=EDGE_LOOP('',(#223255,#223256,#223257,#223258)); #41062=EDGE_LOOP('',(#223259,#223260,#223261,#223262)); #41063=EDGE_LOOP('',(#223263,#223264,#223265,#223266)); #41064=EDGE_LOOP('',(#223267,#223268,#223269,#223270)); #41065=EDGE_LOOP('',(#223271,#223272,#223273,#223274,#223275,#223276,#223277, #223278,#223279,#223280,#223281,#223282,#223283,#223284,#223285,#223286, #223287,#223288,#223289,#223290,#223291,#223292,#223293,#223294,#223295, #223296,#223297,#223298,#223299,#223300,#223301,#223302,#223303,#223304, #223305,#223306,#223307,#223308)); #41066=EDGE_LOOP('',(#223309,#223310,#223311,#223312,#223313,#223314,#223315, #223316,#223317,#223318,#223319,#223320,#223321,#223322,#223323,#223324, #223325,#223326,#223327,#223328,#223329,#223330,#223331,#223332,#223333, #223334,#223335,#223336,#223337,#223338,#223339,#223340,#223341,#223342, #223343,#223344,#223345,#223346)); #41067=EDGE_LOOP('',(#223347,#223348,#223349,#223350)); #41068=EDGE_LOOP('',(#223351,#223352,#223353,#223354)); #41069=EDGE_LOOP('',(#223355,#223356,#223357,#223358)); #41070=EDGE_LOOP('',(#223359,#223360,#223361,#223362)); #41071=EDGE_LOOP('',(#223363,#223364,#223365,#223366)); #41072=EDGE_LOOP('',(#223367,#223368,#223369,#223370)); #41073=EDGE_LOOP('',(#223371,#223372,#223373,#223374)); #41074=EDGE_LOOP('',(#223375,#223376,#223377,#223378)); #41075=EDGE_LOOP('',(#223379,#223380,#223381,#223382)); #41076=EDGE_LOOP('',(#223383,#223384,#223385,#223386)); #41077=EDGE_LOOP('',(#223387,#223388,#223389,#223390)); #41078=EDGE_LOOP('',(#223391,#223392,#223393,#223394)); #41079=EDGE_LOOP('',(#223395,#223396,#223397,#223398)); #41080=EDGE_LOOP('',(#223399,#223400,#223401,#223402)); #41081=EDGE_LOOP('',(#223403,#223404,#223405,#223406)); #41082=EDGE_LOOP('',(#223407,#223408,#223409,#223410)); #41083=EDGE_LOOP('',(#223411,#223412,#223413,#223414)); #41084=EDGE_LOOP('',(#223415,#223416,#223417,#223418)); #41085=EDGE_LOOP('',(#223419,#223420,#223421,#223422)); #41086=EDGE_LOOP('',(#223423,#223424,#223425,#223426)); #41087=EDGE_LOOP('',(#223427,#223428,#223429,#223430)); #41088=EDGE_LOOP('',(#223431,#223432,#223433,#223434)); #41089=EDGE_LOOP('',(#223435,#223436,#223437,#223438)); #41090=EDGE_LOOP('',(#223439,#223440,#223441,#223442)); #41091=EDGE_LOOP('',(#223443,#223444,#223445,#223446)); #41092=EDGE_LOOP('',(#223447,#223448,#223449,#223450)); #41093=EDGE_LOOP('',(#223451,#223452,#223453,#223454)); #41094=EDGE_LOOP('',(#223455,#223456,#223457,#223458)); #41095=EDGE_LOOP('',(#223459,#223460,#223461,#223462)); #41096=EDGE_LOOP('',(#223463,#223464,#223465,#223466)); #41097=EDGE_LOOP('',(#223467,#223468,#223469,#223470)); #41098=EDGE_LOOP('',(#223471,#223472,#223473,#223474)); #41099=EDGE_LOOP('',(#223475,#223476,#223477,#223478)); #41100=EDGE_LOOP('',(#223479,#223480,#223481,#223482)); #41101=EDGE_LOOP('',(#223483,#223484,#223485,#223486)); #41102=EDGE_LOOP('',(#223487,#223488,#223489,#223490)); #41103=EDGE_LOOP('',(#223491,#223492,#223493,#223494)); #41104=EDGE_LOOP('',(#223495,#223496,#223497,#223498)); #41105=EDGE_LOOP('',(#223499,#223500,#223501,#223502,#223503,#223504,#223505, #223506,#223507,#223508,#223509,#223510,#223511,#223512,#223513,#223514, #223515,#223516,#223517,#223518,#223519,#223520,#223521,#223522,#223523, #223524,#223525,#223526,#223527,#223528,#223529,#223530,#223531,#223532, #223533,#223534,#223535,#223536)); #41106=EDGE_LOOP('',(#223537,#223538,#223539,#223540,#223541,#223542,#223543, #223544,#223545,#223546,#223547,#223548,#223549,#223550,#223551,#223552, #223553,#223554,#223555,#223556,#223557,#223558,#223559,#223560,#223561, #223562,#223563,#223564,#223565,#223566,#223567,#223568,#223569,#223570, #223571,#223572,#223573,#223574)); #41107=EDGE_LOOP('',(#223575,#223576,#223577,#223578)); #41108=EDGE_LOOP('',(#223579,#223580,#223581,#223582)); #41109=EDGE_LOOP('',(#223583,#223584,#223585,#223586)); #41110=EDGE_LOOP('',(#223587,#223588,#223589,#223590)); #41111=EDGE_LOOP('',(#223591,#223592,#223593,#223594)); #41112=EDGE_LOOP('',(#223595,#223596,#223597,#223598)); #41113=EDGE_LOOP('',(#223599,#223600,#223601,#223602)); #41114=EDGE_LOOP('',(#223603,#223604,#223605,#223606)); #41115=EDGE_LOOP('',(#223607,#223608,#223609,#223610)); #41116=EDGE_LOOP('',(#223611,#223612,#223613,#223614)); #41117=EDGE_LOOP('',(#223615,#223616,#223617,#223618)); #41118=EDGE_LOOP('',(#223619,#223620,#223621,#223622)); #41119=EDGE_LOOP('',(#223623,#223624,#223625,#223626)); #41120=EDGE_LOOP('',(#223627,#223628,#223629,#223630)); #41121=EDGE_LOOP('',(#223631,#223632,#223633,#223634)); #41122=EDGE_LOOP('',(#223635,#223636,#223637,#223638)); #41123=EDGE_LOOP('',(#223639,#223640,#223641,#223642)); #41124=EDGE_LOOP('',(#223643,#223644,#223645,#223646)); #41125=EDGE_LOOP('',(#223647,#223648,#223649,#223650)); #41126=EDGE_LOOP('',(#223651,#223652,#223653,#223654)); #41127=EDGE_LOOP('',(#223655,#223656,#223657,#223658,#223659,#223660,#223661, #223662,#223663,#223664,#223665,#223666,#223667,#223668,#223669,#223670, #223671,#223672,#223673,#223674)); #41128=EDGE_LOOP('',(#223675,#223676,#223677,#223678,#223679,#223680,#223681, #223682,#223683,#223684,#223685,#223686,#223687,#223688,#223689,#223690, #223691,#223692,#223693,#223694)); #41129=EDGE_LOOP('',(#223695,#223696,#223697,#223698)); #41130=EDGE_LOOP('',(#223699,#223700,#223701,#223702)); #41131=EDGE_LOOP('',(#223703,#223704,#223705,#223706)); #41132=EDGE_LOOP('',(#223707,#223708,#223709,#223710)); #41133=EDGE_LOOP('',(#223711,#223712,#223713,#223714)); #41134=EDGE_LOOP('',(#223715,#223716,#223717,#223718)); #41135=EDGE_LOOP('',(#223719,#223720,#223721,#223722)); #41136=EDGE_LOOP('',(#223723,#223724,#223725,#223726)); #41137=EDGE_LOOP('',(#223727,#223728,#223729,#223730)); #41138=EDGE_LOOP('',(#223731,#223732,#223733,#223734)); #41139=EDGE_LOOP('',(#223735,#223736,#223737,#223738)); #41140=EDGE_LOOP('',(#223739,#223740,#223741,#223742)); #41141=EDGE_LOOP('',(#223743,#223744,#223745,#223746)); #41142=EDGE_LOOP('',(#223747,#223748,#223749,#223750)); #41143=EDGE_LOOP('',(#223751,#223752,#223753,#223754)); #41144=EDGE_LOOP('',(#223755,#223756,#223757,#223758)); #41145=EDGE_LOOP('',(#223759,#223760,#223761,#223762)); #41146=EDGE_LOOP('',(#223763,#223764,#223765,#223766)); #41147=EDGE_LOOP('',(#223767,#223768,#223769,#223770)); #41148=EDGE_LOOP('',(#223771,#223772,#223773,#223774)); #41149=EDGE_LOOP('',(#223775,#223776,#223777,#223778)); #41150=EDGE_LOOP('',(#223779,#223780,#223781,#223782)); #41151=EDGE_LOOP('',(#223783,#223784,#223785,#223786,#223787,#223788,#223789, #223790,#223791,#223792,#223793,#223794,#223795,#223796,#223797,#223798, #223799,#223800,#223801,#223802,#223803)); #41152=EDGE_LOOP('',(#223804)); #41153=EDGE_LOOP('',(#223805,#223806,#223807,#223808,#223809,#223810,#223811, #223812,#223813,#223814,#223815,#223816,#223817,#223818,#223819,#223820, #223821,#223822,#223823,#223824,#223825)); #41154=EDGE_LOOP('',(#223826)); #41155=EDGE_LOOP('',(#223827,#223828,#223829,#223830)); #41156=EDGE_LOOP('',(#223831,#223832,#223833,#223834)); #41157=EDGE_LOOP('',(#223835,#223836,#223837,#223838)); #41158=EDGE_LOOP('',(#223839,#223840,#223841,#223842)); #41159=EDGE_LOOP('',(#223843,#223844,#223845,#223846)); #41160=EDGE_LOOP('',(#223847,#223848,#223849,#223850)); #41161=EDGE_LOOP('',(#223851,#223852,#223853,#223854)); #41162=EDGE_LOOP('',(#223855,#223856,#223857,#223858)); #41163=EDGE_LOOP('',(#223859,#223860,#223861,#223862)); #41164=EDGE_LOOP('',(#223863,#223864,#223865,#223866)); #41165=EDGE_LOOP('',(#223867,#223868,#223869,#223870)); #41166=EDGE_LOOP('',(#223871,#223872,#223873,#223874)); #41167=EDGE_LOOP('',(#223875,#223876,#223877,#223878)); #41168=EDGE_LOOP('',(#223879,#223880,#223881,#223882)); #41169=EDGE_LOOP('',(#223883,#223884,#223885,#223886)); #41170=EDGE_LOOP('',(#223887,#223888,#223889,#223890)); #41171=EDGE_LOOP('',(#223891,#223892,#223893,#223894)); #41172=EDGE_LOOP('',(#223895,#223896,#223897,#223898,#223899,#223900,#223901, #223902,#223903,#223904,#223905,#223906,#223907,#223908,#223909,#223910)); #41173=EDGE_LOOP('',(#223911)); #41174=EDGE_LOOP('',(#223912,#223913,#223914,#223915,#223916,#223917,#223918, #223919,#223920,#223921,#223922,#223923,#223924,#223925,#223926,#223927)); #41175=EDGE_LOOP('',(#223928)); #41176=EDGE_LOOP('',(#223929,#223930,#223931,#223932)); #41177=EDGE_LOOP('',(#223933,#223934,#223935,#223936)); #41178=EDGE_LOOP('',(#223937,#223938,#223939,#223940)); #41179=EDGE_LOOP('',(#223941,#223942,#223943,#223944)); #41180=EDGE_LOOP('',(#223945,#223946,#223947,#223948)); #41181=EDGE_LOOP('',(#223949,#223950,#223951,#223952)); #41182=EDGE_LOOP('',(#223953,#223954,#223955,#223956)); #41183=EDGE_LOOP('',(#223957,#223958,#223959,#223960)); #41184=EDGE_LOOP('',(#223961,#223962,#223963,#223964)); #41185=EDGE_LOOP('',(#223965,#223966,#223967,#223968)); #41186=EDGE_LOOP('',(#223969,#223970,#223971,#223972)); #41187=EDGE_LOOP('',(#223973,#223974,#223975,#223976)); #41188=EDGE_LOOP('',(#223977,#223978,#223979,#223980)); #41189=EDGE_LOOP('',(#223981,#223982,#223983,#223984)); #41190=EDGE_LOOP('',(#223985,#223986,#223987,#223988,#223989,#223990,#223991, #223992,#223993,#223994,#223995,#223996,#223997)); #41191=EDGE_LOOP('',(#223998)); #41192=EDGE_LOOP('',(#223999,#224000,#224001,#224002,#224003,#224004,#224005, #224006,#224007,#224008,#224009,#224010,#224011)); #41193=EDGE_LOOP('',(#224012)); #41194=EDGE_LOOP('',(#224013,#224014,#224015,#224016)); #41195=EDGE_LOOP('',(#224017,#224018,#224019,#224020)); #41196=EDGE_LOOP('',(#224021,#224022,#224023,#224024)); #41197=EDGE_LOOP('',(#224025,#224026,#224027,#224028)); #41198=EDGE_LOOP('',(#224029,#224030,#224031,#224032)); #41199=EDGE_LOOP('',(#224033,#224034,#224035,#224036)); #41200=EDGE_LOOP('',(#224037,#224038,#224039,#224040)); #41201=EDGE_LOOP('',(#224041,#224042,#224043,#224044)); #41202=EDGE_LOOP('',(#224045,#224046,#224047,#224048)); #41203=EDGE_LOOP('',(#224049,#224050,#224051,#224052)); #41204=EDGE_LOOP('',(#224053,#224054,#224055,#224056)); #41205=EDGE_LOOP('',(#224057,#224058,#224059,#224060)); #41206=EDGE_LOOP('',(#224061,#224062,#224063,#224064)); #41207=EDGE_LOOP('',(#224065,#224066,#224067,#224068)); #41208=EDGE_LOOP('',(#224069,#224070,#224071,#224072)); #41209=EDGE_LOOP('',(#224073,#224074,#224075,#224076)); #41210=EDGE_LOOP('',(#224077,#224078,#224079,#224080)); #41211=EDGE_LOOP('',(#224081,#224082,#224083,#224084)); #41212=EDGE_LOOP('',(#224085,#224086,#224087,#224088)); #41213=EDGE_LOOP('',(#224089,#224090,#224091,#224092,#224093,#224094,#224095, #224096,#224097,#224098,#224099,#224100,#224101,#224102,#224103,#224104, #224105,#224106)); #41214=EDGE_LOOP('',(#224107)); #41215=EDGE_LOOP('',(#224108,#224109,#224110,#224111,#224112,#224113,#224114, #224115,#224116,#224117,#224118,#224119,#224120,#224121,#224122,#224123, #224124,#224125)); #41216=EDGE_LOOP('',(#224126)); #41217=EDGE_LOOP('',(#224127,#224128,#224129,#224130)); #41218=EDGE_LOOP('',(#224131,#224132,#224133,#224134)); #41219=EDGE_LOOP('',(#224135,#224136,#224137,#224138)); #41220=EDGE_LOOP('',(#224139,#224140,#224141,#224142)); #41221=EDGE_LOOP('',(#224143,#224144,#224145,#224146)); #41222=EDGE_LOOP('',(#224147,#224148,#224149,#224150)); #41223=EDGE_LOOP('',(#224151,#224152,#224153,#224154)); #41224=EDGE_LOOP('',(#224155,#224156,#224157,#224158)); #41225=EDGE_LOOP('',(#224159,#224160,#224161,#224162)); #41226=EDGE_LOOP('',(#224163,#224164,#224165,#224166)); #41227=EDGE_LOOP('',(#224167,#224168,#224169,#224170)); #41228=EDGE_LOOP('',(#224171,#224172,#224173,#224174)); #41229=EDGE_LOOP('',(#224175,#224176,#224177,#224178)); #41230=EDGE_LOOP('',(#224179,#224180,#224181,#224182)); #41231=EDGE_LOOP('',(#224183,#224184,#224185,#224186,#224187,#224188,#224189, #224190,#224191,#224192,#224193,#224194,#224195)); #41232=EDGE_LOOP('',(#224196)); #41233=EDGE_LOOP('',(#224197,#224198,#224199,#224200,#224201,#224202,#224203, #224204,#224205,#224206,#224207,#224208,#224209)); #41234=EDGE_LOOP('',(#224210)); #41235=EDGE_LOOP('',(#224211,#224212,#224213,#224214)); #41236=EDGE_LOOP('',(#224215,#224216,#224217,#224218)); #41237=EDGE_LOOP('',(#224219,#224220,#224221,#224222)); #41238=EDGE_LOOP('',(#224223,#224224,#224225,#224226)); #41239=EDGE_LOOP('',(#224227,#224228,#224229,#224230)); #41240=EDGE_LOOP('',(#224231,#224232,#224233,#224234)); #41241=EDGE_LOOP('',(#224235,#224236,#224237,#224238)); #41242=EDGE_LOOP('',(#224239,#224240,#224241,#224242)); #41243=EDGE_LOOP('',(#224243,#224244,#224245,#224246)); #41244=EDGE_LOOP('',(#224247,#224248,#224249,#224250)); #41245=EDGE_LOOP('',(#224251,#224252,#224253,#224254)); #41246=EDGE_LOOP('',(#224255,#224256,#224257,#224258)); #41247=EDGE_LOOP('',(#224259,#224260,#224261,#224262)); #41248=EDGE_LOOP('',(#224263,#224264,#224265,#224266)); #41249=EDGE_LOOP('',(#224267,#224268,#224269,#224270)); #41250=EDGE_LOOP('',(#224271,#224272,#224273,#224274)); #41251=EDGE_LOOP('',(#224275,#224276,#224277,#224278)); #41252=EDGE_LOOP('',(#224279,#224280,#224281,#224282)); #41253=EDGE_LOOP('',(#224283,#224284,#224285,#224286)); #41254=EDGE_LOOP('',(#224287,#224288,#224289,#224290,#224291,#224292,#224293, #224294,#224295,#224296,#224297,#224298,#224299,#224300,#224301,#224302, #224303,#224304)); #41255=EDGE_LOOP('',(#224305)); #41256=EDGE_LOOP('',(#224306,#224307,#224308,#224309,#224310,#224311,#224312, #224313,#224314,#224315,#224316,#224317,#224318,#224319,#224320,#224321, #224322,#224323)); #41257=EDGE_LOOP('',(#224324)); #41258=EDGE_LOOP('',(#224325,#224326,#224327,#224328)); #41259=EDGE_LOOP('',(#224329,#224330,#224331,#224332)); #41260=EDGE_LOOP('',(#224333,#224334,#224335,#224336)); #41261=EDGE_LOOP('',(#224337,#224338,#224339,#224340)); #41262=EDGE_LOOP('',(#224341,#224342,#224343,#224344)); #41263=EDGE_LOOP('',(#224345,#224346,#224347,#224348)); #41264=EDGE_LOOP('',(#224349,#224350,#224351,#224352)); #41265=EDGE_LOOP('',(#224353,#224354,#224355,#224356)); #41266=EDGE_LOOP('',(#224357,#224358,#224359,#224360)); #41267=EDGE_LOOP('',(#224361,#224362,#224363,#224364)); #41268=EDGE_LOOP('',(#224365,#224366,#224367,#224368)); #41269=EDGE_LOOP('',(#224369,#224370,#224371,#224372)); #41270=EDGE_LOOP('',(#224373,#224374,#224375,#224376,#224377,#224378,#224379, #224380,#224381,#224382,#224383)); #41271=EDGE_LOOP('',(#224384)); #41272=EDGE_LOOP('',(#224385,#224386,#224387,#224388,#224389,#224390,#224391, #224392,#224393,#224394,#224395)); #41273=EDGE_LOOP('',(#224396)); #41274=EDGE_LOOP('',(#224397,#224398,#224399,#224400)); #41275=EDGE_LOOP('',(#224401,#224402,#224403,#224404)); #41276=EDGE_LOOP('',(#224405,#224406,#224407,#224408)); #41277=EDGE_LOOP('',(#224409,#224410,#224411,#224412)); #41278=EDGE_LOOP('',(#224413,#224414,#224415,#224416)); #41279=EDGE_LOOP('',(#224417,#224418,#224419,#224420)); #41280=EDGE_LOOP('',(#224421,#224422,#224423,#224424)); #41281=EDGE_LOOP('',(#224425,#224426,#224427,#224428)); #41282=EDGE_LOOP('',(#224429,#224430,#224431,#224432)); #41283=EDGE_LOOP('',(#224433,#224434,#224435,#224436)); #41284=EDGE_LOOP('',(#224437,#224438,#224439,#224440)); #41285=EDGE_LOOP('',(#224441,#224442,#224443,#224444)); #41286=EDGE_LOOP('',(#224445,#224446,#224447,#224448,#224449,#224450,#224451, #224452,#224453,#224454,#224455)); #41287=EDGE_LOOP('',(#224456)); #41288=EDGE_LOOP('',(#224457,#224458,#224459,#224460,#224461,#224462,#224463, #224464,#224465,#224466,#224467)); #41289=EDGE_LOOP('',(#224468)); #41290=EDGE_LOOP('',(#224469,#224470,#224471,#224472)); #41291=EDGE_LOOP('',(#224473,#224474,#224475,#224476)); #41292=EDGE_LOOP('',(#224477,#224478,#224479,#224480)); #41293=EDGE_LOOP('',(#224481,#224482,#224483,#224484)); #41294=EDGE_LOOP('',(#224485,#224486,#224487,#224488)); #41295=EDGE_LOOP('',(#224489,#224490,#224491,#224492)); #41296=EDGE_LOOP('',(#224493,#224494,#224495,#224496)); #41297=EDGE_LOOP('',(#224497,#224498,#224499,#224500)); #41298=EDGE_LOOP('',(#224501,#224502,#224503,#224504)); #41299=EDGE_LOOP('',(#224505,#224506,#224507,#224508)); #41300=EDGE_LOOP('',(#224509,#224510,#224511,#224512)); #41301=EDGE_LOOP('',(#224513,#224514,#224515,#224516)); #41302=EDGE_LOOP('',(#224517,#224518,#224519,#224520)); #41303=EDGE_LOOP('',(#224521,#224522,#224523,#224524)); #41304=EDGE_LOOP('',(#224525,#224526,#224527,#224528)); #41305=EDGE_LOOP('',(#224529,#224530,#224531,#224532)); #41306=EDGE_LOOP('',(#224533,#224534,#224535,#224536)); #41307=EDGE_LOOP('',(#224537,#224538,#224539,#224540)); #41308=EDGE_LOOP('',(#224541,#224542,#224543,#224544)); #41309=EDGE_LOOP('',(#224545,#224546,#224547,#224548)); #41310=EDGE_LOOP('',(#224549,#224550,#224551,#224552)); #41311=EDGE_LOOP('',(#224553,#224554,#224555,#224556)); #41312=EDGE_LOOP('',(#224557,#224558,#224559,#224560)); #41313=EDGE_LOOP('',(#224561,#224562,#224563,#224564)); #41314=EDGE_LOOP('',(#224565,#224566,#224567,#224568,#224569,#224570,#224571, #224572,#224573,#224574,#224575,#224576,#224577,#224578,#224579,#224580, #224581,#224582,#224583,#224584,#224585,#224586,#224587)); #41315=EDGE_LOOP('',(#224588)); #41316=EDGE_LOOP('',(#224589,#224590,#224591,#224592,#224593,#224594,#224595, #224596,#224597,#224598,#224599,#224600,#224601,#224602,#224603,#224604, #224605,#224606,#224607,#224608,#224609,#224610,#224611)); #41317=EDGE_LOOP('',(#224612)); #41318=EDGE_LOOP('',(#224613,#224614,#224615,#224616)); #41319=EDGE_LOOP('',(#224617,#224618,#224619,#224620)); #41320=EDGE_LOOP('',(#224621,#224622,#224623,#224624)); #41321=EDGE_LOOP('',(#224625,#224626,#224627,#224628)); #41322=EDGE_LOOP('',(#224629,#224630,#224631,#224632)); #41323=EDGE_LOOP('',(#224633,#224634,#224635,#224636)); #41324=EDGE_LOOP('',(#224637,#224638,#224639,#224640)); #41325=EDGE_LOOP('',(#224641,#224642,#224643,#224644)); #41326=EDGE_LOOP('',(#224645,#224646,#224647,#224648)); #41327=EDGE_LOOP('',(#224649,#224650,#224651,#224652)); #41328=EDGE_LOOP('',(#224653,#224654,#224655,#224656)); #41329=EDGE_LOOP('',(#224657,#224658,#224659,#224660)); #41330=EDGE_LOOP('',(#224661,#224662,#224663,#224664)); #41331=EDGE_LOOP('',(#224665,#224666,#224667,#224668)); #41332=EDGE_LOOP('',(#224669,#224670,#224671,#224672)); #41333=EDGE_LOOP('',(#224673,#224674,#224675,#224676)); #41334=EDGE_LOOP('',(#224677,#224678,#224679,#224680)); #41335=EDGE_LOOP('',(#224681,#224682,#224683,#224684)); #41336=EDGE_LOOP('',(#224685,#224686,#224687,#224688,#224689,#224690,#224691, #224692,#224693,#224694,#224695,#224696,#224697,#224698,#224699,#224700, #224701)); #41337=EDGE_LOOP('',(#224702)); #41338=EDGE_LOOP('',(#224703,#224704,#224705,#224706,#224707,#224708,#224709, #224710,#224711,#224712,#224713,#224714,#224715,#224716,#224717,#224718, #224719)); #41339=EDGE_LOOP('',(#224720)); #41340=EDGE_LOOP('',(#224721,#224722,#224723,#224724)); #41341=EDGE_LOOP('',(#224725,#224726,#224727,#224728)); #41342=EDGE_LOOP('',(#224729,#224730,#224731,#224732)); #41343=EDGE_LOOP('',(#224733,#224734,#224735,#224736)); #41344=EDGE_LOOP('',(#224737,#224738,#224739,#224740)); #41345=EDGE_LOOP('',(#224741,#224742,#224743,#224744)); #41346=EDGE_LOOP('',(#224745,#224746,#224747,#224748)); #41347=EDGE_LOOP('',(#224749,#224750,#224751,#224752)); #41348=EDGE_LOOP('',(#224753,#224754,#224755,#224756)); #41349=EDGE_LOOP('',(#224757,#224758,#224759,#224760)); #41350=EDGE_LOOP('',(#224761,#224762,#224763,#224764)); #41351=EDGE_LOOP('',(#224765,#224766,#224767,#224768)); #41352=EDGE_LOOP('',(#224769,#224770,#224771,#224772)); #41353=EDGE_LOOP('',(#224773,#224774,#224775,#224776)); #41354=EDGE_LOOP('',(#224777,#224778,#224779,#224780)); #41355=EDGE_LOOP('',(#224781,#224782,#224783,#224784)); #41356=EDGE_LOOP('',(#224785,#224786,#224787,#224788)); #41357=EDGE_LOOP('',(#224789,#224790,#224791,#224792)); #41358=EDGE_LOOP('',(#224793,#224794,#224795,#224796)); #41359=EDGE_LOOP('',(#224797,#224798,#224799,#224800)); #41360=EDGE_LOOP('',(#224801,#224802,#224803,#224804)); #41361=EDGE_LOOP('',(#224805,#224806,#224807,#224808)); #41362=EDGE_LOOP('',(#224809,#224810,#224811,#224812)); #41363=EDGE_LOOP('',(#224813,#224814,#224815,#224816)); #41364=EDGE_LOOP('',(#224817,#224818,#224819,#224820,#224821,#224822,#224823, #224824,#224825,#224826,#224827,#224828,#224829,#224830,#224831,#224832, #224833,#224834,#224835,#224836,#224837,#224838,#224839)); #41365=EDGE_LOOP('',(#224840)); #41366=EDGE_LOOP('',(#224841,#224842,#224843,#224844,#224845,#224846,#224847, #224848,#224849,#224850,#224851,#224852,#224853,#224854,#224855,#224856, #224857,#224858,#224859,#224860,#224861,#224862,#224863)); #41367=EDGE_LOOP('',(#224864)); #41368=EDGE_LOOP('',(#224865,#224866,#224867,#224868)); #41369=EDGE_LOOP('',(#224869,#224870,#224871,#224872)); #41370=EDGE_LOOP('',(#224873,#224874,#224875,#224876)); #41371=EDGE_LOOP('',(#224877,#224878,#224879,#224880)); #41372=EDGE_LOOP('',(#224881,#224882,#224883,#224884)); #41373=EDGE_LOOP('',(#224885,#224886,#224887,#224888)); #41374=EDGE_LOOP('',(#224889,#224890,#224891,#224892)); #41375=EDGE_LOOP('',(#224893,#224894,#224895,#224896)); #41376=EDGE_LOOP('',(#224897,#224898,#224899,#224900)); #41377=EDGE_LOOP('',(#224901,#224902,#224903,#224904)); #41378=EDGE_LOOP('',(#224905,#224906,#224907,#224908)); #41379=EDGE_LOOP('',(#224909,#224910,#224911,#224912)); #41380=EDGE_LOOP('',(#224913,#224914,#224915,#224916)); #41381=EDGE_LOOP('',(#224917,#224918,#224919,#224920)); #41382=EDGE_LOOP('',(#224921,#224922,#224923,#224924)); #41383=EDGE_LOOP('',(#224925,#224926,#224927,#224928)); #41384=EDGE_LOOP('',(#224929,#224930,#224931,#224932)); #41385=EDGE_LOOP('',(#224933,#224934,#224935,#224936)); #41386=EDGE_LOOP('',(#224937,#224938,#224939,#224940)); #41387=EDGE_LOOP('',(#224941,#224942,#224943,#224944)); #41388=EDGE_LOOP('',(#224945,#224946,#224947,#224948)); #41389=EDGE_LOOP('',(#224949,#224950,#224951,#224952)); #41390=EDGE_LOOP('',(#224953,#224954,#224955,#224956)); #41391=EDGE_LOOP('',(#224957,#224958,#224959,#224960)); #41392=EDGE_LOOP('',(#224961,#224962,#224963,#224964,#224965,#224966,#224967, #224968,#224969,#224970,#224971,#224972,#224973,#224974,#224975,#224976, #224977,#224978,#224979,#224980,#224981,#224982,#224983,#224984)); #41393=EDGE_LOOP('',(#224985,#224986,#224987,#224988,#224989,#224990,#224991, #224992,#224993,#224994,#224995,#224996,#224997,#224998,#224999,#225000, #225001,#225002,#225003,#225004,#225005,#225006,#225007,#225008)); #41394=EDGE_LOOP('',(#225009,#225010,#225011,#225012)); #41395=EDGE_LOOP('',(#225013,#225014,#225015,#225016)); #41396=EDGE_LOOP('',(#225017,#225018,#225019,#225020)); #41397=EDGE_LOOP('',(#225021,#225022,#225023,#225024)); #41398=EDGE_LOOP('',(#225025,#225026,#225027,#225028)); #41399=EDGE_LOOP('',(#225029,#225030,#225031,#225032)); #41400=EDGE_LOOP('',(#225033)); #41401=EDGE_LOOP('',(#225034,#225035,#225036,#225037)); #41402=EDGE_LOOP('',(#225038)); #41403=EDGE_LOOP('',(#225039,#225040,#225041,#225042)); #41404=EDGE_LOOP('',(#225043,#225044,#225045,#225046)); #41405=EDGE_LOOP('',(#225047,#225048,#225049,#225050)); #41406=EDGE_LOOP('',(#225051,#225052,#225053,#225054)); #41407=EDGE_LOOP('',(#225055,#225056,#225057,#225058)); #41408=EDGE_LOOP('',(#225059,#225060,#225061,#225062)); #41409=EDGE_LOOP('',(#225063,#225064,#225065,#225066)); #41410=EDGE_LOOP('',(#225067,#225068,#225069,#225070)); #41411=EDGE_LOOP('',(#225071,#225072,#225073,#225074)); #41412=EDGE_LOOP('',(#225075,#225076,#225077,#225078)); #41413=EDGE_LOOP('',(#225079,#225080,#225081,#225082)); #41414=EDGE_LOOP('',(#225083,#225084,#225085,#225086)); #41415=EDGE_LOOP('',(#225087,#225088,#225089,#225090)); #41416=EDGE_LOOP('',(#225091,#225092,#225093,#225094)); #41417=EDGE_LOOP('',(#225095,#225096,#225097,#225098)); #41418=EDGE_LOOP('',(#225099,#225100,#225101,#225102)); #41419=EDGE_LOOP('',(#225103,#225104,#225105,#225106)); #41420=EDGE_LOOP('',(#225107,#225108,#225109,#225110)); #41421=EDGE_LOOP('',(#225111,#225112,#225113,#225114)); #41422=EDGE_LOOP('',(#225115,#225116,#225117,#225118)); #41423=EDGE_LOOP('',(#225119,#225120,#225121,#225122)); #41424=EDGE_LOOP('',(#225123,#225124,#225125,#225126)); #41425=EDGE_LOOP('',(#225127,#225128,#225129,#225130)); #41426=EDGE_LOOP('',(#225131,#225132,#225133,#225134)); #41427=EDGE_LOOP('',(#225135,#225136,#225137,#225138)); #41428=EDGE_LOOP('',(#225139,#225140,#225141,#225142,#225143,#225144,#225145, #225146,#225147,#225148,#225149,#225150,#225151,#225152,#225153,#225154, #225155,#225156,#225157,#225158,#225159,#225160,#225161,#225162)); #41429=EDGE_LOOP('',(#225163)); #41430=EDGE_LOOP('',(#225164,#225165,#225166,#225167,#225168,#225169,#225170, #225171,#225172,#225173,#225174,#225175,#225176,#225177,#225178,#225179, #225180,#225181,#225182,#225183,#225184,#225185,#225186,#225187)); #41431=EDGE_LOOP('',(#225188)); #41432=EDGE_LOOP('',(#225189,#225190,#225191,#225192)); #41433=EDGE_LOOP('',(#225193,#225194,#225195,#225196)); #41434=EDGE_LOOP('',(#225197,#225198,#225199,#225200)); #41435=EDGE_LOOP('',(#225201,#225202,#225203,#225204)); #41436=EDGE_LOOP('',(#225205,#225206,#225207,#225208)); #41437=EDGE_LOOP('',(#225209,#225210,#225211,#225212)); #41438=EDGE_LOOP('',(#225213,#225214,#225215,#225216)); #41439=EDGE_LOOP('',(#225217,#225218,#225219,#225220)); #41440=EDGE_LOOP('',(#225221,#225222,#225223,#225224)); #41441=EDGE_LOOP('',(#225225,#225226,#225227,#225228)); #41442=EDGE_LOOP('',(#225229,#225230,#225231,#225232)); #41443=EDGE_LOOP('',(#225233,#225234,#225235,#225236)); #41444=EDGE_LOOP('',(#225237,#225238,#225239,#225240)); #41445=EDGE_LOOP('',(#225241,#225242,#225243,#225244)); #41446=EDGE_LOOP('',(#225245,#225246,#225247,#225248)); #41447=EDGE_LOOP('',(#225249,#225250,#225251,#225252)); #41448=EDGE_LOOP('',(#225253,#225254,#225255,#225256,#225257,#225258,#225259, #225260,#225261,#225262,#225263,#225264,#225265,#225266,#225267)); #41449=EDGE_LOOP('',(#225268)); #41450=EDGE_LOOP('',(#225269,#225270,#225271,#225272,#225273,#225274,#225275, #225276,#225277,#225278,#225279,#225280,#225281,#225282,#225283)); #41451=EDGE_LOOP('',(#225284)); #41452=EDGE_LOOP('',(#225285,#225286,#225287,#225288)); #41453=EDGE_LOOP('',(#225289,#225290,#225291,#225292)); #41454=EDGE_LOOP('',(#225293,#225294,#225295,#225296)); #41455=EDGE_LOOP('',(#225297,#225298,#225299,#225300)); #41456=EDGE_LOOP('',(#225301,#225302,#225303,#225304)); #41457=EDGE_LOOP('',(#225305,#225306,#225307,#225308)); #41458=EDGE_LOOP('',(#225309,#225310,#225311,#225312)); #41459=EDGE_LOOP('',(#225313,#225314,#225315,#225316)); #41460=EDGE_LOOP('',(#225317,#225318,#225319,#225320)); #41461=EDGE_LOOP('',(#225321,#225322,#225323,#225324)); #41462=EDGE_LOOP('',(#225325,#225326,#225327,#225328)); #41463=EDGE_LOOP('',(#225329,#225330,#225331,#225332)); #41464=EDGE_LOOP('',(#225333,#225334,#225335,#225336)); #41465=EDGE_LOOP('',(#225337,#225338,#225339,#225340)); #41466=EDGE_LOOP('',(#225341,#225342,#225343,#225344)); #41467=EDGE_LOOP('',(#225345,#225346,#225347,#225348)); #41468=EDGE_LOOP('',(#225349,#225350,#225351,#225352)); #41469=EDGE_LOOP('',(#225353,#225354,#225355,#225356)); #41470=EDGE_LOOP('',(#225357,#225358,#225359,#225360)); #41471=EDGE_LOOP('',(#225361,#225362,#225363,#225364)); #41472=EDGE_LOOP('',(#225365,#225366,#225367,#225368)); #41473=EDGE_LOOP('',(#225369,#225370,#225371,#225372)); #41474=EDGE_LOOP('',(#225373,#225374,#225375,#225376)); #41475=EDGE_LOOP('',(#225377,#225378,#225379,#225380)); #41476=EDGE_LOOP('',(#225381,#225382,#225383,#225384)); #41477=EDGE_LOOP('',(#225385,#225386,#225387,#225388)); #41478=EDGE_LOOP('',(#225389,#225390,#225391,#225392)); #41479=EDGE_LOOP('',(#225393,#225394,#225395,#225396)); #41480=EDGE_LOOP('',(#225397,#225398,#225399,#225400)); #41481=EDGE_LOOP('',(#225401,#225402,#225403,#225404)); #41482=EDGE_LOOP('',(#225405,#225406,#225407,#225408)); #41483=EDGE_LOOP('',(#225409,#225410,#225411,#225412)); #41484=EDGE_LOOP('',(#225413,#225414,#225415,#225416)); #41485=EDGE_LOOP('',(#225417,#225418,#225419,#225420)); #41486=EDGE_LOOP('',(#225421,#225422,#225423,#225424)); #41487=EDGE_LOOP('',(#225425,#225426,#225427,#225428)); #41488=EDGE_LOOP('',(#225429,#225430,#225431,#225432)); #41489=EDGE_LOOP('',(#225433,#225434,#225435,#225436)); #41490=EDGE_LOOP('',(#225437,#225438,#225439,#225440)); #41491=EDGE_LOOP('',(#225441,#225442,#225443,#225444)); #41492=EDGE_LOOP('',(#225445,#225446,#225447,#225448)); #41493=EDGE_LOOP('',(#225449,#225450,#225451,#225452)); #41494=EDGE_LOOP('',(#225453,#225454,#225455,#225456)); #41495=EDGE_LOOP('',(#225457,#225458,#225459,#225460)); #41496=EDGE_LOOP('',(#225461,#225462,#225463,#225464,#225465,#225466,#225467, #225468,#225469,#225470,#225471,#225472,#225473,#225474,#225475,#225476, #225477,#225478,#225479,#225480,#225481,#225482,#225483,#225484,#225485, #225486,#225487,#225488,#225489,#225490,#225491,#225492,#225493,#225494, #225495,#225496,#225497,#225498,#225499,#225500,#225501,#225502,#225503)); #41497=EDGE_LOOP('',(#225504)); #41498=EDGE_LOOP('',(#225505,#225506,#225507,#225508,#225509,#225510,#225511, #225512,#225513,#225514,#225515,#225516,#225517,#225518,#225519,#225520, #225521,#225522,#225523,#225524,#225525,#225526,#225527,#225528,#225529, #225530,#225531,#225532,#225533,#225534,#225535,#225536,#225537,#225538, #225539,#225540,#225541,#225542,#225543,#225544,#225545,#225546,#225547)); #41499=EDGE_LOOP('',(#225548)); #41500=EDGE_LOOP('',(#225549,#225550,#225551,#225552)); #41501=EDGE_LOOP('',(#225553,#225554,#225555,#225556)); #41502=EDGE_LOOP('',(#225557,#225558,#225559,#225560)); #41503=EDGE_LOOP('',(#225561,#225562,#225563,#225564)); #41504=EDGE_LOOP('',(#225565,#225566,#225567,#225568)); #41505=EDGE_LOOP('',(#225569,#225570,#225571,#225572)); #41506=EDGE_LOOP('',(#225573,#225574,#225575,#225576)); #41507=EDGE_LOOP('',(#225577,#225578,#225579,#225580)); #41508=EDGE_LOOP('',(#225581,#225582,#225583,#225584)); #41509=EDGE_LOOP('',(#225585,#225586,#225587,#225588)); #41510=EDGE_LOOP('',(#225589,#225590,#225591,#225592)); #41511=EDGE_LOOP('',(#225593,#225594,#225595,#225596)); #41512=EDGE_LOOP('',(#225597,#225598,#225599,#225600)); #41513=EDGE_LOOP('',(#225601,#225602,#225603,#225604)); #41514=EDGE_LOOP('',(#225605,#225606,#225607,#225608)); #41515=EDGE_LOOP('',(#225609,#225610,#225611,#225612)); #41516=EDGE_LOOP('',(#225613,#225614,#225615,#225616)); #41517=EDGE_LOOP('',(#225617,#225618,#225619,#225620)); #41518=EDGE_LOOP('',(#225621,#225622,#225623,#225624)); #41519=EDGE_LOOP('',(#225625,#225626,#225627,#225628)); #41520=EDGE_LOOP('',(#225629,#225630,#225631,#225632)); #41521=EDGE_LOOP('',(#225633,#225634,#225635,#225636)); #41522=EDGE_LOOP('',(#225637,#225638,#225639,#225640,#225641,#225642,#225643, #225644,#225645,#225646,#225647,#225648,#225649,#225650,#225651,#225652, #225653,#225654,#225655,#225656,#225657)); #41523=EDGE_LOOP('',(#225658)); #41524=EDGE_LOOP('',(#225659,#225660,#225661,#225662,#225663,#225664,#225665, #225666,#225667,#225668,#225669,#225670,#225671,#225672,#225673,#225674, #225675,#225676,#225677,#225678,#225679)); #41525=EDGE_LOOP('',(#225680)); #41526=EDGE_LOOP('',(#225681,#225682,#225683,#225684)); #41527=EDGE_LOOP('',(#225685,#225686,#225687,#225688)); #41528=EDGE_LOOP('',(#225689,#225690,#225691,#225692)); #41529=EDGE_LOOP('',(#225693,#225694,#225695,#225696)); #41530=EDGE_LOOP('',(#225697,#225698,#225699,#225700)); #41531=EDGE_LOOP('',(#225701,#225702,#225703,#225704)); #41532=EDGE_LOOP('',(#225705)); #41533=EDGE_LOOP('',(#225706,#225707,#225708,#225709)); #41534=EDGE_LOOP('',(#225710)); #41535=EDGE_LOOP('',(#225711,#225712,#225713,#225714)); #41536=EDGE_LOOP('',(#225715,#225716,#225717,#225718)); #41537=EDGE_LOOP('',(#225719,#225720,#225721,#225722)); #41538=EDGE_LOOP('',(#225723,#225724,#225725,#225726)); #41539=EDGE_LOOP('',(#225727,#225728,#225729,#225730)); #41540=EDGE_LOOP('',(#225731,#225732,#225733,#225734)); #41541=EDGE_LOOP('',(#225735)); #41542=EDGE_LOOP('',(#225736,#225737,#225738,#225739)); #41543=EDGE_LOOP('',(#225740)); #41544=EDGE_LOOP('',(#225741,#225742,#225743,#225744)); #41545=EDGE_LOOP('',(#225745,#225746,#225747,#225748)); #41546=EDGE_LOOP('',(#225749,#225750,#225751,#225752)); #41547=EDGE_LOOP('',(#225753,#225754,#225755,#225756)); #41548=EDGE_LOOP('',(#225757,#225758,#225759,#225760)); #41549=EDGE_LOOP('',(#225761,#225762,#225763,#225764)); #41550=EDGE_LOOP('',(#225765,#225766,#225767,#225768)); #41551=EDGE_LOOP('',(#225769,#225770,#225771,#225772)); #41552=EDGE_LOOP('',(#225773,#225774,#225775,#225776)); #41553=EDGE_LOOP('',(#225777,#225778,#225779,#225780)); #41554=EDGE_LOOP('',(#225781,#225782,#225783,#225784)); #41555=EDGE_LOOP('',(#225785,#225786,#225787,#225788)); #41556=EDGE_LOOP('',(#225789,#225790,#225791,#225792)); #41557=EDGE_LOOP('',(#225793,#225794,#225795,#225796)); #41558=EDGE_LOOP('',(#225797,#225798,#225799,#225800)); #41559=EDGE_LOOP('',(#225801,#225802,#225803,#225804,#225805,#225806,#225807, #225808,#225809,#225810,#225811,#225812,#225813,#225814)); #41560=EDGE_LOOP('',(#225815)); #41561=EDGE_LOOP('',(#225816,#225817,#225818,#225819,#225820,#225821,#225822, #225823,#225824,#225825,#225826,#225827,#225828,#225829)); #41562=EDGE_LOOP('',(#225830)); #41563=EDGE_LOOP('',(#225831,#225832,#225833,#225834)); #41564=EDGE_LOOP('',(#225835,#225836,#225837,#225838)); #41565=EDGE_LOOP('',(#225839,#225840,#225841,#225842)); #41566=EDGE_LOOP('',(#225843,#225844,#225845,#225846)); #41567=EDGE_LOOP('',(#225847,#225848,#225849)); #41568=EDGE_LOOP('',(#225850)); #41569=EDGE_LOOP('',(#225851,#225852,#225853)); #41570=EDGE_LOOP('',(#225854)); #41571=EDGE_LOOP('',(#225855,#225856,#225857,#225858)); #41572=EDGE_LOOP('',(#225859,#225860,#225861,#225862)); #41573=EDGE_LOOP('',(#225863,#225864,#225865,#225866)); #41574=EDGE_LOOP('',(#225867,#225868,#225869,#225870)); #41575=EDGE_LOOP('',(#225871,#225872,#225873,#225874)); #41576=EDGE_LOOP('',(#225875,#225876,#225877,#225878)); #41577=EDGE_LOOP('',(#225879,#225880,#225881,#225882)); #41578=EDGE_LOOP('',(#225883,#225884,#225885,#225886)); #41579=EDGE_LOOP('',(#225887,#225888,#225889,#225890)); #41580=EDGE_LOOP('',(#225891,#225892,#225893,#225894,#225895,#225896,#225897)); #41581=EDGE_LOOP('',(#225898)); #41582=EDGE_LOOP('',(#225899)); #41583=EDGE_LOOP('',(#225900,#225901,#225902,#225903,#225904,#225905,#225906)); #41584=EDGE_LOOP('',(#225907)); #41585=EDGE_LOOP('',(#225908)); #41586=EDGE_LOOP('',(#225909,#225910,#225911,#225912)); #41587=EDGE_LOOP('',(#225913,#225914,#225915,#225916)); #41588=EDGE_LOOP('',(#225917,#225918,#225919,#225920)); #41589=EDGE_LOOP('',(#225921,#225922,#225923,#225924)); #41590=EDGE_LOOP('',(#225925,#225926,#225927)); #41591=EDGE_LOOP('',(#225928)); #41592=EDGE_LOOP('',(#225929,#225930,#225931)); #41593=EDGE_LOOP('',(#225932)); #41594=EDGE_LOOP('',(#225933,#225934,#225935,#225936)); #41595=EDGE_LOOP('',(#225937,#225938,#225939,#225940)); #41596=EDGE_LOOP('',(#225941,#225942,#225943,#225944)); #41597=EDGE_LOOP('',(#225945,#225946,#225947,#225948)); #41598=EDGE_LOOP('',(#225949,#225950,#225951,#225952)); #41599=EDGE_LOOP('',(#225953,#225954,#225955,#225956)); #41600=EDGE_LOOP('',(#225957)); #41601=EDGE_LOOP('',(#225958,#225959,#225960,#225961)); #41602=EDGE_LOOP('',(#225962)); #41603=EDGE_LOOP('',(#225963,#225964,#225965,#225966)); #41604=EDGE_LOOP('',(#225967,#225968,#225969,#225970)); #41605=EDGE_LOOP('',(#225971,#225972,#225973,#225974)); #41606=EDGE_LOOP('',(#225975,#225976,#225977,#225978)); #41607=EDGE_LOOP('',(#225979,#225980,#225981,#225982)); #41608=EDGE_LOOP('',(#225983,#225984,#225985,#225986)); #41609=EDGE_LOOP('',(#225987,#225988,#225989,#225990)); #41610=EDGE_LOOP('',(#225991,#225992,#225993,#225994)); #41611=EDGE_LOOP('',(#225995,#225996,#225997,#225998)); #41612=EDGE_LOOP('',(#225999,#226000,#226001,#226002)); #41613=EDGE_LOOP('',(#226003,#226004,#226005,#226006)); #41614=EDGE_LOOP('',(#226007,#226008,#226009,#226010)); #41615=EDGE_LOOP('',(#226011,#226012,#226013,#226014)); #41616=EDGE_LOOP('',(#226015,#226016,#226017,#226018)); #41617=EDGE_LOOP('',(#226019,#226020,#226021,#226022)); #41618=EDGE_LOOP('',(#226023,#226024,#226025,#226026)); #41619=EDGE_LOOP('',(#226027,#226028,#226029,#226030)); #41620=EDGE_LOOP('',(#226031,#226032,#226033,#226034)); #41621=EDGE_LOOP('',(#226035,#226036,#226037,#226038)); #41622=EDGE_LOOP('',(#226039,#226040,#226041,#226042)); #41623=EDGE_LOOP('',(#226043,#226044,#226045,#226046)); #41624=EDGE_LOOP('',(#226047,#226048,#226049,#226050)); #41625=EDGE_LOOP('',(#226051,#226052,#226053,#226054)); #41626=EDGE_LOOP('',(#226055,#226056,#226057,#226058)); #41627=EDGE_LOOP('',(#226059,#226060,#226061,#226062)); #41628=EDGE_LOOP('',(#226063,#226064,#226065,#226066)); #41629=EDGE_LOOP('',(#226067,#226068,#226069,#226070)); #41630=EDGE_LOOP('',(#226071,#226072,#226073,#226074)); #41631=EDGE_LOOP('',(#226075,#226076,#226077,#226078)); #41632=EDGE_LOOP('',(#226079,#226080,#226081,#226082)); #41633=EDGE_LOOP('',(#226083,#226084,#226085,#226086)); #41634=EDGE_LOOP('',(#226087,#226088,#226089,#226090)); #41635=EDGE_LOOP('',(#226091,#226092,#226093,#226094)); #41636=EDGE_LOOP('',(#226095,#226096,#226097,#226098)); #41637=EDGE_LOOP('',(#226099,#226100,#226101,#226102)); #41638=EDGE_LOOP('',(#226103,#226104,#226105,#226106)); #41639=EDGE_LOOP('',(#226107,#226108,#226109,#226110)); #41640=EDGE_LOOP('',(#226111,#226112,#226113,#226114)); #41641=EDGE_LOOP('',(#226115,#226116,#226117,#226118)); #41642=EDGE_LOOP('',(#226119,#226120,#226121,#226122)); #41643=EDGE_LOOP('',(#226123,#226124,#226125,#226126)); #41644=EDGE_LOOP('',(#226127,#226128,#226129,#226130)); #41645=EDGE_LOOP('',(#226131,#226132,#226133,#226134)); #41646=EDGE_LOOP('',(#226135,#226136,#226137,#226138)); #41647=EDGE_LOOP('',(#226139,#226140,#226141,#226142,#226143,#226144,#226145, #226146,#226147,#226148,#226149,#226150,#226151,#226152,#226153,#226154, #226155,#226156,#226157,#226158,#226159,#226160,#226161,#226162,#226163, #226164,#226165,#226166,#226167,#226168,#226169,#226170,#226171,#226172, #226173,#226174,#226175,#226176,#226177,#226178,#226179,#226180,#226181)); #41648=EDGE_LOOP('',(#226182)); #41649=EDGE_LOOP('',(#226183,#226184,#226185,#226186,#226187,#226188,#226189, #226190,#226191,#226192,#226193,#226194,#226195,#226196,#226197,#226198, #226199,#226200,#226201,#226202,#226203,#226204,#226205,#226206,#226207, #226208,#226209,#226210,#226211,#226212,#226213,#226214,#226215,#226216, #226217,#226218,#226219,#226220,#226221,#226222,#226223,#226224,#226225)); #41650=EDGE_LOOP('',(#226226)); #41651=EDGE_LOOP('',(#226227,#226228,#226229,#226230)); #41652=EDGE_LOOP('',(#226231,#226232,#226233,#226234)); #41653=EDGE_LOOP('',(#226235,#226236,#226237,#226238)); #41654=EDGE_LOOP('',(#226239,#226240,#226241,#226242)); #41655=EDGE_LOOP('',(#226243,#226244,#226245,#226246)); #41656=EDGE_LOOP('',(#226247,#226248,#226249,#226250)); #41657=EDGE_LOOP('',(#226251,#226252,#226253,#226254)); #41658=EDGE_LOOP('',(#226255,#226256,#226257,#226258)); #41659=EDGE_LOOP('',(#226259,#226260,#226261,#226262)); #41660=EDGE_LOOP('',(#226263,#226264,#226265,#226266)); #41661=EDGE_LOOP('',(#226267,#226268,#226269,#226270)); #41662=EDGE_LOOP('',(#226271,#226272,#226273,#226274)); #41663=EDGE_LOOP('',(#226275,#226276,#226277,#226278)); #41664=EDGE_LOOP('',(#226279,#226280,#226281,#226282)); #41665=EDGE_LOOP('',(#226283,#226284,#226285,#226286)); #41666=EDGE_LOOP('',(#226287,#226288,#226289,#226290)); #41667=EDGE_LOOP('',(#226291,#226292,#226293,#226294)); #41668=EDGE_LOOP('',(#226295,#226296,#226297,#226298)); #41669=EDGE_LOOP('',(#226299,#226300,#226301,#226302)); #41670=EDGE_LOOP('',(#226303,#226304,#226305,#226306)); #41671=EDGE_LOOP('',(#226307,#226308,#226309,#226310)); #41672=EDGE_LOOP('',(#226311,#226312,#226313,#226314)); #41673=EDGE_LOOP('',(#226315,#226316,#226317,#226318,#226319,#226320,#226321, #226322,#226323,#226324,#226325,#226326,#226327,#226328,#226329,#226330, #226331,#226332,#226333,#226334,#226335)); #41674=EDGE_LOOP('',(#226336)); #41675=EDGE_LOOP('',(#226337,#226338,#226339,#226340,#226341,#226342,#226343, #226344,#226345,#226346,#226347,#226348,#226349,#226350,#226351,#226352, #226353,#226354,#226355,#226356,#226357)); #41676=EDGE_LOOP('',(#226358)); #41677=EDGE_LOOP('',(#226359,#226360,#226361,#226362)); #41678=EDGE_LOOP('',(#226363,#226364,#226365,#226366)); #41679=EDGE_LOOP('',(#226367,#226368,#226369,#226370)); #41680=EDGE_LOOP('',(#226371,#226372,#226373,#226374)); #41681=EDGE_LOOP('',(#226375,#226376,#226377,#226378)); #41682=EDGE_LOOP('',(#226379,#226380,#226381,#226382)); #41683=EDGE_LOOP('',(#226383,#226384,#226385,#226386)); #41684=EDGE_LOOP('',(#226387,#226388,#226389,#226390)); #41685=EDGE_LOOP('',(#226391,#226392,#226393,#226394)); #41686=EDGE_LOOP('',(#226395,#226396,#226397,#226398)); #41687=EDGE_LOOP('',(#226399,#226400,#226401,#226402)); #41688=EDGE_LOOP('',(#226403,#226404,#226405,#226406)); #41689=EDGE_LOOP('',(#226407,#226408,#226409,#226410,#226411,#226412,#226413, #226414,#226415,#226416,#226417,#226418)); #41690=EDGE_LOOP('',(#226419,#226420,#226421,#226422,#226423,#226424,#226425, #226426,#226427,#226428,#226429,#226430)); #41691=EDGE_LOOP('',(#226431,#226432,#226433,#226434)); #41692=EDGE_LOOP('',(#226435,#226436,#226437,#226438)); #41693=EDGE_LOOP('',(#226439,#226440,#226441,#226442)); #41694=EDGE_LOOP('',(#226443,#226444,#226445,#226446)); #41695=EDGE_LOOP('',(#226447,#226448,#226449,#226450)); #41696=EDGE_LOOP('',(#226451,#226452,#226453,#226454)); #41697=EDGE_LOOP('',(#226455,#226456,#226457,#226458)); #41698=EDGE_LOOP('',(#226459,#226460,#226461,#226462)); #41699=EDGE_LOOP('',(#226463,#226464,#226465,#226466)); #41700=EDGE_LOOP('',(#226467,#226468,#226469,#226470)); #41701=EDGE_LOOP('',(#226471,#226472,#226473,#226474)); #41702=EDGE_LOOP('',(#226475,#226476,#226477,#226478)); #41703=EDGE_LOOP('',(#226479,#226480,#226481,#226482,#226483,#226484,#226485, #226486,#226487,#226488,#226489,#226490)); #41704=EDGE_LOOP('',(#226491,#226492,#226493,#226494,#226495,#226496,#226497, #226498,#226499,#226500,#226501,#226502)); #41705=EDGE_LOOP('',(#226503,#226504,#226505,#226506)); #41706=EDGE_LOOP('',(#226507,#226508,#226509,#226510)); #41707=EDGE_LOOP('',(#226511,#226512,#226513,#226514)); #41708=EDGE_LOOP('',(#226515,#226516,#226517,#226518)); #41709=EDGE_LOOP('',(#226519,#226520,#226521,#226522)); #41710=EDGE_LOOP('',(#226523,#226524,#226525,#226526)); #41711=EDGE_LOOP('',(#226527,#226528,#226529,#226530)); #41712=EDGE_LOOP('',(#226531,#226532,#226533,#226534)); #41713=EDGE_LOOP('',(#226535,#226536,#226537,#226538)); #41714=EDGE_LOOP('',(#226539,#226540,#226541,#226542)); #41715=EDGE_LOOP('',(#226543,#226544,#226545,#226546)); #41716=EDGE_LOOP('',(#226547,#226548,#226549,#226550)); #41717=EDGE_LOOP('',(#226551,#226552,#226553,#226554)); #41718=EDGE_LOOP('',(#226555,#226556,#226557,#226558)); #41719=EDGE_LOOP('',(#226559,#226560,#226561,#226562)); #41720=EDGE_LOOP('',(#226563,#226564,#226565,#226566)); #41721=EDGE_LOOP('',(#226567,#226568,#226569,#226570)); #41722=EDGE_LOOP('',(#226571,#226572,#226573,#226574)); #41723=EDGE_LOOP('',(#226575,#226576,#226577,#226578,#226579,#226580,#226581, #226582,#226583,#226584,#226585,#226586,#226587,#226588,#226589,#226590, #226591)); #41724=EDGE_LOOP('',(#226592)); #41725=EDGE_LOOP('',(#226593,#226594,#226595,#226596,#226597,#226598,#226599, #226600,#226601,#226602,#226603,#226604,#226605,#226606,#226607,#226608, #226609)); #41726=EDGE_LOOP('',(#226610)); #41727=EDGE_LOOP('',(#226611,#226612,#226613,#226614)); #41728=EDGE_LOOP('',(#226615,#226616,#226617,#226618)); #41729=EDGE_LOOP('',(#226619,#226620,#226621,#226622)); #41730=EDGE_LOOP('',(#226623,#226624,#226625,#226626)); #41731=EDGE_LOOP('',(#226627,#226628,#226629,#226630)); #41732=EDGE_LOOP('',(#226631,#226632,#226633,#226634)); #41733=EDGE_LOOP('',(#226635,#226636,#226637,#226638)); #41734=EDGE_LOOP('',(#226639,#226640,#226641,#226642)); #41735=EDGE_LOOP('',(#226643,#226644,#226645,#226646)); #41736=EDGE_LOOP('',(#226647,#226648,#226649,#226650)); #41737=EDGE_LOOP('',(#226651,#226652,#226653,#226654)); #41738=EDGE_LOOP('',(#226655,#226656,#226657,#226658)); #41739=EDGE_LOOP('',(#226659,#226660,#226661,#226662)); #41740=EDGE_LOOP('',(#226663,#226664,#226665,#226666)); #41741=EDGE_LOOP('',(#226667,#226668,#226669,#226670)); #41742=EDGE_LOOP('',(#226671,#226672,#226673,#226674)); #41743=EDGE_LOOP('',(#226675,#226676,#226677,#226678)); #41744=EDGE_LOOP('',(#226679,#226680,#226681,#226682)); #41745=EDGE_LOOP('',(#226683,#226684,#226685,#226686)); #41746=EDGE_LOOP('',(#226687,#226688,#226689,#226690)); #41747=EDGE_LOOP('',(#226691,#226692,#226693,#226694)); #41748=EDGE_LOOP('',(#226695,#226696,#226697,#226698)); #41749=EDGE_LOOP('',(#226699,#226700,#226701,#226702)); #41750=EDGE_LOOP('',(#226703,#226704,#226705,#226706,#226707,#226708,#226709, #226710,#226711,#226712,#226713,#226714,#226715,#226716,#226717,#226718, #226719,#226720,#226721,#226722,#226723,#226724)); #41751=EDGE_LOOP('',(#226725)); #41752=EDGE_LOOP('',(#226726,#226727,#226728,#226729,#226730,#226731,#226732, #226733,#226734,#226735,#226736,#226737,#226738,#226739,#226740,#226741, #226742,#226743,#226744,#226745,#226746,#226747)); #41753=EDGE_LOOP('',(#226748)); #41754=EDGE_LOOP('',(#226749,#226750,#226751,#226752)); #41755=EDGE_LOOP('',(#226753,#226754,#226755,#226756)); #41756=EDGE_LOOP('',(#226757,#226758,#226759,#226760)); #41757=EDGE_LOOP('',(#226761,#226762,#226763,#226764)); #41758=EDGE_LOOP('',(#226765,#226766,#226767,#226768)); #41759=EDGE_LOOP('',(#226769,#226770,#226771,#226772)); #41760=EDGE_LOOP('',(#226773,#226774,#226775,#226776)); #41761=EDGE_LOOP('',(#226777,#226778,#226779,#226780)); #41762=EDGE_LOOP('',(#226781,#226782,#226783,#226784)); #41763=EDGE_LOOP('',(#226785,#226786,#226787,#226788)); #41764=EDGE_LOOP('',(#226789,#226790,#226791,#226792)); #41765=EDGE_LOOP('',(#226793,#226794,#226795,#226796)); #41766=EDGE_LOOP('',(#226797,#226798,#226799,#226800)); #41767=EDGE_LOOP('',(#226801,#226802,#226803,#226804,#226805,#226806,#226807, #226808,#226809,#226810,#226811,#226812)); #41768=EDGE_LOOP('',(#226813)); #41769=EDGE_LOOP('',(#226814,#226815,#226816,#226817,#226818,#226819,#226820, #226821,#226822,#226823,#226824,#226825)); #41770=EDGE_LOOP('',(#226826)); #41771=EDGE_LOOP('',(#226827,#226828,#226829,#226830)); #41772=EDGE_LOOP('',(#226831,#226832,#226833,#226834)); #41773=EDGE_LOOP('',(#226835,#226836,#226837,#226838)); #41774=EDGE_LOOP('',(#226839,#226840,#226841,#226842)); #41775=EDGE_LOOP('',(#226843,#226844,#226845,#226846)); #41776=EDGE_LOOP('',(#226847,#226848,#226849,#226850)); #41777=EDGE_LOOP('',(#226851,#226852,#226853,#226854)); #41778=EDGE_LOOP('',(#226855,#226856,#226857,#226858)); #41779=EDGE_LOOP('',(#226859,#226860,#226861,#226862)); #41780=EDGE_LOOP('',(#226863,#226864,#226865,#226866)); #41781=EDGE_LOOP('',(#226867,#226868,#226869,#226870)); #41782=EDGE_LOOP('',(#226871,#226872,#226873,#226874)); #41783=EDGE_LOOP('',(#226875,#226876,#226877,#226878)); #41784=EDGE_LOOP('',(#226879,#226880,#226881,#226882)); #41785=EDGE_LOOP('',(#226883,#226884,#226885,#226886)); #41786=EDGE_LOOP('',(#226887,#226888,#226889,#226890)); #41787=EDGE_LOOP('',(#226891,#226892,#226893,#226894)); #41788=EDGE_LOOP('',(#226895,#226896,#226897,#226898)); #41789=EDGE_LOOP('',(#226899,#226900,#226901,#226902)); #41790=EDGE_LOOP('',(#226903,#226904,#226905,#226906)); #41791=EDGE_LOOP('',(#226907,#226908,#226909,#226910)); #41792=EDGE_LOOP('',(#226911,#226912,#226913,#226914)); #41793=EDGE_LOOP('',(#226915,#226916,#226917,#226918)); #41794=EDGE_LOOP('',(#226919,#226920,#226921,#226922)); #41795=EDGE_LOOP('',(#226923,#226924,#226925,#226926)); #41796=EDGE_LOOP('',(#226927,#226928,#226929,#226930)); #41797=EDGE_LOOP('',(#226931,#226932,#226933,#226934)); #41798=EDGE_LOOP('',(#226935,#226936,#226937,#226938)); #41799=EDGE_LOOP('',(#226939,#226940,#226941,#226942)); #41800=EDGE_LOOP('',(#226943,#226944,#226945,#226946)); #41801=EDGE_LOOP('',(#226947,#226948,#226949,#226950)); #41802=EDGE_LOOP('',(#226951,#226952,#226953,#226954)); #41803=EDGE_LOOP('',(#226955,#226956,#226957,#226958)); #41804=EDGE_LOOP('',(#226959,#226960,#226961,#226962)); #41805=EDGE_LOOP('',(#226963,#226964,#226965,#226966)); #41806=EDGE_LOOP('',(#226967,#226968,#226969,#226970)); #41807=EDGE_LOOP('',(#226971,#226972,#226973,#226974,#226975,#226976,#226977, #226978,#226979,#226980,#226981,#226982,#226983,#226984,#226985,#226986, #226987,#226988,#226989,#226990,#226991,#226992,#226993,#226994,#226995, #226996,#226997,#226998,#226999,#227000,#227001,#227002,#227003,#227004, #227005,#227006)); #41808=EDGE_LOOP('',(#227007,#227008,#227009,#227010,#227011,#227012,#227013, #227014,#227015,#227016,#227017,#227018,#227019,#227020,#227021,#227022, #227023,#227024,#227025,#227026,#227027,#227028,#227029,#227030,#227031, #227032,#227033,#227034,#227035,#227036,#227037,#227038,#227039,#227040, #227041,#227042)); #41809=EDGE_LOOP('',(#227043,#227044,#227045,#227046)); #41810=EDGE_LOOP('',(#227047,#227048,#227049,#227050)); #41811=EDGE_LOOP('',(#227051,#227052,#227053,#227054)); #41812=EDGE_LOOP('',(#227055,#227056,#227057,#227058)); #41813=EDGE_LOOP('',(#227059,#227060,#227061,#227062)); #41814=EDGE_LOOP('',(#227063,#227064,#227065,#227066)); #41815=EDGE_LOOP('',(#227067,#227068,#227069,#227070)); #41816=EDGE_LOOP('',(#227071,#227072,#227073,#227074)); #41817=EDGE_LOOP('',(#227075,#227076,#227077,#227078)); #41818=EDGE_LOOP('',(#227079,#227080,#227081,#227082)); #41819=EDGE_LOOP('',(#227083,#227084,#227085,#227086)); #41820=EDGE_LOOP('',(#227087,#227088,#227089,#227090,#227091,#227092,#227093, #227094,#227095,#227096)); #41821=EDGE_LOOP('',(#227097)); #41822=EDGE_LOOP('',(#227098,#227099,#227100,#227101,#227102,#227103,#227104, #227105,#227106,#227107)); #41823=EDGE_LOOP('',(#227108)); #41824=EDGE_LOOP('',(#227109,#227110,#227111,#227112)); #41825=EDGE_LOOP('',(#227113,#227114,#227115,#227116)); #41826=EDGE_LOOP('',(#227117,#227118,#227119,#227120)); #41827=EDGE_LOOP('',(#227121,#227122,#227123,#227124)); #41828=EDGE_LOOP('',(#227125,#227126,#227127,#227128)); #41829=EDGE_LOOP('',(#227129,#227130,#227131,#227132)); #41830=EDGE_LOOP('',(#227133,#227134,#227135,#227136)); #41831=EDGE_LOOP('',(#227137,#227138,#227139,#227140)); #41832=EDGE_LOOP('',(#227141,#227142,#227143,#227144)); #41833=EDGE_LOOP('',(#227145,#227146,#227147,#227148)); #41834=EDGE_LOOP('',(#227149,#227150,#227151,#227152)); #41835=EDGE_LOOP('',(#227153,#227154,#227155,#227156)); #41836=EDGE_LOOP('',(#227157,#227158,#227159,#227160)); #41837=EDGE_LOOP('',(#227161,#227162,#227163,#227164)); #41838=EDGE_LOOP('',(#227165,#227166,#227167,#227168)); #41839=EDGE_LOOP('',(#227169,#227170,#227171,#227172)); #41840=EDGE_LOOP('',(#227173,#227174,#227175,#227176)); #41841=EDGE_LOOP('',(#227177,#227178,#227179,#227180)); #41842=EDGE_LOOP('',(#227181,#227182,#227183,#227184)); #41843=EDGE_LOOP('',(#227185,#227186,#227187,#227188)); #41844=EDGE_LOOP('',(#227189,#227190,#227191,#227192)); #41845=EDGE_LOOP('',(#227193,#227194,#227195,#227196)); #41846=EDGE_LOOP('',(#227197,#227198,#227199,#227200)); #41847=EDGE_LOOP('',(#227201,#227202,#227203,#227204,#227205,#227206,#227207, #227208,#227209,#227210,#227211,#227212,#227213,#227214,#227215,#227216, #227217,#227218,#227219,#227220,#227221)); #41848=EDGE_LOOP('',(#227222)); #41849=EDGE_LOOP('',(#227223)); #41850=EDGE_LOOP('',(#227224,#227225,#227226,#227227,#227228,#227229,#227230, #227231,#227232,#227233,#227234,#227235,#227236,#227237,#227238,#227239, #227240,#227241,#227242,#227243,#227244)); #41851=EDGE_LOOP('',(#227245)); #41852=EDGE_LOOP('',(#227246)); #41853=EDGE_LOOP('',(#227247,#227248,#227249,#227250)); #41854=EDGE_LOOP('',(#227251,#227252,#227253,#227254)); #41855=EDGE_LOOP('',(#227255,#227256,#227257,#227258)); #41856=EDGE_LOOP('',(#227259,#227260,#227261,#227262)); #41857=EDGE_LOOP('',(#227263,#227264,#227265,#227266)); #41858=EDGE_LOOP('',(#227267,#227268,#227269,#227270)); #41859=EDGE_LOOP('',(#227271,#227272,#227273,#227274)); #41860=EDGE_LOOP('',(#227275,#227276,#227277,#227278)); #41861=EDGE_LOOP('',(#227279,#227280,#227281,#227282)); #41862=EDGE_LOOP('',(#227283,#227284,#227285,#227286)); #41863=EDGE_LOOP('',(#227287,#227288,#227289,#227290)); #41864=EDGE_LOOP('',(#227291,#227292,#227293,#227294)); #41865=EDGE_LOOP('',(#227295,#227296,#227297,#227298)); #41866=EDGE_LOOP('',(#227299,#227300,#227301,#227302)); #41867=EDGE_LOOP('',(#227303,#227304,#227305,#227306,#227307,#227308,#227309, #227310,#227311,#227312,#227313,#227314,#227315,#227316)); #41868=EDGE_LOOP('',(#227317,#227318,#227319,#227320,#227321,#227322,#227323, #227324,#227325,#227326,#227327,#227328,#227329,#227330)); #41869=EDGE_LOOP('',(#227331,#227332,#227333,#227334)); #41870=EDGE_LOOP('',(#227335,#227336,#227337,#227338)); #41871=EDGE_LOOP('',(#227339,#227340,#227341,#227342)); #41872=EDGE_LOOP('',(#227343,#227344,#227345,#227346)); #41873=EDGE_LOOP('',(#227347,#227348,#227349,#227350)); #41874=EDGE_LOOP('',(#227351,#227352,#227353,#227354)); #41875=EDGE_LOOP('',(#227355,#227356,#227357,#227358)); #41876=EDGE_LOOP('',(#227359,#227360,#227361,#227362)); #41877=EDGE_LOOP('',(#227363,#227364,#227365,#227366)); #41878=EDGE_LOOP('',(#227367,#227368,#227369,#227370)); #41879=EDGE_LOOP('',(#227371,#227372,#227373,#227374)); #41880=EDGE_LOOP('',(#227375,#227376,#227377,#227378)); #41881=EDGE_LOOP('',(#227379,#227380,#227381,#227382)); #41882=EDGE_LOOP('',(#227383,#227384,#227385,#227386)); #41883=EDGE_LOOP('',(#227387,#227388,#227389,#227390)); #41884=EDGE_LOOP('',(#227391,#227392,#227393,#227394)); #41885=EDGE_LOOP('',(#227395,#227396,#227397,#227398)); #41886=EDGE_LOOP('',(#227399,#227400,#227401,#227402)); #41887=EDGE_LOOP('',(#227403,#227404,#227405,#227406)); #41888=EDGE_LOOP('',(#227407,#227408,#227409,#227410)); #41889=EDGE_LOOP('',(#227411,#227412,#227413,#227414)); #41890=EDGE_LOOP('',(#227415,#227416,#227417,#227418)); #41891=EDGE_LOOP('',(#227419,#227420,#227421,#227422)); #41892=EDGE_LOOP('',(#227423,#227424,#227425,#227426)); #41893=EDGE_LOOP('',(#227427,#227428,#227429,#227430)); #41894=EDGE_LOOP('',(#227431,#227432,#227433,#227434)); #41895=EDGE_LOOP('',(#227435,#227436,#227437,#227438)); #41896=EDGE_LOOP('',(#227439,#227440,#227441,#227442)); #41897=EDGE_LOOP('',(#227443,#227444,#227445,#227446)); #41898=EDGE_LOOP('',(#227447,#227448,#227449,#227450)); #41899=EDGE_LOOP('',(#227451,#227452,#227453,#227454)); #41900=EDGE_LOOP('',(#227455,#227456,#227457,#227458)); #41901=EDGE_LOOP('',(#227459,#227460,#227461,#227462)); #41902=EDGE_LOOP('',(#227463,#227464,#227465,#227466)); #41903=EDGE_LOOP('',(#227467,#227468,#227469,#227470)); #41904=EDGE_LOOP('',(#227471,#227472,#227473,#227474)); #41905=EDGE_LOOP('',(#227475,#227476,#227477,#227478)); #41906=EDGE_LOOP('',(#227479,#227480,#227481,#227482)); #41907=EDGE_LOOP('',(#227483,#227484,#227485,#227486)); #41908=EDGE_LOOP('',(#227487,#227488,#227489,#227490)); #41909=EDGE_LOOP('',(#227491,#227492,#227493,#227494)); #41910=EDGE_LOOP('',(#227495,#227496,#227497,#227498)); #41911=EDGE_LOOP('',(#227499,#227500,#227501,#227502)); #41912=EDGE_LOOP('',(#227503,#227504,#227505,#227506)); #41913=EDGE_LOOP('',(#227507,#227508,#227509,#227510)); #41914=EDGE_LOOP('',(#227511,#227512,#227513,#227514)); #41915=EDGE_LOOP('',(#227515,#227516,#227517,#227518)); #41916=EDGE_LOOP('',(#227519,#227520,#227521,#227522)); #41917=EDGE_LOOP('',(#227523,#227524,#227525,#227526)); #41918=EDGE_LOOP('',(#227527,#227528,#227529,#227530)); #41919=EDGE_LOOP('',(#227531,#227532,#227533,#227534)); #41920=EDGE_LOOP('',(#227535,#227536,#227537,#227538)); #41921=EDGE_LOOP('',(#227539,#227540,#227541,#227542)); #41922=EDGE_LOOP('',(#227543,#227544,#227545,#227546)); #41923=EDGE_LOOP('',(#227547,#227548,#227549,#227550)); #41924=EDGE_LOOP('',(#227551,#227552,#227553,#227554)); #41925=EDGE_LOOP('',(#227555,#227556,#227557,#227558)); #41926=EDGE_LOOP('',(#227559,#227560,#227561,#227562)); #41927=EDGE_LOOP('',(#227563,#227564,#227565,#227566)); #41928=EDGE_LOOP('',(#227567,#227568,#227569,#227570)); #41929=EDGE_LOOP('',(#227571,#227572,#227573,#227574)); #41930=EDGE_LOOP('',(#227575,#227576,#227577,#227578)); #41931=EDGE_LOOP('',(#227579,#227580,#227581,#227582)); #41932=EDGE_LOOP('',(#227583,#227584,#227585,#227586)); #41933=EDGE_LOOP('',(#227587,#227588,#227589,#227590)); #41934=EDGE_LOOP('',(#227591,#227592,#227593,#227594)); #41935=EDGE_LOOP('',(#227595,#227596,#227597,#227598)); #41936=EDGE_LOOP('',(#227599,#227600,#227601,#227602)); #41937=EDGE_LOOP('',(#227603,#227604,#227605,#227606)); #41938=EDGE_LOOP('',(#227607,#227608,#227609,#227610)); #41939=EDGE_LOOP('',(#227611,#227612,#227613,#227614)); #41940=EDGE_LOOP('',(#227615,#227616,#227617,#227618)); #41941=EDGE_LOOP('',(#227619,#227620,#227621,#227622)); #41942=EDGE_LOOP('',(#227623,#227624,#227625,#227626)); #41943=EDGE_LOOP('',(#227627,#227628,#227629,#227630)); #41944=EDGE_LOOP('',(#227631,#227632,#227633,#227634)); #41945=EDGE_LOOP('',(#227635,#227636,#227637,#227638)); #41946=EDGE_LOOP('',(#227639,#227640,#227641,#227642)); #41947=EDGE_LOOP('',(#227643,#227644,#227645,#227646)); #41948=EDGE_LOOP('',(#227647,#227648,#227649,#227650)); #41949=EDGE_LOOP('',(#227651,#227652,#227653,#227654)); #41950=EDGE_LOOP('',(#227655,#227656,#227657,#227658)); #41951=EDGE_LOOP('',(#227659,#227660,#227661,#227662)); #41952=EDGE_LOOP('',(#227663,#227664,#227665,#227666)); #41953=EDGE_LOOP('',(#227667,#227668,#227669,#227670)); #41954=EDGE_LOOP('',(#227671,#227672,#227673,#227674)); #41955=EDGE_LOOP('',(#227675,#227676,#227677,#227678)); #41956=EDGE_LOOP('',(#227679,#227680,#227681,#227682)); #41957=EDGE_LOOP('',(#227683,#227684,#227685,#227686)); #41958=EDGE_LOOP('',(#227687,#227688,#227689,#227690)); #41959=EDGE_LOOP('',(#227691,#227692,#227693,#227694)); #41960=EDGE_LOOP('',(#227695,#227696,#227697,#227698)); #41961=EDGE_LOOP('',(#227699,#227700,#227701,#227702)); #41962=EDGE_LOOP('',(#227703,#227704,#227705,#227706)); #41963=EDGE_LOOP('',(#227707,#227708,#227709,#227710)); #41964=EDGE_LOOP('',(#227711,#227712,#227713,#227714)); #41965=EDGE_LOOP('',(#227715,#227716,#227717,#227718)); #41966=EDGE_LOOP('',(#227719,#227720,#227721,#227722)); #41967=EDGE_LOOP('',(#227723,#227724,#227725,#227726)); #41968=EDGE_LOOP('',(#227727,#227728,#227729,#227730)); #41969=EDGE_LOOP('',(#227731,#227732,#227733,#227734)); #41970=EDGE_LOOP('',(#227735,#227736,#227737,#227738)); #41971=EDGE_LOOP('',(#227739,#227740,#227741,#227742)); #41972=EDGE_LOOP('',(#227743,#227744,#227745,#227746)); #41973=EDGE_LOOP('',(#227747,#227748,#227749,#227750)); #41974=EDGE_LOOP('',(#227751,#227752,#227753,#227754)); #41975=EDGE_LOOP('',(#227755,#227756,#227757,#227758)); #41976=EDGE_LOOP('',(#227759,#227760,#227761,#227762)); #41977=EDGE_LOOP('',(#227763,#227764,#227765,#227766)); #41978=EDGE_LOOP('',(#227767,#227768,#227769,#227770)); #41979=EDGE_LOOP('',(#227771,#227772,#227773,#227774)); #41980=EDGE_LOOP('',(#227775,#227776,#227777,#227778)); #41981=EDGE_LOOP('',(#227779,#227780,#227781,#227782)); #41982=EDGE_LOOP('',(#227783,#227784,#227785,#227786)); #41983=EDGE_LOOP('',(#227787,#227788,#227789,#227790)); #41984=EDGE_LOOP('',(#227791,#227792,#227793,#227794)); #41985=EDGE_LOOP('',(#227795,#227796,#227797,#227798)); #41986=EDGE_LOOP('',(#227799,#227800,#227801,#227802)); #41987=EDGE_LOOP('',(#227803,#227804,#227805,#227806)); #41988=EDGE_LOOP('',(#227807,#227808,#227809,#227810)); #41989=EDGE_LOOP('',(#227811,#227812,#227813,#227814)); #41990=EDGE_LOOP('',(#227815,#227816,#227817,#227818)); #41991=EDGE_LOOP('',(#227819,#227820,#227821,#227822)); #41992=EDGE_LOOP('',(#227823,#227824,#227825,#227826)); #41993=EDGE_LOOP('',(#227827,#227828,#227829,#227830)); #41994=EDGE_LOOP('',(#227831,#227832,#227833,#227834)); #41995=EDGE_LOOP('',(#227835,#227836,#227837,#227838)); #41996=EDGE_LOOP('',(#227839,#227840,#227841,#227842)); #41997=EDGE_LOOP('',(#227843,#227844,#227845,#227846)); #41998=EDGE_LOOP('',(#227847,#227848,#227849,#227850)); #41999=EDGE_LOOP('',(#227851,#227852,#227853,#227854)); #42000=EDGE_LOOP('',(#227855,#227856,#227857,#227858)); #42001=EDGE_LOOP('',(#227859,#227860,#227861,#227862)); #42002=EDGE_LOOP('',(#227863,#227864,#227865,#227866)); #42003=EDGE_LOOP('',(#227867,#227868,#227869,#227870)); #42004=EDGE_LOOP('',(#227871,#227872,#227873,#227874)); #42005=EDGE_LOOP('',(#227875,#227876,#227877,#227878)); #42006=EDGE_LOOP('',(#227879,#227880,#227881,#227882)); #42007=EDGE_LOOP('',(#227883,#227884,#227885,#227886)); #42008=EDGE_LOOP('',(#227887,#227888,#227889,#227890)); #42009=EDGE_LOOP('',(#227891,#227892,#227893,#227894)); #42010=EDGE_LOOP('',(#227895,#227896,#227897,#227898)); #42011=EDGE_LOOP('',(#227899,#227900,#227901,#227902)); #42012=EDGE_LOOP('',(#227903,#227904,#227905,#227906)); #42013=EDGE_LOOP('',(#227907,#227908,#227909,#227910,#227911,#227912,#227913, #227914,#227915,#227916,#227917,#227918,#227919,#227920,#227921,#227922, #227923,#227924,#227925,#227926,#227927,#227928,#227929,#227930,#227931, #227932,#227933,#227934,#227935,#227936,#227937,#227938,#227939,#227940, #227941,#227942,#227943,#227944,#227945,#227946,#227947,#227948,#227949, #227950,#227951,#227952,#227953,#227954,#227955,#227956,#227957,#227958, #227959,#227960,#227961,#227962,#227963,#227964,#227965,#227966,#227967, #227968,#227969,#227970,#227971,#227972,#227973,#227974,#227975,#227976, #227977,#227978,#227979,#227980,#227981,#227982,#227983,#227984,#227985, #227986,#227987,#227988,#227989,#227990,#227991,#227992,#227993,#227994, #227995,#227996,#227997,#227998,#227999,#228000,#228001,#228002,#228003, #228004,#228005,#228006,#228007,#228008,#228009,#228010,#228011,#228012, #228013,#228014,#228015,#228016,#228017,#228018,#228019,#228020,#228021, #228022,#228023,#228024,#228025,#228026,#228027,#228028,#228029,#228030, #228031,#228032,#228033,#228034,#228035,#228036,#228037,#228038,#228039)); #42014=EDGE_LOOP('',(#228040)); #42015=EDGE_LOOP('',(#228041)); #42016=EDGE_LOOP('',(#228042)); #42017=EDGE_LOOP('',(#228043)); #42018=EDGE_LOOP('',(#228044)); #42019=EDGE_LOOP('',(#228045)); #42020=EDGE_LOOP('',(#228046)); #42021=EDGE_LOOP('',(#228047)); #42022=EDGE_LOOP('',(#228048)); #42023=EDGE_LOOP('',(#228049)); #42024=EDGE_LOOP('',(#228050)); #42025=EDGE_LOOP('',(#228051,#228052,#228053,#228054,#228055,#228056,#228057, #228058,#228059,#228060,#228061,#228062,#228063,#228064,#228065,#228066, #228067,#228068,#228069,#228070,#228071,#228072,#228073,#228074,#228075, #228076,#228077,#228078,#228079,#228080,#228081,#228082,#228083,#228084, #228085,#228086,#228087,#228088,#228089,#228090,#228091,#228092,#228093, #228094,#228095,#228096,#228097,#228098,#228099,#228100,#228101,#228102, #228103,#228104,#228105,#228106,#228107,#228108,#228109,#228110,#228111, #228112,#228113,#228114,#228115,#228116,#228117,#228118,#228119,#228120, #228121,#228122,#228123,#228124,#228125,#228126,#228127,#228128,#228129, #228130,#228131,#228132,#228133,#228134,#228135,#228136,#228137,#228138, #228139,#228140,#228141,#228142,#228143,#228144,#228145,#228146,#228147, #228148,#228149,#228150,#228151,#228152,#228153,#228154,#228155,#228156, #228157,#228158,#228159,#228160,#228161,#228162,#228163,#228164,#228165, #228166,#228167,#228168,#228169,#228170,#228171,#228172,#228173,#228174, #228175,#228176,#228177,#228178,#228179,#228180,#228181,#228182,#228183)); #42026=EDGE_LOOP('',(#228184)); #42027=EDGE_LOOP('',(#228185)); #42028=EDGE_LOOP('',(#228186)); #42029=EDGE_LOOP('',(#228187)); #42030=EDGE_LOOP('',(#228188)); #42031=EDGE_LOOP('',(#228189)); #42032=EDGE_LOOP('',(#228190)); #42033=EDGE_LOOP('',(#228191)); #42034=EDGE_LOOP('',(#228192)); #42035=EDGE_LOOP('',(#228193)); #42036=EDGE_LOOP('',(#228194)); #42037=EDGE_LOOP('',(#228195,#228196,#228197,#228198)); #42038=EDGE_LOOP('',(#228199,#228200,#228201,#228202)); #42039=EDGE_LOOP('',(#228203,#228204,#228205,#228206)); #42040=EDGE_LOOP('',(#228207,#228208,#228209,#228210)); #42041=EDGE_LOOP('',(#228211,#228212,#228213)); #42042=EDGE_LOOP('',(#228214)); #42043=EDGE_LOOP('',(#228215,#228216,#228217)); #42044=EDGE_LOOP('',(#228218)); #42045=EDGE_LOOP('',(#228219,#228220,#228221,#228222)); #42046=EDGE_LOOP('',(#228223,#228224,#228225,#228226)); #42047=EDGE_LOOP('',(#228227,#228228,#228229,#228230)); #42048=EDGE_LOOP('',(#228231,#228232,#228233,#228234)); #42049=EDGE_LOOP('',(#228235,#228236,#228237,#228238)); #42050=EDGE_LOOP('',(#228239,#228240,#228241,#228242)); #42051=EDGE_LOOP('',(#228243,#228244,#228245,#228246)); #42052=EDGE_LOOP('',(#228247,#228248,#228249,#228250)); #42053=EDGE_LOOP('',(#228251,#228252,#228253,#228254)); #42054=EDGE_LOOP('',(#228255,#228256,#228257,#228258)); #42055=EDGE_LOOP('',(#228259,#228260,#228261,#228262)); #42056=EDGE_LOOP('',(#228263,#228264,#228265,#228266)); #42057=EDGE_LOOP('',(#228267,#228268,#228269,#228270)); #42058=EDGE_LOOP('',(#228271,#228272,#228273,#228274)); #42059=EDGE_LOOP('',(#228275,#228276,#228277,#228278)); #42060=EDGE_LOOP('',(#228279,#228280,#228281,#228282)); #42061=EDGE_LOOP('',(#228283,#228284,#228285,#228286)); #42062=EDGE_LOOP('',(#228287,#228288,#228289,#228290)); #42063=EDGE_LOOP('',(#228291,#228292,#228293,#228294)); #42064=EDGE_LOOP('',(#228295,#228296,#228297,#228298)); #42065=EDGE_LOOP('',(#228299,#228300,#228301,#228302,#228303,#228304,#228305, #228306,#228307,#228308,#228309,#228310,#228311,#228312,#228313,#228314, #228315,#228316,#228317,#228318)); #42066=EDGE_LOOP('',(#228319,#228320,#228321,#228322,#228323,#228324,#228325, #228326,#228327,#228328,#228329,#228330,#228331,#228332,#228333,#228334, #228335,#228336,#228337,#228338)); #42067=EDGE_LOOP('',(#228339,#228340,#228341,#228342)); #42068=EDGE_LOOP('',(#228343,#228344,#228345,#228346)); #42069=EDGE_LOOP('',(#228347,#228348,#228349,#228350)); #42070=EDGE_LOOP('',(#228351,#228352,#228353,#228354)); #42071=EDGE_LOOP('',(#228355,#228356,#228357,#228358)); #42072=EDGE_LOOP('',(#228359,#228360,#228361,#228362)); #42073=EDGE_LOOP('',(#228363,#228364,#228365,#228366)); #42074=EDGE_LOOP('',(#228367,#228368,#228369,#228370)); #42075=EDGE_LOOP('',(#228371,#228372,#228373,#228374)); #42076=EDGE_LOOP('',(#228375,#228376,#228377,#228378)); #42077=EDGE_LOOP('',(#228379,#228380,#228381,#228382)); #42078=EDGE_LOOP('',(#228383,#228384,#228385,#228386)); #42079=EDGE_LOOP('',(#228387,#228388,#228389,#228390)); #42080=EDGE_LOOP('',(#228391,#228392,#228393,#228394)); #42081=EDGE_LOOP('',(#228395,#228396,#228397,#228398)); #42082=EDGE_LOOP('',(#228399,#228400,#228401,#228402)); #42083=EDGE_LOOP('',(#228403,#228404,#228405,#228406)); #42084=EDGE_LOOP('',(#228407,#228408,#228409,#228410)); #42085=EDGE_LOOP('',(#228411,#228412,#228413,#228414)); #42086=EDGE_LOOP('',(#228415,#228416,#228417,#228418)); #42087=EDGE_LOOP('',(#228419,#228420,#228421,#228422)); #42088=EDGE_LOOP('',(#228423,#228424,#228425,#228426,#228427,#228428,#228429, #228430,#228431,#228432,#228433,#228434,#228435,#228436,#228437,#228438, #228439,#228440,#228441,#228442,#228443)); #42089=EDGE_LOOP('',(#228444,#228445,#228446,#228447,#228448,#228449,#228450, #228451,#228452,#228453,#228454,#228455,#228456,#228457,#228458,#228459, #228460,#228461,#228462,#228463,#228464)); #42090=EDGE_LOOP('',(#228465,#228466,#228467,#228468)); #42091=EDGE_LOOP('',(#228469,#228470,#228471,#228472)); #42092=EDGE_LOOP('',(#228473,#228474,#228475,#228476)); #42093=EDGE_LOOP('',(#228477,#228478,#228479,#228480)); #42094=EDGE_LOOP('',(#228481,#228482,#228483,#228484)); #42095=EDGE_LOOP('',(#228485,#228486,#228487,#228488)); #42096=EDGE_LOOP('',(#228489,#228490,#228491,#228492)); #42097=EDGE_LOOP('',(#228493,#228494,#228495,#228496)); #42098=EDGE_LOOP('',(#228497,#228498,#228499,#228500)); #42099=EDGE_LOOP('',(#228501,#228502,#228503,#228504)); #42100=EDGE_LOOP('',(#228505,#228506,#228507,#228508)); #42101=EDGE_LOOP('',(#228509,#228510,#228511,#228512)); #42102=EDGE_LOOP('',(#228513,#228514,#228515,#228516)); #42103=EDGE_LOOP('',(#228517,#228518,#228519,#228520)); #42104=EDGE_LOOP('',(#228521,#228522,#228523,#228524)); #42105=EDGE_LOOP('',(#228525,#228526,#228527,#228528)); #42106=EDGE_LOOP('',(#228529,#228530,#228531,#228532)); #42107=EDGE_LOOP('',(#228533,#228534,#228535,#228536)); #42108=EDGE_LOOP('',(#228537,#228538,#228539,#228540)); #42109=EDGE_LOOP('',(#228541,#228542,#228543,#228544)); #42110=EDGE_LOOP('',(#228545,#228546,#228547,#228548,#228549,#228550,#228551, #228552,#228553,#228554,#228555,#228556,#228557,#228558,#228559,#228560, #228561,#228562,#228563,#228564)); #42111=EDGE_LOOP('',(#228565,#228566,#228567,#228568,#228569,#228570,#228571, #228572,#228573,#228574,#228575,#228576,#228577,#228578,#228579,#228580, #228581,#228582,#228583,#228584)); #42112=EDGE_LOOP('',(#228585,#228586,#228587,#228588)); #42113=EDGE_LOOP('',(#228589,#228590,#228591,#228592)); #42114=EDGE_LOOP('',(#228593,#228594,#228595,#228596)); #42115=EDGE_LOOP('',(#228597,#228598,#228599,#228600)); #42116=EDGE_LOOP('',(#228601,#228602,#228603,#228604)); #42117=EDGE_LOOP('',(#228605,#228606,#228607,#228608)); #42118=EDGE_LOOP('',(#228609,#228610,#228611,#228612)); #42119=EDGE_LOOP('',(#228613,#228614,#228615,#228616)); #42120=EDGE_LOOP('',(#228617,#228618,#228619,#228620)); #42121=EDGE_LOOP('',(#228621,#228622,#228623,#228624)); #42122=EDGE_LOOP('',(#228625,#228626,#228627,#228628)); #42123=EDGE_LOOP('',(#228629,#228630,#228631,#228632)); #42124=EDGE_LOOP('',(#228633,#228634,#228635,#228636)); #42125=EDGE_LOOP('',(#228637,#228638,#228639,#228640)); #42126=EDGE_LOOP('',(#228641,#228642,#228643,#228644)); #42127=EDGE_LOOP('',(#228645,#228646,#228647,#228648)); #42128=EDGE_LOOP('',(#228649,#228650,#228651,#228652)); #42129=EDGE_LOOP('',(#228653,#228654,#228655,#228656)); #42130=EDGE_LOOP('',(#228657,#228658,#228659,#228660)); #42131=EDGE_LOOP('',(#228661,#228662,#228663,#228664)); #42132=EDGE_LOOP('',(#228665,#228666,#228667,#228668)); #42133=EDGE_LOOP('',(#228669,#228670,#228671,#228672,#228673,#228674,#228675, #228676,#228677,#228678,#228679,#228680,#228681,#228682,#228683,#228684, #228685,#228686,#228687,#228688,#228689)); #42134=EDGE_LOOP('',(#228690,#228691,#228692,#228693,#228694,#228695,#228696, #228697,#228698,#228699,#228700,#228701,#228702,#228703,#228704,#228705, #228706,#228707,#228708,#228709,#228710)); #42135=EDGE_LOOP('',(#228711,#228712,#228713,#228714)); #42136=EDGE_LOOP('',(#228715,#228716,#228717,#228718)); #42137=EDGE_LOOP('',(#228719,#228720,#228721,#228722)); #42138=EDGE_LOOP('',(#228723,#228724,#228725,#228726)); #42139=EDGE_LOOP('',(#228727,#228728,#228729,#228730)); #42140=EDGE_LOOP('',(#228731,#228732,#228733,#228734)); #42141=EDGE_LOOP('',(#228735,#228736,#228737,#228738)); #42142=EDGE_LOOP('',(#228739,#228740,#228741,#228742)); #42143=EDGE_LOOP('',(#228743,#228744,#228745,#228746)); #42144=EDGE_LOOP('',(#228747,#228748,#228749,#228750)); #42145=EDGE_LOOP('',(#228751,#228752,#228753,#228754)); #42146=EDGE_LOOP('',(#228755,#228756,#228757,#228758)); #42147=EDGE_LOOP('',(#228759,#228760,#228761,#228762)); #42148=EDGE_LOOP('',(#228763,#228764,#228765,#228766)); #42149=EDGE_LOOP('',(#228767,#228768,#228769,#228770)); #42150=EDGE_LOOP('',(#228771,#228772,#228773,#228774)); #42151=EDGE_LOOP('',(#228775,#228776,#228777,#228778)); #42152=EDGE_LOOP('',(#228779,#228780,#228781,#228782)); #42153=EDGE_LOOP('',(#228783,#228784,#228785,#228786)); #42154=EDGE_LOOP('',(#228787,#228788,#228789,#228790)); #42155=EDGE_LOOP('',(#228791,#228792,#228793,#228794)); #42156=EDGE_LOOP('',(#228795,#228796,#228797,#228798)); #42157=EDGE_LOOP('',(#228799,#228800,#228801,#228802)); #42158=EDGE_LOOP('',(#228803,#228804,#228805,#228806)); #42159=EDGE_LOOP('',(#228807,#228808,#228809,#228810)); #42160=EDGE_LOOP('',(#228811,#228812,#228813,#228814)); #42161=EDGE_LOOP('',(#228815,#228816,#228817,#228818)); #42162=EDGE_LOOP('',(#228819,#228820,#228821,#228822)); #42163=EDGE_LOOP('',(#228823,#228824,#228825,#228826)); #42164=EDGE_LOOP('',(#228827,#228828,#228829,#228830)); #42165=EDGE_LOOP('',(#228831,#228832,#228833,#228834)); #42166=EDGE_LOOP('',(#228835,#228836,#228837,#228838)); #42167=EDGE_LOOP('',(#228839,#228840,#228841,#228842)); #42168=EDGE_LOOP('',(#228843,#228844,#228845,#228846)); #42169=EDGE_LOOP('',(#228847,#228848,#228849,#228850)); #42170=EDGE_LOOP('',(#228851,#228852,#228853,#228854)); #42171=EDGE_LOOP('',(#228855,#228856,#228857,#228858)); #42172=EDGE_LOOP('',(#228859,#228860,#228861,#228862)); #42173=EDGE_LOOP('',(#228863,#228864,#228865,#228866)); #42174=EDGE_LOOP('',(#228867,#228868,#228869,#228870)); #42175=EDGE_LOOP('',(#228871,#228872,#228873,#228874)); #42176=EDGE_LOOP('',(#228875,#228876,#228877,#228878)); #42177=EDGE_LOOP('',(#228879,#228880,#228881,#228882)); #42178=EDGE_LOOP('',(#228883,#228884,#228885,#228886)); #42179=EDGE_LOOP('',(#228887,#228888,#228889,#228890)); #42180=EDGE_LOOP('',(#228891,#228892,#228893,#228894)); #42181=EDGE_LOOP('',(#228895,#228896,#228897,#228898)); #42182=EDGE_LOOP('',(#228899,#228900,#228901,#228902)); #42183=EDGE_LOOP('',(#228903,#228904,#228905,#228906)); #42184=EDGE_LOOP('',(#228907,#228908,#228909,#228910)); #42185=EDGE_LOOP('',(#228911,#228912,#228913,#228914)); #42186=EDGE_LOOP('',(#228915,#228916,#228917,#228918)); #42187=EDGE_LOOP('',(#228919,#228920,#228921,#228922)); #42188=EDGE_LOOP('',(#228923,#228924,#228925,#228926)); #42189=EDGE_LOOP('',(#228927,#228928,#228929,#228930)); #42190=EDGE_LOOP('',(#228931,#228932,#228933,#228934)); #42191=EDGE_LOOP('',(#228935,#228936,#228937,#228938)); #42192=EDGE_LOOP('',(#228939,#228940,#228941,#228942)); #42193=EDGE_LOOP('',(#228943,#228944,#228945,#228946)); #42194=EDGE_LOOP('',(#228947,#228948,#228949,#228950)); #42195=EDGE_LOOP('',(#228951,#228952,#228953,#228954)); #42196=EDGE_LOOP('',(#228955,#228956,#228957,#228958)); #42197=EDGE_LOOP('',(#228959,#228960,#228961,#228962)); #42198=EDGE_LOOP('',(#228963,#228964,#228965,#228966)); #42199=EDGE_LOOP('',(#228967,#228968,#228969,#228970)); #42200=EDGE_LOOP('',(#228971,#228972,#228973,#228974)); #42201=EDGE_LOOP('',(#228975,#228976,#228977,#228978)); #42202=EDGE_LOOP('',(#228979,#228980,#228981,#228982)); #42203=EDGE_LOOP('',(#228983,#228984,#228985,#228986)); #42204=EDGE_LOOP('',(#228987,#228988,#228989,#228990)); #42205=EDGE_LOOP('',(#228991,#228992,#228993,#228994)); #42206=EDGE_LOOP('',(#228995,#228996,#228997,#228998)); #42207=EDGE_LOOP('',(#228999,#229000,#229001,#229002)); #42208=EDGE_LOOP('',(#229003,#229004,#229005,#229006)); #42209=EDGE_LOOP('',(#229007,#229008,#229009,#229010)); #42210=EDGE_LOOP('',(#229011,#229012,#229013,#229014)); #42211=EDGE_LOOP('',(#229015,#229016,#229017,#229018)); #42212=EDGE_LOOP('',(#229019,#229020,#229021,#229022)); #42213=EDGE_LOOP('',(#229023,#229024,#229025,#229026)); #42214=EDGE_LOOP('',(#229027,#229028,#229029,#229030)); #42215=EDGE_LOOP('',(#229031,#229032,#229033,#229034)); #42216=EDGE_LOOP('',(#229035,#229036,#229037,#229038)); #42217=EDGE_LOOP('',(#229039,#229040,#229041,#229042)); #42218=EDGE_LOOP('',(#229043,#229044,#229045,#229046)); #42219=EDGE_LOOP('',(#229047,#229048,#229049,#229050)); #42220=EDGE_LOOP('',(#229051,#229052,#229053,#229054)); #42221=EDGE_LOOP('',(#229055,#229056,#229057,#229058)); #42222=EDGE_LOOP('',(#229059,#229060,#229061,#229062)); #42223=EDGE_LOOP('',(#229063,#229064,#229065,#229066)); #42224=EDGE_LOOP('',(#229067,#229068,#229069,#229070)); #42225=EDGE_LOOP('',(#229071,#229072,#229073,#229074)); #42226=EDGE_LOOP('',(#229075,#229076,#229077,#229078)); #42227=EDGE_LOOP('',(#229079,#229080,#229081,#229082)); #42228=EDGE_LOOP('',(#229083,#229084,#229085,#229086,#229087,#229088,#229089, #229090,#229091,#229092,#229093,#229094,#229095,#229096,#229097,#229098, #229099,#229100,#229101,#229102,#229103,#229104,#229105,#229106,#229107, #229108,#229109,#229110,#229111,#229112,#229113,#229114,#229115,#229116, #229117,#229118,#229119,#229120,#229121,#229122,#229123,#229124,#229125, #229126,#229127,#229128,#229129,#229130,#229131,#229132,#229133,#229134, #229135,#229136,#229137,#229138,#229139,#229140,#229141,#229142,#229143, #229144,#229145,#229146,#229147,#229148,#229149,#229150,#229151,#229152, #229153,#229154,#229155,#229156,#229157,#229158,#229159,#229160,#229161, #229162,#229163,#229164,#229165,#229166,#229167,#229168,#229169,#229170, #229171,#229172)); #42229=EDGE_LOOP('',(#229173)); #42230=EDGE_LOOP('',(#229174)); #42231=EDGE_LOOP('',(#229175)); #42232=EDGE_LOOP('',(#229176,#229177,#229178,#229179,#229180,#229181,#229182, #229183,#229184,#229185,#229186,#229187,#229188,#229189,#229190,#229191, #229192,#229193,#229194,#229195,#229196,#229197,#229198,#229199,#229200, #229201,#229202,#229203,#229204,#229205,#229206,#229207,#229208,#229209, #229210,#229211,#229212,#229213,#229214,#229215,#229216,#229217,#229218, #229219,#229220,#229221,#229222,#229223,#229224,#229225,#229226,#229227, #229228,#229229,#229230,#229231,#229232,#229233,#229234,#229235,#229236, #229237,#229238,#229239,#229240,#229241,#229242,#229243,#229244,#229245, #229246,#229247,#229248,#229249,#229250,#229251,#229252,#229253,#229254, #229255,#229256,#229257,#229258,#229259,#229260,#229261,#229262,#229263, #229264,#229265)); #42233=EDGE_LOOP('',(#229266)); #42234=EDGE_LOOP('',(#229267)); #42235=EDGE_LOOP('',(#229268)); #42236=EDGE_LOOP('',(#229269,#229270,#229271,#229272)); #42237=EDGE_LOOP('',(#229273,#229274,#229275,#229276)); #42238=EDGE_LOOP('',(#229277,#229278,#229279,#229280)); #42239=EDGE_LOOP('',(#229281,#229282,#229283,#229284)); #42240=EDGE_LOOP('',(#229285,#229286,#229287,#229288)); #42241=EDGE_LOOP('',(#229289,#229290,#229291,#229292)); #42242=EDGE_LOOP('',(#229293,#229294,#229295,#229296)); #42243=EDGE_LOOP('',(#229297,#229298,#229299,#229300)); #42244=EDGE_LOOP('',(#229301,#229302,#229303,#229304)); #42245=EDGE_LOOP('',(#229305,#229306,#229307,#229308)); #42246=EDGE_LOOP('',(#229309,#229310,#229311,#229312)); #42247=EDGE_LOOP('',(#229313,#229314,#229315,#229316)); #42248=EDGE_LOOP('',(#229317,#229318,#229319,#229320)); #42249=EDGE_LOOP('',(#229321,#229322,#229323,#229324)); #42250=EDGE_LOOP('',(#229325,#229326,#229327,#229328)); #42251=EDGE_LOOP('',(#229329,#229330,#229331,#229332)); #42252=EDGE_LOOP('',(#229333,#229334,#229335,#229336)); #42253=EDGE_LOOP('',(#229337,#229338,#229339,#229340)); #42254=EDGE_LOOP('',(#229341,#229342,#229343,#229344)); #42255=EDGE_LOOP('',(#229345,#229346,#229347,#229348)); #42256=EDGE_LOOP('',(#229349,#229350,#229351,#229352)); #42257=EDGE_LOOP('',(#229353,#229354,#229355,#229356)); #42258=EDGE_LOOP('',(#229357,#229358,#229359,#229360)); #42259=EDGE_LOOP('',(#229361,#229362,#229363,#229364)); #42260=EDGE_LOOP('',(#229365,#229366,#229367,#229368)); #42261=EDGE_LOOP('',(#229369,#229370,#229371,#229372)); #42262=EDGE_LOOP('',(#229373,#229374,#229375,#229376)); #42263=EDGE_LOOP('',(#229377,#229378,#229379,#229380)); #42264=EDGE_LOOP('',(#229381,#229382,#229383,#229384)); #42265=EDGE_LOOP('',(#229385,#229386,#229387,#229388)); #42266=EDGE_LOOP('',(#229389,#229390,#229391,#229392)); #42267=EDGE_LOOP('',(#229393,#229394,#229395,#229396)); #42268=EDGE_LOOP('',(#229397,#229398,#229399,#229400)); #42269=EDGE_LOOP('',(#229401,#229402,#229403,#229404)); #42270=EDGE_LOOP('',(#229405,#229406,#229407,#229408,#229409,#229410,#229411, #229412,#229413,#229414,#229415,#229416,#229417,#229418,#229419,#229420, #229421,#229422,#229423,#229424,#229425,#229426,#229427,#229428,#229429, #229430,#229431,#229432,#229433,#229434,#229435,#229436,#229437,#229438)); #42271=EDGE_LOOP('',(#229439,#229440,#229441,#229442,#229443,#229444,#229445, #229446,#229447,#229448,#229449,#229450,#229451,#229452,#229453,#229454, #229455,#229456,#229457,#229458,#229459,#229460,#229461,#229462,#229463, #229464,#229465,#229466,#229467,#229468,#229469,#229470,#229471,#229472)); #42272=EDGE_LOOP('',(#229473,#229474,#229475,#229476)); #42273=EDGE_LOOP('',(#229477,#229478,#229479,#229480)); #42274=EDGE_LOOP('',(#229481,#229482,#229483,#229484)); #42275=EDGE_LOOP('',(#229485,#229486,#229487,#229488)); #42276=EDGE_LOOP('',(#229489,#229490,#229491,#229492)); #42277=EDGE_LOOP('',(#229493,#229494,#229495,#229496)); #42278=EDGE_LOOP('',(#229497,#229498,#229499,#229500)); #42279=EDGE_LOOP('',(#229501,#229502,#229503,#229504)); #42280=EDGE_LOOP('',(#229505,#229506,#229507,#229508)); #42281=EDGE_LOOP('',(#229509,#229510,#229511,#229512)); #42282=EDGE_LOOP('',(#229513,#229514,#229515,#229516)); #42283=EDGE_LOOP('',(#229517,#229518,#229519,#229520)); #42284=EDGE_LOOP('',(#229521,#229522,#229523,#229524)); #42285=EDGE_LOOP('',(#229525,#229526,#229527,#229528)); #42286=EDGE_LOOP('',(#229529,#229530,#229531,#229532)); #42287=EDGE_LOOP('',(#229533,#229534,#229535,#229536)); #42288=EDGE_LOOP('',(#229537,#229538,#229539,#229540)); #42289=EDGE_LOOP('',(#229541,#229542,#229543,#229544)); #42290=EDGE_LOOP('',(#229545,#229546,#229547,#229548)); #42291=EDGE_LOOP('',(#229549,#229550,#229551,#229552)); #42292=EDGE_LOOP('',(#229553,#229554,#229555,#229556,#229557,#229558,#229559, #229560,#229561,#229562,#229563,#229564,#229565,#229566,#229567,#229568, #229569,#229570,#229571,#229572)); #42293=EDGE_LOOP('',(#229573,#229574,#229575,#229576,#229577,#229578,#229579, #229580,#229581,#229582,#229583,#229584,#229585,#229586,#229587,#229588, #229589,#229590,#229591,#229592)); #42294=EDGE_LOOP('',(#229593,#229594,#229595,#229596)); #42295=EDGE_LOOP('',(#229597,#229598,#229599,#229600)); #42296=EDGE_LOOP('',(#229601,#229602,#229603,#229604)); #42297=EDGE_LOOP('',(#229605,#229606,#229607,#229608)); #42298=EDGE_LOOP('',(#229609,#229610,#229611,#229612)); #42299=EDGE_LOOP('',(#229613,#229614,#229615,#229616)); #42300=EDGE_LOOP('',(#229617,#229618,#229619,#229620)); #42301=EDGE_LOOP('',(#229621,#229622,#229623,#229624)); #42302=EDGE_LOOP('',(#229625,#229626,#229627,#229628)); #42303=EDGE_LOOP('',(#229629,#229630,#229631,#229632)); #42304=EDGE_LOOP('',(#229633,#229634,#229635,#229636)); #42305=EDGE_LOOP('',(#229637,#229638,#229639,#229640)); #42306=EDGE_LOOP('',(#229641,#229642,#229643,#229644)); #42307=EDGE_LOOP('',(#229645,#229646,#229647,#229648)); #42308=EDGE_LOOP('',(#229649,#229650,#229651,#229652)); #42309=EDGE_LOOP('',(#229653,#229654,#229655,#229656)); #42310=EDGE_LOOP('',(#229657,#229658,#229659,#229660)); #42311=EDGE_LOOP('',(#229661,#229662,#229663,#229664)); #42312=EDGE_LOOP('',(#229665,#229666,#229667,#229668)); #42313=EDGE_LOOP('',(#229669,#229670,#229671,#229672)); #42314=EDGE_LOOP('',(#229673,#229674,#229675,#229676)); #42315=EDGE_LOOP('',(#229677,#229678,#229679,#229680,#229681,#229682,#229683, #229684,#229685,#229686,#229687,#229688,#229689,#229690,#229691,#229692, #229693,#229694,#229695,#229696,#229697)); #42316=EDGE_LOOP('',(#229698,#229699,#229700,#229701,#229702,#229703,#229704, #229705,#229706,#229707,#229708,#229709,#229710,#229711,#229712,#229713, #229714,#229715,#229716,#229717,#229718)); #42317=EDGE_LOOP('',(#229719,#229720,#229721,#229722)); #42318=EDGE_LOOP('',(#229723,#229724,#229725,#229726)); #42319=EDGE_LOOP('',(#229727,#229728,#229729,#229730)); #42320=EDGE_LOOP('',(#229731,#229732,#229733,#229734)); #42321=EDGE_LOOP('',(#229735,#229736,#229737,#229738)); #42322=EDGE_LOOP('',(#229739,#229740,#229741,#229742)); #42323=EDGE_LOOP('',(#229743,#229744,#229745,#229746)); #42324=EDGE_LOOP('',(#229747,#229748,#229749,#229750)); #42325=EDGE_LOOP('',(#229751,#229752,#229753,#229754)); #42326=EDGE_LOOP('',(#229755,#229756,#229757,#229758)); #42327=EDGE_LOOP('',(#229759,#229760,#229761,#229762)); #42328=EDGE_LOOP('',(#229763,#229764,#229765,#229766)); #42329=EDGE_LOOP('',(#229767,#229768,#229769,#229770)); #42330=EDGE_LOOP('',(#229771,#229772,#229773,#229774)); #42331=EDGE_LOOP('',(#229775,#229776,#229777,#229778)); #42332=EDGE_LOOP('',(#229779,#229780,#229781,#229782)); #42333=EDGE_LOOP('',(#229783,#229784,#229785,#229786)); #42334=EDGE_LOOP('',(#229787,#229788,#229789,#229790)); #42335=EDGE_LOOP('',(#229791,#229792,#229793,#229794)); #42336=EDGE_LOOP('',(#229795,#229796,#229797,#229798)); #42337=EDGE_LOOP('',(#229799,#229800,#229801,#229802)); #42338=EDGE_LOOP('',(#229803,#229804,#229805,#229806)); #42339=EDGE_LOOP('',(#229807,#229808,#229809,#229810)); #42340=EDGE_LOOP('',(#229811,#229812,#229813,#229814)); #42341=EDGE_LOOP('',(#229815,#229816,#229817,#229818)); #42342=EDGE_LOOP('',(#229819,#229820,#229821,#229822)); #42343=EDGE_LOOP('',(#229823,#229824,#229825,#229826)); #42344=EDGE_LOOP('',(#229827,#229828,#229829,#229830)); #42345=EDGE_LOOP('',(#229831,#229832,#229833,#229834)); #42346=EDGE_LOOP('',(#229835,#229836,#229837,#229838)); #42347=EDGE_LOOP('',(#229839,#229840,#229841,#229842)); #42348=EDGE_LOOP('',(#229843,#229844,#229845,#229846)); #42349=EDGE_LOOP('',(#229847,#229848,#229849,#229850)); #42350=EDGE_LOOP('',(#229851,#229852,#229853,#229854)); #42351=EDGE_LOOP('',(#229855,#229856,#229857,#229858)); #42352=EDGE_LOOP('',(#229859,#229860,#229861,#229862)); #42353=EDGE_LOOP('',(#229863,#229864,#229865,#229866)); #42354=EDGE_LOOP('',(#229867,#229868,#229869,#229870)); #42355=EDGE_LOOP('',(#229871,#229872,#229873,#229874)); #42356=EDGE_LOOP('',(#229875,#229876,#229877,#229878)); #42357=EDGE_LOOP('',(#229879,#229880,#229881,#229882)); #42358=EDGE_LOOP('',(#229883,#229884,#229885,#229886)); #42359=EDGE_LOOP('',(#229887,#229888,#229889,#229890)); #42360=EDGE_LOOP('',(#229891,#229892,#229893,#229894)); #42361=EDGE_LOOP('',(#229895,#229896,#229897,#229898)); #42362=EDGE_LOOP('',(#229899,#229900,#229901,#229902)); #42363=EDGE_LOOP('',(#229903,#229904,#229905,#229906)); #42364=EDGE_LOOP('',(#229907,#229908,#229909,#229910)); #42365=EDGE_LOOP('',(#229911,#229912,#229913,#229914)); #42366=EDGE_LOOP('',(#229915,#229916,#229917,#229918)); #42367=EDGE_LOOP('',(#229919,#229920,#229921,#229922)); #42368=EDGE_LOOP('',(#229923,#229924,#229925,#229926)); #42369=EDGE_LOOP('',(#229927,#229928,#229929,#229930)); #42370=EDGE_LOOP('',(#229931,#229932,#229933,#229934)); #42371=EDGE_LOOP('',(#229935,#229936,#229937,#229938)); #42372=EDGE_LOOP('',(#229939,#229940,#229941,#229942)); #42373=EDGE_LOOP('',(#229943,#229944,#229945,#229946)); #42374=EDGE_LOOP('',(#229947,#229948,#229949,#229950)); #42375=EDGE_LOOP('',(#229951,#229952,#229953,#229954)); #42376=EDGE_LOOP('',(#229955,#229956,#229957,#229958)); #42377=EDGE_LOOP('',(#229959,#229960,#229961,#229962)); #42378=EDGE_LOOP('',(#229963,#229964,#229965,#229966)); #42379=EDGE_LOOP('',(#229967,#229968,#229969,#229970)); #42380=EDGE_LOOP('',(#229971,#229972,#229973,#229974)); #42381=EDGE_LOOP('',(#229975,#229976,#229977,#229978)); #42382=EDGE_LOOP('',(#229979,#229980,#229981,#229982)); #42383=EDGE_LOOP('',(#229983,#229984,#229985,#229986)); #42384=EDGE_LOOP('',(#229987,#229988,#229989,#229990)); #42385=EDGE_LOOP('',(#229991,#229992,#229993,#229994)); #42386=EDGE_LOOP('',(#229995,#229996,#229997,#229998)); #42387=EDGE_LOOP('',(#229999,#230000,#230001,#230002)); #42388=EDGE_LOOP('',(#230003,#230004,#230005,#230006)); #42389=EDGE_LOOP('',(#230007,#230008,#230009,#230010)); #42390=EDGE_LOOP('',(#230011,#230012,#230013,#230014)); #42391=EDGE_LOOP('',(#230015,#230016,#230017,#230018)); #42392=EDGE_LOOP('',(#230019,#230020,#230021,#230022)); #42393=EDGE_LOOP('',(#230023,#230024,#230025,#230026)); #42394=EDGE_LOOP('',(#230027,#230028,#230029,#230030)); #42395=EDGE_LOOP('',(#230031,#230032,#230033,#230034)); #42396=EDGE_LOOP('',(#230035,#230036,#230037,#230038)); #42397=EDGE_LOOP('',(#230039,#230040,#230041,#230042)); #42398=EDGE_LOOP('',(#230043,#230044,#230045,#230046)); #42399=EDGE_LOOP('',(#230047,#230048,#230049,#230050)); #42400=EDGE_LOOP('',(#230051,#230052,#230053,#230054)); #42401=EDGE_LOOP('',(#230055,#230056,#230057,#230058)); #42402=EDGE_LOOP('',(#230059,#230060,#230061,#230062)); #42403=EDGE_LOOP('',(#230063,#230064,#230065,#230066)); #42404=EDGE_LOOP('',(#230067,#230068,#230069,#230070)); #42405=EDGE_LOOP('',(#230071,#230072,#230073,#230074)); #42406=EDGE_LOOP('',(#230075,#230076,#230077,#230078)); #42407=EDGE_LOOP('',(#230079,#230080,#230081,#230082)); #42408=EDGE_LOOP('',(#230083,#230084,#230085,#230086)); #42409=EDGE_LOOP('',(#230087,#230088,#230089,#230090)); #42410=EDGE_LOOP('',(#230091,#230092,#230093,#230094)); #42411=EDGE_LOOP('',(#230095,#230096,#230097,#230098)); #42412=EDGE_LOOP('',(#230099,#230100,#230101,#230102)); #42413=EDGE_LOOP('',(#230103,#230104,#230105,#230106)); #42414=EDGE_LOOP('',(#230107,#230108,#230109,#230110)); #42415=EDGE_LOOP('',(#230111,#230112,#230113,#230114)); #42416=EDGE_LOOP('',(#230115,#230116,#230117,#230118)); #42417=EDGE_LOOP('',(#230119,#230120,#230121,#230122)); #42418=EDGE_LOOP('',(#230123,#230124,#230125,#230126)); #42419=EDGE_LOOP('',(#230127,#230128,#230129,#230130)); #42420=EDGE_LOOP('',(#230131,#230132,#230133,#230134)); #42421=EDGE_LOOP('',(#230135,#230136,#230137,#230138)); #42422=EDGE_LOOP('',(#230139,#230140,#230141,#230142)); #42423=EDGE_LOOP('',(#230143,#230144,#230145,#230146)); #42424=EDGE_LOOP('',(#230147,#230148,#230149,#230150)); #42425=EDGE_LOOP('',(#230151,#230152,#230153,#230154)); #42426=EDGE_LOOP('',(#230155,#230156,#230157,#230158)); #42427=EDGE_LOOP('',(#230159,#230160,#230161,#230162)); #42428=EDGE_LOOP('',(#230163,#230164,#230165,#230166)); #42429=EDGE_LOOP('',(#230167,#230168,#230169,#230170)); #42430=EDGE_LOOP('',(#230171,#230172,#230173,#230174)); #42431=EDGE_LOOP('',(#230175,#230176,#230177,#230178)); #42432=EDGE_LOOP('',(#230179,#230180,#230181,#230182)); #42433=EDGE_LOOP('',(#230183,#230184,#230185,#230186)); #42434=EDGE_LOOP('',(#230187,#230188,#230189,#230190)); #42435=EDGE_LOOP('',(#230191,#230192,#230193,#230194)); #42436=EDGE_LOOP('',(#230195,#230196,#230197,#230198)); #42437=EDGE_LOOP('',(#230199,#230200,#230201,#230202)); #42438=EDGE_LOOP('',(#230203,#230204,#230205,#230206)); #42439=EDGE_LOOP('',(#230207,#230208,#230209,#230210)); #42440=EDGE_LOOP('',(#230211,#230212,#230213,#230214)); #42441=EDGE_LOOP('',(#230215,#230216,#230217,#230218)); #42442=EDGE_LOOP('',(#230219,#230220,#230221,#230222)); #42443=EDGE_LOOP('',(#230223,#230224,#230225,#230226)); #42444=EDGE_LOOP('',(#230227,#230228,#230229,#230230)); #42445=EDGE_LOOP('',(#230231,#230232,#230233,#230234)); #42446=EDGE_LOOP('',(#230235,#230236,#230237,#230238)); #42447=EDGE_LOOP('',(#230239,#230240,#230241,#230242)); #42448=EDGE_LOOP('',(#230243,#230244,#230245,#230246)); #42449=EDGE_LOOP('',(#230247,#230248,#230249,#230250)); #42450=EDGE_LOOP('',(#230251,#230252,#230253,#230254)); #42451=EDGE_LOOP('',(#230255,#230256,#230257,#230258)); #42452=EDGE_LOOP('',(#230259,#230260,#230261,#230262)); #42453=EDGE_LOOP('',(#230263,#230264,#230265,#230266)); #42454=EDGE_LOOP('',(#230267,#230268,#230269,#230270)); #42455=EDGE_LOOP('',(#230271,#230272,#230273,#230274)); #42456=EDGE_LOOP('',(#230275,#230276,#230277,#230278)); #42457=EDGE_LOOP('',(#230279,#230280,#230281,#230282)); #42458=EDGE_LOOP('',(#230283,#230284,#230285,#230286)); #42459=EDGE_LOOP('',(#230287,#230288,#230289,#230290)); #42460=EDGE_LOOP('',(#230291,#230292,#230293,#230294)); #42461=EDGE_LOOP('',(#230295,#230296,#230297,#230298)); #42462=EDGE_LOOP('',(#230299,#230300,#230301,#230302)); #42463=EDGE_LOOP('',(#230303,#230304,#230305,#230306)); #42464=EDGE_LOOP('',(#230307,#230308,#230309,#230310)); #42465=EDGE_LOOP('',(#230311,#230312,#230313,#230314)); #42466=EDGE_LOOP('',(#230315,#230316,#230317,#230318)); #42467=EDGE_LOOP('',(#230319,#230320,#230321,#230322)); #42468=EDGE_LOOP('',(#230323,#230324,#230325,#230326)); #42469=EDGE_LOOP('',(#230327,#230328,#230329,#230330)); #42470=EDGE_LOOP('',(#230331,#230332,#230333,#230334)); #42471=EDGE_LOOP('',(#230335,#230336,#230337,#230338)); #42472=EDGE_LOOP('',(#230339,#230340,#230341,#230342)); #42473=EDGE_LOOP('',(#230343,#230344,#230345,#230346)); #42474=EDGE_LOOP('',(#230347,#230348,#230349,#230350)); #42475=EDGE_LOOP('',(#230351,#230352,#230353,#230354)); #42476=EDGE_LOOP('',(#230355,#230356,#230357,#230358)); #42477=EDGE_LOOP('',(#230359,#230360,#230361,#230362)); #42478=EDGE_LOOP('',(#230363,#230364,#230365,#230366)); #42479=EDGE_LOOP('',(#230367,#230368,#230369,#230370)); #42480=EDGE_LOOP('',(#230371,#230372,#230373,#230374)); #42481=EDGE_LOOP('',(#230375,#230376,#230377,#230378)); #42482=EDGE_LOOP('',(#230379,#230380,#230381,#230382)); #42483=EDGE_LOOP('',(#230383,#230384,#230385,#230386)); #42484=EDGE_LOOP('',(#230387,#230388,#230389,#230390)); #42485=EDGE_LOOP('',(#230391,#230392,#230393,#230394)); #42486=EDGE_LOOP('',(#230395,#230396,#230397,#230398)); #42487=EDGE_LOOP('',(#230399,#230400,#230401,#230402)); #42488=EDGE_LOOP('',(#230403,#230404,#230405,#230406)); #42489=EDGE_LOOP('',(#230407,#230408,#230409,#230410)); #42490=EDGE_LOOP('',(#230411,#230412,#230413,#230414)); #42491=EDGE_LOOP('',(#230415,#230416,#230417,#230418)); #42492=EDGE_LOOP('',(#230419,#230420,#230421,#230422)); #42493=EDGE_LOOP('',(#230423,#230424,#230425,#230426)); #42494=EDGE_LOOP('',(#230427,#230428,#230429,#230430)); #42495=EDGE_LOOP('',(#230431,#230432,#230433,#230434)); #42496=EDGE_LOOP('',(#230435,#230436,#230437,#230438)); #42497=EDGE_LOOP('',(#230439,#230440,#230441,#230442)); #42498=EDGE_LOOP('',(#230443,#230444,#230445,#230446)); #42499=EDGE_LOOP('',(#230447,#230448,#230449,#230450)); #42500=EDGE_LOOP('',(#230451,#230452,#230453,#230454)); #42501=EDGE_LOOP('',(#230455,#230456,#230457,#230458)); #42502=EDGE_LOOP('',(#230459,#230460,#230461,#230462)); #42503=EDGE_LOOP('',(#230463,#230464,#230465,#230466)); #42504=EDGE_LOOP('',(#230467,#230468,#230469,#230470)); #42505=EDGE_LOOP('',(#230471,#230472,#230473,#230474)); #42506=EDGE_LOOP('',(#230475,#230476,#230477,#230478)); #42507=EDGE_LOOP('',(#230479,#230480,#230481,#230482)); #42508=EDGE_LOOP('',(#230483,#230484,#230485,#230486)); #42509=EDGE_LOOP('',(#230487,#230488,#230489,#230490)); #42510=EDGE_LOOP('',(#230491,#230492,#230493,#230494)); #42511=EDGE_LOOP('',(#230495,#230496,#230497,#230498)); #42512=EDGE_LOOP('',(#230499,#230500,#230501,#230502)); #42513=EDGE_LOOP('',(#230503,#230504,#230505,#230506)); #42514=EDGE_LOOP('',(#230507,#230508,#230509,#230510)); #42515=EDGE_LOOP('',(#230511,#230512,#230513,#230514)); #42516=EDGE_LOOP('',(#230515,#230516,#230517,#230518)); #42517=EDGE_LOOP('',(#230519,#230520,#230521,#230522)); #42518=EDGE_LOOP('',(#230523,#230524,#230525,#230526)); #42519=EDGE_LOOP('',(#230527,#230528,#230529,#230530)); #42520=EDGE_LOOP('',(#230531,#230532,#230533,#230534)); #42521=EDGE_LOOP('',(#230535,#230536,#230537,#230538)); #42522=EDGE_LOOP('',(#230539,#230540,#230541,#230542)); #42523=EDGE_LOOP('',(#230543,#230544,#230545,#230546)); #42524=EDGE_LOOP('',(#230547,#230548,#230549,#230550)); #42525=EDGE_LOOP('',(#230551,#230552,#230553,#230554)); #42526=EDGE_LOOP('',(#230555,#230556,#230557,#230558)); #42527=EDGE_LOOP('',(#230559,#230560,#230561,#230562)); #42528=EDGE_LOOP('',(#230563,#230564,#230565,#230566)); #42529=EDGE_LOOP('',(#230567,#230568,#230569,#230570)); #42530=EDGE_LOOP('',(#230571,#230572,#230573,#230574)); #42531=EDGE_LOOP('',(#230575,#230576,#230577,#230578)); #42532=EDGE_LOOP('',(#230579,#230580,#230581,#230582)); #42533=EDGE_LOOP('',(#230583,#230584,#230585,#230586)); #42534=EDGE_LOOP('',(#230587,#230588,#230589,#230590)); #42535=EDGE_LOOP('',(#230591,#230592,#230593,#230594)); #42536=EDGE_LOOP('',(#230595,#230596,#230597,#230598)); #42537=EDGE_LOOP('',(#230599,#230600,#230601,#230602)); #42538=EDGE_LOOP('',(#230603,#230604,#230605,#230606)); #42539=EDGE_LOOP('',(#230607,#230608,#230609,#230610)); #42540=EDGE_LOOP('',(#230611,#230612,#230613,#230614)); #42541=EDGE_LOOP('',(#230615,#230616,#230617,#230618)); #42542=EDGE_LOOP('',(#230619,#230620,#230621,#230622)); #42543=EDGE_LOOP('',(#230623,#230624,#230625,#230626)); #42544=EDGE_LOOP('',(#230627,#230628,#230629,#230630)); #42545=EDGE_LOOP('',(#230631,#230632,#230633,#230634)); #42546=EDGE_LOOP('',(#230635,#230636,#230637,#230638)); #42547=EDGE_LOOP('',(#230639,#230640,#230641,#230642)); #42548=EDGE_LOOP('',(#230643,#230644,#230645,#230646)); #42549=EDGE_LOOP('',(#230647,#230648,#230649,#230650)); #42550=EDGE_LOOP('',(#230651,#230652,#230653,#230654)); #42551=EDGE_LOOP('',(#230655,#230656,#230657,#230658)); #42552=EDGE_LOOP('',(#230659,#230660,#230661,#230662)); #42553=EDGE_LOOP('',(#230663,#230664,#230665,#230666)); #42554=EDGE_LOOP('',(#230667,#230668,#230669,#230670)); #42555=EDGE_LOOP('',(#230671,#230672,#230673,#230674)); #42556=EDGE_LOOP('',(#230675,#230676,#230677,#230678)); #42557=EDGE_LOOP('',(#230679,#230680,#230681,#230682)); #42558=EDGE_LOOP('',(#230683,#230684,#230685,#230686,#230687,#230688,#230689, #230690,#230691,#230692,#230693,#230694,#230695,#230696,#230697,#230698, #230699,#230700,#230701,#230702,#230703,#230704,#230705,#230706,#230707, #230708,#230709,#230710,#230711,#230712,#230713,#230714,#230715,#230716, #230717,#230718,#230719,#230720,#230721,#230722,#230723,#230724,#230725, #230726,#230727,#230728,#230729,#230730,#230731,#230732,#230733,#230734, #230735,#230736,#230737,#230738,#230739,#230740,#230741,#230742,#230743, #230744,#230745,#230746,#230747,#230748,#230749,#230750,#230751,#230752, #230753,#230754,#230755,#230756,#230757,#230758,#230759,#230760,#230761, #230762,#230763,#230764,#230765,#230766,#230767,#230768,#230769,#230770, #230771,#230772,#230773,#230774,#230775,#230776,#230777,#230778,#230779, #230780,#230781,#230782,#230783,#230784,#230785,#230786,#230787,#230788, #230789,#230790,#230791,#230792,#230793,#230794,#230795,#230796,#230797, #230798,#230799,#230800,#230801,#230802,#230803,#230804,#230805,#230806, #230807,#230808,#230809,#230810,#230811,#230812,#230813,#230814,#230815, #230816,#230817,#230818,#230819,#230820,#230821,#230822,#230823,#230824, #230825,#230826,#230827,#230828,#230829,#230830,#230831,#230832,#230833, #230834,#230835,#230836,#230837,#230838,#230839,#230840,#230841,#230842, #230843,#230844,#230845,#230846,#230847,#230848,#230849,#230850,#230851, #230852,#230853,#230854,#230855,#230856,#230857,#230858,#230859,#230860, #230861,#230862,#230863,#230864,#230865,#230866,#230867,#230868,#230869, #230870,#230871,#230872,#230873,#230874,#230875,#230876,#230877,#230878, #230879,#230880,#230881,#230882,#230883,#230884,#230885,#230886,#230887, #230888,#230889,#230890,#230891,#230892,#230893)); #42559=EDGE_LOOP('',(#230894)); #42560=EDGE_LOOP('',(#230895,#230896,#230897,#230898)); #42561=EDGE_LOOP('',(#230899)); #42562=EDGE_LOOP('',(#230900)); #42563=EDGE_LOOP('',(#230901)); #42564=EDGE_LOOP('',(#230902)); #42565=EDGE_LOOP('',(#230903)); #42566=EDGE_LOOP('',(#230904)); #42567=EDGE_LOOP('',(#230905)); #42568=EDGE_LOOP('',(#230906)); #42569=EDGE_LOOP('',(#230907)); #42570=EDGE_LOOP('',(#230908,#230909,#230910,#230911,#230912,#230913,#230914, #230915,#230916,#230917,#230918,#230919,#230920,#230921,#230922,#230923)); #42571=EDGE_LOOP('',(#230924,#230925,#230926,#230927,#230928,#230929,#230930, #230931,#230932,#230933,#230934,#230935,#230936,#230937,#230938,#230939, #230940,#230941,#230942,#230943,#230944,#230945,#230946,#230947,#230948, #230949,#230950,#230951,#230952,#230953,#230954,#230955,#230956,#230957, #230958,#230959,#230960,#230961,#230962,#230963,#230964,#230965,#230966, #230967,#230968,#230969,#230970,#230971,#230972,#230973,#230974,#230975, #230976,#230977,#230978,#230979,#230980,#230981,#230982,#230983,#230984, #230985,#230986,#230987,#230988,#230989,#230990,#230991,#230992,#230993, #230994,#230995,#230996,#230997,#230998,#230999,#231000,#231001,#231002, #231003,#231004,#231005,#231006,#231007,#231008,#231009,#231010,#231011, #231012,#231013,#231014,#231015,#231016,#231017,#231018,#231019,#231020, #231021,#231022,#231023,#231024,#231025,#231026,#231027,#231028,#231029, #231030,#231031,#231032,#231033,#231034,#231035,#231036,#231037,#231038, #231039,#231040,#231041,#231042,#231043,#231044,#231045,#231046,#231047, #231048,#231049,#231050,#231051,#231052,#231053,#231054,#231055,#231056, #231057,#231058,#231059,#231060,#231061,#231062,#231063,#231064,#231065, #231066,#231067,#231068,#231069,#231070,#231071,#231072,#231073,#231074, #231075,#231076,#231077,#231078,#231079,#231080,#231081,#231082,#231083, #231084,#231085,#231086,#231087,#231088,#231089,#231090,#231091,#231092, #231093,#231094,#231095,#231096,#231097,#231098,#231099,#231100,#231101, #231102,#231103,#231104,#231105,#231106,#231107,#231108,#231109,#231110, #231111,#231112,#231113,#231114,#231115,#231116,#231117,#231118,#231119, #231120,#231121,#231122,#231123,#231124,#231125,#231126,#231127,#231128, #231129,#231130,#231131,#231132,#231133,#231134)); #42572=EDGE_LOOP('',(#231135)); #42573=EDGE_LOOP('',(#231136,#231137,#231138,#231139)); #42574=EDGE_LOOP('',(#231140)); #42575=EDGE_LOOP('',(#231141)); #42576=EDGE_LOOP('',(#231142)); #42577=EDGE_LOOP('',(#231143)); #42578=EDGE_LOOP('',(#231144)); #42579=EDGE_LOOP('',(#231145)); #42580=EDGE_LOOP('',(#231146)); #42581=EDGE_LOOP('',(#231147)); #42582=EDGE_LOOP('',(#231148)); #42583=EDGE_LOOP('',(#231149,#231150,#231151,#231152,#231153,#231154,#231155, #231156,#231157,#231158,#231159,#231160,#231161,#231162,#231163,#231164)); #42584=EDGE_LOOP('',(#231165,#231166,#231167,#231168)); #42585=EDGE_LOOP('',(#231169,#231170,#231171,#231172)); #42586=EDGE_LOOP('',(#231173,#231174,#231175,#231176)); #42587=EDGE_LOOP('',(#231177,#231178,#231179,#231180)); #42588=EDGE_LOOP('',(#231181,#231182,#231183,#231184)); #42589=EDGE_LOOP('',(#231185,#231186,#231187,#231188)); #42590=EDGE_LOOP('',(#231189,#231190,#231191,#231192)); #42591=EDGE_LOOP('',(#231193,#231194,#231195,#231196)); #42592=EDGE_LOOP('',(#231197,#231198,#231199,#231200)); #42593=EDGE_LOOP('',(#231201,#231202,#231203,#231204)); #42594=EDGE_LOOP('',(#231205,#231206,#231207,#231208)); #42595=EDGE_LOOP('',(#231209,#231210,#231211,#231212)); #42596=EDGE_LOOP('',(#231213,#231214,#231215,#231216)); #42597=EDGE_LOOP('',(#231217,#231218,#231219,#231220)); #42598=EDGE_LOOP('',(#231221,#231222,#231223,#231224)); #42599=EDGE_LOOP('',(#231225,#231226,#231227,#231228)); #42600=EDGE_LOOP('',(#231229,#231230,#231231,#231232)); #42601=EDGE_LOOP('',(#231233,#231234,#231235,#231236)); #42602=EDGE_LOOP('',(#231237,#231238,#231239,#231240)); #42603=EDGE_LOOP('',(#231241,#231242,#231243,#231244)); #42604=EDGE_LOOP('',(#231245,#231246,#231247,#231248)); #42605=EDGE_LOOP('',(#231249,#231250,#231251,#231252,#231253,#231254,#231255, #231256,#231257,#231258,#231259,#231260,#231261,#231262,#231263,#231264, #231265,#231266,#231267,#231268,#231269)); #42606=EDGE_LOOP('',(#231270,#231271,#231272,#231273,#231274,#231275,#231276, #231277,#231278,#231279,#231280,#231281,#231282,#231283,#231284,#231285, #231286,#231287,#231288,#231289,#231290)); #42607=EDGE_LOOP('',(#231291,#231292,#231293,#231294)); #42608=EDGE_LOOP('',(#231295,#231296,#231297,#231298)); #42609=EDGE_LOOP('',(#231299,#231300,#231301,#231302)); #42610=EDGE_LOOP('',(#231303,#231304,#231305,#231306)); #42611=EDGE_LOOP('',(#231307,#231308,#231309,#231310)); #42612=EDGE_LOOP('',(#231311,#231312,#231313,#231314)); #42613=EDGE_LOOP('',(#231315,#231316,#231317,#231318)); #42614=EDGE_LOOP('',(#231319,#231320,#231321,#231322)); #42615=EDGE_LOOP('',(#231323,#231324,#231325,#231326)); #42616=EDGE_LOOP('',(#231327,#231328,#231329,#231330)); #42617=EDGE_LOOP('',(#231331,#231332,#231333,#231334)); #42618=EDGE_LOOP('',(#231335,#231336,#231337,#231338)); #42619=EDGE_LOOP('',(#231339,#231340,#231341,#231342)); #42620=EDGE_LOOP('',(#231343,#231344,#231345,#231346)); #42621=EDGE_LOOP('',(#231347,#231348,#231349,#231350)); #42622=EDGE_LOOP('',(#231351,#231352,#231353,#231354)); #42623=EDGE_LOOP('',(#231355,#231356,#231357,#231358)); #42624=EDGE_LOOP('',(#231359,#231360,#231361,#231362)); #42625=EDGE_LOOP('',(#231363,#231364,#231365,#231366)); #42626=EDGE_LOOP('',(#231367,#231368,#231369,#231370)); #42627=EDGE_LOOP('',(#231371,#231372,#231373,#231374,#231375,#231376,#231377, #231378,#231379,#231380,#231381,#231382,#231383,#231384,#231385,#231386, #231387,#231388,#231389,#231390)); #42628=EDGE_LOOP('',(#231391,#231392,#231393,#231394,#231395,#231396,#231397, #231398,#231399,#231400,#231401,#231402,#231403,#231404,#231405,#231406, #231407,#231408,#231409,#231410)); #42629=EDGE_LOOP('',(#231411,#231412,#231413,#231414)); #42630=EDGE_LOOP('',(#231415,#231416,#231417,#231418)); #42631=EDGE_LOOP('',(#231419,#231420,#231421,#231422)); #42632=EDGE_LOOP('',(#231423,#231424,#231425,#231426)); #42633=EDGE_LOOP('',(#231427,#231428,#231429,#231430)); #42634=EDGE_LOOP('',(#231431,#231432,#231433,#231434)); #42635=EDGE_LOOP('',(#231435,#231436,#231437,#231438)); #42636=EDGE_LOOP('',(#231439,#231440,#231441,#231442)); #42637=EDGE_LOOP('',(#231443,#231444,#231445,#231446)); #42638=EDGE_LOOP('',(#231447,#231448,#231449,#231450)); #42639=EDGE_LOOP('',(#231451,#231452,#231453,#231454)); #42640=EDGE_LOOP('',(#231455,#231456,#231457,#231458)); #42641=EDGE_LOOP('',(#231459,#231460,#231461,#231462)); #42642=EDGE_LOOP('',(#231463,#231464,#231465,#231466)); #42643=EDGE_LOOP('',(#231467,#231468,#231469,#231470)); #42644=EDGE_LOOP('',(#231471,#231472,#231473,#231474)); #42645=EDGE_LOOP('',(#231475,#231476,#231477,#231478)); #42646=EDGE_LOOP('',(#231479,#231480,#231481,#231482)); #42647=EDGE_LOOP('',(#231483,#231484,#231485,#231486)); #42648=EDGE_LOOP('',(#231487,#231488,#231489,#231490)); #42649=EDGE_LOOP('',(#231491,#231492,#231493,#231494)); #42650=EDGE_LOOP('',(#231495,#231496,#231497,#231498)); #42651=EDGE_LOOP('',(#231499,#231500,#231501,#231502)); #42652=EDGE_LOOP('',(#231503,#231504,#231505,#231506)); #42653=EDGE_LOOP('',(#231507,#231508,#231509,#231510)); #42654=EDGE_LOOP('',(#231511,#231512,#231513,#231514)); #42655=EDGE_LOOP('',(#231515,#231516,#231517,#231518)); #42656=EDGE_LOOP('',(#231519,#231520,#231521,#231522)); #42657=EDGE_LOOP('',(#231523,#231524,#231525,#231526)); #42658=EDGE_LOOP('',(#231527,#231528,#231529,#231530)); #42659=EDGE_LOOP('',(#231531,#231532,#231533,#231534)); #42660=EDGE_LOOP('',(#231535,#231536,#231537,#231538)); #42661=EDGE_LOOP('',(#231539,#231540,#231541,#231542)); #42662=EDGE_LOOP('',(#231543,#231544,#231545,#231546)); #42663=EDGE_LOOP('',(#231547,#231548,#231549,#231550)); #42664=EDGE_LOOP('',(#231551,#231552,#231553,#231554)); #42665=EDGE_LOOP('',(#231555,#231556,#231557,#231558)); #42666=EDGE_LOOP('',(#231559,#231560,#231561,#231562)); #42667=EDGE_LOOP('',(#231563,#231564,#231565,#231566)); #42668=EDGE_LOOP('',(#231567,#231568,#231569,#231570)); #42669=EDGE_LOOP('',(#231571,#231572,#231573,#231574)); #42670=EDGE_LOOP('',(#231575,#231576,#231577,#231578)); #42671=EDGE_LOOP('',(#231579,#231580,#231581,#231582)); #42672=EDGE_LOOP('',(#231583,#231584,#231585,#231586)); #42673=EDGE_LOOP('',(#231587,#231588,#231589,#231590)); #42674=EDGE_LOOP('',(#231591,#231592,#231593,#231594)); #42675=EDGE_LOOP('',(#231595,#231596,#231597,#231598)); #42676=EDGE_LOOP('',(#231599,#231600,#231601,#231602)); #42677=EDGE_LOOP('',(#231603,#231604,#231605,#231606)); #42678=EDGE_LOOP('',(#231607,#231608,#231609,#231610)); #42679=EDGE_LOOP('',(#231611,#231612,#231613,#231614)); #42680=EDGE_LOOP('',(#231615,#231616,#231617,#231618)); #42681=EDGE_LOOP('',(#231619,#231620,#231621,#231622)); #42682=EDGE_LOOP('',(#231623,#231624,#231625,#231626)); #42683=EDGE_LOOP('',(#231627,#231628,#231629,#231630)); #42684=EDGE_LOOP('',(#231631,#231632,#231633,#231634)); #42685=EDGE_LOOP('',(#231635,#231636,#231637,#231638)); #42686=EDGE_LOOP('',(#231639,#231640,#231641,#231642)); #42687=EDGE_LOOP('',(#231643,#231644,#231645,#231646)); #42688=EDGE_LOOP('',(#231647,#231648,#231649,#231650)); #42689=EDGE_LOOP('',(#231651,#231652,#231653,#231654)); #42690=EDGE_LOOP('',(#231655,#231656,#231657,#231658)); #42691=EDGE_LOOP('',(#231659,#231660,#231661,#231662)); #42692=EDGE_LOOP('',(#231663,#231664,#231665,#231666)); #42693=EDGE_LOOP('',(#231667,#231668,#231669,#231670)); #42694=EDGE_LOOP('',(#231671,#231672,#231673,#231674)); #42695=EDGE_LOOP('',(#231675,#231676,#231677,#231678)); #42696=EDGE_LOOP('',(#231679,#231680,#231681,#231682)); #42697=EDGE_LOOP('',(#231683,#231684,#231685,#231686)); #42698=EDGE_LOOP('',(#231687,#231688,#231689,#231690)); #42699=EDGE_LOOP('',(#231691,#231692,#231693,#231694)); #42700=EDGE_LOOP('',(#231695,#231696,#231697,#231698)); #42701=EDGE_LOOP('',(#231699,#231700,#231701,#231702)); #42702=EDGE_LOOP('',(#231703,#231704,#231705,#231706)); #42703=EDGE_LOOP('',(#231707,#231708,#231709,#231710)); #42704=EDGE_LOOP('',(#231711,#231712,#231713,#231714)); #42705=EDGE_LOOP('',(#231715,#231716,#231717,#231718)); #42706=EDGE_LOOP('',(#231719,#231720,#231721,#231722)); #42707=EDGE_LOOP('',(#231723,#231724,#231725,#231726)); #42708=EDGE_LOOP('',(#231727,#231728,#231729,#231730)); #42709=EDGE_LOOP('',(#231731,#231732,#231733,#231734)); #42710=EDGE_LOOP('',(#231735,#231736,#231737,#231738)); #42711=EDGE_LOOP('',(#231739,#231740,#231741,#231742)); #42712=EDGE_LOOP('',(#231743,#231744,#231745,#231746)); #42713=EDGE_LOOP('',(#231747,#231748,#231749,#231750)); #42714=EDGE_LOOP('',(#231751,#231752,#231753,#231754)); #42715=EDGE_LOOP('',(#231755,#231756,#231757,#231758)); #42716=EDGE_LOOP('',(#231759,#231760,#231761,#231762)); #42717=EDGE_LOOP('',(#231763,#231764,#231765,#231766)); #42718=EDGE_LOOP('',(#231767,#231768,#231769,#231770)); #42719=EDGE_LOOP('',(#231771,#231772,#231773,#231774)); #42720=EDGE_LOOP('',(#231775,#231776,#231777,#231778)); #42721=EDGE_LOOP('',(#231779,#231780,#231781,#231782)); #42722=EDGE_LOOP('',(#231783,#231784,#231785,#231786)); #42723=EDGE_LOOP('',(#231787,#231788,#231789,#231790)); #42724=EDGE_LOOP('',(#231791,#231792,#231793,#231794)); #42725=EDGE_LOOP('',(#231795,#231796,#231797,#231798)); #42726=EDGE_LOOP('',(#231799,#231800,#231801,#231802)); #42727=EDGE_LOOP('',(#231803,#231804,#231805,#231806)); #42728=EDGE_LOOP('',(#231807,#231808,#231809,#231810)); #42729=EDGE_LOOP('',(#231811,#231812,#231813,#231814)); #42730=EDGE_LOOP('',(#231815,#231816,#231817,#231818)); #42731=EDGE_LOOP('',(#231819,#231820,#231821,#231822)); #42732=EDGE_LOOP('',(#231823,#231824,#231825,#231826)); #42733=EDGE_LOOP('',(#231827,#231828,#231829,#231830)); #42734=EDGE_LOOP('',(#231831,#231832,#231833,#231834)); #42735=EDGE_LOOP('',(#231835,#231836,#231837,#231838)); #42736=EDGE_LOOP('',(#231839,#231840,#231841,#231842)); #42737=EDGE_LOOP('',(#231843,#231844,#231845,#231846)); #42738=EDGE_LOOP('',(#231847,#231848,#231849,#231850)); #42739=EDGE_LOOP('',(#231851,#231852,#231853,#231854)); #42740=EDGE_LOOP('',(#231855,#231856,#231857,#231858)); #42741=EDGE_LOOP('',(#231859,#231860,#231861,#231862)); #42742=EDGE_LOOP('',(#231863,#231864,#231865,#231866)); #42743=EDGE_LOOP('',(#231867,#231868,#231869,#231870)); #42744=EDGE_LOOP('',(#231871,#231872,#231873,#231874)); #42745=EDGE_LOOP('',(#231875,#231876,#231877,#231878)); #42746=EDGE_LOOP('',(#231879,#231880,#231881,#231882)); #42747=EDGE_LOOP('',(#231883,#231884,#231885,#231886)); #42748=EDGE_LOOP('',(#231887,#231888,#231889,#231890)); #42749=EDGE_LOOP('',(#231891,#231892,#231893,#231894)); #42750=EDGE_LOOP('',(#231895,#231896,#231897,#231898)); #42751=EDGE_LOOP('',(#231899,#231900,#231901,#231902)); #42752=EDGE_LOOP('',(#231903,#231904,#231905,#231906)); #42753=EDGE_LOOP('',(#231907,#231908,#231909,#231910)); #42754=EDGE_LOOP('',(#231911,#231912,#231913,#231914)); #42755=EDGE_LOOP('',(#231915,#231916,#231917,#231918)); #42756=EDGE_LOOP('',(#231919,#231920,#231921,#231922)); #42757=EDGE_LOOP('',(#231923,#231924,#231925,#231926)); #42758=EDGE_LOOP('',(#231927,#231928,#231929,#231930)); #42759=EDGE_LOOP('',(#231931,#231932,#231933,#231934)); #42760=EDGE_LOOP('',(#231935,#231936,#231937,#231938)); #42761=EDGE_LOOP('',(#231939,#231940,#231941,#231942)); #42762=EDGE_LOOP('',(#231943,#231944,#231945,#231946)); #42763=EDGE_LOOP('',(#231947,#231948,#231949,#231950)); #42764=EDGE_LOOP('',(#231951,#231952,#231953,#231954)); #42765=EDGE_LOOP('',(#231955,#231956,#231957,#231958)); #42766=EDGE_LOOP('',(#231959,#231960,#231961,#231962)); #42767=EDGE_LOOP('',(#231963,#231964,#231965,#231966)); #42768=EDGE_LOOP('',(#231967,#231968,#231969,#231970)); #42769=EDGE_LOOP('',(#231971,#231972,#231973,#231974)); #42770=EDGE_LOOP('',(#231975,#231976,#231977,#231978)); #42771=EDGE_LOOP('',(#231979,#231980,#231981,#231982)); #42772=EDGE_LOOP('',(#231983,#231984,#231985,#231986)); #42773=EDGE_LOOP('',(#231987,#231988,#231989,#231990)); #42774=EDGE_LOOP('',(#231991,#231992,#231993,#231994)); #42775=EDGE_LOOP('',(#231995,#231996,#231997,#231998)); #42776=EDGE_LOOP('',(#231999,#232000,#232001,#232002)); #42777=EDGE_LOOP('',(#232003,#232004,#232005,#232006)); #42778=EDGE_LOOP('',(#232007,#232008,#232009,#232010)); #42779=EDGE_LOOP('',(#232011,#232012,#232013,#232014)); #42780=EDGE_LOOP('',(#232015,#232016,#232017,#232018)); #42781=EDGE_LOOP('',(#232019,#232020,#232021,#232022)); #42782=EDGE_LOOP('',(#232023,#232024,#232025,#232026)); #42783=EDGE_LOOP('',(#232027,#232028,#232029,#232030)); #42784=EDGE_LOOP('',(#232031,#232032,#232033,#232034)); #42785=EDGE_LOOP('',(#232035,#232036,#232037,#232038)); #42786=EDGE_LOOP('',(#232039,#232040,#232041,#232042)); #42787=EDGE_LOOP('',(#232043,#232044,#232045,#232046)); #42788=EDGE_LOOP('',(#232047,#232048,#232049,#232050)); #42789=EDGE_LOOP('',(#232051,#232052,#232053,#232054)); #42790=EDGE_LOOP('',(#232055,#232056,#232057,#232058)); #42791=EDGE_LOOP('',(#232059,#232060,#232061,#232062)); #42792=EDGE_LOOP('',(#232063,#232064,#232065,#232066)); #42793=EDGE_LOOP('',(#232067,#232068,#232069,#232070)); #42794=EDGE_LOOP('',(#232071,#232072,#232073,#232074)); #42795=EDGE_LOOP('',(#232075,#232076,#232077,#232078)); #42796=EDGE_LOOP('',(#232079,#232080,#232081,#232082)); #42797=EDGE_LOOP('',(#232083,#232084,#232085,#232086)); #42798=EDGE_LOOP('',(#232087,#232088,#232089,#232090)); #42799=EDGE_LOOP('',(#232091,#232092,#232093,#232094)); #42800=EDGE_LOOP('',(#232095,#232096,#232097,#232098)); #42801=EDGE_LOOP('',(#232099,#232100,#232101,#232102)); #42802=EDGE_LOOP('',(#232103,#232104,#232105,#232106)); #42803=EDGE_LOOP('',(#232107,#232108,#232109,#232110)); #42804=EDGE_LOOP('',(#232111,#232112,#232113,#232114)); #42805=EDGE_LOOP('',(#232115,#232116,#232117,#232118)); #42806=EDGE_LOOP('',(#232119,#232120,#232121,#232122)); #42807=EDGE_LOOP('',(#232123,#232124,#232125,#232126)); #42808=EDGE_LOOP('',(#232127,#232128,#232129,#232130)); #42809=EDGE_LOOP('',(#232131,#232132,#232133,#232134)); #42810=EDGE_LOOP('',(#232135,#232136,#232137,#232138)); #42811=EDGE_LOOP('',(#232139,#232140,#232141,#232142)); #42812=EDGE_LOOP('',(#232143,#232144,#232145,#232146)); #42813=EDGE_LOOP('',(#232147,#232148,#232149,#232150)); #42814=EDGE_LOOP('',(#232151,#232152,#232153,#232154)); #42815=EDGE_LOOP('',(#232155,#232156,#232157,#232158)); #42816=EDGE_LOOP('',(#232159,#232160,#232161,#232162)); #42817=EDGE_LOOP('',(#232163,#232164,#232165,#232166)); #42818=EDGE_LOOP('',(#232167,#232168,#232169,#232170)); #42819=EDGE_LOOP('',(#232171,#232172,#232173,#232174)); #42820=EDGE_LOOP('',(#232175,#232176,#232177,#232178)); #42821=EDGE_LOOP('',(#232179,#232180,#232181,#232182)); #42822=EDGE_LOOP('',(#232183,#232184,#232185,#232186)); #42823=EDGE_LOOP('',(#232187,#232188,#232189,#232190)); #42824=EDGE_LOOP('',(#232191,#232192,#232193,#232194)); #42825=EDGE_LOOP('',(#232195,#232196,#232197,#232198)); #42826=EDGE_LOOP('',(#232199,#232200,#232201,#232202)); #42827=EDGE_LOOP('',(#232203,#232204,#232205,#232206)); #42828=EDGE_LOOP('',(#232207,#232208,#232209,#232210)); #42829=EDGE_LOOP('',(#232211,#232212,#232213,#232214)); #42830=EDGE_LOOP('',(#232215,#232216,#232217,#232218)); #42831=EDGE_LOOP('',(#232219,#232220,#232221,#232222)); #42832=EDGE_LOOP('',(#232223,#232224,#232225,#232226)); #42833=EDGE_LOOP('',(#232227,#232228,#232229,#232230)); #42834=EDGE_LOOP('',(#232231,#232232,#232233,#232234)); #42835=EDGE_LOOP('',(#232235,#232236,#232237,#232238)); #42836=EDGE_LOOP('',(#232239,#232240,#232241,#232242)); #42837=EDGE_LOOP('',(#232243,#232244,#232245,#232246)); #42838=EDGE_LOOP('',(#232247,#232248,#232249,#232250)); #42839=EDGE_LOOP('',(#232251,#232252,#232253,#232254)); #42840=EDGE_LOOP('',(#232255,#232256,#232257,#232258)); #42841=EDGE_LOOP('',(#232259,#232260,#232261,#232262)); #42842=EDGE_LOOP('',(#232263,#232264,#232265,#232266)); #42843=EDGE_LOOP('',(#232267,#232268,#232269,#232270)); #42844=EDGE_LOOP('',(#232271,#232272,#232273,#232274)); #42845=EDGE_LOOP('',(#232275,#232276,#232277,#232278)); #42846=EDGE_LOOP('',(#232279,#232280,#232281,#232282)); #42847=EDGE_LOOP('',(#232283,#232284,#232285,#232286)); #42848=EDGE_LOOP('',(#232287,#232288,#232289,#232290)); #42849=EDGE_LOOP('',(#232291,#232292,#232293,#232294)); #42850=EDGE_LOOP('',(#232295,#232296,#232297,#232298)); #42851=EDGE_LOOP('',(#232299,#232300,#232301,#232302)); #42852=EDGE_LOOP('',(#232303,#232304,#232305,#232306)); #42853=EDGE_LOOP('',(#232307,#232308,#232309,#232310)); #42854=EDGE_LOOP('',(#232311,#232312,#232313,#232314)); #42855=EDGE_LOOP('',(#232315,#232316,#232317,#232318)); #42856=EDGE_LOOP('',(#232319,#232320,#232321,#232322)); #42857=EDGE_LOOP('',(#232323,#232324,#232325,#232326)); #42858=EDGE_LOOP('',(#232327,#232328,#232329,#232330)); #42859=EDGE_LOOP('',(#232331,#232332,#232333,#232334)); #42860=EDGE_LOOP('',(#232335,#232336,#232337,#232338)); #42861=EDGE_LOOP('',(#232339,#232340,#232341,#232342)); #42862=EDGE_LOOP('',(#232343,#232344,#232345,#232346)); #42863=EDGE_LOOP('',(#232347,#232348,#232349,#232350)); #42864=EDGE_LOOP('',(#232351,#232352,#232353,#232354)); #42865=EDGE_LOOP('',(#232355,#232356,#232357,#232358)); #42866=EDGE_LOOP('',(#232359,#232360,#232361,#232362)); #42867=EDGE_LOOP('',(#232363,#232364,#232365,#232366)); #42868=EDGE_LOOP('',(#232367,#232368,#232369,#232370)); #42869=EDGE_LOOP('',(#232371,#232372,#232373,#232374)); #42870=EDGE_LOOP('',(#232375,#232376,#232377,#232378)); #42871=EDGE_LOOP('',(#232379,#232380,#232381,#232382)); #42872=EDGE_LOOP('',(#232383,#232384,#232385,#232386)); #42873=EDGE_LOOP('',(#232387,#232388,#232389,#232390)); #42874=EDGE_LOOP('',(#232391,#232392,#232393,#232394)); #42875=EDGE_LOOP('',(#232395,#232396,#232397,#232398)); #42876=EDGE_LOOP('',(#232399,#232400,#232401,#232402)); #42877=EDGE_LOOP('',(#232403,#232404,#232405,#232406)); #42878=EDGE_LOOP('',(#232407,#232408,#232409,#232410)); #42879=EDGE_LOOP('',(#232411,#232412,#232413,#232414)); #42880=EDGE_LOOP('',(#232415,#232416,#232417,#232418)); #42881=EDGE_LOOP('',(#232419,#232420,#232421,#232422)); #42882=EDGE_LOOP('',(#232423,#232424,#232425,#232426)); #42883=EDGE_LOOP('',(#232427,#232428,#232429,#232430)); #42884=EDGE_LOOP('',(#232431,#232432,#232433,#232434)); #42885=EDGE_LOOP('',(#232435,#232436,#232437,#232438)); #42886=EDGE_LOOP('',(#232439,#232440,#232441,#232442)); #42887=EDGE_LOOP('',(#232443,#232444,#232445,#232446)); #42888=EDGE_LOOP('',(#232447,#232448,#232449,#232450)); #42889=EDGE_LOOP('',(#232451,#232452,#232453,#232454)); #42890=EDGE_LOOP('',(#232455,#232456,#232457,#232458)); #42891=EDGE_LOOP('',(#232459,#232460,#232461,#232462)); #42892=EDGE_LOOP('',(#232463,#232464,#232465,#232466)); #42893=EDGE_LOOP('',(#232467,#232468,#232469,#232470)); #42894=EDGE_LOOP('',(#232471,#232472,#232473,#232474)); #42895=EDGE_LOOP('',(#232475,#232476,#232477,#232478)); #42896=EDGE_LOOP('',(#232479,#232480,#232481,#232482)); #42897=EDGE_LOOP('',(#232483,#232484,#232485,#232486)); #42898=EDGE_LOOP('',(#232487,#232488,#232489,#232490)); #42899=EDGE_LOOP('',(#232491,#232492,#232493,#232494)); #42900=EDGE_LOOP('',(#232495,#232496,#232497,#232498)); #42901=EDGE_LOOP('',(#232499,#232500,#232501,#232502)); #42902=EDGE_LOOP('',(#232503,#232504,#232505,#232506)); #42903=EDGE_LOOP('',(#232507,#232508,#232509,#232510)); #42904=EDGE_LOOP('',(#232511,#232512,#232513,#232514)); #42905=EDGE_LOOP('',(#232515,#232516,#232517,#232518)); #42906=EDGE_LOOP('',(#232519,#232520,#232521,#232522)); #42907=EDGE_LOOP('',(#232523,#232524,#232525,#232526)); #42908=EDGE_LOOP('',(#232527,#232528,#232529,#232530)); #42909=EDGE_LOOP('',(#232531,#232532,#232533,#232534)); #42910=EDGE_LOOP('',(#232535,#232536,#232537,#232538)); #42911=EDGE_LOOP('',(#232539,#232540,#232541,#232542)); #42912=EDGE_LOOP('',(#232543,#232544,#232545,#232546)); #42913=EDGE_LOOP('',(#232547,#232548,#232549,#232550)); #42914=EDGE_LOOP('',(#232551,#232552,#232553,#232554)); #42915=EDGE_LOOP('',(#232555,#232556,#232557,#232558)); #42916=EDGE_LOOP('',(#232559,#232560,#232561,#232562)); #42917=EDGE_LOOP('',(#232563,#232564,#232565,#232566)); #42918=EDGE_LOOP('',(#232567,#232568,#232569,#232570)); #42919=EDGE_LOOP('',(#232571,#232572,#232573,#232574)); #42920=EDGE_LOOP('',(#232575,#232576,#232577,#232578)); #42921=EDGE_LOOP('',(#232579,#232580,#232581,#232582)); #42922=EDGE_LOOP('',(#232583,#232584,#232585,#232586)); #42923=EDGE_LOOP('',(#232587,#232588,#232589,#232590)); #42924=EDGE_LOOP('',(#232591,#232592,#232593,#232594)); #42925=EDGE_LOOP('',(#232595,#232596,#232597,#232598)); #42926=EDGE_LOOP('',(#232599,#232600,#232601,#232602)); #42927=EDGE_LOOP('',(#232603,#232604,#232605,#232606)); #42928=EDGE_LOOP('',(#232607,#232608,#232609,#232610)); #42929=EDGE_LOOP('',(#232611,#232612,#232613,#232614)); #42930=EDGE_LOOP('',(#232615,#232616,#232617,#232618)); #42931=EDGE_LOOP('',(#232619,#232620,#232621,#232622)); #42932=EDGE_LOOP('',(#232623,#232624,#232625,#232626)); #42933=EDGE_LOOP('',(#232627,#232628,#232629,#232630)); #42934=EDGE_LOOP('',(#232631,#232632,#232633,#232634)); #42935=EDGE_LOOP('',(#232635,#232636,#232637,#232638)); #42936=EDGE_LOOP('',(#232639,#232640,#232641,#232642)); #42937=EDGE_LOOP('',(#232643,#232644,#232645,#232646)); #42938=EDGE_LOOP('',(#232647,#232648,#232649,#232650)); #42939=EDGE_LOOP('',(#232651,#232652,#232653,#232654)); #42940=EDGE_LOOP('',(#232655,#232656,#232657,#232658)); #42941=EDGE_LOOP('',(#232659,#232660,#232661,#232662)); #42942=EDGE_LOOP('',(#232663,#232664,#232665,#232666)); #42943=EDGE_LOOP('',(#232667,#232668,#232669,#232670)); #42944=EDGE_LOOP('',(#232671,#232672,#232673,#232674)); #42945=EDGE_LOOP('',(#232675,#232676,#232677,#232678)); #42946=EDGE_LOOP('',(#232679,#232680,#232681,#232682)); #42947=EDGE_LOOP('',(#232683,#232684,#232685,#232686)); #42948=EDGE_LOOP('',(#232687,#232688,#232689,#232690)); #42949=EDGE_LOOP('',(#232691,#232692,#232693,#232694)); #42950=EDGE_LOOP('',(#232695,#232696,#232697,#232698)); #42951=EDGE_LOOP('',(#232699,#232700,#232701,#232702)); #42952=EDGE_LOOP('',(#232703,#232704,#232705,#232706)); #42953=EDGE_LOOP('',(#232707,#232708,#232709,#232710)); #42954=EDGE_LOOP('',(#232711,#232712,#232713,#232714)); #42955=EDGE_LOOP('',(#232715,#232716,#232717,#232718)); #42956=EDGE_LOOP('',(#232719,#232720,#232721,#232722)); #42957=EDGE_LOOP('',(#232723,#232724,#232725,#232726)); #42958=EDGE_LOOP('',(#232727,#232728,#232729,#232730)); #42959=EDGE_LOOP('',(#232731,#232732,#232733,#232734)); #42960=EDGE_LOOP('',(#232735,#232736,#232737,#232738)); #42961=EDGE_LOOP('',(#232739,#232740,#232741,#232742)); #42962=EDGE_LOOP('',(#232743,#232744,#232745,#232746)); #42963=EDGE_LOOP('',(#232747,#232748,#232749,#232750)); #42964=EDGE_LOOP('',(#232751,#232752,#232753,#232754)); #42965=EDGE_LOOP('',(#232755,#232756,#232757,#232758)); #42966=EDGE_LOOP('',(#232759,#232760,#232761,#232762)); #42967=EDGE_LOOP('',(#232763,#232764,#232765,#232766)); #42968=EDGE_LOOP('',(#232767,#232768,#232769,#232770)); #42969=EDGE_LOOP('',(#232771,#232772,#232773,#232774)); #42970=EDGE_LOOP('',(#232775,#232776,#232777,#232778)); #42971=EDGE_LOOP('',(#232779,#232780,#232781,#232782)); #42972=EDGE_LOOP('',(#232783,#232784,#232785,#232786)); #42973=EDGE_LOOP('',(#232787,#232788,#232789,#232790)); #42974=EDGE_LOOP('',(#232791,#232792,#232793,#232794)); #42975=EDGE_LOOP('',(#232795,#232796,#232797,#232798)); #42976=EDGE_LOOP('',(#232799,#232800,#232801,#232802)); #42977=EDGE_LOOP('',(#232803,#232804,#232805,#232806)); #42978=EDGE_LOOP('',(#232807,#232808,#232809,#232810)); #42979=EDGE_LOOP('',(#232811,#232812,#232813,#232814)); #42980=EDGE_LOOP('',(#232815,#232816,#232817,#232818)); #42981=EDGE_LOOP('',(#232819,#232820,#232821,#232822)); #42982=EDGE_LOOP('',(#232823,#232824,#232825,#232826)); #42983=EDGE_LOOP('',(#232827,#232828,#232829,#232830)); #42984=EDGE_LOOP('',(#232831,#232832,#232833,#232834)); #42985=EDGE_LOOP('',(#232835,#232836,#232837,#232838)); #42986=EDGE_LOOP('',(#232839,#232840,#232841,#232842)); #42987=EDGE_LOOP('',(#232843,#232844,#232845,#232846)); #42988=EDGE_LOOP('',(#232847,#232848,#232849,#232850)); #42989=EDGE_LOOP('',(#232851,#232852,#232853,#232854)); #42990=EDGE_LOOP('',(#232855,#232856,#232857,#232858)); #42991=EDGE_LOOP('',(#232859,#232860,#232861,#232862)); #42992=EDGE_LOOP('',(#232863,#232864,#232865,#232866)); #42993=EDGE_LOOP('',(#232867,#232868,#232869,#232870)); #42994=EDGE_LOOP('',(#232871,#232872,#232873,#232874)); #42995=EDGE_LOOP('',(#232875,#232876,#232877,#232878)); #42996=EDGE_LOOP('',(#232879,#232880,#232881,#232882)); #42997=EDGE_LOOP('',(#232883,#232884,#232885,#232886)); #42998=EDGE_LOOP('',(#232887,#232888,#232889,#232890)); #42999=EDGE_LOOP('',(#232891,#232892,#232893,#232894)); #43000=EDGE_LOOP('',(#232895,#232896,#232897,#232898)); #43001=EDGE_LOOP('',(#232899,#232900,#232901,#232902)); #43002=EDGE_LOOP('',(#232903,#232904,#232905,#232906)); #43003=EDGE_LOOP('',(#232907,#232908,#232909,#232910)); #43004=EDGE_LOOP('',(#232911,#232912,#232913,#232914)); #43005=EDGE_LOOP('',(#232915,#232916,#232917,#232918)); #43006=EDGE_LOOP('',(#232919,#232920,#232921,#232922)); #43007=EDGE_LOOP('',(#232923,#232924,#232925,#232926)); #43008=EDGE_LOOP('',(#232927,#232928,#232929,#232930)); #43009=EDGE_LOOP('',(#232931,#232932,#232933,#232934)); #43010=EDGE_LOOP('',(#232935,#232936,#232937,#232938)); #43011=EDGE_LOOP('',(#232939,#232940,#232941,#232942)); #43012=EDGE_LOOP('',(#232943,#232944,#232945,#232946)); #43013=EDGE_LOOP('',(#232947,#232948,#232949,#232950)); #43014=EDGE_LOOP('',(#232951,#232952,#232953,#232954)); #43015=EDGE_LOOP('',(#232955,#232956,#232957,#232958)); #43016=EDGE_LOOP('',(#232959,#232960,#232961,#232962)); #43017=EDGE_LOOP('',(#232963,#232964,#232965,#232966)); #43018=EDGE_LOOP('',(#232967,#232968,#232969,#232970)); #43019=EDGE_LOOP('',(#232971,#232972,#232973,#232974)); #43020=EDGE_LOOP('',(#232975,#232976,#232977,#232978)); #43021=EDGE_LOOP('',(#232979,#232980,#232981,#232982)); #43022=EDGE_LOOP('',(#232983,#232984,#232985,#232986)); #43023=EDGE_LOOP('',(#232987,#232988,#232989,#232990)); #43024=EDGE_LOOP('',(#232991,#232992,#232993,#232994)); #43025=EDGE_LOOP('',(#232995,#232996,#232997,#232998)); #43026=EDGE_LOOP('',(#232999,#233000,#233001,#233002)); #43027=EDGE_LOOP('',(#233003,#233004,#233005,#233006)); #43028=EDGE_LOOP('',(#233007,#233008,#233009,#233010)); #43029=EDGE_LOOP('',(#233011,#233012,#233013,#233014)); #43030=EDGE_LOOP('',(#233015,#233016,#233017,#233018)); #43031=EDGE_LOOP('',(#233019,#233020,#233021,#233022)); #43032=EDGE_LOOP('',(#233023,#233024,#233025,#233026)); #43033=EDGE_LOOP('',(#233027,#233028,#233029,#233030)); #43034=EDGE_LOOP('',(#233031,#233032,#233033,#233034)); #43035=EDGE_LOOP('',(#233035,#233036,#233037,#233038)); #43036=EDGE_LOOP('',(#233039,#233040,#233041,#233042)); #43037=EDGE_LOOP('',(#233043,#233044,#233045,#233046)); #43038=EDGE_LOOP('',(#233047,#233048,#233049,#233050)); #43039=EDGE_LOOP('',(#233051,#233052,#233053,#233054)); #43040=EDGE_LOOP('',(#233055,#233056,#233057,#233058)); #43041=EDGE_LOOP('',(#233059,#233060,#233061,#233062)); #43042=EDGE_LOOP('',(#233063,#233064,#233065,#233066)); #43043=EDGE_LOOP('',(#233067,#233068,#233069,#233070)); #43044=EDGE_LOOP('',(#233071,#233072,#233073,#233074)); #43045=EDGE_LOOP('',(#233075,#233076,#233077,#233078)); #43046=EDGE_LOOP('',(#233079,#233080,#233081,#233082)); #43047=EDGE_LOOP('',(#233083,#233084,#233085,#233086)); #43048=EDGE_LOOP('',(#233087,#233088,#233089,#233090)); #43049=EDGE_LOOP('',(#233091,#233092,#233093,#233094)); #43050=EDGE_LOOP('',(#233095,#233096,#233097,#233098)); #43051=EDGE_LOOP('',(#233099,#233100,#233101,#233102)); #43052=EDGE_LOOP('',(#233103,#233104,#233105,#233106)); #43053=EDGE_LOOP('',(#233107,#233108,#233109,#233110)); #43054=EDGE_LOOP('',(#233111,#233112,#233113,#233114)); #43055=EDGE_LOOP('',(#233115,#233116,#233117,#233118)); #43056=EDGE_LOOP('',(#233119,#233120,#233121,#233122)); #43057=EDGE_LOOP('',(#233123,#233124,#233125,#233126)); #43058=EDGE_LOOP('',(#233127,#233128,#233129,#233130)); #43059=EDGE_LOOP('',(#233131,#233132,#233133,#233134)); #43060=EDGE_LOOP('',(#233135,#233136,#233137,#233138)); #43061=EDGE_LOOP('',(#233139,#233140,#233141,#233142)); #43062=EDGE_LOOP('',(#233143,#233144,#233145,#233146)); #43063=EDGE_LOOP('',(#233147,#233148,#233149,#233150)); #43064=EDGE_LOOP('',(#233151,#233152,#233153,#233154)); #43065=EDGE_LOOP('',(#233155,#233156,#233157,#233158)); #43066=EDGE_LOOP('',(#233159,#233160,#233161,#233162)); #43067=EDGE_LOOP('',(#233163,#233164,#233165,#233166)); #43068=EDGE_LOOP('',(#233167,#233168,#233169,#233170)); #43069=EDGE_LOOP('',(#233171,#233172,#233173,#233174)); #43070=EDGE_LOOP('',(#233175,#233176,#233177,#233178)); #43071=EDGE_LOOP('',(#233179,#233180,#233181,#233182)); #43072=EDGE_LOOP('',(#233183,#233184,#233185,#233186)); #43073=EDGE_LOOP('',(#233187,#233188,#233189,#233190)); #43074=EDGE_LOOP('',(#233191,#233192,#233193,#233194)); #43075=EDGE_LOOP('',(#233195,#233196,#233197,#233198)); #43076=EDGE_LOOP('',(#233199,#233200,#233201,#233202)); #43077=EDGE_LOOP('',(#233203,#233204,#233205,#233206)); #43078=EDGE_LOOP('',(#233207,#233208,#233209,#233210)); #43079=EDGE_LOOP('',(#233211,#233212,#233213,#233214)); #43080=EDGE_LOOP('',(#233215,#233216,#233217,#233218)); #43081=EDGE_LOOP('',(#233219,#233220,#233221,#233222)); #43082=EDGE_LOOP('',(#233223,#233224,#233225,#233226)); #43083=EDGE_LOOP('',(#233227,#233228,#233229,#233230)); #43084=EDGE_LOOP('',(#233231,#233232,#233233,#233234)); #43085=EDGE_LOOP('',(#233235,#233236,#233237,#233238)); #43086=EDGE_LOOP('',(#233239,#233240,#233241,#233242)); #43087=EDGE_LOOP('',(#233243,#233244,#233245,#233246)); #43088=EDGE_LOOP('',(#233247,#233248,#233249,#233250)); #43089=EDGE_LOOP('',(#233251,#233252,#233253,#233254)); #43090=EDGE_LOOP('',(#233255,#233256,#233257,#233258)); #43091=EDGE_LOOP('',(#233259,#233260,#233261,#233262)); #43092=EDGE_LOOP('',(#233263,#233264,#233265,#233266)); #43093=EDGE_LOOP('',(#233267,#233268,#233269,#233270)); #43094=EDGE_LOOP('',(#233271,#233272,#233273,#233274)); #43095=EDGE_LOOP('',(#233275,#233276,#233277,#233278)); #43096=EDGE_LOOP('',(#233279,#233280,#233281,#233282)); #43097=EDGE_LOOP('',(#233283,#233284,#233285,#233286)); #43098=EDGE_LOOP('',(#233287,#233288,#233289,#233290)); #43099=EDGE_LOOP('',(#233291,#233292,#233293,#233294)); #43100=EDGE_LOOP('',(#233295,#233296,#233297,#233298)); #43101=EDGE_LOOP('',(#233299,#233300,#233301,#233302)); #43102=EDGE_LOOP('',(#233303,#233304,#233305,#233306)); #43103=EDGE_LOOP('',(#233307,#233308,#233309,#233310)); #43104=EDGE_LOOP('',(#233311,#233312,#233313,#233314)); #43105=EDGE_LOOP('',(#233315,#233316,#233317,#233318)); #43106=EDGE_LOOP('',(#233319,#233320,#233321,#233322)); #43107=EDGE_LOOP('',(#233323,#233324,#233325,#233326)); #43108=EDGE_LOOP('',(#233327,#233328,#233329,#233330)); #43109=EDGE_LOOP('',(#233331,#233332,#233333,#233334)); #43110=EDGE_LOOP('',(#233335,#233336,#233337,#233338)); #43111=EDGE_LOOP('',(#233339,#233340,#233341,#233342)); #43112=EDGE_LOOP('',(#233343,#233344,#233345,#233346)); #43113=EDGE_LOOP('',(#233347,#233348,#233349,#233350)); #43114=EDGE_LOOP('',(#233351,#233352,#233353,#233354)); #43115=EDGE_LOOP('',(#233355,#233356,#233357,#233358)); #43116=EDGE_LOOP('',(#233359,#233360,#233361,#233362)); #43117=EDGE_LOOP('',(#233363,#233364,#233365,#233366)); #43118=EDGE_LOOP('',(#233367,#233368,#233369,#233370)); #43119=EDGE_LOOP('',(#233371,#233372,#233373,#233374)); #43120=EDGE_LOOP('',(#233375,#233376,#233377,#233378)); #43121=EDGE_LOOP('',(#233379,#233380,#233381,#233382)); #43122=EDGE_LOOP('',(#233383,#233384,#233385,#233386)); #43123=EDGE_LOOP('',(#233387,#233388,#233389,#233390)); #43124=EDGE_LOOP('',(#233391,#233392,#233393,#233394)); #43125=EDGE_LOOP('',(#233395,#233396,#233397,#233398)); #43126=EDGE_LOOP('',(#233399,#233400,#233401,#233402)); #43127=EDGE_LOOP('',(#233403,#233404,#233405,#233406)); #43128=EDGE_LOOP('',(#233407,#233408,#233409,#233410)); #43129=EDGE_LOOP('',(#233411,#233412,#233413,#233414)); #43130=EDGE_LOOP('',(#233415,#233416,#233417,#233418)); #43131=EDGE_LOOP('',(#233419,#233420,#233421,#233422)); #43132=EDGE_LOOP('',(#233423,#233424,#233425,#233426)); #43133=EDGE_LOOP('',(#233427,#233428,#233429,#233430)); #43134=EDGE_LOOP('',(#233431,#233432,#233433,#233434)); #43135=EDGE_LOOP('',(#233435,#233436,#233437,#233438)); #43136=EDGE_LOOP('',(#233439,#233440,#233441,#233442)); #43137=EDGE_LOOP('',(#233443,#233444,#233445,#233446)); #43138=EDGE_LOOP('',(#233447,#233448,#233449,#233450)); #43139=EDGE_LOOP('',(#233451,#233452,#233453,#233454)); #43140=EDGE_LOOP('',(#233455,#233456,#233457,#233458)); #43141=EDGE_LOOP('',(#233459,#233460,#233461,#233462)); #43142=EDGE_LOOP('',(#233463,#233464,#233465,#233466)); #43143=EDGE_LOOP('',(#233467,#233468,#233469,#233470)); #43144=EDGE_LOOP('',(#233471,#233472,#233473,#233474)); #43145=EDGE_LOOP('',(#233475,#233476,#233477,#233478)); #43146=EDGE_LOOP('',(#233479,#233480,#233481,#233482)); #43147=EDGE_LOOP('',(#233483,#233484,#233485,#233486)); #43148=EDGE_LOOP('',(#233487,#233488,#233489,#233490)); #43149=EDGE_LOOP('',(#233491,#233492,#233493,#233494)); #43150=EDGE_LOOP('',(#233495,#233496,#233497,#233498)); #43151=EDGE_LOOP('',(#233499,#233500,#233501,#233502)); #43152=EDGE_LOOP('',(#233503,#233504,#233505,#233506)); #43153=EDGE_LOOP('',(#233507,#233508,#233509,#233510)); #43154=EDGE_LOOP('',(#233511,#233512,#233513,#233514)); #43155=EDGE_LOOP('',(#233515,#233516,#233517,#233518)); #43156=EDGE_LOOP('',(#233519,#233520,#233521,#233522)); #43157=EDGE_LOOP('',(#233523,#233524,#233525,#233526)); #43158=EDGE_LOOP('',(#233527,#233528,#233529,#233530)); #43159=EDGE_LOOP('',(#233531,#233532,#233533,#233534)); #43160=EDGE_LOOP('',(#233535,#233536,#233537,#233538)); #43161=EDGE_LOOP('',(#233539,#233540,#233541,#233542)); #43162=EDGE_LOOP('',(#233543,#233544,#233545,#233546)); #43163=EDGE_LOOP('',(#233547,#233548,#233549,#233550)); #43164=EDGE_LOOP('',(#233551,#233552,#233553,#233554)); #43165=EDGE_LOOP('',(#233555,#233556,#233557,#233558)); #43166=EDGE_LOOP('',(#233559,#233560,#233561,#233562)); #43167=EDGE_LOOP('',(#233563,#233564,#233565,#233566)); #43168=EDGE_LOOP('',(#233567,#233568,#233569,#233570)); #43169=EDGE_LOOP('',(#233571,#233572,#233573,#233574)); #43170=EDGE_LOOP('',(#233575,#233576,#233577,#233578)); #43171=EDGE_LOOP('',(#233579,#233580,#233581,#233582)); #43172=EDGE_LOOP('',(#233583,#233584,#233585,#233586)); #43173=EDGE_LOOP('',(#233587,#233588,#233589,#233590)); #43174=EDGE_LOOP('',(#233591,#233592,#233593,#233594)); #43175=EDGE_LOOP('',(#233595,#233596,#233597,#233598)); #43176=EDGE_LOOP('',(#233599,#233600,#233601,#233602)); #43177=EDGE_LOOP('',(#233603,#233604,#233605,#233606)); #43178=EDGE_LOOP('',(#233607,#233608,#233609,#233610)); #43179=EDGE_LOOP('',(#233611,#233612,#233613,#233614)); #43180=EDGE_LOOP('',(#233615,#233616,#233617,#233618)); #43181=EDGE_LOOP('',(#233619,#233620,#233621,#233622)); #43182=EDGE_LOOP('',(#233623,#233624,#233625,#233626)); #43183=EDGE_LOOP('',(#233627,#233628,#233629,#233630)); #43184=EDGE_LOOP('',(#233631,#233632,#233633,#233634)); #43185=EDGE_LOOP('',(#233635,#233636,#233637,#233638)); #43186=EDGE_LOOP('',(#233639,#233640,#233641,#233642)); #43187=EDGE_LOOP('',(#233643,#233644,#233645,#233646)); #43188=EDGE_LOOP('',(#233647,#233648,#233649,#233650)); #43189=EDGE_LOOP('',(#233651,#233652,#233653,#233654)); #43190=EDGE_LOOP('',(#233655,#233656,#233657,#233658)); #43191=EDGE_LOOP('',(#233659,#233660,#233661,#233662)); #43192=EDGE_LOOP('',(#233663,#233664,#233665,#233666)); #43193=EDGE_LOOP('',(#233667,#233668,#233669,#233670)); #43194=EDGE_LOOP('',(#233671,#233672,#233673,#233674)); #43195=EDGE_LOOP('',(#233675,#233676,#233677,#233678)); #43196=EDGE_LOOP('',(#233679,#233680,#233681,#233682)); #43197=EDGE_LOOP('',(#233683,#233684,#233685,#233686)); #43198=EDGE_LOOP('',(#233687,#233688,#233689,#233690)); #43199=EDGE_LOOP('',(#233691,#233692,#233693,#233694)); #43200=EDGE_LOOP('',(#233695,#233696,#233697,#233698)); #43201=EDGE_LOOP('',(#233699,#233700,#233701,#233702)); #43202=EDGE_LOOP('',(#233703,#233704,#233705,#233706)); #43203=EDGE_LOOP('',(#233707,#233708,#233709,#233710)); #43204=EDGE_LOOP('',(#233711,#233712,#233713,#233714)); #43205=EDGE_LOOP('',(#233715,#233716,#233717,#233718)); #43206=EDGE_LOOP('',(#233719,#233720,#233721,#233722)); #43207=EDGE_LOOP('',(#233723,#233724,#233725,#233726)); #43208=EDGE_LOOP('',(#233727,#233728,#233729,#233730)); #43209=EDGE_LOOP('',(#233731,#233732,#233733,#233734)); #43210=EDGE_LOOP('',(#233735,#233736,#233737,#233738)); #43211=EDGE_LOOP('',(#233739,#233740,#233741,#233742)); #43212=EDGE_LOOP('',(#233743,#233744,#233745,#233746)); #43213=EDGE_LOOP('',(#233747,#233748,#233749,#233750)); #43214=EDGE_LOOP('',(#233751,#233752,#233753,#233754)); #43215=EDGE_LOOP('',(#233755,#233756,#233757,#233758)); #43216=EDGE_LOOP('',(#233759,#233760,#233761,#233762)); #43217=EDGE_LOOP('',(#233763,#233764,#233765,#233766)); #43218=EDGE_LOOP('',(#233767,#233768,#233769,#233770)); #43219=EDGE_LOOP('',(#233771,#233772,#233773,#233774)); #43220=EDGE_LOOP('',(#233775,#233776,#233777,#233778)); #43221=EDGE_LOOP('',(#233779,#233780,#233781,#233782)); #43222=EDGE_LOOP('',(#233783,#233784,#233785,#233786)); #43223=EDGE_LOOP('',(#233787,#233788,#233789,#233790)); #43224=EDGE_LOOP('',(#233791,#233792,#233793,#233794)); #43225=EDGE_LOOP('',(#233795,#233796,#233797,#233798)); #43226=EDGE_LOOP('',(#233799,#233800,#233801,#233802)); #43227=EDGE_LOOP('',(#233803,#233804,#233805,#233806)); #43228=EDGE_LOOP('',(#233807,#233808,#233809,#233810)); #43229=EDGE_LOOP('',(#233811,#233812,#233813,#233814)); #43230=EDGE_LOOP('',(#233815,#233816,#233817,#233818)); #43231=EDGE_LOOP('',(#233819,#233820,#233821,#233822)); #43232=EDGE_LOOP('',(#233823,#233824,#233825,#233826)); #43233=EDGE_LOOP('',(#233827,#233828,#233829,#233830)); #43234=EDGE_LOOP('',(#233831,#233832,#233833,#233834)); #43235=EDGE_LOOP('',(#233835,#233836,#233837,#233838)); #43236=EDGE_LOOP('',(#233839,#233840,#233841,#233842)); #43237=EDGE_LOOP('',(#233843,#233844,#233845,#233846)); #43238=EDGE_LOOP('',(#233847,#233848,#233849,#233850)); #43239=EDGE_LOOP('',(#233851,#233852,#233853,#233854)); #43240=EDGE_LOOP('',(#233855,#233856,#233857,#233858)); #43241=EDGE_LOOP('',(#233859,#233860,#233861,#233862)); #43242=EDGE_LOOP('',(#233863,#233864,#233865,#233866)); #43243=EDGE_LOOP('',(#233867,#233868,#233869,#233870)); #43244=EDGE_LOOP('',(#233871,#233872,#233873,#233874)); #43245=EDGE_LOOP('',(#233875,#233876,#233877,#233878)); #43246=EDGE_LOOP('',(#233879,#233880,#233881,#233882)); #43247=EDGE_LOOP('',(#233883,#233884,#233885,#233886)); #43248=EDGE_LOOP('',(#233887,#233888,#233889,#233890)); #43249=EDGE_LOOP('',(#233891,#233892,#233893,#233894)); #43250=EDGE_LOOP('',(#233895,#233896,#233897,#233898)); #43251=EDGE_LOOP('',(#233899,#233900,#233901,#233902)); #43252=EDGE_LOOP('',(#233903,#233904,#233905,#233906)); #43253=EDGE_LOOP('',(#233907,#233908,#233909,#233910)); #43254=EDGE_LOOP('',(#233911,#233912,#233913,#233914)); #43255=EDGE_LOOP('',(#233915,#233916,#233917,#233918)); #43256=EDGE_LOOP('',(#233919,#233920,#233921,#233922)); #43257=EDGE_LOOP('',(#233923,#233924,#233925,#233926)); #43258=EDGE_LOOP('',(#233927,#233928,#233929,#233930)); #43259=EDGE_LOOP('',(#233931,#233932,#233933,#233934)); #43260=EDGE_LOOP('',(#233935,#233936,#233937,#233938)); #43261=EDGE_LOOP('',(#233939,#233940,#233941,#233942)); #43262=EDGE_LOOP('',(#233943,#233944,#233945,#233946)); #43263=EDGE_LOOP('',(#233947,#233948,#233949,#233950)); #43264=EDGE_LOOP('',(#233951,#233952,#233953,#233954)); #43265=EDGE_LOOP('',(#233955,#233956,#233957,#233958)); #43266=EDGE_LOOP('',(#233959,#233960,#233961,#233962)); #43267=EDGE_LOOP('',(#233963,#233964,#233965,#233966)); #43268=EDGE_LOOP('',(#233967,#233968,#233969,#233970)); #43269=EDGE_LOOP('',(#233971,#233972,#233973,#233974)); #43270=EDGE_LOOP('',(#233975,#233976,#233977,#233978)); #43271=EDGE_LOOP('',(#233979,#233980,#233981,#233982)); #43272=EDGE_LOOP('',(#233983,#233984,#233985,#233986)); #43273=EDGE_LOOP('',(#233987,#233988,#233989,#233990)); #43274=EDGE_LOOP('',(#233991,#233992,#233993,#233994)); #43275=EDGE_LOOP('',(#233995,#233996,#233997,#233998)); #43276=EDGE_LOOP('',(#233999,#234000,#234001,#234002)); #43277=EDGE_LOOP('',(#234003,#234004,#234005,#234006)); #43278=EDGE_LOOP('',(#234007,#234008,#234009,#234010)); #43279=EDGE_LOOP('',(#234011,#234012,#234013,#234014)); #43280=EDGE_LOOP('',(#234015,#234016,#234017,#234018)); #43281=EDGE_LOOP('',(#234019,#234020,#234021,#234022)); #43282=EDGE_LOOP('',(#234023,#234024,#234025,#234026)); #43283=EDGE_LOOP('',(#234027,#234028,#234029,#234030)); #43284=EDGE_LOOP('',(#234031,#234032,#234033,#234034)); #43285=EDGE_LOOP('',(#234035,#234036,#234037,#234038)); #43286=EDGE_LOOP('',(#234039,#234040,#234041,#234042)); #43287=EDGE_LOOP('',(#234043,#234044,#234045,#234046)); #43288=EDGE_LOOP('',(#234047,#234048,#234049,#234050)); #43289=EDGE_LOOP('',(#234051,#234052,#234053,#234054)); #43290=EDGE_LOOP('',(#234055,#234056,#234057,#234058)); #43291=EDGE_LOOP('',(#234059,#234060,#234061,#234062)); #43292=EDGE_LOOP('',(#234063,#234064,#234065,#234066)); #43293=EDGE_LOOP('',(#234067,#234068,#234069,#234070)); #43294=EDGE_LOOP('',(#234071,#234072,#234073,#234074)); #43295=EDGE_LOOP('',(#234075,#234076,#234077,#234078)); #43296=EDGE_LOOP('',(#234079,#234080,#234081,#234082)); #43297=EDGE_LOOP('',(#234083,#234084,#234085,#234086)); #43298=EDGE_LOOP('',(#234087,#234088,#234089,#234090)); #43299=EDGE_LOOP('',(#234091,#234092,#234093,#234094)); #43300=EDGE_LOOP('',(#234095,#234096,#234097,#234098)); #43301=EDGE_LOOP('',(#234099,#234100,#234101,#234102)); #43302=EDGE_LOOP('',(#234103,#234104,#234105,#234106)); #43303=EDGE_LOOP('',(#234107,#234108,#234109,#234110)); #43304=EDGE_LOOP('',(#234111,#234112,#234113,#234114)); #43305=EDGE_LOOP('',(#234115,#234116,#234117,#234118)); #43306=EDGE_LOOP('',(#234119,#234120,#234121,#234122)); #43307=EDGE_LOOP('',(#234123,#234124,#234125,#234126)); #43308=EDGE_LOOP('',(#234127,#234128,#234129,#234130)); #43309=EDGE_LOOP('',(#234131,#234132,#234133,#234134)); #43310=EDGE_LOOP('',(#234135,#234136,#234137,#234138)); #43311=EDGE_LOOP('',(#234139,#234140,#234141,#234142)); #43312=EDGE_LOOP('',(#234143,#234144,#234145,#234146)); #43313=EDGE_LOOP('',(#234147,#234148,#234149,#234150)); #43314=EDGE_LOOP('',(#234151,#234152,#234153,#234154)); #43315=EDGE_LOOP('',(#234155,#234156,#234157,#234158)); #43316=EDGE_LOOP('',(#234159,#234160,#234161,#234162)); #43317=EDGE_LOOP('',(#234163,#234164,#234165,#234166)); #43318=EDGE_LOOP('',(#234167,#234168,#234169,#234170)); #43319=EDGE_LOOP('',(#234171,#234172,#234173,#234174)); #43320=EDGE_LOOP('',(#234175,#234176,#234177,#234178)); #43321=EDGE_LOOP('',(#234179,#234180,#234181,#234182)); #43322=EDGE_LOOP('',(#234183,#234184,#234185,#234186)); #43323=EDGE_LOOP('',(#234187,#234188,#234189,#234190)); #43324=EDGE_LOOP('',(#234191,#234192,#234193,#234194)); #43325=EDGE_LOOP('',(#234195,#234196,#234197,#234198)); #43326=EDGE_LOOP('',(#234199,#234200,#234201,#234202)); #43327=EDGE_LOOP('',(#234203,#234204,#234205,#234206)); #43328=EDGE_LOOP('',(#234207,#234208,#234209,#234210)); #43329=EDGE_LOOP('',(#234211,#234212,#234213,#234214)); #43330=EDGE_LOOP('',(#234215,#234216,#234217,#234218)); #43331=EDGE_LOOP('',(#234219,#234220,#234221,#234222)); #43332=EDGE_LOOP('',(#234223,#234224,#234225,#234226)); #43333=EDGE_LOOP('',(#234227,#234228,#234229,#234230)); #43334=EDGE_LOOP('',(#234231,#234232,#234233,#234234)); #43335=EDGE_LOOP('',(#234235,#234236,#234237,#234238)); #43336=EDGE_LOOP('',(#234239,#234240,#234241,#234242)); #43337=EDGE_LOOP('',(#234243,#234244,#234245,#234246)); #43338=EDGE_LOOP('',(#234247,#234248,#234249,#234250)); #43339=EDGE_LOOP('',(#234251,#234252,#234253,#234254)); #43340=EDGE_LOOP('',(#234255,#234256,#234257,#234258)); #43341=EDGE_LOOP('',(#234259,#234260,#234261,#234262)); #43342=EDGE_LOOP('',(#234263,#234264,#234265,#234266)); #43343=EDGE_LOOP('',(#234267,#234268,#234269,#234270)); #43344=EDGE_LOOP('',(#234271,#234272,#234273,#234274)); #43345=EDGE_LOOP('',(#234275,#234276,#234277,#234278)); #43346=EDGE_LOOP('',(#234279,#234280,#234281,#234282)); #43347=EDGE_LOOP('',(#234283,#234284,#234285,#234286)); #43348=EDGE_LOOP('',(#234287,#234288,#234289,#234290)); #43349=EDGE_LOOP('',(#234291,#234292,#234293,#234294)); #43350=EDGE_LOOP('',(#234295,#234296,#234297,#234298)); #43351=EDGE_LOOP('',(#234299,#234300,#234301,#234302)); #43352=EDGE_LOOP('',(#234303,#234304,#234305,#234306)); #43353=EDGE_LOOP('',(#234307,#234308,#234309,#234310)); #43354=EDGE_LOOP('',(#234311,#234312,#234313,#234314)); #43355=EDGE_LOOP('',(#234315,#234316,#234317,#234318)); #43356=EDGE_LOOP('',(#234319,#234320,#234321,#234322)); #43357=EDGE_LOOP('',(#234323,#234324,#234325,#234326)); #43358=EDGE_LOOP('',(#234327,#234328,#234329,#234330)); #43359=EDGE_LOOP('',(#234331,#234332,#234333,#234334)); #43360=EDGE_LOOP('',(#234335,#234336,#234337,#234338)); #43361=EDGE_LOOP('',(#234339,#234340,#234341,#234342)); #43362=EDGE_LOOP('',(#234343,#234344,#234345,#234346)); #43363=EDGE_LOOP('',(#234347,#234348,#234349,#234350)); #43364=EDGE_LOOP('',(#234351,#234352,#234353,#234354)); #43365=EDGE_LOOP('',(#234355,#234356,#234357,#234358)); #43366=EDGE_LOOP('',(#234359,#234360,#234361,#234362)); #43367=EDGE_LOOP('',(#234363,#234364,#234365,#234366)); #43368=EDGE_LOOP('',(#234367,#234368,#234369,#234370)); #43369=EDGE_LOOP('',(#234371,#234372,#234373,#234374)); #43370=EDGE_LOOP('',(#234375,#234376,#234377,#234378)); #43371=EDGE_LOOP('',(#234379,#234380,#234381,#234382)); #43372=EDGE_LOOP('',(#234383,#234384,#234385,#234386)); #43373=EDGE_LOOP('',(#234387,#234388,#234389,#234390)); #43374=EDGE_LOOP('',(#234391,#234392,#234393,#234394)); #43375=EDGE_LOOP('',(#234395,#234396,#234397,#234398)); #43376=EDGE_LOOP('',(#234399,#234400,#234401,#234402)); #43377=EDGE_LOOP('',(#234403,#234404,#234405,#234406)); #43378=EDGE_LOOP('',(#234407,#234408,#234409,#234410)); #43379=EDGE_LOOP('',(#234411,#234412,#234413,#234414)); #43380=EDGE_LOOP('',(#234415,#234416,#234417,#234418)); #43381=EDGE_LOOP('',(#234419,#234420,#234421,#234422)); #43382=EDGE_LOOP('',(#234423,#234424,#234425,#234426)); #43383=EDGE_LOOP('',(#234427,#234428,#234429,#234430)); #43384=EDGE_LOOP('',(#234431,#234432,#234433,#234434)); #43385=EDGE_LOOP('',(#234435,#234436,#234437,#234438)); #43386=EDGE_LOOP('',(#234439,#234440,#234441,#234442)); #43387=EDGE_LOOP('',(#234443,#234444,#234445,#234446)); #43388=EDGE_LOOP('',(#234447,#234448,#234449,#234450)); #43389=EDGE_LOOP('',(#234451,#234452,#234453,#234454)); #43390=EDGE_LOOP('',(#234455,#234456,#234457,#234458)); #43391=EDGE_LOOP('',(#234459,#234460,#234461,#234462)); #43392=EDGE_LOOP('',(#234463,#234464,#234465,#234466)); #43393=EDGE_LOOP('',(#234467,#234468,#234469,#234470)); #43394=EDGE_LOOP('',(#234471,#234472,#234473,#234474)); #43395=EDGE_LOOP('',(#234475,#234476,#234477,#234478)); #43396=EDGE_LOOP('',(#234479,#234480,#234481,#234482)); #43397=EDGE_LOOP('',(#234483,#234484,#234485,#234486)); #43398=EDGE_LOOP('',(#234487,#234488,#234489,#234490)); #43399=EDGE_LOOP('',(#234491,#234492,#234493,#234494)); #43400=EDGE_LOOP('',(#234495,#234496,#234497,#234498)); #43401=EDGE_LOOP('',(#234499,#234500,#234501,#234502)); #43402=EDGE_LOOP('',(#234503,#234504,#234505,#234506)); #43403=EDGE_LOOP('',(#234507,#234508,#234509,#234510)); #43404=EDGE_LOOP('',(#234511,#234512,#234513,#234514)); #43405=EDGE_LOOP('',(#234515,#234516,#234517,#234518)); #43406=EDGE_LOOP('',(#234519,#234520,#234521,#234522)); #43407=EDGE_LOOP('',(#234523,#234524,#234525,#234526)); #43408=EDGE_LOOP('',(#234527,#234528,#234529,#234530)); #43409=EDGE_LOOP('',(#234531,#234532,#234533,#234534)); #43410=EDGE_LOOP('',(#234535,#234536,#234537,#234538)); #43411=EDGE_LOOP('',(#234539,#234540,#234541,#234542)); #43412=EDGE_LOOP('',(#234543,#234544,#234545,#234546)); #43413=EDGE_LOOP('',(#234547,#234548,#234549,#234550)); #43414=EDGE_LOOP('',(#234551,#234552,#234553,#234554)); #43415=EDGE_LOOP('',(#234555,#234556,#234557,#234558)); #43416=EDGE_LOOP('',(#234559,#234560,#234561,#234562)); #43417=EDGE_LOOP('',(#234563,#234564,#234565,#234566)); #43418=EDGE_LOOP('',(#234567,#234568,#234569,#234570)); #43419=EDGE_LOOP('',(#234571,#234572,#234573,#234574)); #43420=EDGE_LOOP('',(#234575,#234576,#234577,#234578)); #43421=EDGE_LOOP('',(#234579,#234580,#234581,#234582)); #43422=EDGE_LOOP('',(#234583,#234584,#234585,#234586)); #43423=EDGE_LOOP('',(#234587,#234588,#234589,#234590)); #43424=EDGE_LOOP('',(#234591,#234592,#234593,#234594)); #43425=EDGE_LOOP('',(#234595,#234596,#234597,#234598)); #43426=EDGE_LOOP('',(#234599,#234600,#234601,#234602)); #43427=EDGE_LOOP('',(#234603,#234604,#234605,#234606)); #43428=EDGE_LOOP('',(#234607,#234608,#234609,#234610)); #43429=EDGE_LOOP('',(#234611,#234612,#234613,#234614)); #43430=EDGE_LOOP('',(#234615,#234616,#234617,#234618)); #43431=EDGE_LOOP('',(#234619,#234620,#234621,#234622)); #43432=EDGE_LOOP('',(#234623,#234624,#234625,#234626)); #43433=EDGE_LOOP('',(#234627,#234628,#234629,#234630)); #43434=EDGE_LOOP('',(#234631,#234632,#234633,#234634)); #43435=EDGE_LOOP('',(#234635,#234636,#234637,#234638)); #43436=EDGE_LOOP('',(#234639,#234640,#234641,#234642)); #43437=EDGE_LOOP('',(#234643,#234644,#234645,#234646)); #43438=EDGE_LOOP('',(#234647,#234648,#234649,#234650)); #43439=EDGE_LOOP('',(#234651,#234652,#234653,#234654)); #43440=EDGE_LOOP('',(#234655,#234656,#234657,#234658)); #43441=EDGE_LOOP('',(#234659,#234660,#234661,#234662)); #43442=EDGE_LOOP('',(#234663,#234664,#234665,#234666)); #43443=EDGE_LOOP('',(#234667,#234668,#234669,#234670)); #43444=EDGE_LOOP('',(#234671,#234672,#234673,#234674)); #43445=EDGE_LOOP('',(#234675,#234676,#234677,#234678)); #43446=EDGE_LOOP('',(#234679,#234680,#234681,#234682)); #43447=EDGE_LOOP('',(#234683,#234684,#234685,#234686)); #43448=EDGE_LOOP('',(#234687,#234688,#234689,#234690)); #43449=EDGE_LOOP('',(#234691,#234692,#234693,#234694)); #43450=EDGE_LOOP('',(#234695,#234696,#234697,#234698)); #43451=EDGE_LOOP('',(#234699,#234700,#234701,#234702)); #43452=EDGE_LOOP('',(#234703,#234704,#234705,#234706)); #43453=EDGE_LOOP('',(#234707,#234708,#234709,#234710)); #43454=EDGE_LOOP('',(#234711,#234712,#234713,#234714)); #43455=EDGE_LOOP('',(#234715,#234716,#234717,#234718)); #43456=EDGE_LOOP('',(#234719,#234720,#234721,#234722)); #43457=EDGE_LOOP('',(#234723,#234724,#234725,#234726)); #43458=EDGE_LOOP('',(#234727,#234728,#234729,#234730)); #43459=EDGE_LOOP('',(#234731,#234732,#234733,#234734)); #43460=EDGE_LOOP('',(#234735,#234736,#234737,#234738)); #43461=EDGE_LOOP('',(#234739,#234740,#234741,#234742)); #43462=EDGE_LOOP('',(#234743,#234744,#234745,#234746)); #43463=EDGE_LOOP('',(#234747,#234748,#234749,#234750)); #43464=EDGE_LOOP('',(#234751,#234752,#234753,#234754)); #43465=EDGE_LOOP('',(#234755,#234756,#234757,#234758)); #43466=EDGE_LOOP('',(#234759,#234760,#234761,#234762)); #43467=EDGE_LOOP('',(#234763,#234764,#234765,#234766)); #43468=EDGE_LOOP('',(#234767,#234768,#234769,#234770)); #43469=EDGE_LOOP('',(#234771,#234772,#234773,#234774)); #43470=EDGE_LOOP('',(#234775,#234776,#234777,#234778)); #43471=EDGE_LOOP('',(#234779,#234780,#234781,#234782)); #43472=EDGE_LOOP('',(#234783,#234784,#234785,#234786)); #43473=EDGE_LOOP('',(#234787,#234788,#234789,#234790)); #43474=EDGE_LOOP('',(#234791,#234792,#234793,#234794)); #43475=EDGE_LOOP('',(#234795,#234796,#234797,#234798)); #43476=EDGE_LOOP('',(#234799,#234800,#234801,#234802)); #43477=EDGE_LOOP('',(#234803,#234804,#234805,#234806)); #43478=EDGE_LOOP('',(#234807,#234808,#234809,#234810)); #43479=EDGE_LOOP('',(#234811,#234812,#234813,#234814)); #43480=EDGE_LOOP('',(#234815,#234816,#234817,#234818)); #43481=EDGE_LOOP('',(#234819,#234820,#234821,#234822)); #43482=EDGE_LOOP('',(#234823,#234824,#234825,#234826)); #43483=EDGE_LOOP('',(#234827,#234828,#234829,#234830)); #43484=EDGE_LOOP('',(#234831,#234832,#234833,#234834)); #43485=EDGE_LOOP('',(#234835,#234836,#234837,#234838)); #43486=EDGE_LOOP('',(#234839,#234840,#234841,#234842)); #43487=EDGE_LOOP('',(#234843,#234844,#234845,#234846)); #43488=EDGE_LOOP('',(#234847,#234848,#234849,#234850)); #43489=EDGE_LOOP('',(#234851,#234852,#234853,#234854)); #43490=EDGE_LOOP('',(#234855,#234856,#234857,#234858)); #43491=EDGE_LOOP('',(#234859,#234860,#234861,#234862)); #43492=EDGE_LOOP('',(#234863,#234864,#234865,#234866)); #43493=EDGE_LOOP('',(#234867,#234868,#234869,#234870)); #43494=EDGE_LOOP('',(#234871,#234872,#234873,#234874)); #43495=EDGE_LOOP('',(#234875,#234876,#234877,#234878)); #43496=EDGE_LOOP('',(#234879,#234880,#234881,#234882)); #43497=EDGE_LOOP('',(#234883,#234884,#234885,#234886)); #43498=EDGE_LOOP('',(#234887,#234888,#234889,#234890)); #43499=EDGE_LOOP('',(#234891,#234892,#234893,#234894)); #43500=EDGE_LOOP('',(#234895,#234896,#234897,#234898)); #43501=EDGE_LOOP('',(#234899,#234900,#234901,#234902)); #43502=EDGE_LOOP('',(#234903,#234904,#234905,#234906)); #43503=EDGE_LOOP('',(#234907,#234908,#234909,#234910)); #43504=EDGE_LOOP('',(#234911,#234912,#234913,#234914)); #43505=EDGE_LOOP('',(#234915,#234916,#234917,#234918)); #43506=EDGE_LOOP('',(#234919,#234920,#234921,#234922)); #43507=EDGE_LOOP('',(#234923,#234924,#234925,#234926)); #43508=EDGE_LOOP('',(#234927,#234928,#234929,#234930)); #43509=EDGE_LOOP('',(#234931,#234932,#234933,#234934)); #43510=EDGE_LOOP('',(#234935,#234936,#234937,#234938)); #43511=EDGE_LOOP('',(#234939,#234940,#234941,#234942)); #43512=EDGE_LOOP('',(#234943,#234944,#234945,#234946)); #43513=EDGE_LOOP('',(#234947,#234948,#234949,#234950)); #43514=EDGE_LOOP('',(#234951,#234952,#234953,#234954)); #43515=EDGE_LOOP('',(#234955,#234956,#234957,#234958)); #43516=EDGE_LOOP('',(#234959,#234960,#234961,#234962)); #43517=EDGE_LOOP('',(#234963,#234964,#234965,#234966)); #43518=EDGE_LOOP('',(#234967,#234968,#234969,#234970)); #43519=EDGE_LOOP('',(#234971,#234972,#234973,#234974)); #43520=EDGE_LOOP('',(#234975,#234976,#234977,#234978)); #43521=EDGE_LOOP('',(#234979,#234980,#234981,#234982)); #43522=EDGE_LOOP('',(#234983,#234984,#234985,#234986)); #43523=EDGE_LOOP('',(#234987,#234988,#234989,#234990)); #43524=EDGE_LOOP('',(#234991,#234992,#234993,#234994)); #43525=EDGE_LOOP('',(#234995,#234996,#234997,#234998)); #43526=EDGE_LOOP('',(#234999,#235000,#235001,#235002)); #43527=EDGE_LOOP('',(#235003,#235004,#235005,#235006)); #43528=EDGE_LOOP('',(#235007,#235008,#235009,#235010)); #43529=EDGE_LOOP('',(#235011,#235012,#235013,#235014)); #43530=EDGE_LOOP('',(#235015,#235016,#235017,#235018)); #43531=EDGE_LOOP('',(#235019,#235020,#235021,#235022)); #43532=EDGE_LOOP('',(#235023,#235024,#235025,#235026)); #43533=EDGE_LOOP('',(#235027,#235028,#235029,#235030)); #43534=EDGE_LOOP('',(#235031,#235032,#235033,#235034)); #43535=EDGE_LOOP('',(#235035,#235036,#235037,#235038)); #43536=EDGE_LOOP('',(#235039,#235040,#235041,#235042)); #43537=EDGE_LOOP('',(#235043,#235044,#235045,#235046)); #43538=EDGE_LOOP('',(#235047,#235048,#235049,#235050)); #43539=EDGE_LOOP('',(#235051,#235052,#235053,#235054)); #43540=EDGE_LOOP('',(#235055,#235056,#235057,#235058)); #43541=EDGE_LOOP('',(#235059,#235060,#235061,#235062)); #43542=EDGE_LOOP('',(#235063,#235064,#235065,#235066)); #43543=EDGE_LOOP('',(#235067,#235068,#235069,#235070)); #43544=EDGE_LOOP('',(#235071,#235072,#235073,#235074)); #43545=EDGE_LOOP('',(#235075,#235076,#235077,#235078)); #43546=EDGE_LOOP('',(#235079,#235080,#235081,#235082)); #43547=EDGE_LOOP('',(#235083,#235084,#235085,#235086)); #43548=EDGE_LOOP('',(#235087,#235088,#235089,#235090)); #43549=EDGE_LOOP('',(#235091,#235092,#235093,#235094)); #43550=EDGE_LOOP('',(#235095,#235096,#235097,#235098)); #43551=EDGE_LOOP('',(#235099,#235100,#235101,#235102)); #43552=EDGE_LOOP('',(#235103,#235104,#235105,#235106)); #43553=EDGE_LOOP('',(#235107,#235108,#235109,#235110)); #43554=EDGE_LOOP('',(#235111,#235112,#235113,#235114)); #43555=EDGE_LOOP('',(#235115,#235116,#235117,#235118)); #43556=EDGE_LOOP('',(#235119,#235120,#235121,#235122)); #43557=EDGE_LOOP('',(#235123,#235124,#235125,#235126)); #43558=EDGE_LOOP('',(#235127,#235128,#235129,#235130)); #43559=EDGE_LOOP('',(#235131,#235132,#235133,#235134)); #43560=EDGE_LOOP('',(#235135,#235136,#235137,#235138)); #43561=EDGE_LOOP('',(#235139,#235140,#235141,#235142)); #43562=EDGE_LOOP('',(#235143,#235144,#235145,#235146)); #43563=EDGE_LOOP('',(#235147,#235148,#235149,#235150)); #43564=EDGE_LOOP('',(#235151,#235152,#235153,#235154)); #43565=EDGE_LOOP('',(#235155,#235156,#235157,#235158)); #43566=EDGE_LOOP('',(#235159,#235160,#235161,#235162)); #43567=EDGE_LOOP('',(#235163,#235164,#235165,#235166)); #43568=EDGE_LOOP('',(#235167,#235168,#235169,#235170)); #43569=EDGE_LOOP('',(#235171,#235172,#235173,#235174)); #43570=EDGE_LOOP('',(#235175,#235176,#235177,#235178)); #43571=EDGE_LOOP('',(#235179,#235180,#235181,#235182)); #43572=EDGE_LOOP('',(#235183,#235184,#235185,#235186)); #43573=EDGE_LOOP('',(#235187,#235188,#235189,#235190)); #43574=EDGE_LOOP('',(#235191,#235192,#235193,#235194)); #43575=EDGE_LOOP('',(#235195,#235196,#235197,#235198)); #43576=EDGE_LOOP('',(#235199,#235200,#235201,#235202)); #43577=EDGE_LOOP('',(#235203,#235204,#235205,#235206)); #43578=EDGE_LOOP('',(#235207,#235208,#235209,#235210)); #43579=EDGE_LOOP('',(#235211,#235212,#235213,#235214)); #43580=EDGE_LOOP('',(#235215,#235216,#235217,#235218)); #43581=EDGE_LOOP('',(#235219,#235220,#235221,#235222)); #43582=EDGE_LOOP('',(#235223,#235224,#235225,#235226)); #43583=EDGE_LOOP('',(#235227,#235228,#235229,#235230)); #43584=EDGE_LOOP('',(#235231,#235232,#235233,#235234)); #43585=EDGE_LOOP('',(#235235,#235236,#235237,#235238)); #43586=EDGE_LOOP('',(#235239,#235240,#235241,#235242)); #43587=EDGE_LOOP('',(#235243,#235244,#235245,#235246)); #43588=EDGE_LOOP('',(#235247,#235248,#235249,#235250)); #43589=EDGE_LOOP('',(#235251,#235252,#235253,#235254)); #43590=EDGE_LOOP('',(#235255,#235256,#235257,#235258)); #43591=EDGE_LOOP('',(#235259,#235260,#235261,#235262)); #43592=EDGE_LOOP('',(#235263,#235264,#235265,#235266)); #43593=EDGE_LOOP('',(#235267,#235268,#235269,#235270)); #43594=EDGE_LOOP('',(#235271,#235272,#235273,#235274)); #43595=EDGE_LOOP('',(#235275,#235276,#235277,#235278)); #43596=EDGE_LOOP('',(#235279,#235280,#235281,#235282)); #43597=EDGE_LOOP('',(#235283,#235284,#235285,#235286)); #43598=EDGE_LOOP('',(#235287,#235288,#235289,#235290)); #43599=EDGE_LOOP('',(#235291,#235292,#235293,#235294)); #43600=EDGE_LOOP('',(#235295,#235296,#235297,#235298)); #43601=EDGE_LOOP('',(#235299,#235300,#235301,#235302)); #43602=EDGE_LOOP('',(#235303,#235304,#235305,#235306)); #43603=EDGE_LOOP('',(#235307,#235308,#235309,#235310)); #43604=EDGE_LOOP('',(#235311,#235312,#235313,#235314)); #43605=EDGE_LOOP('',(#235315,#235316,#235317,#235318)); #43606=EDGE_LOOP('',(#235319,#235320,#235321,#235322)); #43607=EDGE_LOOP('',(#235323,#235324,#235325,#235326)); #43608=EDGE_LOOP('',(#235327,#235328,#235329,#235330)); #43609=EDGE_LOOP('',(#235331,#235332,#235333,#235334)); #43610=EDGE_LOOP('',(#235335,#235336,#235337,#235338)); #43611=EDGE_LOOP('',(#235339,#235340,#235341,#235342)); #43612=EDGE_LOOP('',(#235343,#235344,#235345,#235346)); #43613=EDGE_LOOP('',(#235347,#235348,#235349,#235350)); #43614=EDGE_LOOP('',(#235351,#235352,#235353,#235354)); #43615=EDGE_LOOP('',(#235355,#235356,#235357,#235358)); #43616=EDGE_LOOP('',(#235359,#235360,#235361,#235362)); #43617=EDGE_LOOP('',(#235363,#235364,#235365,#235366)); #43618=EDGE_LOOP('',(#235367,#235368,#235369,#235370)); #43619=EDGE_LOOP('',(#235371,#235372,#235373,#235374)); #43620=EDGE_LOOP('',(#235375,#235376,#235377,#235378)); #43621=EDGE_LOOP('',(#235379,#235380,#235381,#235382)); #43622=EDGE_LOOP('',(#235383,#235384,#235385,#235386)); #43623=EDGE_LOOP('',(#235387,#235388,#235389,#235390)); #43624=EDGE_LOOP('',(#235391,#235392,#235393,#235394)); #43625=EDGE_LOOP('',(#235395,#235396,#235397,#235398)); #43626=EDGE_LOOP('',(#235399,#235400,#235401,#235402)); #43627=EDGE_LOOP('',(#235403,#235404,#235405,#235406)); #43628=EDGE_LOOP('',(#235407,#235408,#235409,#235410)); #43629=EDGE_LOOP('',(#235411,#235412,#235413,#235414)); #43630=EDGE_LOOP('',(#235415,#235416,#235417,#235418)); #43631=EDGE_LOOP('',(#235419,#235420,#235421,#235422)); #43632=EDGE_LOOP('',(#235423,#235424,#235425,#235426)); #43633=EDGE_LOOP('',(#235427,#235428,#235429,#235430)); #43634=EDGE_LOOP('',(#235431,#235432,#235433,#235434)); #43635=EDGE_LOOP('',(#235435,#235436,#235437,#235438)); #43636=EDGE_LOOP('',(#235439,#235440,#235441,#235442)); #43637=EDGE_LOOP('',(#235443,#235444,#235445,#235446)); #43638=EDGE_LOOP('',(#235447,#235448,#235449,#235450)); #43639=EDGE_LOOP('',(#235451,#235452,#235453,#235454)); #43640=EDGE_LOOP('',(#235455,#235456,#235457,#235458,#235459,#235460,#235461, #235462,#235463,#235464,#235465,#235466,#235467,#235468,#235469,#235470, #235471,#235472,#235473,#235474,#235475,#235476,#235477,#235478,#235479, #235480,#235481,#235482,#235483,#235484,#235485,#235486,#235487,#235488, #235489,#235490,#235491,#235492,#235493,#235494,#235495,#235496,#235497, #235498,#235499,#235500,#235501,#235502,#235503,#235504,#235505,#235506, #235507,#235508,#235509,#235510,#235511,#235512,#235513,#235514,#235515, #235516,#235517,#235518,#235519,#235520,#235521,#235522,#235523,#235524, #235525,#235526,#235527,#235528,#235529,#235530,#235531,#235532,#235533, #235534,#235535,#235536,#235537,#235538,#235539,#235540,#235541,#235542, #235543,#235544,#235545,#235546,#235547,#235548,#235549,#235550,#235551, #235552,#235553,#235554,#235555,#235556,#235557,#235558,#235559,#235560, #235561,#235562,#235563,#235564,#235565,#235566,#235567,#235568,#235569, #235570,#235571,#235572,#235573,#235574)); #43641=EDGE_LOOP('',(#235575)); #43642=EDGE_LOOP('',(#235576)); #43643=EDGE_LOOP('',(#235577)); #43644=EDGE_LOOP('',(#235578)); #43645=EDGE_LOOP('',(#235579)); #43646=EDGE_LOOP('',(#235580)); #43647=EDGE_LOOP('',(#235581)); #43648=EDGE_LOOP('',(#235582)); #43649=EDGE_LOOP('',(#235583)); #43650=EDGE_LOOP('',(#235584)); #43651=EDGE_LOOP('',(#235585)); #43652=EDGE_LOOP('',(#235586)); #43653=EDGE_LOOP('',(#235587)); #43654=EDGE_LOOP('',(#235588)); #43655=EDGE_LOOP('',(#235589)); #43656=EDGE_LOOP('',(#235590)); #43657=EDGE_LOOP('',(#235591)); #43658=EDGE_LOOP('',(#235592)); #43659=EDGE_LOOP('',(#235593)); #43660=EDGE_LOOP('',(#235594)); #43661=EDGE_LOOP('',(#235595)); #43662=EDGE_LOOP('',(#235596)); #43663=EDGE_LOOP('',(#235597)); #43664=EDGE_LOOP('',(#235598)); #43665=EDGE_LOOP('',(#235599)); #43666=EDGE_LOOP('',(#235600)); #43667=EDGE_LOOP('',(#235601)); #43668=EDGE_LOOP('',(#235602)); #43669=EDGE_LOOP('',(#235603)); #43670=EDGE_LOOP('',(#235604)); #43671=EDGE_LOOP('',(#235605)); #43672=EDGE_LOOP('',(#235606)); #43673=EDGE_LOOP('',(#235607)); #43674=EDGE_LOOP('',(#235608)); #43675=EDGE_LOOP('',(#235609)); #43676=EDGE_LOOP('',(#235610,#235611,#235612,#235613)); #43677=EDGE_LOOP('',(#235614)); #43678=EDGE_LOOP('',(#235615)); #43679=EDGE_LOOP('',(#235616)); #43680=EDGE_LOOP('',(#235617)); #43681=EDGE_LOOP('',(#235618,#235619,#235620,#235621)); #43682=EDGE_LOOP('',(#235622,#235623,#235624,#235625)); #43683=EDGE_LOOP('',(#235626,#235627,#235628,#235629)); #43684=EDGE_LOOP('',(#235630)); #43685=EDGE_LOOP('',(#235631)); #43686=EDGE_LOOP('',(#235632)); #43687=EDGE_LOOP('',(#235633)); #43688=EDGE_LOOP('',(#235634)); #43689=EDGE_LOOP('',(#235635)); #43690=EDGE_LOOP('',(#235636)); #43691=EDGE_LOOP('',(#235637)); #43692=EDGE_LOOP('',(#235638)); #43693=EDGE_LOOP('',(#235639)); #43694=EDGE_LOOP('',(#235640)); #43695=EDGE_LOOP('',(#235641)); #43696=EDGE_LOOP('',(#235642)); #43697=EDGE_LOOP('',(#235643)); #43698=EDGE_LOOP('',(#235644)); #43699=EDGE_LOOP('',(#235645)); #43700=EDGE_LOOP('',(#235646)); #43701=EDGE_LOOP('',(#235647)); #43702=EDGE_LOOP('',(#235648)); #43703=EDGE_LOOP('',(#235649,#235650,#235651,#235652,#235653,#235654,#235655, #235656)); #43704=EDGE_LOOP('',(#235657,#235658,#235659,#235660,#235661,#235662,#235663, #235664,#235665,#235666,#235667,#235668,#235669,#235670,#235671,#235672, #235673,#235674,#235675,#235676,#235677,#235678,#235679,#235680,#235681, #235682,#235683,#235684,#235685,#235686,#235687,#235688,#235689,#235690, #235691,#235692,#235693,#235694,#235695,#235696,#235697,#235698,#235699, #235700,#235701,#235702,#235703,#235704,#235705,#235706,#235707,#235708, #235709,#235710,#235711,#235712,#235713,#235714,#235715,#235716,#235717, #235718,#235719,#235720,#235721,#235722,#235723,#235724,#235725,#235726, #235727,#235728,#235729,#235730,#235731,#235732,#235733,#235734,#235735, #235736,#235737,#235738,#235739,#235740,#235741,#235742,#235743,#235744, #235745,#235746,#235747,#235748,#235749,#235750,#235751,#235752,#235753, #235754,#235755,#235756,#235757,#235758,#235759,#235760,#235761,#235762, #235763,#235764,#235765,#235766,#235767,#235768,#235769,#235770,#235771, #235772,#235773,#235774,#235775)); #43705=EDGE_LOOP('',(#235776,#235777,#235778,#235779,#235780,#235781,#235782, #235783,#235784,#235785,#235786,#235787,#235788,#235789,#235790,#235791, #235792,#235793,#235794,#235795,#235796,#235797,#235798,#235799,#235800, #235801,#235802,#235803,#235804,#235805,#235806,#235807,#235808,#235809, #235810,#235811,#235812,#235813,#235814,#235815,#235816,#235817,#235818, #235819,#235820,#235821,#235822,#235823,#235824,#235825,#235826,#235827, #235828,#235829,#235830,#235831,#235832,#235833,#235834,#235835,#235836, #235837,#235838,#235839,#235840,#235841,#235842,#235843,#235844,#235845, #235846,#235847,#235848,#235849,#235850,#235851,#235852,#235853,#235854, #235855,#235856,#235857,#235858,#235859,#235860,#235861,#235862,#235863, #235864,#235865,#235866,#235867,#235868,#235869,#235870,#235871,#235872, #235873,#235874,#235875,#235876,#235877,#235878,#235879,#235880,#235881, #235882,#235883,#235884,#235885,#235886,#235887,#235888,#235889,#235890, #235891,#235892,#235893,#235894,#235895,#235896,#235897,#235898,#235899, #235900,#235901,#235902,#235903,#235904,#235905,#235906,#235907,#235908, #235909,#235910,#235911,#235912,#235913,#235914,#235915,#235916,#235917, #235918,#235919,#235920,#235921,#235922,#235923,#235924,#235925,#235926, #235927,#235928,#235929,#235930,#235931,#235932,#235933,#235934,#235935, #235936,#235937,#235938,#235939,#235940,#235941,#235942,#235943,#235944, #235945,#235946,#235947,#235948,#235949,#235950,#235951,#235952,#235953, #235954,#235955,#235956,#235957,#235958,#235959,#235960,#235961,#235962, #235963,#235964,#235965,#235966,#235967,#235968,#235969,#235970,#235971, #235972,#235973,#235974,#235975,#235976,#235977,#235978,#235979,#235980, #235981,#235982,#235983,#235984,#235985,#235986,#235987,#235988,#235989, #235990,#235991,#235992,#235993,#235994,#235995,#235996,#235997,#235998, #235999,#236000,#236001,#236002,#236003,#236004,#236005,#236006,#236007, #236008,#236009,#236010,#236011,#236012,#236013,#236014,#236015,#236016, #236017,#236018,#236019,#236020,#236021,#236022,#236023,#236024,#236025, #236026,#236027,#236028,#236029,#236030,#236031,#236032,#236033,#236034, #236035,#236036,#236037,#236038,#236039,#236040,#236041,#236042,#236043, #236044,#236045,#236046,#236047,#236048,#236049,#236050,#236051,#236052, #236053,#236054,#236055,#236056,#236057,#236058,#236059,#236060,#236061, #236062,#236063,#236064,#236065,#236066,#236067,#236068,#236069,#236070, #236071,#236072,#236073,#236074,#236075,#236076,#236077,#236078,#236079, #236080,#236081,#236082,#236083,#236084,#236085,#236086,#236087,#236088, #236089,#236090,#236091,#236092,#236093,#236094,#236095,#236096,#236097, #236098,#236099,#236100,#236101,#236102,#236103,#236104,#236105,#236106, #236107,#236108,#236109,#236110,#236111,#236112,#236113,#236114,#236115, #236116,#236117,#236118,#236119,#236120,#236121,#236122,#236123,#236124, #236125,#236126,#236127,#236128,#236129,#236130,#236131,#236132,#236133, #236134,#236135,#236136,#236137,#236138,#236139,#236140,#236141,#236142, #236143,#236144,#236145,#236146,#236147,#236148,#236149,#236150,#236151, #236152,#236153,#236154,#236155,#236156,#236157,#236158,#236159,#236160, #236161,#236162,#236163,#236164,#236165,#236166,#236167,#236168,#236169, #236170,#236171,#236172,#236173,#236174,#236175,#236176,#236177,#236178, #236179,#236180,#236181,#236182,#236183,#236184,#236185,#236186,#236187, #236188,#236189,#236190,#236191,#236192,#236193,#236194,#236195,#236196, #236197,#236198,#236199,#236200,#236201,#236202,#236203,#236204,#236205, #236206,#236207,#236208,#236209,#236210,#236211,#236212,#236213,#236214, #236215,#236216,#236217,#236218,#236219,#236220,#236221,#236222,#236223, #236224,#236225,#236226,#236227,#236228,#236229,#236230,#236231,#236232, #236233,#236234,#236235,#236236,#236237,#236238,#236239,#236240,#236241, #236242,#236243,#236244,#236245,#236246,#236247,#236248,#236249,#236250, #236251,#236252,#236253,#236254,#236255,#236256,#236257,#236258,#236259, #236260,#236261,#236262,#236263,#236264,#236265,#236266,#236267,#236268, #236269,#236270,#236271,#236272,#236273,#236274,#236275,#236276,#236277, #236278,#236279,#236280,#236281,#236282,#236283,#236284,#236285,#236286, #236287,#236288,#236289,#236290,#236291,#236292,#236293,#236294,#236295, #236296,#236297,#236298,#236299,#236300,#236301,#236302,#236303,#236304, #236305,#236306,#236307,#236308,#236309,#236310,#236311,#236312,#236313, #236314,#236315,#236316,#236317,#236318,#236319,#236320,#236321,#236322, #236323,#236324,#236325,#236326,#236327,#236328,#236329,#236330,#236331, #236332,#236333,#236334,#236335,#236336,#236337,#236338,#236339,#236340, #236341,#236342,#236343,#236344,#236345,#236346,#236347,#236348,#236349, #236350,#236351,#236352,#236353)); #43706=EDGE_LOOP('',(#236354,#236355,#236356,#236357,#236358,#236359,#236360, #236361,#236362,#236363,#236364,#236365,#236366,#236367,#236368,#236369, #236370,#236371,#236372,#236373,#236374,#236375,#236376,#236377,#236378, #236379,#236380,#236381,#236382,#236383,#236384,#236385,#236386,#236387, #236388,#236389)); #43707=EDGE_LOOP('',(#236390,#236391,#236392,#236393,#236394,#236395,#236396, #236397,#236398,#236399,#236400,#236401,#236402,#236403,#236404,#236405, #236406,#236407,#236408,#236409,#236410,#236411,#236412,#236413,#236414, #236415,#236416,#236417,#236418,#236419,#236420,#236421,#236422,#236423, #236424,#236425)); #43708=EDGE_LOOP('',(#236426,#236427,#236428,#236429,#236430,#236431,#236432, #236433,#236434,#236435,#236436,#236437,#236438,#236439,#236440,#236441)); #43709=EDGE_LOOP('',(#236442,#236443,#236444,#236445,#236446,#236447,#236448, #236449,#236450,#236451,#236452,#236453,#236454,#236455,#236456,#236457)); #43710=EDGE_LOOP('',(#236458,#236459,#236460,#236461,#236462,#236463,#236464, #236465)); #43711=EDGE_LOOP('',(#236466,#236467,#236468,#236469,#236470,#236471,#236472, #236473,#236474,#236475,#236476,#236477,#236478,#236479,#236480,#236481, #236482,#236483,#236484,#236485,#236486,#236487,#236488,#236489,#236490, #236491,#236492,#236493,#236494,#236495,#236496,#236497,#236498,#236499, #236500,#236501,#236502,#236503,#236504,#236505,#236506,#236507,#236508, #236509,#236510,#236511,#236512,#236513,#236514,#236515,#236516,#236517, #236518,#236519,#236520,#236521,#236522,#236523,#236524,#236525,#236526, #236527,#236528,#236529,#236530,#236531,#236532,#236533,#236534,#236535, #236536,#236537,#236538,#236539,#236540,#236541,#236542,#236543,#236544, #236545,#236546,#236547,#236548,#236549,#236550,#236551,#236552,#236553, #236554,#236555,#236556,#236557,#236558,#236559,#236560,#236561,#236562, #236563,#236564,#236565,#236566,#236567,#236568,#236569,#236570,#236571, #236572,#236573,#236574,#236575,#236576,#236577,#236578,#236579,#236580, #236581,#236582,#236583,#236584,#236585)); #43712=EDGE_LOOP('',(#236586)); #43713=EDGE_LOOP('',(#236587)); #43714=EDGE_LOOP('',(#236588)); #43715=EDGE_LOOP('',(#236589)); #43716=EDGE_LOOP('',(#236590)); #43717=EDGE_LOOP('',(#236591)); #43718=EDGE_LOOP('',(#236592)); #43719=EDGE_LOOP('',(#236593)); #43720=EDGE_LOOP('',(#236594)); #43721=EDGE_LOOP('',(#236595)); #43722=EDGE_LOOP('',(#236596)); #43723=EDGE_LOOP('',(#236597)); #43724=EDGE_LOOP('',(#236598)); #43725=EDGE_LOOP('',(#236599)); #43726=EDGE_LOOP('',(#236600)); #43727=EDGE_LOOP('',(#236601)); #43728=EDGE_LOOP('',(#236602)); #43729=EDGE_LOOP('',(#236603)); #43730=EDGE_LOOP('',(#236604)); #43731=EDGE_LOOP('',(#236605)); #43732=EDGE_LOOP('',(#236606)); #43733=EDGE_LOOP('',(#236607)); #43734=EDGE_LOOP('',(#236608)); #43735=EDGE_LOOP('',(#236609)); #43736=EDGE_LOOP('',(#236610)); #43737=EDGE_LOOP('',(#236611)); #43738=EDGE_LOOP('',(#236612)); #43739=EDGE_LOOP('',(#236613)); #43740=EDGE_LOOP('',(#236614)); #43741=EDGE_LOOP('',(#236615)); #43742=EDGE_LOOP('',(#236616)); #43743=EDGE_LOOP('',(#236617)); #43744=EDGE_LOOP('',(#236618)); #43745=EDGE_LOOP('',(#236619)); #43746=EDGE_LOOP('',(#236620)); #43747=EDGE_LOOP('',(#236621,#236622,#236623,#236624)); #43748=EDGE_LOOP('',(#236625)); #43749=EDGE_LOOP('',(#236626)); #43750=EDGE_LOOP('',(#236627)); #43751=EDGE_LOOP('',(#236628)); #43752=EDGE_LOOP('',(#236629,#236630,#236631,#236632)); #43753=EDGE_LOOP('',(#236633,#236634,#236635,#236636)); #43754=EDGE_LOOP('',(#236637,#236638,#236639,#236640)); #43755=EDGE_LOOP('',(#236641)); #43756=EDGE_LOOP('',(#236642)); #43757=EDGE_LOOP('',(#236643)); #43758=EDGE_LOOP('',(#236644)); #43759=EDGE_LOOP('',(#236645)); #43760=EDGE_LOOP('',(#236646)); #43761=EDGE_LOOP('',(#236647)); #43762=EDGE_LOOP('',(#236648)); #43763=EDGE_LOOP('',(#236649)); #43764=EDGE_LOOP('',(#236650)); #43765=EDGE_LOOP('',(#236651)); #43766=EDGE_LOOP('',(#236652)); #43767=EDGE_LOOP('',(#236653)); #43768=EDGE_LOOP('',(#236654)); #43769=EDGE_LOOP('',(#236655)); #43770=EDGE_LOOP('',(#236656)); #43771=EDGE_LOOP('',(#236657)); #43772=EDGE_LOOP('',(#236658)); #43773=EDGE_LOOP('',(#236659)); #43774=EDGE_LOOP('',(#236660,#236661,#236662,#236663,#236664,#236665,#236666, #236667)); #43775=EDGE_LOOP('',(#236668,#236669,#236670,#236671,#236672,#236673,#236674, #236675,#236676,#236677,#236678,#236679,#236680,#236681,#236682,#236683, #236684,#236685,#236686,#236687,#236688,#236689,#236690,#236691,#236692, #236693,#236694,#236695,#236696,#236697,#236698,#236699,#236700,#236701, #236702,#236703,#236704,#236705,#236706,#236707,#236708,#236709,#236710, #236711,#236712,#236713,#236714,#236715,#236716,#236717,#236718,#236719, #236720,#236721,#236722,#236723,#236724,#236725,#236726,#236727,#236728, #236729,#236730,#236731,#236732,#236733,#236734,#236735,#236736,#236737, #236738,#236739,#236740,#236741,#236742,#236743,#236744,#236745,#236746, #236747,#236748,#236749,#236750,#236751,#236752,#236753,#236754,#236755, #236756,#236757,#236758,#236759,#236760,#236761,#236762,#236763,#236764, #236765,#236766,#236767,#236768,#236769,#236770,#236771,#236772,#236773, #236774,#236775,#236776,#236777,#236778,#236779,#236780,#236781,#236782, #236783,#236784,#236785,#236786)); #43776=EDGE_LOOP('',(#236787,#236788,#236789,#236790,#236791,#236792,#236793, #236794,#236795,#236796,#236797,#236798,#236799,#236800,#236801,#236802, #236803,#236804,#236805,#236806,#236807,#236808,#236809,#236810,#236811, #236812,#236813,#236814,#236815,#236816,#236817,#236818,#236819,#236820, #236821,#236822,#236823,#236824,#236825,#236826,#236827,#236828,#236829, #236830,#236831,#236832,#236833,#236834,#236835,#236836,#236837,#236838, #236839,#236840,#236841,#236842,#236843,#236844,#236845,#236846,#236847, #236848,#236849,#236850,#236851,#236852,#236853,#236854,#236855,#236856, #236857,#236858,#236859,#236860,#236861,#236862,#236863,#236864,#236865, #236866,#236867,#236868,#236869,#236870,#236871,#236872,#236873,#236874, #236875,#236876,#236877,#236878,#236879,#236880,#236881,#236882,#236883, #236884,#236885,#236886,#236887,#236888,#236889,#236890,#236891,#236892, #236893,#236894,#236895,#236896,#236897,#236898,#236899,#236900,#236901, #236902,#236903,#236904,#236905,#236906,#236907,#236908,#236909,#236910, #236911,#236912,#236913,#236914,#236915,#236916,#236917,#236918,#236919, #236920,#236921,#236922,#236923,#236924,#236925,#236926,#236927,#236928, #236929,#236930,#236931,#236932,#236933,#236934,#236935,#236936,#236937, #236938,#236939,#236940,#236941,#236942,#236943,#236944,#236945,#236946, #236947,#236948,#236949,#236950,#236951,#236952,#236953,#236954,#236955, #236956,#236957,#236958,#236959,#236960,#236961,#236962,#236963,#236964, #236965,#236966,#236967,#236968,#236969,#236970,#236971,#236972,#236973, #236974,#236975,#236976,#236977,#236978,#236979,#236980,#236981,#236982, #236983,#236984,#236985,#236986,#236987,#236988,#236989,#236990,#236991, #236992,#236993,#236994,#236995,#236996,#236997,#236998,#236999,#237000, #237001,#237002,#237003,#237004,#237005,#237006,#237007,#237008,#237009, #237010,#237011,#237012,#237013,#237014,#237015,#237016,#237017,#237018, #237019,#237020,#237021,#237022,#237023,#237024,#237025,#237026,#237027, #237028,#237029,#237030,#237031,#237032,#237033,#237034,#237035,#237036, #237037,#237038,#237039,#237040,#237041,#237042,#237043,#237044,#237045, #237046,#237047,#237048,#237049,#237050,#237051,#237052,#237053,#237054, #237055,#237056,#237057,#237058,#237059,#237060,#237061,#237062,#237063, #237064,#237065,#237066,#237067,#237068,#237069,#237070,#237071,#237072, #237073,#237074,#237075,#237076,#237077,#237078,#237079,#237080,#237081, #237082,#237083,#237084,#237085,#237086,#237087,#237088,#237089,#237090, #237091,#237092,#237093,#237094,#237095,#237096,#237097,#237098,#237099, #237100,#237101,#237102,#237103,#237104,#237105,#237106,#237107,#237108, #237109,#237110,#237111,#237112,#237113,#237114,#237115,#237116,#237117, #237118,#237119,#237120,#237121,#237122,#237123,#237124,#237125,#237126, #237127,#237128,#237129,#237130,#237131,#237132,#237133,#237134,#237135, #237136,#237137,#237138,#237139,#237140,#237141,#237142,#237143,#237144, #237145,#237146,#237147,#237148,#237149,#237150,#237151,#237152,#237153, #237154,#237155,#237156,#237157,#237158,#237159,#237160,#237161,#237162, #237163,#237164,#237165,#237166,#237167,#237168,#237169,#237170,#237171, #237172,#237173,#237174,#237175,#237176,#237177,#237178,#237179,#237180, #237181,#237182,#237183,#237184,#237185,#237186,#237187,#237188,#237189, #237190,#237191,#237192,#237193,#237194,#237195,#237196,#237197,#237198, #237199,#237200,#237201,#237202,#237203,#237204,#237205,#237206,#237207, #237208,#237209,#237210,#237211,#237212,#237213,#237214,#237215,#237216, #237217,#237218,#237219,#237220,#237221,#237222,#237223,#237224,#237225, #237226,#237227,#237228,#237229,#237230,#237231,#237232,#237233,#237234, #237235,#237236,#237237,#237238,#237239,#237240,#237241,#237242,#237243, #237244,#237245,#237246,#237247,#237248,#237249,#237250,#237251,#237252, #237253,#237254,#237255,#237256,#237257,#237258,#237259,#237260,#237261, #237262,#237263,#237264,#237265,#237266,#237267,#237268,#237269,#237270, #237271,#237272,#237273,#237274,#237275,#237276,#237277,#237278,#237279, #237280,#237281,#237282,#237283,#237284,#237285,#237286,#237287,#237288, #237289,#237290,#237291,#237292,#237293,#237294,#237295,#237296,#237297, #237298,#237299,#237300,#237301,#237302,#237303,#237304,#237305,#237306, #237307,#237308,#237309,#237310,#237311,#237312,#237313,#237314,#237315, #237316,#237317,#237318,#237319,#237320,#237321,#237322,#237323,#237324, #237325,#237326,#237327,#237328,#237329,#237330,#237331,#237332,#237333, #237334,#237335,#237336,#237337,#237338,#237339,#237340,#237341,#237342, #237343,#237344,#237345,#237346,#237347,#237348,#237349,#237350,#237351, #237352,#237353,#237354,#237355,#237356,#237357,#237358,#237359,#237360, #237361,#237362,#237363,#237364)); #43777=EDGE_LOOP('',(#237365,#237366,#237367,#237368,#237369,#237370,#237371, #237372,#237373,#237374,#237375,#237376,#237377,#237378,#237379,#237380, #237381,#237382,#237383,#237384,#237385,#237386,#237387,#237388,#237389, #237390,#237391,#237392,#237393,#237394,#237395,#237396,#237397,#237398, #237399,#237400)); #43778=EDGE_LOOP('',(#237401,#237402,#237403,#237404,#237405,#237406,#237407, #237408,#237409,#237410,#237411,#237412,#237413,#237414,#237415,#237416, #237417,#237418,#237419,#237420,#237421,#237422,#237423,#237424,#237425, #237426,#237427,#237428,#237429,#237430,#237431,#237432,#237433,#237434, #237435,#237436)); #43779=EDGE_LOOP('',(#237437,#237438,#237439,#237440,#237441,#237442,#237443, #237444,#237445,#237446,#237447,#237448,#237449,#237450,#237451,#237452)); #43780=EDGE_LOOP('',(#237453,#237454,#237455,#237456,#237457,#237458,#237459, #237460,#237461,#237462,#237463,#237464,#237465,#237466,#237467,#237468)); #43781=EDGE_LOOP('',(#237469,#237470,#237471,#237472,#237473,#237474,#237475, #237476)); #43782=EDGE_LOOP('',(#237477,#237478,#237479,#237480)); #43783=EDGE_LOOP('',(#237481,#237482,#237483,#237484)); #43784=EDGE_LOOP('',(#237485,#237486,#237487,#237488)); #43785=EDGE_LOOP('',(#237489,#237490,#237491,#237492)); #43786=EDGE_LOOP('',(#237493,#237494,#237495,#237496)); #43787=EDGE_LOOP('',(#237497,#237498,#237499,#237500)); #43788=EDGE_LOOP('',(#237501,#237502,#237503,#237504)); #43789=EDGE_LOOP('',(#237505,#237506,#237507,#237508)); #43790=EDGE_LOOP('',(#237509,#237510,#237511,#237512)); #43791=EDGE_LOOP('',(#237513,#237514,#237515,#237516)); #43792=EDGE_LOOP('',(#237517,#237518,#237519,#237520)); #43793=EDGE_LOOP('',(#237521,#237522,#237523,#237524)); #43794=EDGE_LOOP('',(#237525,#237526,#237527,#237528)); #43795=EDGE_LOOP('',(#237529,#237530,#237531,#237532)); #43796=EDGE_LOOP('',(#237533,#237534,#237535,#237536)); #43797=EDGE_LOOP('',(#237537,#237538,#237539,#237540)); #43798=EDGE_LOOP('',(#237541,#237542,#237543,#237544)); #43799=EDGE_LOOP('',(#237545,#237546,#237547,#237548)); #43800=EDGE_LOOP('',(#237549,#237550,#237551,#237552)); #43801=EDGE_LOOP('',(#237553,#237554,#237555,#237556)); #43802=EDGE_LOOP('',(#237557,#237558,#237559,#237560)); #43803=EDGE_LOOP('',(#237561,#237562,#237563,#237564,#237565,#237566,#237567, #237568,#237569,#237570,#237571,#237572,#237573,#237574,#237575,#237576, #237577,#237578,#237579,#237580,#237581)); #43804=EDGE_LOOP('',(#237582,#237583,#237584,#237585,#237586,#237587,#237588, #237589,#237590,#237591,#237592,#237593,#237594,#237595,#237596,#237597, #237598,#237599,#237600,#237601,#237602)); #43805=EDGE_LOOP('',(#237603,#237604,#237605,#237606)); #43806=EDGE_LOOP('',(#237607,#237608,#237609,#237610)); #43807=EDGE_LOOP('',(#237611,#237612,#237613,#237614)); #43808=EDGE_LOOP('',(#237615,#237616,#237617,#237618)); #43809=EDGE_LOOP('',(#237619,#237620,#237621,#237622)); #43810=EDGE_LOOP('',(#237623,#237624,#237625,#237626)); #43811=EDGE_LOOP('',(#237627,#237628,#237629,#237630)); #43812=EDGE_LOOP('',(#237631,#237632,#237633,#237634)); #43813=EDGE_LOOP('',(#237635,#237636,#237637,#237638)); #43814=EDGE_LOOP('',(#237639,#237640,#237641,#237642)); #43815=EDGE_LOOP('',(#237643,#237644,#237645,#237646)); #43816=EDGE_LOOP('',(#237647,#237648,#237649,#237650)); #43817=EDGE_LOOP('',(#237651,#237652,#237653,#237654)); #43818=EDGE_LOOP('',(#237655,#237656,#237657,#237658)); #43819=EDGE_LOOP('',(#237659,#237660,#237661,#237662)); #43820=EDGE_LOOP('',(#237663,#237664,#237665,#237666)); #43821=EDGE_LOOP('',(#237667,#237668,#237669,#237670)); #43822=EDGE_LOOP('',(#237671,#237672,#237673,#237674)); #43823=EDGE_LOOP('',(#237675,#237676,#237677,#237678)); #43824=EDGE_LOOP('',(#237679,#237680,#237681,#237682)); #43825=EDGE_LOOP('',(#237683,#237684,#237685,#237686)); #43826=EDGE_LOOP('',(#237687,#237688,#237689,#237690)); #43827=EDGE_LOOP('',(#237691,#237692,#237693,#237694)); #43828=EDGE_LOOP('',(#237695,#237696,#237697,#237698)); #43829=EDGE_LOOP('',(#237699,#237700,#237701,#237702)); #43830=EDGE_LOOP('',(#237703,#237704,#237705,#237706)); #43831=EDGE_LOOP('',(#237707,#237708,#237709,#237710,#237711,#237712,#237713, #237714,#237715,#237716,#237717,#237718,#237719,#237720,#237721,#237722, #237723,#237724,#237725,#237726,#237727,#237728,#237729,#237730,#237731, #237732)); #43832=EDGE_LOOP('',(#237733,#237734,#237735,#237736,#237737,#237738,#237739, #237740,#237741,#237742,#237743,#237744,#237745,#237746,#237747,#237748, #237749,#237750,#237751,#237752,#237753,#237754,#237755,#237756,#237757, #237758)); #43833=EDGE_LOOP('',(#237759,#237760,#237761,#237762)); #43834=EDGE_LOOP('',(#237763,#237764,#237765,#237766)); #43835=EDGE_LOOP('',(#237767,#237768,#237769,#237770)); #43836=EDGE_LOOP('',(#237771,#237772,#237773,#237774)); #43837=EDGE_LOOP('',(#237775,#237776,#237777,#237778)); #43838=EDGE_LOOP('',(#237779,#237780,#237781,#237782)); #43839=EDGE_LOOP('',(#237783,#237784,#237785,#237786)); #43840=EDGE_LOOP('',(#237787,#237788,#237789,#237790)); #43841=EDGE_LOOP('',(#237791,#237792,#237793,#237794)); #43842=EDGE_LOOP('',(#237795,#237796,#237797,#237798)); #43843=EDGE_LOOP('',(#237799,#237800,#237801,#237802)); #43844=EDGE_LOOP('',(#237803,#237804,#237805,#237806)); #43845=EDGE_LOOP('',(#237807,#237808,#237809,#237810)); #43846=EDGE_LOOP('',(#237811,#237812,#237813,#237814)); #43847=EDGE_LOOP('',(#237815,#237816,#237817,#237818)); #43848=EDGE_LOOP('',(#237819,#237820,#237821,#237822)); #43849=EDGE_LOOP('',(#237823,#237824,#237825,#237826)); #43850=EDGE_LOOP('',(#237827,#237828,#237829,#237830)); #43851=EDGE_LOOP('',(#237831,#237832,#237833,#237834)); #43852=EDGE_LOOP('',(#237835,#237836,#237837,#237838)); #43853=EDGE_LOOP('',(#237839,#237840,#237841,#237842,#237843,#237844,#237845, #237846,#237847,#237848,#237849,#237850,#237851,#237852,#237853,#237854, #237855,#237856,#237857,#237858)); #43854=EDGE_LOOP('',(#237859,#237860,#237861,#237862,#237863,#237864,#237865, #237866,#237867,#237868,#237869,#237870,#237871,#237872,#237873,#237874, #237875,#237876,#237877,#237878)); #43855=EDGE_LOOP('',(#237879,#237880,#237881,#237882)); #43856=EDGE_LOOP('',(#237883,#237884,#237885,#237886)); #43857=EDGE_LOOP('',(#237887,#237888,#237889,#237890)); #43858=EDGE_LOOP('',(#237891,#237892,#237893,#237894)); #43859=EDGE_LOOP('',(#237895,#237896,#237897,#237898)); #43860=EDGE_LOOP('',(#237899,#237900,#237901,#237902)); #43861=EDGE_LOOP('',(#237903,#237904,#237905,#237906)); #43862=EDGE_LOOP('',(#237907,#237908,#237909,#237910)); #43863=EDGE_LOOP('',(#237911,#237912,#237913,#237914)); #43864=EDGE_LOOP('',(#237915,#237916,#237917,#237918)); #43865=EDGE_LOOP('',(#237919,#237920,#237921,#237922)); #43866=EDGE_LOOP('',(#237923,#237924,#237925,#237926)); #43867=EDGE_LOOP('',(#237927,#237928,#237929,#237930)); #43868=EDGE_LOOP('',(#237931,#237932,#237933,#237934)); #43869=EDGE_LOOP('',(#237935,#237936,#237937,#237938)); #43870=EDGE_LOOP('',(#237939,#237940,#237941,#237942)); #43871=EDGE_LOOP('',(#237943,#237944,#237945,#237946)); #43872=EDGE_LOOP('',(#237947,#237948,#237949,#237950)); #43873=EDGE_LOOP('',(#237951,#237952,#237953,#237954)); #43874=EDGE_LOOP('',(#237955,#237956,#237957,#237958)); #43875=EDGE_LOOP('',(#237959,#237960,#237961,#237962)); #43876=EDGE_LOOP('',(#237963,#237964,#237965,#237966)); #43877=EDGE_LOOP('',(#237967,#237968,#237969,#237970)); #43878=EDGE_LOOP('',(#237971,#237972,#237973,#237974,#237975,#237976,#237977, #237978,#237979,#237980,#237981,#237982,#237983,#237984,#237985,#237986, #237987,#237988,#237989,#237990,#237991,#237992,#237993)); #43879=EDGE_LOOP('',(#237994,#237995,#237996,#237997,#237998,#237999,#238000, #238001,#238002,#238003,#238004,#238005,#238006,#238007,#238008,#238009, #238010,#238011,#238012,#238013,#238014,#238015,#238016)); #43880=EDGE_LOOP('',(#238017,#238018,#238019,#238020)); #43881=EDGE_LOOP('',(#238021,#238022,#238023,#238024)); #43882=EDGE_LOOP('',(#238025,#238026,#238027,#238028)); #43883=EDGE_LOOP('',(#238029,#238030,#238031,#238032)); #43884=EDGE_LOOP('',(#238033,#238034,#238035,#238036)); #43885=EDGE_LOOP('',(#238037,#238038,#238039,#238040)); #43886=EDGE_LOOP('',(#238041,#238042,#238043,#238044)); #43887=EDGE_LOOP('',(#238045,#238046,#238047,#238048)); #43888=EDGE_LOOP('',(#238049,#238050,#238051,#238052)); #43889=EDGE_LOOP('',(#238053,#238054,#238055,#238056)); #43890=EDGE_LOOP('',(#238057,#238058,#238059,#238060)); #43891=EDGE_LOOP('',(#238061,#238062,#238063,#238064)); #43892=EDGE_LOOP('',(#238065,#238066,#238067,#238068)); #43893=EDGE_LOOP('',(#238069,#238070,#238071,#238072)); #43894=EDGE_LOOP('',(#238073,#238074,#238075,#238076)); #43895=EDGE_LOOP('',(#238077,#238078,#238079,#238080)); #43896=EDGE_LOOP('',(#238081,#238082,#238083,#238084)); #43897=EDGE_LOOP('',(#238085,#238086,#238087,#238088)); #43898=EDGE_LOOP('',(#238089,#238090,#238091,#238092)); #43899=EDGE_LOOP('',(#238093,#238094,#238095,#238096)); #43900=EDGE_LOOP('',(#238097,#238098,#238099,#238100)); #43901=EDGE_LOOP('',(#238101,#238102,#238103,#238104,#238105,#238106,#238107, #238108,#238109,#238110,#238111,#238112,#238113,#238114,#238115,#238116, #238117,#238118,#238119,#238120,#238121)); #43902=EDGE_LOOP('',(#238122,#238123,#238124,#238125,#238126,#238127,#238128, #238129,#238130,#238131,#238132,#238133,#238134,#238135,#238136,#238137, #238138,#238139,#238140,#238141,#238142)); #43903=EDGE_LOOP('',(#238143,#238144,#238145,#238146)); #43904=EDGE_LOOP('',(#238147,#238148,#238149,#238150)); #43905=EDGE_LOOP('',(#238151,#238152,#238153,#238154)); #43906=EDGE_LOOP('',(#238155,#238156,#238157,#238158)); #43907=EDGE_LOOP('',(#238159,#238160,#238161,#238162)); #43908=EDGE_LOOP('',(#238163,#238164,#238165,#238166)); #43909=EDGE_LOOP('',(#238167,#238168,#238169,#238170)); #43910=EDGE_LOOP('',(#238171,#238172,#238173,#238174)); #43911=EDGE_LOOP('',(#238175,#238176,#238177,#238178)); #43912=EDGE_LOOP('',(#238179,#238180,#238181,#238182)); #43913=EDGE_LOOP('',(#238183,#238184,#238185,#238186)); #43914=EDGE_LOOP('',(#238187,#238188,#238189,#238190)); #43915=EDGE_LOOP('',(#238191,#238192,#238193,#238194)); #43916=EDGE_LOOP('',(#238195,#238196,#238197,#238198)); #43917=EDGE_LOOP('',(#238199,#238200,#238201,#238202)); #43918=EDGE_LOOP('',(#238203,#238204,#238205,#238206)); #43919=EDGE_LOOP('',(#238207,#238208,#238209,#238210)); #43920=EDGE_LOOP('',(#238211,#238212,#238213,#238214)); #43921=EDGE_LOOP('',(#238215,#238216,#238217,#238218)); #43922=EDGE_LOOP('',(#238219,#238220,#238221,#238222)); #43923=EDGE_LOOP('',(#238223,#238224,#238225,#238226)); #43924=EDGE_LOOP('',(#238227,#238228,#238229,#238230)); #43925=EDGE_LOOP('',(#238231,#238232,#238233,#238234)); #43926=EDGE_LOOP('',(#238235,#238236,#238237,#238238)); #43927=EDGE_LOOP('',(#238239,#238240,#238241,#238242)); #43928=EDGE_LOOP('',(#238243,#238244,#238245,#238246)); #43929=EDGE_LOOP('',(#238247,#238248,#238249,#238250)); #43930=EDGE_LOOP('',(#238251,#238252,#238253,#238254)); #43931=EDGE_LOOP('',(#238255,#238256,#238257,#238258)); #43932=EDGE_LOOP('',(#238259,#238260,#238261,#238262)); #43933=EDGE_LOOP('',(#238263,#238264,#238265,#238266,#238267,#238268,#238269, #238270,#238271,#238272,#238273,#238274,#238275,#238276,#238277,#238278, #238279,#238280,#238281,#238282,#238283,#238284,#238285,#238286,#238287, #238288,#238289,#238290,#238291,#238292)); #43934=EDGE_LOOP('',(#238293,#238294,#238295,#238296,#238297,#238298,#238299, #238300,#238301,#238302,#238303,#238304,#238305,#238306,#238307,#238308, #238309,#238310,#238311,#238312,#238313,#238314,#238315,#238316,#238317, #238318,#238319,#238320,#238321,#238322)); #43935=EDGE_LOOP('',(#238323,#238324,#238325,#238326)); #43936=EDGE_LOOP('',(#238327,#238328,#238329,#238330)); #43937=EDGE_LOOP('',(#238331,#238332,#238333,#238334)); #43938=EDGE_LOOP('',(#238335,#238336,#238337,#238338)); #43939=EDGE_LOOP('',(#238339,#238340,#238341,#238342)); #43940=EDGE_LOOP('',(#238343,#238344,#238345,#238346)); #43941=EDGE_LOOP('',(#238347,#238348,#238349,#238350)); #43942=EDGE_LOOP('',(#238351,#238352,#238353,#238354)); #43943=EDGE_LOOP('',(#238355,#238356,#238357,#238358)); #43944=EDGE_LOOP('',(#238359,#238360,#238361,#238362)); #43945=EDGE_LOOP('',(#238363,#238364,#238365,#238366)); #43946=EDGE_LOOP('',(#238367,#238368,#238369,#238370)); #43947=EDGE_LOOP('',(#238371,#238372,#238373,#238374)); #43948=EDGE_LOOP('',(#238375,#238376,#238377,#238378)); #43949=EDGE_LOOP('',(#238379,#238380,#238381,#238382)); #43950=EDGE_LOOP('',(#238383,#238384,#238385,#238386)); #43951=EDGE_LOOP('',(#238387,#238388,#238389,#238390)); #43952=EDGE_LOOP('',(#238391,#238392,#238393,#238394)); #43953=EDGE_LOOP('',(#238395,#238396,#238397,#238398)); #43954=EDGE_LOOP('',(#238399,#238400,#238401,#238402)); #43955=EDGE_LOOP('',(#238403,#238404,#238405,#238406,#238407,#238408,#238409, #238410,#238411,#238412,#238413,#238414,#238415,#238416,#238417,#238418, #238419,#238420,#238421,#238422)); #43956=EDGE_LOOP('',(#238423,#238424,#238425,#238426,#238427,#238428,#238429, #238430,#238431,#238432,#238433,#238434,#238435,#238436,#238437,#238438, #238439,#238440,#238441,#238442)); #43957=EDGE_LOOP('',(#238443,#238444,#238445,#238446)); #43958=EDGE_LOOP('',(#238447,#238448,#238449,#238450)); #43959=EDGE_LOOP('',(#238451,#238452,#238453,#238454)); #43960=EDGE_LOOP('',(#238455,#238456,#238457,#238458)); #43961=EDGE_LOOP('',(#238459,#238460,#238461,#238462)); #43962=EDGE_LOOP('',(#238463,#238464,#238465,#238466)); #43963=EDGE_LOOP('',(#238467,#238468,#238469,#238470)); #43964=EDGE_LOOP('',(#238471,#238472,#238473,#238474)); #43965=EDGE_LOOP('',(#238475,#238476,#238477,#238478)); #43966=EDGE_LOOP('',(#238479,#238480,#238481,#238482)); #43967=EDGE_LOOP('',(#238483,#238484,#238485,#238486)); #43968=EDGE_LOOP('',(#238487,#238488,#238489,#238490)); #43969=EDGE_LOOP('',(#238491,#238492,#238493,#238494)); #43970=EDGE_LOOP('',(#238495,#238496,#238497,#238498)); #43971=EDGE_LOOP('',(#238499,#238500,#238501,#238502)); #43972=EDGE_LOOP('',(#238503,#238504,#238505,#238506)); #43973=EDGE_LOOP('',(#238507,#238508,#238509,#238510)); #43974=EDGE_LOOP('',(#238511,#238512,#238513,#238514)); #43975=EDGE_LOOP('',(#238515,#238516,#238517,#238518)); #43976=EDGE_LOOP('',(#238519,#238520,#238521,#238522)); #43977=EDGE_LOOP('',(#238523,#238524,#238525,#238526)); #43978=EDGE_LOOP('',(#238527,#238528,#238529,#238530)); #43979=EDGE_LOOP('',(#238531,#238532,#238533,#238534)); #43980=EDGE_LOOP('',(#238535,#238536,#238537,#238538)); #43981=EDGE_LOOP('',(#238539,#238540,#238541,#238542)); #43982=EDGE_LOOP('',(#238543,#238544,#238545,#238546)); #43983=EDGE_LOOP('',(#238547,#238548,#238549,#238550)); #43984=EDGE_LOOP('',(#238551,#238552,#238553,#238554)); #43985=EDGE_LOOP('',(#238555,#238556,#238557,#238558)); #43986=EDGE_LOOP('',(#238559,#238560,#238561,#238562)); #43987=EDGE_LOOP('',(#238563,#238564,#238565,#238566)); #43988=EDGE_LOOP('',(#238567,#238568,#238569,#238570)); #43989=EDGE_LOOP('',(#238571,#238572,#238573,#238574)); #43990=EDGE_LOOP('',(#238575,#238576,#238577,#238578,#238579,#238580,#238581, #238582,#238583,#238584,#238585,#238586,#238587,#238588,#238589,#238590, #238591,#238592,#238593,#238594,#238595,#238596,#238597,#238598,#238599, #238600,#238601,#238602,#238603,#238604,#238605,#238606,#238607)); #43991=EDGE_LOOP('',(#238608,#238609,#238610,#238611,#238612,#238613,#238614, #238615,#238616,#238617,#238618,#238619,#238620,#238621,#238622,#238623, #238624,#238625,#238626,#238627,#238628,#238629,#238630,#238631,#238632, #238633,#238634,#238635,#238636,#238637,#238638,#238639,#238640)); #43992=EDGE_LOOP('',(#238641,#238642,#238643,#238644)); #43993=EDGE_LOOP('',(#238645,#238646,#238647,#238648)); #43994=EDGE_LOOP('',(#238649,#238650,#238651,#238652)); #43995=EDGE_LOOP('',(#238653,#238654,#238655,#238656)); #43996=EDGE_LOOP('',(#238657,#238658,#238659,#238660)); #43997=EDGE_LOOP('',(#238661,#238662,#238663,#238664)); #43998=EDGE_LOOP('',(#238665,#238666,#238667,#238668)); #43999=EDGE_LOOP('',(#238669,#238670,#238671,#238672)); #44000=EDGE_LOOP('',(#238673,#238674,#238675,#238676)); #44001=EDGE_LOOP('',(#238677,#238678,#238679,#238680)); #44002=EDGE_LOOP('',(#238681,#238682,#238683,#238684)); #44003=EDGE_LOOP('',(#238685,#238686,#238687,#238688)); #44004=EDGE_LOOP('',(#238689,#238690,#238691,#238692)); #44005=EDGE_LOOP('',(#238693,#238694,#238695,#238696)); #44006=EDGE_LOOP('',(#238697,#238698,#238699,#238700)); #44007=EDGE_LOOP('',(#238701,#238702,#238703,#238704)); #44008=EDGE_LOOP('',(#238705,#238706,#238707,#238708)); #44009=EDGE_LOOP('',(#238709,#238710,#238711,#238712)); #44010=EDGE_LOOP('',(#238713,#238714,#238715,#238716)); #44011=EDGE_LOOP('',(#238717,#238718,#238719,#238720)); #44012=EDGE_LOOP('',(#238721,#238722,#238723,#238724)); #44013=EDGE_LOOP('',(#238725,#238726,#238727,#238728)); #44014=EDGE_LOOP('',(#238729,#238730,#238731,#238732)); #44015=EDGE_LOOP('',(#238733,#238734,#238735,#238736)); #44016=EDGE_LOOP('',(#238737,#238738,#238739,#238740)); #44017=EDGE_LOOP('',(#238741,#238742,#238743,#238744)); #44018=EDGE_LOOP('',(#238745,#238746,#238747,#238748)); #44019=EDGE_LOOP('',(#238749,#238750,#238751,#238752)); #44020=EDGE_LOOP('',(#238753,#238754,#238755,#238756)); #44021=EDGE_LOOP('',(#238757,#238758,#238759,#238760)); #44022=EDGE_LOOP('',(#238761,#238762,#238763,#238764)); #44023=EDGE_LOOP('',(#238765,#238766,#238767,#238768)); #44024=EDGE_LOOP('',(#238769,#238770,#238771,#238772)); #44025=EDGE_LOOP('',(#238773,#238774,#238775,#238776)); #44026=EDGE_LOOP('',(#238777,#238778,#238779,#238780)); #44027=EDGE_LOOP('',(#238781,#238782,#238783,#238784)); #44028=EDGE_LOOP('',(#238785,#238786,#238787,#238788)); #44029=EDGE_LOOP('',(#238789,#238790,#238791,#238792)); #44030=EDGE_LOOP('',(#238793,#238794,#238795,#238796)); #44031=EDGE_LOOP('',(#238797,#238798,#238799,#238800)); #44032=EDGE_LOOP('',(#238801,#238802,#238803,#238804)); #44033=EDGE_LOOP('',(#238805,#238806,#238807,#238808)); #44034=EDGE_LOOP('',(#238809,#238810,#238811,#238812)); #44035=EDGE_LOOP('',(#238813,#238814,#238815,#238816)); #44036=EDGE_LOOP('',(#238817,#238818,#238819,#238820)); #44037=EDGE_LOOP('',(#238821,#238822,#238823,#238824)); #44038=EDGE_LOOP('',(#238825,#238826,#238827,#238828)); #44039=EDGE_LOOP('',(#238829,#238830,#238831,#238832)); #44040=EDGE_LOOP('',(#238833,#238834,#238835,#238836)); #44041=EDGE_LOOP('',(#238837,#238838,#238839,#238840)); #44042=EDGE_LOOP('',(#238841,#238842,#238843,#238844)); #44043=EDGE_LOOP('',(#238845,#238846,#238847,#238848)); #44044=EDGE_LOOP('',(#238849,#238850,#238851,#238852)); #44045=EDGE_LOOP('',(#238853,#238854,#238855,#238856)); #44046=EDGE_LOOP('',(#238857,#238858,#238859,#238860)); #44047=EDGE_LOOP('',(#238861,#238862,#238863,#238864)); #44048=EDGE_LOOP('',(#238865,#238866,#238867,#238868)); #44049=EDGE_LOOP('',(#238869,#238870,#238871,#238872)); #44050=EDGE_LOOP('',(#238873,#238874,#238875,#238876)); #44051=EDGE_LOOP('',(#238877,#238878,#238879,#238880)); #44052=EDGE_LOOP('',(#238881,#238882,#238883,#238884)); #44053=EDGE_LOOP('',(#238885,#238886,#238887,#238888)); #44054=EDGE_LOOP('',(#238889,#238890,#238891,#238892)); #44055=EDGE_LOOP('',(#238893,#238894,#238895,#238896)); #44056=EDGE_LOOP('',(#238897,#238898,#238899,#238900)); #44057=EDGE_LOOP('',(#238901,#238902,#238903,#238904)); #44058=EDGE_LOOP('',(#238905,#238906,#238907,#238908)); #44059=EDGE_LOOP('',(#238909,#238910,#238911,#238912)); #44060=EDGE_LOOP('',(#238913,#238914,#238915,#238916)); #44061=EDGE_LOOP('',(#238917,#238918,#238919,#238920)); #44062=EDGE_LOOP('',(#238921,#238922,#238923,#238924)); #44063=EDGE_LOOP('',(#238925,#238926,#238927,#238928)); #44064=EDGE_LOOP('',(#238929,#238930,#238931,#238932)); #44065=EDGE_LOOP('',(#238933,#238934,#238935,#238936)); #44066=EDGE_LOOP('',(#238937,#238938,#238939,#238940)); #44067=EDGE_LOOP('',(#238941,#238942,#238943,#238944)); #44068=EDGE_LOOP('',(#238945,#238946,#238947,#238948)); #44069=EDGE_LOOP('',(#238949,#238950,#238951,#238952)); #44070=EDGE_LOOP('',(#238953,#238954,#238955,#238956)); #44071=EDGE_LOOP('',(#238957,#238958,#238959,#238960)); #44072=EDGE_LOOP('',(#238961,#238962,#238963,#238964)); #44073=EDGE_LOOP('',(#238965,#238966,#238967,#238968)); #44074=EDGE_LOOP('',(#238969,#238970,#238971,#238972)); #44075=EDGE_LOOP('',(#238973,#238974,#238975,#238976)); #44076=EDGE_LOOP('',(#238977,#238978,#238979,#238980)); #44077=EDGE_LOOP('',(#238981,#238982,#238983,#238984)); #44078=EDGE_LOOP('',(#238985,#238986,#238987,#238988)); #44079=EDGE_LOOP('',(#238989,#238990,#238991,#238992)); #44080=EDGE_LOOP('',(#238993,#238994,#238995,#238996)); #44081=EDGE_LOOP('',(#238997,#238998,#238999,#239000)); #44082=EDGE_LOOP('',(#239001,#239002,#239003,#239004,#239005,#239006,#239007, #239008,#239009,#239010,#239011,#239012,#239013,#239014,#239015,#239016, #239017,#239018,#239019,#239020,#239021,#239022,#239023,#239024,#239025, #239026,#239027,#239028,#239029,#239030,#239031,#239032,#239033,#239034, #239035,#239036,#239037,#239038,#239039,#239040,#239041,#239042,#239043, #239044,#239045,#239046,#239047,#239048,#239049,#239050,#239051,#239052, #239053,#239054,#239055,#239056,#239057,#239058,#239059,#239060,#239061, #239062,#239063,#239064,#239065,#239066,#239067,#239068,#239069,#239070, #239071,#239072,#239073,#239074,#239075,#239076,#239077,#239078,#239079, #239080,#239081,#239082,#239083,#239084,#239085,#239086,#239087)); #44083=EDGE_LOOP('',(#239088)); #44084=EDGE_LOOP('',(#239089)); #44085=EDGE_LOOP('',(#239090)); #44086=EDGE_LOOP('',(#239091,#239092,#239093,#239094,#239095,#239096,#239097, #239098,#239099,#239100,#239101,#239102,#239103,#239104,#239105,#239106, #239107,#239108,#239109,#239110,#239111,#239112,#239113,#239114,#239115, #239116,#239117,#239118,#239119,#239120,#239121,#239122,#239123,#239124, #239125,#239126,#239127,#239128,#239129,#239130,#239131,#239132,#239133, #239134,#239135,#239136,#239137,#239138,#239139,#239140,#239141,#239142, #239143,#239144,#239145,#239146,#239147,#239148,#239149,#239150,#239151, #239152,#239153,#239154,#239155,#239156,#239157,#239158,#239159,#239160, #239161,#239162,#239163,#239164,#239165,#239166,#239167,#239168,#239169, #239170,#239171,#239172,#239173,#239174,#239175,#239176,#239177)); #44087=EDGE_LOOP('',(#239178)); #44088=EDGE_LOOP('',(#239179)); #44089=EDGE_LOOP('',(#239180)); #44090=EDGE_LOOP('',(#239181,#239182,#239183,#239184)); #44091=EDGE_LOOP('',(#239185,#239186,#239187,#239188)); #44092=EDGE_LOOP('',(#239189,#239190,#239191,#239192)); #44093=EDGE_LOOP('',(#239193,#239194,#239195,#239196)); #44094=EDGE_LOOP('',(#239197,#239198,#239199,#239200)); #44095=EDGE_LOOP('',(#239201,#239202,#239203,#239204)); #44096=EDGE_LOOP('',(#239205,#239206,#239207,#239208)); #44097=EDGE_LOOP('',(#239209,#239210,#239211,#239212)); #44098=EDGE_LOOP('',(#239213,#239214,#239215,#239216)); #44099=EDGE_LOOP('',(#239217,#239218,#239219,#239220)); #44100=EDGE_LOOP('',(#239221,#239222,#239223,#239224)); #44101=EDGE_LOOP('',(#239225,#239226,#239227,#239228)); #44102=EDGE_LOOP('',(#239229,#239230,#239231,#239232)); #44103=EDGE_LOOP('',(#239233,#239234,#239235,#239236)); #44104=EDGE_LOOP('',(#239237,#239238,#239239,#239240)); #44105=EDGE_LOOP('',(#239241,#239242,#239243,#239244)); #44106=EDGE_LOOP('',(#239245,#239246,#239247,#239248)); #44107=EDGE_LOOP('',(#239249,#239250,#239251,#239252)); #44108=EDGE_LOOP('',(#239253,#239254,#239255,#239256)); #44109=EDGE_LOOP('',(#239257,#239258,#239259,#239260)); #44110=EDGE_LOOP('',(#239261,#239262,#239263,#239264)); #44111=EDGE_LOOP('',(#239265,#239266,#239267,#239268)); #44112=EDGE_LOOP('',(#239269,#239270,#239271,#239272)); #44113=EDGE_LOOP('',(#239273,#239274,#239275,#239276)); #44114=EDGE_LOOP('',(#239277,#239278,#239279,#239280)); #44115=EDGE_LOOP('',(#239281,#239282,#239283,#239284)); #44116=EDGE_LOOP('',(#239285,#239286,#239287,#239288)); #44117=EDGE_LOOP('',(#239289,#239290,#239291,#239292)); #44118=EDGE_LOOP('',(#239293,#239294,#239295,#239296)); #44119=EDGE_LOOP('',(#239297,#239298,#239299,#239300,#239301,#239302,#239303, #239304,#239305,#239306,#239307,#239308,#239309,#239310,#239311,#239312, #239313,#239314,#239315,#239316,#239317,#239318,#239319,#239320,#239321, #239322,#239323,#239324,#239325)); #44120=EDGE_LOOP('',(#239326,#239327,#239328,#239329,#239330,#239331,#239332, #239333,#239334,#239335,#239336,#239337,#239338,#239339,#239340,#239341, #239342,#239343,#239344,#239345,#239346,#239347,#239348,#239349,#239350, #239351,#239352,#239353,#239354)); #44121=EDGE_LOOP('',(#239355,#239356,#239357,#239358)); #44122=EDGE_LOOP('',(#239359,#239360,#239361,#239362)); #44123=EDGE_LOOP('',(#239363,#239364,#239365,#239366)); #44124=EDGE_LOOP('',(#239367,#239368,#239369,#239370)); #44125=EDGE_LOOP('',(#239371,#239372,#239373,#239374)); #44126=EDGE_LOOP('',(#239375,#239376,#239377,#239378)); #44127=EDGE_LOOP('',(#239379,#239380,#239381,#239382)); #44128=EDGE_LOOP('',(#239383,#239384,#239385,#239386)); #44129=EDGE_LOOP('',(#239387,#239388,#239389,#239390)); #44130=EDGE_LOOP('',(#239391,#239392,#239393,#239394)); #44131=EDGE_LOOP('',(#239395,#239396,#239397,#239398)); #44132=EDGE_LOOP('',(#239399,#239400,#239401,#239402)); #44133=EDGE_LOOP('',(#239403,#239404,#239405,#239406)); #44134=EDGE_LOOP('',(#239407,#239408,#239409,#239410)); #44135=EDGE_LOOP('',(#239411,#239412,#239413,#239414)); #44136=EDGE_LOOP('',(#239415,#239416,#239417,#239418)); #44137=EDGE_LOOP('',(#239419,#239420,#239421,#239422)); #44138=EDGE_LOOP('',(#239423,#239424,#239425,#239426)); #44139=EDGE_LOOP('',(#239427,#239428,#239429,#239430)); #44140=EDGE_LOOP('',(#239431,#239432,#239433,#239434,#239435,#239436,#239437, #239438,#239439,#239440,#239441,#239442,#239443,#239444,#239445,#239446, #239447,#239448,#239449)); #44141=EDGE_LOOP('',(#239450,#239451,#239452,#239453,#239454,#239455,#239456, #239457,#239458,#239459,#239460,#239461,#239462,#239463,#239464,#239465, #239466,#239467,#239468)); #44142=EDGE_LOOP('',(#239469,#239470,#239471,#239472)); #44143=EDGE_LOOP('',(#239473,#239474,#239475,#239476)); #44144=EDGE_LOOP('',(#239477,#239478,#239479,#239480)); #44145=EDGE_LOOP('',(#239481,#239482,#239483,#239484)); #44146=EDGE_LOOP('',(#239485,#239486,#239487,#239488)); #44147=EDGE_LOOP('',(#239489,#239490,#239491,#239492)); #44148=EDGE_LOOP('',(#239493,#239494,#239495,#239496)); #44149=EDGE_LOOP('',(#239497,#239498,#239499,#239500)); #44150=EDGE_LOOP('',(#239501,#239502,#239503,#239504)); #44151=EDGE_LOOP('',(#239505,#239506,#239507,#239508)); #44152=EDGE_LOOP('',(#239509,#239510,#239511,#239512)); #44153=EDGE_LOOP('',(#239513,#239514,#239515,#239516)); #44154=EDGE_LOOP('',(#239517,#239518,#239519,#239520)); #44155=EDGE_LOOP('',(#239521,#239522,#239523,#239524)); #44156=EDGE_LOOP('',(#239525,#239526,#239527,#239528)); #44157=EDGE_LOOP('',(#239529,#239530,#239531,#239532)); #44158=EDGE_LOOP('',(#239533,#239534,#239535,#239536)); #44159=EDGE_LOOP('',(#239537,#239538,#239539,#239540)); #44160=EDGE_LOOP('',(#239541,#239542,#239543,#239544)); #44161=EDGE_LOOP('',(#239545,#239546,#239547,#239548)); #44162=EDGE_LOOP('',(#239549,#239550,#239551,#239552)); #44163=EDGE_LOOP('',(#239553,#239554,#239555,#239556)); #44164=EDGE_LOOP('',(#239557,#239558,#239559,#239560)); #44165=EDGE_LOOP('',(#239561,#239562,#239563,#239564)); #44166=EDGE_LOOP('',(#239565,#239566,#239567,#239568)); #44167=EDGE_LOOP('',(#239569,#239570,#239571,#239572)); #44168=EDGE_LOOP('',(#239573,#239574,#239575,#239576)); #44169=EDGE_LOOP('',(#239577,#239578,#239579,#239580)); #44170=EDGE_LOOP('',(#239581,#239582,#239583,#239584)); #44171=EDGE_LOOP('',(#239585,#239586,#239587,#239588)); #44172=EDGE_LOOP('',(#239589,#239590,#239591,#239592)); #44173=EDGE_LOOP('',(#239593,#239594,#239595,#239596)); #44174=EDGE_LOOP('',(#239597,#239598,#239599,#239600)); #44175=EDGE_LOOP('',(#239601,#239602,#239603,#239604)); #44176=EDGE_LOOP('',(#239605,#239606,#239607,#239608)); #44177=EDGE_LOOP('',(#239609,#239610,#239611,#239612)); #44178=EDGE_LOOP('',(#239613,#239614,#239615,#239616)); #44179=EDGE_LOOP('',(#239617,#239618,#239619,#239620)); #44180=EDGE_LOOP('',(#239621,#239622,#239623,#239624)); #44181=EDGE_LOOP('',(#239625,#239626,#239627,#239628)); #44182=EDGE_LOOP('',(#239629,#239630,#239631,#239632)); #44183=EDGE_LOOP('',(#239633,#239634,#239635,#239636)); #44184=EDGE_LOOP('',(#239637,#239638,#239639,#239640)); #44185=EDGE_LOOP('',(#239641,#239642,#239643,#239644)); #44186=EDGE_LOOP('',(#239645,#239646,#239647,#239648)); #44187=EDGE_LOOP('',(#239649,#239650,#239651,#239652)); #44188=EDGE_LOOP('',(#239653,#239654,#239655,#239656)); #44189=EDGE_LOOP('',(#239657,#239658,#239659,#239660)); #44190=EDGE_LOOP('',(#239661,#239662,#239663,#239664)); #44191=EDGE_LOOP('',(#239665,#239666,#239667,#239668)); #44192=EDGE_LOOP('',(#239669,#239670,#239671,#239672)); #44193=EDGE_LOOP('',(#239673,#239674,#239675,#239676)); #44194=EDGE_LOOP('',(#239677,#239678,#239679,#239680)); #44195=EDGE_LOOP('',(#239681,#239682,#239683,#239684)); #44196=EDGE_LOOP('',(#239685,#239686,#239687,#239688)); #44197=EDGE_LOOP('',(#239689,#239690,#239691,#239692)); #44198=EDGE_LOOP('',(#239693,#239694,#239695,#239696)); #44199=EDGE_LOOP('',(#239697,#239698,#239699,#239700)); #44200=EDGE_LOOP('',(#239701,#239702,#239703,#239704)); #44201=EDGE_LOOP('',(#239705,#239706,#239707,#239708)); #44202=EDGE_LOOP('',(#239709,#239710,#239711,#239712)); #44203=EDGE_LOOP('',(#239713,#239714,#239715,#239716)); #44204=EDGE_LOOP('',(#239717,#239718,#239719,#239720)); #44205=EDGE_LOOP('',(#239721,#239722,#239723,#239724)); #44206=EDGE_LOOP('',(#239725,#239726,#239727,#239728)); #44207=EDGE_LOOP('',(#239729,#239730,#239731,#239732)); #44208=EDGE_LOOP('',(#239733,#239734,#239735,#239736)); #44209=EDGE_LOOP('',(#239737,#239738,#239739,#239740)); #44210=EDGE_LOOP('',(#239741,#239742,#239743,#239744)); #44211=EDGE_LOOP('',(#239745,#239746,#239747,#239748)); #44212=EDGE_LOOP('',(#239749,#239750,#239751,#239752)); #44213=EDGE_LOOP('',(#239753,#239754,#239755,#239756)); #44214=EDGE_LOOP('',(#239757,#239758,#239759,#239760)); #44215=EDGE_LOOP('',(#239761,#239762,#239763,#239764)); #44216=EDGE_LOOP('',(#239765,#239766,#239767,#239768)); #44217=EDGE_LOOP('',(#239769,#239770,#239771,#239772)); #44218=EDGE_LOOP('',(#239773,#239774,#239775,#239776)); #44219=EDGE_LOOP('',(#239777,#239778,#239779,#239780)); #44220=EDGE_LOOP('',(#239781,#239782,#239783,#239784)); #44221=EDGE_LOOP('',(#239785,#239786,#239787,#239788)); #44222=EDGE_LOOP('',(#239789,#239790,#239791,#239792)); #44223=EDGE_LOOP('',(#239793,#239794,#239795,#239796)); #44224=EDGE_LOOP('',(#239797,#239798,#239799,#239800)); #44225=EDGE_LOOP('',(#239801,#239802,#239803,#239804)); #44226=EDGE_LOOP('',(#239805,#239806,#239807,#239808)); #44227=EDGE_LOOP('',(#239809,#239810,#239811,#239812)); #44228=EDGE_LOOP('',(#239813,#239814,#239815,#239816)); #44229=EDGE_LOOP('',(#239817,#239818,#239819,#239820)); #44230=EDGE_LOOP('',(#239821,#239822,#239823,#239824)); #44231=EDGE_LOOP('',(#239825,#239826,#239827,#239828)); #44232=EDGE_LOOP('',(#239829,#239830,#239831,#239832)); #44233=EDGE_LOOP('',(#239833,#239834,#239835,#239836)); #44234=EDGE_LOOP('',(#239837,#239838,#239839,#239840)); #44235=EDGE_LOOP('',(#239841,#239842,#239843,#239844)); #44236=EDGE_LOOP('',(#239845,#239846,#239847,#239848)); #44237=EDGE_LOOP('',(#239849,#239850,#239851,#239852)); #44238=EDGE_LOOP('',(#239853,#239854,#239855,#239856)); #44239=EDGE_LOOP('',(#239857,#239858,#239859,#239860)); #44240=EDGE_LOOP('',(#239861,#239862,#239863,#239864)); #44241=EDGE_LOOP('',(#239865,#239866,#239867,#239868)); #44242=EDGE_LOOP('',(#239869,#239870,#239871,#239872)); #44243=EDGE_LOOP('',(#239873,#239874,#239875,#239876)); #44244=EDGE_LOOP('',(#239877,#239878,#239879,#239880)); #44245=EDGE_LOOP('',(#239881,#239882,#239883,#239884)); #44246=EDGE_LOOP('',(#239885,#239886,#239887,#239888)); #44247=EDGE_LOOP('',(#239889,#239890,#239891,#239892)); #44248=EDGE_LOOP('',(#239893,#239894,#239895,#239896)); #44249=EDGE_LOOP('',(#239897,#239898,#239899,#239900)); #44250=EDGE_LOOP('',(#239901,#239902,#239903,#239904)); #44251=EDGE_LOOP('',(#239905,#239906,#239907,#239908)); #44252=EDGE_LOOP('',(#239909,#239910,#239911,#239912)); #44253=EDGE_LOOP('',(#239913,#239914,#239915,#239916)); #44254=EDGE_LOOP('',(#239917,#239918,#239919,#239920)); #44255=EDGE_LOOP('',(#239921,#239922,#239923,#239924)); #44256=EDGE_LOOP('',(#239925,#239926,#239927,#239928)); #44257=EDGE_LOOP('',(#239929,#239930,#239931,#239932)); #44258=EDGE_LOOP('',(#239933,#239934,#239935,#239936)); #44259=EDGE_LOOP('',(#239937,#239938,#239939,#239940)); #44260=EDGE_LOOP('',(#239941,#239942,#239943,#239944)); #44261=EDGE_LOOP('',(#239945,#239946,#239947,#239948)); #44262=EDGE_LOOP('',(#239949,#239950,#239951,#239952)); #44263=EDGE_LOOP('',(#239953,#239954,#239955,#239956)); #44264=EDGE_LOOP('',(#239957,#239958,#239959,#239960)); #44265=EDGE_LOOP('',(#239961,#239962,#239963,#239964)); #44266=EDGE_LOOP('',(#239965,#239966,#239967,#239968)); #44267=EDGE_LOOP('',(#239969,#239970,#239971,#239972)); #44268=EDGE_LOOP('',(#239973,#239974,#239975,#239976)); #44269=EDGE_LOOP('',(#239977,#239978,#239979,#239980)); #44270=EDGE_LOOP('',(#239981,#239982,#239983,#239984)); #44271=EDGE_LOOP('',(#239985,#239986,#239987,#239988)); #44272=EDGE_LOOP('',(#239989,#239990,#239991,#239992)); #44273=EDGE_LOOP('',(#239993,#239994,#239995,#239996)); #44274=EDGE_LOOP('',(#239997,#239998,#239999,#240000)); #44275=EDGE_LOOP('',(#240001,#240002,#240003,#240004)); #44276=EDGE_LOOP('',(#240005,#240006,#240007,#240008)); #44277=EDGE_LOOP('',(#240009,#240010,#240011,#240012)); #44278=EDGE_LOOP('',(#240013,#240014,#240015,#240016)); #44279=EDGE_LOOP('',(#240017,#240018,#240019,#240020)); #44280=EDGE_LOOP('',(#240021,#240022,#240023,#240024)); #44281=EDGE_LOOP('',(#240025,#240026,#240027,#240028)); #44282=EDGE_LOOP('',(#240029,#240030,#240031,#240032)); #44283=EDGE_LOOP('',(#240033,#240034,#240035,#240036)); #44284=EDGE_LOOP('',(#240037,#240038,#240039,#240040)); #44285=EDGE_LOOP('',(#240041,#240042,#240043,#240044)); #44286=EDGE_LOOP('',(#240045,#240046,#240047,#240048)); #44287=EDGE_LOOP('',(#240049,#240050,#240051,#240052)); #44288=EDGE_LOOP('',(#240053,#240054,#240055,#240056)); #44289=EDGE_LOOP('',(#240057,#240058,#240059,#240060)); #44290=EDGE_LOOP('',(#240061,#240062,#240063,#240064)); #44291=EDGE_LOOP('',(#240065,#240066,#240067,#240068)); #44292=EDGE_LOOP('',(#240069,#240070,#240071,#240072)); #44293=EDGE_LOOP('',(#240073,#240074,#240075,#240076)); #44294=EDGE_LOOP('',(#240077,#240078,#240079,#240080)); #44295=EDGE_LOOP('',(#240081,#240082,#240083,#240084)); #44296=EDGE_LOOP('',(#240085,#240086,#240087,#240088)); #44297=EDGE_LOOP('',(#240089,#240090,#240091,#240092)); #44298=EDGE_LOOP('',(#240093,#240094,#240095,#240096)); #44299=EDGE_LOOP('',(#240097,#240098,#240099,#240100)); #44300=EDGE_LOOP('',(#240101,#240102,#240103,#240104)); #44301=EDGE_LOOP('',(#240105,#240106,#240107,#240108)); #44302=EDGE_LOOP('',(#240109,#240110,#240111,#240112)); #44303=EDGE_LOOP('',(#240113,#240114,#240115,#240116)); #44304=EDGE_LOOP('',(#240117,#240118,#240119,#240120)); #44305=EDGE_LOOP('',(#240121,#240122,#240123,#240124)); #44306=EDGE_LOOP('',(#240125,#240126,#240127,#240128)); #44307=EDGE_LOOP('',(#240129,#240130,#240131,#240132)); #44308=EDGE_LOOP('',(#240133,#240134,#240135,#240136)); #44309=EDGE_LOOP('',(#240137,#240138,#240139,#240140)); #44310=EDGE_LOOP('',(#240141,#240142,#240143,#240144)); #44311=EDGE_LOOP('',(#240145,#240146,#240147,#240148)); #44312=EDGE_LOOP('',(#240149,#240150,#240151,#240152)); #44313=EDGE_LOOP('',(#240153,#240154,#240155,#240156)); #44314=EDGE_LOOP('',(#240157,#240158,#240159,#240160)); #44315=EDGE_LOOP('',(#240161,#240162,#240163,#240164)); #44316=EDGE_LOOP('',(#240165,#240166,#240167,#240168)); #44317=EDGE_LOOP('',(#240169,#240170,#240171,#240172)); #44318=EDGE_LOOP('',(#240173,#240174,#240175,#240176)); #44319=EDGE_LOOP('',(#240177,#240178,#240179,#240180)); #44320=EDGE_LOOP('',(#240181,#240182,#240183,#240184)); #44321=EDGE_LOOP('',(#240185,#240186,#240187,#240188)); #44322=EDGE_LOOP('',(#240189,#240190,#240191,#240192)); #44323=EDGE_LOOP('',(#240193,#240194,#240195,#240196)); #44324=EDGE_LOOP('',(#240197,#240198,#240199,#240200)); #44325=EDGE_LOOP('',(#240201,#240202,#240203,#240204)); #44326=EDGE_LOOP('',(#240205,#240206,#240207,#240208)); #44327=EDGE_LOOP('',(#240209,#240210,#240211,#240212)); #44328=EDGE_LOOP('',(#240213,#240214,#240215,#240216)); #44329=EDGE_LOOP('',(#240217,#240218,#240219,#240220)); #44330=EDGE_LOOP('',(#240221,#240222,#240223,#240224)); #44331=EDGE_LOOP('',(#240225,#240226,#240227,#240228)); #44332=EDGE_LOOP('',(#240229,#240230,#240231,#240232)); #44333=EDGE_LOOP('',(#240233,#240234,#240235,#240236)); #44334=EDGE_LOOP('',(#240237,#240238,#240239,#240240)); #44335=EDGE_LOOP('',(#240241,#240242,#240243,#240244)); #44336=EDGE_LOOP('',(#240245,#240246,#240247,#240248)); #44337=EDGE_LOOP('',(#240249,#240250,#240251,#240252)); #44338=EDGE_LOOP('',(#240253,#240254,#240255,#240256)); #44339=EDGE_LOOP('',(#240257,#240258,#240259,#240260)); #44340=EDGE_LOOP('',(#240261,#240262,#240263,#240264)); #44341=EDGE_LOOP('',(#240265,#240266,#240267,#240268)); #44342=EDGE_LOOP('',(#240269,#240270,#240271,#240272)); #44343=EDGE_LOOP('',(#240273,#240274,#240275,#240276)); #44344=EDGE_LOOP('',(#240277,#240278,#240279,#240280)); #44345=EDGE_LOOP('',(#240281,#240282,#240283,#240284)); #44346=EDGE_LOOP('',(#240285,#240286,#240287,#240288)); #44347=EDGE_LOOP('',(#240289,#240290,#240291,#240292)); #44348=EDGE_LOOP('',(#240293,#240294,#240295,#240296)); #44349=EDGE_LOOP('',(#240297,#240298,#240299,#240300)); #44350=EDGE_LOOP('',(#240301,#240302,#240303,#240304)); #44351=EDGE_LOOP('',(#240305,#240306,#240307,#240308)); #44352=EDGE_LOOP('',(#240309,#240310,#240311,#240312)); #44353=EDGE_LOOP('',(#240313,#240314,#240315,#240316)); #44354=EDGE_LOOP('',(#240317,#240318,#240319,#240320)); #44355=EDGE_LOOP('',(#240321,#240322,#240323,#240324)); #44356=EDGE_LOOP('',(#240325,#240326,#240327,#240328)); #44357=EDGE_LOOP('',(#240329,#240330,#240331,#240332)); #44358=EDGE_LOOP('',(#240333,#240334,#240335,#240336)); #44359=EDGE_LOOP('',(#240337,#240338,#240339,#240340)); #44360=EDGE_LOOP('',(#240341,#240342,#240343,#240344)); #44361=EDGE_LOOP('',(#240345,#240346,#240347,#240348)); #44362=EDGE_LOOP('',(#240349,#240350,#240351,#240352)); #44363=EDGE_LOOP('',(#240353,#240354,#240355,#240356)); #44364=EDGE_LOOP('',(#240357,#240358,#240359,#240360)); #44365=EDGE_LOOP('',(#240361,#240362,#240363,#240364)); #44366=EDGE_LOOP('',(#240365,#240366,#240367,#240368)); #44367=EDGE_LOOP('',(#240369,#240370,#240371,#240372)); #44368=EDGE_LOOP('',(#240373,#240374,#240375,#240376)); #44369=EDGE_LOOP('',(#240377,#240378,#240379,#240380)); #44370=EDGE_LOOP('',(#240381,#240382,#240383,#240384)); #44371=EDGE_LOOP('',(#240385,#240386,#240387,#240388)); #44372=EDGE_LOOP('',(#240389,#240390,#240391,#240392)); #44373=EDGE_LOOP('',(#240393,#240394,#240395,#240396)); #44374=EDGE_LOOP('',(#240397,#240398,#240399,#240400)); #44375=EDGE_LOOP('',(#240401,#240402,#240403,#240404)); #44376=EDGE_LOOP('',(#240405,#240406,#240407,#240408)); #44377=EDGE_LOOP('',(#240409,#240410,#240411,#240412)); #44378=EDGE_LOOP('',(#240413,#240414,#240415,#240416)); #44379=EDGE_LOOP('',(#240417,#240418,#240419,#240420)); #44380=EDGE_LOOP('',(#240421,#240422,#240423,#240424)); #44381=EDGE_LOOP('',(#240425,#240426,#240427,#240428)); #44382=EDGE_LOOP('',(#240429,#240430,#240431,#240432)); #44383=EDGE_LOOP('',(#240433,#240434,#240435,#240436)); #44384=EDGE_LOOP('',(#240437,#240438,#240439,#240440)); #44385=EDGE_LOOP('',(#240441,#240442,#240443,#240444)); #44386=EDGE_LOOP('',(#240445,#240446,#240447,#240448)); #44387=EDGE_LOOP('',(#240449,#240450,#240451,#240452)); #44388=EDGE_LOOP('',(#240453,#240454,#240455,#240456)); #44389=EDGE_LOOP('',(#240457,#240458,#240459,#240460)); #44390=EDGE_LOOP('',(#240461,#240462,#240463,#240464)); #44391=EDGE_LOOP('',(#240465,#240466,#240467,#240468)); #44392=EDGE_LOOP('',(#240469,#240470,#240471,#240472)); #44393=EDGE_LOOP('',(#240473,#240474,#240475,#240476)); #44394=EDGE_LOOP('',(#240477,#240478,#240479,#240480)); #44395=EDGE_LOOP('',(#240481,#240482,#240483,#240484)); #44396=EDGE_LOOP('',(#240485,#240486,#240487,#240488)); #44397=EDGE_LOOP('',(#240489,#240490,#240491,#240492)); #44398=EDGE_LOOP('',(#240493,#240494,#240495,#240496)); #44399=EDGE_LOOP('',(#240497,#240498,#240499,#240500)); #44400=EDGE_LOOP('',(#240501,#240502,#240503,#240504)); #44401=EDGE_LOOP('',(#240505,#240506,#240507,#240508)); #44402=EDGE_LOOP('',(#240509,#240510,#240511,#240512)); #44403=EDGE_LOOP('',(#240513,#240514,#240515,#240516)); #44404=EDGE_LOOP('',(#240517,#240518,#240519,#240520)); #44405=EDGE_LOOP('',(#240521,#240522,#240523,#240524)); #44406=EDGE_LOOP('',(#240525,#240526,#240527,#240528)); #44407=EDGE_LOOP('',(#240529,#240530,#240531,#240532)); #44408=EDGE_LOOP('',(#240533,#240534,#240535,#240536)); #44409=EDGE_LOOP('',(#240537,#240538,#240539,#240540)); #44410=EDGE_LOOP('',(#240541,#240542,#240543,#240544)); #44411=EDGE_LOOP('',(#240545,#240546,#240547,#240548)); #44412=EDGE_LOOP('',(#240549,#240550,#240551,#240552)); #44413=EDGE_LOOP('',(#240553,#240554,#240555,#240556)); #44414=EDGE_LOOP('',(#240557,#240558,#240559,#240560)); #44415=EDGE_LOOP('',(#240561,#240562,#240563,#240564)); #44416=EDGE_LOOP('',(#240565,#240566,#240567,#240568)); #44417=EDGE_LOOP('',(#240569,#240570,#240571,#240572)); #44418=EDGE_LOOP('',(#240573,#240574,#240575,#240576)); #44419=EDGE_LOOP('',(#240577,#240578,#240579,#240580)); #44420=EDGE_LOOP('',(#240581,#240582,#240583,#240584)); #44421=EDGE_LOOP('',(#240585,#240586,#240587,#240588)); #44422=EDGE_LOOP('',(#240589,#240590,#240591,#240592)); #44423=EDGE_LOOP('',(#240593,#240594,#240595,#240596)); #44424=EDGE_LOOP('',(#240597,#240598,#240599,#240600)); #44425=EDGE_LOOP('',(#240601,#240602,#240603,#240604)); #44426=EDGE_LOOP('',(#240605,#240606,#240607,#240608)); #44427=EDGE_LOOP('',(#240609,#240610,#240611,#240612)); #44428=EDGE_LOOP('',(#240613,#240614,#240615,#240616)); #44429=EDGE_LOOP('',(#240617,#240618,#240619,#240620)); #44430=EDGE_LOOP('',(#240621,#240622,#240623,#240624)); #44431=EDGE_LOOP('',(#240625,#240626,#240627,#240628)); #44432=EDGE_LOOP('',(#240629,#240630,#240631,#240632)); #44433=EDGE_LOOP('',(#240633,#240634,#240635,#240636)); #44434=EDGE_LOOP('',(#240637,#240638,#240639,#240640)); #44435=EDGE_LOOP('',(#240641,#240642,#240643,#240644)); #44436=EDGE_LOOP('',(#240645,#240646,#240647,#240648)); #44437=EDGE_LOOP('',(#240649,#240650,#240651,#240652)); #44438=EDGE_LOOP('',(#240653,#240654,#240655,#240656)); #44439=EDGE_LOOP('',(#240657,#240658,#240659,#240660)); #44440=EDGE_LOOP('',(#240661,#240662,#240663,#240664)); #44441=EDGE_LOOP('',(#240665,#240666,#240667,#240668)); #44442=EDGE_LOOP('',(#240669,#240670,#240671,#240672)); #44443=EDGE_LOOP('',(#240673,#240674,#240675,#240676)); #44444=EDGE_LOOP('',(#240677,#240678,#240679,#240680)); #44445=EDGE_LOOP('',(#240681,#240682,#240683,#240684)); #44446=EDGE_LOOP('',(#240685,#240686,#240687,#240688)); #44447=EDGE_LOOP('',(#240689,#240690,#240691,#240692)); #44448=EDGE_LOOP('',(#240693,#240694,#240695,#240696)); #44449=EDGE_LOOP('',(#240697,#240698,#240699,#240700)); #44450=EDGE_LOOP('',(#240701,#240702,#240703,#240704)); #44451=EDGE_LOOP('',(#240705,#240706,#240707,#240708)); #44452=EDGE_LOOP('',(#240709,#240710,#240711,#240712)); #44453=EDGE_LOOP('',(#240713,#240714,#240715,#240716)); #44454=EDGE_LOOP('',(#240717,#240718,#240719,#240720)); #44455=EDGE_LOOP('',(#240721,#240722,#240723,#240724)); #44456=EDGE_LOOP('',(#240725,#240726,#240727,#240728)); #44457=EDGE_LOOP('',(#240729,#240730,#240731,#240732)); #44458=EDGE_LOOP('',(#240733,#240734,#240735,#240736)); #44459=EDGE_LOOP('',(#240737,#240738,#240739,#240740)); #44460=EDGE_LOOP('',(#240741,#240742,#240743,#240744)); #44461=EDGE_LOOP('',(#240745,#240746,#240747,#240748)); #44462=EDGE_LOOP('',(#240749,#240750,#240751,#240752)); #44463=EDGE_LOOP('',(#240753,#240754,#240755,#240756)); #44464=EDGE_LOOP('',(#240757,#240758,#240759,#240760)); #44465=EDGE_LOOP('',(#240761,#240762,#240763,#240764)); #44466=EDGE_LOOP('',(#240765,#240766,#240767,#240768)); #44467=EDGE_LOOP('',(#240769,#240770,#240771,#240772)); #44468=EDGE_LOOP('',(#240773,#240774,#240775,#240776)); #44469=EDGE_LOOP('',(#240777,#240778,#240779,#240780)); #44470=EDGE_LOOP('',(#240781,#240782,#240783,#240784)); #44471=EDGE_LOOP('',(#240785,#240786,#240787,#240788)); #44472=EDGE_LOOP('',(#240789,#240790,#240791,#240792)); #44473=EDGE_LOOP('',(#240793,#240794,#240795,#240796)); #44474=EDGE_LOOP('',(#240797,#240798,#240799,#240800)); #44475=EDGE_LOOP('',(#240801,#240802,#240803,#240804)); #44476=EDGE_LOOP('',(#240805,#240806,#240807,#240808)); #44477=EDGE_LOOP('',(#240809,#240810,#240811,#240812)); #44478=EDGE_LOOP('',(#240813,#240814,#240815,#240816)); #44479=EDGE_LOOP('',(#240817,#240818,#240819,#240820)); #44480=EDGE_LOOP('',(#240821,#240822,#240823,#240824)); #44481=EDGE_LOOP('',(#240825,#240826,#240827,#240828)); #44482=EDGE_LOOP('',(#240829,#240830,#240831,#240832)); #44483=EDGE_LOOP('',(#240833,#240834,#240835,#240836)); #44484=EDGE_LOOP('',(#240837,#240838,#240839,#240840)); #44485=EDGE_LOOP('',(#240841,#240842,#240843,#240844)); #44486=EDGE_LOOP('',(#240845,#240846,#240847,#240848)); #44487=EDGE_LOOP('',(#240849,#240850,#240851,#240852,#240853,#240854,#240855, #240856,#240857,#240858,#240859,#240860,#240861,#240862,#240863,#240864, #240865,#240866,#240867,#240868,#240869,#240870,#240871,#240872,#240873, #240874,#240875,#240876,#240877,#240878,#240879,#240880,#240881,#240882, #240883,#240884,#240885,#240886,#240887,#240888,#240889,#240890,#240891, #240892,#240893,#240894,#240895,#240896,#240897,#240898,#240899,#240900, #240901,#240902,#240903,#240904,#240905,#240906,#240907,#240908,#240909, #240910,#240911,#240912,#240913,#240914,#240915,#240916,#240917,#240918, #240919,#240920,#240921,#240922,#240923,#240924,#240925,#240926,#240927, #240928,#240929,#240930,#240931,#240932,#240933,#240934,#240935,#240936, #240937,#240938,#240939,#240940,#240941,#240942,#240943,#240944,#240945, #240946,#240947,#240948,#240949,#240950,#240951,#240952,#240953,#240954, #240955,#240956,#240957,#240958,#240959,#240960,#240961,#240962,#240963, #240964,#240965,#240966,#240967,#240968,#240969,#240970,#240971,#240972, #240973,#240974,#240975,#240976,#240977,#240978,#240979,#240980,#240981, #240982,#240983,#240984,#240985,#240986,#240987,#240988,#240989,#240990, #240991,#240992,#240993,#240994,#240995,#240996,#240997,#240998,#240999, #241000,#241001,#241002,#241003,#241004,#241005,#241006,#241007,#241008, #241009,#241010,#241011,#241012,#241013,#241014,#241015,#241016,#241017, #241018,#241019,#241020,#241021,#241022,#241023,#241024,#241025,#241026, #241027,#241028,#241029,#241030,#241031,#241032,#241033,#241034,#241035, #241036,#241037,#241038,#241039,#241040,#241041,#241042,#241043,#241044, #241045,#241046,#241047,#241048,#241049,#241050,#241051,#241052,#241053, #241054,#241055,#241056,#241057,#241058,#241059,#241060,#241061,#241062, #241063,#241064,#241065,#241066,#241067,#241068,#241069,#241070,#241071, #241072,#241073,#241074,#241075,#241076,#241077,#241078,#241079,#241080, #241081,#241082,#241083,#241084,#241085,#241086,#241087,#241088,#241089, #241090,#241091,#241092,#241093,#241094,#241095,#241096,#241097,#241098, #241099,#241100,#241101,#241102,#241103,#241104,#241105,#241106,#241107, #241108,#241109,#241110,#241111,#241112,#241113,#241114,#241115,#241116, #241117,#241118,#241119,#241120,#241121,#241122,#241123,#241124,#241125, #241126,#241127,#241128,#241129,#241130,#241131,#241132,#241133,#241134, #241135,#241136,#241137,#241138,#241139,#241140,#241141,#241142,#241143, #241144)); #44488=EDGE_LOOP('',(#241145)); #44489=EDGE_LOOP('',(#241146)); #44490=EDGE_LOOP('',(#241147)); #44491=EDGE_LOOP('',(#241148)); #44492=EDGE_LOOP('',(#241149)); #44493=EDGE_LOOP('',(#241150)); #44494=EDGE_LOOP('',(#241151)); #44495=EDGE_LOOP('',(#241152)); #44496=EDGE_LOOP('',(#241153)); #44497=EDGE_LOOP('',(#241154)); #44498=EDGE_LOOP('',(#241155)); #44499=EDGE_LOOP('',(#241156)); #44500=EDGE_LOOP('',(#241157)); #44501=EDGE_LOOP('',(#241158)); #44502=EDGE_LOOP('',(#241159)); #44503=EDGE_LOOP('',(#241160)); #44504=EDGE_LOOP('',(#241161)); #44505=EDGE_LOOP('',(#241162)); #44506=EDGE_LOOP('',(#241163)); #44507=EDGE_LOOP('',(#241164)); #44508=EDGE_LOOP('',(#241165)); #44509=EDGE_LOOP('',(#241166)); #44510=EDGE_LOOP('',(#241167)); #44511=EDGE_LOOP('',(#241168)); #44512=EDGE_LOOP('',(#241169)); #44513=EDGE_LOOP('',(#241170)); #44514=EDGE_LOOP('',(#241171)); #44515=EDGE_LOOP('',(#241172)); #44516=EDGE_LOOP('',(#241173)); #44517=EDGE_LOOP('',(#241174)); #44518=EDGE_LOOP('',(#241175)); #44519=EDGE_LOOP('',(#241176)); #44520=EDGE_LOOP('',(#241177)); #44521=EDGE_LOOP('',(#241178)); #44522=EDGE_LOOP('',(#241179)); #44523=EDGE_LOOP('',(#241180)); #44524=EDGE_LOOP('',(#241181)); #44525=EDGE_LOOP('',(#241182)); #44526=EDGE_LOOP('',(#241183)); #44527=EDGE_LOOP('',(#241184)); #44528=EDGE_LOOP('',(#241185)); #44529=EDGE_LOOP('',(#241186)); #44530=EDGE_LOOP('',(#241187)); #44531=EDGE_LOOP('',(#241188)); #44532=EDGE_LOOP('',(#241189)); #44533=EDGE_LOOP('',(#241190)); #44534=EDGE_LOOP('',(#241191)); #44535=EDGE_LOOP('',(#241192)); #44536=EDGE_LOOP('',(#241193)); #44537=EDGE_LOOP('',(#241194,#241195,#241196,#241197,#241198,#241199,#241200, #241201,#241202,#241203,#241204,#241205,#241206,#241207,#241208,#241209, #241210,#241211,#241212,#241213,#241214,#241215,#241216,#241217,#241218, #241219,#241220,#241221,#241222,#241223,#241224,#241225,#241226,#241227, #241228,#241229,#241230,#241231,#241232,#241233,#241234,#241235,#241236, #241237,#241238,#241239,#241240,#241241,#241242,#241243,#241244,#241245, #241246,#241247,#241248,#241249,#241250,#241251,#241252,#241253,#241254, #241255,#241256,#241257,#241258,#241259,#241260,#241261,#241262,#241263, #241264,#241265,#241266,#241267,#241268,#241269,#241270,#241271,#241272, #241273,#241274,#241275,#241276,#241277,#241278,#241279,#241280,#241281, #241282,#241283,#241284,#241285,#241286,#241287,#241288,#241289,#241290, #241291,#241292,#241293,#241294,#241295,#241296,#241297,#241298,#241299, #241300,#241301,#241302,#241303,#241304,#241305,#241306,#241307,#241308, #241309,#241310,#241311,#241312,#241313,#241314,#241315,#241316,#241317, #241318,#241319,#241320,#241321,#241322,#241323,#241324,#241325,#241326, #241327,#241328,#241329,#241330,#241331,#241332,#241333,#241334,#241335, #241336,#241337,#241338,#241339,#241340,#241341,#241342,#241343,#241344, #241345,#241346,#241347,#241348,#241349,#241350,#241351,#241352,#241353, #241354,#241355,#241356,#241357,#241358,#241359,#241360,#241361,#241362, #241363,#241364,#241365,#241366,#241367,#241368,#241369,#241370,#241371, #241372,#241373,#241374,#241375,#241376,#241377,#241378,#241379,#241380, #241381,#241382,#241383,#241384,#241385,#241386,#241387,#241388,#241389, #241390,#241391,#241392,#241393,#241394,#241395,#241396,#241397,#241398, #241399,#241400,#241401,#241402,#241403,#241404,#241405,#241406,#241407, #241408,#241409,#241410,#241411,#241412,#241413,#241414,#241415,#241416, #241417,#241418,#241419,#241420,#241421,#241422,#241423,#241424,#241425, #241426,#241427,#241428,#241429,#241430,#241431,#241432,#241433,#241434, #241435,#241436,#241437,#241438,#241439,#241440,#241441,#241442,#241443, #241444,#241445,#241446,#241447,#241448,#241449,#241450,#241451,#241452, #241453,#241454,#241455,#241456,#241457,#241458,#241459,#241460,#241461, #241462,#241463,#241464,#241465,#241466,#241467,#241468,#241469,#241470, #241471,#241472,#241473,#241474,#241475,#241476,#241477,#241478,#241479, #241480,#241481,#241482,#241483,#241484,#241485,#241486,#241487,#241488, #241489)); #44538=EDGE_LOOP('',(#241490)); #44539=EDGE_LOOP('',(#241491)); #44540=EDGE_LOOP('',(#241492)); #44541=EDGE_LOOP('',(#241493)); #44542=EDGE_LOOP('',(#241494)); #44543=EDGE_LOOP('',(#241495)); #44544=EDGE_LOOP('',(#241496)); #44545=EDGE_LOOP('',(#241497)); #44546=EDGE_LOOP('',(#241498)); #44547=EDGE_LOOP('',(#241499)); #44548=EDGE_LOOP('',(#241500)); #44549=EDGE_LOOP('',(#241501)); #44550=EDGE_LOOP('',(#241502)); #44551=EDGE_LOOP('',(#241503)); #44552=EDGE_LOOP('',(#241504)); #44553=EDGE_LOOP('',(#241505)); #44554=EDGE_LOOP('',(#241506)); #44555=EDGE_LOOP('',(#241507)); #44556=EDGE_LOOP('',(#241508)); #44557=EDGE_LOOP('',(#241509)); #44558=EDGE_LOOP('',(#241510)); #44559=EDGE_LOOP('',(#241511)); #44560=EDGE_LOOP('',(#241512)); #44561=EDGE_LOOP('',(#241513)); #44562=EDGE_LOOP('',(#241514)); #44563=EDGE_LOOP('',(#241515)); #44564=EDGE_LOOP('',(#241516)); #44565=EDGE_LOOP('',(#241517)); #44566=EDGE_LOOP('',(#241518)); #44567=EDGE_LOOP('',(#241519)); #44568=EDGE_LOOP('',(#241520)); #44569=EDGE_LOOP('',(#241521)); #44570=EDGE_LOOP('',(#241522)); #44571=EDGE_LOOP('',(#241523)); #44572=EDGE_LOOP('',(#241524)); #44573=EDGE_LOOP('',(#241525)); #44574=EDGE_LOOP('',(#241526)); #44575=EDGE_LOOP('',(#241527)); #44576=EDGE_LOOP('',(#241528)); #44577=EDGE_LOOP('',(#241529)); #44578=EDGE_LOOP('',(#241530)); #44579=EDGE_LOOP('',(#241531)); #44580=EDGE_LOOP('',(#241532)); #44581=EDGE_LOOP('',(#241533)); #44582=EDGE_LOOP('',(#241534)); #44583=EDGE_LOOP('',(#241535)); #44584=EDGE_LOOP('',(#241536)); #44585=EDGE_LOOP('',(#241537)); #44586=EDGE_LOOP('',(#241538)); #44587=EDGE_LOOP('',(#241539,#241540,#241541,#241542)); #44588=EDGE_LOOP('',(#241543,#241544,#241545,#241546)); #44589=EDGE_LOOP('',(#241547,#241548,#241549,#241550)); #44590=EDGE_LOOP('',(#241551,#241552,#241553,#241554)); #44591=EDGE_LOOP('',(#241555,#241556,#241557,#241558)); #44592=EDGE_LOOP('',(#241559,#241560,#241561,#241562)); #44593=EDGE_LOOP('',(#241563,#241564,#241565,#241566)); #44594=EDGE_LOOP('',(#241567,#241568,#241569,#241570)); #44595=EDGE_LOOP('',(#241571,#241572,#241573,#241574)); #44596=EDGE_LOOP('',(#241575,#241576,#241577,#241578)); #44597=EDGE_LOOP('',(#241579,#241580,#241581,#241582)); #44598=EDGE_LOOP('',(#241583,#241584,#241585,#241586)); #44599=EDGE_LOOP('',(#241587,#241588,#241589,#241590)); #44600=EDGE_LOOP('',(#241591,#241592,#241593,#241594)); #44601=EDGE_LOOP('',(#241595,#241596,#241597,#241598)); #44602=EDGE_LOOP('',(#241599,#241600,#241601,#241602)); #44603=EDGE_LOOP('',(#241603,#241604,#241605,#241606)); #44604=EDGE_LOOP('',(#241607,#241608,#241609,#241610)); #44605=EDGE_LOOP('',(#241611,#241612,#241613,#241614)); #44606=EDGE_LOOP('',(#241615,#241616,#241617,#241618)); #44607=EDGE_LOOP('',(#241619,#241620,#241621,#241622)); #44608=EDGE_LOOP('',(#241623,#241624,#241625,#241626,#241627,#241628,#241629, #241630,#241631,#241632,#241633,#241634,#241635,#241636,#241637,#241638, #241639,#241640,#241641,#241642,#241643)); #44609=EDGE_LOOP('',(#241644,#241645,#241646,#241647,#241648,#241649,#241650, #241651,#241652,#241653,#241654,#241655,#241656,#241657,#241658,#241659, #241660,#241661,#241662,#241663,#241664)); #44610=EDGE_LOOP('',(#241665,#241666,#241667,#241668)); #44611=EDGE_LOOP('',(#241669,#241670,#241671,#241672)); #44612=EDGE_LOOP('',(#241673,#241674,#241675,#241676)); #44613=EDGE_LOOP('',(#241677,#241678,#241679,#241680)); #44614=EDGE_LOOP('',(#241681,#241682,#241683,#241684)); #44615=EDGE_LOOP('',(#241685,#241686,#241687,#241688)); #44616=EDGE_LOOP('',(#241689,#241690,#241691,#241692)); #44617=EDGE_LOOP('',(#241693,#241694,#241695,#241696)); #44618=EDGE_LOOP('',(#241697,#241698,#241699,#241700)); #44619=EDGE_LOOP('',(#241701,#241702,#241703,#241704)); #44620=EDGE_LOOP('',(#241705,#241706,#241707,#241708)); #44621=EDGE_LOOP('',(#241709,#241710,#241711,#241712)); #44622=EDGE_LOOP('',(#241713,#241714,#241715,#241716)); #44623=EDGE_LOOP('',(#241717,#241718,#241719,#241720)); #44624=EDGE_LOOP('',(#241721,#241722,#241723,#241724)); #44625=EDGE_LOOP('',(#241725,#241726,#241727,#241728)); #44626=EDGE_LOOP('',(#241729,#241730,#241731,#241732)); #44627=EDGE_LOOP('',(#241733,#241734,#241735,#241736)); #44628=EDGE_LOOP('',(#241737,#241738,#241739,#241740)); #44629=EDGE_LOOP('',(#241741,#241742,#241743,#241744)); #44630=EDGE_LOOP('',(#241745,#241746,#241747,#241748)); #44631=EDGE_LOOP('',(#241749,#241750,#241751,#241752,#241753,#241754,#241755, #241756,#241757,#241758,#241759,#241760,#241761,#241762,#241763,#241764, #241765,#241766,#241767,#241768,#241769)); #44632=EDGE_LOOP('',(#241770,#241771,#241772,#241773,#241774,#241775,#241776, #241777,#241778,#241779,#241780,#241781,#241782,#241783,#241784,#241785, #241786,#241787,#241788,#241789,#241790)); #44633=EDGE_LOOP('',(#241791,#241792,#241793,#241794)); #44634=EDGE_LOOP('',(#241795,#241796,#241797,#241798)); #44635=EDGE_LOOP('',(#241799,#241800,#241801,#241802)); #44636=EDGE_LOOP('',(#241803,#241804,#241805,#241806)); #44637=EDGE_LOOP('',(#241807,#241808,#241809,#241810)); #44638=EDGE_LOOP('',(#241811,#241812,#241813,#241814)); #44639=EDGE_LOOP('',(#241815,#241816,#241817,#241818)); #44640=EDGE_LOOP('',(#241819,#241820,#241821,#241822)); #44641=EDGE_LOOP('',(#241823,#241824,#241825,#241826)); #44642=EDGE_LOOP('',(#241827,#241828,#241829,#241830)); #44643=EDGE_LOOP('',(#241831,#241832,#241833,#241834)); #44644=EDGE_LOOP('',(#241835,#241836,#241837,#241838)); #44645=EDGE_LOOP('',(#241839,#241840,#241841,#241842)); #44646=EDGE_LOOP('',(#241843,#241844,#241845,#241846)); #44647=EDGE_LOOP('',(#241847,#241848,#241849,#241850)); #44648=EDGE_LOOP('',(#241851,#241852,#241853,#241854)); #44649=EDGE_LOOP('',(#241855,#241856,#241857,#241858)); #44650=EDGE_LOOP('',(#241859,#241860,#241861,#241862)); #44651=EDGE_LOOP('',(#241863,#241864,#241865,#241866)); #44652=EDGE_LOOP('',(#241867,#241868,#241869,#241870,#241871,#241872,#241873, #241874,#241875,#241876,#241877,#241878,#241879,#241880,#241881,#241882, #241883,#241884,#241885)); #44653=EDGE_LOOP('',(#241886,#241887,#241888,#241889,#241890,#241891,#241892, #241893,#241894,#241895,#241896,#241897,#241898,#241899,#241900,#241901, #241902,#241903,#241904)); #44654=EDGE_LOOP('',(#241905,#241906,#241907,#241908)); #44655=EDGE_LOOP('',(#241909,#241910,#241911,#241912)); #44656=EDGE_LOOP('',(#241913,#241914,#241915,#241916)); #44657=EDGE_LOOP('',(#241917,#241918,#241919,#241920)); #44658=EDGE_LOOP('',(#241921,#241922,#241923,#241924)); #44659=EDGE_LOOP('',(#241925,#241926,#241927,#241928)); #44660=EDGE_LOOP('',(#241929,#241930,#241931,#241932)); #44661=EDGE_LOOP('',(#241933,#241934,#241935,#241936)); #44662=EDGE_LOOP('',(#241937,#241938,#241939,#241940)); #44663=EDGE_LOOP('',(#241941,#241942,#241943,#241944)); #44664=EDGE_LOOP('',(#241945,#241946,#241947,#241948)); #44665=EDGE_LOOP('',(#241949,#241950,#241951,#241952)); #44666=EDGE_LOOP('',(#241953,#241954,#241955,#241956)); #44667=EDGE_LOOP('',(#241957,#241958,#241959,#241960)); #44668=EDGE_LOOP('',(#241961,#241962,#241963,#241964)); #44669=EDGE_LOOP('',(#241965,#241966,#241967,#241968)); #44670=EDGE_LOOP('',(#241969,#241970,#241971,#241972)); #44671=EDGE_LOOP('',(#241973,#241974,#241975,#241976)); #44672=EDGE_LOOP('',(#241977,#241978,#241979,#241980)); #44673=EDGE_LOOP('',(#241981,#241982,#241983,#241984,#241985,#241986,#241987, #241988,#241989,#241990,#241991,#241992,#241993,#241994,#241995,#241996, #241997,#241998,#241999)); #44674=EDGE_LOOP('',(#242000,#242001,#242002,#242003,#242004,#242005,#242006, #242007,#242008,#242009,#242010,#242011,#242012,#242013,#242014,#242015, #242016,#242017,#242018)); #44675=EDGE_LOOP('',(#242019,#242020,#242021,#242022)); #44676=EDGE_LOOP('',(#242023,#242024,#242025,#242026)); #44677=EDGE_LOOP('',(#242027,#242028,#242029,#242030)); #44678=EDGE_LOOP('',(#242031,#242032,#242033,#242034)); #44679=EDGE_LOOP('',(#242035,#242036,#242037,#242038)); #44680=EDGE_LOOP('',(#242039,#242040,#242041,#242042)); #44681=EDGE_LOOP('',(#242043,#242044,#242045,#242046)); #44682=EDGE_LOOP('',(#242047,#242048,#242049,#242050)); #44683=EDGE_LOOP('',(#242051,#242052,#242053,#242054)); #44684=EDGE_LOOP('',(#242055,#242056,#242057,#242058)); #44685=EDGE_LOOP('',(#242059,#242060,#242061,#242062)); #44686=EDGE_LOOP('',(#242063,#242064,#242065,#242066)); #44687=EDGE_LOOP('',(#242067,#242068,#242069,#242070)); #44688=EDGE_LOOP('',(#242071,#242072,#242073,#242074)); #44689=EDGE_LOOP('',(#242075,#242076,#242077,#242078)); #44690=EDGE_LOOP('',(#242079,#242080,#242081,#242082)); #44691=EDGE_LOOP('',(#242083,#242084,#242085,#242086)); #44692=EDGE_LOOP('',(#242087,#242088,#242089,#242090)); #44693=EDGE_LOOP('',(#242091,#242092,#242093,#242094)); #44694=EDGE_LOOP('',(#242095,#242096,#242097,#242098,#242099,#242100,#242101, #242102,#242103,#242104,#242105,#242106,#242107,#242108,#242109,#242110, #242111,#242112,#242113)); #44695=EDGE_LOOP('',(#242114,#242115,#242116,#242117,#242118,#242119,#242120, #242121,#242122,#242123,#242124,#242125,#242126,#242127,#242128,#242129, #242130,#242131,#242132)); #44696=EDGE_LOOP('',(#242133,#242134,#242135,#242136)); #44697=EDGE_LOOP('',(#242137,#242138,#242139,#242140)); #44698=EDGE_LOOP('',(#242141,#242142,#242143,#242144)); #44699=EDGE_LOOP('',(#242145,#242146,#242147,#242148)); #44700=EDGE_LOOP('',(#242149,#242150,#242151,#242152)); #44701=EDGE_LOOP('',(#242153,#242154,#242155,#242156)); #44702=EDGE_LOOP('',(#242157,#242158,#242159,#242160)); #44703=EDGE_LOOP('',(#242161,#242162,#242163,#242164)); #44704=EDGE_LOOP('',(#242165,#242166,#242167,#242168)); #44705=EDGE_LOOP('',(#242169,#242170,#242171,#242172)); #44706=EDGE_LOOP('',(#242173,#242174,#242175,#242176)); #44707=EDGE_LOOP('',(#242177,#242178,#242179,#242180)); #44708=EDGE_LOOP('',(#242181,#242182,#242183,#242184)); #44709=EDGE_LOOP('',(#242185,#242186,#242187,#242188)); #44710=EDGE_LOOP('',(#242189,#242190,#242191,#242192)); #44711=EDGE_LOOP('',(#242193,#242194,#242195,#242196)); #44712=EDGE_LOOP('',(#242197,#242198,#242199,#242200)); #44713=EDGE_LOOP('',(#242201,#242202,#242203,#242204)); #44714=EDGE_LOOP('',(#242205,#242206,#242207,#242208)); #44715=EDGE_LOOP('',(#242209,#242210,#242211,#242212)); #44716=EDGE_LOOP('',(#242213,#242214,#242215,#242216)); #44717=EDGE_LOOP('',(#242217,#242218,#242219,#242220)); #44718=EDGE_LOOP('',(#242221,#242222,#242223,#242224)); #44719=EDGE_LOOP('',(#242225,#242226,#242227,#242228)); #44720=EDGE_LOOP('',(#242229,#242230,#242231,#242232)); #44721=EDGE_LOOP('',(#242233,#242234,#242235,#242236)); #44722=EDGE_LOOP('',(#242237,#242238,#242239,#242240)); #44723=EDGE_LOOP('',(#242241,#242242,#242243,#242244)); #44724=EDGE_LOOP('',(#242245,#242246,#242247,#242248)); #44725=EDGE_LOOP('',(#242249,#242250,#242251,#242252)); #44726=EDGE_LOOP('',(#242253,#242254,#242255,#242256)); #44727=EDGE_LOOP('',(#242257,#242258,#242259,#242260)); #44728=EDGE_LOOP('',(#242261,#242262,#242263,#242264)); #44729=EDGE_LOOP('',(#242265,#242266,#242267,#242268)); #44730=EDGE_LOOP('',(#242269,#242270,#242271,#242272)); #44731=EDGE_LOOP('',(#242273,#242274,#242275,#242276)); #44732=EDGE_LOOP('',(#242277,#242278,#242279,#242280)); #44733=EDGE_LOOP('',(#242281,#242282,#242283,#242284,#242285,#242286,#242287, #242288,#242289,#242290,#242291,#242292,#242293,#242294,#242295,#242296, #242297,#242298,#242299,#242300,#242301,#242302,#242303,#242304,#242305, #242306,#242307,#242308,#242309,#242310,#242311,#242312,#242313,#242314, #242315,#242316,#242317)); #44734=EDGE_LOOP('',(#242318,#242319,#242320,#242321,#242322,#242323,#242324, #242325,#242326,#242327,#242328,#242329,#242330,#242331,#242332,#242333, #242334,#242335,#242336,#242337,#242338,#242339,#242340,#242341,#242342, #242343,#242344,#242345,#242346,#242347,#242348,#242349,#242350,#242351, #242352,#242353,#242354)); #44735=EDGE_LOOP('',(#242355,#242356,#242357,#242358)); #44736=EDGE_LOOP('',(#242359,#242360,#242361,#242362)); #44737=EDGE_LOOP('',(#242363,#242364,#242365,#242366)); #44738=EDGE_LOOP('',(#242367,#242368,#242369,#242370)); #44739=EDGE_LOOP('',(#242371,#242372,#242373,#242374)); #44740=EDGE_LOOP('',(#242375,#242376,#242377,#242378)); #44741=EDGE_LOOP('',(#242379,#242380,#242381,#242382)); #44742=EDGE_LOOP('',(#242383,#242384,#242385,#242386)); #44743=EDGE_LOOP('',(#242387,#242388,#242389,#242390)); #44744=EDGE_LOOP('',(#242391,#242392,#242393,#242394)); #44745=EDGE_LOOP('',(#242395,#242396,#242397,#242398)); #44746=EDGE_LOOP('',(#242399,#242400,#242401,#242402)); #44747=EDGE_LOOP('',(#242403,#242404,#242405,#242406)); #44748=EDGE_LOOP('',(#242407,#242408,#242409,#242410)); #44749=EDGE_LOOP('',(#242411,#242412,#242413,#242414)); #44750=EDGE_LOOP('',(#242415,#242416,#242417,#242418)); #44751=EDGE_LOOP('',(#242419,#242420,#242421,#242422)); #44752=EDGE_LOOP('',(#242423,#242424,#242425,#242426)); #44753=EDGE_LOOP('',(#242427,#242428,#242429,#242430)); #44754=EDGE_LOOP('',(#242431,#242432,#242433,#242434)); #44755=EDGE_LOOP('',(#242435,#242436,#242437,#242438,#242439,#242440,#242441, #242442,#242443,#242444,#242445,#242446,#242447,#242448,#242449,#242450, #242451,#242452,#242453,#242454)); #44756=EDGE_LOOP('',(#242455,#242456,#242457,#242458,#242459,#242460,#242461, #242462,#242463,#242464,#242465,#242466,#242467,#242468,#242469,#242470, #242471,#242472,#242473,#242474)); #44757=EDGE_LOOP('',(#242475,#242476,#242477,#242478)); #44758=EDGE_LOOP('',(#242479,#242480,#242481,#242482)); #44759=EDGE_LOOP('',(#242483,#242484,#242485,#242486)); #44760=EDGE_LOOP('',(#242487,#242488,#242489,#242490)); #44761=EDGE_LOOP('',(#242491,#242492,#242493,#242494)); #44762=EDGE_LOOP('',(#242495,#242496,#242497,#242498)); #44763=EDGE_LOOP('',(#242499,#242500,#242501,#242502)); #44764=EDGE_LOOP('',(#242503,#242504,#242505,#242506)); #44765=EDGE_LOOP('',(#242507,#242508,#242509,#242510)); #44766=EDGE_LOOP('',(#242511,#242512,#242513,#242514)); #44767=EDGE_LOOP('',(#242515,#242516,#242517,#242518)); #44768=EDGE_LOOP('',(#242519,#242520,#242521,#242522)); #44769=EDGE_LOOP('',(#242523,#242524,#242525,#242526)); #44770=EDGE_LOOP('',(#242527,#242528,#242529,#242530)); #44771=EDGE_LOOP('',(#242531,#242532,#242533,#242534)); #44772=EDGE_LOOP('',(#242535,#242536,#242537,#242538)); #44773=EDGE_LOOP('',(#242539,#242540,#242541,#242542)); #44774=EDGE_LOOP('',(#242543,#242544,#242545,#242546)); #44775=EDGE_LOOP('',(#242547,#242548,#242549,#242550)); #44776=EDGE_LOOP('',(#242551,#242552,#242553,#242554)); #44777=EDGE_LOOP('',(#242555,#242556,#242557,#242558)); #44778=EDGE_LOOP('',(#242559,#242560,#242561,#242562)); #44779=EDGE_LOOP('',(#242563,#242564,#242565,#242566)); #44780=EDGE_LOOP('',(#242567,#242568,#242569,#242570)); #44781=EDGE_LOOP('',(#242571,#242572,#242573,#242574)); #44782=EDGE_LOOP('',(#242575,#242576,#242577,#242578)); #44783=EDGE_LOOP('',(#242579,#242580,#242581,#242582)); #44784=EDGE_LOOP('',(#242583,#242584,#242585,#242586)); #44785=EDGE_LOOP('',(#242587,#242588,#242589,#242590)); #44786=EDGE_LOOP('',(#242591,#242592,#242593,#242594)); #44787=EDGE_LOOP('',(#242595,#242596,#242597,#242598)); #44788=EDGE_LOOP('',(#242599,#242600,#242601,#242602)); #44789=EDGE_LOOP('',(#242603,#242604,#242605,#242606)); #44790=EDGE_LOOP('',(#242607,#242608,#242609,#242610)); #44791=EDGE_LOOP('',(#242611,#242612,#242613,#242614)); #44792=EDGE_LOOP('',(#242615,#242616,#242617,#242618)); #44793=EDGE_LOOP('',(#242619,#242620,#242621,#242622)); #44794=EDGE_LOOP('',(#242623,#242624,#242625,#242626)); #44795=EDGE_LOOP('',(#242627,#242628,#242629,#242630)); #44796=EDGE_LOOP('',(#242631,#242632,#242633,#242634)); #44797=EDGE_LOOP('',(#242635,#242636,#242637,#242638)); #44798=EDGE_LOOP('',(#242639,#242640,#242641,#242642)); #44799=EDGE_LOOP('',(#242643,#242644,#242645,#242646)); #44800=EDGE_LOOP('',(#242647,#242648,#242649,#242650)); #44801=EDGE_LOOP('',(#242651,#242652,#242653,#242654)); #44802=EDGE_LOOP('',(#242655,#242656,#242657,#242658)); #44803=EDGE_LOOP('',(#242659,#242660,#242661,#242662)); #44804=EDGE_LOOP('',(#242663,#242664,#242665,#242666)); #44805=EDGE_LOOP('',(#242667,#242668,#242669,#242670,#242671,#242672,#242673, #242674,#242675,#242676,#242677,#242678,#242679,#242680,#242681,#242682, #242683,#242684,#242685,#242686,#242687,#242688,#242689,#242690,#242691, #242692,#242693,#242694,#242695,#242696,#242697,#242698,#242699,#242700, #242701,#242702,#242703,#242704,#242705,#242706,#242707,#242708,#242709, #242710,#242711,#242712,#242713,#242714)); #44806=EDGE_LOOP('',(#242715,#242716,#242717,#242718,#242719,#242720,#242721, #242722,#242723,#242724,#242725,#242726,#242727,#242728,#242729,#242730, #242731,#242732,#242733,#242734,#242735,#242736,#242737,#242738,#242739, #242740,#242741,#242742,#242743,#242744,#242745,#242746,#242747,#242748, #242749,#242750,#242751,#242752,#242753,#242754,#242755,#242756,#242757, #242758,#242759,#242760,#242761,#242762)); #44807=EDGE_LOOP('',(#242763,#242764,#242765,#242766)); #44808=EDGE_LOOP('',(#242767,#242768,#242769,#242770)); #44809=EDGE_LOOP('',(#242771,#242772,#242773,#242774)); #44810=EDGE_LOOP('',(#242775,#242776,#242777,#242778)); #44811=EDGE_LOOP('',(#242779,#242780,#242781,#242782)); #44812=EDGE_LOOP('',(#242783,#242784,#242785,#242786)); #44813=EDGE_LOOP('',(#242787,#242788,#242789,#242790)); #44814=EDGE_LOOP('',(#242791,#242792,#242793,#242794)); #44815=EDGE_LOOP('',(#242795,#242796,#242797,#242798)); #44816=EDGE_LOOP('',(#242799,#242800,#242801,#242802)); #44817=EDGE_LOOP('',(#242803,#242804,#242805,#242806)); #44818=EDGE_LOOP('',(#242807,#242808,#242809,#242810)); #44819=EDGE_LOOP('',(#242811,#242812,#242813,#242814)); #44820=EDGE_LOOP('',(#242815,#242816,#242817,#242818)); #44821=EDGE_LOOP('',(#242819,#242820,#242821,#242822)); #44822=EDGE_LOOP('',(#242823,#242824,#242825,#242826)); #44823=EDGE_LOOP('',(#242827,#242828,#242829,#242830)); #44824=EDGE_LOOP('',(#242831,#242832,#242833,#242834)); #44825=EDGE_LOOP('',(#242835,#242836,#242837,#242838)); #44826=EDGE_LOOP('',(#242839,#242840,#242841,#242842)); #44827=EDGE_LOOP('',(#242843,#242844,#242845,#242846,#242847,#242848,#242849, #242850,#242851,#242852,#242853,#242854,#242855,#242856,#242857,#242858, #242859,#242860,#242861,#242862)); #44828=EDGE_LOOP('',(#242863,#242864,#242865,#242866,#242867,#242868,#242869, #242870,#242871,#242872,#242873,#242874,#242875,#242876,#242877,#242878, #242879,#242880,#242881,#242882)); #44829=EDGE_LOOP('',(#242883,#242884,#242885,#242886)); #44830=EDGE_LOOP('',(#242887,#242888,#242889,#242890)); #44831=EDGE_LOOP('',(#242891,#242892,#242893,#242894)); #44832=EDGE_LOOP('',(#242895,#242896,#242897,#242898)); #44833=EDGE_LOOP('',(#242899,#242900,#242901,#242902)); #44834=EDGE_LOOP('',(#242903,#242904,#242905,#242906)); #44835=EDGE_LOOP('',(#242907,#242908,#242909,#242910)); #44836=EDGE_LOOP('',(#242911,#242912,#242913,#242914)); #44837=EDGE_LOOP('',(#242915,#242916,#242917,#242918)); #44838=EDGE_LOOP('',(#242919,#242920,#242921,#242922)); #44839=EDGE_LOOP('',(#242923,#242924,#242925,#242926)); #44840=EDGE_LOOP('',(#242927,#242928,#242929,#242930)); #44841=EDGE_LOOP('',(#242931,#242932,#242933,#242934)); #44842=EDGE_LOOP('',(#242935,#242936,#242937,#242938)); #44843=EDGE_LOOP('',(#242939,#242940,#242941,#242942)); #44844=EDGE_LOOP('',(#242943,#242944,#242945,#242946)); #44845=EDGE_LOOP('',(#242947,#242948,#242949,#242950)); #44846=EDGE_LOOP('',(#242951,#242952,#242953,#242954)); #44847=EDGE_LOOP('',(#242955,#242956,#242957,#242958)); #44848=EDGE_LOOP('',(#242959,#242960,#242961,#242962)); #44849=EDGE_LOOP('',(#242963,#242964,#242965,#242966)); #44850=EDGE_LOOP('',(#242967,#242968,#242969,#242970)); #44851=EDGE_LOOP('',(#242971,#242972,#242973,#242974)); #44852=EDGE_LOOP('',(#242975,#242976,#242977,#242978)); #44853=EDGE_LOOP('',(#242979,#242980,#242981,#242982)); #44854=EDGE_LOOP('',(#242983,#242984,#242985,#242986)); #44855=EDGE_LOOP('',(#242987,#242988,#242989,#242990)); #44856=EDGE_LOOP('',(#242991,#242992,#242993,#242994)); #44857=EDGE_LOOP('',(#242995,#242996,#242997,#242998)); #44858=EDGE_LOOP('',(#242999,#243000,#243001,#243002)); #44859=EDGE_LOOP('',(#243003,#243004,#243005,#243006)); #44860=EDGE_LOOP('',(#243007,#243008,#243009,#243010,#243011,#243012,#243013, #243014,#243015,#243016,#243017,#243018,#243019,#243020,#243021,#243022, #243023,#243024,#243025,#243026,#243027,#243028,#243029,#243030,#243031, #243032,#243033,#243034,#243035,#243036,#243037)); #44861=EDGE_LOOP('',(#243038,#243039,#243040,#243041,#243042,#243043,#243044, #243045,#243046,#243047,#243048,#243049,#243050,#243051,#243052,#243053, #243054,#243055,#243056,#243057,#243058,#243059,#243060,#243061,#243062, #243063,#243064,#243065,#243066,#243067,#243068)); #44862=EDGE_LOOP('',(#243069,#243070,#243071,#243072)); #44863=EDGE_LOOP('',(#243073,#243074,#243075,#243076)); #44864=EDGE_LOOP('',(#243077,#243078,#243079,#243080)); #44865=EDGE_LOOP('',(#243081,#243082,#243083,#243084)); #44866=EDGE_LOOP('',(#243085,#243086,#243087,#243088)); #44867=EDGE_LOOP('',(#243089,#243090,#243091,#243092)); #44868=EDGE_LOOP('',(#243093,#243094,#243095,#243096)); #44869=EDGE_LOOP('',(#243097,#243098,#243099,#243100)); #44870=EDGE_LOOP('',(#243101,#243102,#243103,#243104)); #44871=EDGE_LOOP('',(#243105,#243106,#243107,#243108)); #44872=EDGE_LOOP('',(#243109,#243110,#243111,#243112)); #44873=EDGE_LOOP('',(#243113,#243114,#243115,#243116)); #44874=EDGE_LOOP('',(#243117,#243118,#243119,#243120)); #44875=EDGE_LOOP('',(#243121,#243122,#243123,#243124)); #44876=EDGE_LOOP('',(#243125,#243126,#243127,#243128)); #44877=EDGE_LOOP('',(#243129,#243130,#243131,#243132)); #44878=EDGE_LOOP('',(#243133,#243134,#243135,#243136)); #44879=EDGE_LOOP('',(#243137,#243138,#243139,#243140)); #44880=EDGE_LOOP('',(#243141,#243142,#243143,#243144)); #44881=EDGE_LOOP('',(#243145,#243146,#243147,#243148)); #44882=EDGE_LOOP('',(#243149,#243150,#243151,#243152,#243153,#243154,#243155, #243156,#243157,#243158,#243159,#243160,#243161,#243162,#243163,#243164, #243165,#243166,#243167,#243168)); #44883=EDGE_LOOP('',(#243169,#243170,#243171,#243172,#243173,#243174,#243175, #243176,#243177,#243178,#243179,#243180,#243181,#243182,#243183,#243184, #243185,#243186,#243187,#243188)); #44884=EDGE_LOOP('',(#243189,#243190,#243191,#243192)); #44885=EDGE_LOOP('',(#243193,#243194,#243195,#243196)); #44886=EDGE_LOOP('',(#243197,#243198,#243199,#243200)); #44887=EDGE_LOOP('',(#243201,#243202,#243203,#243204)); #44888=EDGE_LOOP('',(#243205,#243206,#243207,#243208)); #44889=EDGE_LOOP('',(#243209,#243210,#243211,#243212)); #44890=EDGE_LOOP('',(#243213,#243214,#243215,#243216)); #44891=EDGE_LOOP('',(#243217,#243218,#243219,#243220)); #44892=EDGE_LOOP('',(#243221,#243222,#243223,#243224)); #44893=EDGE_LOOP('',(#243225,#243226,#243227,#243228)); #44894=EDGE_LOOP('',(#243229,#243230,#243231,#243232)); #44895=EDGE_LOOP('',(#243233,#243234,#243235,#243236)); #44896=EDGE_LOOP('',(#243237,#243238,#243239,#243240)); #44897=EDGE_LOOP('',(#243241,#243242,#243243,#243244)); #44898=EDGE_LOOP('',(#243245,#243246,#243247,#243248)); #44899=EDGE_LOOP('',(#243249,#243250,#243251,#243252)); #44900=EDGE_LOOP('',(#243253,#243254,#243255,#243256)); #44901=EDGE_LOOP('',(#243257,#243258,#243259,#243260)); #44902=EDGE_LOOP('',(#243261,#243262,#243263,#243264)); #44903=EDGE_LOOP('',(#243265,#243266,#243267,#243268)); #44904=EDGE_LOOP('',(#243269,#243270,#243271,#243272)); #44905=EDGE_LOOP('',(#243273,#243274,#243275,#243276)); #44906=EDGE_LOOP('',(#243277,#243278,#243279,#243280)); #44907=EDGE_LOOP('',(#243281,#243282,#243283,#243284)); #44908=EDGE_LOOP('',(#243285,#243286,#243287,#243288)); #44909=EDGE_LOOP('',(#243289,#243290,#243291,#243292)); #44910=EDGE_LOOP('',(#243293,#243294,#243295,#243296)); #44911=EDGE_LOOP('',(#243297,#243298,#243299,#243300)); #44912=EDGE_LOOP('',(#243301,#243302,#243303,#243304)); #44913=EDGE_LOOP('',(#243305,#243306,#243307,#243308)); #44914=EDGE_LOOP('',(#243309,#243310,#243311,#243312)); #44915=EDGE_LOOP('',(#243313,#243314,#243315,#243316,#243317,#243318,#243319, #243320,#243321,#243322,#243323,#243324,#243325,#243326,#243327,#243328, #243329,#243330,#243331,#243332,#243333,#243334,#243335,#243336,#243337, #243338,#243339,#243340,#243341,#243342,#243343)); #44916=EDGE_LOOP('',(#243344,#243345,#243346,#243347,#243348,#243349,#243350, #243351,#243352,#243353,#243354,#243355,#243356,#243357,#243358,#243359, #243360,#243361,#243362,#243363,#243364,#243365,#243366,#243367,#243368, #243369,#243370,#243371,#243372,#243373,#243374)); #44917=EDGE_LOOP('',(#243375,#243376,#243377,#243378)); #44918=EDGE_LOOP('',(#243379,#243380,#243381,#243382)); #44919=EDGE_LOOP('',(#243383,#243384,#243385,#243386)); #44920=EDGE_LOOP('',(#243387,#243388,#243389,#243390)); #44921=EDGE_LOOP('',(#243391,#243392,#243393,#243394)); #44922=EDGE_LOOP('',(#243395,#243396,#243397,#243398)); #44923=EDGE_LOOP('',(#243399,#243400,#243401,#243402)); #44924=EDGE_LOOP('',(#243403,#243404,#243405,#243406)); #44925=EDGE_LOOP('',(#243407,#243408,#243409,#243410)); #44926=EDGE_LOOP('',(#243411,#243412,#243413,#243414)); #44927=EDGE_LOOP('',(#243415,#243416,#243417,#243418)); #44928=EDGE_LOOP('',(#243419,#243420,#243421,#243422)); #44929=EDGE_LOOP('',(#243423,#243424,#243425,#243426)); #44930=EDGE_LOOP('',(#243427,#243428,#243429,#243430)); #44931=EDGE_LOOP('',(#243431,#243432,#243433,#243434)); #44932=EDGE_LOOP('',(#243435,#243436,#243437,#243438)); #44933=EDGE_LOOP('',(#243439,#243440,#243441,#243442)); #44934=EDGE_LOOP('',(#243443,#243444,#243445,#243446)); #44935=EDGE_LOOP('',(#243447,#243448,#243449,#243450)); #44936=EDGE_LOOP('',(#243451,#243452,#243453,#243454)); #44937=EDGE_LOOP('',(#243455,#243456,#243457,#243458)); #44938=EDGE_LOOP('',(#243459,#243460,#243461,#243462,#243463,#243464,#243465, #243466,#243467,#243468,#243469,#243470,#243471,#243472,#243473,#243474, #243475,#243476,#243477,#243478,#243479)); #44939=EDGE_LOOP('',(#243480,#243481,#243482,#243483,#243484,#243485,#243486, #243487,#243488,#243489,#243490,#243491,#243492,#243493,#243494,#243495, #243496,#243497,#243498,#243499,#243500)); #44940=EDGE_LOOP('',(#243501,#243502,#243503,#243504)); #44941=EDGE_LOOP('',(#243505,#243506,#243507,#243508)); #44942=EDGE_LOOP('',(#243509,#243510,#243511,#243512)); #44943=EDGE_LOOP('',(#243513,#243514,#243515,#243516)); #44944=EDGE_LOOP('',(#243517,#243518,#243519,#243520)); #44945=EDGE_LOOP('',(#243521,#243522,#243523,#243524)); #44946=EDGE_LOOP('',(#243525,#243526,#243527,#243528)); #44947=EDGE_LOOP('',(#243529,#243530,#243531,#243532)); #44948=EDGE_LOOP('',(#243533,#243534,#243535,#243536)); #44949=EDGE_LOOP('',(#243537,#243538,#243539,#243540)); #44950=EDGE_LOOP('',(#243541,#243542,#243543,#243544)); #44951=EDGE_LOOP('',(#243545,#243546,#243547,#243548)); #44952=EDGE_LOOP('',(#243549,#243550,#243551,#243552)); #44953=EDGE_LOOP('',(#243553,#243554,#243555,#243556)); #44954=EDGE_LOOP('',(#243557,#243558,#243559,#243560)); #44955=EDGE_LOOP('',(#243561,#243562,#243563,#243564)); #44956=EDGE_LOOP('',(#243565,#243566,#243567,#243568)); #44957=EDGE_LOOP('',(#243569,#243570,#243571,#243572)); #44958=EDGE_LOOP('',(#243573,#243574,#243575,#243576)); #44959=EDGE_LOOP('',(#243577,#243578,#243579,#243580)); #44960=EDGE_LOOP('',(#243581,#243582,#243583,#243584)); #44961=EDGE_LOOP('',(#243585,#243586,#243587,#243588)); #44962=EDGE_LOOP('',(#243589,#243590,#243591,#243592)); #44963=EDGE_LOOP('',(#243593,#243594,#243595,#243596)); #44964=EDGE_LOOP('',(#243597,#243598,#243599,#243600)); #44965=EDGE_LOOP('',(#243601,#243602,#243603,#243604)); #44966=EDGE_LOOP('',(#243605,#243606,#243607,#243608)); #44967=EDGE_LOOP('',(#243609,#243610,#243611,#243612)); #44968=EDGE_LOOP('',(#243613,#243614,#243615,#243616)); #44969=EDGE_LOOP('',(#243617,#243618,#243619,#243620)); #44970=EDGE_LOOP('',(#243621,#243622,#243623,#243624)); #44971=EDGE_LOOP('',(#243625,#243626,#243627,#243628)); #44972=EDGE_LOOP('',(#243629,#243630,#243631,#243632)); #44973=EDGE_LOOP('',(#243633,#243634,#243635,#243636)); #44974=EDGE_LOOP('',(#243637,#243638,#243639,#243640)); #44975=EDGE_LOOP('',(#243641,#243642,#243643,#243644)); #44976=EDGE_LOOP('',(#243645,#243646,#243647,#243648)); #44977=EDGE_LOOP('',(#243649,#243650,#243651,#243652)); #44978=EDGE_LOOP('',(#243653,#243654,#243655,#243656)); #44979=EDGE_LOOP('',(#243657,#243658,#243659,#243660)); #44980=EDGE_LOOP('',(#243661,#243662,#243663,#243664,#243665,#243666,#243667, #243668,#243669,#243670,#243671,#243672,#243673,#243674,#243675,#243676, #243677,#243678,#243679,#243680,#243681,#243682,#243683,#243684,#243685, #243686,#243687,#243688,#243689,#243690,#243691,#243692,#243693,#243694, #243695,#243696,#243697,#243698,#243699,#243700)); #44981=EDGE_LOOP('',(#243701,#243702,#243703,#243704,#243705,#243706,#243707, #243708,#243709,#243710,#243711,#243712,#243713,#243714,#243715,#243716, #243717,#243718,#243719,#243720,#243721,#243722,#243723,#243724,#243725, #243726,#243727,#243728,#243729,#243730,#243731,#243732,#243733,#243734, #243735,#243736,#243737,#243738,#243739,#243740)); #44982=EDGE_LOOP('',(#243741,#243742,#243743,#243744)); #44983=EDGE_LOOP('',(#243745,#243746,#243747,#243748)); #44984=EDGE_LOOP('',(#243749,#243750,#243751,#243752)); #44985=EDGE_LOOP('',(#243753,#243754,#243755,#243756)); #44986=EDGE_LOOP('',(#243757,#243758,#243759,#243760)); #44987=EDGE_LOOP('',(#243761,#243762,#243763,#243764)); #44988=EDGE_LOOP('',(#243765,#243766,#243767,#243768)); #44989=EDGE_LOOP('',(#243769,#243770,#243771,#243772)); #44990=EDGE_LOOP('',(#243773,#243774,#243775,#243776)); #44991=EDGE_LOOP('',(#243777,#243778,#243779,#243780)); #44992=EDGE_LOOP('',(#243781,#243782,#243783,#243784)); #44993=EDGE_LOOP('',(#243785,#243786,#243787,#243788)); #44994=EDGE_LOOP('',(#243789,#243790,#243791,#243792)); #44995=EDGE_LOOP('',(#243793,#243794,#243795,#243796)); #44996=EDGE_LOOP('',(#243797,#243798,#243799,#243800)); #44997=EDGE_LOOP('',(#243801,#243802,#243803,#243804)); #44998=EDGE_LOOP('',(#243805,#243806,#243807,#243808)); #44999=EDGE_LOOP('',(#243809,#243810,#243811,#243812)); #45000=EDGE_LOOP('',(#243813,#243814,#243815,#243816)); #45001=EDGE_LOOP('',(#243817,#243818,#243819,#243820)); #45002=EDGE_LOOP('',(#243821,#243822,#243823,#243824,#243825,#243826,#243827, #243828,#243829,#243830,#243831,#243832,#243833,#243834,#243835,#243836, #243837,#243838,#243839,#243840)); #45003=EDGE_LOOP('',(#243841,#243842,#243843,#243844,#243845,#243846,#243847, #243848,#243849,#243850,#243851,#243852,#243853,#243854,#243855,#243856, #243857,#243858,#243859,#243860)); #45004=EDGE_LOOP('',(#243861,#243862,#243863,#243864)); #45005=EDGE_LOOP('',(#243865,#243866,#243867,#243868)); #45006=EDGE_LOOP('',(#243869,#243870,#243871,#243872)); #45007=EDGE_LOOP('',(#243873,#243874,#243875,#243876)); #45008=EDGE_LOOP('',(#243877,#243878,#243879,#243880)); #45009=EDGE_LOOP('',(#243881,#243882,#243883,#243884)); #45010=EDGE_LOOP('',(#243885,#243886,#243887,#243888)); #45011=EDGE_LOOP('',(#243889,#243890,#243891,#243892)); #45012=EDGE_LOOP('',(#243893,#243894,#243895,#243896)); #45013=EDGE_LOOP('',(#243897,#243898,#243899,#243900)); #45014=EDGE_LOOP('',(#243901,#243902,#243903,#243904)); #45015=EDGE_LOOP('',(#243905,#243906,#243907,#243908)); #45016=EDGE_LOOP('',(#243909,#243910,#243911,#243912)); #45017=EDGE_LOOP('',(#243913,#243914,#243915,#243916)); #45018=EDGE_LOOP('',(#243917,#243918,#243919,#243920)); #45019=EDGE_LOOP('',(#243921,#243922,#243923,#243924)); #45020=EDGE_LOOP('',(#243925,#243926,#243927,#243928)); #45021=EDGE_LOOP('',(#243929,#243930,#243931,#243932)); #45022=EDGE_LOOP('',(#243933,#243934,#243935,#243936)); #45023=EDGE_LOOP('',(#243937,#243938,#243939,#243940)); #45024=EDGE_LOOP('',(#243941,#243942,#243943,#243944,#243945,#243946,#243947, #243948,#243949,#243950,#243951,#243952,#243953,#243954,#243955,#243956, #243957,#243958,#243959,#243960)); #45025=EDGE_LOOP('',(#243961,#243962,#243963,#243964,#243965,#243966,#243967, #243968,#243969,#243970,#243971,#243972,#243973,#243974,#243975,#243976, #243977,#243978,#243979,#243980)); #45026=EDGE_LOOP('',(#243981,#243982,#243983,#243984)); #45027=EDGE_LOOP('',(#243985,#243986,#243987,#243988)); #45028=EDGE_LOOP('',(#243989,#243990,#243991,#243992)); #45029=EDGE_LOOP('',(#243993,#243994,#243995,#243996)); #45030=EDGE_LOOP('',(#243997,#243998,#243999,#244000)); #45031=EDGE_LOOP('',(#244001,#244002,#244003,#244004)); #45032=EDGE_LOOP('',(#244005,#244006,#244007,#244008)); #45033=EDGE_LOOP('',(#244009,#244010,#244011,#244012)); #45034=EDGE_LOOP('',(#244013,#244014,#244015,#244016)); #45035=EDGE_LOOP('',(#244017,#244018,#244019,#244020)); #45036=EDGE_LOOP('',(#244021,#244022,#244023,#244024)); #45037=EDGE_LOOP('',(#244025,#244026,#244027,#244028)); #45038=EDGE_LOOP('',(#244029,#244030,#244031,#244032)); #45039=EDGE_LOOP('',(#244033,#244034,#244035,#244036)); #45040=EDGE_LOOP('',(#244037,#244038,#244039,#244040)); #45041=EDGE_LOOP('',(#244041,#244042,#244043,#244044)); #45042=EDGE_LOOP('',(#244045,#244046,#244047,#244048)); #45043=EDGE_LOOP('',(#244049,#244050,#244051,#244052)); #45044=EDGE_LOOP('',(#244053,#244054,#244055,#244056)); #45045=EDGE_LOOP('',(#244057,#244058,#244059,#244060)); #45046=EDGE_LOOP('',(#244061,#244062,#244063,#244064,#244065,#244066,#244067, #244068,#244069,#244070,#244071,#244072,#244073,#244074,#244075,#244076, #244077,#244078,#244079,#244080)); #45047=EDGE_LOOP('',(#244081,#244082,#244083,#244084,#244085,#244086,#244087, #244088,#244089,#244090,#244091,#244092,#244093,#244094,#244095,#244096, #244097,#244098,#244099,#244100)); #45048=EDGE_LOOP('',(#244101,#244102,#244103,#244104)); #45049=EDGE_LOOP('',(#244105,#244106,#244107,#244108)); #45050=EDGE_LOOP('',(#244109,#244110,#244111,#244112)); #45051=EDGE_LOOP('',(#244113,#244114,#244115,#244116)); #45052=EDGE_LOOP('',(#244117,#244118,#244119,#244120)); #45053=EDGE_LOOP('',(#244121,#244122,#244123,#244124)); #45054=EDGE_LOOP('',(#244125,#244126,#244127,#244128)); #45055=EDGE_LOOP('',(#244129,#244130,#244131,#244132)); #45056=EDGE_LOOP('',(#244133,#244134,#244135,#244136)); #45057=EDGE_LOOP('',(#244137,#244138,#244139,#244140)); #45058=EDGE_LOOP('',(#244141,#244142,#244143,#244144)); #45059=EDGE_LOOP('',(#244145,#244146,#244147,#244148)); #45060=EDGE_LOOP('',(#244149,#244150,#244151,#244152)); #45061=EDGE_LOOP('',(#244153,#244154,#244155,#244156)); #45062=EDGE_LOOP('',(#244157,#244158,#244159,#244160)); #45063=EDGE_LOOP('',(#244161,#244162,#244163,#244164)); #45064=EDGE_LOOP('',(#244165,#244166,#244167,#244168)); #45065=EDGE_LOOP('',(#244169,#244170,#244171,#244172)); #45066=EDGE_LOOP('',(#244173,#244174,#244175,#244176)); #45067=EDGE_LOOP('',(#244177,#244178,#244179,#244180)); #45068=EDGE_LOOP('',(#244181,#244182,#244183,#244184)); #45069=EDGE_LOOP('',(#244185,#244186,#244187,#244188)); #45070=EDGE_LOOP('',(#244189,#244190,#244191,#244192)); #45071=EDGE_LOOP('',(#244193,#244194,#244195,#244196)); #45072=EDGE_LOOP('',(#244197,#244198,#244199,#244200)); #45073=EDGE_LOOP('',(#244201,#244202,#244203,#244204)); #45074=EDGE_LOOP('',(#244205,#244206,#244207,#244208)); #45075=EDGE_LOOP('',(#244209,#244210,#244211,#244212)); #45076=EDGE_LOOP('',(#244213,#244214,#244215,#244216)); #45077=EDGE_LOOP('',(#244217,#244218,#244219,#244220)); #45078=EDGE_LOOP('',(#244221,#244222,#244223,#244224)); #45079=EDGE_LOOP('',(#244225,#244226,#244227,#244228)); #45080=EDGE_LOOP('',(#244229,#244230,#244231,#244232)); #45081=EDGE_LOOP('',(#244233,#244234,#244235,#244236)); #45082=EDGE_LOOP('',(#244237,#244238,#244239,#244240)); #45083=EDGE_LOOP('',(#244241,#244242,#244243,#244244)); #45084=EDGE_LOOP('',(#244245,#244246,#244247,#244248)); #45085=EDGE_LOOP('',(#244249,#244250,#244251,#244252)); #45086=EDGE_LOOP('',(#244253,#244254,#244255,#244256)); #45087=EDGE_LOOP('',(#244257,#244258,#244259,#244260)); #45088=EDGE_LOOP('',(#244261,#244262,#244263,#244264,#244265,#244266,#244267, #244268,#244269,#244270,#244271,#244272,#244273,#244274,#244275,#244276, #244277,#244278,#244279,#244280,#244281,#244282,#244283,#244284,#244285, #244286,#244287,#244288,#244289,#244290,#244291,#244292,#244293,#244294, #244295,#244296,#244297,#244298,#244299,#244300)); #45089=EDGE_LOOP('',(#244301,#244302,#244303,#244304,#244305,#244306,#244307, #244308,#244309,#244310,#244311,#244312,#244313,#244314,#244315,#244316, #244317,#244318,#244319,#244320,#244321,#244322,#244323,#244324,#244325, #244326,#244327,#244328,#244329,#244330,#244331,#244332,#244333,#244334, #244335,#244336,#244337,#244338,#244339,#244340)); #45090=EDGE_LOOP('',(#244341,#244342,#244343,#244344)); #45091=EDGE_LOOP('',(#244345,#244346,#244347,#244348)); #45092=EDGE_LOOP('',(#244349,#244350,#244351,#244352)); #45093=EDGE_LOOP('',(#244353,#244354,#244355,#244356)); #45094=EDGE_LOOP('',(#244357,#244358,#244359,#244360)); #45095=EDGE_LOOP('',(#244361,#244362,#244363,#244364)); #45096=EDGE_LOOP('',(#244365,#244366,#244367,#244368)); #45097=EDGE_LOOP('',(#244369,#244370,#244371,#244372)); #45098=EDGE_LOOP('',(#244373,#244374,#244375,#244376)); #45099=EDGE_LOOP('',(#244377,#244378,#244379,#244380)); #45100=EDGE_LOOP('',(#244381,#244382,#244383,#244384)); #45101=EDGE_LOOP('',(#244385,#244386,#244387,#244388)); #45102=EDGE_LOOP('',(#244389,#244390,#244391,#244392)); #45103=EDGE_LOOP('',(#244393,#244394,#244395,#244396)); #45104=EDGE_LOOP('',(#244397,#244398,#244399,#244400)); #45105=EDGE_LOOP('',(#244401,#244402,#244403,#244404)); #45106=EDGE_LOOP('',(#244405,#244406,#244407,#244408)); #45107=EDGE_LOOP('',(#244409,#244410,#244411,#244412)); #45108=EDGE_LOOP('',(#244413,#244414,#244415,#244416)); #45109=EDGE_LOOP('',(#244417,#244418,#244419,#244420)); #45110=EDGE_LOOP('',(#244421,#244422,#244423,#244424)); #45111=EDGE_LOOP('',(#244425,#244426,#244427,#244428)); #45112=EDGE_LOOP('',(#244429,#244430,#244431,#244432)); #45113=EDGE_LOOP('',(#244433,#244434,#244435,#244436)); #45114=EDGE_LOOP('',(#244437,#244438,#244439,#244440)); #45115=EDGE_LOOP('',(#244441,#244442,#244443,#244444)); #45116=EDGE_LOOP('',(#244445,#244446,#244447,#244448)); #45117=EDGE_LOOP('',(#244449,#244450,#244451,#244452)); #45118=EDGE_LOOP('',(#244453,#244454,#244455,#244456)); #45119=EDGE_LOOP('',(#244457,#244458,#244459,#244460)); #45120=EDGE_LOOP('',(#244461,#244462,#244463,#244464)); #45121=EDGE_LOOP('',(#244465,#244466,#244467,#244468)); #45122=EDGE_LOOP('',(#244469,#244470,#244471,#244472)); #45123=EDGE_LOOP('',(#244473,#244474,#244475,#244476)); #45124=EDGE_LOOP('',(#244477,#244478,#244479,#244480)); #45125=EDGE_LOOP('',(#244481,#244482,#244483,#244484)); #45126=EDGE_LOOP('',(#244485,#244486,#244487,#244488)); #45127=EDGE_LOOP('',(#244489,#244490,#244491,#244492)); #45128=EDGE_LOOP('',(#244493,#244494,#244495,#244496)); #45129=EDGE_LOOP('',(#244497,#244498,#244499,#244500)); #45130=EDGE_LOOP('',(#244501,#244502,#244503,#244504)); #45131=EDGE_LOOP('',(#244505,#244506,#244507,#244508)); #45132=EDGE_LOOP('',(#244509,#244510,#244511,#244512)); #45133=EDGE_LOOP('',(#244513,#244514,#244515,#244516)); #45134=EDGE_LOOP('',(#244517,#244518,#244519,#244520)); #45135=EDGE_LOOP('',(#244521,#244522,#244523,#244524)); #45136=EDGE_LOOP('',(#244525,#244526,#244527,#244528)); #45137=EDGE_LOOP('',(#244529,#244530,#244531,#244532)); #45138=EDGE_LOOP('',(#244533,#244534,#244535,#244536)); #45139=EDGE_LOOP('',(#244537,#244538,#244539,#244540)); #45140=EDGE_LOOP('',(#244541,#244542,#244543,#244544)); #45141=EDGE_LOOP('',(#244545,#244546,#244547,#244548)); #45142=EDGE_LOOP('',(#244549,#244550,#244551,#244552)); #45143=EDGE_LOOP('',(#244553,#244554,#244555,#244556)); #45144=EDGE_LOOP('',(#244557,#244558,#244559,#244560)); #45145=EDGE_LOOP('',(#244561,#244562,#244563,#244564)); #45146=EDGE_LOOP('',(#244565,#244566,#244567,#244568)); #45147=EDGE_LOOP('',(#244569,#244570,#244571,#244572)); #45148=EDGE_LOOP('',(#244573,#244574,#244575,#244576)); #45149=EDGE_LOOP('',(#244577,#244578,#244579,#244580)); #45150=EDGE_LOOP('',(#244581,#244582,#244583,#244584)); #45151=EDGE_LOOP('',(#244585,#244586,#244587,#244588)); #45152=EDGE_LOOP('',(#244589,#244590,#244591,#244592)); #45153=EDGE_LOOP('',(#244593,#244594,#244595,#244596)); #45154=EDGE_LOOP('',(#244597,#244598,#244599,#244600)); #45155=EDGE_LOOP('',(#244601,#244602,#244603,#244604)); #45156=EDGE_LOOP('',(#244605,#244606,#244607,#244608)); #45157=EDGE_LOOP('',(#244609,#244610,#244611,#244612)); #45158=EDGE_LOOP('',(#244613,#244614,#244615,#244616)); #45159=EDGE_LOOP('',(#244617,#244618,#244619,#244620)); #45160=EDGE_LOOP('',(#244621,#244622,#244623,#244624)); #45161=EDGE_LOOP('',(#244625,#244626,#244627,#244628)); #45162=EDGE_LOOP('',(#244629,#244630,#244631,#244632)); #45163=EDGE_LOOP('',(#244633,#244634,#244635,#244636)); #45164=EDGE_LOOP('',(#244637,#244638,#244639,#244640)); #45165=EDGE_LOOP('',(#244641,#244642,#244643,#244644)); #45166=EDGE_LOOP('',(#244645,#244646,#244647,#244648)); #45167=EDGE_LOOP('',(#244649,#244650,#244651,#244652)); #45168=EDGE_LOOP('',(#244653,#244654,#244655,#244656)); #45169=EDGE_LOOP('',(#244657,#244658,#244659,#244660)); #45170=EDGE_LOOP('',(#244661,#244662,#244663,#244664)); #45171=EDGE_LOOP('',(#244665,#244666,#244667,#244668)); #45172=EDGE_LOOP('',(#244669,#244670,#244671,#244672)); #45173=EDGE_LOOP('',(#244673,#244674,#244675,#244676)); #45174=EDGE_LOOP('',(#244677,#244678,#244679,#244680)); #45175=EDGE_LOOP('',(#244681,#244682,#244683,#244684)); #45176=EDGE_LOOP('',(#244685,#244686,#244687,#244688)); #45177=EDGE_LOOP('',(#244689,#244690,#244691,#244692)); #45178=EDGE_LOOP('',(#244693,#244694,#244695,#244696)); #45179=EDGE_LOOP('',(#244697,#244698,#244699,#244700)); #45180=EDGE_LOOP('',(#244701,#244702,#244703,#244704)); #45181=EDGE_LOOP('',(#244705,#244706,#244707,#244708)); #45182=EDGE_LOOP('',(#244709,#244710,#244711,#244712)); #45183=EDGE_LOOP('',(#244713,#244714,#244715,#244716)); #45184=EDGE_LOOP('',(#244717,#244718,#244719,#244720)); #45185=EDGE_LOOP('',(#244721,#244722,#244723,#244724)); #45186=EDGE_LOOP('',(#244725,#244726,#244727,#244728)); #45187=EDGE_LOOP('',(#244729,#244730,#244731,#244732)); #45188=EDGE_LOOP('',(#244733,#244734,#244735,#244736,#244737,#244738,#244739, #244740,#244741,#244742,#244743,#244744,#244745,#244746,#244747,#244748, #244749,#244750,#244751,#244752,#244753,#244754,#244755,#244756,#244757, #244758,#244759,#244760,#244761,#244762,#244763,#244764,#244765,#244766, #244767,#244768,#244769,#244770,#244771,#244772,#244773,#244774,#244775, #244776,#244777,#244778,#244779,#244780,#244781,#244782,#244783,#244784, #244785,#244786,#244787,#244788,#244789,#244790,#244791,#244792,#244793, #244794,#244795,#244796,#244797,#244798,#244799,#244800,#244801,#244802, #244803,#244804,#244805,#244806,#244807,#244808,#244809,#244810,#244811, #244812,#244813,#244814,#244815,#244816,#244817,#244818,#244819,#244820, #244821,#244822,#244823,#244824,#244825)); #45189=EDGE_LOOP('',(#244826)); #45190=EDGE_LOOP('',(#244827,#244828,#244829,#244830)); #45191=EDGE_LOOP('',(#244831,#244832,#244833,#244834,#244835,#244836,#244837, #244838,#244839,#244840,#244841,#244842,#244843,#244844,#244845,#244846, #244847,#244848,#244849,#244850,#244851,#244852,#244853,#244854,#244855, #244856,#244857,#244858,#244859,#244860,#244861,#244862,#244863,#244864, #244865,#244866,#244867,#244868,#244869,#244870,#244871,#244872,#244873, #244874,#244875,#244876,#244877,#244878,#244879,#244880,#244881,#244882, #244883,#244884,#244885,#244886,#244887,#244888,#244889,#244890,#244891, #244892,#244893,#244894,#244895,#244896,#244897,#244898,#244899,#244900, #244901,#244902,#244903,#244904,#244905,#244906,#244907,#244908,#244909, #244910,#244911,#244912,#244913,#244914,#244915,#244916,#244917,#244918, #244919,#244920,#244921,#244922,#244923)); #45192=EDGE_LOOP('',(#244924)); #45193=EDGE_LOOP('',(#244925,#244926,#244927,#244928)); #45194=EDGE_LOOP('',(#244929,#244930,#244931,#244932)); #45195=EDGE_LOOP('',(#244933,#244934,#244935,#244936)); #45196=EDGE_LOOP('',(#244937,#244938,#244939,#244940)); #45197=EDGE_LOOP('',(#244941,#244942,#244943,#244944)); #45198=EDGE_LOOP('',(#244945,#244946,#244947,#244948)); #45199=EDGE_LOOP('',(#244949,#244950,#244951,#244952)); #45200=EDGE_LOOP('',(#244953,#244954,#244955,#244956)); #45201=EDGE_LOOP('',(#244957,#244958,#244959,#244960)); #45202=EDGE_LOOP('',(#244961,#244962,#244963,#244964)); #45203=EDGE_LOOP('',(#244965,#244966,#244967,#244968)); #45204=EDGE_LOOP('',(#244969,#244970,#244971,#244972)); #45205=EDGE_LOOP('',(#244973,#244974,#244975,#244976)); #45206=EDGE_LOOP('',(#244977,#244978,#244979,#244980)); #45207=EDGE_LOOP('',(#244981,#244982,#244983,#244984)); #45208=EDGE_LOOP('',(#244985,#244986,#244987,#244988)); #45209=EDGE_LOOP('',(#244989,#244990,#244991,#244992)); #45210=EDGE_LOOP('',(#244993,#244994,#244995,#244996)); #45211=EDGE_LOOP('',(#244997,#244998,#244999,#245000)); #45212=EDGE_LOOP('',(#245001,#245002,#245003,#245004)); #45213=EDGE_LOOP('',(#245005,#245006,#245007,#245008)); #45214=EDGE_LOOP('',(#245009,#245010,#245011,#245012,#245013,#245014,#245015, #245016,#245017,#245018,#245019,#245020,#245021,#245022,#245023,#245024, #245025,#245026,#245027,#245028)); #45215=EDGE_LOOP('',(#245029,#245030,#245031,#245032,#245033,#245034,#245035, #245036,#245037,#245038,#245039,#245040,#245041,#245042,#245043,#245044, #245045,#245046,#245047,#245048)); #45216=EDGE_LOOP('',(#245049,#245050,#245051,#245052)); #45217=EDGE_LOOP('',(#245053,#245054,#245055,#245056)); #45218=EDGE_LOOP('',(#245057,#245058,#245059,#245060)); #45219=EDGE_LOOP('',(#245061,#245062,#245063,#245064)); #45220=EDGE_LOOP('',(#245065,#245066,#245067,#245068)); #45221=EDGE_LOOP('',(#245069,#245070,#245071,#245072)); #45222=EDGE_LOOP('',(#245073,#245074,#245075,#245076)); #45223=EDGE_LOOP('',(#245077,#245078,#245079,#245080)); #45224=EDGE_LOOP('',(#245081,#245082,#245083,#245084)); #45225=EDGE_LOOP('',(#245085,#245086,#245087,#245088)); #45226=EDGE_LOOP('',(#245089,#245090,#245091,#245092)); #45227=EDGE_LOOP('',(#245093,#245094,#245095,#245096)); #45228=EDGE_LOOP('',(#245097,#245098,#245099,#245100)); #45229=EDGE_LOOP('',(#245101,#245102,#245103,#245104)); #45230=EDGE_LOOP('',(#245105,#245106,#245107,#245108)); #45231=EDGE_LOOP('',(#245109,#245110,#245111,#245112)); #45232=EDGE_LOOP('',(#245113,#245114,#245115,#245116)); #45233=EDGE_LOOP('',(#245117,#245118,#245119,#245120)); #45234=EDGE_LOOP('',(#245121,#245122,#245123,#245124)); #45235=EDGE_LOOP('',(#245125,#245126,#245127,#245128)); #45236=EDGE_LOOP('',(#245129,#245130,#245131,#245132)); #45237=EDGE_LOOP('',(#245133,#245134,#245135,#245136)); #45238=EDGE_LOOP('',(#245137,#245138,#245139,#245140)); #45239=EDGE_LOOP('',(#245141,#245142,#245143,#245144)); #45240=EDGE_LOOP('',(#245145,#245146,#245147,#245148)); #45241=EDGE_LOOP('',(#245149,#245150,#245151,#245152)); #45242=EDGE_LOOP('',(#245153,#245154,#245155,#245156)); #45243=EDGE_LOOP('',(#245157,#245158,#245159,#245160)); #45244=EDGE_LOOP('',(#245161,#245162,#245163,#245164)); #45245=EDGE_LOOP('',(#245165,#245166,#245167,#245168)); #45246=EDGE_LOOP('',(#245169,#245170,#245171,#245172)); #45247=EDGE_LOOP('',(#245173,#245174,#245175,#245176)); #45248=EDGE_LOOP('',(#245177,#245178,#245179,#245180)); #45249=EDGE_LOOP('',(#245181,#245182,#245183,#245184)); #45250=EDGE_LOOP('',(#245185,#245186,#245187,#245188)); #45251=EDGE_LOOP('',(#245189,#245190,#245191,#245192)); #45252=EDGE_LOOP('',(#245193,#245194,#245195,#245196)); #45253=EDGE_LOOP('',(#245197,#245198,#245199,#245200)); #45254=EDGE_LOOP('',(#245201,#245202,#245203,#245204)); #45255=EDGE_LOOP('',(#245205,#245206,#245207,#245208)); #45256=EDGE_LOOP('',(#245209,#245210,#245211,#245212)); #45257=EDGE_LOOP('',(#245213,#245214,#245215,#245216)); #45258=EDGE_LOOP('',(#245217,#245218,#245219,#245220)); #45259=EDGE_LOOP('',(#245221,#245222,#245223,#245224)); #45260=EDGE_LOOP('',(#245225,#245226,#245227,#245228)); #45261=EDGE_LOOP('',(#245229,#245230,#245231,#245232)); #45262=EDGE_LOOP('',(#245233,#245234,#245235,#245236)); #45263=EDGE_LOOP('',(#245237,#245238,#245239,#245240)); #45264=EDGE_LOOP('',(#245241,#245242,#245243,#245244)); #45265=EDGE_LOOP('',(#245245,#245246,#245247,#245248)); #45266=EDGE_LOOP('',(#245249,#245250,#245251,#245252)); #45267=EDGE_LOOP('',(#245253,#245254,#245255,#245256)); #45268=EDGE_LOOP('',(#245257,#245258,#245259,#245260)); #45269=EDGE_LOOP('',(#245261,#245262,#245263,#245264)); #45270=EDGE_LOOP('',(#245265,#245266,#245267,#245268)); #45271=EDGE_LOOP('',(#245269,#245270,#245271,#245272)); #45272=EDGE_LOOP('',(#245273,#245274,#245275,#245276,#245277,#245278,#245279, #245280,#245281,#245282,#245283,#245284,#245285,#245286,#245287,#245288, #245289,#245290,#245291,#245292,#245293,#245294,#245295,#245296,#245297, #245298,#245299,#245300,#245301,#245302,#245303,#245304,#245305,#245306, #245307,#245308,#245309,#245310,#245311,#245312,#245313)); #45273=EDGE_LOOP('',(#245314)); #45274=EDGE_LOOP('',(#245315)); #45275=EDGE_LOOP('',(#245316)); #45276=EDGE_LOOP('',(#245317)); #45277=EDGE_LOOP('',(#245318)); #45278=EDGE_LOOP('',(#245319)); #45279=EDGE_LOOP('',(#245320)); #45280=EDGE_LOOP('',(#245321)); #45281=EDGE_LOOP('',(#245322)); #45282=EDGE_LOOP('',(#245323)); #45283=EDGE_LOOP('',(#245324)); #45284=EDGE_LOOP('',(#245325)); #45285=EDGE_LOOP('',(#245326)); #45286=EDGE_LOOP('',(#245327)); #45287=EDGE_LOOP('',(#245328)); #45288=EDGE_LOOP('',(#245329,#245330,#245331,#245332,#245333,#245334,#245335, #245336,#245337,#245338,#245339,#245340,#245341,#245342,#245343,#245344, #245345,#245346,#245347,#245348,#245349,#245350,#245351,#245352,#245353, #245354,#245355,#245356,#245357,#245358,#245359,#245360,#245361,#245362, #245363,#245364,#245365,#245366,#245367,#245368,#245369)); #45289=EDGE_LOOP('',(#245370)); #45290=EDGE_LOOP('',(#245371)); #45291=EDGE_LOOP('',(#245372)); #45292=EDGE_LOOP('',(#245373)); #45293=EDGE_LOOP('',(#245374)); #45294=EDGE_LOOP('',(#245375)); #45295=EDGE_LOOP('',(#245376)); #45296=EDGE_LOOP('',(#245377)); #45297=EDGE_LOOP('',(#245378)); #45298=EDGE_LOOP('',(#245379)); #45299=EDGE_LOOP('',(#245380)); #45300=EDGE_LOOP('',(#245381)); #45301=EDGE_LOOP('',(#245382)); #45302=EDGE_LOOP('',(#245383)); #45303=EDGE_LOOP('',(#245384)); #45304=EDGE_LOOP('',(#245385,#245386,#245387,#245388)); #45305=EDGE_LOOP('',(#245389,#245390,#245391,#245392)); #45306=EDGE_LOOP('',(#245393,#245394,#245395,#245396)); #45307=EDGE_LOOP('',(#245397,#245398,#245399,#245400)); #45308=EDGE_LOOP('',(#245401,#245402,#245403,#245404)); #45309=EDGE_LOOP('',(#245405,#245406,#245407,#245408)); #45310=EDGE_LOOP('',(#245409,#245410,#245411,#245412)); #45311=EDGE_LOOP('',(#245413,#245414,#245415,#245416)); #45312=EDGE_LOOP('',(#245417,#245418,#245419,#245420)); #45313=EDGE_LOOP('',(#245421,#245422,#245423,#245424)); #45314=EDGE_LOOP('',(#245425,#245426,#245427,#245428)); #45315=EDGE_LOOP('',(#245429,#245430,#245431,#245432)); #45316=EDGE_LOOP('',(#245433,#245434,#245435,#245436)); #45317=EDGE_LOOP('',(#245437,#245438,#245439,#245440)); #45318=EDGE_LOOP('',(#245441,#245442,#245443,#245444)); #45319=EDGE_LOOP('',(#245445,#245446,#245447,#245448)); #45320=EDGE_LOOP('',(#245449,#245450,#245451,#245452)); #45321=EDGE_LOOP('',(#245453,#245454,#245455,#245456)); #45322=EDGE_LOOP('',(#245457,#245458,#245459,#245460)); #45323=EDGE_LOOP('',(#245461,#245462,#245463,#245464)); #45324=EDGE_LOOP('',(#245465,#245466,#245467,#245468)); #45325=EDGE_LOOP('',(#245469,#245470,#245471,#245472)); #45326=EDGE_LOOP('',(#245473,#245474,#245475,#245476)); #45327=EDGE_LOOP('',(#245477,#245478,#245479,#245480)); #45328=EDGE_LOOP('',(#245481,#245482,#245483,#245484)); #45329=EDGE_LOOP('',(#245485,#245486,#245487,#245488)); #45330=EDGE_LOOP('',(#245489,#245490,#245491,#245492)); #45331=EDGE_LOOP('',(#245493,#245494,#245495,#245496)); #45332=EDGE_LOOP('',(#245497,#245498,#245499,#245500)); #45333=EDGE_LOOP('',(#245501,#245502,#245503,#245504)); #45334=EDGE_LOOP('',(#245505,#245506,#245507,#245508)); #45335=EDGE_LOOP('',(#245509,#245510,#245511,#245512)); #45336=EDGE_LOOP('',(#245513,#245514,#245515,#245516)); #45337=EDGE_LOOP('',(#245517,#245518,#245519,#245520)); #45338=EDGE_LOOP('',(#245521,#245522,#245523,#245524)); #45339=EDGE_LOOP('',(#245525,#245526,#245527,#245528)); #45340=EDGE_LOOP('',(#245529,#245530,#245531,#245532)); #45341=EDGE_LOOP('',(#245533,#245534,#245535,#245536)); #45342=EDGE_LOOP('',(#245537,#245538,#245539,#245540)); #45343=EDGE_LOOP('',(#245541,#245542,#245543,#245544)); #45344=EDGE_LOOP('',(#245545,#245546,#245547,#245548)); #45345=EDGE_LOOP('',(#245549,#245550,#245551,#245552)); #45346=EDGE_LOOP('',(#245553,#245554,#245555,#245556)); #45347=EDGE_LOOP('',(#245557,#245558,#245559,#245560,#245561,#245562,#245563, #245564,#245565,#245566,#245567,#245568,#245569,#245570,#245571,#245572, #245573,#245574,#245575,#245576,#245577,#245578,#245579,#245580,#245581, #245582,#245583,#245584,#245585,#245586,#245587,#245588,#245589,#245590, #245591,#245592,#245593,#245594,#245595,#245596,#245597,#245598)); #45348=EDGE_LOOP('',(#245599)); #45349=EDGE_LOOP('',(#245600,#245601,#245602,#245603,#245604,#245605,#245606, #245607,#245608,#245609,#245610,#245611,#245612,#245613,#245614,#245615, #245616,#245617,#245618,#245619,#245620,#245621,#245622,#245623,#245624, #245625,#245626,#245627,#245628,#245629,#245630,#245631,#245632,#245633, #245634,#245635,#245636,#245637,#245638,#245639,#245640,#245641)); #45350=EDGE_LOOP('',(#245642)); #45351=EDGE_LOOP('',(#245643,#245644,#245645,#245646)); #45352=EDGE_LOOP('',(#245647,#245648,#245649,#245650)); #45353=EDGE_LOOP('',(#245651,#245652,#245653,#245654)); #45354=EDGE_LOOP('',(#245655,#245656,#245657,#245658)); #45355=EDGE_LOOP('',(#245659,#245660,#245661,#245662)); #45356=EDGE_LOOP('',(#245663,#245664,#245665,#245666)); #45357=EDGE_LOOP('',(#245667,#245668,#245669,#245670)); #45358=EDGE_LOOP('',(#245671,#245672,#245673,#245674)); #45359=EDGE_LOOP('',(#245675,#245676,#245677,#245678)); #45360=EDGE_LOOP('',(#245679,#245680,#245681,#245682)); #45361=EDGE_LOOP('',(#245683,#245684,#245685,#245686)); #45362=EDGE_LOOP('',(#245687,#245688,#245689,#245690)); #45363=EDGE_LOOP('',(#245691,#245692,#245693,#245694)); #45364=EDGE_LOOP('',(#245695,#245696,#245697,#245698)); #45365=EDGE_LOOP('',(#245699,#245700,#245701,#245702)); #45366=EDGE_LOOP('',(#245703,#245704,#245705,#245706)); #45367=EDGE_LOOP('',(#245707,#245708,#245709,#245710)); #45368=EDGE_LOOP('',(#245711,#245712,#245713,#245714)); #45369=EDGE_LOOP('',(#245715,#245716,#245717,#245718)); #45370=EDGE_LOOP('',(#245719,#245720,#245721,#245722)); #45371=EDGE_LOOP('',(#245723,#245724,#245725,#245726)); #45372=EDGE_LOOP('',(#245727,#245728,#245729,#245730)); #45373=EDGE_LOOP('',(#245731,#245732,#245733,#245734)); #45374=EDGE_LOOP('',(#245735,#245736,#245737,#245738)); #45375=EDGE_LOOP('',(#245739,#245740,#245741,#245742)); #45376=EDGE_LOOP('',(#245743,#245744,#245745,#245746)); #45377=EDGE_LOOP('',(#245747,#245748,#245749,#245750)); #45378=EDGE_LOOP('',(#245751,#245752,#245753,#245754)); #45379=EDGE_LOOP('',(#245755,#245756,#245757,#245758)); #45380=EDGE_LOOP('',(#245759,#245760,#245761,#245762)); #45381=EDGE_LOOP('',(#245763,#245764,#245765,#245766)); #45382=EDGE_LOOP('',(#245767,#245768,#245769,#245770)); #45383=EDGE_LOOP('',(#245771,#245772,#245773,#245774)); #45384=EDGE_LOOP('',(#245775,#245776,#245777,#245778)); #45385=EDGE_LOOP('',(#245779,#245780,#245781,#245782)); #45386=EDGE_LOOP('',(#245783,#245784,#245785,#245786)); #45387=EDGE_LOOP('',(#245787,#245788,#245789,#245790)); #45388=EDGE_LOOP('',(#245791,#245792,#245793,#245794)); #45389=EDGE_LOOP('',(#245795,#245796,#245797,#245798)); #45390=EDGE_LOOP('',(#245799,#245800,#245801,#245802)); #45391=EDGE_LOOP('',(#245803,#245804,#245805,#245806)); #45392=EDGE_LOOP('',(#245807,#245808,#245809,#245810)); #45393=EDGE_LOOP('',(#245811,#245812,#245813,#245814)); #45394=EDGE_LOOP('',(#245815,#245816,#245817,#245818)); #45395=EDGE_LOOP('',(#245819,#245820,#245821,#245822)); #45396=EDGE_LOOP('',(#245823,#245824,#245825,#245826)); #45397=EDGE_LOOP('',(#245827,#245828,#245829,#245830)); #45398=EDGE_LOOP('',(#245831,#245832,#245833,#245834)); #45399=EDGE_LOOP('',(#245835,#245836,#245837,#245838)); #45400=EDGE_LOOP('',(#245839,#245840,#245841,#245842)); #45401=EDGE_LOOP('',(#245843,#245844,#245845,#245846)); #45402=EDGE_LOOP('',(#245847,#245848,#245849,#245850)); #45403=EDGE_LOOP('',(#245851,#245852,#245853,#245854)); #45404=EDGE_LOOP('',(#245855,#245856,#245857,#245858)); #45405=EDGE_LOOP('',(#245859,#245860,#245861,#245862)); #45406=EDGE_LOOP('',(#245863,#245864,#245865,#245866)); #45407=EDGE_LOOP('',(#245867,#245868,#245869,#245870)); #45408=EDGE_LOOP('',(#245871,#245872,#245873,#245874)); #45409=EDGE_LOOP('',(#245875,#245876,#245877,#245878)); #45410=EDGE_LOOP('',(#245879,#245880,#245881,#245882)); #45411=EDGE_LOOP('',(#245883,#245884,#245885,#245886)); #45412=EDGE_LOOP('',(#245887,#245888,#245889,#245890)); #45413=EDGE_LOOP('',(#245891,#245892,#245893,#245894)); #45414=EDGE_LOOP('',(#245895,#245896,#245897,#245898)); #45415=EDGE_LOOP('',(#245899,#245900,#245901,#245902,#245903,#245904,#245905, #245906,#245907,#245908,#245909,#245910,#245911,#245912,#245913,#245914, #245915,#245916,#245917,#245918,#245919,#245920,#245921,#245922,#245923, #245924,#245925,#245926,#245927,#245928,#245929,#245930,#245931,#245932, #245933,#245934,#245935,#245936,#245937,#245938,#245939,#245940,#245941, #245942,#245943,#245944,#245945,#245946,#245947)); #45416=EDGE_LOOP('',(#245948)); #45417=EDGE_LOOP('',(#245949)); #45418=EDGE_LOOP('',(#245950)); #45419=EDGE_LOOP('',(#245951)); #45420=EDGE_LOOP('',(#245952)); #45421=EDGE_LOOP('',(#245953)); #45422=EDGE_LOOP('',(#245954)); #45423=EDGE_LOOP('',(#245955)); #45424=EDGE_LOOP('',(#245956)); #45425=EDGE_LOOP('',(#245957)); #45426=EDGE_LOOP('',(#245958)); #45427=EDGE_LOOP('',(#245959)); #45428=EDGE_LOOP('',(#245960)); #45429=EDGE_LOOP('',(#245961)); #45430=EDGE_LOOP('',(#245962)); #45431=EDGE_LOOP('',(#245963,#245964,#245965,#245966,#245967,#245968,#245969, #245970,#245971,#245972,#245973,#245974,#245975,#245976,#245977,#245978, #245979,#245980,#245981,#245982,#245983,#245984,#245985,#245986,#245987, #245988,#245989,#245990,#245991,#245992,#245993,#245994,#245995,#245996, #245997,#245998,#245999,#246000,#246001,#246002,#246003,#246004,#246005, #246006,#246007,#246008,#246009,#246010,#246011)); #45432=EDGE_LOOP('',(#246012)); #45433=EDGE_LOOP('',(#246013)); #45434=EDGE_LOOP('',(#246014)); #45435=EDGE_LOOP('',(#246015)); #45436=EDGE_LOOP('',(#246016)); #45437=EDGE_LOOP('',(#246017)); #45438=EDGE_LOOP('',(#246018)); #45439=EDGE_LOOP('',(#246019)); #45440=EDGE_LOOP('',(#246020)); #45441=EDGE_LOOP('',(#246021)); #45442=EDGE_LOOP('',(#246022)); #45443=EDGE_LOOP('',(#246023)); #45444=EDGE_LOOP('',(#246024)); #45445=EDGE_LOOP('',(#246025)); #45446=EDGE_LOOP('',(#246026)); #45447=EDGE_LOOP('',(#246027,#246028,#246029,#246030)); #45448=EDGE_LOOP('',(#246031,#246032,#246033,#246034)); #45449=EDGE_LOOP('',(#246035,#246036,#246037,#246038)); #45450=EDGE_LOOP('',(#246039,#246040,#246041,#246042)); #45451=EDGE_LOOP('',(#246043,#246044,#246045,#246046)); #45452=EDGE_LOOP('',(#246047,#246048,#246049,#246050)); #45453=EDGE_LOOP('',(#246051,#246052,#246053,#246054)); #45454=EDGE_LOOP('',(#246055,#246056,#246057,#246058)); #45455=EDGE_LOOP('',(#246059,#246060,#246061,#246062)); #45456=EDGE_LOOP('',(#246063,#246064,#246065,#246066)); #45457=EDGE_LOOP('',(#246067,#246068,#246069,#246070)); #45458=EDGE_LOOP('',(#246071,#246072,#246073,#246074)); #45459=EDGE_LOOP('',(#246075,#246076,#246077,#246078)); #45460=EDGE_LOOP('',(#246079,#246080,#246081,#246082)); #45461=EDGE_LOOP('',(#246083,#246084,#246085,#246086)); #45462=EDGE_LOOP('',(#246087,#246088,#246089,#246090)); #45463=EDGE_LOOP('',(#246091,#246092,#246093,#246094)); #45464=EDGE_LOOP('',(#246095,#246096,#246097,#246098)); #45465=EDGE_LOOP('',(#246099,#246100,#246101,#246102)); #45466=EDGE_LOOP('',(#246103,#246104,#246105,#246106)); #45467=EDGE_LOOP('',(#246107,#246108,#246109,#246110)); #45468=EDGE_LOOP('',(#246111,#246112,#246113,#246114)); #45469=EDGE_LOOP('',(#246115,#246116,#246117,#246118)); #45470=EDGE_LOOP('',(#246119,#246120,#246121,#246122)); #45471=EDGE_LOOP('',(#246123,#246124,#246125,#246126)); #45472=EDGE_LOOP('',(#246127,#246128,#246129,#246130)); #45473=EDGE_LOOP('',(#246131,#246132,#246133,#246134)); #45474=EDGE_LOOP('',(#246135,#246136,#246137,#246138)); #45475=EDGE_LOOP('',(#246139,#246140,#246141,#246142)); #45476=EDGE_LOOP('',(#246143,#246144,#246145,#246146)); #45477=EDGE_LOOP('',(#246147,#246148,#246149,#246150)); #45478=EDGE_LOOP('',(#246151,#246152,#246153,#246154)); #45479=EDGE_LOOP('',(#246155,#246156,#246157,#246158)); #45480=EDGE_LOOP('',(#246159,#246160,#246161,#246162)); #45481=EDGE_LOOP('',(#246163,#246164,#246165,#246166)); #45482=EDGE_LOOP('',(#246167,#246168,#246169,#246170)); #45483=EDGE_LOOP('',(#246171,#246172,#246173,#246174)); #45484=EDGE_LOOP('',(#246175,#246176,#246177,#246178)); #45485=EDGE_LOOP('',(#246179,#246180,#246181,#246182)); #45486=EDGE_LOOP('',(#246183,#246184,#246185,#246186)); #45487=EDGE_LOOP('',(#246187,#246188,#246189,#246190)); #45488=EDGE_LOOP('',(#246191,#246192,#246193,#246194)); #45489=EDGE_LOOP('',(#246195,#246196,#246197,#246198)); #45490=EDGE_LOOP('',(#246199,#246200,#246201,#246202,#246203,#246204,#246205, #246206,#246207,#246208,#246209,#246210,#246211,#246212,#246213,#246214, #246215,#246216,#246217,#246218,#246219,#246220,#246221,#246222,#246223, #246224,#246225,#246226,#246227,#246228,#246229,#246230,#246231,#246232, #246233,#246234,#246235,#246236,#246237,#246238,#246239,#246240)); #45491=EDGE_LOOP('',(#246241)); #45492=EDGE_LOOP('',(#246242,#246243,#246244,#246245,#246246,#246247,#246248, #246249,#246250,#246251,#246252,#246253,#246254,#246255,#246256,#246257, #246258,#246259,#246260,#246261,#246262,#246263,#246264,#246265,#246266, #246267,#246268,#246269,#246270,#246271,#246272,#246273,#246274,#246275, #246276,#246277,#246278,#246279,#246280,#246281,#246282,#246283)); #45493=EDGE_LOOP('',(#246284)); #45494=EDGE_LOOP('',(#246285,#246286,#246287,#246288)); #45495=EDGE_LOOP('',(#246289,#246290,#246291,#246292)); #45496=EDGE_LOOP('',(#246293,#246294,#246295,#246296)); #45497=EDGE_LOOP('',(#246297,#246298,#246299,#246300)); #45498=EDGE_LOOP('',(#246301,#246302,#246303,#246304)); #45499=EDGE_LOOP('',(#246305,#246306,#246307,#246308)); #45500=EDGE_LOOP('',(#246309,#246310,#246311,#246312)); #45501=EDGE_LOOP('',(#246313,#246314,#246315,#246316)); #45502=EDGE_LOOP('',(#246317,#246318,#246319,#246320)); #45503=EDGE_LOOP('',(#246321,#246322,#246323,#246324)); #45504=EDGE_LOOP('',(#246325,#246326,#246327,#246328)); #45505=EDGE_LOOP('',(#246329,#246330,#246331,#246332)); #45506=EDGE_LOOP('',(#246333,#246334,#246335,#246336)); #45507=EDGE_LOOP('',(#246337,#246338,#246339,#246340)); #45508=EDGE_LOOP('',(#246341,#246342,#246343,#246344)); #45509=EDGE_LOOP('',(#246345,#246346,#246347,#246348)); #45510=EDGE_LOOP('',(#246349,#246350,#246351,#246352)); #45511=EDGE_LOOP('',(#246353,#246354,#246355,#246356)); #45512=EDGE_LOOP('',(#246357,#246358,#246359,#246360)); #45513=EDGE_LOOP('',(#246361,#246362,#246363,#246364)); #45514=EDGE_LOOP('',(#246365,#246366,#246367,#246368)); #45515=EDGE_LOOP('',(#246369,#246370,#246371,#246372)); #45516=EDGE_LOOP('',(#246373,#246374,#246375,#246376)); #45517=EDGE_LOOP('',(#246377,#246378,#246379,#246380)); #45518=EDGE_LOOP('',(#246381,#246382,#246383,#246384)); #45519=EDGE_LOOP('',(#246385,#246386,#246387,#246388)); #45520=EDGE_LOOP('',(#246389,#246390,#246391,#246392)); #45521=EDGE_LOOP('',(#246393,#246394,#246395,#246396)); #45522=EDGE_LOOP('',(#246397,#246398,#246399,#246400)); #45523=EDGE_LOOP('',(#246401,#246402,#246403,#246404)); #45524=EDGE_LOOP('',(#246405,#246406,#246407,#246408)); #45525=EDGE_LOOP('',(#246409,#246410,#246411,#246412)); #45526=EDGE_LOOP('',(#246413,#246414,#246415,#246416)); #45527=EDGE_LOOP('',(#246417,#246418,#246419,#246420)); #45528=EDGE_LOOP('',(#246421,#246422,#246423,#246424)); #45529=EDGE_LOOP('',(#246425,#246426,#246427,#246428)); #45530=EDGE_LOOP('',(#246429,#246430,#246431,#246432)); #45531=EDGE_LOOP('',(#246433,#246434,#246435,#246436)); #45532=EDGE_LOOP('',(#246437,#246438,#246439,#246440)); #45533=EDGE_LOOP('',(#246441,#246442,#246443,#246444)); #45534=EDGE_LOOP('',(#246445,#246446,#246447,#246448)); #45535=EDGE_LOOP('',(#246449,#246450,#246451,#246452)); #45536=EDGE_LOOP('',(#246453,#246454,#246455,#246456)); #45537=EDGE_LOOP('',(#246457,#246458,#246459,#246460)); #45538=EDGE_LOOP('',(#246461,#246462,#246463,#246464)); #45539=EDGE_LOOP('',(#246465,#246466,#246467,#246468)); #45540=EDGE_LOOP('',(#246469,#246470,#246471,#246472)); #45541=EDGE_LOOP('',(#246473,#246474,#246475,#246476)); #45542=EDGE_LOOP('',(#246477,#246478,#246479,#246480)); #45543=EDGE_LOOP('',(#246481,#246482,#246483,#246484)); #45544=EDGE_LOOP('',(#246485,#246486,#246487,#246488)); #45545=EDGE_LOOP('',(#246489,#246490,#246491,#246492)); #45546=EDGE_LOOP('',(#246493,#246494,#246495,#246496)); #45547=EDGE_LOOP('',(#246497,#246498,#246499,#246500)); #45548=EDGE_LOOP('',(#246501,#246502,#246503,#246504)); #45549=EDGE_LOOP('',(#246505,#246506,#246507,#246508)); #45550=EDGE_LOOP('',(#246509,#246510,#246511,#246512)); #45551=EDGE_LOOP('',(#246513,#246514,#246515,#246516)); #45552=EDGE_LOOP('',(#246517,#246518,#246519,#246520)); #45553=EDGE_LOOP('',(#246521,#246522,#246523,#246524)); #45554=EDGE_LOOP('',(#246525,#246526,#246527,#246528)); #45555=EDGE_LOOP('',(#246529,#246530,#246531,#246532)); #45556=EDGE_LOOP('',(#246533,#246534,#246535,#246536)); #45557=EDGE_LOOP('',(#246537,#246538,#246539,#246540)); #45558=EDGE_LOOP('',(#246541,#246542,#246543,#246544)); #45559=EDGE_LOOP('',(#246545,#246546,#246547,#246548)); #45560=EDGE_LOOP('',(#246549,#246550,#246551,#246552)); #45561=EDGE_LOOP('',(#246553,#246554,#246555,#246556)); #45562=EDGE_LOOP('',(#246557,#246558,#246559,#246560)); #45563=EDGE_LOOP('',(#246561,#246562,#246563,#246564)); #45564=EDGE_LOOP('',(#246565,#246566,#246567,#246568)); #45565=EDGE_LOOP('',(#246569,#246570,#246571,#246572)); #45566=EDGE_LOOP('',(#246573,#246574,#246575,#246576)); #45567=EDGE_LOOP('',(#246577,#246578,#246579,#246580)); #45568=EDGE_LOOP('',(#246581,#246582,#246583,#246584)); #45569=EDGE_LOOP('',(#246585,#246586,#246587,#246588)); #45570=EDGE_LOOP('',(#246589,#246590,#246591,#246592)); #45571=EDGE_LOOP('',(#246593,#246594,#246595,#246596)); #45572=EDGE_LOOP('',(#246597,#246598,#246599,#246600)); #45573=EDGE_LOOP('',(#246601,#246602,#246603,#246604)); #45574=EDGE_LOOP('',(#246605,#246606,#246607,#246608)); #45575=EDGE_LOOP('',(#246609,#246610,#246611,#246612)); #45576=EDGE_LOOP('',(#246613,#246614,#246615,#246616)); #45577=EDGE_LOOP('',(#246617,#246618,#246619,#246620)); #45578=EDGE_LOOP('',(#246621,#246622,#246623,#246624)); #45579=EDGE_LOOP('',(#246625,#246626,#246627,#246628)); #45580=EDGE_LOOP('',(#246629,#246630,#246631,#246632)); #45581=EDGE_LOOP('',(#246633,#246634,#246635,#246636)); #45582=EDGE_LOOP('',(#246637,#246638,#246639,#246640)); #45583=EDGE_LOOP('',(#246641,#246642,#246643,#246644)); #45584=EDGE_LOOP('',(#246645,#246646,#246647,#246648)); #45585=EDGE_LOOP('',(#246649,#246650,#246651,#246652)); #45586=EDGE_LOOP('',(#246653,#246654,#246655,#246656)); #45587=EDGE_LOOP('',(#246657,#246658,#246659,#246660)); #45588=EDGE_LOOP('',(#246661,#246662,#246663,#246664)); #45589=EDGE_LOOP('',(#246665,#246666,#246667,#246668)); #45590=EDGE_LOOP('',(#246669,#246670,#246671,#246672)); #45591=EDGE_LOOP('',(#246673,#246674,#246675,#246676)); #45592=EDGE_LOOP('',(#246677,#246678,#246679,#246680)); #45593=EDGE_LOOP('',(#246681,#246682,#246683,#246684)); #45594=EDGE_LOOP('',(#246685,#246686,#246687,#246688)); #45595=EDGE_LOOP('',(#246689,#246690,#246691,#246692)); #45596=EDGE_LOOP('',(#246693,#246694,#246695,#246696)); #45597=EDGE_LOOP('',(#246697,#246698,#246699,#246700)); #45598=EDGE_LOOP('',(#246701,#246702,#246703,#246704)); #45599=EDGE_LOOP('',(#246705,#246706,#246707,#246708)); #45600=EDGE_LOOP('',(#246709,#246710,#246711,#246712)); #45601=EDGE_LOOP('',(#246713,#246714,#246715,#246716)); #45602=EDGE_LOOP('',(#246717,#246718,#246719,#246720)); #45603=EDGE_LOOP('',(#246721,#246722,#246723,#246724)); #45604=EDGE_LOOP('',(#246725,#246726,#246727,#246728)); #45605=EDGE_LOOP('',(#246729,#246730,#246731,#246732)); #45606=EDGE_LOOP('',(#246733,#246734,#246735,#246736)); #45607=EDGE_LOOP('',(#246737,#246738,#246739,#246740)); #45608=EDGE_LOOP('',(#246741,#246742,#246743,#246744)); #45609=EDGE_LOOP('',(#246745,#246746,#246747,#246748)); #45610=EDGE_LOOP('',(#246749,#246750,#246751,#246752,#246753,#246754,#246755, #246756,#246757,#246758,#246759,#246760,#246761,#246762,#246763,#246764, #246765,#246766,#246767,#246768,#246769,#246770,#246771,#246772,#246773, #246774,#246775,#246776,#246777,#246778,#246779,#246780,#246781,#246782, #246783,#246784,#246785,#246786,#246787,#246788,#246789,#246790,#246791, #246792,#246793,#246794,#246795,#246796,#246797,#246798,#246799,#246800, #246801,#246802,#246803,#246804,#246805,#246806,#246807,#246808,#246809, #246810,#246811,#246812,#246813,#246814,#246815,#246816,#246817,#246818, #246819,#246820,#246821,#246822,#246823,#246824,#246825,#246826,#246827, #246828,#246829,#246830,#246831,#246832,#246833)); #45611=EDGE_LOOP('',(#246834)); #45612=EDGE_LOOP('',(#246835)); #45613=EDGE_LOOP('',(#246836)); #45614=EDGE_LOOP('',(#246837)); #45615=EDGE_LOOP('',(#246838)); #45616=EDGE_LOOP('',(#246839)); #45617=EDGE_LOOP('',(#246840)); #45618=EDGE_LOOP('',(#246841)); #45619=EDGE_LOOP('',(#246842)); #45620=EDGE_LOOP('',(#246843)); #45621=EDGE_LOOP('',(#246844)); #45622=EDGE_LOOP('',(#246845)); #45623=EDGE_LOOP('',(#246846)); #45624=EDGE_LOOP('',(#246847)); #45625=EDGE_LOOP('',(#246848)); #45626=EDGE_LOOP('',(#246849)); #45627=EDGE_LOOP('',(#246850)); #45628=EDGE_LOOP('',(#246851)); #45629=EDGE_LOOP('',(#246852)); #45630=EDGE_LOOP('',(#246853)); #45631=EDGE_LOOP('',(#246854)); #45632=EDGE_LOOP('',(#246855)); #45633=EDGE_LOOP('',(#246856)); #45634=EDGE_LOOP('',(#246857)); #45635=EDGE_LOOP('',(#246858)); #45636=EDGE_LOOP('',(#246859)); #45637=EDGE_LOOP('',(#246860)); #45638=EDGE_LOOP('',(#246861)); #45639=EDGE_LOOP('',(#246862)); #45640=EDGE_LOOP('',(#246863)); #45641=EDGE_LOOP('',(#246864)); #45642=EDGE_LOOP('',(#246865,#246866,#246867,#246868,#246869,#246870,#246871, #246872,#246873,#246874,#246875,#246876,#246877,#246878,#246879,#246880, #246881,#246882,#246883,#246884,#246885,#246886,#246887,#246888,#246889, #246890,#246891,#246892,#246893,#246894,#246895,#246896,#246897,#246898, #246899,#246900,#246901,#246902,#246903,#246904,#246905,#246906,#246907, #246908,#246909,#246910,#246911,#246912,#246913,#246914,#246915,#246916, #246917,#246918,#246919,#246920,#246921,#246922,#246923,#246924,#246925, #246926,#246927,#246928,#246929,#246930,#246931,#246932,#246933,#246934, #246935,#246936,#246937,#246938,#246939,#246940,#246941,#246942,#246943, #246944,#246945,#246946,#246947,#246948,#246949)); #45643=EDGE_LOOP('',(#246950)); #45644=EDGE_LOOP('',(#246951)); #45645=EDGE_LOOP('',(#246952)); #45646=EDGE_LOOP('',(#246953)); #45647=EDGE_LOOP('',(#246954)); #45648=EDGE_LOOP('',(#246955)); #45649=EDGE_LOOP('',(#246956)); #45650=EDGE_LOOP('',(#246957)); #45651=EDGE_LOOP('',(#246958)); #45652=EDGE_LOOP('',(#246959)); #45653=EDGE_LOOP('',(#246960)); #45654=EDGE_LOOP('',(#246961)); #45655=EDGE_LOOP('',(#246962)); #45656=EDGE_LOOP('',(#246963)); #45657=EDGE_LOOP('',(#246964)); #45658=EDGE_LOOP('',(#246965)); #45659=EDGE_LOOP('',(#246966)); #45660=EDGE_LOOP('',(#246967)); #45661=EDGE_LOOP('',(#246968)); #45662=EDGE_LOOP('',(#246969)); #45663=EDGE_LOOP('',(#246970)); #45664=EDGE_LOOP('',(#246971)); #45665=EDGE_LOOP('',(#246972)); #45666=EDGE_LOOP('',(#246973)); #45667=EDGE_LOOP('',(#246974)); #45668=EDGE_LOOP('',(#246975)); #45669=EDGE_LOOP('',(#246976)); #45670=EDGE_LOOP('',(#246977)); #45671=EDGE_LOOP('',(#246978)); #45672=EDGE_LOOP('',(#246979)); #45673=EDGE_LOOP('',(#246980)); #45674=EDGE_LOOP('',(#246981,#246982,#246983,#246984)); #45675=EDGE_LOOP('',(#246985,#246986,#246987,#246988)); #45676=EDGE_LOOP('',(#246989,#246990,#246991,#246992)); #45677=EDGE_LOOP('',(#246993,#246994,#246995,#246996)); #45678=EDGE_LOOP('',(#246997,#246998,#246999,#247000)); #45679=EDGE_LOOP('',(#247001,#247002,#247003,#247004)); #45680=EDGE_LOOP('',(#247005,#247006,#247007,#247008)); #45681=EDGE_LOOP('',(#247009,#247010,#247011,#247012)); #45682=EDGE_LOOP('',(#247013,#247014,#247015,#247016)); #45683=EDGE_LOOP('',(#247017,#247018,#247019,#247020)); #45684=EDGE_LOOP('',(#247021,#247022,#247023,#247024)); #45685=EDGE_LOOP('',(#247025,#247026,#247027,#247028)); #45686=EDGE_LOOP('',(#247029,#247030,#247031,#247032)); #45687=EDGE_LOOP('',(#247033,#247034,#247035,#247036)); #45688=EDGE_LOOP('',(#247037,#247038,#247039,#247040)); #45689=EDGE_LOOP('',(#247041,#247042,#247043,#247044)); #45690=EDGE_LOOP('',(#247045,#247046,#247047,#247048)); #45691=EDGE_LOOP('',(#247049,#247050,#247051,#247052)); #45692=EDGE_LOOP('',(#247053,#247054,#247055,#247056)); #45693=EDGE_LOOP('',(#247057,#247058,#247059,#247060)); #45694=EDGE_LOOP('',(#247061,#247062,#247063,#247064)); #45695=EDGE_LOOP('',(#247065,#247066,#247067,#247068)); #45696=EDGE_LOOP('',(#247069,#247070,#247071,#247072,#247073,#247074,#247075, #247076,#247077,#247078,#247079,#247080,#247081,#247082,#247083,#247084, #247085,#247086,#247087,#247088,#247089)); #45697=EDGE_LOOP('',(#247090)); #45698=EDGE_LOOP('',(#247091,#247092,#247093,#247094,#247095,#247096,#247097, #247098,#247099,#247100,#247101,#247102,#247103,#247104,#247105,#247106, #247107,#247108,#247109,#247110,#247111)); #45699=EDGE_LOOP('',(#247112)); #45700=EDGE_LOOP('',(#247113,#247114,#247115,#247116)); #45701=EDGE_LOOP('',(#247117,#247118,#247119,#247120)); #45702=EDGE_LOOP('',(#247121,#247122,#247123,#247124)); #45703=EDGE_LOOP('',(#247125,#247126,#247127,#247128)); #45704=EDGE_LOOP('',(#247129,#247130,#247131,#247132)); #45705=EDGE_LOOP('',(#247133,#247134,#247135,#247136)); #45706=EDGE_LOOP('',(#247137,#247138,#247139,#247140)); #45707=EDGE_LOOP('',(#247141,#247142,#247143,#247144)); #45708=EDGE_LOOP('',(#247145,#247146,#247147,#247148)); #45709=EDGE_LOOP('',(#247149,#247150,#247151,#247152)); #45710=EDGE_LOOP('',(#247153,#247154,#247155,#247156)); #45711=EDGE_LOOP('',(#247157,#247158,#247159,#247160)); #45712=EDGE_LOOP('',(#247161,#247162,#247163,#247164)); #45713=EDGE_LOOP('',(#247165,#247166,#247167,#247168)); #45714=EDGE_LOOP('',(#247169,#247170,#247171,#247172)); #45715=EDGE_LOOP('',(#247173,#247174,#247175,#247176)); #45716=EDGE_LOOP('',(#247177,#247178,#247179,#247180)); #45717=EDGE_LOOP('',(#247181,#247182,#247183,#247184)); #45718=EDGE_LOOP('',(#247185,#247186,#247187,#247188)); #45719=EDGE_LOOP('',(#247189,#247190,#247191,#247192)); #45720=EDGE_LOOP('',(#247193,#247194,#247195,#247196)); #45721=EDGE_LOOP('',(#247197,#247198,#247199,#247200)); #45722=EDGE_LOOP('',(#247201,#247202,#247203,#247204)); #45723=EDGE_LOOP('',(#247205,#247206,#247207,#247208)); #45724=EDGE_LOOP('',(#247209,#247210,#247211,#247212)); #45725=EDGE_LOOP('',(#247213,#247214,#247215,#247216)); #45726=EDGE_LOOP('',(#247217,#247218,#247219,#247220)); #45727=EDGE_LOOP('',(#247221,#247222,#247223,#247224)); #45728=EDGE_LOOP('',(#247225,#247226,#247227,#247228)); #45729=EDGE_LOOP('',(#247229,#247230,#247231,#247232)); #45730=EDGE_LOOP('',(#247233,#247234,#247235,#247236)); #45731=EDGE_LOOP('',(#247237,#247238,#247239,#247240)); #45732=EDGE_LOOP('',(#247241,#247242,#247243,#247244)); #45733=EDGE_LOOP('',(#247245,#247246,#247247,#247248)); #45734=EDGE_LOOP('',(#247249,#247250,#247251,#247252)); #45735=EDGE_LOOP('',(#247253,#247254,#247255,#247256)); #45736=EDGE_LOOP('',(#247257,#247258,#247259,#247260)); #45737=EDGE_LOOP('',(#247261,#247262,#247263,#247264)); #45738=EDGE_LOOP('',(#247265,#247266,#247267,#247268)); #45739=EDGE_LOOP('',(#247269,#247270,#247271,#247272)); #45740=EDGE_LOOP('',(#247273,#247274,#247275,#247276)); #45741=EDGE_LOOP('',(#247277,#247278,#247279,#247280)); #45742=EDGE_LOOP('',(#247281,#247282,#247283,#247284)); #45743=EDGE_LOOP('',(#247285,#247286,#247287,#247288)); #45744=EDGE_LOOP('',(#247289,#247290,#247291,#247292)); #45745=EDGE_LOOP('',(#247293,#247294,#247295,#247296)); #45746=EDGE_LOOP('',(#247297,#247298,#247299,#247300)); #45747=EDGE_LOOP('',(#247301,#247302,#247303,#247304)); #45748=EDGE_LOOP('',(#247305,#247306,#247307,#247308)); #45749=EDGE_LOOP('',(#247309,#247310,#247311,#247312)); #45750=EDGE_LOOP('',(#247313,#247314,#247315,#247316)); #45751=EDGE_LOOP('',(#247317,#247318,#247319,#247320)); #45752=EDGE_LOOP('',(#247321,#247322,#247323,#247324)); #45753=EDGE_LOOP('',(#247325,#247326,#247327,#247328)); #45754=EDGE_LOOP('',(#247329,#247330,#247331,#247332)); #45755=EDGE_LOOP('',(#247333,#247334,#247335,#247336)); #45756=EDGE_LOOP('',(#247337,#247338,#247339,#247340)); #45757=EDGE_LOOP('',(#247341,#247342,#247343,#247344)); #45758=EDGE_LOOP('',(#247345,#247346,#247347,#247348)); #45759=EDGE_LOOP('',(#247349,#247350,#247351,#247352)); #45760=EDGE_LOOP('',(#247353,#247354,#247355,#247356)); #45761=EDGE_LOOP('',(#247357,#247358,#247359,#247360)); #45762=EDGE_LOOP('',(#247361,#247362,#247363,#247364)); #45763=EDGE_LOOP('',(#247365,#247366,#247367,#247368)); #45764=EDGE_LOOP('',(#247369,#247370,#247371,#247372)); #45765=EDGE_LOOP('',(#247373,#247374,#247375,#247376)); #45766=EDGE_LOOP('',(#247377,#247378,#247379,#247380)); #45767=EDGE_LOOP('',(#247381,#247382,#247383,#247384)); #45768=EDGE_LOOP('',(#247385,#247386,#247387,#247388)); #45769=EDGE_LOOP('',(#247389,#247390,#247391,#247392)); #45770=EDGE_LOOP('',(#247393,#247394,#247395,#247396)); #45771=EDGE_LOOP('',(#247397,#247398,#247399,#247400)); #45772=EDGE_LOOP('',(#247401,#247402,#247403,#247404)); #45773=EDGE_LOOP('',(#247405,#247406,#247407,#247408)); #45774=EDGE_LOOP('',(#247409,#247410,#247411,#247412)); #45775=EDGE_LOOP('',(#247413,#247414,#247415,#247416)); #45776=EDGE_LOOP('',(#247417,#247418,#247419,#247420)); #45777=EDGE_LOOP('',(#247421,#247422,#247423,#247424)); #45778=EDGE_LOOP('',(#247425,#247426,#247427,#247428)); #45779=EDGE_LOOP('',(#247429,#247430,#247431,#247432)); #45780=EDGE_LOOP('',(#247433,#247434,#247435,#247436)); #45781=EDGE_LOOP('',(#247437,#247438,#247439,#247440)); #45782=EDGE_LOOP('',(#247441,#247442,#247443,#247444)); #45783=EDGE_LOOP('',(#247445,#247446,#247447,#247448)); #45784=EDGE_LOOP('',(#247449,#247450,#247451,#247452)); #45785=EDGE_LOOP('',(#247453,#247454,#247455,#247456)); #45786=EDGE_LOOP('',(#247457,#247458,#247459,#247460)); #45787=EDGE_LOOP('',(#247461,#247462,#247463,#247464)); #45788=EDGE_LOOP('',(#247465,#247466,#247467,#247468)); #45789=EDGE_LOOP('',(#247469,#247470,#247471,#247472)); #45790=EDGE_LOOP('',(#247473,#247474,#247475,#247476)); #45791=EDGE_LOOP('',(#247477,#247478,#247479,#247480)); #45792=EDGE_LOOP('',(#247481,#247482,#247483,#247484)); #45793=EDGE_LOOP('',(#247485,#247486,#247487,#247488)); #45794=EDGE_LOOP('',(#247489,#247490,#247491,#247492)); #45795=EDGE_LOOP('',(#247493,#247494,#247495,#247496)); #45796=EDGE_LOOP('',(#247497,#247498,#247499,#247500)); #45797=EDGE_LOOP('',(#247501,#247502,#247503,#247504)); #45798=EDGE_LOOP('',(#247505,#247506,#247507,#247508)); #45799=EDGE_LOOP('',(#247509,#247510,#247511,#247512)); #45800=EDGE_LOOP('',(#247513,#247514,#247515,#247516)); #45801=EDGE_LOOP('',(#247517,#247518,#247519,#247520)); #45802=EDGE_LOOP('',(#247521,#247522,#247523,#247524)); #45803=EDGE_LOOP('',(#247525,#247526,#247527,#247528)); #45804=EDGE_LOOP('',(#247529,#247530,#247531,#247532)); #45805=EDGE_LOOP('',(#247533,#247534,#247535,#247536)); #45806=EDGE_LOOP('',(#247537,#247538,#247539,#247540)); #45807=EDGE_LOOP('',(#247541,#247542,#247543,#247544)); #45808=EDGE_LOOP('',(#247545,#247546,#247547,#247548)); #45809=EDGE_LOOP('',(#247549,#247550,#247551,#247552)); #45810=EDGE_LOOP('',(#247553,#247554,#247555,#247556)); #45811=EDGE_LOOP('',(#247557,#247558,#247559,#247560)); #45812=EDGE_LOOP('',(#247561,#247562,#247563,#247564)); #45813=EDGE_LOOP('',(#247565,#247566,#247567,#247568)); #45814=EDGE_LOOP('',(#247569,#247570,#247571,#247572)); #45815=EDGE_LOOP('',(#247573,#247574,#247575,#247576)); #45816=EDGE_LOOP('',(#247577,#247578,#247579,#247580)); #45817=EDGE_LOOP('',(#247581,#247582,#247583,#247584)); #45818=EDGE_LOOP('',(#247585,#247586,#247587,#247588)); #45819=EDGE_LOOP('',(#247589,#247590,#247591,#247592)); #45820=EDGE_LOOP('',(#247593,#247594,#247595,#247596)); #45821=EDGE_LOOP('',(#247597,#247598,#247599,#247600)); #45822=EDGE_LOOP('',(#247601,#247602,#247603,#247604)); #45823=EDGE_LOOP('',(#247605,#247606,#247607,#247608)); #45824=EDGE_LOOP('',(#247609,#247610,#247611,#247612)); #45825=EDGE_LOOP('',(#247613,#247614,#247615,#247616)); #45826=EDGE_LOOP('',(#247617,#247618,#247619,#247620)); #45827=EDGE_LOOP('',(#247621,#247622,#247623,#247624)); #45828=EDGE_LOOP('',(#247625,#247626,#247627,#247628)); #45829=EDGE_LOOP('',(#247629,#247630,#247631,#247632)); #45830=EDGE_LOOP('',(#247633,#247634,#247635,#247636)); #45831=EDGE_LOOP('',(#247637,#247638,#247639,#247640)); #45832=EDGE_LOOP('',(#247641,#247642,#247643,#247644)); #45833=EDGE_LOOP('',(#247645,#247646,#247647,#247648)); #45834=EDGE_LOOP('',(#247649,#247650,#247651,#247652)); #45835=EDGE_LOOP('',(#247653,#247654,#247655,#247656,#247657,#247658,#247659, #247660,#247661,#247662,#247663,#247664,#247665,#247666,#247667,#247668, #247669,#247670,#247671,#247672,#247673,#247674,#247675,#247676,#247677, #247678,#247679,#247680,#247681,#247682,#247683,#247684,#247685,#247686, #247687,#247688,#247689,#247690,#247691,#247692,#247693,#247694,#247695, #247696,#247697,#247698,#247699,#247700,#247701,#247702,#247703,#247704, #247705,#247706,#247707,#247708,#247709,#247710,#247711,#247712,#247713, #247714,#247715,#247716,#247717,#247718,#247719,#247720,#247721,#247722, #247723,#247724,#247725,#247726,#247727,#247728,#247729,#247730,#247731, #247732,#247733,#247734,#247735,#247736,#247737,#247738,#247739,#247740, #247741,#247742,#247743,#247744,#247745,#247746,#247747,#247748,#247749, #247750,#247751,#247752,#247753,#247754,#247755,#247756,#247757,#247758, #247759,#247760,#247761,#247762,#247763,#247764,#247765,#247766,#247767, #247768,#247769,#247770,#247771,#247772,#247773,#247774,#247775,#247776)); #45836=EDGE_LOOP('',(#247777)); #45837=EDGE_LOOP('',(#247778)); #45838=EDGE_LOOP('',(#247779)); #45839=EDGE_LOOP('',(#247780)); #45840=EDGE_LOOP('',(#247781)); #45841=EDGE_LOOP('',(#247782)); #45842=EDGE_LOOP('',(#247783)); #45843=EDGE_LOOP('',(#247784)); #45844=EDGE_LOOP('',(#247785)); #45845=EDGE_LOOP('',(#247786)); #45846=EDGE_LOOP('',(#247787)); #45847=EDGE_LOOP('',(#247788,#247789,#247790,#247791,#247792,#247793,#247794, #247795,#247796,#247797,#247798,#247799,#247800,#247801,#247802,#247803, #247804,#247805,#247806,#247807,#247808,#247809,#247810,#247811,#247812, #247813,#247814,#247815,#247816,#247817,#247818,#247819,#247820,#247821, #247822,#247823,#247824,#247825,#247826,#247827,#247828,#247829,#247830, #247831,#247832,#247833,#247834,#247835,#247836,#247837,#247838,#247839, #247840,#247841,#247842,#247843,#247844,#247845,#247846,#247847,#247848, #247849,#247850,#247851,#247852,#247853,#247854,#247855,#247856,#247857, #247858,#247859,#247860,#247861,#247862,#247863,#247864,#247865,#247866, #247867,#247868,#247869,#247870,#247871,#247872,#247873,#247874,#247875, #247876,#247877,#247878,#247879,#247880,#247881,#247882,#247883,#247884, #247885,#247886,#247887,#247888,#247889,#247890,#247891,#247892,#247893, #247894,#247895,#247896,#247897,#247898,#247899,#247900,#247901,#247902, #247903,#247904,#247905,#247906,#247907,#247908,#247909,#247910,#247911)); #45848=EDGE_LOOP('',(#247912)); #45849=EDGE_LOOP('',(#247913)); #45850=EDGE_LOOP('',(#247914)); #45851=EDGE_LOOP('',(#247915)); #45852=EDGE_LOOP('',(#247916)); #45853=EDGE_LOOP('',(#247917)); #45854=EDGE_LOOP('',(#247918)); #45855=EDGE_LOOP('',(#247919)); #45856=EDGE_LOOP('',(#247920)); #45857=EDGE_LOOP('',(#247921)); #45858=EDGE_LOOP('',(#247922)); #45859=EDGE_LOOP('',(#247923,#247924,#247925,#247926)); #45860=EDGE_LOOP('',(#247927,#247928,#247929,#247930)); #45861=EDGE_LOOP('',(#247931,#247932,#247933,#247934)); #45862=EDGE_LOOP('',(#247935,#247936,#247937,#247938)); #45863=EDGE_LOOP('',(#247939,#247940,#247941,#247942)); #45864=EDGE_LOOP('',(#247943,#247944,#247945,#247946)); #45865=EDGE_LOOP('',(#247947,#247948,#247949,#247950)); #45866=EDGE_LOOP('',(#247951,#247952,#247953,#247954)); #45867=EDGE_LOOP('',(#247955,#247956,#247957,#247958)); #45868=EDGE_LOOP('',(#247959,#247960,#247961,#247962)); #45869=EDGE_LOOP('',(#247963,#247964,#247965,#247966)); #45870=EDGE_LOOP('',(#247967,#247968,#247969,#247970)); #45871=EDGE_LOOP('',(#247971,#247972,#247973,#247974)); #45872=EDGE_LOOP('',(#247975,#247976,#247977,#247978)); #45873=EDGE_LOOP('',(#247979,#247980,#247981,#247982)); #45874=EDGE_LOOP('',(#247983,#247984,#247985,#247986)); #45875=EDGE_LOOP('',(#247987,#247988,#247989,#247990)); #45876=EDGE_LOOP('',(#247991,#247992,#247993,#247994)); #45877=EDGE_LOOP('',(#247995,#247996,#247997,#247998)); #45878=EDGE_LOOP('',(#247999,#248000,#248001,#248002)); #45879=EDGE_LOOP('',(#248003,#248004,#248005,#248006)); #45880=EDGE_LOOP('',(#248007,#248008,#248009,#248010)); #45881=EDGE_LOOP('',(#248011,#248012,#248013,#248014)); #45882=EDGE_LOOP('',(#248015,#248016,#248017,#248018)); #45883=EDGE_LOOP('',(#248019,#248020,#248021,#248022)); #45884=EDGE_LOOP('',(#248023,#248024,#248025,#248026)); #45885=EDGE_LOOP('',(#248027,#248028,#248029,#248030)); #45886=EDGE_LOOP('',(#248031,#248032,#248033,#248034)); #45887=EDGE_LOOP('',(#248035,#248036,#248037,#248038)); #45888=EDGE_LOOP('',(#248039,#248040,#248041,#248042)); #45889=EDGE_LOOP('',(#248043,#248044,#248045,#248046)); #45890=EDGE_LOOP('',(#248047,#248048,#248049,#248050)); #45891=EDGE_LOOP('',(#248051,#248052,#248053,#248054)); #45892=EDGE_LOOP('',(#248055,#248056,#248057,#248058)); #45893=EDGE_LOOP('',(#248059,#248060,#248061,#248062)); #45894=EDGE_LOOP('',(#248063,#248064,#248065,#248066)); #45895=EDGE_LOOP('',(#248067,#248068,#248069,#248070)); #45896=EDGE_LOOP('',(#248071,#248072,#248073,#248074)); #45897=EDGE_LOOP('',(#248075,#248076,#248077,#248078)); #45898=EDGE_LOOP('',(#248079,#248080,#248081,#248082)); #45899=EDGE_LOOP('',(#248083,#248084,#248085,#248086)); #45900=EDGE_LOOP('',(#248087,#248088,#248089,#248090)); #45901=EDGE_LOOP('',(#248091,#248092,#248093,#248094)); #45902=EDGE_LOOP('',(#248095,#248096,#248097,#248098)); #45903=EDGE_LOOP('',(#248099,#248100,#248101,#248102)); #45904=EDGE_LOOP('',(#248103,#248104,#248105,#248106)); #45905=EDGE_LOOP('',(#248107,#248108,#248109,#248110)); #45906=EDGE_LOOP('',(#248111,#248112,#248113,#248114)); #45907=EDGE_LOOP('',(#248115,#248116,#248117,#248118)); #45908=EDGE_LOOP('',(#248119,#248120,#248121,#248122)); #45909=EDGE_LOOP('',(#248123,#248124,#248125,#248126)); #45910=EDGE_LOOP('',(#248127,#248128,#248129,#248130)); #45911=EDGE_LOOP('',(#248131,#248132,#248133,#248134)); #45912=EDGE_LOOP('',(#248135,#248136,#248137,#248138)); #45913=EDGE_LOOP('',(#248139,#248140,#248141,#248142)); #45914=EDGE_LOOP('',(#248143,#248144,#248145,#248146)); #45915=EDGE_LOOP('',(#248147,#248148,#248149,#248150)); #45916=EDGE_LOOP('',(#248151,#248152,#248153,#248154)); #45917=EDGE_LOOP('',(#248155,#248156,#248157,#248158)); #45918=EDGE_LOOP('',(#248159,#248160,#248161,#248162)); #45919=EDGE_LOOP('',(#248163,#248164,#248165,#248166)); #45920=EDGE_LOOP('',(#248167,#248168,#248169,#248170)); #45921=EDGE_LOOP('',(#248171,#248172,#248173,#248174)); #45922=EDGE_LOOP('',(#248175,#248176,#248177,#248178)); #45923=EDGE_LOOP('',(#248179,#248180,#248181,#248182)); #45924=EDGE_LOOP('',(#248183,#248184,#248185,#248186)); #45925=EDGE_LOOP('',(#248187,#248188,#248189,#248190)); #45926=EDGE_LOOP('',(#248191,#248192,#248193,#248194)); #45927=EDGE_LOOP('',(#248195,#248196,#248197,#248198)); #45928=EDGE_LOOP('',(#248199,#248200,#248201,#248202)); #45929=EDGE_LOOP('',(#248203,#248204,#248205,#248206)); #45930=EDGE_LOOP('',(#248207,#248208,#248209,#248210)); #45931=EDGE_LOOP('',(#248211,#248212,#248213,#248214)); #45932=EDGE_LOOP('',(#248215,#248216,#248217,#248218)); #45933=EDGE_LOOP('',(#248219,#248220,#248221,#248222)); #45934=EDGE_LOOP('',(#248223,#248224,#248225,#248226)); #45935=EDGE_LOOP('',(#248227,#248228,#248229,#248230)); #45936=EDGE_LOOP('',(#248231,#248232,#248233,#248234)); #45937=EDGE_LOOP('',(#248235,#248236,#248237,#248238)); #45938=EDGE_LOOP('',(#248239,#248240,#248241,#248242)); #45939=EDGE_LOOP('',(#248243,#248244,#248245,#248246)); #45940=EDGE_LOOP('',(#248247,#248248,#248249,#248250)); #45941=EDGE_LOOP('',(#248251,#248252,#248253,#248254)); #45942=EDGE_LOOP('',(#248255,#248256,#248257,#248258)); #45943=EDGE_LOOP('',(#248259,#248260,#248261,#248262)); #45944=EDGE_LOOP('',(#248263,#248264,#248265,#248266)); #45945=EDGE_LOOP('',(#248267,#248268,#248269,#248270)); #45946=EDGE_LOOP('',(#248271,#248272,#248273,#248274)); #45947=EDGE_LOOP('',(#248275,#248276,#248277,#248278)); #45948=EDGE_LOOP('',(#248279,#248280,#248281,#248282)); #45949=EDGE_LOOP('',(#248283,#248284,#248285,#248286)); #45950=EDGE_LOOP('',(#248287,#248288,#248289,#248290)); #45951=EDGE_LOOP('',(#248291,#248292,#248293,#248294)); #45952=EDGE_LOOP('',(#248295,#248296,#248297,#248298)); #45953=EDGE_LOOP('',(#248299,#248300,#248301,#248302)); #45954=EDGE_LOOP('',(#248303,#248304,#248305,#248306)); #45955=EDGE_LOOP('',(#248307,#248308,#248309,#248310)); #45956=EDGE_LOOP('',(#248311,#248312,#248313,#248314)); #45957=EDGE_LOOP('',(#248315,#248316,#248317,#248318)); #45958=EDGE_LOOP('',(#248319,#248320,#248321,#248322)); #45959=EDGE_LOOP('',(#248323,#248324,#248325,#248326)); #45960=EDGE_LOOP('',(#248327,#248328,#248329,#248330)); #45961=EDGE_LOOP('',(#248331,#248332,#248333,#248334)); #45962=EDGE_LOOP('',(#248335,#248336,#248337,#248338)); #45963=EDGE_LOOP('',(#248339,#248340,#248341,#248342)); #45964=EDGE_LOOP('',(#248343,#248344,#248345,#248346)); #45965=EDGE_LOOP('',(#248347,#248348,#248349,#248350)); #45966=EDGE_LOOP('',(#248351,#248352,#248353,#248354)); #45967=EDGE_LOOP('',(#248355,#248356,#248357,#248358)); #45968=EDGE_LOOP('',(#248359,#248360,#248361,#248362)); #45969=EDGE_LOOP('',(#248363,#248364,#248365,#248366)); #45970=EDGE_LOOP('',(#248367,#248368,#248369,#248370,#248371,#248372,#248373, #248374,#248375,#248376,#248377,#248378,#248379,#248380,#248381,#248382, #248383,#248384,#248385,#248386,#248387,#248388,#248389,#248390,#248391, #248392,#248393,#248394,#248395,#248396,#248397,#248398,#248399,#248400, #248401,#248402,#248403,#248404,#248405,#248406,#248407,#248408,#248409, #248410,#248411,#248412,#248413,#248414,#248415,#248416,#248417,#248418, #248419,#248420,#248421,#248422,#248423,#248424,#248425,#248426,#248427, #248428,#248429,#248430,#248431,#248432,#248433,#248434,#248435,#248436, #248437,#248438,#248439,#248440,#248441,#248442,#248443,#248444,#248445, #248446)); #45971=EDGE_LOOP('',(#248447)); #45972=EDGE_LOOP('',(#248448)); #45973=EDGE_LOOP('',(#248449)); #45974=EDGE_LOOP('',(#248450)); #45975=EDGE_LOOP('',(#248451)); #45976=EDGE_LOOP('',(#248452)); #45977=EDGE_LOOP('',(#248453)); #45978=EDGE_LOOP('',(#248454)); #45979=EDGE_LOOP('',(#248455)); #45980=EDGE_LOOP('',(#248456)); #45981=EDGE_LOOP('',(#248457)); #45982=EDGE_LOOP('',(#248458)); #45983=EDGE_LOOP('',(#248459)); #45984=EDGE_LOOP('',(#248460)); #45985=EDGE_LOOP('',(#248461)); #45986=EDGE_LOOP('',(#248462)); #45987=EDGE_LOOP('',(#248463)); #45988=EDGE_LOOP('',(#248464)); #45989=EDGE_LOOP('',(#248465)); #45990=EDGE_LOOP('',(#248466)); #45991=EDGE_LOOP('',(#248467)); #45992=EDGE_LOOP('',(#248468)); #45993=EDGE_LOOP('',(#248469)); #45994=EDGE_LOOP('',(#248470)); #45995=EDGE_LOOP('',(#248471)); #45996=EDGE_LOOP('',(#248472)); #45997=EDGE_LOOP('',(#248473)); #45998=EDGE_LOOP('',(#248474)); #45999=EDGE_LOOP('',(#248475)); #46000=EDGE_LOOP('',(#248476)); #46001=EDGE_LOOP('',(#248477)); #46002=EDGE_LOOP('',(#248478,#248479,#248480,#248481,#248482,#248483,#248484, #248485,#248486,#248487,#248488,#248489,#248490,#248491,#248492,#248493, #248494,#248495,#248496,#248497,#248498,#248499,#248500,#248501,#248502, #248503,#248504,#248505,#248506,#248507,#248508,#248509,#248510,#248511, #248512,#248513,#248514,#248515,#248516,#248517,#248518,#248519,#248520, #248521,#248522,#248523,#248524,#248525,#248526,#248527,#248528,#248529, #248530,#248531,#248532,#248533,#248534,#248535,#248536,#248537,#248538, #248539,#248540,#248541,#248542,#248543,#248544,#248545,#248546,#248547, #248548,#248549,#248550,#248551,#248552,#248553,#248554,#248555,#248556, #248557)); #46003=EDGE_LOOP('',(#248558)); #46004=EDGE_LOOP('',(#248559)); #46005=EDGE_LOOP('',(#248560)); #46006=EDGE_LOOP('',(#248561)); #46007=EDGE_LOOP('',(#248562)); #46008=EDGE_LOOP('',(#248563)); #46009=EDGE_LOOP('',(#248564)); #46010=EDGE_LOOP('',(#248565)); #46011=EDGE_LOOP('',(#248566)); #46012=EDGE_LOOP('',(#248567)); #46013=EDGE_LOOP('',(#248568)); #46014=EDGE_LOOP('',(#248569)); #46015=EDGE_LOOP('',(#248570)); #46016=EDGE_LOOP('',(#248571)); #46017=EDGE_LOOP('',(#248572)); #46018=EDGE_LOOP('',(#248573)); #46019=EDGE_LOOP('',(#248574)); #46020=EDGE_LOOP('',(#248575)); #46021=EDGE_LOOP('',(#248576)); #46022=EDGE_LOOP('',(#248577)); #46023=EDGE_LOOP('',(#248578)); #46024=EDGE_LOOP('',(#248579)); #46025=EDGE_LOOP('',(#248580)); #46026=EDGE_LOOP('',(#248581)); #46027=EDGE_LOOP('',(#248582)); #46028=EDGE_LOOP('',(#248583)); #46029=EDGE_LOOP('',(#248584)); #46030=EDGE_LOOP('',(#248585)); #46031=EDGE_LOOP('',(#248586)); #46032=EDGE_LOOP('',(#248587)); #46033=EDGE_LOOP('',(#248588)); #46034=EDGE_LOOP('',(#248589,#248590,#248591,#248592)); #46035=EDGE_LOOP('',(#248593,#248594,#248595,#248596)); #46036=EDGE_LOOP('',(#248597,#248598,#248599,#248600)); #46037=EDGE_LOOP('',(#248601,#248602,#248603,#248604)); #46038=EDGE_LOOP('',(#248605,#248606,#248607,#248608)); #46039=EDGE_LOOP('',(#248609,#248610,#248611,#248612)); #46040=EDGE_LOOP('',(#248613,#248614,#248615,#248616)); #46041=EDGE_LOOP('',(#248617,#248618,#248619,#248620)); #46042=EDGE_LOOP('',(#248621,#248622,#248623,#248624)); #46043=EDGE_LOOP('',(#248625,#248626,#248627,#248628)); #46044=EDGE_LOOP('',(#248629,#248630,#248631,#248632)); #46045=EDGE_LOOP('',(#248633,#248634,#248635,#248636)); #46046=EDGE_LOOP('',(#248637,#248638,#248639,#248640)); #46047=EDGE_LOOP('',(#248641,#248642,#248643,#248644)); #46048=EDGE_LOOP('',(#248645,#248646,#248647,#248648)); #46049=EDGE_LOOP('',(#248649,#248650,#248651,#248652)); #46050=EDGE_LOOP('',(#248653,#248654,#248655,#248656)); #46051=EDGE_LOOP('',(#248657,#248658,#248659,#248660)); #46052=EDGE_LOOP('',(#248661,#248662,#248663,#248664)); #46053=EDGE_LOOP('',(#248665,#248666,#248667,#248668)); #46054=EDGE_LOOP('',(#248669,#248670,#248671,#248672)); #46055=EDGE_LOOP('',(#248673,#248674,#248675,#248676)); #46056=EDGE_LOOP('',(#248677,#248678,#248679,#248680,#248681,#248682,#248683, #248684,#248685,#248686,#248687,#248688,#248689,#248690,#248691,#248692, #248693,#248694,#248695,#248696,#248697)); #46057=EDGE_LOOP('',(#248698)); #46058=EDGE_LOOP('',(#248699,#248700,#248701,#248702,#248703,#248704,#248705, #248706,#248707,#248708,#248709,#248710,#248711,#248712,#248713,#248714, #248715,#248716,#248717,#248718,#248719)); #46059=EDGE_LOOP('',(#248720)); #46060=EDGE_LOOP('',(#248721,#248722,#248723,#248724)); #46061=EDGE_LOOP('',(#248725,#248726,#248727,#248728)); #46062=EDGE_LOOP('',(#248729,#248730,#248731,#248732)); #46063=EDGE_LOOP('',(#248733,#248734,#248735,#248736)); #46064=EDGE_LOOP('',(#248737,#248738,#248739,#248740)); #46065=EDGE_LOOP('',(#248741,#248742,#248743,#248744)); #46066=EDGE_LOOP('',(#248745,#248746,#248747,#248748)); #46067=EDGE_LOOP('',(#248749,#248750,#248751,#248752)); #46068=EDGE_LOOP('',(#248753,#248754,#248755,#248756)); #46069=EDGE_LOOP('',(#248757,#248758,#248759,#248760)); #46070=EDGE_LOOP('',(#248761,#248762,#248763,#248764)); #46071=EDGE_LOOP('',(#248765,#248766,#248767,#248768)); #46072=EDGE_LOOP('',(#248769,#248770,#248771,#248772)); #46073=EDGE_LOOP('',(#248773,#248774,#248775,#248776)); #46074=EDGE_LOOP('',(#248777,#248778,#248779,#248780)); #46075=EDGE_LOOP('',(#248781,#248782,#248783,#248784)); #46076=EDGE_LOOP('',(#248785,#248786,#248787,#248788)); #46077=EDGE_LOOP('',(#248789,#248790,#248791,#248792)); #46078=EDGE_LOOP('',(#248793,#248794,#248795,#248796)); #46079=EDGE_LOOP('',(#248797,#248798,#248799,#248800)); #46080=EDGE_LOOP('',(#248801,#248802,#248803,#248804)); #46081=EDGE_LOOP('',(#248805,#248806,#248807,#248808)); #46082=EDGE_LOOP('',(#248809,#248810,#248811,#248812)); #46083=EDGE_LOOP('',(#248813,#248814,#248815,#248816)); #46084=EDGE_LOOP('',(#248817,#248818,#248819,#248820)); #46085=EDGE_LOOP('',(#248821,#248822,#248823,#248824)); #46086=EDGE_LOOP('',(#248825,#248826,#248827,#248828)); #46087=EDGE_LOOP('',(#248829,#248830,#248831,#248832)); #46088=EDGE_LOOP('',(#248833,#248834,#248835,#248836)); #46089=EDGE_LOOP('',(#248837,#248838,#248839,#248840)); #46090=EDGE_LOOP('',(#248841,#248842,#248843,#248844)); #46091=EDGE_LOOP('',(#248845,#248846,#248847,#248848)); #46092=EDGE_LOOP('',(#248849,#248850,#248851,#248852)); #46093=EDGE_LOOP('',(#248853,#248854,#248855,#248856)); #46094=EDGE_LOOP('',(#248857,#248858,#248859,#248860)); #46095=EDGE_LOOP('',(#248861,#248862,#248863,#248864)); #46096=EDGE_LOOP('',(#248865,#248866,#248867,#248868)); #46097=EDGE_LOOP('',(#248869,#248870,#248871,#248872)); #46098=EDGE_LOOP('',(#248873,#248874,#248875,#248876)); #46099=EDGE_LOOP('',(#248877,#248878,#248879,#248880)); #46100=EDGE_LOOP('',(#248881,#248882,#248883,#248884)); #46101=EDGE_LOOP('',(#248885,#248886,#248887,#248888)); #46102=EDGE_LOOP('',(#248889,#248890,#248891,#248892)); #46103=EDGE_LOOP('',(#248893,#248894,#248895,#248896)); #46104=EDGE_LOOP('',(#248897,#248898,#248899,#248900)); #46105=EDGE_LOOP('',(#248901,#248902,#248903,#248904)); #46106=EDGE_LOOP('',(#248905,#248906,#248907,#248908)); #46107=EDGE_LOOP('',(#248909,#248910,#248911,#248912)); #46108=EDGE_LOOP('',(#248913,#248914,#248915,#248916)); #46109=EDGE_LOOP('',(#248917,#248918,#248919,#248920)); #46110=EDGE_LOOP('',(#248921,#248922,#248923,#248924)); #46111=EDGE_LOOP('',(#248925,#248926,#248927,#248928)); #46112=EDGE_LOOP('',(#248929,#248930,#248931,#248932)); #46113=EDGE_LOOP('',(#248933,#248934,#248935,#248936)); #46114=EDGE_LOOP('',(#248937,#248938,#248939,#248940)); #46115=EDGE_LOOP('',(#248941,#248942,#248943,#248944)); #46116=EDGE_LOOP('',(#248945,#248946,#248947,#248948)); #46117=EDGE_LOOP('',(#248949,#248950,#248951,#248952)); #46118=EDGE_LOOP('',(#248953,#248954,#248955,#248956)); #46119=EDGE_LOOP('',(#248957,#248958,#248959,#248960)); #46120=EDGE_LOOP('',(#248961,#248962,#248963,#248964)); #46121=EDGE_LOOP('',(#248965,#248966,#248967,#248968)); #46122=EDGE_LOOP('',(#248969,#248970,#248971,#248972)); #46123=EDGE_LOOP('',(#248973,#248974,#248975,#248976)); #46124=EDGE_LOOP('',(#248977,#248978,#248979,#248980)); #46125=EDGE_LOOP('',(#248981,#248982,#248983,#248984)); #46126=EDGE_LOOP('',(#248985,#248986,#248987,#248988)); #46127=EDGE_LOOP('',(#248989,#248990,#248991,#248992)); #46128=EDGE_LOOP('',(#248993,#248994,#248995,#248996)); #46129=EDGE_LOOP('',(#248997,#248998,#248999,#249000)); #46130=EDGE_LOOP('',(#249001,#249002,#249003,#249004)); #46131=EDGE_LOOP('',(#249005,#249006,#249007,#249008)); #46132=EDGE_LOOP('',(#249009,#249010,#249011,#249012)); #46133=EDGE_LOOP('',(#249013,#249014,#249015,#249016)); #46134=EDGE_LOOP('',(#249017,#249018,#249019,#249020)); #46135=EDGE_LOOP('',(#249021,#249022,#249023,#249024)); #46136=EDGE_LOOP('',(#249025,#249026,#249027,#249028)); #46137=EDGE_LOOP('',(#249029,#249030,#249031,#249032)); #46138=EDGE_LOOP('',(#249033,#249034,#249035,#249036)); #46139=EDGE_LOOP('',(#249037,#249038,#249039,#249040)); #46140=EDGE_LOOP('',(#249041,#249042,#249043,#249044)); #46141=EDGE_LOOP('',(#249045,#249046,#249047,#249048)); #46142=EDGE_LOOP('',(#249049,#249050,#249051,#249052)); #46143=EDGE_LOOP('',(#249053,#249054,#249055,#249056)); #46144=EDGE_LOOP('',(#249057,#249058,#249059,#249060)); #46145=EDGE_LOOP('',(#249061,#249062,#249063,#249064)); #46146=EDGE_LOOP('',(#249065,#249066,#249067,#249068)); #46147=EDGE_LOOP('',(#249069,#249070,#249071,#249072)); #46148=EDGE_LOOP('',(#249073,#249074,#249075,#249076)); #46149=EDGE_LOOP('',(#249077,#249078,#249079,#249080)); #46150=EDGE_LOOP('',(#249081,#249082,#249083,#249084)); #46151=EDGE_LOOP('',(#249085,#249086,#249087,#249088)); #46152=EDGE_LOOP('',(#249089,#249090,#249091,#249092)); #46153=EDGE_LOOP('',(#249093,#249094,#249095,#249096)); #46154=EDGE_LOOP('',(#249097,#249098,#249099,#249100)); #46155=EDGE_LOOP('',(#249101,#249102,#249103,#249104)); #46156=EDGE_LOOP('',(#249105,#249106,#249107,#249108)); #46157=EDGE_LOOP('',(#249109,#249110,#249111,#249112)); #46158=EDGE_LOOP('',(#249113,#249114,#249115,#249116)); #46159=EDGE_LOOP('',(#249117,#249118,#249119,#249120)); #46160=EDGE_LOOP('',(#249121,#249122,#249123,#249124)); #46161=EDGE_LOOP('',(#249125,#249126,#249127,#249128)); #46162=EDGE_LOOP('',(#249129,#249130,#249131,#249132)); #46163=EDGE_LOOP('',(#249133,#249134,#249135,#249136)); #46164=EDGE_LOOP('',(#249137,#249138,#249139,#249140)); #46165=EDGE_LOOP('',(#249141,#249142,#249143,#249144)); #46166=EDGE_LOOP('',(#249145,#249146,#249147,#249148)); #46167=EDGE_LOOP('',(#249149,#249150,#249151,#249152)); #46168=EDGE_LOOP('',(#249153,#249154,#249155,#249156)); #46169=EDGE_LOOP('',(#249157,#249158,#249159,#249160)); #46170=EDGE_LOOP('',(#249161,#249162,#249163,#249164)); #46171=EDGE_LOOP('',(#249165,#249166,#249167,#249168)); #46172=EDGE_LOOP('',(#249169,#249170,#249171,#249172)); #46173=EDGE_LOOP('',(#249173,#249174,#249175,#249176)); #46174=EDGE_LOOP('',(#249177,#249178,#249179,#249180)); #46175=EDGE_LOOP('',(#249181,#249182,#249183,#249184)); #46176=EDGE_LOOP('',(#249185,#249186,#249187,#249188)); #46177=EDGE_LOOP('',(#249189,#249190,#249191,#249192)); #46178=EDGE_LOOP('',(#249193,#249194,#249195,#249196)); #46179=EDGE_LOOP('',(#249197,#249198,#249199,#249200)); #46180=EDGE_LOOP('',(#249201,#249202,#249203,#249204)); #46181=EDGE_LOOP('',(#249205,#249206,#249207,#249208)); #46182=EDGE_LOOP('',(#249209,#249210,#249211,#249212)); #46183=EDGE_LOOP('',(#249213,#249214,#249215,#249216)); #46184=EDGE_LOOP('',(#249217,#249218,#249219,#249220)); #46185=EDGE_LOOP('',(#249221,#249222,#249223,#249224)); #46186=EDGE_LOOP('',(#249225,#249226,#249227,#249228)); #46187=EDGE_LOOP('',(#249229,#249230,#249231,#249232)); #46188=EDGE_LOOP('',(#249233,#249234,#249235,#249236)); #46189=EDGE_LOOP('',(#249237,#249238,#249239,#249240)); #46190=EDGE_LOOP('',(#249241,#249242,#249243,#249244)); #46191=EDGE_LOOP('',(#249245,#249246,#249247,#249248)); #46192=EDGE_LOOP('',(#249249,#249250,#249251,#249252)); #46193=EDGE_LOOP('',(#249253,#249254,#249255,#249256)); #46194=EDGE_LOOP('',(#249257,#249258,#249259,#249260)); #46195=EDGE_LOOP('',(#249261,#249262,#249263,#249264)); #46196=EDGE_LOOP('',(#249265,#249266,#249267,#249268)); #46197=EDGE_LOOP('',(#249269,#249270,#249271,#249272)); #46198=EDGE_LOOP('',(#249273,#249274,#249275,#249276)); #46199=EDGE_LOOP('',(#249277,#249278,#249279,#249280)); #46200=EDGE_LOOP('',(#249281,#249282,#249283,#249284)); #46201=EDGE_LOOP('',(#249285,#249286,#249287,#249288)); #46202=EDGE_LOOP('',(#249289,#249290,#249291,#249292)); #46203=EDGE_LOOP('',(#249293,#249294,#249295,#249296)); #46204=EDGE_LOOP('',(#249297,#249298,#249299,#249300)); #46205=EDGE_LOOP('',(#249301,#249302,#249303,#249304)); #46206=EDGE_LOOP('',(#249305,#249306,#249307,#249308)); #46207=EDGE_LOOP('',(#249309,#249310,#249311,#249312)); #46208=EDGE_LOOP('',(#249313,#249314,#249315,#249316)); #46209=EDGE_LOOP('',(#249317,#249318,#249319,#249320)); #46210=EDGE_LOOP('',(#249321,#249322,#249323,#249324)); #46211=EDGE_LOOP('',(#249325,#249326,#249327,#249328)); #46212=EDGE_LOOP('',(#249329,#249330,#249331,#249332)); #46213=EDGE_LOOP('',(#249333,#249334,#249335,#249336)); #46214=EDGE_LOOP('',(#249337,#249338,#249339,#249340)); #46215=EDGE_LOOP('',(#249341,#249342,#249343,#249344)); #46216=EDGE_LOOP('',(#249345,#249346,#249347,#249348)); #46217=EDGE_LOOP('',(#249349,#249350,#249351,#249352)); #46218=EDGE_LOOP('',(#249353,#249354,#249355,#249356)); #46219=EDGE_LOOP('',(#249357,#249358,#249359,#249360)); #46220=EDGE_LOOP('',(#249361,#249362,#249363,#249364)); #46221=EDGE_LOOP('',(#249365,#249366,#249367,#249368)); #46222=EDGE_LOOP('',(#249369,#249370,#249371,#249372)); #46223=EDGE_LOOP('',(#249373,#249374,#249375,#249376)); #46224=EDGE_LOOP('',(#249377,#249378,#249379,#249380)); #46225=EDGE_LOOP('',(#249381,#249382,#249383,#249384)); #46226=EDGE_LOOP('',(#249385,#249386,#249387,#249388)); #46227=EDGE_LOOP('',(#249389,#249390,#249391,#249392)); #46228=EDGE_LOOP('',(#249393,#249394,#249395,#249396)); #46229=EDGE_LOOP('',(#249397,#249398,#249399,#249400)); #46230=EDGE_LOOP('',(#249401,#249402,#249403,#249404)); #46231=EDGE_LOOP('',(#249405,#249406,#249407,#249408)); #46232=EDGE_LOOP('',(#249409,#249410,#249411,#249412)); #46233=EDGE_LOOP('',(#249413,#249414,#249415,#249416)); #46234=EDGE_LOOP('',(#249417,#249418,#249419,#249420)); #46235=EDGE_LOOP('',(#249421,#249422,#249423,#249424)); #46236=EDGE_LOOP('',(#249425,#249426,#249427,#249428)); #46237=EDGE_LOOP('',(#249429,#249430,#249431,#249432)); #46238=EDGE_LOOP('',(#249433,#249434,#249435,#249436)); #46239=EDGE_LOOP('',(#249437,#249438,#249439,#249440)); #46240=EDGE_LOOP('',(#249441,#249442,#249443,#249444)); #46241=EDGE_LOOP('',(#249445,#249446,#249447,#249448)); #46242=EDGE_LOOP('',(#249449,#249450,#249451,#249452)); #46243=EDGE_LOOP('',(#249453,#249454,#249455,#249456)); #46244=EDGE_LOOP('',(#249457,#249458,#249459,#249460)); #46245=EDGE_LOOP('',(#249461,#249462,#249463,#249464)); #46246=EDGE_LOOP('',(#249465,#249466,#249467,#249468)); #46247=EDGE_LOOP('',(#249469,#249470,#249471,#249472)); #46248=EDGE_LOOP('',(#249473,#249474,#249475,#249476)); #46249=EDGE_LOOP('',(#249477,#249478,#249479,#249480)); #46250=EDGE_LOOP('',(#249481,#249482,#249483,#249484)); #46251=EDGE_LOOP('',(#249485,#249486,#249487,#249488)); #46252=EDGE_LOOP('',(#249489,#249490,#249491,#249492)); #46253=EDGE_LOOP('',(#249493,#249494,#249495,#249496)); #46254=EDGE_LOOP('',(#249497,#249498,#249499,#249500)); #46255=EDGE_LOOP('',(#249501,#249502,#249503,#249504)); #46256=EDGE_LOOP('',(#249505,#249506,#249507,#249508)); #46257=EDGE_LOOP('',(#249509,#249510,#249511,#249512)); #46258=EDGE_LOOP('',(#249513,#249514,#249515,#249516)); #46259=EDGE_LOOP('',(#249517,#249518,#249519,#249520)); #46260=EDGE_LOOP('',(#249521,#249522,#249523,#249524)); #46261=EDGE_LOOP('',(#249525,#249526,#249527,#249528)); #46262=EDGE_LOOP('',(#249529,#249530,#249531,#249532)); #46263=EDGE_LOOP('',(#249533,#249534,#249535,#249536)); #46264=EDGE_LOOP('',(#249537,#249538,#249539,#249540)); #46265=EDGE_LOOP('',(#249541,#249542,#249543,#249544)); #46266=EDGE_LOOP('',(#249545,#249546,#249547,#249548)); #46267=EDGE_LOOP('',(#249549,#249550,#249551,#249552)); #46268=EDGE_LOOP('',(#249553,#249554,#249555,#249556)); #46269=EDGE_LOOP('',(#249557,#249558,#249559,#249560)); #46270=EDGE_LOOP('',(#249561,#249562,#249563,#249564)); #46271=EDGE_LOOP('',(#249565,#249566,#249567,#249568)); #46272=EDGE_LOOP('',(#249569,#249570,#249571,#249572)); #46273=EDGE_LOOP('',(#249573,#249574,#249575,#249576)); #46274=EDGE_LOOP('',(#249577,#249578,#249579,#249580)); #46275=EDGE_LOOP('',(#249581,#249582,#249583,#249584)); #46276=EDGE_LOOP('',(#249585,#249586,#249587,#249588)); #46277=EDGE_LOOP('',(#249589,#249590,#249591,#249592)); #46278=EDGE_LOOP('',(#249593,#249594,#249595,#249596)); #46279=EDGE_LOOP('',(#249597,#249598,#249599,#249600)); #46280=EDGE_LOOP('',(#249601,#249602,#249603,#249604)); #46281=EDGE_LOOP('',(#249605,#249606,#249607,#249608)); #46282=EDGE_LOOP('',(#249609,#249610,#249611,#249612)); #46283=EDGE_LOOP('',(#249613,#249614,#249615,#249616)); #46284=EDGE_LOOP('',(#249617,#249618,#249619,#249620)); #46285=EDGE_LOOP('',(#249621,#249622,#249623,#249624)); #46286=EDGE_LOOP('',(#249625,#249626,#249627,#249628)); #46287=EDGE_LOOP('',(#249629,#249630,#249631,#249632)); #46288=EDGE_LOOP('',(#249633,#249634,#249635,#249636)); #46289=EDGE_LOOP('',(#249637,#249638,#249639,#249640)); #46290=EDGE_LOOP('',(#249641,#249642,#249643,#249644)); #46291=EDGE_LOOP('',(#249645,#249646,#249647,#249648)); #46292=EDGE_LOOP('',(#249649,#249650,#249651,#249652)); #46293=EDGE_LOOP('',(#249653,#249654,#249655,#249656)); #46294=EDGE_LOOP('',(#249657,#249658,#249659,#249660)); #46295=EDGE_LOOP('',(#249661,#249662,#249663,#249664)); #46296=EDGE_LOOP('',(#249665,#249666,#249667,#249668)); #46297=EDGE_LOOP('',(#249669,#249670,#249671,#249672)); #46298=EDGE_LOOP('',(#249673,#249674,#249675,#249676)); #46299=EDGE_LOOP('',(#249677,#249678,#249679,#249680)); #46300=EDGE_LOOP('',(#249681,#249682,#249683,#249684)); #46301=EDGE_LOOP('',(#249685,#249686,#249687,#249688)); #46302=EDGE_LOOP('',(#249689,#249690,#249691,#249692)); #46303=EDGE_LOOP('',(#249693,#249694,#249695,#249696)); #46304=EDGE_LOOP('',(#249697,#249698,#249699,#249700)); #46305=EDGE_LOOP('',(#249701,#249702,#249703,#249704)); #46306=EDGE_LOOP('',(#249705,#249706,#249707,#249708)); #46307=EDGE_LOOP('',(#249709,#249710,#249711,#249712)); #46308=EDGE_LOOP('',(#249713,#249714,#249715,#249716)); #46309=EDGE_LOOP('',(#249717,#249718,#249719,#249720)); #46310=EDGE_LOOP('',(#249721,#249722,#249723,#249724)); #46311=EDGE_LOOP('',(#249725,#249726,#249727,#249728)); #46312=EDGE_LOOP('',(#249729,#249730,#249731,#249732)); #46313=EDGE_LOOP('',(#249733,#249734,#249735,#249736)); #46314=EDGE_LOOP('',(#249737,#249738,#249739,#249740)); #46315=EDGE_LOOP('',(#249741,#249742,#249743,#249744)); #46316=EDGE_LOOP('',(#249745,#249746,#249747,#249748)); #46317=EDGE_LOOP('',(#249749,#249750,#249751,#249752)); #46318=EDGE_LOOP('',(#249753,#249754,#249755,#249756)); #46319=EDGE_LOOP('',(#249757,#249758,#249759,#249760)); #46320=EDGE_LOOP('',(#249761,#249762,#249763,#249764)); #46321=EDGE_LOOP('',(#249765,#249766,#249767,#249768)); #46322=EDGE_LOOP('',(#249769,#249770,#249771,#249772)); #46323=EDGE_LOOP('',(#249773,#249774,#249775,#249776)); #46324=EDGE_LOOP('',(#249777,#249778,#249779,#249780)); #46325=EDGE_LOOP('',(#249781,#249782,#249783,#249784)); #46326=EDGE_LOOP('',(#249785,#249786,#249787,#249788)); #46327=EDGE_LOOP('',(#249789,#249790,#249791,#249792)); #46328=EDGE_LOOP('',(#249793,#249794,#249795,#249796)); #46329=EDGE_LOOP('',(#249797,#249798,#249799,#249800)); #46330=EDGE_LOOP('',(#249801,#249802,#249803,#249804)); #46331=EDGE_LOOP('',(#249805,#249806,#249807,#249808)); #46332=EDGE_LOOP('',(#249809,#249810,#249811,#249812)); #46333=EDGE_LOOP('',(#249813,#249814,#249815,#249816)); #46334=EDGE_LOOP('',(#249817,#249818,#249819,#249820)); #46335=EDGE_LOOP('',(#249821,#249822,#249823,#249824)); #46336=EDGE_LOOP('',(#249825,#249826,#249827,#249828)); #46337=EDGE_LOOP('',(#249829,#249830,#249831,#249832)); #46338=EDGE_LOOP('',(#249833,#249834,#249835,#249836)); #46339=EDGE_LOOP('',(#249837,#249838,#249839,#249840)); #46340=EDGE_LOOP('',(#249841,#249842,#249843,#249844)); #46341=EDGE_LOOP('',(#249845,#249846,#249847,#249848)); #46342=EDGE_LOOP('',(#249849,#249850,#249851,#249852)); #46343=EDGE_LOOP('',(#249853,#249854,#249855,#249856)); #46344=EDGE_LOOP('',(#249857,#249858,#249859,#249860)); #46345=EDGE_LOOP('',(#249861,#249862,#249863,#249864)); #46346=EDGE_LOOP('',(#249865,#249866,#249867,#249868)); #46347=EDGE_LOOP('',(#249869,#249870,#249871,#249872)); #46348=EDGE_LOOP('',(#249873,#249874,#249875,#249876)); #46349=EDGE_LOOP('',(#249877,#249878,#249879,#249880)); #46350=EDGE_LOOP('',(#249881,#249882,#249883,#249884)); #46351=EDGE_LOOP('',(#249885,#249886,#249887,#249888)); #46352=EDGE_LOOP('',(#249889,#249890,#249891,#249892)); #46353=EDGE_LOOP('',(#249893,#249894,#249895,#249896)); #46354=EDGE_LOOP('',(#249897,#249898,#249899,#249900)); #46355=EDGE_LOOP('',(#249901,#249902,#249903,#249904)); #46356=EDGE_LOOP('',(#249905,#249906,#249907,#249908)); #46357=EDGE_LOOP('',(#249909,#249910,#249911,#249912)); #46358=EDGE_LOOP('',(#249913,#249914,#249915,#249916)); #46359=EDGE_LOOP('',(#249917,#249918,#249919,#249920)); #46360=EDGE_LOOP('',(#249921,#249922,#249923,#249924)); #46361=EDGE_LOOP('',(#249925,#249926,#249927,#249928)); #46362=EDGE_LOOP('',(#249929,#249930,#249931,#249932)); #46363=EDGE_LOOP('',(#249933,#249934,#249935,#249936)); #46364=EDGE_LOOP('',(#249937,#249938,#249939,#249940)); #46365=EDGE_LOOP('',(#249941,#249942,#249943,#249944)); #46366=EDGE_LOOP('',(#249945,#249946,#249947,#249948)); #46367=EDGE_LOOP('',(#249949,#249950,#249951,#249952)); #46368=EDGE_LOOP('',(#249953,#249954,#249955,#249956)); #46369=EDGE_LOOP('',(#249957,#249958,#249959,#249960)); #46370=EDGE_LOOP('',(#249961,#249962,#249963,#249964)); #46371=EDGE_LOOP('',(#249965,#249966,#249967,#249968)); #46372=EDGE_LOOP('',(#249969,#249970,#249971,#249972)); #46373=EDGE_LOOP('',(#249973,#249974,#249975,#249976)); #46374=EDGE_LOOP('',(#249977,#249978,#249979,#249980)); #46375=EDGE_LOOP('',(#249981,#249982,#249983,#249984)); #46376=EDGE_LOOP('',(#249985,#249986,#249987,#249988)); #46377=EDGE_LOOP('',(#249989,#249990,#249991,#249992)); #46378=EDGE_LOOP('',(#249993,#249994,#249995,#249996)); #46379=EDGE_LOOP('',(#249997,#249998,#249999,#250000)); #46380=EDGE_LOOP('',(#250001,#250002,#250003,#250004)); #46381=EDGE_LOOP('',(#250005,#250006,#250007,#250008)); #46382=EDGE_LOOP('',(#250009,#250010,#250011,#250012)); #46383=EDGE_LOOP('',(#250013,#250014,#250015,#250016)); #46384=EDGE_LOOP('',(#250017,#250018,#250019,#250020)); #46385=EDGE_LOOP('',(#250021,#250022,#250023,#250024)); #46386=EDGE_LOOP('',(#250025,#250026,#250027,#250028)); #46387=EDGE_LOOP('',(#250029,#250030,#250031,#250032)); #46388=EDGE_LOOP('',(#250033,#250034,#250035,#250036)); #46389=EDGE_LOOP('',(#250037,#250038,#250039,#250040)); #46390=EDGE_LOOP('',(#250041,#250042,#250043,#250044)); #46391=EDGE_LOOP('',(#250045,#250046,#250047,#250048)); #46392=EDGE_LOOP('',(#250049,#250050,#250051,#250052)); #46393=EDGE_LOOP('',(#250053,#250054,#250055,#250056)); #46394=EDGE_LOOP('',(#250057,#250058,#250059,#250060)); #46395=EDGE_LOOP('',(#250061,#250062,#250063,#250064)); #46396=EDGE_LOOP('',(#250065,#250066,#250067,#250068)); #46397=EDGE_LOOP('',(#250069,#250070,#250071,#250072)); #46398=EDGE_LOOP('',(#250073,#250074,#250075,#250076,#250077,#250078,#250079, #250080,#250081,#250082,#250083,#250084,#250085,#250086,#250087,#250088, #250089,#250090,#250091,#250092,#250093,#250094,#250095,#250096,#250097, #250098,#250099,#250100,#250101,#250102,#250103,#250104,#250105,#250106, #250107,#250108,#250109,#250110,#250111,#250112,#250113,#250114,#250115, #250116,#250117,#250118,#250119,#250120,#250121,#250122,#250123,#250124, #250125,#250126,#250127,#250128,#250129,#250130,#250131,#250132,#250133, #250134,#250135,#250136,#250137,#250138,#250139,#250140,#250141,#250142, #250143,#250144,#250145,#250146,#250147,#250148,#250149,#250150,#250151, #250152,#250153,#250154,#250155,#250156,#250157,#250158,#250159,#250160, #250161,#250162,#250163,#250164,#250165,#250166,#250167,#250168,#250169, #250170,#250171,#250172,#250173,#250174,#250175,#250176,#250177,#250178, #250179,#250180,#250181,#250182,#250183,#250184,#250185,#250186,#250187, #250188,#250189,#250190,#250191,#250192,#250193,#250194,#250195,#250196, #250197,#250198,#250199,#250200,#250201,#250202,#250203,#250204,#250205, #250206,#250207,#250208,#250209,#250210,#250211,#250212,#250213,#250214, #250215,#250216,#250217,#250218,#250219,#250220,#250221,#250222,#250223, #250224,#250225,#250226,#250227,#250228,#250229,#250230,#250231,#250232, #250233,#250234,#250235,#250236,#250237,#250238,#250239,#250240,#250241, #250242,#250243,#250244,#250245,#250246,#250247,#250248,#250249,#250250, #250251,#250252,#250253,#250254,#250255,#250256,#250257,#250258,#250259, #250260,#250261,#250262,#250263,#250264,#250265,#250266,#250267,#250268, #250269,#250270,#250271,#250272,#250273,#250274,#250275,#250276,#250277, #250278,#250279,#250280,#250281,#250282,#250283,#250284,#250285,#250286, #250287,#250288,#250289,#250290,#250291,#250292,#250293,#250294,#250295, #250296,#250297,#250298,#250299,#250300,#250301,#250302,#250303,#250304, #250305,#250306,#250307,#250308,#250309,#250310,#250311,#250312,#250313, #250314,#250315,#250316,#250317,#250318,#250319,#250320,#250321,#250322, #250323,#250324,#250325,#250326,#250327,#250328,#250329,#250330,#250331, #250332,#250333,#250334,#250335,#250336,#250337,#250338,#250339,#250340, #250341,#250342,#250343,#250344,#250345,#250346,#250347,#250348,#250349, #250350,#250351,#250352,#250353,#250354,#250355,#250356,#250357,#250358, #250359,#250360,#250361,#250362,#250363,#250364,#250365,#250366,#250367, #250368,#250369,#250370)); #46399=EDGE_LOOP('',(#250371)); #46400=EDGE_LOOP('',(#250372)); #46401=EDGE_LOOP('',(#250373)); #46402=EDGE_LOOP('',(#250374)); #46403=EDGE_LOOP('',(#250375)); #46404=EDGE_LOOP('',(#250376)); #46405=EDGE_LOOP('',(#250377)); #46406=EDGE_LOOP('',(#250378)); #46407=EDGE_LOOP('',(#250379)); #46408=EDGE_LOOP('',(#250380)); #46409=EDGE_LOOP('',(#250381)); #46410=EDGE_LOOP('',(#250382)); #46411=EDGE_LOOP('',(#250383)); #46412=EDGE_LOOP('',(#250384)); #46413=EDGE_LOOP('',(#250385)); #46414=EDGE_LOOP('',(#250386)); #46415=EDGE_LOOP('',(#250387,#250388,#250389,#250390,#250391,#250392,#250393, #250394,#250395,#250396,#250397,#250398,#250399,#250400,#250401,#250402, #250403,#250404,#250405,#250406,#250407,#250408,#250409,#250410)); #46416=EDGE_LOOP('',(#250411,#250412,#250413,#250414,#250415,#250416,#250417, #250418,#250419,#250420,#250421,#250422,#250423,#250424,#250425,#250426, #250427,#250428,#250429,#250430,#250431,#250432,#250433,#250434,#250435, #250436,#250437,#250438,#250439,#250440,#250441,#250442,#250443,#250444, #250445,#250446,#250447,#250448,#250449,#250450,#250451,#250452,#250453, #250454,#250455,#250456,#250457,#250458,#250459,#250460,#250461,#250462, #250463,#250464,#250465,#250466,#250467,#250468,#250469,#250470,#250471, #250472,#250473,#250474,#250475,#250476,#250477,#250478,#250479,#250480, #250481,#250482,#250483,#250484,#250485,#250486,#250487,#250488,#250489, #250490,#250491,#250492,#250493,#250494,#250495,#250496,#250497,#250498, #250499,#250500,#250501,#250502,#250503,#250504,#250505,#250506,#250507, #250508,#250509,#250510,#250511,#250512,#250513,#250514,#250515,#250516, #250517,#250518,#250519,#250520,#250521,#250522,#250523,#250524,#250525, #250526,#250527,#250528,#250529,#250530,#250531,#250532,#250533,#250534, #250535,#250536,#250537,#250538,#250539,#250540,#250541,#250542,#250543, #250544,#250545,#250546,#250547,#250548,#250549,#250550,#250551,#250552, #250553,#250554,#250555,#250556,#250557,#250558,#250559,#250560,#250561, #250562,#250563,#250564,#250565,#250566,#250567,#250568,#250569,#250570, #250571,#250572,#250573,#250574,#250575,#250576,#250577,#250578,#250579, #250580,#250581,#250582,#250583,#250584,#250585,#250586,#250587,#250588, #250589,#250590,#250591,#250592,#250593,#250594,#250595,#250596,#250597, #250598,#250599,#250600,#250601,#250602,#250603,#250604,#250605,#250606, #250607,#250608,#250609,#250610,#250611,#250612,#250613,#250614,#250615, #250616,#250617,#250618,#250619,#250620,#250621,#250622,#250623,#250624, #250625,#250626,#250627,#250628,#250629,#250630,#250631,#250632,#250633, #250634,#250635,#250636,#250637,#250638,#250639,#250640,#250641,#250642, #250643,#250644,#250645,#250646,#250647,#250648,#250649,#250650,#250651, #250652,#250653,#250654,#250655,#250656,#250657,#250658,#250659,#250660, #250661,#250662,#250663,#250664,#250665,#250666,#250667,#250668,#250669, #250670,#250671,#250672,#250673,#250674,#250675,#250676,#250677,#250678, #250679,#250680,#250681,#250682,#250683,#250684,#250685,#250686,#250687, #250688,#250689,#250690,#250691,#250692,#250693,#250694,#250695,#250696, #250697,#250698,#250699,#250700,#250701,#250702,#250703,#250704,#250705, #250706,#250707,#250708)); #46417=EDGE_LOOP('',(#250709)); #46418=EDGE_LOOP('',(#250710)); #46419=EDGE_LOOP('',(#250711)); #46420=EDGE_LOOP('',(#250712)); #46421=EDGE_LOOP('',(#250713)); #46422=EDGE_LOOP('',(#250714)); #46423=EDGE_LOOP('',(#250715)); #46424=EDGE_LOOP('',(#250716)); #46425=EDGE_LOOP('',(#250717)); #46426=EDGE_LOOP('',(#250718)); #46427=EDGE_LOOP('',(#250719)); #46428=EDGE_LOOP('',(#250720)); #46429=EDGE_LOOP('',(#250721)); #46430=EDGE_LOOP('',(#250722)); #46431=EDGE_LOOP('',(#250723)); #46432=EDGE_LOOP('',(#250724)); #46433=EDGE_LOOP('',(#250725,#250726,#250727,#250728,#250729,#250730,#250731, #250732,#250733,#250734,#250735,#250736,#250737,#250738,#250739,#250740, #250741,#250742,#250743,#250744,#250745,#250746,#250747,#250748)); #46434=EDGE_LOOP('',(#250749,#250750,#250751,#250752)); #46435=EDGE_LOOP('',(#250753,#250754,#250755,#250756)); #46436=EDGE_LOOP('',(#250757,#250758,#250759,#250760)); #46437=EDGE_LOOP('',(#250761,#250762,#250763,#250764)); #46438=EDGE_LOOP('',(#250765,#250766,#250767,#250768)); #46439=EDGE_LOOP('',(#250769,#250770,#250771,#250772)); #46440=EDGE_LOOP('',(#250773,#250774,#250775,#250776)); #46441=EDGE_LOOP('',(#250777,#250778,#250779,#250780)); #46442=EDGE_LOOP('',(#250781,#250782,#250783,#250784)); #46443=EDGE_LOOP('',(#250785,#250786,#250787,#250788)); #46444=EDGE_LOOP('',(#250789,#250790,#250791,#250792)); #46445=EDGE_LOOP('',(#250793,#250794,#250795,#250796)); #46446=EDGE_LOOP('',(#250797,#250798,#250799,#250800)); #46447=EDGE_LOOP('',(#250801,#250802,#250803,#250804)); #46448=EDGE_LOOP('',(#250805,#250806,#250807,#250808)); #46449=EDGE_LOOP('',(#250809,#250810,#250811,#250812)); #46450=EDGE_LOOP('',(#250813,#250814,#250815,#250816)); #46451=EDGE_LOOP('',(#250817,#250818,#250819,#250820)); #46452=EDGE_LOOP('',(#250821,#250822,#250823,#250824)); #46453=EDGE_LOOP('',(#250825,#250826,#250827,#250828)); #46454=EDGE_LOOP('',(#250829,#250830,#250831,#250832,#250833,#250834,#250835, #250836,#250837,#250838,#250839,#250840,#250841,#250842,#250843,#250844, #250845,#250846,#250847,#250848)); #46455=EDGE_LOOP('',(#250849,#250850,#250851,#250852,#250853,#250854,#250855, #250856,#250857,#250858,#250859,#250860,#250861,#250862,#250863,#250864, #250865,#250866,#250867,#250868)); #46456=EDGE_LOOP('',(#250869,#250870,#250871,#250872)); #46457=EDGE_LOOP('',(#250873,#250874,#250875,#250876)); #46458=EDGE_LOOP('',(#250877,#250878,#250879,#250880)); #46459=EDGE_LOOP('',(#250881,#250882,#250883,#250884)); #46460=EDGE_LOOP('',(#250885,#250886,#250887,#250888)); #46461=EDGE_LOOP('',(#250889,#250890,#250891,#250892)); #46462=EDGE_LOOP('',(#250893,#250894,#250895,#250896)); #46463=EDGE_LOOP('',(#250897,#250898,#250899,#250900)); #46464=EDGE_LOOP('',(#250901,#250902,#250903,#250904)); #46465=EDGE_LOOP('',(#250905,#250906,#250907,#250908)); #46466=EDGE_LOOP('',(#250909,#250910,#250911,#250912)); #46467=EDGE_LOOP('',(#250913,#250914,#250915,#250916)); #46468=EDGE_LOOP('',(#250917,#250918,#250919,#250920)); #46469=EDGE_LOOP('',(#250921,#250922,#250923,#250924)); #46470=EDGE_LOOP('',(#250925,#250926,#250927,#250928)); #46471=EDGE_LOOP('',(#250929,#250930,#250931,#250932)); #46472=EDGE_LOOP('',(#250933,#250934,#250935,#250936)); #46473=EDGE_LOOP('',(#250937,#250938,#250939,#250940)); #46474=EDGE_LOOP('',(#250941,#250942,#250943,#250944)); #46475=EDGE_LOOP('',(#250945,#250946,#250947,#250948)); #46476=EDGE_LOOP('',(#250949,#250950,#250951,#250952,#250953,#250954,#250955, #250956,#250957,#250958,#250959,#250960,#250961,#250962,#250963,#250964, #250965,#250966,#250967,#250968)); #46477=EDGE_LOOP('',(#250969,#250970,#250971,#250972,#250973,#250974,#250975, #250976,#250977,#250978,#250979,#250980,#250981,#250982,#250983,#250984, #250985,#250986,#250987,#250988)); #46478=EDGE_LOOP('',(#250989,#250990,#250991,#250992)); #46479=EDGE_LOOP('',(#250993,#250994,#250995,#250996)); #46480=EDGE_LOOP('',(#250997,#250998,#250999,#251000)); #46481=EDGE_LOOP('',(#251001,#251002,#251003,#251004)); #46482=EDGE_LOOP('',(#251005,#251006,#251007,#251008)); #46483=EDGE_LOOP('',(#251009,#251010,#251011,#251012)); #46484=EDGE_LOOP('',(#251013,#251014,#251015,#251016)); #46485=EDGE_LOOP('',(#251017,#251018,#251019,#251020)); #46486=EDGE_LOOP('',(#251021,#251022,#251023,#251024)); #46487=EDGE_LOOP('',(#251025,#251026,#251027,#251028)); #46488=EDGE_LOOP('',(#251029,#251030,#251031,#251032)); #46489=EDGE_LOOP('',(#251033,#251034,#251035,#251036)); #46490=EDGE_LOOP('',(#251037,#251038,#251039,#251040)); #46491=EDGE_LOOP('',(#251041,#251042,#251043,#251044)); #46492=EDGE_LOOP('',(#251045,#251046,#251047,#251048)); #46493=EDGE_LOOP('',(#251049,#251050,#251051,#251052)); #46494=EDGE_LOOP('',(#251053,#251054,#251055,#251056)); #46495=EDGE_LOOP('',(#251057,#251058,#251059,#251060)); #46496=EDGE_LOOP('',(#251061,#251062,#251063,#251064)); #46497=EDGE_LOOP('',(#251065,#251066,#251067,#251068)); #46498=EDGE_LOOP('',(#251069,#251070,#251071,#251072,#251073,#251074,#251075, #251076,#251077,#251078,#251079,#251080,#251081,#251082,#251083,#251084, #251085,#251086,#251087,#251088)); #46499=EDGE_LOOP('',(#251089,#251090,#251091,#251092,#251093,#251094,#251095, #251096,#251097,#251098,#251099,#251100,#251101,#251102,#251103,#251104, #251105,#251106,#251107,#251108)); #46500=EDGE_LOOP('',(#251109,#251110,#251111,#251112)); #46501=EDGE_LOOP('',(#251113,#251114,#251115,#251116)); #46502=EDGE_LOOP('',(#251117,#251118,#251119,#251120)); #46503=EDGE_LOOP('',(#251121,#251122,#251123,#251124)); #46504=EDGE_LOOP('',(#251125,#251126,#251127,#251128)); #46505=EDGE_LOOP('',(#251129,#251130,#251131,#251132)); #46506=EDGE_LOOP('',(#251133,#251134,#251135,#251136)); #46507=EDGE_LOOP('',(#251137,#251138,#251139,#251140)); #46508=EDGE_LOOP('',(#251141,#251142,#251143,#251144)); #46509=EDGE_LOOP('',(#251145,#251146,#251147,#251148)); #46510=EDGE_LOOP('',(#251149,#251150,#251151,#251152)); #46511=EDGE_LOOP('',(#251153,#251154,#251155,#251156)); #46512=EDGE_LOOP('',(#251157,#251158,#251159,#251160)); #46513=EDGE_LOOP('',(#251161,#251162,#251163,#251164)); #46514=EDGE_LOOP('',(#251165,#251166,#251167,#251168)); #46515=EDGE_LOOP('',(#251169,#251170,#251171,#251172)); #46516=EDGE_LOOP('',(#251173,#251174,#251175,#251176)); #46517=EDGE_LOOP('',(#251177,#251178,#251179,#251180)); #46518=EDGE_LOOP('',(#251181,#251182,#251183,#251184)); #46519=EDGE_LOOP('',(#251185,#251186,#251187,#251188)); #46520=EDGE_LOOP('',(#251189,#251190,#251191,#251192)); #46521=EDGE_LOOP('',(#251193,#251194,#251195,#251196)); #46522=EDGE_LOOP('',(#251197,#251198,#251199,#251200)); #46523=EDGE_LOOP('',(#251201,#251202,#251203,#251204,#251205,#251206,#251207, #251208,#251209,#251210,#251211,#251212,#251213,#251214,#251215,#251216, #251217,#251218,#251219,#251220,#251221,#251222,#251223)); #46524=EDGE_LOOP('',(#251224,#251225,#251226,#251227,#251228,#251229,#251230, #251231,#251232,#251233,#251234,#251235,#251236,#251237,#251238,#251239, #251240,#251241,#251242,#251243,#251244,#251245,#251246)); #46525=EDGE_LOOP('',(#251247,#251248,#251249,#251250)); #46526=EDGE_LOOP('',(#251251,#251252,#251253,#251254)); #46527=EDGE_LOOP('',(#251255,#251256,#251257,#251258)); #46528=EDGE_LOOP('',(#251259,#251260,#251261,#251262)); #46529=EDGE_LOOP('',(#251263,#251264,#251265,#251266)); #46530=EDGE_LOOP('',(#251267,#251268,#251269,#251270)); #46531=EDGE_LOOP('',(#251271,#251272,#251273,#251274)); #46532=EDGE_LOOP('',(#251275,#251276,#251277,#251278)); #46533=EDGE_LOOP('',(#251279,#251280,#251281,#251282)); #46534=EDGE_LOOP('',(#251283,#251284,#251285,#251286)); #46535=EDGE_LOOP('',(#251287,#251288,#251289,#251290)); #46536=EDGE_LOOP('',(#251291,#251292,#251293,#251294)); #46537=EDGE_LOOP('',(#251295,#251296,#251297,#251298)); #46538=EDGE_LOOP('',(#251299,#251300,#251301,#251302)); #46539=EDGE_LOOP('',(#251303,#251304,#251305,#251306)); #46540=EDGE_LOOP('',(#251307,#251308,#251309,#251310)); #46541=EDGE_LOOP('',(#251311,#251312,#251313,#251314)); #46542=EDGE_LOOP('',(#251315,#251316,#251317,#251318)); #46543=EDGE_LOOP('',(#251319,#251320,#251321,#251322)); #46544=EDGE_LOOP('',(#251323,#251324,#251325,#251326)); #46545=EDGE_LOOP('',(#251327,#251328,#251329,#251330,#251331,#251332,#251333, #251334,#251335,#251336,#251337,#251338,#251339,#251340,#251341,#251342, #251343,#251344,#251345,#251346)); #46546=EDGE_LOOP('',(#251347,#251348,#251349,#251350,#251351,#251352,#251353, #251354,#251355,#251356,#251357,#251358,#251359,#251360,#251361,#251362, #251363,#251364,#251365,#251366)); #46547=EDGE_LOOP('',(#251367,#251368,#251369,#251370)); #46548=EDGE_LOOP('',(#251371,#251372,#251373,#251374)); #46549=EDGE_LOOP('',(#251375,#251376,#251377,#251378)); #46550=EDGE_LOOP('',(#251379,#251380,#251381,#251382)); #46551=EDGE_LOOP('',(#251383,#251384,#251385,#251386)); #46552=EDGE_LOOP('',(#251387,#251388,#251389,#251390)); #46553=EDGE_LOOP('',(#251391,#251392,#251393,#251394)); #46554=EDGE_LOOP('',(#251395,#251396,#251397,#251398)); #46555=EDGE_LOOP('',(#251399,#251400,#251401,#251402)); #46556=EDGE_LOOP('',(#251403,#251404,#251405,#251406)); #46557=EDGE_LOOP('',(#251407,#251408,#251409,#251410)); #46558=EDGE_LOOP('',(#251411,#251412,#251413,#251414)); #46559=EDGE_LOOP('',(#251415,#251416,#251417,#251418)); #46560=EDGE_LOOP('',(#251419,#251420,#251421,#251422)); #46561=EDGE_LOOP('',(#251423,#251424,#251425,#251426)); #46562=EDGE_LOOP('',(#251427,#251428,#251429,#251430)); #46563=EDGE_LOOP('',(#251431,#251432,#251433,#251434)); #46564=EDGE_LOOP('',(#251435,#251436,#251437,#251438)); #46565=EDGE_LOOP('',(#251439,#251440,#251441,#251442)); #46566=EDGE_LOOP('',(#251443,#251444,#251445,#251446)); #46567=EDGE_LOOP('',(#251447,#251448,#251449,#251450)); #46568=EDGE_LOOP('',(#251451,#251452,#251453,#251454,#251455,#251456,#251457, #251458,#251459,#251460,#251461,#251462,#251463,#251464,#251465,#251466, #251467,#251468,#251469,#251470,#251471)); #46569=EDGE_LOOP('',(#251472,#251473,#251474,#251475,#251476,#251477,#251478, #251479,#251480,#251481,#251482,#251483,#251484,#251485,#251486,#251487, #251488,#251489,#251490,#251491,#251492)); #46570=EDGE_LOOP('',(#251493,#251494,#251495,#251496)); #46571=EDGE_LOOP('',(#251497,#251498,#251499,#251500)); #46572=EDGE_LOOP('',(#251501,#251502,#251503,#251504)); #46573=EDGE_LOOP('',(#251505,#251506,#251507,#251508)); #46574=EDGE_LOOP('',(#251509,#251510,#251511)); #46575=EDGE_LOOP('',(#251512)); #46576=EDGE_LOOP('',(#251513,#251514,#251515)); #46577=EDGE_LOOP('',(#251516)); #46578=EDGE_LOOP('',(#251517,#251518,#251519,#251520)); #46579=EDGE_LOOP('',(#251521,#251522,#251523,#251524)); #46580=EDGE_LOOP('',(#251525,#251526,#251527,#251528)); #46581=EDGE_LOOP('',(#251529,#251530,#251531,#251532)); #46582=EDGE_LOOP('',(#251533,#251534,#251535)); #46583=EDGE_LOOP('',(#251536)); #46584=EDGE_LOOP('',(#251537,#251538,#251539)); #46585=EDGE_LOOP('',(#251540)); #46586=EDGE_LOOP('',(#251541,#251542,#251543,#251544)); #46587=EDGE_LOOP('',(#251545,#251546,#251547,#251548)); #46588=EDGE_LOOP('',(#251549,#251550,#251551,#251552)); #46589=EDGE_LOOP('',(#251553,#251554,#251555,#251556)); #46590=EDGE_LOOP('',(#251557,#251558,#251559)); #46591=EDGE_LOOP('',(#251560)); #46592=EDGE_LOOP('',(#251561,#251562,#251563)); #46593=EDGE_LOOP('',(#251564)); #46594=EDGE_LOOP('',(#251565,#251566,#251567,#251568)); #46595=EDGE_LOOP('',(#251569,#251570,#251571,#251572)); #46596=EDGE_LOOP('',(#251573,#251574,#251575,#251576)); #46597=EDGE_LOOP('',(#251577,#251578,#251579,#251580)); #46598=EDGE_LOOP('',(#251581,#251582,#251583)); #46599=EDGE_LOOP('',(#251584)); #46600=EDGE_LOOP('',(#251585,#251586,#251587)); #46601=EDGE_LOOP('',(#251588)); #46602=EDGE_LOOP('',(#251589,#251590,#251591,#251592)); #46603=EDGE_LOOP('',(#251593,#251594,#251595,#251596)); #46604=EDGE_LOOP('',(#251597,#251598,#251599,#251600)); #46605=EDGE_LOOP('',(#251601,#251602,#251603,#251604)); #46606=EDGE_LOOP('',(#251605,#251606,#251607,#251608)); #46607=EDGE_LOOP('',(#251609,#251610,#251611,#251612)); #46608=EDGE_LOOP('',(#251613)); #46609=EDGE_LOOP('',(#251614,#251615,#251616,#251617)); #46610=EDGE_LOOP('',(#251618)); #46611=EDGE_LOOP('',(#251619,#251620,#251621,#251622)); #46612=EDGE_LOOP('',(#251623,#251624,#251625,#251626)); #46613=EDGE_LOOP('',(#251627,#251628,#251629,#251630)); #46614=EDGE_LOOP('',(#251631,#251632,#251633,#251634)); #46615=EDGE_LOOP('',(#251635,#251636,#251637)); #46616=EDGE_LOOP('',(#251638)); #46617=EDGE_LOOP('',(#251639,#251640,#251641)); #46618=EDGE_LOOP('',(#251642)); #46619=EDGE_LOOP('',(#251643,#251644,#251645,#251646)); #46620=EDGE_LOOP('',(#251647,#251648,#251649,#251650)); #46621=EDGE_LOOP('',(#251651,#251652,#251653,#251654)); #46622=EDGE_LOOP('',(#251655,#251656,#251657,#251658)); #46623=EDGE_LOOP('',(#251659,#251660,#251661)); #46624=EDGE_LOOP('',(#251662)); #46625=EDGE_LOOP('',(#251663,#251664,#251665)); #46626=EDGE_LOOP('',(#251666)); #46627=EDGE_LOOP('',(#251667,#251668,#251669,#251670)); #46628=EDGE_LOOP('',(#251671,#251672,#251673,#251674)); #46629=EDGE_LOOP('',(#251675,#251676,#251677,#251678)); #46630=EDGE_LOOP('',(#251679,#251680,#251681,#251682)); #46631=EDGE_LOOP('',(#251683,#251684,#251685)); #46632=EDGE_LOOP('',(#251686)); #46633=EDGE_LOOP('',(#251687,#251688,#251689)); #46634=EDGE_LOOP('',(#251690)); #46635=EDGE_LOOP('',(#251691,#251692,#251693,#251694)); #46636=EDGE_LOOP('',(#251695,#251696,#251697,#251698)); #46637=EDGE_LOOP('',(#251699,#251700,#251701,#251702)); #46638=EDGE_LOOP('',(#251703,#251704,#251705,#251706)); #46639=EDGE_LOOP('',(#251707,#251708,#251709)); #46640=EDGE_LOOP('',(#251710)); #46641=EDGE_LOOP('',(#251711,#251712,#251713)); #46642=EDGE_LOOP('',(#251714)); #46643=EDGE_LOOP('',(#251715,#251716,#251717,#251718)); #46644=EDGE_LOOP('',(#251719,#251720,#251721,#251722)); #46645=EDGE_LOOP('',(#251723,#251724,#251725,#251726)); #46646=EDGE_LOOP('',(#251727,#251728,#251729,#251730)); #46647=EDGE_LOOP('',(#251731,#251732,#251733,#251734)); #46648=EDGE_LOOP('',(#251735,#251736,#251737,#251738)); #46649=EDGE_LOOP('',(#251739,#251740,#251741,#251742)); #46650=EDGE_LOOP('',(#251743,#251744,#251745,#251746)); #46651=EDGE_LOOP('',(#251747,#251748,#251749,#251750)); #46652=EDGE_LOOP('',(#251751,#251752,#251753,#251754)); #46653=EDGE_LOOP('',(#251755,#251756,#251757,#251758)); #46654=EDGE_LOOP('',(#251759,#251760,#251761,#251762)); #46655=EDGE_LOOP('',(#251763,#251764,#251765,#251766)); #46656=EDGE_LOOP('',(#251767,#251768,#251769,#251770)); #46657=EDGE_LOOP('',(#251771,#251772,#251773,#251774)); #46658=EDGE_LOOP('',(#251775,#251776,#251777,#251778)); #46659=EDGE_LOOP('',(#251779,#251780,#251781,#251782)); #46660=EDGE_LOOP('',(#251783,#251784,#251785,#251786)); #46661=EDGE_LOOP('',(#251787,#251788,#251789,#251790)); #46662=EDGE_LOOP('',(#251791,#251792,#251793,#251794)); #46663=EDGE_LOOP('',(#251795,#251796,#251797,#251798)); #46664=EDGE_LOOP('',(#251799,#251800,#251801,#251802)); #46665=EDGE_LOOP('',(#251803,#251804,#251805,#251806)); #46666=EDGE_LOOP('',(#251807,#251808,#251809,#251810)); #46667=EDGE_LOOP('',(#251811,#251812,#251813,#251814)); #46668=EDGE_LOOP('',(#251815,#251816,#251817,#251818)); #46669=EDGE_LOOP('',(#251819,#251820,#251821,#251822)); #46670=EDGE_LOOP('',(#251823,#251824,#251825,#251826)); #46671=EDGE_LOOP('',(#251827,#251828,#251829,#251830)); #46672=EDGE_LOOP('',(#251831,#251832,#251833,#251834)); #46673=EDGE_LOOP('',(#251835,#251836,#251837,#251838)); #46674=EDGE_LOOP('',(#251839,#251840,#251841,#251842)); #46675=EDGE_LOOP('',(#251843,#251844,#251845,#251846)); #46676=EDGE_LOOP('',(#251847,#251848,#251849,#251850)); #46677=EDGE_LOOP('',(#251851,#251852,#251853,#251854)); #46678=EDGE_LOOP('',(#251855,#251856,#251857,#251858)); #46679=EDGE_LOOP('',(#251859,#251860,#251861,#251862)); #46680=EDGE_LOOP('',(#251863,#251864,#251865,#251866)); #46681=EDGE_LOOP('',(#251867,#251868,#251869,#251870)); #46682=EDGE_LOOP('',(#251871,#251872,#251873,#251874)); #46683=EDGE_LOOP('',(#251875,#251876,#251877,#251878)); #46684=EDGE_LOOP('',(#251879,#251880,#251881,#251882)); #46685=EDGE_LOOP('',(#251883,#251884,#251885,#251886)); #46686=EDGE_LOOP('',(#251887,#251888,#251889,#251890)); #46687=EDGE_LOOP('',(#251891,#251892,#251893,#251894)); #46688=EDGE_LOOP('',(#251895,#251896,#251897,#251898)); #46689=EDGE_LOOP('',(#251899,#251900,#251901,#251902)); #46690=EDGE_LOOP('',(#251903,#251904,#251905,#251906)); #46691=EDGE_LOOP('',(#251907,#251908,#251909,#251910)); #46692=EDGE_LOOP('',(#251911,#251912,#251913,#251914)); #46693=EDGE_LOOP('',(#251915,#251916,#251917,#251918)); #46694=EDGE_LOOP('',(#251919,#251920,#251921,#251922)); #46695=EDGE_LOOP('',(#251923,#251924,#251925,#251926)); #46696=EDGE_LOOP('',(#251927,#251928,#251929,#251930)); #46697=EDGE_LOOP('',(#251931,#251932,#251933,#251934)); #46698=EDGE_LOOP('',(#251935,#251936,#251937,#251938)); #46699=EDGE_LOOP('',(#251939,#251940,#251941,#251942)); #46700=EDGE_LOOP('',(#251943,#251944,#251945,#251946)); #46701=EDGE_LOOP('',(#251947,#251948,#251949,#251950)); #46702=EDGE_LOOP('',(#251951,#251952,#251953,#251954)); #46703=EDGE_LOOP('',(#251955,#251956,#251957,#251958,#251959,#251960,#251961, #251962,#251963,#251964,#251965,#251966,#251967,#251968,#251969,#251970, #251971,#251972,#251973,#251974,#251975,#251976,#251977,#251978,#251979, #251980,#251981,#251982,#251983,#251984,#251985,#251986,#251987,#251988, #251989,#251990,#251991,#251992,#251993,#251994,#251995,#251996,#251997, #251998,#251999,#252000,#252001,#252002,#252003,#252004,#252005,#252006, #252007,#252008,#252009,#252010)); #46704=EDGE_LOOP('',(#252011,#252012,#252013,#252014)); #46705=EDGE_LOOP('',(#252015,#252016,#252017,#252018,#252019,#252020,#252021, #252022,#252023,#252024,#252025,#252026,#252027,#252028,#252029,#252030, #252031,#252032,#252033,#252034,#252035,#252036,#252037,#252038,#252039, #252040,#252041,#252042,#252043,#252044,#252045,#252046,#252047,#252048, #252049,#252050,#252051,#252052,#252053,#252054,#252055,#252056,#252057, #252058,#252059,#252060,#252061,#252062,#252063,#252064,#252065,#252066, #252067,#252068,#252069,#252070)); #46706=EDGE_LOOP('',(#252071,#252072,#252073,#252074)); #46707=EDGE_LOOP('',(#252075,#252076,#252077,#252078)); #46708=EDGE_LOOP('',(#252079,#252080,#252081,#252082)); #46709=EDGE_LOOP('',(#252083,#252084,#252085,#252086)); #46710=EDGE_LOOP('',(#252087,#252088,#252089,#252090)); #46711=EDGE_LOOP('',(#252091,#252092,#252093,#252094)); #46712=EDGE_LOOP('',(#252095,#252096,#252097,#252098)); #46713=EDGE_LOOP('',(#252099,#252100,#252101,#252102)); #46714=EDGE_LOOP('',(#252103,#252104,#252105,#252106)); #46715=EDGE_LOOP('',(#252107,#252108,#252109,#252110)); #46716=EDGE_LOOP('',(#252111,#252112,#252113,#252114)); #46717=EDGE_LOOP('',(#252115,#252116,#252117,#252118)); #46718=EDGE_LOOP('',(#252119,#252120,#252121,#252122)); #46719=EDGE_LOOP('',(#252123,#252124,#252125,#252126)); #46720=EDGE_LOOP('',(#252127,#252128,#252129,#252130)); #46721=EDGE_LOOP('',(#252131,#252132,#252133,#252134)); #46722=EDGE_LOOP('',(#252135,#252136,#252137,#252138)); #46723=EDGE_LOOP('',(#252139,#252140,#252141,#252142)); #46724=EDGE_LOOP('',(#252143,#252144,#252145,#252146)); #46725=EDGE_LOOP('',(#252147,#252148,#252149,#252150)); #46726=EDGE_LOOP('',(#252151,#252152,#252153,#252154)); #46727=EDGE_LOOP('',(#252155,#252156,#252157,#252158)); #46728=EDGE_LOOP('',(#252159,#252160,#252161,#252162)); #46729=EDGE_LOOP('',(#252163,#252164,#252165,#252166)); #46730=EDGE_LOOP('',(#252167,#252168,#252169,#252170)); #46731=EDGE_LOOP('',(#252171,#252172,#252173,#252174)); #46732=EDGE_LOOP('',(#252175,#252176,#252177,#252178)); #46733=EDGE_LOOP('',(#252179,#252180,#252181,#252182)); #46734=EDGE_LOOP('',(#252183,#252184,#252185,#252186)); #46735=EDGE_LOOP('',(#252187,#252188,#252189,#252190)); #46736=EDGE_LOOP('',(#252191,#252192,#252193,#252194)); #46737=EDGE_LOOP('',(#252195,#252196,#252197,#252198)); #46738=EDGE_LOOP('',(#252199,#252200,#252201,#252202)); #46739=EDGE_LOOP('',(#252203,#252204,#252205,#252206)); #46740=EDGE_LOOP('',(#252207,#252208,#252209,#252210)); #46741=EDGE_LOOP('',(#252211,#252212,#252213,#252214)); #46742=EDGE_LOOP('',(#252215,#252216,#252217,#252218)); #46743=EDGE_LOOP('',(#252219,#252220,#252221,#252222)); #46744=EDGE_LOOP('',(#252223,#252224,#252225,#252226)); #46745=EDGE_LOOP('',(#252227,#252228,#252229,#252230)); #46746=EDGE_LOOP('',(#252231,#252232,#252233,#252234)); #46747=EDGE_LOOP('',(#252235,#252236,#252237,#252238)); #46748=EDGE_LOOP('',(#252239,#252240,#252241,#252242)); #46749=EDGE_LOOP('',(#252243,#252244,#252245,#252246)); #46750=EDGE_LOOP('',(#252247,#252248,#252249,#252250)); #46751=EDGE_LOOP('',(#252251,#252252,#252253,#252254)); #46752=EDGE_LOOP('',(#252255,#252256,#252257,#252258)); #46753=EDGE_LOOP('',(#252259,#252260,#252261,#252262)); #46754=EDGE_LOOP('',(#252263,#252264,#252265,#252266)); #46755=EDGE_LOOP('',(#252267,#252268,#252269,#252270)); #46756=EDGE_LOOP('',(#252271,#252272,#252273,#252274)); #46757=EDGE_LOOP('',(#252275,#252276,#252277,#252278)); #46758=EDGE_LOOP('',(#252279,#252280,#252281,#252282)); #46759=EDGE_LOOP('',(#252283,#252284,#252285,#252286)); #46760=EDGE_LOOP('',(#252287,#252288,#252289,#252290)); #46761=EDGE_LOOP('',(#252291,#252292,#252293,#252294)); #46762=EDGE_LOOP('',(#252295,#252296,#252297,#252298)); #46763=EDGE_LOOP('',(#252299,#252300,#252301,#252302)); #46764=EDGE_LOOP('',(#252303,#252304,#252305,#252306)); #46765=EDGE_LOOP('',(#252307,#252308,#252309,#252310)); #46766=EDGE_LOOP('',(#252311,#252312,#252313,#252314)); #46767=EDGE_LOOP('',(#252315,#252316,#252317,#252318)); #46768=EDGE_LOOP('',(#252319,#252320,#252321,#252322)); #46769=EDGE_LOOP('',(#252323,#252324,#252325,#252326)); #46770=EDGE_LOOP('',(#252327,#252328,#252329,#252330)); #46771=EDGE_LOOP('',(#252331,#252332,#252333,#252334)); #46772=EDGE_LOOP('',(#252335,#252336,#252337,#252338)); #46773=EDGE_LOOP('',(#252339,#252340,#252341,#252342)); #46774=EDGE_LOOP('',(#252343,#252344,#252345,#252346)); #46775=EDGE_LOOP('',(#252347,#252348,#252349,#252350)); #46776=EDGE_LOOP('',(#252351,#252352,#252353,#252354)); #46777=EDGE_LOOP('',(#252355,#252356,#252357,#252358)); #46778=EDGE_LOOP('',(#252359,#252360,#252361,#252362)); #46779=EDGE_LOOP('',(#252363,#252364,#252365,#252366)); #46780=EDGE_LOOP('',(#252367,#252368,#252369,#252370)); #46781=EDGE_LOOP('',(#252371,#252372,#252373,#252374)); #46782=EDGE_LOOP('',(#252375,#252376,#252377,#252378)); #46783=EDGE_LOOP('',(#252379,#252380,#252381,#252382,#252383,#252384,#252385, #252386,#252387,#252388,#252389,#252390,#252391,#252392,#252393,#252394, #252395,#252396,#252397,#252398,#252399,#252400,#252401,#252402,#252403, #252404,#252405,#252406,#252407,#252408,#252409,#252410,#252411,#252412, #252413,#252414,#252415,#252416,#252417,#252418,#252419,#252420,#252421, #252422,#252423,#252424,#252425,#252426,#252427,#252428,#252429,#252430, #252431,#252432,#252433,#252434,#252435,#252436,#252437,#252438,#252439, #252440,#252441,#252442,#252443,#252444,#252445,#252446,#252447,#252448, #252449,#252450)); #46784=EDGE_LOOP('',(#252451,#252452,#252453,#252454)); #46785=EDGE_LOOP('',(#252455,#252456,#252457,#252458,#252459,#252460,#252461, #252462,#252463,#252464,#252465,#252466,#252467,#252468,#252469,#252470, #252471,#252472,#252473,#252474,#252475,#252476,#252477,#252478,#252479, #252480,#252481,#252482,#252483,#252484,#252485,#252486,#252487,#252488, #252489,#252490,#252491,#252492,#252493,#252494,#252495,#252496,#252497, #252498,#252499,#252500,#252501,#252502,#252503,#252504,#252505,#252506, #252507,#252508,#252509,#252510,#252511,#252512,#252513,#252514,#252515, #252516,#252517,#252518,#252519,#252520,#252521,#252522,#252523,#252524, #252525,#252526)); #46786=EDGE_LOOP('',(#252527,#252528,#252529,#252530)); #46787=EDGE_LOOP('',(#252531,#252532,#252533,#252534)); #46788=EDGE_LOOP('',(#252535,#252536,#252537,#252538)); #46789=EDGE_LOOP('',(#252539,#252540,#252541,#252542)); #46790=EDGE_LOOP('',(#252543,#252544,#252545,#252546)); #46791=EDGE_LOOP('',(#252547,#252548,#252549,#252550)); #46792=EDGE_LOOP('',(#252551,#252552,#252553,#252554)); #46793=EDGE_LOOP('',(#252555,#252556,#252557,#252558)); #46794=EDGE_LOOP('',(#252559,#252560,#252561,#252562)); #46795=EDGE_LOOP('',(#252563,#252564,#252565,#252566)); #46796=EDGE_LOOP('',(#252567,#252568,#252569,#252570)); #46797=EDGE_LOOP('',(#252571,#252572,#252573,#252574)); #46798=EDGE_LOOP('',(#252575,#252576,#252577,#252578)); #46799=EDGE_LOOP('',(#252579,#252580,#252581,#252582)); #46800=EDGE_LOOP('',(#252583,#252584,#252585,#252586)); #46801=EDGE_LOOP('',(#252587,#252588,#252589,#252590)); #46802=EDGE_LOOP('',(#252591,#252592,#252593,#252594)); #46803=EDGE_LOOP('',(#252595,#252596,#252597,#252598)); #46804=EDGE_LOOP('',(#252599,#252600,#252601,#252602)); #46805=EDGE_LOOP('',(#252603,#252604,#252605,#252606)); #46806=EDGE_LOOP('',(#252607,#252608,#252609,#252610)); #46807=EDGE_LOOP('',(#252611,#252612,#252613,#252614)); #46808=EDGE_LOOP('',(#252615,#252616,#252617,#252618)); #46809=EDGE_LOOP('',(#252619,#252620,#252621,#252622)); #46810=EDGE_LOOP('',(#252623,#252624,#252625,#252626)); #46811=EDGE_LOOP('',(#252627,#252628,#252629,#252630)); #46812=EDGE_LOOP('',(#252631,#252632,#252633,#252634)); #46813=EDGE_LOOP('',(#252635,#252636,#252637,#252638)); #46814=EDGE_LOOP('',(#252639,#252640,#252641,#252642)); #46815=EDGE_LOOP('',(#252643,#252644,#252645,#252646)); #46816=EDGE_LOOP('',(#252647,#252648,#252649,#252650)); #46817=EDGE_LOOP('',(#252651,#252652,#252653,#252654)); #46818=EDGE_LOOP('',(#252655,#252656,#252657,#252658)); #46819=EDGE_LOOP('',(#252659,#252660,#252661,#252662)); #46820=EDGE_LOOP('',(#252663,#252664,#252665,#252666)); #46821=EDGE_LOOP('',(#252667,#252668,#252669,#252670)); #46822=EDGE_LOOP('',(#252671,#252672,#252673,#252674)); #46823=EDGE_LOOP('',(#252675,#252676,#252677,#252678)); #46824=EDGE_LOOP('',(#252679,#252680,#252681,#252682)); #46825=EDGE_LOOP('',(#252683,#252684,#252685,#252686)); #46826=EDGE_LOOP('',(#252687,#252688,#252689,#252690)); #46827=EDGE_LOOP('',(#252691,#252692,#252693,#252694)); #46828=EDGE_LOOP('',(#252695,#252696,#252697,#252698)); #46829=EDGE_LOOP('',(#252699,#252700,#252701,#252702)); #46830=EDGE_LOOP('',(#252703,#252704,#252705,#252706)); #46831=EDGE_LOOP('',(#252707,#252708,#252709,#252710)); #46832=EDGE_LOOP('',(#252711,#252712,#252713,#252714)); #46833=EDGE_LOOP('',(#252715,#252716,#252717,#252718)); #46834=EDGE_LOOP('',(#252719,#252720,#252721,#252722)); #46835=EDGE_LOOP('',(#252723,#252724,#252725,#252726)); #46836=EDGE_LOOP('',(#252727,#252728,#252729,#252730)); #46837=EDGE_LOOP('',(#252731,#252732,#252733,#252734)); #46838=EDGE_LOOP('',(#252735,#252736,#252737,#252738)); #46839=EDGE_LOOP('',(#252739,#252740,#252741,#252742)); #46840=EDGE_LOOP('',(#252743,#252744,#252745,#252746)); #46841=EDGE_LOOP('',(#252747,#252748,#252749,#252750)); #46842=EDGE_LOOP('',(#252751,#252752,#252753,#252754)); #46843=EDGE_LOOP('',(#252755,#252756,#252757,#252758)); #46844=EDGE_LOOP('',(#252759,#252760,#252761,#252762)); #46845=EDGE_LOOP('',(#252763,#252764,#252765,#252766)); #46846=EDGE_LOOP('',(#252767,#252768,#252769,#252770)); #46847=EDGE_LOOP('',(#252771,#252772,#252773,#252774,#252775,#252776,#252777, #252778,#252779,#252780,#252781,#252782,#252783,#252784,#252785,#252786, #252787,#252788,#252789,#252790,#252791,#252792,#252793,#252794,#252795, #252796,#252797,#252798,#252799,#252800,#252801,#252802,#252803,#252804, #252805,#252806,#252807,#252808,#252809,#252810,#252811,#252812,#252813, #252814,#252815,#252816,#252817,#252818,#252819,#252820,#252821,#252822, #252823,#252824,#252825,#252826)); #46848=EDGE_LOOP('',(#252827,#252828,#252829,#252830)); #46849=EDGE_LOOP('',(#252831,#252832,#252833,#252834,#252835,#252836,#252837, #252838,#252839,#252840,#252841,#252842,#252843,#252844,#252845,#252846, #252847,#252848,#252849,#252850,#252851,#252852,#252853,#252854,#252855, #252856,#252857,#252858,#252859,#252860,#252861,#252862,#252863,#252864, #252865,#252866,#252867,#252868,#252869,#252870,#252871,#252872,#252873, #252874,#252875,#252876,#252877,#252878,#252879,#252880,#252881,#252882, #252883,#252884,#252885,#252886)); #46850=EDGE_LOOP('',(#252887,#252888,#252889,#252890)); #46851=EDGE_LOOP('',(#252891,#252892,#252893,#252894)); #46852=EDGE_LOOP('',(#252895,#252896,#252897,#252898)); #46853=EDGE_LOOP('',(#252899,#252900,#252901,#252902)); #46854=EDGE_LOOP('',(#252903,#252904,#252905,#252906)); #46855=EDGE_LOOP('',(#252907,#252908,#252909,#252910)); #46856=EDGE_LOOP('',(#252911,#252912,#252913,#252914)); #46857=EDGE_LOOP('',(#252915)); #46858=EDGE_LOOP('',(#252916,#252917,#252918,#252919)); #46859=EDGE_LOOP('',(#252920)); #46860=EDGE_LOOP('',(#252921,#252922,#252923,#252924)); #46861=EDGE_LOOP('',(#252925,#252926,#252927,#252928)); #46862=EDGE_LOOP('',(#252929,#252930,#252931,#252932)); #46863=EDGE_LOOP('',(#252933,#252934,#252935,#252936)); #46864=EDGE_LOOP('',(#252937,#252938,#252939,#252940)); #46865=EDGE_LOOP('',(#252941,#252942,#252943,#252944)); #46866=EDGE_LOOP('',(#252945,#252946,#252947,#252948)); #46867=EDGE_LOOP('',(#252949,#252950,#252951,#252952)); #46868=EDGE_LOOP('',(#252953,#252954,#252955,#252956)); #46869=EDGE_LOOP('',(#252957,#252958,#252959,#252960)); #46870=EDGE_LOOP('',(#252961,#252962,#252963,#252964)); #46871=EDGE_LOOP('',(#252965,#252966,#252967,#252968)); #46872=EDGE_LOOP('',(#252969,#252970,#252971,#252972)); #46873=EDGE_LOOP('',(#252973,#252974,#252975,#252976)); #46874=EDGE_LOOP('',(#252977,#252978,#252979,#252980)); #46875=EDGE_LOOP('',(#252981,#252982,#252983,#252984)); #46876=EDGE_LOOP('',(#252985,#252986,#252987,#252988)); #46877=EDGE_LOOP('',(#252989,#252990,#252991,#252992)); #46878=EDGE_LOOP('',(#252993,#252994,#252995,#252996)); #46879=EDGE_LOOP('',(#252997,#252998,#252999,#253000)); #46880=EDGE_LOOP('',(#253001,#253002,#253003,#253004)); #46881=EDGE_LOOP('',(#253005,#253006,#253007,#253008)); #46882=EDGE_LOOP('',(#253009,#253010,#253011,#253012)); #46883=EDGE_LOOP('',(#253013,#253014,#253015,#253016)); #46884=EDGE_LOOP('',(#253017,#253018,#253019,#253020)); #46885=EDGE_LOOP('',(#253021,#253022,#253023,#253024)); #46886=EDGE_LOOP('',(#253025,#253026,#253027,#253028)); #46887=EDGE_LOOP('',(#253029,#253030,#253031,#253032)); #46888=EDGE_LOOP('',(#253033,#253034,#253035,#253036)); #46889=EDGE_LOOP('',(#253037,#253038,#253039,#253040)); #46890=EDGE_LOOP('',(#253041,#253042,#253043,#253044)); #46891=EDGE_LOOP('',(#253045,#253046,#253047,#253048)); #46892=EDGE_LOOP('',(#253049,#253050,#253051,#253052)); #46893=EDGE_LOOP('',(#253053,#253054,#253055,#253056)); #46894=EDGE_LOOP('',(#253057,#253058,#253059,#253060)); #46895=EDGE_LOOP('',(#253061,#253062,#253063,#253064)); #46896=EDGE_LOOP('',(#253065,#253066,#253067,#253068)); #46897=EDGE_LOOP('',(#253069,#253070,#253071,#253072)); #46898=EDGE_LOOP('',(#253073,#253074,#253075,#253076)); #46899=EDGE_LOOP('',(#253077,#253078,#253079,#253080)); #46900=EDGE_LOOP('',(#253081,#253082,#253083,#253084)); #46901=EDGE_LOOP('',(#253085,#253086,#253087,#253088)); #46902=EDGE_LOOP('',(#253089,#253090,#253091,#253092)); #46903=EDGE_LOOP('',(#253093,#253094,#253095,#253096)); #46904=EDGE_LOOP('',(#253097,#253098,#253099,#253100)); #46905=EDGE_LOOP('',(#253101,#253102,#253103,#253104)); #46906=EDGE_LOOP('',(#253105,#253106,#253107,#253108)); #46907=EDGE_LOOP('',(#253109,#253110,#253111,#253112)); #46908=EDGE_LOOP('',(#253113,#253114,#253115,#253116)); #46909=EDGE_LOOP('',(#253117,#253118,#253119,#253120)); #46910=EDGE_LOOP('',(#253121,#253122,#253123,#253124)); #46911=EDGE_LOOP('',(#253125,#253126,#253127,#253128)); #46912=EDGE_LOOP('',(#253129,#253130,#253131,#253132)); #46913=EDGE_LOOP('',(#253133,#253134,#253135,#253136)); #46914=EDGE_LOOP('',(#253137,#253138,#253139,#253140)); #46915=EDGE_LOOP('',(#253141,#253142,#253143,#253144)); #46916=EDGE_LOOP('',(#253145,#253146,#253147,#253148)); #46917=EDGE_LOOP('',(#253149,#253150,#253151,#253152)); #46918=EDGE_LOOP('',(#253153,#253154,#253155,#253156)); #46919=EDGE_LOOP('',(#253157,#253158,#253159,#253160)); #46920=EDGE_LOOP('',(#253161,#253162,#253163,#253164,#253165,#253166,#253167, #253168,#253169,#253170,#253171,#253172,#253173,#253174,#253175,#253176, #253177,#253178,#253179,#253180,#253181,#253182,#253183,#253184,#253185, #253186,#253187,#253188,#253189,#253190,#253191,#253192,#253193,#253194, #253195,#253196,#253197,#253198,#253199,#253200,#253201,#253202,#253203, #253204,#253205,#253206,#253207,#253208,#253209,#253210,#253211,#253212, #253213,#253214,#253215,#253216)); #46921=EDGE_LOOP('',(#253217,#253218,#253219,#253220)); #46922=EDGE_LOOP('',(#253221,#253222,#253223,#253224,#253225,#253226,#253227, #253228,#253229,#253230,#253231,#253232,#253233,#253234,#253235,#253236, #253237,#253238,#253239,#253240,#253241,#253242,#253243,#253244,#253245, #253246,#253247,#253248,#253249,#253250,#253251,#253252,#253253,#253254, #253255,#253256,#253257,#253258,#253259,#253260,#253261,#253262,#253263, #253264,#253265,#253266,#253267,#253268,#253269,#253270,#253271,#253272, #253273,#253274,#253275,#253276)); #46923=EDGE_LOOP('',(#253277,#253278,#253279,#253280)); #46924=EDGE_LOOP('',(#253281,#253282,#253283,#253284)); #46925=EDGE_LOOP('',(#253285,#253286,#253287,#253288)); #46926=EDGE_LOOP('',(#253289,#253290,#253291,#253292)); #46927=EDGE_LOOP('',(#253293,#253294,#253295,#253296)); #46928=EDGE_LOOP('',(#253297,#253298,#253299,#253300)); #46929=EDGE_LOOP('',(#253301,#253302,#253303,#253304)); #46930=EDGE_LOOP('',(#253305,#253306,#253307,#253308)); #46931=EDGE_LOOP('',(#253309,#253310,#253311,#253312)); #46932=EDGE_LOOP('',(#253313,#253314,#253315,#253316)); #46933=EDGE_LOOP('',(#253317,#253318,#253319,#253320)); #46934=EDGE_LOOP('',(#253321,#253322,#253323,#253324)); #46935=EDGE_LOOP('',(#253325,#253326,#253327,#253328)); #46936=EDGE_LOOP('',(#253329,#253330,#253331,#253332)); #46937=EDGE_LOOP('',(#253333,#253334,#253335,#253336)); #46938=EDGE_LOOP('',(#253337,#253338,#253339,#253340)); #46939=EDGE_LOOP('',(#253341,#253342,#253343,#253344)); #46940=EDGE_LOOP('',(#253345,#253346,#253347,#253348)); #46941=EDGE_LOOP('',(#253349,#253350,#253351,#253352)); #46942=EDGE_LOOP('',(#253353,#253354,#253355,#253356)); #46943=EDGE_LOOP('',(#253357,#253358,#253359,#253360)); #46944=EDGE_LOOP('',(#253361,#253362,#253363,#253364)); #46945=EDGE_LOOP('',(#253365,#253366,#253367,#253368)); #46946=EDGE_LOOP('',(#253369,#253370,#253371,#253372)); #46947=EDGE_LOOP('',(#253373,#253374,#253375,#253376)); #46948=EDGE_LOOP('',(#253377,#253378,#253379,#253380)); #46949=EDGE_LOOP('',(#253381,#253382,#253383,#253384)); #46950=EDGE_LOOP('',(#253385,#253386,#253387,#253388)); #46951=EDGE_LOOP('',(#253389,#253390,#253391,#253392)); #46952=EDGE_LOOP('',(#253393,#253394,#253395,#253396)); #46953=EDGE_LOOP('',(#253397,#253398,#253399,#253400)); #46954=EDGE_LOOP('',(#253401,#253402,#253403,#253404)); #46955=EDGE_LOOP('',(#253405,#253406,#253407,#253408)); #46956=EDGE_LOOP('',(#253409,#253410,#253411,#253412)); #46957=EDGE_LOOP('',(#253413,#253414,#253415,#253416)); #46958=EDGE_LOOP('',(#253417,#253418,#253419,#253420)); #46959=EDGE_LOOP('',(#253421,#253422,#253423,#253424)); #46960=EDGE_LOOP('',(#253425,#253426,#253427,#253428)); #46961=EDGE_LOOP('',(#253429,#253430,#253431,#253432)); #46962=EDGE_LOOP('',(#253433,#253434,#253435,#253436)); #46963=EDGE_LOOP('',(#253437,#253438,#253439,#253440)); #46964=EDGE_LOOP('',(#253441,#253442,#253443,#253444)); #46965=EDGE_LOOP('',(#253445,#253446,#253447,#253448)); #46966=EDGE_LOOP('',(#253449,#253450,#253451,#253452)); #46967=EDGE_LOOP('',(#253453,#253454,#253455,#253456)); #46968=EDGE_LOOP('',(#253457,#253458,#253459,#253460)); #46969=EDGE_LOOP('',(#253461,#253462,#253463,#253464)); #46970=EDGE_LOOP('',(#253465,#253466,#253467,#253468)); #46971=EDGE_LOOP('',(#253469,#253470,#253471,#253472)); #46972=EDGE_LOOP('',(#253473,#253474,#253475,#253476)); #46973=EDGE_LOOP('',(#253477,#253478,#253479,#253480)); #46974=EDGE_LOOP('',(#253481,#253482,#253483,#253484)); #46975=EDGE_LOOP('',(#253485,#253486,#253487,#253488)); #46976=EDGE_LOOP('',(#253489,#253490,#253491,#253492)); #46977=EDGE_LOOP('',(#253493,#253494,#253495,#253496)); #46978=EDGE_LOOP('',(#253497,#253498,#253499,#253500)); #46979=EDGE_LOOP('',(#253501,#253502,#253503,#253504)); #46980=EDGE_LOOP('',(#253505,#253506,#253507,#253508)); #46981=EDGE_LOOP('',(#253509,#253510,#253511,#253512)); #46982=EDGE_LOOP('',(#253513,#253514,#253515,#253516)); #46983=EDGE_LOOP('',(#253517,#253518,#253519,#253520)); #46984=EDGE_LOOP('',(#253521,#253522,#253523,#253524)); #46985=EDGE_LOOP('',(#253525,#253526,#253527,#253528)); #46986=EDGE_LOOP('',(#253529,#253530,#253531,#253532)); #46987=EDGE_LOOP('',(#253533,#253534,#253535,#253536)); #46988=EDGE_LOOP('',(#253537,#253538,#253539,#253540)); #46989=EDGE_LOOP('',(#253541,#253542,#253543,#253544)); #46990=EDGE_LOOP('',(#253545,#253546,#253547,#253548)); #46991=EDGE_LOOP('',(#253549,#253550,#253551,#253552)); #46992=EDGE_LOOP('',(#253553,#253554,#253555,#253556)); #46993=EDGE_LOOP('',(#253557,#253558,#253559,#253560)); #46994=EDGE_LOOP('',(#253561,#253562,#253563,#253564)); #46995=EDGE_LOOP('',(#253565,#253566,#253567,#253568)); #46996=EDGE_LOOP('',(#253569,#253570,#253571,#253572)); #46997=EDGE_LOOP('',(#253573,#253574,#253575,#253576)); #46998=EDGE_LOOP('',(#253577,#253578,#253579,#253580)); #46999=EDGE_LOOP('',(#253581,#253582,#253583,#253584)); #47000=EDGE_LOOP('',(#253585,#253586,#253587,#253588,#253589,#253590,#253591, #253592,#253593,#253594,#253595,#253596,#253597,#253598,#253599,#253600, #253601,#253602,#253603,#253604,#253605,#253606,#253607,#253608,#253609, #253610,#253611,#253612,#253613,#253614,#253615,#253616,#253617,#253618, #253619,#253620,#253621,#253622,#253623,#253624,#253625,#253626,#253627, #253628,#253629,#253630,#253631,#253632,#253633,#253634,#253635,#253636, #253637,#253638,#253639,#253640,#253641,#253642,#253643,#253644,#253645, #253646,#253647,#253648,#253649,#253650,#253651,#253652,#253653,#253654, #253655,#253656)); #47001=EDGE_LOOP('',(#253657,#253658,#253659,#253660)); #47002=EDGE_LOOP('',(#253661,#253662,#253663,#253664,#253665,#253666,#253667, #253668,#253669,#253670,#253671,#253672,#253673,#253674,#253675,#253676, #253677,#253678,#253679,#253680,#253681,#253682,#253683,#253684,#253685, #253686,#253687,#253688,#253689,#253690,#253691,#253692,#253693,#253694, #253695,#253696,#253697,#253698,#253699,#253700,#253701,#253702,#253703, #253704,#253705,#253706,#253707,#253708,#253709,#253710,#253711,#253712, #253713,#253714,#253715,#253716,#253717,#253718,#253719,#253720,#253721, #253722,#253723,#253724,#253725,#253726,#253727,#253728,#253729,#253730, #253731,#253732)); #47003=EDGE_LOOP('',(#253733,#253734,#253735,#253736)); #47004=EDGE_LOOP('',(#253737,#253738,#253739,#253740)); #47005=EDGE_LOOP('',(#253741,#253742,#253743,#253744)); #47006=EDGE_LOOP('',(#253745,#253746,#253747,#253748)); #47007=EDGE_LOOP('',(#253749,#253750,#253751,#253752)); #47008=EDGE_LOOP('',(#253753,#253754,#253755)); #47009=EDGE_LOOP('',(#253756)); #47010=EDGE_LOOP('',(#253757,#253758,#253759)); #47011=EDGE_LOOP('',(#253760)); #47012=EDGE_LOOP('',(#253761,#253762,#253763,#253764)); #47013=EDGE_LOOP('',(#253765,#253766,#253767,#253768)); #47014=EDGE_LOOP('',(#253769,#253770,#253771,#253772)); #47015=EDGE_LOOP('',(#253773,#253774,#253775,#253776)); #47016=EDGE_LOOP('',(#253777,#253778,#253779)); #47017=EDGE_LOOP('',(#253780)); #47018=EDGE_LOOP('',(#253781,#253782,#253783)); #47019=EDGE_LOOP('',(#253784)); #47020=EDGE_LOOP('',(#253785,#253786,#253787,#253788)); #47021=EDGE_LOOP('',(#253789,#253790,#253791,#253792)); #47022=EDGE_LOOP('',(#253793,#253794,#253795,#253796)); #47023=EDGE_LOOP('',(#253797,#253798,#253799,#253800)); #47024=EDGE_LOOP('',(#253801,#253802,#253803,#253804)); #47025=EDGE_LOOP('',(#253805,#253806,#253807,#253808)); #47026=EDGE_LOOP('',(#253809,#253810,#253811,#253812)); #47027=EDGE_LOOP('',(#253813,#253814,#253815,#253816)); #47028=EDGE_LOOP('',(#253817,#253818,#253819,#253820)); #47029=EDGE_LOOP('',(#253821,#253822,#253823,#253824)); #47030=EDGE_LOOP('',(#253825,#253826,#253827,#253828)); #47031=EDGE_LOOP('',(#253829,#253830,#253831,#253832)); #47032=EDGE_LOOP('',(#253833,#253834,#253835,#253836)); #47033=EDGE_LOOP('',(#253837,#253838,#253839,#253840)); #47034=EDGE_LOOP('',(#253841,#253842,#253843,#253844)); #47035=EDGE_LOOP('',(#253845,#253846,#253847,#253848)); #47036=EDGE_LOOP('',(#253849,#253850,#253851,#253852)); #47037=EDGE_LOOP('',(#253853,#253854,#253855,#253856)); #47038=EDGE_LOOP('',(#253857,#253858,#253859,#253860)); #47039=EDGE_LOOP('',(#253861,#253862,#253863,#253864)); #47040=EDGE_LOOP('',(#253865,#253866,#253867,#253868)); #47041=EDGE_LOOP('',(#253869,#253870,#253871,#253872)); #47042=EDGE_LOOP('',(#253873,#253874,#253875,#253876)); #47043=EDGE_LOOP('',(#253877,#253878,#253879,#253880)); #47044=EDGE_LOOP('',(#253881,#253882,#253883,#253884)); #47045=EDGE_LOOP('',(#253885,#253886,#253887,#253888)); #47046=EDGE_LOOP('',(#253889,#253890,#253891,#253892)); #47047=EDGE_LOOP('',(#253893,#253894,#253895,#253896)); #47048=EDGE_LOOP('',(#253897,#253898,#253899,#253900)); #47049=EDGE_LOOP('',(#253901,#253902,#253903,#253904)); #47050=EDGE_LOOP('',(#253905,#253906,#253907,#253908)); #47051=EDGE_LOOP('',(#253909,#253910,#253911,#253912)); #47052=EDGE_LOOP('',(#253913,#253914,#253915,#253916)); #47053=EDGE_LOOP('',(#253917,#253918,#253919,#253920)); #47054=EDGE_LOOP('',(#253921,#253922,#253923,#253924)); #47055=EDGE_LOOP('',(#253925,#253926,#253927,#253928)); #47056=EDGE_LOOP('',(#253929,#253930,#253931,#253932)); #47057=EDGE_LOOP('',(#253933,#253934,#253935,#253936)); #47058=EDGE_LOOP('',(#253937,#253938,#253939,#253940)); #47059=EDGE_LOOP('',(#253941,#253942,#253943,#253944)); #47060=EDGE_LOOP('',(#253945,#253946,#253947,#253948)); #47061=EDGE_LOOP('',(#253949,#253950,#253951,#253952)); #47062=EDGE_LOOP('',(#253953,#253954,#253955,#253956)); #47063=EDGE_LOOP('',(#253957,#253958,#253959,#253960)); #47064=EDGE_LOOP('',(#253961,#253962,#253963,#253964)); #47065=EDGE_LOOP('',(#253965,#253966,#253967,#253968)); #47066=EDGE_LOOP('',(#253969,#253970,#253971,#253972)); #47067=EDGE_LOOP('',(#253973,#253974,#253975,#253976)); #47068=EDGE_LOOP('',(#253977,#253978,#253979,#253980)); #47069=EDGE_LOOP('',(#253981,#253982,#253983,#253984)); #47070=EDGE_LOOP('',(#253985,#253986,#253987,#253988)); #47071=EDGE_LOOP('',(#253989,#253990,#253991,#253992)); #47072=EDGE_LOOP('',(#253993,#253994,#253995,#253996)); #47073=EDGE_LOOP('',(#253997,#253998,#253999,#254000)); #47074=EDGE_LOOP('',(#254001,#254002,#254003,#254004)); #47075=EDGE_LOOP('',(#254005,#254006,#254007,#254008)); #47076=EDGE_LOOP('',(#254009,#254010,#254011,#254012)); #47077=EDGE_LOOP('',(#254013,#254014,#254015,#254016)); #47078=EDGE_LOOP('',(#254017,#254018,#254019,#254020)); #47079=EDGE_LOOP('',(#254021,#254022,#254023,#254024)); #47080=EDGE_LOOP('',(#254025,#254026,#254027,#254028,#254029,#254030,#254031, #254032,#254033,#254034,#254035,#254036,#254037,#254038,#254039,#254040, #254041,#254042,#254043,#254044,#254045,#254046,#254047,#254048,#254049, #254050,#254051,#254052,#254053,#254054,#254055,#254056,#254057,#254058, #254059,#254060,#254061,#254062,#254063,#254064,#254065,#254066,#254067, #254068,#254069,#254070,#254071,#254072,#254073,#254074,#254075,#254076, #254077,#254078,#254079,#254080)); #47081=EDGE_LOOP('',(#254081,#254082,#254083,#254084)); #47082=EDGE_LOOP('',(#254085,#254086,#254087,#254088,#254089,#254090,#254091, #254092,#254093,#254094,#254095,#254096,#254097,#254098,#254099,#254100, #254101,#254102,#254103,#254104,#254105,#254106,#254107,#254108,#254109, #254110,#254111,#254112,#254113,#254114,#254115,#254116,#254117,#254118, #254119,#254120,#254121,#254122,#254123,#254124,#254125,#254126,#254127, #254128,#254129,#254130,#254131,#254132,#254133,#254134,#254135,#254136, #254137,#254138,#254139,#254140)); #47083=EDGE_LOOP('',(#254141,#254142,#254143,#254144)); #47084=EDGE_LOOP('',(#254145,#254146,#254147,#254148)); #47085=EDGE_LOOP('',(#254149,#254150,#254151,#254152)); #47086=EDGE_LOOP('',(#254153,#254154,#254155,#254156)); #47087=EDGE_LOOP('',(#254157,#254158,#254159,#254160)); #47088=EDGE_LOOP('',(#254161,#254162,#254163)); #47089=EDGE_LOOP('',(#254164)); #47090=EDGE_LOOP('',(#254165,#254166,#254167)); #47091=EDGE_LOOP('',(#254168)); #47092=EDGE_LOOP('',(#254169,#254170,#254171,#254172)); #47093=EDGE_LOOP('',(#254173,#254174,#254175,#254176)); #47094=EDGE_LOOP('',(#254177,#254178,#254179,#254180)); #47095=EDGE_LOOP('',(#254181,#254182,#254183,#254184)); #47096=EDGE_LOOP('',(#254185,#254186,#254187)); #47097=EDGE_LOOP('',(#254188)); #47098=EDGE_LOOP('',(#254189,#254190,#254191)); #47099=EDGE_LOOP('',(#254192)); #47100=EDGE_LOOP('',(#254193,#254194,#254195,#254196)); #47101=EDGE_LOOP('',(#254197,#254198,#254199,#254200)); #47102=EDGE_LOOP('',(#254201,#254202,#254203,#254204)); #47103=EDGE_LOOP('',(#254205,#254206,#254207,#254208)); #47104=EDGE_LOOP('',(#254209,#254210,#254211)); #47105=EDGE_LOOP('',(#254212)); #47106=EDGE_LOOP('',(#254213,#254214,#254215)); #47107=EDGE_LOOP('',(#254216)); #47108=EDGE_LOOP('',(#254217,#254218,#254219,#254220)); #47109=EDGE_LOOP('',(#254221,#254222,#254223,#254224)); #47110=EDGE_LOOP('',(#254225,#254226,#254227,#254228)); #47111=EDGE_LOOP('',(#254229,#254230,#254231,#254232)); #47112=EDGE_LOOP('',(#254233,#254234,#254235,#254236)); #47113=EDGE_LOOP('',(#254237,#254238,#254239,#254240)); #47114=EDGE_LOOP('',(#254241)); #47115=EDGE_LOOP('',(#254242,#254243,#254244,#254245)); #47116=EDGE_LOOP('',(#254246)); #47117=EDGE_LOOP('',(#254247,#254248,#254249,#254250)); #47118=EDGE_LOOP('',(#254251,#254252,#254253,#254254)); #47119=EDGE_LOOP('',(#254255,#254256,#254257,#254258)); #47120=EDGE_LOOP('',(#254259,#254260,#254261,#254262)); #47121=EDGE_LOOP('',(#254263,#254264,#254265)); #47122=EDGE_LOOP('',(#254266)); #47123=EDGE_LOOP('',(#254267,#254268,#254269)); #47124=EDGE_LOOP('',(#254270)); #47125=EDGE_LOOP('',(#254271,#254272,#254273,#254274)); #47126=EDGE_LOOP('',(#254275,#254276,#254277,#254278)); #47127=EDGE_LOOP('',(#254279,#254280,#254281,#254282)); #47128=EDGE_LOOP('',(#254283,#254284,#254285,#254286)); #47129=EDGE_LOOP('',(#254287,#254288,#254289,#254290)); #47130=EDGE_LOOP('',(#254291,#254292,#254293,#254294)); #47131=EDGE_LOOP('',(#254295,#254296,#254297,#254298)); #47132=EDGE_LOOP('',(#254299,#254300,#254301,#254302)); #47133=EDGE_LOOP('',(#254303,#254304,#254305,#254306)); #47134=EDGE_LOOP('',(#254307,#254308,#254309,#254310)); #47135=EDGE_LOOP('',(#254311,#254312,#254313,#254314)); #47136=EDGE_LOOP('',(#254315,#254316,#254317,#254318)); #47137=EDGE_LOOP('',(#254319,#254320,#254321,#254322)); #47138=EDGE_LOOP('',(#254323,#254324,#254325,#254326)); #47139=EDGE_LOOP('',(#254327,#254328,#254329,#254330)); #47140=EDGE_LOOP('',(#254331,#254332,#254333,#254334)); #47141=EDGE_LOOP('',(#254335,#254336,#254337,#254338)); #47142=EDGE_LOOP('',(#254339,#254340,#254341,#254342)); #47143=EDGE_LOOP('',(#254343,#254344,#254345,#254346)); #47144=EDGE_LOOP('',(#254347,#254348,#254349,#254350)); #47145=EDGE_LOOP('',(#254351,#254352,#254353,#254354)); #47146=EDGE_LOOP('',(#254355,#254356,#254357,#254358)); #47147=EDGE_LOOP('',(#254359,#254360,#254361,#254362)); #47148=EDGE_LOOP('',(#254363,#254364,#254365,#254366)); #47149=EDGE_LOOP('',(#254367,#254368,#254369,#254370)); #47150=EDGE_LOOP('',(#254371,#254372,#254373,#254374)); #47151=EDGE_LOOP('',(#254375,#254376,#254377,#254378)); #47152=EDGE_LOOP('',(#254379,#254380,#254381,#254382)); #47153=EDGE_LOOP('',(#254383,#254384,#254385,#254386)); #47154=EDGE_LOOP('',(#254387,#254388,#254389,#254390)); #47155=EDGE_LOOP('',(#254391,#254392,#254393,#254394)); #47156=EDGE_LOOP('',(#254395,#254396,#254397,#254398)); #47157=EDGE_LOOP('',(#254399,#254400,#254401,#254402)); #47158=EDGE_LOOP('',(#254403,#254404,#254405,#254406)); #47159=EDGE_LOOP('',(#254407,#254408,#254409,#254410)); #47160=EDGE_LOOP('',(#254411,#254412,#254413,#254414)); #47161=EDGE_LOOP('',(#254415,#254416,#254417,#254418)); #47162=EDGE_LOOP('',(#254419,#254420,#254421,#254422)); #47163=EDGE_LOOP('',(#254423,#254424,#254425,#254426)); #47164=EDGE_LOOP('',(#254427,#254428,#254429,#254430)); #47165=EDGE_LOOP('',(#254431,#254432,#254433,#254434)); #47166=EDGE_LOOP('',(#254435,#254436,#254437,#254438)); #47167=EDGE_LOOP('',(#254439,#254440,#254441,#254442)); #47168=EDGE_LOOP('',(#254443,#254444,#254445,#254446)); #47169=EDGE_LOOP('',(#254447,#254448,#254449,#254450)); #47170=EDGE_LOOP('',(#254451,#254452,#254453,#254454)); #47171=EDGE_LOOP('',(#254455,#254456,#254457,#254458)); #47172=EDGE_LOOP('',(#254459,#254460,#254461,#254462)); #47173=EDGE_LOOP('',(#254463,#254464,#254465,#254466)); #47174=EDGE_LOOP('',(#254467,#254468,#254469,#254470)); #47175=EDGE_LOOP('',(#254471,#254472,#254473,#254474)); #47176=EDGE_LOOP('',(#254475,#254476,#254477,#254478)); #47177=EDGE_LOOP('',(#254479,#254480,#254481,#254482)); #47178=EDGE_LOOP('',(#254483,#254484,#254485,#254486)); #47179=EDGE_LOOP('',(#254487,#254488,#254489,#254490)); #47180=EDGE_LOOP('',(#254491,#254492,#254493,#254494)); #47181=EDGE_LOOP('',(#254495,#254496,#254497,#254498)); #47182=EDGE_LOOP('',(#254499,#254500,#254501,#254502)); #47183=EDGE_LOOP('',(#254503,#254504,#254505,#254506)); #47184=EDGE_LOOP('',(#254507,#254508,#254509,#254510)); #47185=EDGE_LOOP('',(#254511,#254512,#254513,#254514)); #47186=EDGE_LOOP('',(#254515,#254516,#254517,#254518)); #47187=EDGE_LOOP('',(#254519,#254520,#254521,#254522)); #47188=EDGE_LOOP('',(#254523,#254524,#254525,#254526)); #47189=EDGE_LOOP('',(#254527,#254528,#254529,#254530)); #47190=EDGE_LOOP('',(#254531,#254532,#254533,#254534)); #47191=EDGE_LOOP('',(#254535,#254536,#254537,#254538)); #47192=EDGE_LOOP('',(#254539,#254540,#254541,#254542)); #47193=EDGE_LOOP('',(#254543,#254544,#254545,#254546)); #47194=EDGE_LOOP('',(#254547,#254548,#254549,#254550)); #47195=EDGE_LOOP('',(#254551,#254552,#254553,#254554)); #47196=EDGE_LOOP('',(#254555,#254556,#254557,#254558)); #47197=EDGE_LOOP('',(#254559,#254560,#254561,#254562)); #47198=EDGE_LOOP('',(#254563,#254564,#254565,#254566)); #47199=EDGE_LOOP('',(#254567,#254568,#254569,#254570)); #47200=EDGE_LOOP('',(#254571,#254572,#254573,#254574)); #47201=EDGE_LOOP('',(#254575,#254576,#254577,#254578)); #47202=EDGE_LOOP('',(#254579,#254580,#254581,#254582)); #47203=EDGE_LOOP('',(#254583,#254584,#254585,#254586)); #47204=EDGE_LOOP('',(#254587,#254588,#254589,#254590)); #47205=EDGE_LOOP('',(#254591,#254592,#254593,#254594)); #47206=EDGE_LOOP('',(#254595,#254596,#254597,#254598)); #47207=EDGE_LOOP('',(#254599,#254600,#254601,#254602)); #47208=EDGE_LOOP('',(#254603,#254604,#254605,#254606)); #47209=EDGE_LOOP('',(#254607,#254608,#254609,#254610)); #47210=EDGE_LOOP('',(#254611,#254612,#254613,#254614)); #47211=EDGE_LOOP('',(#254615,#254616,#254617,#254618)); #47212=EDGE_LOOP('',(#254619,#254620,#254621,#254622)); #47213=EDGE_LOOP('',(#254623,#254624,#254625,#254626)); #47214=EDGE_LOOP('',(#254627)); #47215=EDGE_LOOP('',(#254628)); #47216=EDGE_LOOP('',(#254629)); #47217=EDGE_LOOP('',(#254630)); #47218=EDGE_LOOP('',(#254631)); #47219=EDGE_LOOP('',(#254632)); #47220=EDGE_LOOP('',(#254633,#254634,#254635,#254636)); #47221=EDGE_LOOP('',(#254637)); #47222=EDGE_LOOP('',(#254638)); #47223=EDGE_LOOP('',(#254639)); #47224=EDGE_LOOP('',(#254640)); #47225=EDGE_LOOP('',(#254641)); #47226=EDGE_LOOP('',(#254642)); #47227=EDGE_LOOP('',(#254643,#254644,#254645,#254646)); #47228=EDGE_LOOP('',(#254647,#254648,#254649,#254650)); #47229=EDGE_LOOP('',(#254651,#254652,#254653,#254654)); #47230=EDGE_LOOP('',(#254655,#254656,#254657,#254658)); #47231=EDGE_LOOP('',(#254659,#254660,#254661,#254662)); #47232=EDGE_LOOP('',(#254663,#254664,#254665,#254666)); #47233=EDGE_LOOP('',(#254667,#254668,#254669,#254670)); #47234=EDGE_LOOP('',(#254671,#254672,#254673,#254674)); #47235=EDGE_LOOP('',(#254675,#254676,#254677,#254678)); #47236=EDGE_LOOP('',(#254679,#254680,#254681,#254682)); #47237=EDGE_LOOP('',(#254683,#254684,#254685,#254686)); #47238=EDGE_LOOP('',(#254687,#254688,#254689,#254690)); #47239=EDGE_LOOP('',(#254691,#254692,#254693,#254694)); #47240=EDGE_LOOP('',(#254695,#254696,#254697,#254698)); #47241=EDGE_LOOP('',(#254699,#254700,#254701,#254702)); #47242=EDGE_LOOP('',(#254703,#254704,#254705,#254706)); #47243=EDGE_LOOP('',(#254707,#254708,#254709,#254710)); #47244=EDGE_LOOP('',(#254711,#254712,#254713,#254714)); #47245=EDGE_LOOP('',(#254715,#254716,#254717,#254718)); #47246=EDGE_LOOP('',(#254719,#254720,#254721,#254722)); #47247=EDGE_LOOP('',(#254723,#254724,#254725,#254726)); #47248=EDGE_LOOP('',(#254727,#254728,#254729,#254730)); #47249=EDGE_LOOP('',(#254731,#254732,#254733,#254734)); #47250=EDGE_LOOP('',(#254735,#254736,#254737,#254738)); #47251=EDGE_LOOP('',(#254739,#254740,#254741,#254742)); #47252=EDGE_LOOP('',(#254743,#254744,#254745,#254746)); #47253=EDGE_LOOP('',(#254747,#254748,#254749,#254750)); #47254=EDGE_LOOP('',(#254751,#254752,#254753,#254754)); #47255=EDGE_LOOP('',(#254755,#254756,#254757,#254758)); #47256=EDGE_LOOP('',(#254759,#254760,#254761,#254762)); #47257=EDGE_LOOP('',(#254763,#254764,#254765,#254766)); #47258=EDGE_LOOP('',(#254767,#254768,#254769,#254770)); #47259=EDGE_LOOP('',(#254771,#254772,#254773,#254774)); #47260=EDGE_LOOP('',(#254775,#254776,#254777,#254778)); #47261=EDGE_LOOP('',(#254779,#254780,#254781,#254782)); #47262=EDGE_LOOP('',(#254783,#254784,#254785,#254786)); #47263=EDGE_LOOP('',(#254787,#254788,#254789,#254790)); #47264=EDGE_LOOP('',(#254791,#254792,#254793,#254794)); #47265=EDGE_LOOP('',(#254795,#254796,#254797,#254798)); #47266=EDGE_LOOP('',(#254799,#254800,#254801,#254802)); #47267=EDGE_LOOP('',(#254803,#254804,#254805,#254806)); #47268=EDGE_LOOP('',(#254807,#254808,#254809,#254810)); #47269=EDGE_LOOP('',(#254811,#254812,#254813,#254814)); #47270=EDGE_LOOP('',(#254815,#254816,#254817,#254818)); #47271=EDGE_LOOP('',(#254819,#254820,#254821,#254822)); #47272=EDGE_LOOP('',(#254823,#254824,#254825,#254826)); #47273=EDGE_LOOP('',(#254827,#254828,#254829,#254830)); #47274=EDGE_LOOP('',(#254831,#254832,#254833,#254834)); #47275=EDGE_LOOP('',(#254835,#254836,#254837,#254838)); #47276=EDGE_LOOP('',(#254839,#254840,#254841,#254842)); #47277=EDGE_LOOP('',(#254843,#254844,#254845,#254846)); #47278=EDGE_LOOP('',(#254847,#254848,#254849,#254850)); #47279=EDGE_LOOP('',(#254851,#254852,#254853,#254854)); #47280=EDGE_LOOP('',(#254855,#254856,#254857,#254858)); #47281=EDGE_LOOP('',(#254859,#254860,#254861,#254862)); #47282=EDGE_LOOP('',(#254863,#254864,#254865,#254866)); #47283=EDGE_LOOP('',(#254867,#254868,#254869,#254870)); #47284=EDGE_LOOP('',(#254871,#254872,#254873,#254874)); #47285=EDGE_LOOP('',(#254875,#254876,#254877,#254878)); #47286=EDGE_LOOP('',(#254879,#254880,#254881,#254882)); #47287=EDGE_LOOP('',(#254883,#254884,#254885,#254886)); #47288=EDGE_LOOP('',(#254887,#254888,#254889,#254890)); #47289=EDGE_LOOP('',(#254891,#254892,#254893,#254894)); #47290=EDGE_LOOP('',(#254895,#254896,#254897,#254898)); #47291=EDGE_LOOP('',(#254899,#254900,#254901,#254902)); #47292=EDGE_LOOP('',(#254903,#254904,#254905,#254906)); #47293=EDGE_LOOP('',(#254907,#254908,#254909,#254910)); #47294=EDGE_LOOP('',(#254911,#254912,#254913,#254914)); #47295=EDGE_LOOP('',(#254915,#254916,#254917,#254918)); #47296=EDGE_LOOP('',(#254919,#254920,#254921,#254922)); #47297=EDGE_LOOP('',(#254923,#254924,#254925,#254926)); #47298=EDGE_LOOP('',(#254927,#254928,#254929,#254930)); #47299=EDGE_LOOP('',(#254931,#254932,#254933,#254934)); #47300=EDGE_LOOP('',(#254935,#254936,#254937,#254938)); #47301=EDGE_LOOP('',(#254939,#254940,#254941,#254942)); #47302=EDGE_LOOP('',(#254943,#254944,#254945,#254946)); #47303=EDGE_LOOP('',(#254947,#254948,#254949,#254950)); #47304=EDGE_LOOP('',(#254951,#254952,#254953,#254954)); #47305=EDGE_LOOP('',(#254955,#254956,#254957,#254958)); #47306=EDGE_LOOP('',(#254959,#254960,#254961,#254962)); #47307=EDGE_LOOP('',(#254963,#254964,#254965,#254966)); #47308=EDGE_LOOP('',(#254967,#254968,#254969,#254970)); #47309=EDGE_LOOP('',(#254971,#254972,#254973,#254974)); #47310=EDGE_LOOP('',(#254975,#254976,#254977,#254978)); #47311=EDGE_LOOP('',(#254979,#254980,#254981,#254982)); #47312=EDGE_LOOP('',(#254983,#254984,#254985,#254986)); #47313=EDGE_LOOP('',(#254987,#254988,#254989,#254990)); #47314=EDGE_LOOP('',(#254991,#254992,#254993,#254994)); #47315=EDGE_LOOP('',(#254995,#254996,#254997,#254998)); #47316=EDGE_LOOP('',(#254999,#255000,#255001,#255002)); #47317=EDGE_LOOP('',(#255003,#255004,#255005,#255006)); #47318=EDGE_LOOP('',(#255007,#255008,#255009,#255010)); #47319=EDGE_LOOP('',(#255011,#255012,#255013,#255014)); #47320=EDGE_LOOP('',(#255015,#255016,#255017,#255018)); #47321=EDGE_LOOP('',(#255019,#255020,#255021,#255022)); #47322=EDGE_LOOP('',(#255023,#255024,#255025,#255026)); #47323=EDGE_LOOP('',(#255027,#255028,#255029,#255030)); #47324=EDGE_LOOP('',(#255031,#255032,#255033,#255034)); #47325=EDGE_LOOP('',(#255035,#255036,#255037,#255038)); #47326=EDGE_LOOP('',(#255039,#255040,#255041,#255042)); #47327=EDGE_LOOP('',(#255043,#255044,#255045,#255046)); #47328=EDGE_LOOP('',(#255047,#255048,#255049,#255050)); #47329=EDGE_LOOP('',(#255051,#255052,#255053,#255054)); #47330=EDGE_LOOP('',(#255055,#255056,#255057,#255058)); #47331=EDGE_LOOP('',(#255059,#255060,#255061,#255062)); #47332=EDGE_LOOP('',(#255063,#255064,#255065,#255066)); #47333=EDGE_LOOP('',(#255067,#255068,#255069,#255070)); #47334=EDGE_LOOP('',(#255071,#255072,#255073,#255074)); #47335=EDGE_LOOP('',(#255075,#255076,#255077,#255078)); #47336=EDGE_LOOP('',(#255079,#255080,#255081,#255082)); #47337=EDGE_LOOP('',(#255083,#255084,#255085,#255086)); #47338=EDGE_LOOP('',(#255087,#255088,#255089,#255090)); #47339=EDGE_LOOP('',(#255091,#255092,#255093,#255094)); #47340=EDGE_LOOP('',(#255095,#255096,#255097,#255098)); #47341=EDGE_LOOP('',(#255099,#255100,#255101,#255102)); #47342=EDGE_LOOP('',(#255103,#255104,#255105,#255106)); #47343=EDGE_LOOP('',(#255107,#255108,#255109,#255110)); #47344=EDGE_LOOP('',(#255111,#255112,#255113,#255114)); #47345=EDGE_LOOP('',(#255115,#255116,#255117,#255118)); #47346=EDGE_LOOP('',(#255119,#255120,#255121,#255122)); #47347=EDGE_LOOP('',(#255123,#255124,#255125,#255126)); #47348=EDGE_LOOP('',(#255127,#255128,#255129,#255130)); #47349=EDGE_LOOP('',(#255131,#255132,#255133,#255134)); #47350=EDGE_LOOP('',(#255135,#255136,#255137,#255138)); #47351=EDGE_LOOP('',(#255139,#255140,#255141,#255142)); #47352=EDGE_LOOP('',(#255143,#255144,#255145,#255146)); #47353=EDGE_LOOP('',(#255147,#255148,#255149,#255150)); #47354=EDGE_LOOP('',(#255151,#255152,#255153,#255154)); #47355=EDGE_LOOP('',(#255155,#255156,#255157,#255158)); #47356=EDGE_LOOP('',(#255159,#255160,#255161,#255162)); #47357=EDGE_LOOP('',(#255163,#255164,#255165,#255166)); #47358=EDGE_LOOP('',(#255167,#255168,#255169,#255170)); #47359=EDGE_LOOP('',(#255171,#255172,#255173,#255174)); #47360=EDGE_LOOP('',(#255175,#255176,#255177,#255178)); #47361=EDGE_LOOP('',(#255179,#255180,#255181,#255182)); #47362=EDGE_LOOP('',(#255183,#255184,#255185,#255186)); #47363=EDGE_LOOP('',(#255187,#255188,#255189,#255190)); #47364=EDGE_LOOP('',(#255191,#255192,#255193,#255194)); #47365=EDGE_LOOP('',(#255195,#255196,#255197,#255198)); #47366=EDGE_LOOP('',(#255199,#255200,#255201,#255202)); #47367=EDGE_LOOP('',(#255203,#255204,#255205,#255206)); #47368=EDGE_LOOP('',(#255207,#255208,#255209,#255210)); #47369=EDGE_LOOP('',(#255211,#255212,#255213,#255214)); #47370=EDGE_LOOP('',(#255215,#255216,#255217,#255218)); #47371=EDGE_LOOP('',(#255219,#255220,#255221,#255222)); #47372=EDGE_LOOP('',(#255223,#255224,#255225,#255226)); #47373=EDGE_LOOP('',(#255227,#255228,#255229,#255230)); #47374=EDGE_LOOP('',(#255231,#255232,#255233,#255234)); #47375=EDGE_LOOP('',(#255235,#255236,#255237,#255238)); #47376=EDGE_LOOP('',(#255239,#255240,#255241,#255242)); #47377=EDGE_LOOP('',(#255243,#255244,#255245,#255246)); #47378=EDGE_LOOP('',(#255247,#255248,#255249,#255250)); #47379=EDGE_LOOP('',(#255251,#255252,#255253,#255254)); #47380=EDGE_LOOP('',(#255255,#255256,#255257,#255258)); #47381=EDGE_LOOP('',(#255259,#255260,#255261,#255262)); #47382=EDGE_LOOP('',(#255263,#255264,#255265,#255266)); #47383=EDGE_LOOP('',(#255267,#255268,#255269,#255270)); #47384=EDGE_LOOP('',(#255271,#255272,#255273,#255274)); #47385=EDGE_LOOP('',(#255275,#255276,#255277,#255278)); #47386=EDGE_LOOP('',(#255279,#255280,#255281,#255282)); #47387=EDGE_LOOP('',(#255283,#255284,#255285,#255286)); #47388=EDGE_LOOP('',(#255287,#255288,#255289,#255290)); #47389=EDGE_LOOP('',(#255291,#255292,#255293,#255294)); #47390=EDGE_LOOP('',(#255295,#255296,#255297,#255298)); #47391=EDGE_LOOP('',(#255299,#255300,#255301,#255302)); #47392=EDGE_LOOP('',(#255303,#255304,#255305,#255306)); #47393=EDGE_LOOP('',(#255307,#255308,#255309,#255310)); #47394=EDGE_LOOP('',(#255311)); #47395=EDGE_LOOP('',(#255312)); #47396=EDGE_LOOP('',(#255313)); #47397=EDGE_LOOP('',(#255314)); #47398=EDGE_LOOP('',(#255315)); #47399=EDGE_LOOP('',(#255316)); #47400=EDGE_LOOP('',(#255317,#255318,#255319,#255320)); #47401=EDGE_LOOP('',(#255321)); #47402=EDGE_LOOP('',(#255322)); #47403=EDGE_LOOP('',(#255323)); #47404=EDGE_LOOP('',(#255324)); #47405=EDGE_LOOP('',(#255325)); #47406=EDGE_LOOP('',(#255326)); #47407=EDGE_LOOP('',(#255327,#255328,#255329,#255330)); #47408=EDGE_LOOP('',(#255331,#255332,#255333,#255334)); #47409=EDGE_LOOP('',(#255335,#255336,#255337,#255338)); #47410=EDGE_LOOP('',(#255339,#255340,#255341,#255342)); #47411=EDGE_LOOP('',(#255343,#255344,#255345,#255346)); #47412=EDGE_LOOP('',(#255347,#255348,#255349,#255350)); #47413=EDGE_LOOP('',(#255351,#255352,#255353,#255354)); #47414=EDGE_LOOP('',(#255355,#255356,#255357,#255358)); #47415=EDGE_LOOP('',(#255359,#255360,#255361,#255362)); #47416=EDGE_LOOP('',(#255363,#255364,#255365,#255366)); #47417=EDGE_LOOP('',(#255367,#255368,#255369,#255370)); #47418=EDGE_LOOP('',(#255371,#255372,#255373,#255374)); #47419=EDGE_LOOP('',(#255375,#255376,#255377,#255378)); #47420=EDGE_LOOP('',(#255379,#255380,#255381,#255382)); #47421=EDGE_LOOP('',(#255383,#255384,#255385,#255386)); #47422=EDGE_LOOP('',(#255387,#255388,#255389,#255390)); #47423=EDGE_LOOP('',(#255391,#255392,#255393,#255394)); #47424=EDGE_LOOP('',(#255395,#255396,#255397,#255398)); #47425=EDGE_LOOP('',(#255399,#255400,#255401,#255402)); #47426=EDGE_LOOP('',(#255403,#255404,#255405,#255406)); #47427=EDGE_LOOP('',(#255407,#255408,#255409,#255410)); #47428=EDGE_LOOP('',(#255411,#255412,#255413,#255414)); #47429=EDGE_LOOP('',(#255415,#255416,#255417,#255418)); #47430=EDGE_LOOP('',(#255419,#255420,#255421,#255422)); #47431=EDGE_LOOP('',(#255423,#255424,#255425,#255426)); #47432=EDGE_LOOP('',(#255427,#255428,#255429,#255430)); #47433=EDGE_LOOP('',(#255431,#255432,#255433,#255434)); #47434=EDGE_LOOP('',(#255435,#255436,#255437,#255438)); #47435=EDGE_LOOP('',(#255439,#255440,#255441,#255442)); #47436=EDGE_LOOP('',(#255443,#255444,#255445,#255446)); #47437=EDGE_LOOP('',(#255447,#255448,#255449,#255450)); #47438=EDGE_LOOP('',(#255451,#255452,#255453,#255454)); #47439=EDGE_LOOP('',(#255455,#255456,#255457,#255458)); #47440=EDGE_LOOP('',(#255459,#255460,#255461,#255462)); #47441=EDGE_LOOP('',(#255463,#255464,#255465,#255466)); #47442=EDGE_LOOP('',(#255467,#255468,#255469,#255470)); #47443=EDGE_LOOP('',(#255471,#255472,#255473,#255474)); #47444=EDGE_LOOP('',(#255475,#255476,#255477,#255478)); #47445=EDGE_LOOP('',(#255479,#255480,#255481,#255482)); #47446=EDGE_LOOP('',(#255483,#255484,#255485,#255486)); #47447=EDGE_LOOP('',(#255487,#255488,#255489,#255490)); #47448=EDGE_LOOP('',(#255491,#255492,#255493,#255494)); #47449=EDGE_LOOP('',(#255495,#255496,#255497,#255498)); #47450=EDGE_LOOP('',(#255499,#255500,#255501,#255502)); #47451=EDGE_LOOP('',(#255503,#255504,#255505,#255506)); #47452=EDGE_LOOP('',(#255507,#255508,#255509,#255510)); #47453=EDGE_LOOP('',(#255511,#255512,#255513,#255514)); #47454=EDGE_LOOP('',(#255515)); #47455=EDGE_LOOP('',(#255516)); #47456=EDGE_LOOP('',(#255517)); #47457=EDGE_LOOP('',(#255518)); #47458=EDGE_LOOP('',(#255519)); #47459=EDGE_LOOP('',(#255520)); #47460=EDGE_LOOP('',(#255521,#255522,#255523,#255524)); #47461=EDGE_LOOP('',(#255525)); #47462=EDGE_LOOP('',(#255526)); #47463=EDGE_LOOP('',(#255527)); #47464=EDGE_LOOP('',(#255528)); #47465=EDGE_LOOP('',(#255529)); #47466=EDGE_LOOP('',(#255530)); #47467=EDGE_LOOP('',(#255531,#255532,#255533,#255534)); #47468=EDGE_LOOP('',(#255535,#255536,#255537,#255538)); #47469=EDGE_LOOP('',(#255539,#255540,#255541,#255542)); #47470=EDGE_LOOP('',(#255543,#255544,#255545,#255546)); #47471=EDGE_LOOP('',(#255547,#255548,#255549,#255550)); #47472=EDGE_LOOP('',(#255551,#255552,#255553,#255554)); #47473=EDGE_LOOP('',(#255555,#255556,#255557,#255558)); #47474=EDGE_LOOP('',(#255559,#255560,#255561,#255562)); #47475=EDGE_LOOP('',(#255563,#255564,#255565,#255566)); #47476=EDGE_LOOP('',(#255567,#255568,#255569,#255570)); #47477=EDGE_LOOP('',(#255571,#255572,#255573,#255574)); #47478=EDGE_LOOP('',(#255575,#255576,#255577,#255578)); #47479=EDGE_LOOP('',(#255579,#255580,#255581,#255582)); #47480=EDGE_LOOP('',(#255583,#255584,#255585,#255586)); #47481=EDGE_LOOP('',(#255587,#255588,#255589,#255590)); #47482=EDGE_LOOP('',(#255591,#255592,#255593,#255594)); #47483=EDGE_LOOP('',(#255595,#255596,#255597,#255598)); #47484=EDGE_LOOP('',(#255599,#255600,#255601,#255602)); #47485=EDGE_LOOP('',(#255603,#255604,#255605,#255606)); #47486=EDGE_LOOP('',(#255607,#255608,#255609,#255610)); #47487=EDGE_LOOP('',(#255611,#255612,#255613,#255614)); #47488=EDGE_LOOP('',(#255615,#255616,#255617,#255618)); #47489=EDGE_LOOP('',(#255619,#255620,#255621,#255622)); #47490=EDGE_LOOP('',(#255623,#255624,#255625,#255626)); #47491=EDGE_LOOP('',(#255627,#255628,#255629,#255630)); #47492=EDGE_LOOP('',(#255631,#255632,#255633,#255634)); #47493=EDGE_LOOP('',(#255635,#255636,#255637,#255638)); #47494=EDGE_LOOP('',(#255639,#255640,#255641,#255642)); #47495=EDGE_LOOP('',(#255643,#255644,#255645,#255646)); #47496=EDGE_LOOP('',(#255647,#255648,#255649,#255650)); #47497=EDGE_LOOP('',(#255651,#255652,#255653,#255654)); #47498=EDGE_LOOP('',(#255655,#255656,#255657,#255658)); #47499=EDGE_LOOP('',(#255659,#255660,#255661,#255662)); #47500=EDGE_LOOP('',(#255663,#255664,#255665,#255666)); #47501=EDGE_LOOP('',(#255667,#255668,#255669,#255670)); #47502=EDGE_LOOP('',(#255671,#255672,#255673,#255674)); #47503=EDGE_LOOP('',(#255675,#255676,#255677,#255678)); #47504=EDGE_LOOP('',(#255679,#255680,#255681,#255682)); #47505=EDGE_LOOP('',(#255683,#255684,#255685,#255686)); #47506=EDGE_LOOP('',(#255687,#255688,#255689,#255690)); #47507=EDGE_LOOP('',(#255691,#255692,#255693,#255694)); #47508=EDGE_LOOP('',(#255695,#255696,#255697,#255698)); #47509=EDGE_LOOP('',(#255699,#255700,#255701,#255702)); #47510=EDGE_LOOP('',(#255703,#255704,#255705,#255706)); #47511=EDGE_LOOP('',(#255707,#255708,#255709,#255710)); #47512=EDGE_LOOP('',(#255711,#255712,#255713,#255714)); #47513=EDGE_LOOP('',(#255715,#255716,#255717,#255718)); #47514=EDGE_LOOP('',(#255719,#255720,#255721,#255722)); #47515=EDGE_LOOP('',(#255723,#255724,#255725,#255726)); #47516=EDGE_LOOP('',(#255727,#255728,#255729,#255730)); #47517=EDGE_LOOP('',(#255731,#255732,#255733,#255734)); #47518=EDGE_LOOP('',(#255735,#255736,#255737,#255738)); #47519=EDGE_LOOP('',(#255739,#255740,#255741,#255742)); #47520=EDGE_LOOP('',(#255743,#255744,#255745,#255746)); #47521=EDGE_LOOP('',(#255747,#255748,#255749,#255750)); #47522=EDGE_LOOP('',(#255751,#255752,#255753,#255754)); #47523=EDGE_LOOP('',(#255755,#255756,#255757,#255758)); #47524=EDGE_LOOP('',(#255759,#255760,#255761,#255762)); #47525=EDGE_LOOP('',(#255763,#255764,#255765,#255766)); #47526=EDGE_LOOP('',(#255767,#255768,#255769,#255770)); #47527=EDGE_LOOP('',(#255771,#255772,#255773,#255774)); #47528=EDGE_LOOP('',(#255775,#255776,#255777,#255778)); #47529=EDGE_LOOP('',(#255779,#255780,#255781,#255782)); #47530=EDGE_LOOP('',(#255783,#255784,#255785,#255786)); #47531=EDGE_LOOP('',(#255787,#255788,#255789,#255790)); #47532=EDGE_LOOP('',(#255791,#255792,#255793,#255794)); #47533=EDGE_LOOP('',(#255795)); #47534=EDGE_LOOP('',(#255796)); #47535=EDGE_LOOP('',(#255797)); #47536=EDGE_LOOP('',(#255798)); #47537=EDGE_LOOP('',(#255799)); #47538=EDGE_LOOP('',(#255800)); #47539=EDGE_LOOP('',(#255801)); #47540=EDGE_LOOP('',(#255802)); #47541=EDGE_LOOP('',(#255803)); #47542=EDGE_LOOP('',(#255804)); #47543=EDGE_LOOP('',(#255805)); #47544=EDGE_LOOP('',(#255806)); #47545=EDGE_LOOP('',(#255807)); #47546=EDGE_LOOP('',(#255808)); #47547=EDGE_LOOP('',(#255809)); #47548=EDGE_LOOP('',(#255810)); #47549=EDGE_LOOP('',(#255811)); #47550=EDGE_LOOP('',(#255812)); #47551=EDGE_LOOP('',(#255813)); #47552=EDGE_LOOP('',(#255814)); #47553=EDGE_LOOP('',(#255815)); #47554=EDGE_LOOP('',(#255816)); #47555=EDGE_LOOP('',(#255817)); #47556=EDGE_LOOP('',(#255818)); #47557=EDGE_LOOP('',(#255819)); #47558=EDGE_LOOP('',(#255820)); #47559=EDGE_LOOP('',(#255821)); #47560=EDGE_LOOP('',(#255822)); #47561=EDGE_LOOP('',(#255823)); #47562=EDGE_LOOP('',(#255824)); #47563=EDGE_LOOP('',(#255825)); #47564=EDGE_LOOP('',(#255826)); #47565=EDGE_LOOP('',(#255827)); #47566=EDGE_LOOP('',(#255828)); #47567=EDGE_LOOP('',(#255829)); #47568=EDGE_LOOP('',(#255830)); #47569=EDGE_LOOP('',(#255831)); #47570=EDGE_LOOP('',(#255832)); #47571=EDGE_LOOP('',(#255833)); #47572=EDGE_LOOP('',(#255834)); #47573=EDGE_LOOP('',(#255835)); #47574=EDGE_LOOP('',(#255836)); #47575=EDGE_LOOP('',(#255837)); #47576=EDGE_LOOP('',(#255838)); #47577=EDGE_LOOP('',(#255839)); #47578=EDGE_LOOP('',(#255840)); #47579=EDGE_LOOP('',(#255841)); #47580=EDGE_LOOP('',(#255842)); #47581=EDGE_LOOP('',(#255843)); #47582=EDGE_LOOP('',(#255844,#255845,#255846,#255847)); #47583=EDGE_LOOP('',(#255848)); #47584=EDGE_LOOP('',(#255849)); #47585=EDGE_LOOP('',(#255850)); #47586=EDGE_LOOP('',(#255851)); #47587=EDGE_LOOP('',(#255852)); #47588=EDGE_LOOP('',(#255853)); #47589=EDGE_LOOP('',(#255854)); #47590=EDGE_LOOP('',(#255855)); #47591=EDGE_LOOP('',(#255856)); #47592=EDGE_LOOP('',(#255857)); #47593=EDGE_LOOP('',(#255858)); #47594=EDGE_LOOP('',(#255859)); #47595=EDGE_LOOP('',(#255860)); #47596=EDGE_LOOP('',(#255861)); #47597=EDGE_LOOP('',(#255862)); #47598=EDGE_LOOP('',(#255863)); #47599=EDGE_LOOP('',(#255864)); #47600=EDGE_LOOP('',(#255865)); #47601=EDGE_LOOP('',(#255866)); #47602=EDGE_LOOP('',(#255867)); #47603=EDGE_LOOP('',(#255868)); #47604=EDGE_LOOP('',(#255869)); #47605=EDGE_LOOP('',(#255870)); #47606=EDGE_LOOP('',(#255871)); #47607=EDGE_LOOP('',(#255872)); #47608=EDGE_LOOP('',(#255873)); #47609=EDGE_LOOP('',(#255874)); #47610=EDGE_LOOP('',(#255875)); #47611=EDGE_LOOP('',(#255876)); #47612=EDGE_LOOP('',(#255877)); #47613=EDGE_LOOP('',(#255878)); #47614=EDGE_LOOP('',(#255879)); #47615=EDGE_LOOP('',(#255880)); #47616=EDGE_LOOP('',(#255881)); #47617=EDGE_LOOP('',(#255882)); #47618=EDGE_LOOP('',(#255883)); #47619=EDGE_LOOP('',(#255884)); #47620=EDGE_LOOP('',(#255885)); #47621=EDGE_LOOP('',(#255886)); #47622=EDGE_LOOP('',(#255887)); #47623=EDGE_LOOP('',(#255888)); #47624=EDGE_LOOP('',(#255889)); #47625=EDGE_LOOP('',(#255890)); #47626=EDGE_LOOP('',(#255891)); #47627=EDGE_LOOP('',(#255892)); #47628=EDGE_LOOP('',(#255893)); #47629=EDGE_LOOP('',(#255894)); #47630=EDGE_LOOP('',(#255895)); #47631=EDGE_LOOP('',(#255896)); #47632=EDGE_LOOP('',(#255897,#255898,#255899,#255900)); #47633=EDGE_LOOP('',(#255901,#255902,#255903,#255904)); #47634=EDGE_LOOP('',(#255905,#255906,#255907,#255908)); #47635=EDGE_LOOP('',(#255909,#255910,#255911,#255912)); #47636=EDGE_LOOP('',(#255913,#255914,#255915,#255916)); #47637=EDGE_LOOP('',(#255917,#255918,#255919,#255920)); #47638=EDGE_LOOP('',(#255921,#255922,#255923,#255924)); #47639=EDGE_LOOP('',(#255925,#255926,#255927,#255928)); #47640=EDGE_LOOP('',(#255929,#255930,#255931,#255932)); #47641=EDGE_LOOP('',(#255933,#255934,#255935,#255936)); #47642=EDGE_LOOP('',(#255937,#255938,#255939,#255940)); #47643=EDGE_LOOP('',(#255941,#255942,#255943,#255944)); #47644=EDGE_LOOP('',(#255945,#255946,#255947,#255948)); #47645=EDGE_LOOP('',(#255949,#255950,#255951,#255952)); #47646=EDGE_LOOP('',(#255953,#255954,#255955,#255956)); #47647=EDGE_LOOP('',(#255957,#255958,#255959,#255960)); #47648=EDGE_LOOP('',(#255961,#255962,#255963,#255964)); #47649=EDGE_LOOP('',(#255965,#255966,#255967,#255968)); #47650=EDGE_LOOP('',(#255969,#255970,#255971,#255972)); #47651=EDGE_LOOP('',(#255973,#255974,#255975,#255976)); #47652=EDGE_LOOP('',(#255977,#255978,#255979,#255980)); #47653=EDGE_LOOP('',(#255981,#255982,#255983,#255984)); #47654=EDGE_LOOP('',(#255985,#255986,#255987,#255988)); #47655=EDGE_LOOP('',(#255989,#255990,#255991,#255992)); #47656=EDGE_LOOP('',(#255993,#255994,#255995,#255996)); #47657=EDGE_LOOP('',(#255997,#255998,#255999,#256000)); #47658=EDGE_LOOP('',(#256001,#256002,#256003,#256004)); #47659=EDGE_LOOP('',(#256005,#256006,#256007,#256008)); #47660=EDGE_LOOP('',(#256009,#256010,#256011,#256012)); #47661=EDGE_LOOP('',(#256013,#256014,#256015,#256016)); #47662=EDGE_LOOP('',(#256017,#256018,#256019,#256020)); #47663=EDGE_LOOP('',(#256021,#256022,#256023,#256024)); #47664=EDGE_LOOP('',(#256025,#256026,#256027,#256028)); #47665=EDGE_LOOP('',(#256029,#256030,#256031,#256032)); #47666=EDGE_LOOP('',(#256033,#256034,#256035,#256036)); #47667=EDGE_LOOP('',(#256037,#256038,#256039,#256040)); #47668=EDGE_LOOP('',(#256041,#256042,#256043,#256044)); #47669=EDGE_LOOP('',(#256045,#256046,#256047,#256048)); #47670=EDGE_LOOP('',(#256049,#256050,#256051,#256052)); #47671=EDGE_LOOP('',(#256053,#256054,#256055,#256056)); #47672=EDGE_LOOP('',(#256057,#256058,#256059,#256060)); #47673=EDGE_LOOP('',(#256061,#256062,#256063,#256064)); #47674=EDGE_LOOP('',(#256065,#256066,#256067,#256068)); #47675=EDGE_LOOP('',(#256069,#256070,#256071,#256072)); #47676=EDGE_LOOP('',(#256073,#256074,#256075,#256076)); #47677=EDGE_LOOP('',(#256077,#256078,#256079,#256080)); #47678=EDGE_LOOP('',(#256081,#256082,#256083,#256084)); #47679=EDGE_LOOP('',(#256085,#256086,#256087,#256088)); #47680=EDGE_LOOP('',(#256089,#256090,#256091,#256092)); #47681=EDGE_LOOP('',(#256093,#256094,#256095,#256096)); #47682=EDGE_LOOP('',(#256097,#256098,#256099,#256100)); #47683=EDGE_LOOP('',(#256101,#256102,#256103,#256104)); #47684=EDGE_LOOP('',(#256105,#256106,#256107,#256108)); #47685=EDGE_LOOP('',(#256109,#256110,#256111,#256112)); #47686=EDGE_LOOP('',(#256113,#256114,#256115,#256116)); #47687=EDGE_LOOP('',(#256117,#256118,#256119,#256120)); #47688=EDGE_LOOP('',(#256121,#256122,#256123,#256124)); #47689=EDGE_LOOP('',(#256125,#256126,#256127,#256128)); #47690=EDGE_LOOP('',(#256129,#256130,#256131,#256132)); #47691=EDGE_LOOP('',(#256133,#256134,#256135,#256136)); #47692=EDGE_LOOP('',(#256137,#256138,#256139,#256140)); #47693=EDGE_LOOP('',(#256141,#256142,#256143,#256144)); #47694=EDGE_LOOP('',(#256145,#256146,#256147,#256148)); #47695=EDGE_LOOP('',(#256149,#256150,#256151,#256152)); #47696=EDGE_LOOP('',(#256153,#256154,#256155,#256156)); #47697=EDGE_LOOP('',(#256157,#256158,#256159,#256160)); #47698=EDGE_LOOP('',(#256161,#256162,#256163,#256164)); #47699=EDGE_LOOP('',(#256165,#256166,#256167,#256168)); #47700=EDGE_LOOP('',(#256169,#256170,#256171,#256172)); #47701=EDGE_LOOP('',(#256173,#256174,#256175,#256176)); #47702=EDGE_LOOP('',(#256177,#256178,#256179,#256180)); #47703=EDGE_LOOP('',(#256181,#256182,#256183,#256184)); #47704=EDGE_LOOP('',(#256185,#256186,#256187,#256188)); #47705=EDGE_LOOP('',(#256189,#256190,#256191,#256192)); #47706=EDGE_LOOP('',(#256193,#256194,#256195,#256196)); #47707=EDGE_LOOP('',(#256197,#256198,#256199,#256200)); #47708=EDGE_LOOP('',(#256201,#256202,#256203,#256204)); #47709=EDGE_LOOP('',(#256205,#256206,#256207,#256208)); #47710=EDGE_LOOP('',(#256209,#256210,#256211,#256212)); #47711=EDGE_LOOP('',(#256213,#256214,#256215,#256216)); #47712=EDGE_LOOP('',(#256217,#256218,#256219,#256220)); #47713=EDGE_LOOP('',(#256221,#256222,#256223,#256224)); #47714=EDGE_LOOP('',(#256225,#256226,#256227,#256228)); #47715=EDGE_LOOP('',(#256229,#256230,#256231,#256232)); #47716=EDGE_LOOP('',(#256233,#256234,#256235,#256236)); #47717=EDGE_LOOP('',(#256237,#256238,#256239,#256240)); #47718=EDGE_LOOP('',(#256241,#256242,#256243,#256244)); #47719=EDGE_LOOP('',(#256245,#256246,#256247,#256248)); #47720=EDGE_LOOP('',(#256249,#256250,#256251,#256252)); #47721=EDGE_LOOP('',(#256253,#256254,#256255,#256256)); #47722=EDGE_LOOP('',(#256257,#256258,#256259,#256260)); #47723=EDGE_LOOP('',(#256261,#256262,#256263,#256264)); #47724=EDGE_LOOP('',(#256265,#256266,#256267,#256268)); #47725=EDGE_LOOP('',(#256269,#256270,#256271,#256272)); #47726=EDGE_LOOP('',(#256273,#256274,#256275,#256276)); #47727=EDGE_LOOP('',(#256277,#256278,#256279,#256280)); #47728=EDGE_LOOP('',(#256281,#256282,#256283,#256284)); #47729=EDGE_LOOP('',(#256285,#256286,#256287,#256288)); #47730=EDGE_LOOP('',(#256289,#256290,#256291,#256292)); #47731=EDGE_LOOP('',(#256293,#256294,#256295,#256296)); #47732=EDGE_LOOP('',(#256297,#256298,#256299,#256300)); #47733=EDGE_LOOP('',(#256301,#256302,#256303,#256304)); #47734=EDGE_LOOP('',(#256305,#256306,#256307,#256308)); #47735=EDGE_LOOP('',(#256309,#256310,#256311,#256312)); #47736=EDGE_LOOP('',(#256313,#256314,#256315,#256316)); #47737=EDGE_LOOP('',(#256317,#256318,#256319,#256320)); #47738=EDGE_LOOP('',(#256321,#256322,#256323,#256324)); #47739=EDGE_LOOP('',(#256325,#256326,#256327,#256328)); #47740=EDGE_LOOP('',(#256329,#256330,#256331,#256332)); #47741=EDGE_LOOP('',(#256333,#256334,#256335,#256336)); #47742=EDGE_LOOP('',(#256337,#256338,#256339,#256340)); #47743=EDGE_LOOP('',(#256341,#256342,#256343,#256344)); #47744=EDGE_LOOP('',(#256345,#256346,#256347,#256348)); #47745=EDGE_LOOP('',(#256349,#256350,#256351,#256352)); #47746=EDGE_LOOP('',(#256353)); #47747=EDGE_LOOP('',(#256354,#256355,#256356,#256357)); #47748=EDGE_LOOP('',(#256358)); #47749=EDGE_LOOP('',(#256359,#256360,#256361,#256362)); #47750=EDGE_LOOP('',(#256363,#256364,#256365,#256366)); #47751=EDGE_LOOP('',(#256367,#256368,#256369,#256370)); #47752=EDGE_LOOP('',(#256371,#256372,#256373,#256374)); #47753=EDGE_LOOP('',(#256375,#256376,#256377,#256378)); #47754=EDGE_LOOP('',(#256379,#256380,#256381,#256382)); #47755=EDGE_LOOP('',(#256383,#256384,#256385,#256386)); #47756=EDGE_LOOP('',(#256387,#256388,#256389,#256390)); #47757=EDGE_LOOP('',(#256391,#256392,#256393,#256394)); #47758=EDGE_LOOP('',(#256395,#256396,#256397,#256398)); #47759=EDGE_LOOP('',(#256399,#256400,#256401,#256402)); #47760=EDGE_LOOP('',(#256403,#256404,#256405,#256406)); #47761=EDGE_LOOP('',(#256407,#256408,#256409,#256410)); #47762=EDGE_LOOP('',(#256411,#256412,#256413,#256414)); #47763=EDGE_LOOP('',(#256415,#256416,#256417,#256418)); #47764=EDGE_LOOP('',(#256419,#256420,#256421,#256422)); #47765=EDGE_LOOP('',(#256423,#256424,#256425,#256426)); #47766=EDGE_LOOP('',(#256427,#256428,#256429,#256430)); #47767=EDGE_LOOP('',(#256431)); #47768=EDGE_LOOP('',(#256432,#256433,#256434,#256435)); #47769=EDGE_LOOP('',(#256436)); #47770=EDGE_LOOP('',(#256437,#256438,#256439,#256440)); #47771=EDGE_LOOP('',(#256441,#256442,#256443,#256444)); #47772=EDGE_LOOP('',(#256445,#256446,#256447,#256448)); #47773=EDGE_LOOP('',(#256449,#256450,#256451,#256452)); #47774=EDGE_LOOP('',(#256453,#256454,#256455,#256456)); #47775=EDGE_LOOP('',(#256457,#256458,#256459,#256460)); #47776=EDGE_LOOP('',(#256461,#256462,#256463,#256464)); #47777=EDGE_LOOP('',(#256465,#256466,#256467,#256468)); #47778=EDGE_LOOP('',(#256469,#256470,#256471,#256472)); #47779=EDGE_LOOP('',(#256473,#256474,#256475,#256476)); #47780=EDGE_LOOP('',(#256477,#256478,#256479,#256480)); #47781=EDGE_LOOP('',(#256481,#256482,#256483,#256484)); #47782=EDGE_LOOP('',(#256485,#256486,#256487,#256488)); #47783=EDGE_LOOP('',(#256489,#256490,#256491,#256492)); #47784=EDGE_LOOP('',(#256493,#256494,#256495,#256496)); #47785=EDGE_LOOP('',(#256497,#256498,#256499,#256500)); #47786=EDGE_LOOP('',(#256501,#256502,#256503,#256504)); #47787=EDGE_LOOP('',(#256505,#256506,#256507,#256508)); #47788=EDGE_LOOP('',(#256509,#256510,#256511,#256512)); #47789=EDGE_LOOP('',(#256513,#256514,#256515,#256516)); #47790=EDGE_LOOP('',(#256517,#256518,#256519,#256520)); #47791=EDGE_LOOP('',(#256521,#256522,#256523,#256524)); #47792=EDGE_LOOP('',(#256525,#256526,#256527,#256528)); #47793=EDGE_LOOP('',(#256529,#256530,#256531,#256532)); #47794=EDGE_LOOP('',(#256533,#256534,#256535,#256536)); #47795=EDGE_LOOP('',(#256537,#256538,#256539,#256540)); #47796=EDGE_LOOP('',(#256541,#256542,#256543,#256544)); #47797=EDGE_LOOP('',(#256545,#256546,#256547,#256548)); #47798=EDGE_LOOP('',(#256549,#256550,#256551,#256552)); #47799=EDGE_LOOP('',(#256553,#256554,#256555,#256556)); #47800=EDGE_LOOP('',(#256557,#256558,#256559,#256560)); #47801=EDGE_LOOP('',(#256561,#256562,#256563,#256564)); #47802=EDGE_LOOP('',(#256565,#256566,#256567,#256568)); #47803=EDGE_LOOP('',(#256569,#256570,#256571,#256572)); #47804=EDGE_LOOP('',(#256573,#256574,#256575,#256576)); #47805=EDGE_LOOP('',(#256577,#256578,#256579,#256580)); #47806=EDGE_LOOP('',(#256581,#256582,#256583,#256584)); #47807=EDGE_LOOP('',(#256585,#256586,#256587,#256588)); #47808=EDGE_LOOP('',(#256589,#256590,#256591,#256592)); #47809=EDGE_LOOP('',(#256593,#256594,#256595,#256596)); #47810=EDGE_LOOP('',(#256597,#256598,#256599,#256600)); #47811=EDGE_LOOP('',(#256601,#256602,#256603,#256604)); #47812=EDGE_LOOP('',(#256605,#256606,#256607,#256608)); #47813=EDGE_LOOP('',(#256609,#256610,#256611,#256612)); #47814=EDGE_LOOP('',(#256613,#256614,#256615,#256616)); #47815=EDGE_LOOP('',(#256617,#256618,#256619,#256620)); #47816=EDGE_LOOP('',(#256621,#256622,#256623,#256624)); #47817=EDGE_LOOP('',(#256625,#256626,#256627,#256628)); #47818=EDGE_LOOP('',(#256629,#256630,#256631,#256632)); #47819=EDGE_LOOP('',(#256633,#256634,#256635,#256636)); #47820=EDGE_LOOP('',(#256637,#256638,#256639,#256640)); #47821=EDGE_LOOP('',(#256641,#256642,#256643,#256644)); #47822=EDGE_LOOP('',(#256645,#256646,#256647,#256648)); #47823=EDGE_LOOP('',(#256649,#256650,#256651,#256652)); #47824=EDGE_LOOP('',(#256653,#256654,#256655,#256656)); #47825=EDGE_LOOP('',(#256657,#256658,#256659,#256660)); #47826=EDGE_LOOP('',(#256661,#256662,#256663,#256664)); #47827=EDGE_LOOP('',(#256665,#256666,#256667,#256668)); #47828=EDGE_LOOP('',(#256669,#256670,#256671,#256672)); #47829=EDGE_LOOP('',(#256673,#256674,#256675,#256676)); #47830=EDGE_LOOP('',(#256677,#256678,#256679,#256680)); #47831=EDGE_LOOP('',(#256681,#256682,#256683,#256684)); #47832=EDGE_LOOP('',(#256685,#256686,#256687,#256688)); #47833=EDGE_LOOP('',(#256689,#256690,#256691,#256692)); #47834=EDGE_LOOP('',(#256693,#256694,#256695,#256696)); #47835=EDGE_LOOP('',(#256697,#256698,#256699,#256700)); #47836=EDGE_LOOP('',(#256701,#256702,#256703,#256704)); #47837=EDGE_LOOP('',(#256705,#256706,#256707,#256708)); #47838=EDGE_LOOP('',(#256709,#256710,#256711,#256712)); #47839=EDGE_LOOP('',(#256713,#256714,#256715,#256716)); #47840=EDGE_LOOP('',(#256717,#256718,#256719,#256720)); #47841=EDGE_LOOP('',(#256721,#256722,#256723,#256724)); #47842=EDGE_LOOP('',(#256725,#256726,#256727,#256728)); #47843=EDGE_LOOP('',(#256729,#256730,#256731,#256732)); #47844=EDGE_LOOP('',(#256733,#256734,#256735,#256736)); #47845=EDGE_LOOP('',(#256737,#256738,#256739,#256740)); #47846=EDGE_LOOP('',(#256741,#256742,#256743,#256744)); #47847=EDGE_LOOP('',(#256745,#256746,#256747,#256748)); #47848=EDGE_LOOP('',(#256749,#256750,#256751,#256752)); #47849=EDGE_LOOP('',(#256753,#256754,#256755,#256756)); #47850=EDGE_LOOP('',(#256757,#256758,#256759,#256760)); #47851=EDGE_LOOP('',(#256761,#256762,#256763,#256764)); #47852=EDGE_LOOP('',(#256765,#256766,#256767,#256768)); #47853=EDGE_LOOP('',(#256769,#256770,#256771,#256772)); #47854=EDGE_LOOP('',(#256773,#256774,#256775,#256776)); #47855=EDGE_LOOP('',(#256777,#256778,#256779,#256780,#256781,#256782,#256783, #256784,#256785,#256786,#256787,#256788,#256789,#256790,#256791,#256792, #256793,#256794)); #47856=EDGE_LOOP('',(#256795)); #47857=EDGE_LOOP('',(#256796,#256797,#256798,#256799,#256800,#256801,#256802, #256803,#256804,#256805,#256806,#256807,#256808,#256809,#256810,#256811, #256812,#256813)); #47858=EDGE_LOOP('',(#256814)); #47859=EDGE_LOOP('',(#256815,#256816,#256817,#256818)); #47860=EDGE_LOOP('',(#256819,#256820,#256821,#256822)); #47861=EDGE_LOOP('',(#256823,#256824,#256825,#256826)); #47862=EDGE_LOOP('',(#256827,#256828,#256829,#256830)); #47863=EDGE_LOOP('',(#256831,#256832,#256833,#256834)); #47864=EDGE_LOOP('',(#256835,#256836,#256837,#256838)); #47865=EDGE_LOOP('',(#256839)); #47866=EDGE_LOOP('',(#256840,#256841,#256842,#256843)); #47867=EDGE_LOOP('',(#256844)); #47868=EDGE_LOOP('',(#256845,#256846,#256847,#256848)); #47869=EDGE_LOOP('',(#256849,#256850,#256851,#256852)); #47870=EDGE_LOOP('',(#256853,#256854,#256855,#256856)); #47871=EDGE_LOOP('',(#256857,#256858,#256859,#256860)); #47872=EDGE_LOOP('',(#256861,#256862,#256863,#256864)); #47873=EDGE_LOOP('',(#256865,#256866,#256867,#256868)); #47874=EDGE_LOOP('',(#256869,#256870,#256871,#256872)); #47875=EDGE_LOOP('',(#256873,#256874,#256875,#256876)); #47876=EDGE_LOOP('',(#256877,#256878,#256879,#256880)); #47877=EDGE_LOOP('',(#256881,#256882,#256883,#256884)); #47878=EDGE_LOOP('',(#256885,#256886,#256887,#256888)); #47879=EDGE_LOOP('',(#256889,#256890,#256891,#256892)); #47880=EDGE_LOOP('',(#256893,#256894,#256895,#256896)); #47881=EDGE_LOOP('',(#256897,#256898,#256899,#256900)); #47882=EDGE_LOOP('',(#256901,#256902,#256903,#256904)); #47883=EDGE_LOOP('',(#256905,#256906,#256907,#256908)); #47884=EDGE_LOOP('',(#256909,#256910,#256911,#256912)); #47885=EDGE_LOOP('',(#256913,#256914,#256915,#256916)); #47886=EDGE_LOOP('',(#256917,#256918,#256919,#256920)); #47887=EDGE_LOOP('',(#256921,#256922,#256923,#256924)); #47888=EDGE_LOOP('',(#256925,#256926,#256927,#256928)); #47889=EDGE_LOOP('',(#256929,#256930,#256931,#256932)); #47890=EDGE_LOOP('',(#256933,#256934,#256935,#256936)); #47891=EDGE_LOOP('',(#256937,#256938,#256939,#256940)); #47892=EDGE_LOOP('',(#256941,#256942,#256943,#256944)); #47893=EDGE_LOOP('',(#256945,#256946,#256947,#256948)); #47894=EDGE_LOOP('',(#256949,#256950,#256951,#256952)); #47895=EDGE_LOOP('',(#256953,#256954,#256955,#256956)); #47896=EDGE_LOOP('',(#256957,#256958,#256959,#256960)); #47897=EDGE_LOOP('',(#256961,#256962,#256963,#256964)); #47898=EDGE_LOOP('',(#256965,#256966,#256967,#256968)); #47899=EDGE_LOOP('',(#256969,#256970,#256971,#256972)); #47900=EDGE_LOOP('',(#256973,#256974,#256975,#256976)); #47901=EDGE_LOOP('',(#256977,#256978,#256979,#256980)); #47902=EDGE_LOOP('',(#256981,#256982,#256983,#256984)); #47903=EDGE_LOOP('',(#256985,#256986,#256987,#256988)); #47904=EDGE_LOOP('',(#256989)); #47905=EDGE_LOOP('',(#256990,#256991,#256992,#256993)); #47906=EDGE_LOOP('',(#256994)); #47907=EDGE_LOOP('',(#256995,#256996,#256997,#256998)); #47908=EDGE_LOOP('',(#256999,#257000,#257001,#257002)); #47909=EDGE_LOOP('',(#257003,#257004,#257005,#257006)); #47910=EDGE_LOOP('',(#257007,#257008,#257009,#257010)); #47911=EDGE_LOOP('',(#257011,#257012,#257013,#257014)); #47912=EDGE_LOOP('',(#257015,#257016,#257017,#257018)); #47913=EDGE_LOOP('',(#257019)); #47914=EDGE_LOOP('',(#257020,#257021,#257022,#257023)); #47915=EDGE_LOOP('',(#257024)); #47916=EDGE_LOOP('',(#257025,#257026,#257027,#257028)); #47917=EDGE_LOOP('',(#257029,#257030,#257031,#257032)); #47918=EDGE_LOOP('',(#257033,#257034,#257035,#257036)); #47919=EDGE_LOOP('',(#257037,#257038,#257039,#257040)); #47920=EDGE_LOOP('',(#257041,#257042,#257043,#257044)); #47921=EDGE_LOOP('',(#257045,#257046,#257047,#257048)); #47922=EDGE_LOOP('',(#257049,#257050,#257051,#257052)); #47923=EDGE_LOOP('',(#257053,#257054,#257055,#257056)); #47924=EDGE_LOOP('',(#257057,#257058,#257059,#257060)); #47925=EDGE_LOOP('',(#257061,#257062,#257063,#257064)); #47926=EDGE_LOOP('',(#257065,#257066,#257067,#257068)); #47927=EDGE_LOOP('',(#257069,#257070,#257071,#257072)); #47928=EDGE_LOOP('',(#257073,#257074,#257075,#257076)); #47929=EDGE_LOOP('',(#257077,#257078,#257079,#257080)); #47930=EDGE_LOOP('',(#257081,#257082,#257083,#257084)); #47931=EDGE_LOOP('',(#257085,#257086,#257087,#257088)); #47932=EDGE_LOOP('',(#257089,#257090,#257091,#257092)); #47933=EDGE_LOOP('',(#257093,#257094,#257095,#257096)); #47934=EDGE_LOOP('',(#257097,#257098,#257099,#257100)); #47935=EDGE_LOOP('',(#257101,#257102,#257103,#257104)); #47936=EDGE_LOOP('',(#257105,#257106,#257107,#257108)); #47937=EDGE_LOOP('',(#257109,#257110,#257111,#257112)); #47938=EDGE_LOOP('',(#257113,#257114,#257115,#257116)); #47939=EDGE_LOOP('',(#257117,#257118,#257119,#257120)); #47940=EDGE_LOOP('',(#257121,#257122,#257123,#257124)); #47941=EDGE_LOOP('',(#257125,#257126,#257127,#257128)); #47942=EDGE_LOOP('',(#257129,#257130,#257131,#257132)); #47943=EDGE_LOOP('',(#257133,#257134,#257135,#257136)); #47944=EDGE_LOOP('',(#257137,#257138,#257139,#257140)); #47945=EDGE_LOOP('',(#257141,#257142,#257143,#257144)); #47946=EDGE_LOOP('',(#257145,#257146,#257147,#257148)); #47947=EDGE_LOOP('',(#257149,#257150,#257151,#257152)); #47948=EDGE_LOOP('',(#257153,#257154,#257155,#257156)); #47949=EDGE_LOOP('',(#257157,#257158,#257159,#257160)); #47950=EDGE_LOOP('',(#257161,#257162,#257163,#257164)); #47951=EDGE_LOOP('',(#257165,#257166,#257167,#257168)); #47952=EDGE_LOOP('',(#257169,#257170,#257171,#257172)); #47953=EDGE_LOOP('',(#257173,#257174,#257175,#257176)); #47954=EDGE_LOOP('',(#257177,#257178,#257179,#257180)); #47955=EDGE_LOOP('',(#257181,#257182,#257183,#257184)); #47956=EDGE_LOOP('',(#257185,#257186,#257187,#257188)); #47957=EDGE_LOOP('',(#257189,#257190,#257191,#257192)); #47958=EDGE_LOOP('',(#257193,#257194,#257195,#257196)); #47959=EDGE_LOOP('',(#257197,#257198,#257199,#257200)); #47960=EDGE_LOOP('',(#257201,#257202,#257203,#257204)); #47961=EDGE_LOOP('',(#257205,#257206,#257207,#257208)); #47962=EDGE_LOOP('',(#257209,#257210,#257211,#257212)); #47963=EDGE_LOOP('',(#257213,#257214,#257215,#257216)); #47964=EDGE_LOOP('',(#257217,#257218,#257219,#257220)); #47965=EDGE_LOOP('',(#257221,#257222,#257223,#257224)); #47966=EDGE_LOOP('',(#257225,#257226,#257227,#257228)); #47967=EDGE_LOOP('',(#257229,#257230,#257231,#257232)); #47968=EDGE_LOOP('',(#257233,#257234,#257235,#257236)); #47969=EDGE_LOOP('',(#257237,#257238,#257239,#257240)); #47970=EDGE_LOOP('',(#257241,#257242,#257243,#257244)); #47971=EDGE_LOOP('',(#257245,#257246,#257247,#257248)); #47972=EDGE_LOOP('',(#257249,#257250,#257251,#257252)); #47973=EDGE_LOOP('',(#257253,#257254,#257255,#257256)); #47974=EDGE_LOOP('',(#257257,#257258,#257259,#257260)); #47975=EDGE_LOOP('',(#257261,#257262,#257263,#257264)); #47976=EDGE_LOOP('',(#257265,#257266,#257267,#257268)); #47977=EDGE_LOOP('',(#257269,#257270,#257271,#257272)); #47978=EDGE_LOOP('',(#257273,#257274,#257275,#257276)); #47979=EDGE_LOOP('',(#257277,#257278,#257279,#257280)); #47980=EDGE_LOOP('',(#257281,#257282,#257283,#257284)); #47981=EDGE_LOOP('',(#257285,#257286,#257287,#257288)); #47982=EDGE_LOOP('',(#257289,#257290,#257291,#257292)); #47983=EDGE_LOOP('',(#257293,#257294,#257295,#257296)); #47984=EDGE_LOOP('',(#257297,#257298,#257299,#257300)); #47985=EDGE_LOOP('',(#257301,#257302,#257303,#257304)); #47986=EDGE_LOOP('',(#257305,#257306,#257307,#257308)); #47987=EDGE_LOOP('',(#257309,#257310,#257311,#257312)); #47988=EDGE_LOOP('',(#257313,#257314,#257315,#257316)); #47989=EDGE_LOOP('',(#257317,#257318,#257319,#257320)); #47990=EDGE_LOOP('',(#257321,#257322,#257323,#257324)); #47991=EDGE_LOOP('',(#257325,#257326,#257327,#257328)); #47992=EDGE_LOOP('',(#257329,#257330,#257331,#257332)); #47993=EDGE_LOOP('',(#257333)); #47994=EDGE_LOOP('',(#257334)); #47995=EDGE_LOOP('',(#257335)); #47996=EDGE_LOOP('',(#257336)); #47997=EDGE_LOOP('',(#257337)); #47998=EDGE_LOOP('',(#257338)); #47999=EDGE_LOOP('',(#257339,#257340,#257341,#257342)); #48000=EDGE_LOOP('',(#257343)); #48001=EDGE_LOOP('',(#257344)); #48002=EDGE_LOOP('',(#257345)); #48003=EDGE_LOOP('',(#257346)); #48004=EDGE_LOOP('',(#257347)); #48005=EDGE_LOOP('',(#257348)); #48006=EDGE_LOOP('',(#257349,#257350,#257351,#257352)); #48007=EDGE_LOOP('',(#257353,#257354,#257355,#257356)); #48008=EDGE_LOOP('',(#257357,#257358,#257359,#257360)); #48009=EDGE_LOOP('',(#257361,#257362,#257363,#257364)); #48010=EDGE_LOOP('',(#257365,#257366,#257367,#257368)); #48011=EDGE_LOOP('',(#257369,#257370,#257371,#257372)); #48012=EDGE_LOOP('',(#257373,#257374,#257375,#257376)); #48013=EDGE_LOOP('',(#257377,#257378,#257379,#257380)); #48014=EDGE_LOOP('',(#257381,#257382,#257383,#257384)); #48015=EDGE_LOOP('',(#257385,#257386,#257387,#257388)); #48016=EDGE_LOOP('',(#257389,#257390,#257391,#257392)); #48017=EDGE_LOOP('',(#257393,#257394,#257395,#257396)); #48018=EDGE_LOOP('',(#257397,#257398,#257399,#257400)); #48019=EDGE_LOOP('',(#257401,#257402,#257403,#257404)); #48020=EDGE_LOOP('',(#257405,#257406,#257407,#257408)); #48021=EDGE_LOOP('',(#257409,#257410,#257411,#257412)); #48022=EDGE_LOOP('',(#257413,#257414,#257415,#257416)); #48023=EDGE_LOOP('',(#257417,#257418,#257419,#257420)); #48024=EDGE_LOOP('',(#257421,#257422,#257423,#257424)); #48025=EDGE_LOOP('',(#257425,#257426,#257427,#257428)); #48026=EDGE_LOOP('',(#257429,#257430,#257431,#257432)); #48027=EDGE_LOOP('',(#257433,#257434,#257435,#257436)); #48028=EDGE_LOOP('',(#257437,#257438,#257439,#257440)); #48029=EDGE_LOOP('',(#257441,#257442,#257443,#257444)); #48030=EDGE_LOOP('',(#257445,#257446,#257447,#257448)); #48031=EDGE_LOOP('',(#257449,#257450,#257451,#257452)); #48032=EDGE_LOOP('',(#257453,#257454,#257455,#257456)); #48033=EDGE_LOOP('',(#257457,#257458,#257459,#257460)); #48034=EDGE_LOOP('',(#257461,#257462,#257463,#257464)); #48035=EDGE_LOOP('',(#257465,#257466,#257467,#257468)); #48036=EDGE_LOOP('',(#257469,#257470,#257471,#257472,#257473,#257474,#257475, #257476,#257477,#257478,#257479,#257480)); #48037=EDGE_LOOP('',(#257481)); #48038=EDGE_LOOP('',(#257482)); #48039=EDGE_LOOP('',(#257483,#257484,#257485,#257486)); #48040=EDGE_LOOP('',(#257487,#257488,#257489,#257490)); #48041=EDGE_LOOP('',(#257491,#257492,#257493,#257494,#257495,#257496,#257497, #257498,#257499,#257500,#257501,#257502)); #48042=EDGE_LOOP('',(#257503)); #48043=EDGE_LOOP('',(#257504)); #48044=EDGE_LOOP('',(#257505,#257506,#257507,#257508)); #48045=EDGE_LOOP('',(#257509,#257510,#257511,#257512)); #48046=EDGE_LOOP('',(#257513,#257514,#257515,#257516)); #48047=EDGE_LOOP('',(#257517,#257518,#257519,#257520)); #48048=EDGE_LOOP('',(#257521,#257522,#257523,#257524)); #48049=EDGE_LOOP('',(#257525,#257526,#257527,#257528)); #48050=EDGE_LOOP('',(#257529,#257530,#257531,#257532)); #48051=EDGE_LOOP('',(#257533,#257534,#257535,#257536)); #48052=EDGE_LOOP('',(#257537,#257538,#257539,#257540)); #48053=EDGE_LOOP('',(#257541,#257542,#257543,#257544)); #48054=EDGE_LOOP('',(#257545,#257546,#257547,#257548)); #48055=EDGE_LOOP('',(#257549,#257550,#257551,#257552)); #48056=EDGE_LOOP('',(#257553,#257554,#257555,#257556)); #48057=EDGE_LOOP('',(#257557,#257558,#257559,#257560)); #48058=EDGE_LOOP('',(#257561,#257562,#257563,#257564)); #48059=EDGE_LOOP('',(#257565,#257566,#257567,#257568)); #48060=EDGE_LOOP('',(#257569,#257570,#257571,#257572)); #48061=EDGE_LOOP('',(#257573,#257574,#257575,#257576)); #48062=EDGE_LOOP('',(#257577,#257578,#257579,#257580)); #48063=EDGE_LOOP('',(#257581,#257582,#257583,#257584)); #48064=EDGE_LOOP('',(#257585,#257586,#257587,#257588)); #48065=EDGE_LOOP('',(#257589,#257590,#257591,#257592)); #48066=EDGE_LOOP('',(#257593,#257594,#257595,#257596)); #48067=EDGE_LOOP('',(#257597,#257598,#257599,#257600)); #48068=EDGE_LOOP('',(#257601,#257602,#257603,#257604)); #48069=EDGE_LOOP('',(#257605,#257606,#257607,#257608)); #48070=EDGE_LOOP('',(#257609,#257610,#257611,#257612)); #48071=EDGE_LOOP('',(#257613,#257614,#257615,#257616)); #48072=EDGE_LOOP('',(#257617,#257618,#257619,#257620)); #48073=EDGE_LOOP('',(#257621,#257622,#257623,#257624)); #48074=EDGE_LOOP('',(#257625,#257626,#257627,#257628)); #48075=EDGE_LOOP('',(#257629,#257630,#257631,#257632)); #48076=EDGE_LOOP('',(#257633,#257634,#257635,#257636)); #48077=EDGE_LOOP('',(#257637,#257638,#257639,#257640)); #48078=EDGE_LOOP('',(#257641,#257642,#257643,#257644)); #48079=EDGE_LOOP('',(#257645,#257646,#257647,#257648)); #48080=EDGE_LOOP('',(#257649,#257650,#257651,#257652)); #48081=EDGE_LOOP('',(#257653,#257654,#257655,#257656)); #48082=EDGE_LOOP('',(#257657,#257658,#257659,#257660)); #48083=EDGE_LOOP('',(#257661,#257662,#257663,#257664)); #48084=EDGE_LOOP('',(#257665,#257666,#257667,#257668)); #48085=EDGE_LOOP('',(#257669,#257670,#257671,#257672)); #48086=EDGE_LOOP('',(#257673,#257674,#257675,#257676)); #48087=EDGE_LOOP('',(#257677,#257678,#257679,#257680)); #48088=EDGE_LOOP('',(#257681,#257682,#257683,#257684)); #48089=EDGE_LOOP('',(#257685,#257686,#257687,#257688)); #48090=EDGE_LOOP('',(#257689,#257690,#257691,#257692)); #48091=EDGE_LOOP('',(#257693,#257694,#257695,#257696)); #48092=EDGE_LOOP('',(#257697,#257698,#257699,#257700)); #48093=EDGE_LOOP('',(#257701,#257702,#257703,#257704)); #48094=EDGE_LOOP('',(#257705,#257706,#257707,#257708)); #48095=EDGE_LOOP('',(#257709,#257710,#257711,#257712)); #48096=EDGE_LOOP('',(#257713,#257714,#257715,#257716)); #48097=EDGE_LOOP('',(#257717,#257718,#257719,#257720)); #48098=EDGE_LOOP('',(#257721,#257722,#257723,#257724)); #48099=EDGE_LOOP('',(#257725,#257726,#257727,#257728)); #48100=EDGE_LOOP('',(#257729,#257730,#257731,#257732)); #48101=EDGE_LOOP('',(#257733,#257734,#257735,#257736)); #48102=EDGE_LOOP('',(#257737,#257738,#257739,#257740)); #48103=EDGE_LOOP('',(#257741,#257742,#257743,#257744)); #48104=EDGE_LOOP('',(#257745,#257746,#257747,#257748)); #48105=EDGE_LOOP('',(#257749,#257750,#257751,#257752)); #48106=EDGE_LOOP('',(#257753,#257754,#257755,#257756)); #48107=EDGE_LOOP('',(#257757,#257758,#257759,#257760)); #48108=EDGE_LOOP('',(#257761,#257762,#257763,#257764)); #48109=EDGE_LOOP('',(#257765,#257766,#257767,#257768)); #48110=EDGE_LOOP('',(#257769,#257770,#257771,#257772)); #48111=EDGE_LOOP('',(#257773,#257774,#257775,#257776)); #48112=EDGE_LOOP('',(#257777,#257778,#257779,#257780)); #48113=EDGE_LOOP('',(#257781,#257782,#257783,#257784)); #48114=EDGE_LOOP('',(#257785,#257786,#257787,#257788)); #48115=EDGE_LOOP('',(#257789,#257790,#257791,#257792)); #48116=EDGE_LOOP('',(#257793,#257794,#257795,#257796)); #48117=EDGE_LOOP('',(#257797,#257798,#257799,#257800)); #48118=EDGE_LOOP('',(#257801,#257802,#257803,#257804)); #48119=EDGE_LOOP('',(#257805,#257806,#257807,#257808)); #48120=EDGE_LOOP('',(#257809,#257810,#257811,#257812)); #48121=EDGE_LOOP('',(#257813,#257814,#257815,#257816)); #48122=EDGE_LOOP('',(#257817,#257818,#257819,#257820)); #48123=EDGE_LOOP('',(#257821,#257822,#257823,#257824)); #48124=EDGE_LOOP('',(#257825,#257826,#257827,#257828)); #48125=EDGE_LOOP('',(#257829,#257830,#257831,#257832)); #48126=EDGE_LOOP('',(#257833,#257834,#257835,#257836)); #48127=EDGE_LOOP('',(#257837,#257838,#257839,#257840)); #48128=EDGE_LOOP('',(#257841,#257842,#257843,#257844)); #48129=EDGE_LOOP('',(#257845,#257846,#257847,#257848)); #48130=EDGE_LOOP('',(#257849,#257850,#257851,#257852)); #48131=EDGE_LOOP('',(#257853,#257854,#257855,#257856)); #48132=EDGE_LOOP('',(#257857,#257858,#257859,#257860)); #48133=EDGE_LOOP('',(#257861,#257862,#257863,#257864)); #48134=EDGE_LOOP('',(#257865,#257866,#257867,#257868)); #48135=EDGE_LOOP('',(#257869,#257870,#257871,#257872)); #48136=EDGE_LOOP('',(#257873,#257874,#257875,#257876)); #48137=EDGE_LOOP('',(#257877,#257878,#257879,#257880)); #48138=EDGE_LOOP('',(#257881,#257882,#257883,#257884)); #48139=EDGE_LOOP('',(#257885,#257886,#257887,#257888)); #48140=EDGE_LOOP('',(#257889,#257890,#257891,#257892)); #48141=EDGE_LOOP('',(#257893,#257894,#257895,#257896)); #48142=EDGE_LOOP('',(#257897,#257898,#257899,#257900)); #48143=EDGE_LOOP('',(#257901,#257902,#257903,#257904)); #48144=EDGE_LOOP('',(#257905,#257906,#257907,#257908)); #48145=EDGE_LOOP('',(#257909,#257910,#257911,#257912)); #48146=EDGE_LOOP('',(#257913,#257914,#257915,#257916)); #48147=EDGE_LOOP('',(#257917,#257918,#257919,#257920)); #48148=EDGE_LOOP('',(#257921,#257922,#257923,#257924)); #48149=EDGE_LOOP('',(#257925,#257926,#257927,#257928)); #48150=EDGE_LOOP('',(#257929,#257930,#257931,#257932)); #48151=EDGE_LOOP('',(#257933,#257934,#257935,#257936)); #48152=EDGE_LOOP('',(#257937,#257938,#257939,#257940)); #48153=EDGE_LOOP('',(#257941,#257942,#257943,#257944)); #48154=EDGE_LOOP('',(#257945,#257946,#257947,#257948)); #48155=EDGE_LOOP('',(#257949,#257950,#257951,#257952)); #48156=EDGE_LOOP('',(#257953,#257954,#257955,#257956)); #48157=EDGE_LOOP('',(#257957,#257958,#257959,#257960)); #48158=EDGE_LOOP('',(#257961,#257962,#257963,#257964)); #48159=EDGE_LOOP('',(#257965,#257966,#257967,#257968)); #48160=EDGE_LOOP('',(#257969,#257970,#257971,#257972)); #48161=EDGE_LOOP('',(#257973,#257974,#257975,#257976)); #48162=EDGE_LOOP('',(#257977,#257978,#257979,#257980)); #48163=EDGE_LOOP('',(#257981,#257982,#257983,#257984)); #48164=EDGE_LOOP('',(#257985,#257986,#257987,#257988)); #48165=EDGE_LOOP('',(#257989,#257990,#257991,#257992)); #48166=EDGE_LOOP('',(#257993,#257994,#257995,#257996)); #48167=EDGE_LOOP('',(#257997,#257998,#257999,#258000)); #48168=EDGE_LOOP('',(#258001,#258002,#258003,#258004)); #48169=EDGE_LOOP('',(#258005,#258006,#258007,#258008)); #48170=EDGE_LOOP('',(#258009,#258010,#258011,#258012)); #48171=EDGE_LOOP('',(#258013,#258014,#258015,#258016)); #48172=EDGE_LOOP('',(#258017,#258018,#258019,#258020)); #48173=EDGE_LOOP('',(#258021,#258022,#258023,#258024)); #48174=EDGE_LOOP('',(#258025,#258026,#258027)); #48175=EDGE_LOOP('',(#258028)); #48176=EDGE_LOOP('',(#258029,#258030,#258031)); #48177=EDGE_LOOP('',(#258032)); #48178=EDGE_LOOP('',(#258033,#258034,#258035,#258036)); #48179=EDGE_LOOP('',(#258037,#258038,#258039,#258040)); #48180=EDGE_LOOP('',(#258041,#258042,#258043,#258044)); #48181=EDGE_LOOP('',(#258045,#258046,#258047,#258048)); #48182=EDGE_LOOP('',(#258049,#258050,#258051,#258052)); #48183=EDGE_LOOP('',(#258053,#258054,#258055,#258056)); #48184=EDGE_LOOP('',(#258057,#258058,#258059,#258060)); #48185=EDGE_LOOP('',(#258061,#258062,#258063,#258064)); #48186=EDGE_LOOP('',(#258065,#258066,#258067,#258068)); #48187=EDGE_LOOP('',(#258069,#258070,#258071,#258072)); #48188=EDGE_LOOP('',(#258073,#258074,#258075,#258076)); #48189=EDGE_LOOP('',(#258077,#258078,#258079,#258080)); #48190=EDGE_LOOP('',(#258081,#258082,#258083,#258084)); #48191=EDGE_LOOP('',(#258085,#258086,#258087,#258088)); #48192=EDGE_LOOP('',(#258089,#258090,#258091,#258092)); #48193=EDGE_LOOP('',(#258093,#258094,#258095,#258096)); #48194=EDGE_LOOP('',(#258097,#258098,#258099,#258100)); #48195=EDGE_LOOP('',(#258101,#258102,#258103,#258104)); #48196=EDGE_LOOP('',(#258105,#258106,#258107,#258108)); #48197=EDGE_LOOP('',(#258109)); #48198=EDGE_LOOP('',(#258110)); #48199=EDGE_LOOP('',(#258111,#258112,#258113,#258114)); #48200=EDGE_LOOP('',(#258115)); #48201=EDGE_LOOP('',(#258116)); #48202=EDGE_LOOP('',(#258117,#258118,#258119,#258120)); #48203=EDGE_LOOP('',(#258121,#258122,#258123,#258124)); #48204=EDGE_LOOP('',(#258125,#258126,#258127,#258128)); #48205=EDGE_LOOP('',(#258129,#258130,#258131,#258132)); #48206=EDGE_LOOP('',(#258133,#258134,#258135,#258136)); #48207=EDGE_LOOP('',(#258137,#258138,#258139,#258140)); #48208=EDGE_LOOP('',(#258141,#258142,#258143,#258144)); #48209=EDGE_LOOP('',(#258145,#258146,#258147,#258148)); #48210=EDGE_LOOP('',(#258149,#258150,#258151,#258152)); #48211=EDGE_LOOP('',(#258153,#258154,#258155,#258156)); #48212=EDGE_LOOP('',(#258157,#258158,#258159,#258160)); #48213=EDGE_LOOP('',(#258161,#258162,#258163,#258164)); #48214=EDGE_LOOP('',(#258165,#258166,#258167,#258168)); #48215=EDGE_LOOP('',(#258169)); #48216=EDGE_LOOP('',(#258170)); #48217=EDGE_LOOP('',(#258171,#258172,#258173,#258174)); #48218=EDGE_LOOP('',(#258175)); #48219=EDGE_LOOP('',(#258176)); #48220=EDGE_LOOP('',(#258177,#258178,#258179,#258180)); #48221=EDGE_LOOP('',(#258181,#258182,#258183,#258184)); #48222=EDGE_LOOP('',(#258185,#258186,#258187,#258188)); #48223=EDGE_LOOP('',(#258189,#258190,#258191,#258192)); #48224=EDGE_LOOP('',(#258193,#258194,#258195,#258196)); #48225=EDGE_LOOP('',(#258197,#258198,#258199,#258200)); #48226=EDGE_LOOP('',(#258201,#258202,#258203,#258204)); #48227=EDGE_LOOP('',(#258205,#258206,#258207,#258208)); #48228=EDGE_LOOP('',(#258209,#258210,#258211,#258212)); #48229=EDGE_LOOP('',(#258213,#258214,#258215,#258216)); #48230=EDGE_LOOP('',(#258217,#258218,#258219,#258220)); #48231=EDGE_LOOP('',(#258221,#258222,#258223,#258224)); #48232=EDGE_LOOP('',(#258225,#258226,#258227,#258228)); #48233=EDGE_LOOP('',(#258229)); #48234=EDGE_LOOP('',(#258230)); #48235=EDGE_LOOP('',(#258231,#258232,#258233,#258234)); #48236=EDGE_LOOP('',(#258235)); #48237=EDGE_LOOP('',(#258236)); #48238=EDGE_LOOP('',(#258237,#258238,#258239,#258240)); #48239=EDGE_LOOP('',(#258241,#258242,#258243,#258244)); #48240=EDGE_LOOP('',(#258245,#258246,#258247,#258248)); #48241=EDGE_LOOP('',(#258249,#258250,#258251,#258252)); #48242=EDGE_LOOP('',(#258253,#258254,#258255,#258256)); #48243=EDGE_LOOP('',(#258257,#258258,#258259,#258260)); #48244=EDGE_LOOP('',(#258261,#258262,#258263,#258264)); #48245=EDGE_LOOP('',(#258265,#258266,#258267,#258268)); #48246=EDGE_LOOP('',(#258269,#258270,#258271,#258272)); #48247=EDGE_LOOP('',(#258273,#258274,#258275,#258276)); #48248=EDGE_LOOP('',(#258277,#258278,#258279,#258280)); #48249=EDGE_LOOP('',(#258281,#258282,#258283,#258284)); #48250=EDGE_LOOP('',(#258285,#258286,#258287,#258288)); #48251=EDGE_LOOP('',(#258289)); #48252=EDGE_LOOP('',(#258290)); #48253=EDGE_LOOP('',(#258291,#258292,#258293,#258294)); #48254=EDGE_LOOP('',(#258295)); #48255=EDGE_LOOP('',(#258296)); #48256=EDGE_LOOP('',(#258297,#258298,#258299,#258300)); #48257=EDGE_LOOP('',(#258301,#258302,#258303,#258304)); #48258=EDGE_LOOP('',(#258305,#258306,#258307,#258308)); #48259=EDGE_LOOP('',(#258309,#258310,#258311,#258312)); #48260=EDGE_LOOP('',(#258313,#258314,#258315,#258316)); #48261=EDGE_LOOP('',(#258317,#258318,#258319,#258320)); #48262=EDGE_LOOP('',(#258321,#258322,#258323,#258324)); #48263=EDGE_LOOP('',(#258325,#258326,#258327,#258328)); #48264=EDGE_LOOP('',(#258329,#258330,#258331,#258332)); #48265=EDGE_LOOP('',(#258333,#258334,#258335,#258336)); #48266=EDGE_LOOP('',(#258337,#258338,#258339,#258340)); #48267=EDGE_LOOP('',(#258341,#258342,#258343,#258344)); #48268=EDGE_LOOP('',(#258345,#258346,#258347,#258348)); #48269=EDGE_LOOP('',(#258349,#258350,#258351,#258352)); #48270=EDGE_LOOP('',(#258353,#258354,#258355,#258356)); #48271=EDGE_LOOP('',(#258357,#258358,#258359,#258360)); #48272=EDGE_LOOP('',(#258361,#258362,#258363,#258364)); #48273=EDGE_LOOP('',(#258365,#258366,#258367,#258368)); #48274=EDGE_LOOP('',(#258369,#258370,#258371,#258372)); #48275=EDGE_LOOP('',(#258373,#258374,#258375,#258376)); #48276=EDGE_LOOP('',(#258377,#258378,#258379,#258380)); #48277=EDGE_LOOP('',(#258381,#258382,#258383,#258384)); #48278=EDGE_LOOP('',(#258385,#258386,#258387,#258388)); #48279=EDGE_LOOP('',(#258389,#258390,#258391,#258392)); #48280=EDGE_LOOP('',(#258393,#258394,#258395,#258396)); #48281=EDGE_LOOP('',(#258397,#258398,#258399,#258400)); #48282=EDGE_LOOP('',(#258401,#258402,#258403,#258404)); #48283=EDGE_LOOP('',(#258405,#258406,#258407,#258408)); #48284=EDGE_LOOP('',(#258409,#258410,#258411,#258412)); #48285=EDGE_LOOP('',(#258413,#258414,#258415,#258416)); #48286=EDGE_LOOP('',(#258417,#258418,#258419,#258420)); #48287=EDGE_LOOP('',(#258421,#258422,#258423,#258424)); #48288=EDGE_LOOP('',(#258425,#258426,#258427,#258428)); #48289=EDGE_LOOP('',(#258429,#258430,#258431,#258432)); #48290=EDGE_LOOP('',(#258433,#258434,#258435,#258436)); #48291=EDGE_LOOP('',(#258437,#258438,#258439,#258440)); #48292=EDGE_LOOP('',(#258441,#258442,#258443,#258444)); #48293=EDGE_LOOP('',(#258445,#258446,#258447,#258448)); #48294=EDGE_LOOP('',(#258449,#258450,#258451,#258452)); #48295=EDGE_LOOP('',(#258453,#258454,#258455,#258456)); #48296=EDGE_LOOP('',(#258457,#258458,#258459,#258460)); #48297=EDGE_LOOP('',(#258461,#258462,#258463,#258464)); #48298=EDGE_LOOP('',(#258465,#258466,#258467,#258468)); #48299=EDGE_LOOP('',(#258469,#258470,#258471,#258472)); #48300=EDGE_LOOP('',(#258473,#258474,#258475,#258476)); #48301=EDGE_LOOP('',(#258477,#258478,#258479,#258480)); #48302=EDGE_LOOP('',(#258481,#258482,#258483,#258484)); #48303=EDGE_LOOP('',(#258485,#258486,#258487,#258488)); #48304=EDGE_LOOP('',(#258489,#258490,#258491,#258492)); #48305=EDGE_LOOP('',(#258493,#258494,#258495,#258496)); #48306=EDGE_LOOP('',(#258497,#258498,#258499,#258500)); #48307=EDGE_LOOP('',(#258501,#258502,#258503,#258504)); #48308=EDGE_LOOP('',(#258505,#258506,#258507,#258508)); #48309=EDGE_LOOP('',(#258509,#258510,#258511,#258512)); #48310=EDGE_LOOP('',(#258513,#258514,#258515,#258516)); #48311=EDGE_LOOP('',(#258517,#258518,#258519,#258520)); #48312=EDGE_LOOP('',(#258521,#258522,#258523,#258524)); #48313=EDGE_LOOP('',(#258525,#258526,#258527,#258528)); #48314=EDGE_LOOP('',(#258529,#258530,#258531,#258532)); #48315=EDGE_LOOP('',(#258533,#258534,#258535,#258536)); #48316=EDGE_LOOP('',(#258537,#258538,#258539,#258540)); #48317=EDGE_LOOP('',(#258541,#258542,#258543,#258544)); #48318=EDGE_LOOP('',(#258545,#258546,#258547,#258548)); #48319=EDGE_LOOP('',(#258549,#258550,#258551,#258552)); #48320=EDGE_LOOP('',(#258553,#258554,#258555,#258556,#258557,#258558,#258559, #258560,#258561,#258562,#258563,#258564,#258565,#258566,#258567,#258568, #258569,#258570,#258571,#258572,#258573,#258574,#258575,#258576,#258577, #258578,#258579,#258580,#258581,#258582,#258583,#258584,#258585,#258586, #258587,#258588,#258589,#258590,#258591,#258592,#258593,#258594,#258595, #258596,#258597,#258598,#258599,#258600,#258601,#258602,#258603,#258604, #258605,#258606,#258607,#258608,#258609,#258610)); #48321=EDGE_LOOP('',(#258611,#258612,#258613,#258614,#258615,#258616,#258617, #258618,#258619,#258620,#258621,#258622,#258623,#258624,#258625,#258626, #258627,#258628,#258629,#258630,#258631,#258632,#258633,#258634,#258635, #258636,#258637,#258638,#258639,#258640,#258641,#258642,#258643,#258644, #258645,#258646,#258647,#258648,#258649,#258650,#258651,#258652,#258653, #258654,#258655,#258656,#258657,#258658,#258659,#258660,#258661,#258662, #258663,#258664,#258665,#258666,#258667,#258668)); #48322=EDGE_LOOP('',(#258669,#258670,#258671,#258672)); #48323=EDGE_LOOP('',(#258673,#258674,#258675,#258676)); #48324=EDGE_LOOP('',(#258677,#258678,#258679,#258680)); #48325=EDGE_LOOP('',(#258681,#258682,#258683,#258684)); #48326=EDGE_LOOP('',(#258685,#258686,#258687,#258688)); #48327=EDGE_LOOP('',(#258689,#258690,#258691,#258692)); #48328=EDGE_LOOP('',(#258693,#258694,#258695,#258696)); #48329=EDGE_LOOP('',(#258697,#258698,#258699,#258700)); #48330=EDGE_LOOP('',(#258701,#258702,#258703,#258704)); #48331=EDGE_LOOP('',(#258705,#258706,#258707,#258708)); #48332=EDGE_LOOP('',(#258709,#258710,#258711,#258712,#258713,#258714,#258715, #258716)); #48333=EDGE_LOOP('',(#258717)); #48334=EDGE_LOOP('',(#258718)); #48335=EDGE_LOOP('',(#258719,#258720,#258721,#258722,#258723,#258724,#258725, #258726)); #48336=EDGE_LOOP('',(#258727)); #48337=EDGE_LOOP('',(#258728)); #48338=EDGE_LOOP('',(#258729,#258730,#258731,#258732)); #48339=EDGE_LOOP('',(#258733,#258734,#258735,#258736)); #48340=EDGE_LOOP('',(#258737,#258738,#258739,#258740)); #48341=EDGE_LOOP('',(#258741,#258742,#258743,#258744)); #48342=EDGE_LOOP('',(#258745,#258746,#258747,#258748)); #48343=EDGE_LOOP('',(#258749,#258750,#258751,#258752)); #48344=EDGE_LOOP('',(#258753,#258754,#258755,#258756)); #48345=EDGE_LOOP('',(#258757,#258758,#258759,#258760)); #48346=EDGE_LOOP('',(#258761,#258762,#258763,#258764)); #48347=EDGE_LOOP('',(#258765,#258766,#258767,#258768)); #48348=EDGE_LOOP('',(#258769,#258770,#258771,#258772)); #48349=EDGE_LOOP('',(#258773,#258774,#258775,#258776,#258777,#258778,#258779, #258780,#258781,#258782)); #48350=EDGE_LOOP('',(#258783)); #48351=EDGE_LOOP('',(#258784,#258785,#258786,#258787,#258788,#258789,#258790, #258791,#258792,#258793)); #48352=EDGE_LOOP('',(#258794)); #48353=EDGE_LOOP('',(#258795,#258796,#258797,#258798)); #48354=EDGE_LOOP('',(#258799,#258800,#258801,#258802)); #48355=EDGE_LOOP('',(#258803,#258804,#258805,#258806)); #48356=EDGE_LOOP('',(#258807,#258808,#258809,#258810)); #48357=EDGE_LOOP('',(#258811,#258812,#258813,#258814)); #48358=EDGE_LOOP('',(#258815,#258816,#258817,#258818)); #48359=EDGE_LOOP('',(#258819,#258820,#258821,#258822)); #48360=EDGE_LOOP('',(#258823,#258824,#258825,#258826)); #48361=EDGE_LOOP('',(#258827,#258828,#258829,#258830)); #48362=EDGE_LOOP('',(#258831,#258832,#258833,#258834)); #48363=EDGE_LOOP('',(#258835,#258836,#258837,#258838)); #48364=EDGE_LOOP('',(#258839,#258840,#258841,#258842)); #48365=EDGE_LOOP('',(#258843,#258844,#258845,#258846)); #48366=EDGE_LOOP('',(#258847,#258848,#258849,#258850)); #48367=EDGE_LOOP('',(#258851,#258852,#258853,#258854,#258855,#258856,#258857, #258858,#258859,#258860,#258861,#258862,#258863)); #48368=EDGE_LOOP('',(#258864)); #48369=EDGE_LOOP('',(#258865,#258866,#258867,#258868,#258869,#258870,#258871, #258872,#258873,#258874,#258875,#258876,#258877)); #48370=EDGE_LOOP('',(#258878)); #48371=EDGE_LOOP('',(#258879,#258880,#258881,#258882)); #48372=EDGE_LOOP('',(#258883,#258884,#258885,#258886)); #48373=EDGE_LOOP('',(#258887,#258888,#258889,#258890)); #48374=EDGE_LOOP('',(#258891,#258892,#258893,#258894)); #48375=EDGE_LOOP('',(#258895,#258896,#258897,#258898)); #48376=EDGE_LOOP('',(#258899,#258900,#258901,#258902)); #48377=EDGE_LOOP('',(#258903,#258904,#258905,#258906)); #48378=EDGE_LOOP('',(#258907,#258908,#258909,#258910)); #48379=EDGE_LOOP('',(#258911,#258912,#258913,#258914)); #48380=EDGE_LOOP('',(#258915,#258916,#258917,#258918)); #48381=EDGE_LOOP('',(#258919,#258920,#258921,#258922)); #48382=EDGE_LOOP('',(#258923,#258924,#258925,#258926)); #48383=EDGE_LOOP('',(#258927,#258928,#258929,#258930)); #48384=EDGE_LOOP('',(#258931,#258932,#258933,#258934)); #48385=EDGE_LOOP('',(#258935,#258936,#258937,#258938)); #48386=EDGE_LOOP('',(#258939,#258940,#258941,#258942)); #48387=EDGE_LOOP('',(#258943,#258944,#258945,#258946)); #48388=EDGE_LOOP('',(#258947,#258948,#258949,#258950)); #48389=EDGE_LOOP('',(#258951,#258952,#258953,#258954)); #48390=EDGE_LOOP('',(#258955,#258956,#258957,#258958)); #48391=EDGE_LOOP('',(#258959,#258960,#258961,#258962)); #48392=EDGE_LOOP('',(#258963,#258964,#258965,#258966)); #48393=EDGE_LOOP('',(#258967,#258968,#258969,#258970)); #48394=EDGE_LOOP('',(#258971,#258972,#258973,#258974)); #48395=EDGE_LOOP('',(#258975,#258976,#258977,#258978)); #48396=EDGE_LOOP('',(#258979,#258980,#258981,#258982)); #48397=EDGE_LOOP('',(#258983,#258984,#258985,#258986)); #48398=EDGE_LOOP('',(#258987,#258988,#258989,#258990)); #48399=EDGE_LOOP('',(#258991,#258992,#258993,#258994)); #48400=EDGE_LOOP('',(#258995,#258996,#258997,#258998)); #48401=EDGE_LOOP('',(#258999,#259000,#259001,#259002)); #48402=EDGE_LOOP('',(#259003,#259004,#259005,#259006)); #48403=EDGE_LOOP('',(#259007,#259008,#259009,#259010)); #48404=EDGE_LOOP('',(#259011,#259012,#259013,#259014)); #48405=EDGE_LOOP('',(#259015,#259016,#259017,#259018)); #48406=EDGE_LOOP('',(#259019,#259020,#259021,#259022)); #48407=EDGE_LOOP('',(#259023,#259024,#259025,#259026)); #48408=EDGE_LOOP('',(#259027,#259028,#259029,#259030)); #48409=EDGE_LOOP('',(#259031,#259032,#259033,#259034)); #48410=EDGE_LOOP('',(#259035,#259036,#259037,#259038)); #48411=EDGE_LOOP('',(#259039,#259040,#259041,#259042)); #48412=EDGE_LOOP('',(#259043,#259044,#259045,#259046)); #48413=EDGE_LOOP('',(#259047,#259048,#259049,#259050)); #48414=EDGE_LOOP('',(#259051,#259052,#259053,#259054)); #48415=EDGE_LOOP('',(#259055,#259056,#259057,#259058)); #48416=EDGE_LOOP('',(#259059,#259060,#259061,#259062)); #48417=EDGE_LOOP('',(#259063,#259064,#259065,#259066,#259067,#259068,#259069, #259070,#259071,#259072,#259073,#259074,#259075,#259076,#259077,#259078, #259079,#259080,#259081,#259082,#259083,#259084,#259085,#259086,#259087, #259088,#259089,#259090,#259091,#259092,#259093,#259094,#259095,#259096, #259097,#259098,#259099,#259100,#259101,#259102,#259103,#259104,#259105, #259106)); #48418=EDGE_LOOP('',(#259107)); #48419=EDGE_LOOP('',(#259108)); #48420=EDGE_LOOP('',(#259109,#259110,#259111,#259112,#259113,#259114,#259115, #259116,#259117,#259118,#259119,#259120,#259121,#259122,#259123,#259124, #259125,#259126,#259127,#259128,#259129,#259130,#259131,#259132,#259133, #259134,#259135,#259136,#259137,#259138,#259139,#259140,#259141,#259142, #259143,#259144,#259145,#259146,#259147,#259148,#259149,#259150,#259151, #259152)); #48421=EDGE_LOOP('',(#259153)); #48422=EDGE_LOOP('',(#259154)); #48423=EDGE_LOOP('',(#259155,#259156,#259157,#259158)); #48424=EDGE_LOOP('',(#259159,#259160,#259161,#259162)); #48425=EDGE_LOOP('',(#259163,#259164,#259165,#259166)); #48426=EDGE_LOOP('',(#259167,#259168,#259169,#259170)); #48427=EDGE_LOOP('',(#259171,#259172,#259173,#259174)); #48428=EDGE_LOOP('',(#259175,#259176,#259177,#259178)); #48429=EDGE_LOOP('',(#259179,#259180,#259181,#259182)); #48430=EDGE_LOOP('',(#259183,#259184,#259185,#259186)); #48431=EDGE_LOOP('',(#259187,#259188,#259189,#259190)); #48432=EDGE_LOOP('',(#259191,#259192,#259193,#259194)); #48433=EDGE_LOOP('',(#259195,#259196,#259197,#259198)); #48434=EDGE_LOOP('',(#259199,#259200,#259201,#259202)); #48435=EDGE_LOOP('',(#259203,#259204,#259205,#259206)); #48436=EDGE_LOOP('',(#259207,#259208,#259209,#259210,#259211,#259212,#259213, #259214,#259215,#259216,#259217)); #48437=EDGE_LOOP('',(#259218)); #48438=EDGE_LOOP('',(#259219)); #48439=EDGE_LOOP('',(#259220,#259221,#259222,#259223,#259224,#259225,#259226, #259227,#259228,#259229,#259230)); #48440=EDGE_LOOP('',(#259231)); #48441=EDGE_LOOP('',(#259232)); #48442=EDGE_LOOP('',(#259233,#259234,#259235,#259236)); #48443=EDGE_LOOP('',(#259237,#259238,#259239,#259240)); #48444=EDGE_LOOP('',(#259241,#259242,#259243,#259244)); #48445=EDGE_LOOP('',(#259245,#259246,#259247,#259248)); #48446=EDGE_LOOP('',(#259249,#259250,#259251,#259252)); #48447=EDGE_LOOP('',(#259253,#259254,#259255,#259256)); #48448=EDGE_LOOP('',(#259257,#259258,#259259,#259260)); #48449=EDGE_LOOP('',(#259261,#259262,#259263,#259264)); #48450=EDGE_LOOP('',(#259265,#259266,#259267,#259268)); #48451=EDGE_LOOP('',(#259269,#259270,#259271,#259272)); #48452=EDGE_LOOP('',(#259273,#259274,#259275,#259276)); #48453=EDGE_LOOP('',(#259277,#259278,#259279,#259280)); #48454=EDGE_LOOP('',(#259281,#259282,#259283,#259284)); #48455=EDGE_LOOP('',(#259285,#259286,#259287,#259288)); #48456=EDGE_LOOP('',(#259289,#259290,#259291,#259292)); #48457=EDGE_LOOP('',(#259293,#259294,#259295,#259296)); #48458=EDGE_LOOP('',(#259297,#259298,#259299,#259300)); #48459=EDGE_LOOP('',(#259301,#259302,#259303,#259304)); #48460=EDGE_LOOP('',(#259305,#259306,#259307,#259308)); #48461=EDGE_LOOP('',(#259309,#259310,#259311,#259312)); #48462=EDGE_LOOP('',(#259313,#259314,#259315,#259316)); #48463=EDGE_LOOP('',(#259317,#259318,#259319,#259320)); #48464=EDGE_LOOP('',(#259321,#259322,#259323,#259324)); #48465=EDGE_LOOP('',(#259325,#259326,#259327,#259328)); #48466=EDGE_LOOP('',(#259329,#259330,#259331,#259332)); #48467=EDGE_LOOP('',(#259333,#259334,#259335,#259336,#259337,#259338,#259339, #259340,#259341,#259342,#259343,#259344,#259345,#259346,#259347,#259348, #259349,#259350,#259351,#259352,#259353,#259354,#259355,#259356)); #48468=EDGE_LOOP('',(#259357)); #48469=EDGE_LOOP('',(#259358,#259359,#259360,#259361,#259362,#259363,#259364, #259365,#259366,#259367,#259368,#259369,#259370,#259371,#259372,#259373, #259374,#259375,#259376,#259377,#259378,#259379,#259380,#259381)); #48470=EDGE_LOOP('',(#259382)); #48471=EDGE_LOOP('',(#259383,#259384,#259385,#259386)); #48472=EDGE_LOOP('',(#259387,#259388,#259389,#259390)); #48473=EDGE_LOOP('',(#259391,#259392,#259393,#259394)); #48474=EDGE_LOOP('',(#259395,#259396,#259397,#259398)); #48475=EDGE_LOOP('',(#259399,#259400,#259401,#259402)); #48476=EDGE_LOOP('',(#259403,#259404,#259405,#259406)); #48477=EDGE_LOOP('',(#259407,#259408,#259409,#259410)); #48478=EDGE_LOOP('',(#259411,#259412,#259413,#259414)); #48479=EDGE_LOOP('',(#259415,#259416,#259417,#259418)); #48480=EDGE_LOOP('',(#259419,#259420,#259421,#259422)); #48481=EDGE_LOOP('',(#259423,#259424,#259425,#259426)); #48482=EDGE_LOOP('',(#259427,#259428,#259429,#259430)); #48483=EDGE_LOOP('',(#259431,#259432,#259433,#259434)); #48484=EDGE_LOOP('',(#259435,#259436,#259437,#259438)); #48485=EDGE_LOOP('',(#259439,#259440,#259441,#259442)); #48486=EDGE_LOOP('',(#259443,#259444,#259445,#259446)); #48487=EDGE_LOOP('',(#259447,#259448,#259449,#259450)); #48488=EDGE_LOOP('',(#259451,#259452,#259453,#259454)); #48489=EDGE_LOOP('',(#259455,#259456,#259457,#259458)); #48490=EDGE_LOOP('',(#259459,#259460,#259461,#259462)); #48491=EDGE_LOOP('',(#259463,#259464,#259465,#259466)); #48492=EDGE_LOOP('',(#259467,#259468,#259469,#259470)); #48493=EDGE_LOOP('',(#259471,#259472,#259473,#259474)); #48494=EDGE_LOOP('',(#259475,#259476,#259477,#259478)); #48495=EDGE_LOOP('',(#259479,#259480,#259481,#259482)); #48496=EDGE_LOOP('',(#259483,#259484,#259485,#259486)); #48497=EDGE_LOOP('',(#259487,#259488,#259489,#259490)); #48498=EDGE_LOOP('',(#259491,#259492,#259493,#259494,#259495,#259496,#259497, #259498,#259499,#259500,#259501,#259502,#259503,#259504,#259505,#259506, #259507,#259508,#259509,#259510,#259511,#259512,#259513,#259514,#259515, #259516)); #48499=EDGE_LOOP('',(#259517)); #48500=EDGE_LOOP('',(#259518,#259519,#259520,#259521,#259522,#259523,#259524, #259525,#259526,#259527,#259528,#259529,#259530,#259531,#259532,#259533, #259534,#259535,#259536,#259537,#259538,#259539,#259540,#259541,#259542, #259543)); #48501=EDGE_LOOP('',(#259544)); #48502=EDGE_LOOP('',(#259545,#259546,#259547,#259548)); #48503=EDGE_LOOP('',(#259549,#259550,#259551,#259552)); #48504=EDGE_LOOP('',(#259553,#259554,#259555,#259556)); #48505=EDGE_LOOP('',(#259557,#259558,#259559,#259560)); #48506=EDGE_LOOP('',(#259561,#259562,#259563,#259564)); #48507=EDGE_LOOP('',(#259565,#259566,#259567,#259568)); #48508=EDGE_LOOP('',(#259569,#259570,#259571,#259572)); #48509=EDGE_LOOP('',(#259573,#259574,#259575,#259576)); #48510=EDGE_LOOP('',(#259577,#259578,#259579,#259580)); #48511=EDGE_LOOP('',(#259581,#259582,#259583,#259584)); #48512=EDGE_LOOP('',(#259585,#259586,#259587,#259588)); #48513=EDGE_LOOP('',(#259589,#259590,#259591,#259592)); #48514=EDGE_LOOP('',(#259593,#259594,#259595,#259596)); #48515=EDGE_LOOP('',(#259597,#259598,#259599,#259600)); #48516=EDGE_LOOP('',(#259601,#259602,#259603,#259604)); #48517=EDGE_LOOP('',(#259605,#259606,#259607,#259608)); #48518=EDGE_LOOP('',(#259609,#259610,#259611,#259612)); #48519=EDGE_LOOP('',(#259613,#259614,#259615,#259616)); #48520=EDGE_LOOP('',(#259617,#259618,#259619,#259620)); #48521=EDGE_LOOP('',(#259621,#259622,#259623,#259624)); #48522=EDGE_LOOP('',(#259625,#259626,#259627,#259628)); #48523=EDGE_LOOP('',(#259629,#259630,#259631,#259632)); #48524=EDGE_LOOP('',(#259633,#259634,#259635,#259636)); #48525=EDGE_LOOP('',(#259637,#259638,#259639,#259640)); #48526=EDGE_LOOP('',(#259641,#259642,#259643,#259644)); #48527=EDGE_LOOP('',(#259645,#259646,#259647,#259648)); #48528=EDGE_LOOP('',(#259649,#259650,#259651,#259652)); #48529=EDGE_LOOP('',(#259653,#259654,#259655,#259656)); #48530=EDGE_LOOP('',(#259657,#259658,#259659,#259660)); #48531=EDGE_LOOP('',(#259661,#259662,#259663,#259664)); #48532=EDGE_LOOP('',(#259665,#259666,#259667,#259668)); #48533=EDGE_LOOP('',(#259669,#259670,#259671,#259672)); #48534=EDGE_LOOP('',(#259673,#259674,#259675,#259676)); #48535=EDGE_LOOP('',(#259677,#259678,#259679,#259680)); #48536=EDGE_LOOP('',(#259681,#259682,#259683,#259684)); #48537=EDGE_LOOP('',(#259685,#259686,#259687,#259688)); #48538=EDGE_LOOP('',(#259689,#259690,#259691,#259692)); #48539=EDGE_LOOP('',(#259693,#259694,#259695,#259696)); #48540=EDGE_LOOP('',(#259697,#259698,#259699,#259700)); #48541=EDGE_LOOP('',(#259701,#259702,#259703,#259704)); #48542=EDGE_LOOP('',(#259705,#259706,#259707,#259708)); #48543=EDGE_LOOP('',(#259709,#259710,#259711,#259712)); #48544=EDGE_LOOP('',(#259713,#259714,#259715,#259716)); #48545=EDGE_LOOP('',(#259717,#259718,#259719,#259720)); #48546=EDGE_LOOP('',(#259721,#259722,#259723,#259724)); #48547=EDGE_LOOP('',(#259725,#259726,#259727,#259728)); #48548=EDGE_LOOP('',(#259729,#259730,#259731,#259732)); #48549=EDGE_LOOP('',(#259733,#259734,#259735,#259736)); #48550=EDGE_LOOP('',(#259737,#259738,#259739,#259740)); #48551=EDGE_LOOP('',(#259741,#259742,#259743,#259744)); #48552=EDGE_LOOP('',(#259745,#259746,#259747,#259748)); #48553=EDGE_LOOP('',(#259749,#259750,#259751,#259752)); #48554=EDGE_LOOP('',(#259753,#259754,#259755,#259756)); #48555=EDGE_LOOP('',(#259757,#259758,#259759,#259760)); #48556=EDGE_LOOP('',(#259761,#259762,#259763,#259764)); #48557=EDGE_LOOP('',(#259765,#259766,#259767,#259768)); #48558=EDGE_LOOP('',(#259769,#259770,#259771,#259772)); #48559=EDGE_LOOP('',(#259773,#259774,#259775,#259776)); #48560=EDGE_LOOP('',(#259777,#259778,#259779,#259780)); #48561=EDGE_LOOP('',(#259781,#259782,#259783,#259784)); #48562=EDGE_LOOP('',(#259785,#259786,#259787,#259788)); #48563=EDGE_LOOP('',(#259789,#259790,#259791,#259792)); #48564=EDGE_LOOP('',(#259793,#259794,#259795,#259796)); #48565=EDGE_LOOP('',(#259797,#259798,#259799,#259800,#259801,#259802,#259803, #259804,#259805,#259806,#259807,#259808,#259809,#259810,#259811,#259812, #259813,#259814,#259815,#259816,#259817,#259818,#259819,#259820,#259821, #259822,#259823,#259824,#259825,#259826,#259827,#259828,#259829,#259830, #259831,#259832,#259833,#259834,#259835,#259836,#259837,#259838,#259839, #259840,#259841,#259842,#259843,#259844,#259845,#259846,#259847,#259848, #259849,#259850,#259851,#259852,#259853,#259854,#259855,#259856,#259857)); #48566=EDGE_LOOP('',(#259858)); #48567=EDGE_LOOP('',(#259859)); #48568=EDGE_LOOP('',(#259860,#259861,#259862,#259863,#259864,#259865,#259866, #259867,#259868,#259869,#259870,#259871,#259872,#259873,#259874,#259875, #259876,#259877,#259878,#259879,#259880,#259881,#259882,#259883,#259884, #259885,#259886,#259887,#259888,#259889,#259890,#259891,#259892,#259893, #259894,#259895,#259896,#259897,#259898,#259899,#259900,#259901,#259902, #259903,#259904,#259905,#259906,#259907,#259908,#259909,#259910,#259911, #259912,#259913,#259914,#259915,#259916,#259917,#259918,#259919,#259920)); #48569=EDGE_LOOP('',(#259921)); #48570=EDGE_LOOP('',(#259922)); #48571=EDGE_LOOP('',(#259923,#259924,#259925,#259926)); #48572=EDGE_LOOP('',(#259927,#259928,#259929,#259930)); #48573=EDGE_LOOP('',(#259931,#259932,#259933,#259934)); #48574=EDGE_LOOP('',(#259935,#259936,#259937,#259938)); #48575=EDGE_LOOP('',(#259939,#259940,#259941,#259942)); #48576=EDGE_LOOP('',(#259943,#259944,#259945,#259946)); #48577=EDGE_LOOP('',(#259947,#259948,#259949,#259950)); #48578=EDGE_LOOP('',(#259951,#259952,#259953,#259954)); #48579=EDGE_LOOP('',(#259955,#259956,#259957,#259958)); #48580=EDGE_LOOP('',(#259959,#259960,#259961,#259962)); #48581=EDGE_LOOP('',(#259963,#259964,#259965,#259966,#259967,#259968,#259969, #259970)); #48582=EDGE_LOOP('',(#259971)); #48583=EDGE_LOOP('',(#259972)); #48584=EDGE_LOOP('',(#259973,#259974,#259975,#259976,#259977,#259978,#259979, #259980)); #48585=EDGE_LOOP('',(#259981)); #48586=EDGE_LOOP('',(#259982)); #48587=EDGE_LOOP('',(#259983,#259984,#259985,#259986)); #48588=EDGE_LOOP('',(#259987,#259988,#259989,#259990)); #48589=EDGE_LOOP('',(#259991,#259992,#259993,#259994)); #48590=EDGE_LOOP('',(#259995,#259996,#259997,#259998)); #48591=EDGE_LOOP('',(#259999,#260000,#260001,#260002)); #48592=EDGE_LOOP('',(#260003,#260004,#260005,#260006)); #48593=EDGE_LOOP('',(#260007,#260008,#260009,#260010)); #48594=EDGE_LOOP('',(#260011,#260012,#260013,#260014)); #48595=EDGE_LOOP('',(#260015,#260016,#260017,#260018)); #48596=EDGE_LOOP('',(#260019,#260020,#260021,#260022)); #48597=EDGE_LOOP('',(#260023,#260024,#260025,#260026,#260027,#260028,#260029, #260030)); #48598=EDGE_LOOP('',(#260031)); #48599=EDGE_LOOP('',(#260032)); #48600=EDGE_LOOP('',(#260033,#260034,#260035,#260036,#260037,#260038,#260039, #260040)); #48601=EDGE_LOOP('',(#260041)); #48602=EDGE_LOOP('',(#260042)); #48603=EDGE_LOOP('',(#260043,#260044,#260045,#260046)); #48604=EDGE_LOOP('',(#260047,#260048,#260049,#260050)); #48605=EDGE_LOOP('',(#260051,#260052,#260053,#260054)); #48606=EDGE_LOOP('',(#260055,#260056,#260057,#260058)); #48607=EDGE_LOOP('',(#260059,#260060,#260061,#260062)); #48608=EDGE_LOOP('',(#260063,#260064,#260065,#260066)); #48609=EDGE_LOOP('',(#260067,#260068,#260069,#260070)); #48610=EDGE_LOOP('',(#260071,#260072,#260073,#260074)); #48611=EDGE_LOOP('',(#260075,#260076,#260077,#260078)); #48612=EDGE_LOOP('',(#260079,#260080,#260081,#260082)); #48613=EDGE_LOOP('',(#260083,#260084,#260085,#260086,#260087,#260088,#260089, #260090)); #48614=EDGE_LOOP('',(#260091)); #48615=EDGE_LOOP('',(#260092)); #48616=EDGE_LOOP('',(#260093,#260094,#260095,#260096,#260097,#260098,#260099, #260100)); #48617=EDGE_LOOP('',(#260101)); #48618=EDGE_LOOP('',(#260102)); #48619=EDGE_LOOP('',(#260103,#260104,#260105,#260106)); #48620=EDGE_LOOP('',(#260107,#260108,#260109,#260110)); #48621=EDGE_LOOP('',(#260111,#260112,#260113,#260114)); #48622=EDGE_LOOP('',(#260115,#260116,#260117,#260118)); #48623=EDGE_LOOP('',(#260119,#260120,#260121,#260122)); #48624=EDGE_LOOP('',(#260123,#260124,#260125,#260126)); #48625=EDGE_LOOP('',(#260127,#260128,#260129,#260130)); #48626=EDGE_LOOP('',(#260131,#260132,#260133,#260134)); #48627=EDGE_LOOP('',(#260135,#260136,#260137,#260138)); #48628=EDGE_LOOP('',(#260139,#260140,#260141,#260142)); #48629=EDGE_LOOP('',(#260143,#260144,#260145,#260146)); #48630=EDGE_LOOP('',(#260147,#260148,#260149,#260150)); #48631=EDGE_LOOP('',(#260151,#260152,#260153,#260154)); #48632=EDGE_LOOP('',(#260155,#260156,#260157,#260158)); #48633=EDGE_LOOP('',(#260159,#260160,#260161,#260162)); #48634=EDGE_LOOP('',(#260163,#260164,#260165,#260166,#260167,#260168,#260169, #260170,#260171,#260172,#260173,#260174,#260175)); #48635=EDGE_LOOP('',(#260176)); #48636=EDGE_LOOP('',(#260177)); #48637=EDGE_LOOP('',(#260178,#260179,#260180,#260181,#260182,#260183,#260184, #260185,#260186,#260187,#260188,#260189,#260190)); #48638=EDGE_LOOP('',(#260191)); #48639=EDGE_LOOP('',(#260192)); #48640=EDGE_LOOP('',(#260193,#260194,#260195,#260196)); #48641=EDGE_LOOP('',(#260197,#260198,#260199,#260200)); #48642=EDGE_LOOP('',(#260201,#260202,#260203,#260204)); #48643=EDGE_LOOP('',(#260205,#260206,#260207,#260208)); #48644=EDGE_LOOP('',(#260209,#260210,#260211,#260212)); #48645=EDGE_LOOP('',(#260213,#260214,#260215,#260216)); #48646=EDGE_LOOP('',(#260217)); #48647=EDGE_LOOP('',(#260218,#260219,#260220,#260221)); #48648=EDGE_LOOP('',(#260222)); #48649=EDGE_LOOP('',(#260223,#260224,#260225,#260226)); #48650=EDGE_LOOP('',(#260227,#260228,#260229,#260230)); #48651=EDGE_LOOP('',(#260231,#260232,#260233,#260234)); #48652=EDGE_LOOP('',(#260235,#260236,#260237,#260238)); #48653=EDGE_LOOP('',(#260239,#260240,#260241,#260242)); #48654=EDGE_LOOP('',(#260243,#260244,#260245,#260246)); #48655=EDGE_LOOP('',(#260247)); #48656=EDGE_LOOP('',(#260248,#260249,#260250,#260251)); #48657=EDGE_LOOP('',(#260252)); #48658=EDGE_LOOP('',(#260253,#260254,#260255,#260256)); #48659=EDGE_LOOP('',(#260257,#260258,#260259,#260260)); #48660=EDGE_LOOP('',(#260261,#260262,#260263,#260264)); #48661=EDGE_LOOP('',(#260265,#260266,#260267,#260268)); #48662=EDGE_LOOP('',(#260269,#260270,#260271,#260272)); #48663=EDGE_LOOP('',(#260273,#260274,#260275,#260276)); #48664=EDGE_LOOP('',(#260277)); #48665=EDGE_LOOP('',(#260278,#260279,#260280,#260281)); #48666=EDGE_LOOP('',(#260282)); #48667=EDGE_LOOP('',(#260283,#260284,#260285,#260286)); #48668=EDGE_LOOP('',(#260287,#260288,#260289,#260290)); #48669=EDGE_LOOP('',(#260291,#260292,#260293,#260294)); #48670=EDGE_LOOP('',(#260295,#260296,#260297,#260298)); #48671=EDGE_LOOP('',(#260299,#260300,#260301,#260302)); #48672=EDGE_LOOP('',(#260303,#260304,#260305,#260306)); #48673=EDGE_LOOP('',(#260307,#260308,#260309,#260310)); #48674=EDGE_LOOP('',(#260311,#260312,#260313,#260314)); #48675=EDGE_LOOP('',(#260315,#260316,#260317,#260318)); #48676=EDGE_LOOP('',(#260319,#260320,#260321,#260322)); #48677=EDGE_LOOP('',(#260323,#260324,#260325,#260326)); #48678=EDGE_LOOP('',(#260327,#260328,#260329,#260330,#260331,#260332,#260333, #260334,#260335,#260336)); #48679=EDGE_LOOP('',(#260337)); #48680=EDGE_LOOP('',(#260338,#260339,#260340,#260341,#260342,#260343,#260344, #260345,#260346,#260347)); #48681=EDGE_LOOP('',(#260348)); #48682=EDGE_LOOP('',(#260349,#260350,#260351,#260352)); #48683=EDGE_LOOP('',(#260353,#260354,#260355,#260356)); #48684=EDGE_LOOP('',(#260357,#260358,#260359,#260360)); #48685=EDGE_LOOP('',(#260361,#260362,#260363,#260364)); #48686=EDGE_LOOP('',(#260365,#260366,#260367,#260368)); #48687=EDGE_LOOP('',(#260369,#260370,#260371,#260372)); #48688=EDGE_LOOP('',(#260373,#260374,#260375,#260376)); #48689=EDGE_LOOP('',(#260377,#260378,#260379,#260380)); #48690=EDGE_LOOP('',(#260381,#260382,#260383,#260384)); #48691=EDGE_LOOP('',(#260385,#260386,#260387,#260388)); #48692=EDGE_LOOP('',(#260389,#260390,#260391,#260392)); #48693=EDGE_LOOP('',(#260393,#260394,#260395,#260396)); #48694=EDGE_LOOP('',(#260397,#260398,#260399,#260400)); #48695=EDGE_LOOP('',(#260401,#260402,#260403,#260404)); #48696=EDGE_LOOP('',(#260405,#260406,#260407,#260408)); #48697=EDGE_LOOP('',(#260409,#260410,#260411,#260412)); #48698=EDGE_LOOP('',(#260413,#260414,#260415,#260416)); #48699=EDGE_LOOP('',(#260417,#260418,#260419,#260420)); #48700=EDGE_LOOP('',(#260421,#260422,#260423,#260424)); #48701=EDGE_LOOP('',(#260425,#260426,#260427,#260428)); #48702=EDGE_LOOP('',(#260429,#260430,#260431,#260432)); #48703=EDGE_LOOP('',(#260433,#260434,#260435,#260436)); #48704=EDGE_LOOP('',(#260437,#260438,#260439,#260440)); #48705=EDGE_LOOP('',(#260441,#260442,#260443,#260444)); #48706=EDGE_LOOP('',(#260445,#260446,#260447,#260448)); #48707=EDGE_LOOP('',(#260449,#260450,#260451,#260452)); #48708=EDGE_LOOP('',(#260453,#260454,#260455,#260456)); #48709=EDGE_LOOP('',(#260457,#260458,#260459,#260460)); #48710=EDGE_LOOP('',(#260461,#260462,#260463,#260464)); #48711=EDGE_LOOP('',(#260465,#260466,#260467,#260468)); #48712=EDGE_LOOP('',(#260469,#260470,#260471,#260472)); #48713=EDGE_LOOP('',(#260473,#260474,#260475,#260476)); #48714=EDGE_LOOP('',(#260477,#260478,#260479,#260480)); #48715=EDGE_LOOP('',(#260481,#260482,#260483,#260484)); #48716=EDGE_LOOP('',(#260485,#260486,#260487,#260488)); #48717=EDGE_LOOP('',(#260489,#260490,#260491,#260492)); #48718=EDGE_LOOP('',(#260493,#260494,#260495,#260496)); #48719=EDGE_LOOP('',(#260497,#260498,#260499,#260500)); #48720=EDGE_LOOP('',(#260501,#260502,#260503,#260504)); #48721=EDGE_LOOP('',(#260505,#260506,#260507,#260508)); #48722=EDGE_LOOP('',(#260509,#260510,#260511,#260512)); #48723=EDGE_LOOP('',(#260513,#260514,#260515,#260516)); #48724=EDGE_LOOP('',(#260517,#260518,#260519,#260520)); #48725=EDGE_LOOP('',(#260521,#260522,#260523,#260524)); #48726=EDGE_LOOP('',(#260525,#260526,#260527,#260528)); #48727=EDGE_LOOP('',(#260529,#260530,#260531,#260532)); #48728=EDGE_LOOP('',(#260533,#260534,#260535,#260536)); #48729=EDGE_LOOP('',(#260537,#260538,#260539,#260540)); #48730=EDGE_LOOP('',(#260541,#260542,#260543,#260544)); #48731=EDGE_LOOP('',(#260545,#260546,#260547,#260548)); #48732=EDGE_LOOP('',(#260549,#260550,#260551,#260552)); #48733=EDGE_LOOP('',(#260553,#260554,#260555,#260556)); #48734=EDGE_LOOP('',(#260557,#260558,#260559,#260560)); #48735=EDGE_LOOP('',(#260561,#260562,#260563,#260564)); #48736=EDGE_LOOP('',(#260565,#260566,#260567,#260568)); #48737=EDGE_LOOP('',(#260569,#260570,#260571,#260572)); #48738=EDGE_LOOP('',(#260573,#260574,#260575,#260576)); #48739=EDGE_LOOP('',(#260577,#260578,#260579,#260580)); #48740=EDGE_LOOP('',(#260581,#260582,#260583,#260584)); #48741=EDGE_LOOP('',(#260585,#260586,#260587,#260588)); #48742=EDGE_LOOP('',(#260589,#260590,#260591,#260592)); #48743=EDGE_LOOP('',(#260593,#260594,#260595,#260596)); #48744=EDGE_LOOP('',(#260597,#260598,#260599,#260600)); #48745=EDGE_LOOP('',(#260601,#260602,#260603,#260604,#260605,#260606,#260607, #260608,#260609,#260610,#260611,#260612,#260613,#260614,#260615,#260616, #260617,#260618,#260619,#260620,#260621,#260622,#260623,#260624,#260625, #260626,#260627,#260628,#260629,#260630,#260631,#260632,#260633,#260634, #260635,#260636,#260637,#260638,#260639,#260640,#260641,#260642,#260643, #260644,#260645,#260646,#260647,#260648,#260649,#260650,#260651,#260652, #260653,#260654,#260655,#260656,#260657,#260658,#260659,#260660,#260661)); #48746=EDGE_LOOP('',(#260662)); #48747=EDGE_LOOP('',(#260663)); #48748=EDGE_LOOP('',(#260664,#260665,#260666,#260667,#260668,#260669,#260670, #260671,#260672,#260673,#260674,#260675,#260676,#260677,#260678,#260679, #260680,#260681,#260682,#260683,#260684,#260685,#260686,#260687,#260688, #260689,#260690,#260691,#260692,#260693,#260694,#260695,#260696,#260697, #260698,#260699,#260700,#260701,#260702,#260703,#260704,#260705,#260706, #260707,#260708,#260709,#260710,#260711,#260712,#260713,#260714,#260715, #260716,#260717,#260718,#260719,#260720,#260721,#260722,#260723,#260724)); #48749=EDGE_LOOP('',(#260725)); #48750=EDGE_LOOP('',(#260726)); #48751=EDGE_LOOP('',(#260727,#260728,#260729,#260730)); #48752=EDGE_LOOP('',(#260731,#260732,#260733,#260734)); #48753=EDGE_LOOP('',(#260735,#260736,#260737,#260738)); #48754=EDGE_LOOP('',(#260739,#260740,#260741,#260742)); #48755=EDGE_LOOP('',(#260743,#260744,#260745,#260746)); #48756=EDGE_LOOP('',(#260747,#260748,#260749,#260750)); #48757=EDGE_LOOP('',(#260751,#260752,#260753,#260754)); #48758=EDGE_LOOP('',(#260755,#260756,#260757,#260758)); #48759=EDGE_LOOP('',(#260759,#260760,#260761,#260762)); #48760=EDGE_LOOP('',(#260763,#260764,#260765,#260766,#260767,#260768,#260769)); #48761=EDGE_LOOP('',(#260770)); #48762=EDGE_LOOP('',(#260771)); #48763=EDGE_LOOP('',(#260772,#260773,#260774,#260775,#260776,#260777,#260778)); #48764=EDGE_LOOP('',(#260779)); #48765=EDGE_LOOP('',(#260780)); #48766=EDGE_LOOP('',(#260781,#260782,#260783,#260784)); #48767=EDGE_LOOP('',(#260785,#260786,#260787,#260788)); #48768=EDGE_LOOP('',(#260789,#260790,#260791,#260792)); #48769=EDGE_LOOP('',(#260793,#260794,#260795,#260796)); #48770=EDGE_LOOP('',(#260797,#260798,#260799,#260800)); #48771=EDGE_LOOP('',(#260801,#260802,#260803,#260804)); #48772=EDGE_LOOP('',(#260805,#260806,#260807,#260808)); #48773=EDGE_LOOP('',(#260809,#260810,#260811,#260812)); #48774=EDGE_LOOP('',(#260813,#260814,#260815,#260816)); #48775=EDGE_LOOP('',(#260817,#260818,#260819,#260820)); #48776=EDGE_LOOP('',(#260821,#260822,#260823,#260824)); #48777=EDGE_LOOP('',(#260825,#260826,#260827,#260828,#260829,#260830,#260831, #260832,#260833,#260834)); #48778=EDGE_LOOP('',(#260835)); #48779=EDGE_LOOP('',(#260836,#260837,#260838,#260839,#260840,#260841,#260842, #260843,#260844,#260845)); #48780=EDGE_LOOP('',(#260846)); #48781=EDGE_LOOP('',(#260847,#260848,#260849,#260850)); #48782=EDGE_LOOP('',(#260851,#260852,#260853,#260854)); #48783=EDGE_LOOP('',(#260855,#260856,#260857,#260858)); #48784=EDGE_LOOP('',(#260859,#260860,#260861,#260862)); #48785=EDGE_LOOP('',(#260863,#260864,#260865,#260866)); #48786=EDGE_LOOP('',(#260867,#260868,#260869,#260870)); #48787=EDGE_LOOP('',(#260871,#260872,#260873,#260874)); #48788=EDGE_LOOP('',(#260875,#260876,#260877,#260878)); #48789=EDGE_LOOP('',(#260879,#260880,#260881,#260882)); #48790=EDGE_LOOP('',(#260883,#260884,#260885,#260886)); #48791=EDGE_LOOP('',(#260887,#260888,#260889,#260890)); #48792=EDGE_LOOP('',(#260891,#260892,#260893,#260894,#260895,#260896,#260897, #260898,#260899,#260900)); #48793=EDGE_LOOP('',(#260901)); #48794=EDGE_LOOP('',(#260902,#260903,#260904,#260905,#260906,#260907,#260908, #260909,#260910,#260911)); #48795=EDGE_LOOP('',(#260912)); #48796=EDGE_LOOP('',(#260913,#260914,#260915,#260916)); #48797=EDGE_LOOP('',(#260917,#260918,#260919,#260920)); #48798=EDGE_LOOP('',(#260921,#260922,#260923,#260924)); #48799=EDGE_LOOP('',(#260925,#260926,#260927,#260928)); #48800=EDGE_LOOP('',(#260929,#260930,#260931,#260932)); #48801=EDGE_LOOP('',(#260933,#260934,#260935,#260936)); #48802=EDGE_LOOP('',(#260937,#260938,#260939,#260940)); #48803=EDGE_LOOP('',(#260941,#260942,#260943,#260944)); #48804=EDGE_LOOP('',(#260945,#260946,#260947,#260948)); #48805=EDGE_LOOP('',(#260949,#260950,#260951,#260952)); #48806=EDGE_LOOP('',(#260953,#260954,#260955,#260956)); #48807=EDGE_LOOP('',(#260957,#260958,#260959,#260960)); #48808=EDGE_LOOP('',(#260961,#260962,#260963,#260964)); #48809=EDGE_LOOP('',(#260965,#260966,#260967,#260968)); #48810=EDGE_LOOP('',(#260969,#260970,#260971,#260972)); #48811=EDGE_LOOP('',(#260973,#260974,#260975,#260976)); #48812=EDGE_LOOP('',(#260977,#260978,#260979,#260980)); #48813=EDGE_LOOP('',(#260981,#260982,#260983,#260984)); #48814=EDGE_LOOP('',(#260985,#260986,#260987,#260988,#260989,#260990,#260991, #260992,#260993,#260994,#260995,#260996,#260997,#260998,#260999,#261000, #261001)); #48815=EDGE_LOOP('',(#261002)); #48816=EDGE_LOOP('',(#261003,#261004,#261005,#261006,#261007,#261008,#261009, #261010,#261011,#261012,#261013,#261014,#261015,#261016,#261017,#261018, #261019)); #48817=EDGE_LOOP('',(#261020)); #48818=EDGE_LOOP('',(#261021,#261022,#261023,#261024)); #48819=EDGE_LOOP('',(#261025,#261026,#261027,#261028)); #48820=EDGE_LOOP('',(#261029,#261030,#261031,#261032)); #48821=EDGE_LOOP('',(#261033,#261034,#261035,#261036)); #48822=EDGE_LOOP('',(#261037,#261038,#261039,#261040)); #48823=EDGE_LOOP('',(#261041,#261042,#261043,#261044)); #48824=EDGE_LOOP('',(#261045,#261046,#261047,#261048)); #48825=EDGE_LOOP('',(#261049,#261050,#261051,#261052)); #48826=EDGE_LOOP('',(#261053,#261054,#261055,#261056)); #48827=EDGE_LOOP('',(#261057,#261058,#261059,#261060)); #48828=EDGE_LOOP('',(#261061,#261062,#261063,#261064)); #48829=EDGE_LOOP('',(#261065,#261066,#261067,#261068)); #48830=EDGE_LOOP('',(#261069,#261070,#261071,#261072)); #48831=EDGE_LOOP('',(#261073,#261074,#261075,#261076)); #48832=EDGE_LOOP('',(#261077,#261078,#261079,#261080)); #48833=EDGE_LOOP('',(#261081,#261082,#261083,#261084)); #48834=EDGE_LOOP('',(#261085,#261086,#261087,#261088,#261089,#261090,#261091, #261092,#261093,#261094,#261095,#261096,#261097,#261098,#261099)); #48835=EDGE_LOOP('',(#261100)); #48836=EDGE_LOOP('',(#261101,#261102,#261103,#261104,#261105,#261106,#261107, #261108,#261109,#261110,#261111,#261112,#261113,#261114,#261115)); #48837=EDGE_LOOP('',(#261116)); #48838=EDGE_LOOP('',(#261117,#261118,#261119,#261120)); #48839=EDGE_LOOP('',(#261121,#261122,#261123,#261124)); #48840=EDGE_LOOP('',(#261125,#261126,#261127,#261128)); #48841=EDGE_LOOP('',(#261129,#261130,#261131,#261132)); #48842=EDGE_LOOP('',(#261133,#261134,#261135)); #48843=EDGE_LOOP('',(#261136)); #48844=EDGE_LOOP('',(#261137,#261138,#261139)); #48845=EDGE_LOOP('',(#261140)); #48846=EDGE_LOOP('',(#261141,#261142,#261143,#261144)); #48847=EDGE_LOOP('',(#261145,#261146,#261147,#261148)); #48848=EDGE_LOOP('',(#261149,#261150,#261151,#261152)); #48849=EDGE_LOOP('',(#261153,#261154,#261155,#261156)); #48850=EDGE_LOOP('',(#261157,#261158,#261159,#261160)); #48851=EDGE_LOOP('',(#261161,#261162,#261163,#261164)); #48852=EDGE_LOOP('',(#261165,#261166,#261167,#261168)); #48853=EDGE_LOOP('',(#261169,#261170,#261171,#261172)); #48854=EDGE_LOOP('',(#261173,#261174,#261175,#261176)); #48855=EDGE_LOOP('',(#261177,#261178,#261179,#261180)); #48856=EDGE_LOOP('',(#261181,#261182,#261183,#261184)); #48857=EDGE_LOOP('',(#261185,#261186,#261187,#261188)); #48858=EDGE_LOOP('',(#261189,#261190,#261191,#261192)); #48859=EDGE_LOOP('',(#261193,#261194,#261195,#261196)); #48860=EDGE_LOOP('',(#261197,#261198,#261199,#261200)); #48861=EDGE_LOOP('',(#261201,#261202,#261203,#261204)); #48862=EDGE_LOOP('',(#261205,#261206,#261207,#261208)); #48863=EDGE_LOOP('',(#261209,#261210,#261211,#261212)); #48864=EDGE_LOOP('',(#261213,#261214,#261215,#261216)); #48865=EDGE_LOOP('',(#261217,#261218,#261219,#261220)); #48866=EDGE_LOOP('',(#261221,#261222,#261223,#261224)); #48867=EDGE_LOOP('',(#261225,#261226,#261227,#261228)); #48868=EDGE_LOOP('',(#261229,#261230,#261231,#261232,#261233,#261234,#261235, #261236,#261237,#261238,#261239,#261240,#261241,#261242,#261243,#261244, #261245,#261246,#261247,#261248,#261249)); #48869=EDGE_LOOP('',(#261250)); #48870=EDGE_LOOP('',(#261251,#261252,#261253,#261254,#261255,#261256,#261257, #261258,#261259,#261260,#261261,#261262,#261263,#261264,#261265,#261266, #261267,#261268,#261269,#261270,#261271)); #48871=EDGE_LOOP('',(#261272)); #48872=EDGE_LOOP('',(#261273,#261274,#261275,#261276)); #48873=EDGE_LOOP('',(#261277,#261278,#261279,#261280)); #48874=EDGE_LOOP('',(#261281,#261282,#261283,#261284)); #48875=EDGE_LOOP('',(#261285,#261286,#261287,#261288)); #48876=EDGE_LOOP('',(#261289,#261290,#261291,#261292)); #48877=EDGE_LOOP('',(#261293,#261294,#261295,#261296)); #48878=EDGE_LOOP('',(#261297,#261298,#261299,#261300)); #48879=EDGE_LOOP('',(#261301,#261302,#261303,#261304)); #48880=EDGE_LOOP('',(#261305,#261306,#261307,#261308)); #48881=EDGE_LOOP('',(#261309,#261310,#261311,#261312)); #48882=EDGE_LOOP('',(#261313,#261314,#261315,#261316)); #48883=EDGE_LOOP('',(#261317,#261318,#261319,#261320)); #48884=EDGE_LOOP('',(#261321,#261322,#261323,#261324)); #48885=EDGE_LOOP('',(#261325,#261326,#261327,#261328)); #48886=EDGE_LOOP('',(#261329,#261330,#261331,#261332)); #48887=EDGE_LOOP('',(#261333,#261334,#261335,#261336)); #48888=EDGE_LOOP('',(#261337,#261338,#261339,#261340,#261341,#261342,#261343, #261344,#261345,#261346,#261347,#261348,#261349,#261350,#261351)); #48889=EDGE_LOOP('',(#261352)); #48890=EDGE_LOOP('',(#261353,#261354,#261355,#261356,#261357,#261358,#261359, #261360,#261361,#261362,#261363,#261364,#261365,#261366,#261367)); #48891=EDGE_LOOP('',(#261368)); #48892=EDGE_LOOP('',(#261369,#261370,#261371,#261372)); #48893=EDGE_LOOP('',(#261373,#261374,#261375,#261376)); #48894=EDGE_LOOP('',(#261377,#261378,#261379,#261380)); #48895=EDGE_LOOP('',(#261381,#261382,#261383,#261384)); #48896=EDGE_LOOP('',(#261385,#261386,#261387,#261388)); #48897=EDGE_LOOP('',(#261389,#261390,#261391,#261392)); #48898=EDGE_LOOP('',(#261393,#261394,#261395,#261396)); #48899=EDGE_LOOP('',(#261397,#261398,#261399,#261400)); #48900=EDGE_LOOP('',(#261401,#261402,#261403,#261404)); #48901=EDGE_LOOP('',(#261405,#261406,#261407,#261408)); #48902=EDGE_LOOP('',(#261409,#261410,#261411,#261412)); #48903=EDGE_LOOP('',(#261413,#261414,#261415,#261416)); #48904=EDGE_LOOP('',(#261417,#261418,#261419,#261420)); #48905=EDGE_LOOP('',(#261421,#261422,#261423,#261424)); #48906=EDGE_LOOP('',(#261425,#261426,#261427,#261428,#261429,#261430,#261431, #261432,#261433,#261434,#261435,#261436,#261437,#261438)); #48907=EDGE_LOOP('',(#261439,#261440,#261441,#261442,#261443,#261444,#261445, #261446,#261447,#261448,#261449,#261450,#261451,#261452)); #48908=EDGE_LOOP('',(#261453,#261454,#261455,#261456)); #48909=EDGE_LOOP('',(#261457,#261458,#261459,#261460)); #48910=EDGE_LOOP('',(#261461,#261462,#261463,#261464)); #48911=EDGE_LOOP('',(#261465,#261466,#261467,#261468)); #48912=EDGE_LOOP('',(#261469,#261470,#261471,#261472)); #48913=EDGE_LOOP('',(#261473,#261474,#261475,#261476)); #48914=EDGE_LOOP('',(#261477,#261478,#261479,#261480)); #48915=EDGE_LOOP('',(#261481,#261482,#261483,#261484)); #48916=EDGE_LOOP('',(#261485,#261486,#261487,#261488)); #48917=EDGE_LOOP('',(#261489,#261490,#261491,#261492)); #48918=EDGE_LOOP('',(#261493,#261494,#261495,#261496)); #48919=EDGE_LOOP('',(#261497,#261498,#261499,#261500)); #48920=EDGE_LOOP('',(#261501,#261502,#261503,#261504)); #48921=EDGE_LOOP('',(#261505,#261506,#261507,#261508)); #48922=EDGE_LOOP('',(#261509,#261510,#261511,#261512,#261513,#261514,#261515, #261516,#261517,#261518,#261519,#261520,#261521,#261522)); #48923=EDGE_LOOP('',(#261523,#261524,#261525,#261526,#261527,#261528,#261529, #261530,#261531,#261532,#261533,#261534,#261535,#261536)); #48924=EDGE_LOOP('',(#261537,#261538,#261539,#261540)); #48925=EDGE_LOOP('',(#261541,#261542,#261543,#261544)); #48926=EDGE_LOOP('',(#261545,#261546,#261547,#261548)); #48927=EDGE_LOOP('',(#261549,#261550,#261551,#261552)); #48928=EDGE_LOOP('',(#261553,#261554,#261555,#261556)); #48929=EDGE_LOOP('',(#261557,#261558,#261559,#261560)); #48930=EDGE_LOOP('',(#261561,#261562,#261563,#261564)); #48931=EDGE_LOOP('',(#261565,#261566,#261567,#261568)); #48932=EDGE_LOOP('',(#261569,#261570,#261571,#261572)); #48933=EDGE_LOOP('',(#261573,#261574,#261575,#261576)); #48934=EDGE_LOOP('',(#261577,#261578,#261579,#261580)); #48935=EDGE_LOOP('',(#261581,#261582,#261583,#261584)); #48936=EDGE_LOOP('',(#261585,#261586,#261587,#261588)); #48937=EDGE_LOOP('',(#261589,#261590,#261591,#261592)); #48938=EDGE_LOOP('',(#261593,#261594,#261595,#261596)); #48939=EDGE_LOOP('',(#261597,#261598,#261599,#261600)); #48940=EDGE_LOOP('',(#261601,#261602,#261603,#261604)); #48941=EDGE_LOOP('',(#261605,#261606,#261607,#261608)); #48942=EDGE_LOOP('',(#261609,#261610,#261611,#261612)); #48943=EDGE_LOOP('',(#261613,#261614,#261615,#261616)); #48944=EDGE_LOOP('',(#261617,#261618,#261619,#261620)); #48945=EDGE_LOOP('',(#261621,#261622,#261623,#261624)); #48946=EDGE_LOOP('',(#261625,#261626,#261627,#261628)); #48947=EDGE_LOOP('',(#261629,#261630,#261631,#261632)); #48948=EDGE_LOOP('',(#261633,#261634,#261635,#261636)); #48949=EDGE_LOOP('',(#261637,#261638,#261639,#261640)); #48950=EDGE_LOOP('',(#261641,#261642,#261643,#261644)); #48951=EDGE_LOOP('',(#261645,#261646,#261647,#261648)); #48952=EDGE_LOOP('',(#261649,#261650,#261651,#261652)); #48953=EDGE_LOOP('',(#261653,#261654,#261655,#261656)); #48954=EDGE_LOOP('',(#261657,#261658,#261659,#261660)); #48955=EDGE_LOOP('',(#261661,#261662,#261663,#261664)); #48956=EDGE_LOOP('',(#261665,#261666,#261667,#261668)); #48957=EDGE_LOOP('',(#261669,#261670,#261671,#261672)); #48958=EDGE_LOOP('',(#261673,#261674,#261675,#261676)); #48959=EDGE_LOOP('',(#261677,#261678,#261679,#261680)); #48960=EDGE_LOOP('',(#261681,#261682,#261683,#261684)); #48961=EDGE_LOOP('',(#261685,#261686,#261687,#261688)); #48962=EDGE_LOOP('',(#261689,#261690,#261691,#261692)); #48963=EDGE_LOOP('',(#261693,#261694,#261695,#261696)); #48964=EDGE_LOOP('',(#261697,#261698,#261699,#261700,#261701,#261702,#261703, #261704,#261705,#261706,#261707,#261708,#261709,#261710,#261711,#261712, #261713,#261714,#261715,#261716,#261717,#261718,#261719,#261720,#261721, #261722,#261723,#261724,#261725,#261726,#261727,#261728,#261729,#261730, #261731,#261732,#261733,#261734,#261735)); #48965=EDGE_LOOP('',(#261736)); #48966=EDGE_LOOP('',(#261737,#261738,#261739,#261740,#261741,#261742,#261743, #261744,#261745,#261746,#261747,#261748,#261749,#261750,#261751,#261752, #261753,#261754,#261755,#261756,#261757,#261758,#261759,#261760,#261761, #261762,#261763,#261764,#261765,#261766,#261767,#261768,#261769,#261770, #261771,#261772,#261773,#261774,#261775)); #48967=EDGE_LOOP('',(#261776)); #48968=EDGE_LOOP('',(#261777,#261778,#261779,#261780)); #48969=EDGE_LOOP('',(#261781,#261782,#261783,#261784)); #48970=EDGE_LOOP('',(#261785,#261786,#261787,#261788)); #48971=EDGE_LOOP('',(#261789,#261790,#261791,#261792)); #48972=EDGE_LOOP('',(#261793,#261794,#261795,#261796)); #48973=EDGE_LOOP('',(#261797,#261798,#261799,#261800)); #48974=EDGE_LOOP('',(#261801,#261802,#261803,#261804)); #48975=EDGE_LOOP('',(#261805,#261806,#261807,#261808)); #48976=EDGE_LOOP('',(#261809,#261810,#261811,#261812)); #48977=EDGE_LOOP('',(#261813,#261814,#261815,#261816,#261817,#261818,#261819)); #48978=EDGE_LOOP('',(#261820)); #48979=EDGE_LOOP('',(#261821)); #48980=EDGE_LOOP('',(#261822,#261823,#261824,#261825,#261826,#261827,#261828)); #48981=EDGE_LOOP('',(#261829)); #48982=EDGE_LOOP('',(#261830)); #48983=EDGE_LOOP('',(#261831,#261832,#261833,#261834)); #48984=EDGE_LOOP('',(#261835,#261836,#261837,#261838)); #48985=EDGE_LOOP('',(#261839,#261840,#261841,#261842)); #48986=EDGE_LOOP('',(#261843,#261844,#261845,#261846)); #48987=EDGE_LOOP('',(#261847,#261848,#261849,#261850)); #48988=EDGE_LOOP('',(#261851,#261852,#261853,#261854)); #48989=EDGE_LOOP('',(#261855)); #48990=EDGE_LOOP('',(#261856,#261857,#261858,#261859)); #48991=EDGE_LOOP('',(#261860)); #48992=EDGE_LOOP('',(#261861,#261862,#261863,#261864)); #48993=EDGE_LOOP('',(#261865,#261866,#261867,#261868)); #48994=EDGE_LOOP('',(#261869,#261870,#261871,#261872)); #48995=EDGE_LOOP('',(#261873,#261874,#261875,#261876)); #48996=EDGE_LOOP('',(#261877,#261878,#261879,#261880)); #48997=EDGE_LOOP('',(#261881,#261882,#261883,#261884)); #48998=EDGE_LOOP('',(#261885,#261886,#261887,#261888)); #48999=EDGE_LOOP('',(#261889,#261890,#261891,#261892)); #49000=EDGE_LOOP('',(#261893,#261894,#261895,#261896)); #49001=EDGE_LOOP('',(#261897,#261898,#261899,#261900)); #49002=EDGE_LOOP('',(#261901,#261902,#261903,#261904)); #49003=EDGE_LOOP('',(#261905,#261906,#261907,#261908)); #49004=EDGE_LOOP('',(#261909,#261910,#261911,#261912)); #49005=EDGE_LOOP('',(#261913,#261914,#261915,#261916)); #49006=EDGE_LOOP('',(#261917,#261918,#261919,#261920)); #49007=EDGE_LOOP('',(#261921,#261922,#261923,#261924)); #49008=EDGE_LOOP('',(#261925,#261926,#261927,#261928)); #49009=EDGE_LOOP('',(#261929,#261930,#261931,#261932)); #49010=EDGE_LOOP('',(#261933,#261934,#261935,#261936)); #49011=EDGE_LOOP('',(#261937,#261938,#261939,#261940)); #49012=EDGE_LOOP('',(#261941,#261942,#261943,#261944)); #49013=EDGE_LOOP('',(#261945,#261946,#261947,#261948)); #49014=EDGE_LOOP('',(#261949,#261950,#261951,#261952)); #49015=EDGE_LOOP('',(#261953,#261954,#261955,#261956)); #49016=EDGE_LOOP('',(#261957,#261958,#261959,#261960)); #49017=EDGE_LOOP('',(#261961,#261962,#261963,#261964,#261965,#261966,#261967, #261968,#261969,#261970,#261971,#261972,#261973,#261974,#261975,#261976, #261977,#261978,#261979,#261980,#261981,#261982,#261983)); #49018=EDGE_LOOP('',(#261984)); #49019=EDGE_LOOP('',(#261985)); #49020=EDGE_LOOP('',(#261986,#261987,#261988,#261989,#261990,#261991,#261992, #261993,#261994,#261995,#261996,#261997,#261998,#261999,#262000,#262001, #262002,#262003,#262004,#262005,#262006,#262007,#262008)); #49021=EDGE_LOOP('',(#262009)); #49022=EDGE_LOOP('',(#262010)); #49023=EDGE_LOOP('',(#262011,#262012,#262013,#262014)); #49024=EDGE_LOOP('',(#262015,#262016,#262017,#262018)); #49025=EDGE_LOOP('',(#262019,#262020,#262021,#262022)); #49026=EDGE_LOOP('',(#262023,#262024,#262025,#262026)); #49027=EDGE_LOOP('',(#262027,#262028,#262029,#262030)); #49028=EDGE_LOOP('',(#262031,#262032,#262033,#262034)); #49029=EDGE_LOOP('',(#262035,#262036,#262037,#262038)); #49030=EDGE_LOOP('',(#262039,#262040,#262041,#262042)); #49031=EDGE_LOOP('',(#262043,#262044,#262045,#262046)); #49032=EDGE_LOOP('',(#262047,#262048,#262049,#262050)); #49033=EDGE_LOOP('',(#262051,#262052,#262053,#262054,#262055,#262056,#262057, #262058)); #49034=EDGE_LOOP('',(#262059)); #49035=EDGE_LOOP('',(#262060)); #49036=EDGE_LOOP('',(#262061,#262062,#262063,#262064,#262065,#262066,#262067, #262068)); #49037=EDGE_LOOP('',(#262069)); #49038=EDGE_LOOP('',(#262070)); #49039=EDGE_LOOP('',(#262071,#262072,#262073,#262074)); #49040=EDGE_LOOP('',(#262075,#262076,#262077,#262078)); #49041=EDGE_LOOP('',(#262079,#262080,#262081,#262082)); #49042=EDGE_LOOP('',(#262083,#262084,#262085,#262086)); #49043=EDGE_LOOP('',(#262087,#262088,#262089,#262090)); #49044=EDGE_LOOP('',(#262091,#262092,#262093,#262094)); #49045=EDGE_LOOP('',(#262095)); #49046=EDGE_LOOP('',(#262096,#262097,#262098,#262099)); #49047=EDGE_LOOP('',(#262100)); #49048=EDGE_LOOP('',(#262101,#262102,#262103,#262104)); #49049=EDGE_LOOP('',(#262105,#262106,#262107,#262108)); #49050=EDGE_LOOP('',(#262109,#262110,#262111,#262112)); #49051=EDGE_LOOP('',(#262113,#262114,#262115,#262116)); #49052=EDGE_LOOP('',(#262117,#262118,#262119,#262120)); #49053=EDGE_LOOP('',(#262121,#262122,#262123,#262124)); #49054=EDGE_LOOP('',(#262125,#262126,#262127,#262128)); #49055=EDGE_LOOP('',(#262129,#262130,#262131,#262132)); #49056=EDGE_LOOP('',(#262133,#262134,#262135,#262136)); #49057=EDGE_LOOP('',(#262137,#262138,#262139,#262140)); #49058=EDGE_LOOP('',(#262141,#262142,#262143,#262144)); #49059=EDGE_LOOP('',(#262145,#262146,#262147,#262148)); #49060=EDGE_LOOP('',(#262149,#262150,#262151,#262152)); #49061=EDGE_LOOP('',(#262153,#262154,#262155,#262156)); #49062=EDGE_LOOP('',(#262157,#262158,#262159,#262160)); #49063=EDGE_LOOP('',(#262161,#262162,#262163,#262164)); #49064=EDGE_LOOP('',(#262165,#262166,#262167,#262168)); #49065=EDGE_LOOP('',(#262169,#262170,#262171,#262172)); #49066=EDGE_LOOP('',(#262173,#262174,#262175,#262176)); #49067=EDGE_LOOP('',(#262177,#262178,#262179,#262180)); #49068=EDGE_LOOP('',(#262181,#262182,#262183,#262184)); #49069=EDGE_LOOP('',(#262185,#262186,#262187,#262188)); #49070=EDGE_LOOP('',(#262189,#262190,#262191,#262192)); #49071=EDGE_LOOP('',(#262193,#262194,#262195,#262196)); #49072=EDGE_LOOP('',(#262197,#262198,#262199,#262200)); #49073=EDGE_LOOP('',(#262201,#262202,#262203,#262204)); #49074=EDGE_LOOP('',(#262205,#262206,#262207,#262208)); #49075=EDGE_LOOP('',(#262209,#262210,#262211,#262212)); #49076=EDGE_LOOP('',(#262213,#262214,#262215,#262216)); #49077=EDGE_LOOP('',(#262217,#262218,#262219,#262220)); #49078=EDGE_LOOP('',(#262221,#262222,#262223,#262224)); #49079=EDGE_LOOP('',(#262225,#262226,#262227,#262228)); #49080=EDGE_LOOP('',(#262229,#262230,#262231,#262232)); #49081=EDGE_LOOP('',(#262233,#262234,#262235,#262236)); #49082=EDGE_LOOP('',(#262237,#262238,#262239,#262240)); #49083=EDGE_LOOP('',(#262241,#262242,#262243,#262244)); #49084=EDGE_LOOP('',(#262245,#262246,#262247,#262248)); #49085=EDGE_LOOP('',(#262249,#262250,#262251,#262252)); #49086=EDGE_LOOP('',(#262253,#262254,#262255,#262256)); #49087=EDGE_LOOP('',(#262257,#262258,#262259,#262260)); #49088=EDGE_LOOP('',(#262261,#262262,#262263,#262264)); #49089=EDGE_LOOP('',(#262265,#262266,#262267,#262268)); #49090=EDGE_LOOP('',(#262269,#262270,#262271,#262272)); #49091=EDGE_LOOP('',(#262273,#262274,#262275,#262276)); #49092=EDGE_LOOP('',(#262277,#262278,#262279,#262280)); #49093=EDGE_LOOP('',(#262281,#262282,#262283,#262284)); #49094=EDGE_LOOP('',(#262285,#262286,#262287,#262288)); #49095=EDGE_LOOP('',(#262289,#262290,#262291,#262292)); #49096=EDGE_LOOP('',(#262293,#262294,#262295,#262296)); #49097=EDGE_LOOP('',(#262297,#262298,#262299,#262300)); #49098=EDGE_LOOP('',(#262301,#262302,#262303,#262304)); #49099=EDGE_LOOP('',(#262305,#262306,#262307,#262308)); #49100=EDGE_LOOP('',(#262309,#262310,#262311,#262312)); #49101=EDGE_LOOP('',(#262313,#262314,#262315,#262316)); #49102=EDGE_LOOP('',(#262317,#262318,#262319,#262320)); #49103=EDGE_LOOP('',(#262321,#262322,#262323,#262324)); #49104=EDGE_LOOP('',(#262325,#262326,#262327,#262328)); #49105=EDGE_LOOP('',(#262329,#262330,#262331,#262332)); #49106=EDGE_LOOP('',(#262333,#262334,#262335,#262336)); #49107=EDGE_LOOP('',(#262337,#262338,#262339,#262340)); #49108=EDGE_LOOP('',(#262341,#262342,#262343,#262344)); #49109=EDGE_LOOP('',(#262345,#262346,#262347,#262348)); #49110=EDGE_LOOP('',(#262349,#262350,#262351,#262352)); #49111=EDGE_LOOP('',(#262353,#262354,#262355,#262356)); #49112=EDGE_LOOP('',(#262357,#262358,#262359,#262360)); #49113=EDGE_LOOP('',(#262361,#262362,#262363,#262364)); #49114=EDGE_LOOP('',(#262365,#262366,#262367,#262368)); #49115=EDGE_LOOP('',(#262369,#262370,#262371,#262372)); #49116=EDGE_LOOP('',(#262373,#262374,#262375,#262376)); #49117=EDGE_LOOP('',(#262377,#262378,#262379,#262380)); #49118=EDGE_LOOP('',(#262381,#262382,#262383,#262384)); #49119=EDGE_LOOP('',(#262385,#262386,#262387,#262388)); #49120=EDGE_LOOP('',(#262389,#262390,#262391,#262392)); #49121=EDGE_LOOP('',(#262393,#262394,#262395,#262396)); #49122=EDGE_LOOP('',(#262397,#262398,#262399,#262400)); #49123=EDGE_LOOP('',(#262401,#262402,#262403,#262404)); #49124=EDGE_LOOP('',(#262405,#262406,#262407,#262408)); #49125=EDGE_LOOP('',(#262409,#262410,#262411,#262412)); #49126=EDGE_LOOP('',(#262413,#262414,#262415,#262416)); #49127=EDGE_LOOP('',(#262417,#262418,#262419,#262420)); #49128=EDGE_LOOP('',(#262421,#262422,#262423,#262424)); #49129=EDGE_LOOP('',(#262425,#262426,#262427,#262428)); #49130=EDGE_LOOP('',(#262429,#262430,#262431,#262432)); #49131=EDGE_LOOP('',(#262433,#262434,#262435,#262436)); #49132=EDGE_LOOP('',(#262437,#262438,#262439,#262440)); #49133=EDGE_LOOP('',(#262441,#262442,#262443,#262444)); #49134=EDGE_LOOP('',(#262445,#262446,#262447,#262448)); #49135=EDGE_LOOP('',(#262449,#262450,#262451,#262452)); #49136=EDGE_LOOP('',(#262453,#262454,#262455,#262456)); #49137=EDGE_LOOP('',(#262457,#262458,#262459,#262460)); #49138=EDGE_LOOP('',(#262461,#262462,#262463,#262464)); #49139=EDGE_LOOP('',(#262465,#262466,#262467,#262468)); #49140=EDGE_LOOP('',(#262469,#262470,#262471,#262472)); #49141=EDGE_LOOP('',(#262473,#262474,#262475,#262476)); #49142=EDGE_LOOP('',(#262477,#262478,#262479,#262480,#262481,#262482,#262483, #262484,#262485,#262486,#262487,#262488,#262489,#262490,#262491,#262492, #262493,#262494,#262495,#262496,#262497,#262498,#262499,#262500,#262501, #262502,#262503,#262504,#262505,#262506,#262507,#262508,#262509,#262510, #262511,#262512,#262513,#262514,#262515,#262516,#262517,#262518,#262519, #262520,#262521,#262522,#262523,#262524,#262525,#262526,#262527,#262528, #262529,#262530,#262531,#262532,#262533,#262534,#262535,#262536,#262537, #262538,#262539,#262540,#262541,#262542,#262543,#262544,#262545,#262546, #262547,#262548,#262549,#262550)); #49143=EDGE_LOOP('',(#262551)); #49144=EDGE_LOOP('',(#262552)); #49145=EDGE_LOOP('',(#262553)); #49146=EDGE_LOOP('',(#262554)); #49147=EDGE_LOOP('',(#262555,#262556,#262557,#262558,#262559,#262560,#262561, #262562,#262563,#262564,#262565,#262566,#262567,#262568,#262569,#262570)); #49148=EDGE_LOOP('',(#262571,#262572,#262573,#262574,#262575,#262576,#262577, #262578,#262579,#262580,#262581,#262582,#262583,#262584,#262585,#262586, #262587,#262588,#262589,#262590,#262591,#262592,#262593,#262594,#262595, #262596,#262597,#262598,#262599,#262600,#262601,#262602,#262603,#262604, #262605,#262606,#262607,#262608,#262609,#262610,#262611,#262612,#262613, #262614,#262615,#262616,#262617,#262618,#262619,#262620,#262621,#262622, #262623,#262624,#262625,#262626,#262627,#262628,#262629,#262630,#262631, #262632,#262633,#262634,#262635,#262636,#262637,#262638,#262639,#262640, #262641,#262642,#262643,#262644)); #49149=EDGE_LOOP('',(#262645)); #49150=EDGE_LOOP('',(#262646)); #49151=EDGE_LOOP('',(#262647)); #49152=EDGE_LOOP('',(#262648)); #49153=EDGE_LOOP('',(#262649,#262650,#262651,#262652,#262653,#262654,#262655, #262656,#262657,#262658,#262659,#262660,#262661,#262662,#262663,#262664)); #49154=EDGE_LOOP('',(#262665,#262666,#262667,#262668)); #49155=EDGE_LOOP('',(#262669,#262670,#262671,#262672)); #49156=EDGE_LOOP('',(#262673,#262674,#262675,#262676)); #49157=EDGE_LOOP('',(#262677,#262678,#262679,#262680)); #49158=EDGE_LOOP('',(#262681,#262682,#262683,#262684)); #49159=EDGE_LOOP('',(#262685,#262686,#262687,#262688)); #49160=EDGE_LOOP('',(#262689,#262690,#262691,#262692)); #49161=EDGE_LOOP('',(#262693,#262694,#262695,#262696)); #49162=EDGE_LOOP('',(#262697,#262698,#262699,#262700)); #49163=EDGE_LOOP('',(#262701,#262702,#262703,#262704)); #49164=EDGE_LOOP('',(#262705,#262706,#262707,#262708)); #49165=EDGE_LOOP('',(#262709,#262710,#262711,#262712)); #49166=EDGE_LOOP('',(#262713,#262714,#262715,#262716)); #49167=EDGE_LOOP('',(#262717,#262718,#262719,#262720)); #49168=EDGE_LOOP('',(#262721,#262722,#262723,#262724)); #49169=EDGE_LOOP('',(#262725,#262726,#262727,#262728)); #49170=EDGE_LOOP('',(#262729,#262730,#262731,#262732)); #49171=EDGE_LOOP('',(#262733,#262734,#262735,#262736)); #49172=EDGE_LOOP('',(#262737,#262738,#262739,#262740)); #49173=EDGE_LOOP('',(#262741,#262742,#262743,#262744)); #49174=EDGE_LOOP('',(#262745,#262746,#262747,#262748)); #49175=EDGE_LOOP('',(#262749,#262750,#262751,#262752)); #49176=EDGE_LOOP('',(#262753,#262754,#262755,#262756)); #49177=EDGE_LOOP('',(#262757,#262758,#262759,#262760)); #49178=EDGE_LOOP('',(#262761,#262762,#262763,#262764)); #49179=EDGE_LOOP('',(#262765,#262766,#262767,#262768)); #49180=EDGE_LOOP('',(#262769,#262770,#262771,#262772)); #49181=EDGE_LOOP('',(#262773,#262774,#262775,#262776)); #49182=EDGE_LOOP('',(#262777,#262778,#262779,#262780)); #49183=EDGE_LOOP('',(#262781,#262782,#262783,#262784)); #49184=EDGE_LOOP('',(#262785,#262786,#262787,#262788)); #49185=EDGE_LOOP('',(#262789,#262790,#262791,#262792)); #49186=EDGE_LOOP('',(#262793,#262794,#262795,#262796)); #49187=EDGE_LOOP('',(#262797,#262798,#262799,#262800)); #49188=EDGE_LOOP('',(#262801,#262802,#262803,#262804)); #49189=EDGE_LOOP('',(#262805,#262806,#262807,#262808)); #49190=EDGE_LOOP('',(#262809,#262810,#262811,#262812)); #49191=EDGE_LOOP('',(#262813,#262814,#262815,#262816)); #49192=EDGE_LOOP('',(#262817,#262818,#262819,#262820)); #49193=EDGE_LOOP('',(#262821,#262822,#262823,#262824)); #49194=EDGE_LOOP('',(#262825,#262826,#262827,#262828)); #49195=EDGE_LOOP('',(#262829,#262830,#262831,#262832)); #49196=EDGE_LOOP('',(#262833,#262834,#262835,#262836)); #49197=EDGE_LOOP('',(#262837,#262838,#262839,#262840)); #49198=EDGE_LOOP('',(#262841,#262842,#262843,#262844)); #49199=EDGE_LOOP('',(#262845,#262846,#262847,#262848)); #49200=EDGE_LOOP('',(#262849,#262850,#262851,#262852)); #49201=EDGE_LOOP('',(#262853,#262854,#262855,#262856)); #49202=EDGE_LOOP('',(#262857,#262858,#262859,#262860)); #49203=EDGE_LOOP('',(#262861,#262862,#262863,#262864,#262865,#262866,#262867, #262868,#262869,#262870,#262871,#262872,#262873,#262874,#262875,#262876, #262877,#262878,#262879,#262880,#262881,#262882,#262883,#262884,#262885, #262886,#262887,#262888,#262889,#262890,#262891,#262892,#262893,#262894, #262895,#262896,#262897,#262898,#262899,#262900,#262901,#262902,#262903, #262904,#262905,#262906,#262907,#262908)); #49204=EDGE_LOOP('',(#262909)); #49205=EDGE_LOOP('',(#262910,#262911,#262912,#262913,#262914,#262915,#262916, #262917,#262918,#262919,#262920,#262921,#262922,#262923,#262924,#262925, #262926,#262927,#262928,#262929,#262930,#262931,#262932,#262933,#262934, #262935,#262936,#262937,#262938,#262939,#262940,#262941,#262942,#262943, #262944,#262945,#262946,#262947,#262948,#262949,#262950,#262951,#262952, #262953,#262954,#262955,#262956,#262957)); #49206=EDGE_LOOP('',(#262958)); #49207=EDGE_LOOP('',(#262959,#262960,#262961,#262962)); #49208=EDGE_LOOP('',(#262963,#262964,#262965,#262966)); #49209=EDGE_LOOP('',(#262967,#262968,#262969,#262970)); #49210=EDGE_LOOP('',(#262971,#262972,#262973,#262974)); #49211=EDGE_LOOP('',(#262975,#262976,#262977,#262978)); #49212=EDGE_LOOP('',(#262979,#262980,#262981,#262982)); #49213=EDGE_LOOP('',(#262983,#262984,#262985,#262986)); #49214=EDGE_LOOP('',(#262987,#262988,#262989,#262990)); #49215=EDGE_LOOP('',(#262991,#262992,#262993,#262994)); #49216=EDGE_LOOP('',(#262995,#262996,#262997,#262998)); #49217=EDGE_LOOP('',(#262999,#263000,#263001,#263002)); #49218=EDGE_LOOP('',(#263003,#263004,#263005,#263006)); #49219=EDGE_LOOP('',(#263007,#263008,#263009,#263010)); #49220=EDGE_LOOP('',(#263011,#263012,#263013,#263014)); #49221=EDGE_LOOP('',(#263015,#263016,#263017,#263018)); #49222=EDGE_LOOP('',(#263019,#263020,#263021,#263022)); #49223=EDGE_LOOP('',(#263023,#263024,#263025,#263026)); #49224=EDGE_LOOP('',(#263027,#263028,#263029,#263030)); #49225=EDGE_LOOP('',(#263031,#263032,#263033,#263034)); #49226=EDGE_LOOP('',(#263035,#263036,#263037,#263038)); #49227=EDGE_LOOP('',(#263039,#263040,#263041,#263042)); #49228=EDGE_LOOP('',(#263043,#263044,#263045,#263046)); #49229=EDGE_LOOP('',(#263047,#263048,#263049,#263050)); #49230=EDGE_LOOP('',(#263051,#263052,#263053,#263054)); #49231=EDGE_LOOP('',(#263055,#263056,#263057,#263058)); #49232=EDGE_LOOP('',(#263059,#263060,#263061,#263062)); #49233=EDGE_LOOP('',(#263063,#263064,#263065,#263066)); #49234=EDGE_LOOP('',(#263067,#263068,#263069,#263070)); #49235=EDGE_LOOP('',(#263071,#263072,#263073,#263074)); #49236=EDGE_LOOP('',(#263075,#263076,#263077,#263078)); #49237=EDGE_LOOP('',(#263079,#263080,#263081,#263082)); #49238=EDGE_LOOP('',(#263083,#263084,#263085,#263086)); #49239=EDGE_LOOP('',(#263087,#263088,#263089,#263090)); #49240=EDGE_LOOP('',(#263091,#263092,#263093,#263094)); #49241=EDGE_LOOP('',(#263095,#263096,#263097,#263098)); #49242=EDGE_LOOP('',(#263099,#263100,#263101,#263102)); #49243=EDGE_LOOP('',(#263103,#263104,#263105,#263106)); #49244=EDGE_LOOP('',(#263107,#263108,#263109,#263110)); #49245=EDGE_LOOP('',(#263111,#263112,#263113,#263114)); #49246=EDGE_LOOP('',(#263115,#263116,#263117,#263118)); #49247=EDGE_LOOP('',(#263119,#263120,#263121,#263122)); #49248=EDGE_LOOP('',(#263123,#263124,#263125,#263126)); #49249=EDGE_LOOP('',(#263127,#263128,#263129,#263130)); #49250=EDGE_LOOP('',(#263131,#263132,#263133,#263134)); #49251=EDGE_LOOP('',(#263135,#263136,#263137,#263138)); #49252=EDGE_LOOP('',(#263139,#263140,#263141,#263142)); #49253=EDGE_LOOP('',(#263143,#263144,#263145,#263146)); #49254=EDGE_LOOP('',(#263147,#263148,#263149,#263150)); #49255=EDGE_LOOP('',(#263151,#263152,#263153,#263154)); #49256=EDGE_LOOP('',(#263155,#263156,#263157,#263158)); #49257=EDGE_LOOP('',(#263159,#263160,#263161,#263162)); #49258=EDGE_LOOP('',(#263163,#263164,#263165,#263166)); #49259=EDGE_LOOP('',(#263167,#263168,#263169,#263170)); #49260=EDGE_LOOP('',(#263171,#263172,#263173,#263174)); #49261=EDGE_LOOP('',(#263175,#263176,#263177,#263178)); #49262=EDGE_LOOP('',(#263179,#263180,#263181,#263182)); #49263=EDGE_LOOP('',(#263183,#263184,#263185,#263186)); #49264=EDGE_LOOP('',(#263187,#263188,#263189,#263190)); #49265=EDGE_LOOP('',(#263191,#263192,#263193,#263194)); #49266=EDGE_LOOP('',(#263195,#263196,#263197,#263198)); #49267=EDGE_LOOP('',(#263199,#263200,#263201,#263202)); #49268=EDGE_LOOP('',(#263203,#263204,#263205,#263206)); #49269=EDGE_LOOP('',(#263207,#263208,#263209,#263210)); #49270=EDGE_LOOP('',(#263211,#263212,#263213,#263214)); #49271=EDGE_LOOP('',(#263215,#263216,#263217,#263218)); #49272=EDGE_LOOP('',(#263219,#263220,#263221,#263222)); #49273=EDGE_LOOP('',(#263223,#263224,#263225,#263226)); #49274=EDGE_LOOP('',(#263227,#263228,#263229,#263230)); #49275=EDGE_LOOP('',(#263231,#263232,#263233,#263234)); #49276=EDGE_LOOP('',(#263235,#263236,#263237,#263238)); #49277=EDGE_LOOP('',(#263239,#263240,#263241,#263242)); #49278=EDGE_LOOP('',(#263243,#263244,#263245,#263246)); #49279=EDGE_LOOP('',(#263247,#263248,#263249,#263250)); #49280=EDGE_LOOP('',(#263251,#263252,#263253,#263254)); #49281=EDGE_LOOP('',(#263255,#263256,#263257,#263258)); #49282=EDGE_LOOP('',(#263259,#263260,#263261,#263262)); #49283=EDGE_LOOP('',(#263263,#263264,#263265,#263266)); #49284=EDGE_LOOP('',(#263267,#263268,#263269,#263270)); #49285=EDGE_LOOP('',(#263271,#263272,#263273,#263274)); #49286=EDGE_LOOP('',(#263275,#263276,#263277,#263278)); #49287=EDGE_LOOP('',(#263279,#263280,#263281,#263282)); #49288=EDGE_LOOP('',(#263283,#263284,#263285,#263286)); #49289=EDGE_LOOP('',(#263287,#263288,#263289,#263290)); #49290=EDGE_LOOP('',(#263291,#263292,#263293,#263294)); #49291=EDGE_LOOP('',(#263295,#263296,#263297,#263298)); #49292=EDGE_LOOP('',(#263299,#263300,#263301,#263302)); #49293=EDGE_LOOP('',(#263303,#263304,#263305,#263306)); #49294=EDGE_LOOP('',(#263307,#263308,#263309,#263310)); #49295=EDGE_LOOP('',(#263311,#263312,#263313,#263314)); #49296=EDGE_LOOP('',(#263315,#263316,#263317,#263318)); #49297=EDGE_LOOP('',(#263319,#263320,#263321,#263322)); #49298=EDGE_LOOP('',(#263323,#263324,#263325,#263326)); #49299=EDGE_LOOP('',(#263327,#263328,#263329,#263330)); #49300=EDGE_LOOP('',(#263331,#263332,#263333,#263334)); #49301=EDGE_LOOP('',(#263335,#263336,#263337,#263338)); #49302=EDGE_LOOP('',(#263339,#263340,#263341,#263342)); #49303=EDGE_LOOP('',(#263343,#263344,#263345,#263346)); #49304=EDGE_LOOP('',(#263347,#263348,#263349,#263350)); #49305=EDGE_LOOP('',(#263351,#263352,#263353,#263354)); #49306=EDGE_LOOP('',(#263355,#263356,#263357,#263358)); #49307=EDGE_LOOP('',(#263359,#263360,#263361,#263362)); #49308=EDGE_LOOP('',(#263363,#263364,#263365,#263366)); #49309=EDGE_LOOP('',(#263367,#263368,#263369,#263370)); #49310=EDGE_LOOP('',(#263371,#263372,#263373,#263374)); #49311=EDGE_LOOP('',(#263375,#263376,#263377,#263378)); #49312=EDGE_LOOP('',(#263379,#263380,#263381,#263382)); #49313=EDGE_LOOP('',(#263383,#263384,#263385,#263386)); #49314=EDGE_LOOP('',(#263387,#263388,#263389,#263390)); #49315=EDGE_LOOP('',(#263391,#263392,#263393,#263394)); #49316=EDGE_LOOP('',(#263395,#263396,#263397,#263398,#263399,#263400,#263401, #263402,#263403,#263404,#263405,#263406,#263407,#263408,#263409,#263410, #263411,#263412,#263413,#263414,#263415,#263416,#263417,#263418,#263419, #263420,#263421,#263422,#263423,#263424,#263425,#263426,#263427,#263428, #263429,#263430,#263431,#263432,#263433,#263434,#263435,#263436,#263437, #263438,#263439,#263440,#263441,#263442,#263443,#263444,#263445,#263446, #263447,#263448,#263449,#263450,#263451,#263452,#263453,#263454,#263455, #263456,#263457,#263458,#263459,#263460,#263461,#263462,#263463,#263464, #263465,#263466,#263467,#263468,#263469,#263470,#263471,#263472,#263473, #263474,#263475,#263476,#263477,#263478,#263479,#263480,#263481,#263482, #263483,#263484,#263485,#263486,#263487,#263488,#263489,#263490,#263491)); #49317=EDGE_LOOP('',(#263492)); #49318=EDGE_LOOP('',(#263493)); #49319=EDGE_LOOP('',(#263494)); #49320=EDGE_LOOP('',(#263495)); #49321=EDGE_LOOP('',(#263496)); #49322=EDGE_LOOP('',(#263497)); #49323=EDGE_LOOP('',(#263498)); #49324=EDGE_LOOP('',(#263499)); #49325=EDGE_LOOP('',(#263500)); #49326=EDGE_LOOP('',(#263501)); #49327=EDGE_LOOP('',(#263502)); #49328=EDGE_LOOP('',(#263503)); #49329=EDGE_LOOP('',(#263504,#263505,#263506,#263507,#263508,#263509,#263510, #263511,#263512,#263513,#263514,#263515,#263516,#263517,#263518,#263519, #263520,#263521,#263522,#263523,#263524,#263525,#263526,#263527,#263528, #263529,#263530,#263531,#263532,#263533,#263534,#263535,#263536,#263537, #263538,#263539,#263540,#263541,#263542,#263543,#263544,#263545,#263546, #263547,#263548,#263549,#263550,#263551,#263552,#263553,#263554,#263555, #263556,#263557,#263558,#263559,#263560,#263561,#263562,#263563,#263564, #263565,#263566,#263567,#263568,#263569,#263570,#263571,#263572,#263573, #263574,#263575,#263576,#263577,#263578,#263579,#263580,#263581,#263582, #263583,#263584,#263585,#263586,#263587,#263588,#263589,#263590,#263591, #263592,#263593,#263594,#263595,#263596,#263597,#263598,#263599,#263600)); #49330=EDGE_LOOP('',(#263601)); #49331=EDGE_LOOP('',(#263602)); #49332=EDGE_LOOP('',(#263603)); #49333=EDGE_LOOP('',(#263604)); #49334=EDGE_LOOP('',(#263605)); #49335=EDGE_LOOP('',(#263606)); #49336=EDGE_LOOP('',(#263607)); #49337=EDGE_LOOP('',(#263608)); #49338=EDGE_LOOP('',(#263609)); #49339=EDGE_LOOP('',(#263610)); #49340=EDGE_LOOP('',(#263611)); #49341=EDGE_LOOP('',(#263612)); #49342=EDGE_LOOP('',(#263613,#263614,#263615,#263616)); #49343=EDGE_LOOP('',(#263617,#263618,#263619,#263620)); #49344=EDGE_LOOP('',(#263621,#263622,#263623,#263624)); #49345=EDGE_LOOP('',(#263625,#263626,#263627,#263628)); #49346=EDGE_LOOP('',(#263629,#263630,#263631,#263632)); #49347=EDGE_LOOP('',(#263633,#263634,#263635,#263636)); #49348=EDGE_LOOP('',(#263637,#263638,#263639,#263640)); #49349=EDGE_LOOP('',(#263641,#263642,#263643,#263644)); #49350=EDGE_LOOP('',(#263645,#263646,#263647,#263648)); #49351=EDGE_LOOP('',(#263649,#263650,#263651,#263652)); #49352=EDGE_LOOP('',(#263653,#263654,#263655,#263656)); #49353=EDGE_LOOP('',(#263657,#263658,#263659,#263660)); #49354=EDGE_LOOP('',(#263661,#263662,#263663,#263664)); #49355=EDGE_LOOP('',(#263665,#263666,#263667,#263668)); #49356=EDGE_LOOP('',(#263669,#263670,#263671,#263672)); #49357=EDGE_LOOP('',(#263673,#263674,#263675,#263676)); #49358=EDGE_LOOP('',(#263677,#263678,#263679,#263680)); #49359=EDGE_LOOP('',(#263681,#263682,#263683,#263684)); #49360=EDGE_LOOP('',(#263685,#263686,#263687,#263688)); #49361=EDGE_LOOP('',(#263689,#263690,#263691,#263692)); #49362=EDGE_LOOP('',(#263693,#263694,#263695,#263696)); #49363=EDGE_LOOP('',(#263697,#263698,#263699,#263700,#263701,#263702,#263703, #263704,#263705,#263706,#263707,#263708,#263709,#263710,#263711,#263712, #263713,#263714,#263715,#263716,#263717)); #49364=EDGE_LOOP('',(#263718,#263719,#263720,#263721,#263722,#263723,#263724, #263725,#263726,#263727,#263728,#263729,#263730,#263731,#263732,#263733, #263734,#263735,#263736,#263737,#263738)); #49365=EDGE_LOOP('',(#263739,#263740,#263741,#263742)); #49366=EDGE_LOOP('',(#263743,#263744,#263745,#263746)); #49367=EDGE_LOOP('',(#263747,#263748,#263749,#263750)); #49368=EDGE_LOOP('',(#263751,#263752,#263753,#263754)); #49369=EDGE_LOOP('',(#263755,#263756,#263757,#263758)); #49370=EDGE_LOOP('',(#263759,#263760,#263761,#263762)); #49371=EDGE_LOOP('',(#263763,#263764,#263765,#263766)); #49372=EDGE_LOOP('',(#263767,#263768,#263769,#263770)); #49373=EDGE_LOOP('',(#263771,#263772,#263773,#263774)); #49374=EDGE_LOOP('',(#263775,#263776,#263777,#263778)); #49375=EDGE_LOOP('',(#263779,#263780,#263781,#263782)); #49376=EDGE_LOOP('',(#263783,#263784,#263785,#263786)); #49377=EDGE_LOOP('',(#263787,#263788,#263789,#263790)); #49378=EDGE_LOOP('',(#263791,#263792,#263793,#263794)); #49379=EDGE_LOOP('',(#263795,#263796,#263797,#263798)); #49380=EDGE_LOOP('',(#263799,#263800,#263801,#263802)); #49381=EDGE_LOOP('',(#263803,#263804,#263805,#263806)); #49382=EDGE_LOOP('',(#263807,#263808,#263809,#263810)); #49383=EDGE_LOOP('',(#263811,#263812,#263813,#263814)); #49384=EDGE_LOOP('',(#263815,#263816,#263817,#263818)); #49385=EDGE_LOOP('',(#263819,#263820,#263821,#263822,#263823,#263824,#263825, #263826,#263827,#263828,#263829,#263830,#263831,#263832,#263833,#263834, #263835,#263836,#263837,#263838)); #49386=EDGE_LOOP('',(#263839,#263840,#263841,#263842,#263843,#263844,#263845, #263846,#263847,#263848,#263849,#263850,#263851,#263852,#263853,#263854, #263855,#263856,#263857,#263858)); #49387=EDGE_LOOP('',(#263859,#263860,#263861,#263862)); #49388=EDGE_LOOP('',(#263863,#263864,#263865,#263866)); #49389=EDGE_LOOP('',(#263867,#263868,#263869,#263870)); #49390=EDGE_LOOP('',(#263871,#263872,#263873,#263874)); #49391=EDGE_LOOP('',(#263875,#263876,#263877,#263878)); #49392=EDGE_LOOP('',(#263879,#263880,#263881,#263882)); #49393=EDGE_LOOP('',(#263883,#263884,#263885,#263886)); #49394=EDGE_LOOP('',(#263887,#263888,#263889,#263890)); #49395=EDGE_LOOP('',(#263891,#263892,#263893,#263894)); #49396=EDGE_LOOP('',(#263895,#263896,#263897,#263898)); #49397=EDGE_LOOP('',(#263899,#263900,#263901,#263902)); #49398=EDGE_LOOP('',(#263903,#263904,#263905,#263906)); #49399=EDGE_LOOP('',(#263907,#263908,#263909,#263910)); #49400=EDGE_LOOP('',(#263911,#263912,#263913,#263914)); #49401=EDGE_LOOP('',(#263915,#263916,#263917,#263918)); #49402=EDGE_LOOP('',(#263919,#263920,#263921,#263922)); #49403=EDGE_LOOP('',(#263923,#263924,#263925,#263926)); #49404=EDGE_LOOP('',(#263927,#263928,#263929,#263930)); #49405=EDGE_LOOP('',(#263931,#263932,#263933,#263934)); #49406=EDGE_LOOP('',(#263935,#263936,#263937,#263938)); #49407=EDGE_LOOP('',(#263939,#263940,#263941,#263942)); #49408=EDGE_LOOP('',(#263943,#263944,#263945,#263946)); #49409=EDGE_LOOP('',(#263947,#263948,#263949,#263950)); #49410=EDGE_LOOP('',(#263951,#263952,#263953,#263954)); #49411=EDGE_LOOP('',(#263955,#263956,#263957,#263958,#263959,#263960,#263961, #263962,#263963,#263964,#263965,#263966,#263967,#263968,#263969,#263970, #263971,#263972,#263973,#263974,#263975,#263976,#263977,#263978)); #49412=EDGE_LOOP('',(#263979,#263980,#263981,#263982,#263983,#263984,#263985, #263986,#263987,#263988,#263989,#263990,#263991,#263992,#263993,#263994, #263995,#263996,#263997,#263998,#263999,#264000,#264001,#264002)); #49413=EDGE_LOOP('',(#264003,#264004,#264005,#264006)); #49414=EDGE_LOOP('',(#264007,#264008,#264009,#264010)); #49415=EDGE_LOOP('',(#264011,#264012,#264013,#264014)); #49416=EDGE_LOOP('',(#264015,#264016,#264017,#264018)); #49417=EDGE_LOOP('',(#264019,#264020,#264021,#264022)); #49418=EDGE_LOOP('',(#264023,#264024,#264025,#264026)); #49419=EDGE_LOOP('',(#264027,#264028,#264029,#264030)); #49420=EDGE_LOOP('',(#264031,#264032,#264033,#264034)); #49421=EDGE_LOOP('',(#264035,#264036,#264037,#264038)); #49422=EDGE_LOOP('',(#264039,#264040,#264041,#264042)); #49423=EDGE_LOOP('',(#264043,#264044,#264045,#264046)); #49424=EDGE_LOOP('',(#264047,#264048,#264049,#264050)); #49425=EDGE_LOOP('',(#264051,#264052,#264053,#264054)); #49426=EDGE_LOOP('',(#264055,#264056,#264057,#264058)); #49427=EDGE_LOOP('',(#264059,#264060,#264061,#264062)); #49428=EDGE_LOOP('',(#264063,#264064,#264065,#264066)); #49429=EDGE_LOOP('',(#264067,#264068,#264069,#264070)); #49430=EDGE_LOOP('',(#264071,#264072,#264073,#264074)); #49431=EDGE_LOOP('',(#264075,#264076,#264077,#264078)); #49432=EDGE_LOOP('',(#264079,#264080,#264081,#264082)); #49433=EDGE_LOOP('',(#264083,#264084,#264085,#264086)); #49434=EDGE_LOOP('',(#264087,#264088,#264089,#264090,#264091,#264092,#264093, #264094,#264095,#264096,#264097,#264098,#264099,#264100,#264101,#264102, #264103,#264104,#264105,#264106,#264107)); #49435=EDGE_LOOP('',(#264108,#264109,#264110,#264111,#264112,#264113,#264114, #264115,#264116,#264117,#264118,#264119,#264120,#264121,#264122,#264123, #264124,#264125,#264126,#264127,#264128)); #49436=EDGE_LOOP('',(#264129,#264130,#264131,#264132)); #49437=EDGE_LOOP('',(#264133,#264134,#264135,#264136)); #49438=EDGE_LOOP('',(#264137,#264138,#264139,#264140)); #49439=EDGE_LOOP('',(#264141,#264142,#264143,#264144)); #49440=EDGE_LOOP('',(#264145,#264146,#264147,#264148)); #49441=EDGE_LOOP('',(#264149,#264150,#264151,#264152)); #49442=EDGE_LOOP('',(#264153,#264154,#264155,#264156)); #49443=EDGE_LOOP('',(#264157,#264158,#264159,#264160)); #49444=EDGE_LOOP('',(#264161,#264162,#264163,#264164)); #49445=EDGE_LOOP('',(#264165,#264166,#264167,#264168)); #49446=EDGE_LOOP('',(#264169,#264170,#264171,#264172)); #49447=EDGE_LOOP('',(#264173,#264174,#264175,#264176)); #49448=EDGE_LOOP('',(#264177,#264178,#264179,#264180)); #49449=EDGE_LOOP('',(#264181,#264182,#264183,#264184)); #49450=EDGE_LOOP('',(#264185,#264186,#264187,#264188)); #49451=EDGE_LOOP('',(#264189,#264190,#264191,#264192)); #49452=EDGE_LOOP('',(#264193,#264194,#264195,#264196)); #49453=EDGE_LOOP('',(#264197,#264198,#264199,#264200)); #49454=EDGE_LOOP('',(#264201,#264202,#264203,#264204)); #49455=EDGE_LOOP('',(#264205,#264206,#264207,#264208)); #49456=EDGE_LOOP('',(#264209,#264210,#264211,#264212)); #49457=EDGE_LOOP('',(#264213,#264214,#264215,#264216)); #49458=EDGE_LOOP('',(#264217,#264218,#264219,#264220)); #49459=EDGE_LOOP('',(#264221,#264222,#264223,#264224)); #49460=EDGE_LOOP('',(#264225,#264226,#264227,#264228)); #49461=EDGE_LOOP('',(#264229,#264230,#264231,#264232)); #49462=EDGE_LOOP('',(#264233,#264234,#264235,#264236)); #49463=EDGE_LOOP('',(#264237,#264238,#264239,#264240)); #49464=EDGE_LOOP('',(#264241,#264242,#264243,#264244)); #49465=EDGE_LOOP('',(#264245,#264246,#264247,#264248)); #49466=EDGE_LOOP('',(#264249,#264250,#264251,#264252)); #49467=EDGE_LOOP('',(#264253,#264254,#264255,#264256)); #49468=EDGE_LOOP('',(#264257,#264258,#264259,#264260)); #49469=EDGE_LOOP('',(#264261,#264262,#264263,#264264)); #49470=EDGE_LOOP('',(#264265,#264266,#264267,#264268)); #49471=EDGE_LOOP('',(#264269,#264270,#264271,#264272,#264273,#264274,#264275, #264276,#264277,#264278,#264279,#264280,#264281,#264282,#264283,#264284, #264285,#264286,#264287,#264288,#264289,#264290,#264291,#264292,#264293, #264294,#264295,#264296,#264297,#264298,#264299,#264300,#264301,#264302, #264303)); #49472=EDGE_LOOP('',(#264304,#264305,#264306,#264307,#264308,#264309,#264310, #264311,#264312,#264313,#264314,#264315,#264316,#264317,#264318,#264319, #264320,#264321,#264322,#264323,#264324,#264325,#264326,#264327,#264328, #264329,#264330,#264331,#264332,#264333,#264334,#264335,#264336,#264337, #264338)); #49473=EDGE_LOOP('',(#264339,#264340,#264341,#264342)); #49474=EDGE_LOOP('',(#264343,#264344,#264345,#264346)); #49475=EDGE_LOOP('',(#264347,#264348,#264349,#264350)); #49476=EDGE_LOOP('',(#264351,#264352,#264353,#264354)); #49477=EDGE_LOOP('',(#264355,#264356,#264357,#264358)); #49478=EDGE_LOOP('',(#264359,#264360,#264361,#264362)); #49479=EDGE_LOOP('',(#264363,#264364,#264365,#264366)); #49480=EDGE_LOOP('',(#264367,#264368,#264369,#264370)); #49481=EDGE_LOOP('',(#264371,#264372,#264373,#264374)); #49482=EDGE_LOOP('',(#264375,#264376,#264377,#264378)); #49483=EDGE_LOOP('',(#264379,#264380,#264381,#264382)); #49484=EDGE_LOOP('',(#264383,#264384,#264385,#264386)); #49485=EDGE_LOOP('',(#264387,#264388,#264389,#264390)); #49486=EDGE_LOOP('',(#264391,#264392,#264393,#264394)); #49487=EDGE_LOOP('',(#264395,#264396,#264397,#264398)); #49488=EDGE_LOOP('',(#264399,#264400,#264401,#264402)); #49489=EDGE_LOOP('',(#264403,#264404,#264405,#264406)); #49490=EDGE_LOOP('',(#264407,#264408,#264409,#264410)); #49491=EDGE_LOOP('',(#264411,#264412,#264413,#264414)); #49492=EDGE_LOOP('',(#264415,#264416,#264417,#264418)); #49493=EDGE_LOOP('',(#264419,#264420,#264421,#264422)); #49494=EDGE_LOOP('',(#264423,#264424,#264425,#264426,#264427,#264428,#264429, #264430,#264431,#264432,#264433,#264434,#264435,#264436,#264437,#264438, #264439,#264440,#264441,#264442,#264443)); #49495=EDGE_LOOP('',(#264444,#264445,#264446,#264447,#264448,#264449,#264450, #264451,#264452,#264453,#264454,#264455,#264456,#264457,#264458,#264459, #264460,#264461,#264462,#264463,#264464)); #49496=EDGE_LOOP('',(#264465,#264466,#264467,#264468)); #49497=EDGE_LOOP('',(#264469,#264470,#264471,#264472)); #49498=EDGE_LOOP('',(#264473,#264474,#264475,#264476)); #49499=EDGE_LOOP('',(#264477,#264478,#264479,#264480)); #49500=EDGE_LOOP('',(#264481,#264482,#264483,#264484)); #49501=EDGE_LOOP('',(#264485,#264486,#264487,#264488)); #49502=EDGE_LOOP('',(#264489,#264490,#264491,#264492)); #49503=EDGE_LOOP('',(#264493,#264494,#264495,#264496)); #49504=EDGE_LOOP('',(#264497,#264498,#264499,#264500)); #49505=EDGE_LOOP('',(#264501,#264502,#264503,#264504)); #49506=EDGE_LOOP('',(#264505,#264506,#264507,#264508)); #49507=EDGE_LOOP('',(#264509,#264510,#264511,#264512)); #49508=EDGE_LOOP('',(#264513,#264514,#264515,#264516)); #49509=EDGE_LOOP('',(#264517,#264518,#264519,#264520)); #49510=EDGE_LOOP('',(#264521,#264522,#264523,#264524)); #49511=EDGE_LOOP('',(#264525,#264526,#264527,#264528)); #49512=EDGE_LOOP('',(#264529,#264530,#264531,#264532)); #49513=EDGE_LOOP('',(#264533,#264534,#264535,#264536)); #49514=EDGE_LOOP('',(#264537,#264538,#264539,#264540)); #49515=EDGE_LOOP('',(#264541,#264542,#264543,#264544)); #49516=EDGE_LOOP('',(#264545,#264546,#264547,#264548)); #49517=EDGE_LOOP('',(#264549,#264550,#264551,#264552)); #49518=EDGE_LOOP('',(#264553,#264554,#264555,#264556)); #49519=EDGE_LOOP('',(#264557,#264558,#264559,#264560)); #49520=EDGE_LOOP('',(#264561,#264562,#264563,#264564)); #49521=EDGE_LOOP('',(#264565,#264566,#264567,#264568)); #49522=EDGE_LOOP('',(#264569,#264570,#264571,#264572)); #49523=EDGE_LOOP('',(#264573,#264574,#264575,#264576)); #49524=EDGE_LOOP('',(#264577,#264578,#264579,#264580)); #49525=EDGE_LOOP('',(#264581,#264582,#264583,#264584)); #49526=EDGE_LOOP('',(#264585,#264586,#264587,#264588)); #49527=EDGE_LOOP('',(#264589,#264590,#264591,#264592,#264593,#264594,#264595, #264596,#264597,#264598,#264599,#264600,#264601,#264602,#264603,#264604, #264605,#264606,#264607,#264608,#264609,#264610,#264611,#264612,#264613, #264614,#264615,#264616,#264617,#264618,#264619)); #49528=EDGE_LOOP('',(#264620,#264621,#264622,#264623,#264624,#264625,#264626, #264627,#264628,#264629,#264630,#264631,#264632,#264633,#264634,#264635, #264636,#264637,#264638,#264639,#264640,#264641,#264642,#264643,#264644, #264645,#264646,#264647,#264648,#264649,#264650)); #49529=EDGE_LOOP('',(#264651,#264652,#264653,#264654)); #49530=EDGE_LOOP('',(#264655,#264656,#264657,#264658)); #49531=EDGE_LOOP('',(#264659,#264660,#264661,#264662)); #49532=EDGE_LOOP('',(#264663,#264664,#264665,#264666)); #49533=EDGE_LOOP('',(#264667,#264668,#264669,#264670)); #49534=EDGE_LOOP('',(#264671,#264672,#264673,#264674)); #49535=EDGE_LOOP('',(#264675,#264676,#264677,#264678)); #49536=EDGE_LOOP('',(#264679,#264680,#264681,#264682)); #49537=EDGE_LOOP('',(#264683,#264684,#264685,#264686)); #49538=EDGE_LOOP('',(#264687,#264688,#264689,#264690)); #49539=EDGE_LOOP('',(#264691,#264692,#264693,#264694)); #49540=EDGE_LOOP('',(#264695,#264696,#264697,#264698)); #49541=EDGE_LOOP('',(#264699,#264700,#264701,#264702)); #49542=EDGE_LOOP('',(#264703,#264704,#264705,#264706)); #49543=EDGE_LOOP('',(#264707,#264708,#264709,#264710)); #49544=EDGE_LOOP('',(#264711,#264712,#264713,#264714)); #49545=EDGE_LOOP('',(#264715,#264716,#264717,#264718)); #49546=EDGE_LOOP('',(#264719,#264720,#264721,#264722)); #49547=EDGE_LOOP('',(#264723,#264724,#264725,#264726)); #49548=EDGE_LOOP('',(#264727,#264728,#264729,#264730)); #49549=EDGE_LOOP('',(#264731,#264732,#264733,#264734)); #49550=EDGE_LOOP('',(#264735,#264736,#264737,#264738)); #49551=EDGE_LOOP('',(#264739,#264740,#264741,#264742,#264743,#264744,#264745, #264746,#264747,#264748,#264749,#264750,#264751,#264752,#264753,#264754, #264755,#264756,#264757,#264758,#264759,#264760)); #49552=EDGE_LOOP('',(#264761,#264762,#264763,#264764,#264765,#264766,#264767, #264768,#264769,#264770,#264771,#264772,#264773,#264774,#264775,#264776, #264777,#264778,#264779,#264780,#264781,#264782)); #49553=EDGE_LOOP('',(#264783,#264784,#264785,#264786)); #49554=EDGE_LOOP('',(#264787,#264788,#264789,#264790)); #49555=EDGE_LOOP('',(#264791,#264792,#264793,#264794)); #49556=EDGE_LOOP('',(#264795,#264796,#264797,#264798)); #49557=EDGE_LOOP('',(#264799,#264800,#264801,#264802)); #49558=EDGE_LOOP('',(#264803,#264804,#264805,#264806)); #49559=EDGE_LOOP('',(#264807,#264808,#264809,#264810)); #49560=EDGE_LOOP('',(#264811,#264812,#264813,#264814)); #49561=EDGE_LOOP('',(#264815,#264816,#264817,#264818)); #49562=EDGE_LOOP('',(#264819,#264820,#264821,#264822)); #49563=EDGE_LOOP('',(#264823,#264824,#264825,#264826)); #49564=EDGE_LOOP('',(#264827,#264828,#264829,#264830)); #49565=EDGE_LOOP('',(#264831,#264832,#264833,#264834)); #49566=EDGE_LOOP('',(#264835,#264836,#264837,#264838)); #49567=EDGE_LOOP('',(#264839,#264840,#264841,#264842)); #49568=EDGE_LOOP('',(#264843,#264844,#264845,#264846)); #49569=EDGE_LOOP('',(#264847,#264848,#264849,#264850)); #49570=EDGE_LOOP('',(#264851,#264852,#264853,#264854)); #49571=EDGE_LOOP('',(#264855,#264856,#264857,#264858)); #49572=EDGE_LOOP('',(#264859,#264860,#264861,#264862)); #49573=EDGE_LOOP('',(#264863,#264864,#264865,#264866,#264867,#264868,#264869, #264870,#264871,#264872,#264873,#264874,#264875,#264876,#264877,#264878, #264879,#264880,#264881,#264882)); #49574=EDGE_LOOP('',(#264883,#264884,#264885,#264886,#264887,#264888,#264889, #264890,#264891,#264892,#264893,#264894,#264895,#264896,#264897,#264898, #264899,#264900,#264901,#264902)); #49575=EDGE_LOOP('',(#264903,#264904,#264905,#264906)); #49576=EDGE_LOOP('',(#264907,#264908,#264909,#264910)); #49577=EDGE_LOOP('',(#264911,#264912,#264913,#264914)); #49578=EDGE_LOOP('',(#264915,#264916,#264917,#264918)); #49579=EDGE_LOOP('',(#264919,#264920,#264921,#264922)); #49580=EDGE_LOOP('',(#264923,#264924,#264925,#264926)); #49581=EDGE_LOOP('',(#264927,#264928,#264929,#264930)); #49582=EDGE_LOOP('',(#264931,#264932,#264933,#264934)); #49583=EDGE_LOOP('',(#264935,#264936,#264937,#264938)); #49584=EDGE_LOOP('',(#264939,#264940,#264941,#264942)); #49585=EDGE_LOOP('',(#264943,#264944,#264945,#264946)); #49586=EDGE_LOOP('',(#264947,#264948,#264949,#264950)); #49587=EDGE_LOOP('',(#264951,#264952,#264953,#264954)); #49588=EDGE_LOOP('',(#264955,#264956,#264957,#264958)); #49589=EDGE_LOOP('',(#264959,#264960,#264961,#264962)); #49590=EDGE_LOOP('',(#264963,#264964,#264965,#264966)); #49591=EDGE_LOOP('',(#264967,#264968,#264969,#264970)); #49592=EDGE_LOOP('',(#264971,#264972,#264973,#264974)); #49593=EDGE_LOOP('',(#264975,#264976,#264977,#264978)); #49594=EDGE_LOOP('',(#264979,#264980,#264981,#264982)); #49595=EDGE_LOOP('',(#264983,#264984,#264985,#264986)); #49596=EDGE_LOOP('',(#264987,#264988,#264989,#264990)); #49597=EDGE_LOOP('',(#264991,#264992,#264993,#264994)); #49598=EDGE_LOOP('',(#264995,#264996,#264997,#264998)); #49599=EDGE_LOOP('',(#264999,#265000,#265001,#265002)); #49600=EDGE_LOOP('',(#265003,#265004,#265005,#265006)); #49601=EDGE_LOOP('',(#265007,#265008,#265009,#265010)); #49602=EDGE_LOOP('',(#265011,#265012,#265013,#265014)); #49603=EDGE_LOOP('',(#265015,#265016,#265017,#265018)); #49604=EDGE_LOOP('',(#265019,#265020,#265021,#265022)); #49605=EDGE_LOOP('',(#265023,#265024,#265025,#265026)); #49606=EDGE_LOOP('',(#265027,#265028,#265029,#265030)); #49607=EDGE_LOOP('',(#265031,#265032,#265033,#265034)); #49608=EDGE_LOOP('',(#265035,#265036,#265037,#265038)); #49609=EDGE_LOOP('',(#265039,#265040,#265041,#265042)); #49610=EDGE_LOOP('',(#265043,#265044,#265045,#265046)); #49611=EDGE_LOOP('',(#265047,#265048,#265049,#265050)); #49612=EDGE_LOOP('',(#265051,#265052,#265053,#265054)); #49613=EDGE_LOOP('',(#265055,#265056,#265057,#265058)); #49614=EDGE_LOOP('',(#265059,#265060,#265061,#265062)); #49615=EDGE_LOOP('',(#265063,#265064,#265065,#265066)); #49616=EDGE_LOOP('',(#265067,#265068,#265069,#265070)); #49617=EDGE_LOOP('',(#265071,#265072,#265073,#265074)); #49618=EDGE_LOOP('',(#265075,#265076,#265077,#265078)); #49619=EDGE_LOOP('',(#265079,#265080,#265081,#265082)); #49620=EDGE_LOOP('',(#265083,#265084,#265085,#265086)); #49621=EDGE_LOOP('',(#265087,#265088,#265089,#265090)); #49622=EDGE_LOOP('',(#265091,#265092,#265093,#265094)); #49623=EDGE_LOOP('',(#265095,#265096,#265097,#265098)); #49624=EDGE_LOOP('',(#265099,#265100,#265101,#265102)); #49625=EDGE_LOOP('',(#265103,#265104,#265105,#265106)); #49626=EDGE_LOOP('',(#265107,#265108,#265109,#265110)); #49627=EDGE_LOOP('',(#265111,#265112,#265113,#265114)); #49628=EDGE_LOOP('',(#265115,#265116,#265117,#265118)); #49629=EDGE_LOOP('',(#265119,#265120,#265121,#265122)); #49630=EDGE_LOOP('',(#265123,#265124,#265125,#265126)); #49631=EDGE_LOOP('',(#265127,#265128,#265129,#265130)); #49632=EDGE_LOOP('',(#265131,#265132,#265133,#265134)); #49633=EDGE_LOOP('',(#265135,#265136,#265137,#265138)); #49634=EDGE_LOOP('',(#265139,#265140,#265141,#265142)); #49635=EDGE_LOOP('',(#265143,#265144,#265145,#265146)); #49636=EDGE_LOOP('',(#265147,#265148,#265149,#265150)); #49637=EDGE_LOOP('',(#265151,#265152,#265153,#265154)); #49638=EDGE_LOOP('',(#265155,#265156,#265157,#265158)); #49639=EDGE_LOOP('',(#265159,#265160,#265161,#265162)); #49640=EDGE_LOOP('',(#265163,#265164,#265165,#265166)); #49641=EDGE_LOOP('',(#265167,#265168,#265169,#265170)); #49642=EDGE_LOOP('',(#265171,#265172,#265173,#265174)); #49643=EDGE_LOOP('',(#265175,#265176,#265177,#265178)); #49644=EDGE_LOOP('',(#265179,#265180,#265181,#265182)); #49645=EDGE_LOOP('',(#265183,#265184,#265185,#265186)); #49646=EDGE_LOOP('',(#265187,#265188,#265189,#265190)); #49647=EDGE_LOOP('',(#265191,#265192,#265193,#265194)); #49648=EDGE_LOOP('',(#265195,#265196,#265197,#265198)); #49649=EDGE_LOOP('',(#265199,#265200,#265201,#265202)); #49650=EDGE_LOOP('',(#265203,#265204,#265205,#265206)); #49651=EDGE_LOOP('',(#265207,#265208,#265209,#265210)); #49652=EDGE_LOOP('',(#265211,#265212,#265213,#265214)); #49653=EDGE_LOOP('',(#265215,#265216,#265217,#265218)); #49654=EDGE_LOOP('',(#265219,#265220,#265221,#265222)); #49655=EDGE_LOOP('',(#265223,#265224,#265225,#265226)); #49656=EDGE_LOOP('',(#265227,#265228,#265229,#265230)); #49657=EDGE_LOOP('',(#265231,#265232,#265233,#265234)); #49658=EDGE_LOOP('',(#265235,#265236,#265237,#265238)); #49659=EDGE_LOOP('',(#265239,#265240,#265241,#265242)); #49660=EDGE_LOOP('',(#265243,#265244,#265245,#265246)); #49661=EDGE_LOOP('',(#265247,#265248,#265249,#265250)); #49662=EDGE_LOOP('',(#265251,#265252,#265253,#265254)); #49663=EDGE_LOOP('',(#265255,#265256,#265257,#265258)); #49664=EDGE_LOOP('',(#265259,#265260,#265261,#265262)); #49665=EDGE_LOOP('',(#265263,#265264,#265265,#265266)); #49666=EDGE_LOOP('',(#265267,#265268,#265269,#265270)); #49667=EDGE_LOOP('',(#265271,#265272,#265273,#265274)); #49668=EDGE_LOOP('',(#265275,#265276,#265277,#265278)); #49669=EDGE_LOOP('',(#265279,#265280,#265281,#265282)); #49670=EDGE_LOOP('',(#265283,#265284,#265285,#265286)); #49671=EDGE_LOOP('',(#265287,#265288,#265289,#265290)); #49672=EDGE_LOOP('',(#265291,#265292,#265293,#265294)); #49673=EDGE_LOOP('',(#265295,#265296,#265297,#265298)); #49674=EDGE_LOOP('',(#265299,#265300,#265301,#265302)); #49675=EDGE_LOOP('',(#265303,#265304,#265305,#265306)); #49676=EDGE_LOOP('',(#265307,#265308,#265309,#265310)); #49677=EDGE_LOOP('',(#265311,#265312,#265313,#265314)); #49678=EDGE_LOOP('',(#265315,#265316,#265317,#265318)); #49679=EDGE_LOOP('',(#265319,#265320,#265321,#265322)); #49680=EDGE_LOOP('',(#265323,#265324,#265325,#265326)); #49681=EDGE_LOOP('',(#265327,#265328,#265329,#265330)); #49682=EDGE_LOOP('',(#265331,#265332,#265333,#265334)); #49683=EDGE_LOOP('',(#265335,#265336,#265337,#265338)); #49684=EDGE_LOOP('',(#265339,#265340,#265341,#265342)); #49685=EDGE_LOOP('',(#265343,#265344,#265345,#265346)); #49686=EDGE_LOOP('',(#265347,#265348,#265349,#265350)); #49687=EDGE_LOOP('',(#265351,#265352,#265353,#265354)); #49688=EDGE_LOOP('',(#265355,#265356,#265357,#265358)); #49689=EDGE_LOOP('',(#265359,#265360,#265361,#265362)); #49690=EDGE_LOOP('',(#265363,#265364,#265365,#265366)); #49691=EDGE_LOOP('',(#265367,#265368,#265369,#265370)); #49692=EDGE_LOOP('',(#265371,#265372,#265373,#265374)); #49693=EDGE_LOOP('',(#265375,#265376,#265377,#265378)); #49694=EDGE_LOOP('',(#265379,#265380,#265381,#265382)); #49695=EDGE_LOOP('',(#265383,#265384,#265385,#265386)); #49696=EDGE_LOOP('',(#265387,#265388,#265389,#265390)); #49697=EDGE_LOOP('',(#265391,#265392,#265393,#265394)); #49698=EDGE_LOOP('',(#265395,#265396,#265397,#265398)); #49699=EDGE_LOOP('',(#265399,#265400,#265401,#265402)); #49700=EDGE_LOOP('',(#265403,#265404,#265405,#265406)); #49701=EDGE_LOOP('',(#265407,#265408,#265409,#265410)); #49702=EDGE_LOOP('',(#265411,#265412,#265413,#265414)); #49703=EDGE_LOOP('',(#265415,#265416,#265417,#265418)); #49704=EDGE_LOOP('',(#265419,#265420,#265421,#265422)); #49705=EDGE_LOOP('',(#265423,#265424,#265425,#265426)); #49706=EDGE_LOOP('',(#265427,#265428,#265429,#265430)); #49707=EDGE_LOOP('',(#265431,#265432,#265433,#265434)); #49708=EDGE_LOOP('',(#265435,#265436,#265437,#265438)); #49709=EDGE_LOOP('',(#265439,#265440,#265441,#265442)); #49710=EDGE_LOOP('',(#265443,#265444,#265445,#265446)); #49711=EDGE_LOOP('',(#265447,#265448,#265449,#265450)); #49712=EDGE_LOOP('',(#265451,#265452,#265453,#265454)); #49713=EDGE_LOOP('',(#265455,#265456,#265457,#265458)); #49714=EDGE_LOOP('',(#265459,#265460,#265461,#265462)); #49715=EDGE_LOOP('',(#265463,#265464,#265465,#265466)); #49716=EDGE_LOOP('',(#265467,#265468,#265469,#265470)); #49717=EDGE_LOOP('',(#265471,#265472,#265473,#265474)); #49718=EDGE_LOOP('',(#265475,#265476,#265477,#265478)); #49719=EDGE_LOOP('',(#265479,#265480,#265481,#265482)); #49720=EDGE_LOOP('',(#265483,#265484,#265485,#265486)); #49721=EDGE_LOOP('',(#265487,#265488,#265489,#265490)); #49722=EDGE_LOOP('',(#265491,#265492,#265493,#265494)); #49723=EDGE_LOOP('',(#265495,#265496,#265497,#265498)); #49724=EDGE_LOOP('',(#265499,#265500,#265501,#265502)); #49725=EDGE_LOOP('',(#265503,#265504,#265505,#265506)); #49726=EDGE_LOOP('',(#265507,#265508,#265509,#265510)); #49727=EDGE_LOOP('',(#265511,#265512,#265513,#265514)); #49728=EDGE_LOOP('',(#265515,#265516,#265517,#265518)); #49729=EDGE_LOOP('',(#265519,#265520,#265521,#265522)); #49730=EDGE_LOOP('',(#265523,#265524,#265525,#265526)); #49731=EDGE_LOOP('',(#265527,#265528,#265529,#265530)); #49732=EDGE_LOOP('',(#265531,#265532,#265533,#265534)); #49733=EDGE_LOOP('',(#265535,#265536,#265537,#265538)); #49734=EDGE_LOOP('',(#265539,#265540,#265541,#265542)); #49735=EDGE_LOOP('',(#265543,#265544,#265545,#265546)); #49736=EDGE_LOOP('',(#265547,#265548,#265549,#265550)); #49737=EDGE_LOOP('',(#265551,#265552,#265553,#265554)); #49738=EDGE_LOOP('',(#265555,#265556,#265557,#265558)); #49739=EDGE_LOOP('',(#265559,#265560,#265561,#265562)); #49740=EDGE_LOOP('',(#265563,#265564,#265565,#265566)); #49741=EDGE_LOOP('',(#265567,#265568,#265569,#265570)); #49742=EDGE_LOOP('',(#265571,#265572,#265573,#265574)); #49743=EDGE_LOOP('',(#265575,#265576,#265577,#265578)); #49744=EDGE_LOOP('',(#265579,#265580,#265581,#265582)); #49745=EDGE_LOOP('',(#265583,#265584,#265585,#265586)); #49746=EDGE_LOOP('',(#265587,#265588,#265589,#265590)); #49747=EDGE_LOOP('',(#265591,#265592,#265593,#265594)); #49748=EDGE_LOOP('',(#265595,#265596,#265597,#265598)); #49749=EDGE_LOOP('',(#265599,#265600,#265601,#265602)); #49750=EDGE_LOOP('',(#265603,#265604,#265605,#265606)); #49751=EDGE_LOOP('',(#265607,#265608,#265609,#265610)); #49752=EDGE_LOOP('',(#265611,#265612,#265613,#265614)); #49753=EDGE_LOOP('',(#265615,#265616,#265617,#265618)); #49754=EDGE_LOOP('',(#265619,#265620,#265621,#265622)); #49755=EDGE_LOOP('',(#265623,#265624,#265625,#265626)); #49756=EDGE_LOOP('',(#265627,#265628,#265629,#265630)); #49757=EDGE_LOOP('',(#265631,#265632,#265633,#265634)); #49758=EDGE_LOOP('',(#265635,#265636,#265637,#265638)); #49759=EDGE_LOOP('',(#265639,#265640,#265641,#265642)); #49760=EDGE_LOOP('',(#265643,#265644,#265645,#265646)); #49761=EDGE_LOOP('',(#265647,#265648,#265649,#265650)); #49762=EDGE_LOOP('',(#265651,#265652,#265653,#265654)); #49763=EDGE_LOOP('',(#265655,#265656,#265657,#265658)); #49764=EDGE_LOOP('',(#265659,#265660,#265661,#265662)); #49765=EDGE_LOOP('',(#265663,#265664,#265665,#265666)); #49766=EDGE_LOOP('',(#265667,#265668,#265669,#265670)); #49767=EDGE_LOOP('',(#265671,#265672,#265673,#265674)); #49768=EDGE_LOOP('',(#265675,#265676,#265677,#265678)); #49769=EDGE_LOOP('',(#265679,#265680,#265681,#265682)); #49770=EDGE_LOOP('',(#265683,#265684,#265685,#265686)); #49771=EDGE_LOOP('',(#265687,#265688,#265689,#265690)); #49772=EDGE_LOOP('',(#265691,#265692,#265693,#265694)); #49773=EDGE_LOOP('',(#265695,#265696,#265697,#265698)); #49774=EDGE_LOOP('',(#265699,#265700,#265701,#265702)); #49775=EDGE_LOOP('',(#265703,#265704,#265705,#265706)); #49776=EDGE_LOOP('',(#265707,#265708,#265709,#265710)); #49777=EDGE_LOOP('',(#265711,#265712,#265713,#265714)); #49778=EDGE_LOOP('',(#265715,#265716,#265717,#265718)); #49779=EDGE_LOOP('',(#265719,#265720,#265721,#265722)); #49780=EDGE_LOOP('',(#265723,#265724,#265725,#265726)); #49781=EDGE_LOOP('',(#265727,#265728,#265729,#265730)); #49782=EDGE_LOOP('',(#265731,#265732,#265733,#265734)); #49783=EDGE_LOOP('',(#265735,#265736,#265737,#265738)); #49784=EDGE_LOOP('',(#265739,#265740,#265741,#265742)); #49785=EDGE_LOOP('',(#265743,#265744,#265745,#265746)); #49786=EDGE_LOOP('',(#265747,#265748,#265749,#265750)); #49787=EDGE_LOOP('',(#265751,#265752,#265753,#265754)); #49788=EDGE_LOOP('',(#265755,#265756,#265757,#265758)); #49789=EDGE_LOOP('',(#265759,#265760,#265761,#265762)); #49790=EDGE_LOOP('',(#265763,#265764,#265765,#265766)); #49791=EDGE_LOOP('',(#265767,#265768,#265769,#265770)); #49792=EDGE_LOOP('',(#265771,#265772,#265773,#265774)); #49793=EDGE_LOOP('',(#265775,#265776,#265777,#265778)); #49794=EDGE_LOOP('',(#265779,#265780,#265781,#265782)); #49795=EDGE_LOOP('',(#265783,#265784,#265785,#265786)); #49796=EDGE_LOOP('',(#265787,#265788,#265789,#265790)); #49797=EDGE_LOOP('',(#265791,#265792,#265793,#265794)); #49798=EDGE_LOOP('',(#265795,#265796,#265797,#265798)); #49799=EDGE_LOOP('',(#265799,#265800,#265801,#265802)); #49800=EDGE_LOOP('',(#265803,#265804,#265805,#265806)); #49801=EDGE_LOOP('',(#265807,#265808,#265809,#265810)); #49802=EDGE_LOOP('',(#265811,#265812,#265813,#265814)); #49803=EDGE_LOOP('',(#265815,#265816,#265817,#265818)); #49804=EDGE_LOOP('',(#265819,#265820,#265821,#265822)); #49805=EDGE_LOOP('',(#265823,#265824,#265825,#265826)); #49806=EDGE_LOOP('',(#265827,#265828,#265829,#265830)); #49807=EDGE_LOOP('',(#265831,#265832,#265833,#265834)); #49808=EDGE_LOOP('',(#265835,#265836,#265837,#265838)); #49809=EDGE_LOOP('',(#265839,#265840,#265841,#265842)); #49810=EDGE_LOOP('',(#265843,#265844,#265845,#265846)); #49811=EDGE_LOOP('',(#265847,#265848,#265849,#265850)); #49812=EDGE_LOOP('',(#265851,#265852,#265853,#265854)); #49813=EDGE_LOOP('',(#265855,#265856,#265857,#265858)); #49814=EDGE_LOOP('',(#265859,#265860,#265861,#265862)); #49815=EDGE_LOOP('',(#265863,#265864,#265865,#265866)); #49816=EDGE_LOOP('',(#265867,#265868,#265869,#265870)); #49817=EDGE_LOOP('',(#265871,#265872,#265873,#265874)); #49818=EDGE_LOOP('',(#265875,#265876,#265877,#265878)); #49819=EDGE_LOOP('',(#265879,#265880,#265881,#265882)); #49820=EDGE_LOOP('',(#265883,#265884,#265885,#265886)); #49821=EDGE_LOOP('',(#265887,#265888,#265889,#265890)); #49822=EDGE_LOOP('',(#265891,#265892,#265893,#265894)); #49823=EDGE_LOOP('',(#265895,#265896,#265897,#265898)); #49824=EDGE_LOOP('',(#265899,#265900,#265901,#265902)); #49825=EDGE_LOOP('',(#265903,#265904,#265905,#265906)); #49826=EDGE_LOOP('',(#265907,#265908,#265909,#265910)); #49827=EDGE_LOOP('',(#265911,#265912,#265913,#265914)); #49828=EDGE_LOOP('',(#265915,#265916,#265917,#265918)); #49829=EDGE_LOOP('',(#265919,#265920,#265921,#265922)); #49830=EDGE_LOOP('',(#265923,#265924,#265925,#265926)); #49831=EDGE_LOOP('',(#265927,#265928,#265929,#265930)); #49832=EDGE_LOOP('',(#265931,#265932,#265933,#265934)); #49833=EDGE_LOOP('',(#265935,#265936,#265937,#265938)); #49834=EDGE_LOOP('',(#265939,#265940,#265941,#265942)); #49835=EDGE_LOOP('',(#265943,#265944,#265945,#265946)); #49836=EDGE_LOOP('',(#265947,#265948,#265949,#265950)); #49837=EDGE_LOOP('',(#265951,#265952,#265953,#265954)); #49838=EDGE_LOOP('',(#265955,#265956,#265957,#265958)); #49839=EDGE_LOOP('',(#265959,#265960,#265961,#265962)); #49840=EDGE_LOOP('',(#265963,#265964,#265965,#265966)); #49841=EDGE_LOOP('',(#265967,#265968,#265969,#265970)); #49842=EDGE_LOOP('',(#265971,#265972,#265973,#265974)); #49843=EDGE_LOOP('',(#265975,#265976,#265977,#265978)); #49844=EDGE_LOOP('',(#265979,#265980,#265981,#265982)); #49845=EDGE_LOOP('',(#265983,#265984,#265985,#265986)); #49846=EDGE_LOOP('',(#265987,#265988,#265989,#265990)); #49847=EDGE_LOOP('',(#265991,#265992,#265993,#265994)); #49848=EDGE_LOOP('',(#265995,#265996,#265997,#265998)); #49849=EDGE_LOOP('',(#265999,#266000,#266001,#266002)); #49850=EDGE_LOOP('',(#266003,#266004,#266005,#266006)); #49851=EDGE_LOOP('',(#266007,#266008,#266009,#266010)); #49852=EDGE_LOOP('',(#266011,#266012,#266013,#266014)); #49853=EDGE_LOOP('',(#266015,#266016,#266017,#266018)); #49854=EDGE_LOOP('',(#266019,#266020,#266021,#266022)); #49855=EDGE_LOOP('',(#266023,#266024,#266025,#266026)); #49856=EDGE_LOOP('',(#266027,#266028,#266029,#266030)); #49857=EDGE_LOOP('',(#266031,#266032,#266033,#266034)); #49858=EDGE_LOOP('',(#266035,#266036,#266037,#266038)); #49859=EDGE_LOOP('',(#266039,#266040,#266041,#266042)); #49860=EDGE_LOOP('',(#266043,#266044,#266045,#266046)); #49861=EDGE_LOOP('',(#266047,#266048,#266049,#266050)); #49862=EDGE_LOOP('',(#266051,#266052,#266053,#266054)); #49863=EDGE_LOOP('',(#266055,#266056,#266057,#266058)); #49864=EDGE_LOOP('',(#266059,#266060,#266061,#266062)); #49865=EDGE_LOOP('',(#266063,#266064,#266065,#266066)); #49866=EDGE_LOOP('',(#266067,#266068,#266069,#266070)); #49867=EDGE_LOOP('',(#266071,#266072,#266073,#266074)); #49868=EDGE_LOOP('',(#266075,#266076,#266077,#266078)); #49869=EDGE_LOOP('',(#266079,#266080,#266081,#266082)); #49870=EDGE_LOOP('',(#266083,#266084,#266085,#266086)); #49871=EDGE_LOOP('',(#266087,#266088,#266089,#266090)); #49872=EDGE_LOOP('',(#266091,#266092,#266093,#266094)); #49873=EDGE_LOOP('',(#266095,#266096,#266097,#266098)); #49874=EDGE_LOOP('',(#266099,#266100,#266101,#266102)); #49875=EDGE_LOOP('',(#266103,#266104,#266105,#266106)); #49876=EDGE_LOOP('',(#266107,#266108,#266109,#266110)); #49877=EDGE_LOOP('',(#266111,#266112,#266113,#266114)); #49878=EDGE_LOOP('',(#266115,#266116,#266117,#266118)); #49879=EDGE_LOOP('',(#266119,#266120,#266121,#266122)); #49880=EDGE_LOOP('',(#266123,#266124,#266125,#266126)); #49881=EDGE_LOOP('',(#266127,#266128,#266129,#266130)); #49882=EDGE_LOOP('',(#266131,#266132,#266133,#266134)); #49883=EDGE_LOOP('',(#266135,#266136,#266137,#266138)); #49884=EDGE_LOOP('',(#266139,#266140,#266141,#266142)); #49885=EDGE_LOOP('',(#266143,#266144,#266145,#266146)); #49886=EDGE_LOOP('',(#266147,#266148,#266149,#266150)); #49887=EDGE_LOOP('',(#266151,#266152,#266153,#266154)); #49888=EDGE_LOOP('',(#266155,#266156,#266157,#266158)); #49889=EDGE_LOOP('',(#266159,#266160,#266161,#266162)); #49890=EDGE_LOOP('',(#266163,#266164,#266165,#266166)); #49891=EDGE_LOOP('',(#266167,#266168,#266169,#266170)); #49892=EDGE_LOOP('',(#266171,#266172,#266173,#266174)); #49893=EDGE_LOOP('',(#266175,#266176,#266177,#266178)); #49894=EDGE_LOOP('',(#266179,#266180,#266181,#266182)); #49895=EDGE_LOOP('',(#266183,#266184,#266185,#266186)); #49896=EDGE_LOOP('',(#266187,#266188,#266189,#266190)); #49897=EDGE_LOOP('',(#266191,#266192,#266193,#266194)); #49898=EDGE_LOOP('',(#266195,#266196,#266197,#266198)); #49899=EDGE_LOOP('',(#266199,#266200,#266201,#266202)); #49900=EDGE_LOOP('',(#266203,#266204,#266205,#266206)); #49901=EDGE_LOOP('',(#266207,#266208,#266209,#266210)); #49902=EDGE_LOOP('',(#266211,#266212,#266213,#266214)); #49903=EDGE_LOOP('',(#266215,#266216,#266217,#266218)); #49904=EDGE_LOOP('',(#266219,#266220,#266221,#266222)); #49905=EDGE_LOOP('',(#266223,#266224,#266225,#266226)); #49906=EDGE_LOOP('',(#266227,#266228,#266229,#266230)); #49907=EDGE_LOOP('',(#266231,#266232,#266233,#266234)); #49908=EDGE_LOOP('',(#266235,#266236,#266237,#266238)); #49909=EDGE_LOOP('',(#266239,#266240,#266241,#266242)); #49910=EDGE_LOOP('',(#266243,#266244,#266245,#266246)); #49911=EDGE_LOOP('',(#266247,#266248,#266249,#266250)); #49912=EDGE_LOOP('',(#266251,#266252,#266253,#266254)); #49913=EDGE_LOOP('',(#266255,#266256,#266257,#266258)); #49914=EDGE_LOOP('',(#266259,#266260,#266261,#266262)); #49915=EDGE_LOOP('',(#266263,#266264,#266265,#266266)); #49916=EDGE_LOOP('',(#266267,#266268,#266269,#266270)); #49917=EDGE_LOOP('',(#266271,#266272,#266273,#266274)); #49918=EDGE_LOOP('',(#266275,#266276,#266277,#266278)); #49919=EDGE_LOOP('',(#266279,#266280,#266281,#266282)); #49920=EDGE_LOOP('',(#266283,#266284,#266285,#266286)); #49921=EDGE_LOOP('',(#266287,#266288,#266289,#266290)); #49922=EDGE_LOOP('',(#266291,#266292,#266293,#266294)); #49923=EDGE_LOOP('',(#266295,#266296,#266297,#266298)); #49924=EDGE_LOOP('',(#266299,#266300,#266301,#266302)); #49925=EDGE_LOOP('',(#266303,#266304,#266305,#266306)); #49926=EDGE_LOOP('',(#266307,#266308,#266309,#266310)); #49927=EDGE_LOOP('',(#266311,#266312,#266313,#266314)); #49928=EDGE_LOOP('',(#266315,#266316,#266317,#266318)); #49929=EDGE_LOOP('',(#266319,#266320,#266321,#266322)); #49930=EDGE_LOOP('',(#266323,#266324,#266325,#266326)); #49931=EDGE_LOOP('',(#266327,#266328,#266329,#266330)); #49932=EDGE_LOOP('',(#266331,#266332,#266333,#266334)); #49933=EDGE_LOOP('',(#266335,#266336,#266337,#266338)); #49934=EDGE_LOOP('',(#266339,#266340,#266341,#266342)); #49935=EDGE_LOOP('',(#266343,#266344,#266345,#266346)); #49936=EDGE_LOOP('',(#266347,#266348,#266349,#266350)); #49937=EDGE_LOOP('',(#266351,#266352,#266353,#266354)); #49938=EDGE_LOOP('',(#266355,#266356,#266357,#266358)); #49939=EDGE_LOOP('',(#266359,#266360,#266361,#266362)); #49940=EDGE_LOOP('',(#266363,#266364,#266365,#266366)); #49941=EDGE_LOOP('',(#266367,#266368,#266369,#266370)); #49942=EDGE_LOOP('',(#266371,#266372,#266373,#266374)); #49943=EDGE_LOOP('',(#266375,#266376,#266377,#266378)); #49944=EDGE_LOOP('',(#266379,#266380,#266381,#266382)); #49945=EDGE_LOOP('',(#266383,#266384,#266385,#266386)); #49946=EDGE_LOOP('',(#266387,#266388,#266389,#266390)); #49947=EDGE_LOOP('',(#266391,#266392,#266393,#266394)); #49948=EDGE_LOOP('',(#266395,#266396,#266397,#266398)); #49949=EDGE_LOOP('',(#266399,#266400,#266401,#266402)); #49950=EDGE_LOOP('',(#266403,#266404,#266405,#266406)); #49951=EDGE_LOOP('',(#266407,#266408,#266409,#266410)); #49952=EDGE_LOOP('',(#266411,#266412,#266413,#266414)); #49953=EDGE_LOOP('',(#266415,#266416,#266417,#266418)); #49954=EDGE_LOOP('',(#266419,#266420,#266421,#266422)); #49955=EDGE_LOOP('',(#266423,#266424,#266425,#266426)); #49956=EDGE_LOOP('',(#266427,#266428,#266429,#266430)); #49957=EDGE_LOOP('',(#266431,#266432,#266433,#266434)); #49958=EDGE_LOOP('',(#266435,#266436,#266437,#266438)); #49959=EDGE_LOOP('',(#266439,#266440,#266441,#266442)); #49960=EDGE_LOOP('',(#266443,#266444,#266445,#266446)); #49961=EDGE_LOOP('',(#266447,#266448,#266449,#266450)); #49962=EDGE_LOOP('',(#266451,#266452,#266453,#266454)); #49963=EDGE_LOOP('',(#266455,#266456,#266457,#266458)); #49964=EDGE_LOOP('',(#266459,#266460,#266461,#266462)); #49965=EDGE_LOOP('',(#266463,#266464,#266465,#266466)); #49966=EDGE_LOOP('',(#266467,#266468,#266469,#266470)); #49967=EDGE_LOOP('',(#266471,#266472,#266473,#266474)); #49968=EDGE_LOOP('',(#266475,#266476,#266477,#266478)); #49969=EDGE_LOOP('',(#266479,#266480,#266481,#266482)); #49970=EDGE_LOOP('',(#266483,#266484,#266485,#266486)); #49971=EDGE_LOOP('',(#266487,#266488,#266489,#266490)); #49972=EDGE_LOOP('',(#266491,#266492,#266493,#266494)); #49973=EDGE_LOOP('',(#266495,#266496,#266497,#266498)); #49974=EDGE_LOOP('',(#266499,#266500,#266501,#266502)); #49975=EDGE_LOOP('',(#266503,#266504,#266505,#266506)); #49976=EDGE_LOOP('',(#266507,#266508,#266509,#266510)); #49977=EDGE_LOOP('',(#266511,#266512,#266513,#266514)); #49978=EDGE_LOOP('',(#266515,#266516,#266517,#266518)); #49979=EDGE_LOOP('',(#266519,#266520,#266521,#266522)); #49980=EDGE_LOOP('',(#266523,#266524,#266525,#266526)); #49981=EDGE_LOOP('',(#266527,#266528,#266529,#266530)); #49982=EDGE_LOOP('',(#266531,#266532,#266533,#266534)); #49983=EDGE_LOOP('',(#266535,#266536,#266537,#266538)); #49984=EDGE_LOOP('',(#266539,#266540,#266541,#266542)); #49985=EDGE_LOOP('',(#266543,#266544,#266545,#266546)); #49986=EDGE_LOOP('',(#266547,#266548,#266549,#266550)); #49987=EDGE_LOOP('',(#266551,#266552,#266553,#266554)); #49988=EDGE_LOOP('',(#266555,#266556,#266557,#266558)); #49989=EDGE_LOOP('',(#266559,#266560,#266561,#266562)); #49990=EDGE_LOOP('',(#266563,#266564,#266565,#266566)); #49991=EDGE_LOOP('',(#266567,#266568,#266569,#266570)); #49992=EDGE_LOOP('',(#266571,#266572,#266573,#266574)); #49993=EDGE_LOOP('',(#266575,#266576,#266577,#266578)); #49994=EDGE_LOOP('',(#266579,#266580,#266581,#266582)); #49995=EDGE_LOOP('',(#266583,#266584,#266585,#266586)); #49996=EDGE_LOOP('',(#266587,#266588,#266589,#266590)); #49997=EDGE_LOOP('',(#266591,#266592,#266593,#266594)); #49998=EDGE_LOOP('',(#266595,#266596,#266597,#266598)); #49999=EDGE_LOOP('',(#266599,#266600,#266601,#266602)); #50000=EDGE_LOOP('',(#266603,#266604,#266605,#266606)); #50001=EDGE_LOOP('',(#266607,#266608,#266609,#266610)); #50002=EDGE_LOOP('',(#266611,#266612,#266613,#266614)); #50003=EDGE_LOOP('',(#266615,#266616,#266617,#266618)); #50004=EDGE_LOOP('',(#266619,#266620,#266621,#266622)); #50005=EDGE_LOOP('',(#266623,#266624,#266625,#266626)); #50006=EDGE_LOOP('',(#266627,#266628,#266629,#266630)); #50007=EDGE_LOOP('',(#266631,#266632,#266633,#266634)); #50008=EDGE_LOOP('',(#266635,#266636,#266637,#266638)); #50009=EDGE_LOOP('',(#266639,#266640,#266641,#266642)); #50010=EDGE_LOOP('',(#266643,#266644,#266645,#266646)); #50011=EDGE_LOOP('',(#266647,#266648,#266649,#266650)); #50012=EDGE_LOOP('',(#266651,#266652,#266653,#266654)); #50013=EDGE_LOOP('',(#266655,#266656,#266657,#266658)); #50014=EDGE_LOOP('',(#266659,#266660,#266661,#266662)); #50015=EDGE_LOOP('',(#266663,#266664,#266665,#266666)); #50016=EDGE_LOOP('',(#266667,#266668,#266669,#266670)); #50017=EDGE_LOOP('',(#266671,#266672,#266673,#266674)); #50018=EDGE_LOOP('',(#266675,#266676,#266677,#266678)); #50019=EDGE_LOOP('',(#266679,#266680,#266681,#266682)); #50020=EDGE_LOOP('',(#266683,#266684,#266685,#266686)); #50021=EDGE_LOOP('',(#266687,#266688,#266689,#266690)); #50022=EDGE_LOOP('',(#266691,#266692,#266693,#266694)); #50023=EDGE_LOOP('',(#266695,#266696,#266697,#266698)); #50024=EDGE_LOOP('',(#266699,#266700,#266701,#266702)); #50025=EDGE_LOOP('',(#266703,#266704,#266705,#266706)); #50026=EDGE_LOOP('',(#266707,#266708,#266709,#266710)); #50027=EDGE_LOOP('',(#266711,#266712,#266713,#266714)); #50028=EDGE_LOOP('',(#266715,#266716,#266717,#266718)); #50029=EDGE_LOOP('',(#266719,#266720,#266721,#266722)); #50030=EDGE_LOOP('',(#266723,#266724,#266725,#266726)); #50031=EDGE_LOOP('',(#266727,#266728,#266729,#266730)); #50032=EDGE_LOOP('',(#266731,#266732,#266733,#266734)); #50033=EDGE_LOOP('',(#266735,#266736,#266737,#266738)); #50034=EDGE_LOOP('',(#266739,#266740,#266741,#266742)); #50035=EDGE_LOOP('',(#266743,#266744,#266745,#266746)); #50036=EDGE_LOOP('',(#266747,#266748,#266749,#266750)); #50037=EDGE_LOOP('',(#266751,#266752,#266753,#266754)); #50038=EDGE_LOOP('',(#266755,#266756,#266757,#266758)); #50039=EDGE_LOOP('',(#266759,#266760,#266761,#266762)); #50040=EDGE_LOOP('',(#266763,#266764,#266765,#266766)); #50041=EDGE_LOOP('',(#266767,#266768,#266769,#266770)); #50042=EDGE_LOOP('',(#266771,#266772,#266773,#266774)); #50043=EDGE_LOOP('',(#266775,#266776,#266777,#266778)); #50044=EDGE_LOOP('',(#266779,#266780,#266781,#266782)); #50045=EDGE_LOOP('',(#266783,#266784,#266785,#266786)); #50046=EDGE_LOOP('',(#266787,#266788,#266789,#266790)); #50047=EDGE_LOOP('',(#266791,#266792,#266793,#266794)); #50048=EDGE_LOOP('',(#266795,#266796,#266797,#266798)); #50049=EDGE_LOOP('',(#266799,#266800,#266801,#266802)); #50050=EDGE_LOOP('',(#266803,#266804,#266805,#266806)); #50051=EDGE_LOOP('',(#266807,#266808,#266809,#266810)); #50052=EDGE_LOOP('',(#266811,#266812,#266813,#266814)); #50053=EDGE_LOOP('',(#266815,#266816,#266817,#266818)); #50054=EDGE_LOOP('',(#266819,#266820,#266821,#266822)); #50055=EDGE_LOOP('',(#266823,#266824,#266825,#266826)); #50056=EDGE_LOOP('',(#266827,#266828,#266829,#266830)); #50057=EDGE_LOOP('',(#266831,#266832,#266833,#266834)); #50058=EDGE_LOOP('',(#266835,#266836,#266837,#266838)); #50059=EDGE_LOOP('',(#266839,#266840,#266841,#266842)); #50060=EDGE_LOOP('',(#266843,#266844,#266845,#266846)); #50061=EDGE_LOOP('',(#266847,#266848,#266849,#266850)); #50062=EDGE_LOOP('',(#266851,#266852,#266853,#266854)); #50063=EDGE_LOOP('',(#266855,#266856,#266857,#266858)); #50064=EDGE_LOOP('',(#266859,#266860,#266861,#266862)); #50065=EDGE_LOOP('',(#266863,#266864,#266865,#266866)); #50066=EDGE_LOOP('',(#266867,#266868,#266869,#266870)); #50067=EDGE_LOOP('',(#266871,#266872,#266873,#266874)); #50068=EDGE_LOOP('',(#266875,#266876,#266877,#266878)); #50069=EDGE_LOOP('',(#266879,#266880,#266881,#266882)); #50070=EDGE_LOOP('',(#266883,#266884,#266885,#266886)); #50071=EDGE_LOOP('',(#266887,#266888,#266889,#266890)); #50072=EDGE_LOOP('',(#266891,#266892,#266893,#266894)); #50073=EDGE_LOOP('',(#266895,#266896,#266897,#266898)); #50074=EDGE_LOOP('',(#266899,#266900,#266901,#266902)); #50075=EDGE_LOOP('',(#266903,#266904,#266905,#266906)); #50076=EDGE_LOOP('',(#266907,#266908,#266909,#266910)); #50077=EDGE_LOOP('',(#266911,#266912,#266913,#266914)); #50078=EDGE_LOOP('',(#266915,#266916,#266917,#266918)); #50079=EDGE_LOOP('',(#266919,#266920,#266921,#266922)); #50080=EDGE_LOOP('',(#266923,#266924,#266925,#266926)); #50081=EDGE_LOOP('',(#266927,#266928,#266929,#266930)); #50082=EDGE_LOOP('',(#266931,#266932,#266933,#266934)); #50083=EDGE_LOOP('',(#266935,#266936,#266937,#266938)); #50084=EDGE_LOOP('',(#266939,#266940,#266941,#266942)); #50085=EDGE_LOOP('',(#266943,#266944,#266945,#266946)); #50086=EDGE_LOOP('',(#266947,#266948,#266949,#266950)); #50087=EDGE_LOOP('',(#266951,#266952,#266953,#266954)); #50088=EDGE_LOOP('',(#266955,#266956,#266957,#266958)); #50089=EDGE_LOOP('',(#266959,#266960,#266961,#266962)); #50090=EDGE_LOOP('',(#266963,#266964,#266965,#266966)); #50091=EDGE_LOOP('',(#266967,#266968,#266969,#266970)); #50092=EDGE_LOOP('',(#266971,#266972,#266973,#266974)); #50093=EDGE_LOOP('',(#266975,#266976,#266977,#266978)); #50094=EDGE_LOOP('',(#266979,#266980,#266981,#266982)); #50095=EDGE_LOOP('',(#266983,#266984,#266985,#266986)); #50096=EDGE_LOOP('',(#266987,#266988,#266989,#266990)); #50097=EDGE_LOOP('',(#266991,#266992,#266993,#266994)); #50098=EDGE_LOOP('',(#266995,#266996,#266997,#266998)); #50099=EDGE_LOOP('',(#266999,#267000,#267001,#267002)); #50100=EDGE_LOOP('',(#267003,#267004,#267005,#267006)); #50101=EDGE_LOOP('',(#267007,#267008,#267009,#267010)); #50102=EDGE_LOOP('',(#267011,#267012,#267013,#267014)); #50103=EDGE_LOOP('',(#267015,#267016,#267017,#267018)); #50104=EDGE_LOOP('',(#267019,#267020,#267021,#267022)); #50105=EDGE_LOOP('',(#267023,#267024,#267025,#267026)); #50106=EDGE_LOOP('',(#267027,#267028,#267029,#267030)); #50107=EDGE_LOOP('',(#267031,#267032,#267033,#267034)); #50108=EDGE_LOOP('',(#267035,#267036,#267037,#267038)); #50109=EDGE_LOOP('',(#267039,#267040,#267041,#267042)); #50110=EDGE_LOOP('',(#267043,#267044,#267045,#267046)); #50111=EDGE_LOOP('',(#267047,#267048,#267049,#267050)); #50112=EDGE_LOOP('',(#267051,#267052,#267053,#267054)); #50113=EDGE_LOOP('',(#267055,#267056,#267057,#267058)); #50114=EDGE_LOOP('',(#267059,#267060,#267061,#267062)); #50115=EDGE_LOOP('',(#267063,#267064,#267065,#267066)); #50116=EDGE_LOOP('',(#267067,#267068,#267069,#267070)); #50117=EDGE_LOOP('',(#267071,#267072,#267073,#267074)); #50118=EDGE_LOOP('',(#267075,#267076,#267077,#267078)); #50119=EDGE_LOOP('',(#267079,#267080,#267081,#267082)); #50120=EDGE_LOOP('',(#267083,#267084,#267085,#267086)); #50121=EDGE_LOOP('',(#267087,#267088,#267089,#267090)); #50122=EDGE_LOOP('',(#267091,#267092,#267093,#267094)); #50123=EDGE_LOOP('',(#267095,#267096,#267097,#267098)); #50124=EDGE_LOOP('',(#267099,#267100,#267101,#267102)); #50125=EDGE_LOOP('',(#267103,#267104,#267105,#267106)); #50126=EDGE_LOOP('',(#267107,#267108,#267109,#267110)); #50127=EDGE_LOOP('',(#267111,#267112,#267113,#267114)); #50128=EDGE_LOOP('',(#267115,#267116,#267117,#267118)); #50129=EDGE_LOOP('',(#267119,#267120,#267121,#267122)); #50130=EDGE_LOOP('',(#267123,#267124,#267125,#267126)); #50131=EDGE_LOOP('',(#267127,#267128,#267129,#267130)); #50132=EDGE_LOOP('',(#267131,#267132,#267133,#267134)); #50133=EDGE_LOOP('',(#267135,#267136,#267137,#267138)); #50134=EDGE_LOOP('',(#267139,#267140,#267141,#267142)); #50135=EDGE_LOOP('',(#267143,#267144,#267145,#267146)); #50136=EDGE_LOOP('',(#267147,#267148,#267149,#267150)); #50137=EDGE_LOOP('',(#267151,#267152,#267153,#267154)); #50138=EDGE_LOOP('',(#267155,#267156,#267157,#267158)); #50139=EDGE_LOOP('',(#267159,#267160,#267161,#267162)); #50140=EDGE_LOOP('',(#267163,#267164,#267165,#267166)); #50141=EDGE_LOOP('',(#267167,#267168,#267169,#267170)); #50142=EDGE_LOOP('',(#267171,#267172,#267173,#267174)); #50143=EDGE_LOOP('',(#267175,#267176,#267177,#267178)); #50144=EDGE_LOOP('',(#267179,#267180,#267181,#267182)); #50145=EDGE_LOOP('',(#267183,#267184,#267185,#267186)); #50146=EDGE_LOOP('',(#267187,#267188,#267189,#267190)); #50147=EDGE_LOOP('',(#267191,#267192,#267193,#267194)); #50148=EDGE_LOOP('',(#267195,#267196,#267197,#267198)); #50149=EDGE_LOOP('',(#267199,#267200,#267201,#267202)); #50150=EDGE_LOOP('',(#267203,#267204,#267205,#267206)); #50151=EDGE_LOOP('',(#267207,#267208,#267209,#267210)); #50152=EDGE_LOOP('',(#267211,#267212,#267213,#267214)); #50153=EDGE_LOOP('',(#267215,#267216,#267217,#267218)); #50154=EDGE_LOOP('',(#267219,#267220,#267221,#267222)); #50155=EDGE_LOOP('',(#267223,#267224,#267225,#267226)); #50156=EDGE_LOOP('',(#267227,#267228,#267229,#267230)); #50157=EDGE_LOOP('',(#267231,#267232,#267233,#267234)); #50158=EDGE_LOOP('',(#267235,#267236,#267237,#267238)); #50159=EDGE_LOOP('',(#267239,#267240,#267241,#267242)); #50160=EDGE_LOOP('',(#267243,#267244,#267245,#267246)); #50161=EDGE_LOOP('',(#267247,#267248,#267249,#267250)); #50162=EDGE_LOOP('',(#267251,#267252,#267253,#267254)); #50163=EDGE_LOOP('',(#267255,#267256,#267257,#267258)); #50164=EDGE_LOOP('',(#267259,#267260,#267261,#267262)); #50165=EDGE_LOOP('',(#267263,#267264,#267265,#267266)); #50166=EDGE_LOOP('',(#267267,#267268,#267269,#267270)); #50167=EDGE_LOOP('',(#267271,#267272,#267273,#267274)); #50168=EDGE_LOOP('',(#267275,#267276,#267277,#267278)); #50169=EDGE_LOOP('',(#267279,#267280,#267281,#267282)); #50170=EDGE_LOOP('',(#267283,#267284,#267285,#267286)); #50171=EDGE_LOOP('',(#267287,#267288,#267289,#267290)); #50172=EDGE_LOOP('',(#267291,#267292,#267293,#267294)); #50173=EDGE_LOOP('',(#267295,#267296,#267297,#267298)); #50174=EDGE_LOOP('',(#267299,#267300,#267301,#267302)); #50175=EDGE_LOOP('',(#267303,#267304,#267305,#267306)); #50176=EDGE_LOOP('',(#267307,#267308,#267309,#267310)); #50177=EDGE_LOOP('',(#267311,#267312,#267313,#267314)); #50178=EDGE_LOOP('',(#267315,#267316,#267317,#267318)); #50179=EDGE_LOOP('',(#267319,#267320,#267321,#267322)); #50180=EDGE_LOOP('',(#267323,#267324,#267325,#267326)); #50181=EDGE_LOOP('',(#267327,#267328,#267329,#267330)); #50182=EDGE_LOOP('',(#267331,#267332,#267333,#267334)); #50183=EDGE_LOOP('',(#267335,#267336,#267337,#267338)); #50184=EDGE_LOOP('',(#267339,#267340,#267341,#267342)); #50185=EDGE_LOOP('',(#267343,#267344,#267345,#267346)); #50186=EDGE_LOOP('',(#267347,#267348,#267349,#267350)); #50187=EDGE_LOOP('',(#267351,#267352,#267353,#267354)); #50188=EDGE_LOOP('',(#267355,#267356,#267357,#267358)); #50189=EDGE_LOOP('',(#267359,#267360,#267361,#267362)); #50190=EDGE_LOOP('',(#267363,#267364,#267365,#267366)); #50191=EDGE_LOOP('',(#267367,#267368,#267369,#267370)); #50192=EDGE_LOOP('',(#267371,#267372,#267373,#267374)); #50193=EDGE_LOOP('',(#267375,#267376,#267377,#267378)); #50194=EDGE_LOOP('',(#267379,#267380,#267381,#267382)); #50195=EDGE_LOOP('',(#267383,#267384,#267385,#267386)); #50196=EDGE_LOOP('',(#267387,#267388,#267389,#267390)); #50197=EDGE_LOOP('',(#267391,#267392,#267393,#267394)); #50198=EDGE_LOOP('',(#267395,#267396,#267397,#267398)); #50199=EDGE_LOOP('',(#267399,#267400,#267401,#267402)); #50200=EDGE_LOOP('',(#267403,#267404,#267405,#267406)); #50201=EDGE_LOOP('',(#267407,#267408,#267409,#267410)); #50202=EDGE_LOOP('',(#267411,#267412,#267413,#267414)); #50203=EDGE_LOOP('',(#267415,#267416,#267417,#267418)); #50204=EDGE_LOOP('',(#267419,#267420,#267421,#267422)); #50205=EDGE_LOOP('',(#267423,#267424,#267425,#267426)); #50206=EDGE_LOOP('',(#267427,#267428,#267429,#267430)); #50207=EDGE_LOOP('',(#267431,#267432,#267433,#267434)); #50208=EDGE_LOOP('',(#267435,#267436,#267437,#267438)); #50209=EDGE_LOOP('',(#267439,#267440,#267441,#267442)); #50210=EDGE_LOOP('',(#267443,#267444,#267445,#267446)); #50211=EDGE_LOOP('',(#267447,#267448,#267449,#267450)); #50212=EDGE_LOOP('',(#267451,#267452,#267453,#267454)); #50213=EDGE_LOOP('',(#267455,#267456,#267457,#267458)); #50214=EDGE_LOOP('',(#267459,#267460,#267461,#267462)); #50215=EDGE_LOOP('',(#267463,#267464,#267465,#267466)); #50216=EDGE_LOOP('',(#267467,#267468,#267469,#267470)); #50217=EDGE_LOOP('',(#267471,#267472,#267473,#267474)); #50218=EDGE_LOOP('',(#267475,#267476,#267477,#267478)); #50219=EDGE_LOOP('',(#267479,#267480,#267481,#267482)); #50220=EDGE_LOOP('',(#267483,#267484,#267485,#267486)); #50221=EDGE_LOOP('',(#267487,#267488,#267489,#267490)); #50222=EDGE_LOOP('',(#267491,#267492,#267493,#267494)); #50223=EDGE_LOOP('',(#267495,#267496,#267497,#267498)); #50224=EDGE_LOOP('',(#267499,#267500,#267501,#267502)); #50225=EDGE_LOOP('',(#267503,#267504,#267505,#267506)); #50226=EDGE_LOOP('',(#267507,#267508,#267509,#267510)); #50227=EDGE_LOOP('',(#267511,#267512,#267513,#267514)); #50228=EDGE_LOOP('',(#267515,#267516,#267517,#267518)); #50229=EDGE_LOOP('',(#267519,#267520,#267521,#267522)); #50230=EDGE_LOOP('',(#267523,#267524,#267525,#267526)); #50231=EDGE_LOOP('',(#267527,#267528,#267529,#267530)); #50232=EDGE_LOOP('',(#267531,#267532,#267533,#267534)); #50233=EDGE_LOOP('',(#267535,#267536,#267537,#267538)); #50234=EDGE_LOOP('',(#267539,#267540,#267541,#267542)); #50235=EDGE_LOOP('',(#267543,#267544,#267545,#267546)); #50236=EDGE_LOOP('',(#267547,#267548,#267549,#267550)); #50237=EDGE_LOOP('',(#267551,#267552,#267553,#267554)); #50238=EDGE_LOOP('',(#267555,#267556,#267557,#267558)); #50239=EDGE_LOOP('',(#267559,#267560,#267561,#267562)); #50240=EDGE_LOOP('',(#267563,#267564,#267565,#267566)); #50241=EDGE_LOOP('',(#267567,#267568,#267569,#267570)); #50242=EDGE_LOOP('',(#267571,#267572,#267573,#267574)); #50243=EDGE_LOOP('',(#267575,#267576,#267577,#267578)); #50244=EDGE_LOOP('',(#267579,#267580,#267581,#267582)); #50245=EDGE_LOOP('',(#267583,#267584,#267585,#267586)); #50246=EDGE_LOOP('',(#267587,#267588,#267589,#267590)); #50247=EDGE_LOOP('',(#267591,#267592,#267593,#267594)); #50248=EDGE_LOOP('',(#267595,#267596,#267597,#267598)); #50249=EDGE_LOOP('',(#267599,#267600,#267601,#267602)); #50250=EDGE_LOOP('',(#267603,#267604,#267605,#267606)); #50251=EDGE_LOOP('',(#267607,#267608,#267609,#267610)); #50252=EDGE_LOOP('',(#267611,#267612,#267613,#267614)); #50253=EDGE_LOOP('',(#267615,#267616,#267617,#267618)); #50254=EDGE_LOOP('',(#267619,#267620,#267621,#267622)); #50255=EDGE_LOOP('',(#267623,#267624,#267625,#267626)); #50256=EDGE_LOOP('',(#267627,#267628,#267629,#267630)); #50257=EDGE_LOOP('',(#267631,#267632,#267633,#267634)); #50258=EDGE_LOOP('',(#267635,#267636,#267637,#267638)); #50259=EDGE_LOOP('',(#267639,#267640,#267641,#267642)); #50260=EDGE_LOOP('',(#267643,#267644,#267645,#267646)); #50261=EDGE_LOOP('',(#267647,#267648,#267649,#267650)); #50262=EDGE_LOOP('',(#267651,#267652,#267653,#267654)); #50263=EDGE_LOOP('',(#267655,#267656,#267657,#267658)); #50264=EDGE_LOOP('',(#267659,#267660,#267661,#267662)); #50265=EDGE_LOOP('',(#267663,#267664,#267665,#267666)); #50266=EDGE_LOOP('',(#267667,#267668,#267669,#267670)); #50267=EDGE_LOOP('',(#267671,#267672,#267673,#267674)); #50268=EDGE_LOOP('',(#267675,#267676,#267677,#267678)); #50269=EDGE_LOOP('',(#267679,#267680,#267681,#267682)); #50270=EDGE_LOOP('',(#267683,#267684,#267685,#267686)); #50271=EDGE_LOOP('',(#267687,#267688,#267689,#267690)); #50272=EDGE_LOOP('',(#267691,#267692,#267693,#267694)); #50273=EDGE_LOOP('',(#267695,#267696,#267697,#267698)); #50274=EDGE_LOOP('',(#267699,#267700,#267701,#267702)); #50275=EDGE_LOOP('',(#267703,#267704,#267705,#267706)); #50276=EDGE_LOOP('',(#267707,#267708,#267709,#267710)); #50277=EDGE_LOOP('',(#267711,#267712,#267713,#267714)); #50278=EDGE_LOOP('',(#267715,#267716,#267717,#267718)); #50279=EDGE_LOOP('',(#267719,#267720,#267721,#267722)); #50280=EDGE_LOOP('',(#267723,#267724,#267725,#267726)); #50281=EDGE_LOOP('',(#267727,#267728,#267729,#267730)); #50282=EDGE_LOOP('',(#267731,#267732,#267733,#267734)); #50283=EDGE_LOOP('',(#267735,#267736,#267737,#267738)); #50284=EDGE_LOOP('',(#267739,#267740,#267741,#267742)); #50285=EDGE_LOOP('',(#267743,#267744,#267745,#267746)); #50286=EDGE_LOOP('',(#267747,#267748,#267749,#267750)); #50287=EDGE_LOOP('',(#267751,#267752,#267753,#267754)); #50288=EDGE_LOOP('',(#267755,#267756,#267757,#267758)); #50289=EDGE_LOOP('',(#267759,#267760,#267761,#267762)); #50290=EDGE_LOOP('',(#267763,#267764,#267765,#267766)); #50291=EDGE_LOOP('',(#267767,#267768,#267769,#267770)); #50292=EDGE_LOOP('',(#267771,#267772,#267773,#267774)); #50293=EDGE_LOOP('',(#267775,#267776,#267777,#267778)); #50294=EDGE_LOOP('',(#267779,#267780,#267781,#267782)); #50295=EDGE_LOOP('',(#267783,#267784,#267785,#267786)); #50296=EDGE_LOOP('',(#267787,#267788,#267789,#267790)); #50297=EDGE_LOOP('',(#267791,#267792,#267793,#267794)); #50298=EDGE_LOOP('',(#267795,#267796,#267797,#267798)); #50299=EDGE_LOOP('',(#267799,#267800,#267801,#267802)); #50300=EDGE_LOOP('',(#267803,#267804,#267805,#267806)); #50301=EDGE_LOOP('',(#267807,#267808,#267809,#267810)); #50302=EDGE_LOOP('',(#267811,#267812,#267813,#267814)); #50303=EDGE_LOOP('',(#267815,#267816,#267817,#267818)); #50304=EDGE_LOOP('',(#267819,#267820,#267821,#267822)); #50305=EDGE_LOOP('',(#267823,#267824,#267825,#267826)); #50306=EDGE_LOOP('',(#267827,#267828,#267829,#267830)); #50307=EDGE_LOOP('',(#267831,#267832,#267833,#267834)); #50308=EDGE_LOOP('',(#267835,#267836,#267837,#267838)); #50309=EDGE_LOOP('',(#267839,#267840,#267841,#267842)); #50310=EDGE_LOOP('',(#267843,#267844,#267845,#267846)); #50311=EDGE_LOOP('',(#267847,#267848,#267849,#267850)); #50312=EDGE_LOOP('',(#267851,#267852,#267853,#267854)); #50313=EDGE_LOOP('',(#267855,#267856,#267857,#267858)); #50314=EDGE_LOOP('',(#267859,#267860,#267861,#267862)); #50315=EDGE_LOOP('',(#267863,#267864,#267865,#267866)); #50316=EDGE_LOOP('',(#267867,#267868,#267869,#267870)); #50317=EDGE_LOOP('',(#267871,#267872,#267873,#267874)); #50318=EDGE_LOOP('',(#267875,#267876,#267877,#267878)); #50319=EDGE_LOOP('',(#267879,#267880,#267881,#267882)); #50320=EDGE_LOOP('',(#267883,#267884,#267885,#267886)); #50321=EDGE_LOOP('',(#267887,#267888,#267889,#267890)); #50322=EDGE_LOOP('',(#267891,#267892,#267893,#267894)); #50323=EDGE_LOOP('',(#267895,#267896,#267897,#267898)); #50324=EDGE_LOOP('',(#267899,#267900,#267901,#267902)); #50325=EDGE_LOOP('',(#267903,#267904,#267905,#267906)); #50326=EDGE_LOOP('',(#267907,#267908,#267909,#267910)); #50327=EDGE_LOOP('',(#267911,#267912,#267913,#267914)); #50328=EDGE_LOOP('',(#267915,#267916,#267917,#267918)); #50329=EDGE_LOOP('',(#267919,#267920,#267921,#267922)); #50330=EDGE_LOOP('',(#267923,#267924,#267925,#267926)); #50331=EDGE_LOOP('',(#267927,#267928,#267929,#267930)); #50332=EDGE_LOOP('',(#267931,#267932,#267933,#267934)); #50333=EDGE_LOOP('',(#267935,#267936,#267937,#267938)); #50334=EDGE_LOOP('',(#267939,#267940,#267941,#267942)); #50335=EDGE_LOOP('',(#267943,#267944,#267945,#267946)); #50336=EDGE_LOOP('',(#267947,#267948,#267949,#267950)); #50337=EDGE_LOOP('',(#267951,#267952,#267953,#267954)); #50338=EDGE_LOOP('',(#267955,#267956,#267957,#267958)); #50339=EDGE_LOOP('',(#267959,#267960,#267961,#267962)); #50340=EDGE_LOOP('',(#267963,#267964,#267965,#267966)); #50341=EDGE_LOOP('',(#267967,#267968,#267969,#267970)); #50342=EDGE_LOOP('',(#267971,#267972,#267973,#267974)); #50343=EDGE_LOOP('',(#267975,#267976,#267977,#267978)); #50344=EDGE_LOOP('',(#267979,#267980,#267981,#267982)); #50345=EDGE_LOOP('',(#267983,#267984,#267985,#267986)); #50346=EDGE_LOOP('',(#267987,#267988,#267989,#267990)); #50347=EDGE_LOOP('',(#267991,#267992,#267993,#267994)); #50348=EDGE_LOOP('',(#267995,#267996,#267997,#267998)); #50349=EDGE_LOOP('',(#267999,#268000,#268001,#268002)); #50350=EDGE_LOOP('',(#268003,#268004,#268005,#268006)); #50351=EDGE_LOOP('',(#268007,#268008,#268009,#268010)); #50352=EDGE_LOOP('',(#268011,#268012,#268013,#268014)); #50353=EDGE_LOOP('',(#268015,#268016,#268017,#268018)); #50354=EDGE_LOOP('',(#268019,#268020,#268021,#268022)); #50355=EDGE_LOOP('',(#268023,#268024,#268025,#268026)); #50356=EDGE_LOOP('',(#268027,#268028,#268029,#268030)); #50357=EDGE_LOOP('',(#268031,#268032,#268033,#268034)); #50358=EDGE_LOOP('',(#268035,#268036,#268037,#268038)); #50359=EDGE_LOOP('',(#268039,#268040,#268041,#268042)); #50360=EDGE_LOOP('',(#268043,#268044,#268045,#268046)); #50361=EDGE_LOOP('',(#268047,#268048,#268049,#268050)); #50362=EDGE_LOOP('',(#268051,#268052,#268053,#268054)); #50363=EDGE_LOOP('',(#268055,#268056,#268057,#268058)); #50364=EDGE_LOOP('',(#268059,#268060,#268061,#268062)); #50365=EDGE_LOOP('',(#268063,#268064,#268065,#268066)); #50366=EDGE_LOOP('',(#268067,#268068,#268069,#268070)); #50367=EDGE_LOOP('',(#268071,#268072,#268073,#268074)); #50368=EDGE_LOOP('',(#268075,#268076,#268077,#268078)); #50369=EDGE_LOOP('',(#268079,#268080,#268081,#268082)); #50370=EDGE_LOOP('',(#268083,#268084,#268085,#268086)); #50371=EDGE_LOOP('',(#268087,#268088,#268089,#268090)); #50372=EDGE_LOOP('',(#268091,#268092,#268093,#268094)); #50373=EDGE_LOOP('',(#268095,#268096,#268097,#268098)); #50374=EDGE_LOOP('',(#268099,#268100,#268101,#268102)); #50375=EDGE_LOOP('',(#268103,#268104,#268105,#268106)); #50376=EDGE_LOOP('',(#268107,#268108,#268109,#268110)); #50377=EDGE_LOOP('',(#268111,#268112,#268113,#268114)); #50378=EDGE_LOOP('',(#268115,#268116,#268117,#268118)); #50379=EDGE_LOOP('',(#268119,#268120,#268121,#268122)); #50380=EDGE_LOOP('',(#268123,#268124,#268125,#268126)); #50381=EDGE_LOOP('',(#268127,#268128,#268129,#268130)); #50382=EDGE_LOOP('',(#268131,#268132,#268133,#268134)); #50383=EDGE_LOOP('',(#268135,#268136,#268137,#268138)); #50384=EDGE_LOOP('',(#268139,#268140,#268141,#268142)); #50385=EDGE_LOOP('',(#268143,#268144,#268145,#268146)); #50386=EDGE_LOOP('',(#268147,#268148,#268149,#268150)); #50387=EDGE_LOOP('',(#268151,#268152,#268153,#268154)); #50388=EDGE_LOOP('',(#268155,#268156,#268157,#268158)); #50389=EDGE_LOOP('',(#268159,#268160,#268161,#268162)); #50390=EDGE_LOOP('',(#268163,#268164,#268165,#268166)); #50391=EDGE_LOOP('',(#268167,#268168,#268169,#268170)); #50392=EDGE_LOOP('',(#268171,#268172,#268173,#268174)); #50393=EDGE_LOOP('',(#268175,#268176,#268177,#268178)); #50394=EDGE_LOOP('',(#268179,#268180,#268181,#268182)); #50395=EDGE_LOOP('',(#268183,#268184,#268185,#268186)); #50396=EDGE_LOOP('',(#268187,#268188,#268189,#268190)); #50397=EDGE_LOOP('',(#268191,#268192,#268193,#268194)); #50398=EDGE_LOOP('',(#268195,#268196,#268197,#268198)); #50399=EDGE_LOOP('',(#268199,#268200,#268201,#268202)); #50400=EDGE_LOOP('',(#268203,#268204,#268205,#268206)); #50401=EDGE_LOOP('',(#268207,#268208,#268209,#268210)); #50402=EDGE_LOOP('',(#268211,#268212,#268213,#268214)); #50403=EDGE_LOOP('',(#268215,#268216,#268217,#268218)); #50404=EDGE_LOOP('',(#268219,#268220,#268221,#268222)); #50405=EDGE_LOOP('',(#268223,#268224,#268225,#268226)); #50406=EDGE_LOOP('',(#268227,#268228,#268229,#268230)); #50407=EDGE_LOOP('',(#268231,#268232,#268233,#268234)); #50408=EDGE_LOOP('',(#268235,#268236,#268237,#268238)); #50409=EDGE_LOOP('',(#268239,#268240,#268241,#268242)); #50410=EDGE_LOOP('',(#268243,#268244,#268245,#268246)); #50411=EDGE_LOOP('',(#268247,#268248,#268249,#268250)); #50412=EDGE_LOOP('',(#268251,#268252,#268253,#268254)); #50413=EDGE_LOOP('',(#268255,#268256,#268257,#268258)); #50414=EDGE_LOOP('',(#268259,#268260,#268261,#268262)); #50415=EDGE_LOOP('',(#268263,#268264,#268265,#268266)); #50416=EDGE_LOOP('',(#268267,#268268,#268269,#268270)); #50417=EDGE_LOOP('',(#268271,#268272,#268273,#268274)); #50418=EDGE_LOOP('',(#268275,#268276,#268277,#268278)); #50419=EDGE_LOOP('',(#268279,#268280,#268281,#268282)); #50420=EDGE_LOOP('',(#268283,#268284,#268285,#268286)); #50421=EDGE_LOOP('',(#268287,#268288,#268289,#268290)); #50422=EDGE_LOOP('',(#268291,#268292,#268293,#268294)); #50423=EDGE_LOOP('',(#268295,#268296,#268297,#268298)); #50424=EDGE_LOOP('',(#268299,#268300,#268301,#268302)); #50425=EDGE_LOOP('',(#268303,#268304,#268305,#268306)); #50426=EDGE_LOOP('',(#268307,#268308,#268309,#268310)); #50427=EDGE_LOOP('',(#268311,#268312,#268313,#268314)); #50428=EDGE_LOOP('',(#268315,#268316,#268317,#268318)); #50429=EDGE_LOOP('',(#268319,#268320,#268321,#268322)); #50430=EDGE_LOOP('',(#268323,#268324,#268325,#268326)); #50431=EDGE_LOOP('',(#268327,#268328,#268329,#268330)); #50432=EDGE_LOOP('',(#268331,#268332,#268333,#268334)); #50433=EDGE_LOOP('',(#268335,#268336,#268337,#268338)); #50434=EDGE_LOOP('',(#268339,#268340,#268341,#268342)); #50435=EDGE_LOOP('',(#268343,#268344,#268345,#268346)); #50436=EDGE_LOOP('',(#268347,#268348,#268349,#268350)); #50437=EDGE_LOOP('',(#268351,#268352,#268353,#268354)); #50438=EDGE_LOOP('',(#268355,#268356,#268357,#268358)); #50439=EDGE_LOOP('',(#268359,#268360,#268361,#268362)); #50440=EDGE_LOOP('',(#268363,#268364,#268365,#268366)); #50441=EDGE_LOOP('',(#268367,#268368,#268369,#268370)); #50442=EDGE_LOOP('',(#268371,#268372,#268373,#268374)); #50443=EDGE_LOOP('',(#268375,#268376,#268377,#268378)); #50444=EDGE_LOOP('',(#268379,#268380,#268381,#268382)); #50445=EDGE_LOOP('',(#268383,#268384,#268385,#268386)); #50446=EDGE_LOOP('',(#268387,#268388,#268389,#268390)); #50447=EDGE_LOOP('',(#268391,#268392,#268393,#268394)); #50448=EDGE_LOOP('',(#268395,#268396,#268397,#268398)); #50449=EDGE_LOOP('',(#268399,#268400,#268401,#268402)); #50450=EDGE_LOOP('',(#268403,#268404,#268405,#268406)); #50451=EDGE_LOOP('',(#268407,#268408,#268409,#268410)); #50452=EDGE_LOOP('',(#268411,#268412,#268413,#268414)); #50453=EDGE_LOOP('',(#268415,#268416,#268417,#268418)); #50454=EDGE_LOOP('',(#268419,#268420,#268421,#268422)); #50455=EDGE_LOOP('',(#268423,#268424,#268425,#268426)); #50456=EDGE_LOOP('',(#268427,#268428,#268429,#268430)); #50457=EDGE_LOOP('',(#268431,#268432,#268433,#268434)); #50458=EDGE_LOOP('',(#268435,#268436,#268437,#268438)); #50459=EDGE_LOOP('',(#268439,#268440,#268441,#268442)); #50460=EDGE_LOOP('',(#268443,#268444,#268445,#268446)); #50461=EDGE_LOOP('',(#268447,#268448,#268449,#268450)); #50462=EDGE_LOOP('',(#268451,#268452,#268453,#268454)); #50463=EDGE_LOOP('',(#268455,#268456,#268457,#268458)); #50464=EDGE_LOOP('',(#268459,#268460,#268461,#268462)); #50465=EDGE_LOOP('',(#268463,#268464,#268465,#268466)); #50466=EDGE_LOOP('',(#268467,#268468,#268469,#268470)); #50467=EDGE_LOOP('',(#268471,#268472,#268473,#268474)); #50468=EDGE_LOOP('',(#268475,#268476,#268477,#268478)); #50469=EDGE_LOOP('',(#268479,#268480,#268481,#268482)); #50470=EDGE_LOOP('',(#268483,#268484,#268485,#268486)); #50471=EDGE_LOOP('',(#268487,#268488,#268489,#268490)); #50472=EDGE_LOOP('',(#268491,#268492,#268493,#268494)); #50473=EDGE_LOOP('',(#268495,#268496,#268497,#268498)); #50474=EDGE_LOOP('',(#268499,#268500,#268501,#268502)); #50475=EDGE_LOOP('',(#268503,#268504,#268505,#268506)); #50476=EDGE_LOOP('',(#268507,#268508,#268509,#268510)); #50477=EDGE_LOOP('',(#268511,#268512,#268513,#268514)); #50478=EDGE_LOOP('',(#268515,#268516,#268517,#268518)); #50479=EDGE_LOOP('',(#268519,#268520,#268521,#268522)); #50480=EDGE_LOOP('',(#268523,#268524,#268525,#268526)); #50481=EDGE_LOOP('',(#268527,#268528,#268529,#268530)); #50482=EDGE_LOOP('',(#268531,#268532,#268533,#268534)); #50483=EDGE_LOOP('',(#268535,#268536,#268537,#268538)); #50484=EDGE_LOOP('',(#268539,#268540,#268541,#268542)); #50485=EDGE_LOOP('',(#268543,#268544,#268545,#268546)); #50486=EDGE_LOOP('',(#268547,#268548,#268549,#268550)); #50487=EDGE_LOOP('',(#268551,#268552,#268553,#268554)); #50488=EDGE_LOOP('',(#268555,#268556,#268557,#268558)); #50489=EDGE_LOOP('',(#268559,#268560,#268561,#268562)); #50490=EDGE_LOOP('',(#268563,#268564,#268565,#268566)); #50491=EDGE_LOOP('',(#268567,#268568,#268569,#268570)); #50492=EDGE_LOOP('',(#268571,#268572,#268573,#268574)); #50493=EDGE_LOOP('',(#268575,#268576,#268577,#268578)); #50494=EDGE_LOOP('',(#268579,#268580,#268581,#268582)); #50495=EDGE_LOOP('',(#268583,#268584,#268585,#268586)); #50496=EDGE_LOOP('',(#268587,#268588,#268589,#268590)); #50497=EDGE_LOOP('',(#268591,#268592,#268593,#268594)); #50498=EDGE_LOOP('',(#268595,#268596,#268597,#268598)); #50499=EDGE_LOOP('',(#268599,#268600,#268601,#268602)); #50500=EDGE_LOOP('',(#268603,#268604,#268605,#268606)); #50501=EDGE_LOOP('',(#268607,#268608,#268609,#268610)); #50502=EDGE_LOOP('',(#268611,#268612,#268613,#268614)); #50503=EDGE_LOOP('',(#268615,#268616,#268617,#268618)); #50504=EDGE_LOOP('',(#268619,#268620,#268621,#268622)); #50505=EDGE_LOOP('',(#268623,#268624,#268625,#268626)); #50506=EDGE_LOOP('',(#268627,#268628,#268629,#268630)); #50507=EDGE_LOOP('',(#268631,#268632,#268633,#268634)); #50508=EDGE_LOOP('',(#268635,#268636,#268637,#268638)); #50509=EDGE_LOOP('',(#268639,#268640,#268641,#268642)); #50510=EDGE_LOOP('',(#268643,#268644,#268645,#268646)); #50511=EDGE_LOOP('',(#268647,#268648,#268649,#268650)); #50512=EDGE_LOOP('',(#268651,#268652,#268653,#268654)); #50513=EDGE_LOOP('',(#268655,#268656,#268657,#268658)); #50514=EDGE_LOOP('',(#268659,#268660,#268661,#268662)); #50515=EDGE_LOOP('',(#268663,#268664,#268665,#268666)); #50516=EDGE_LOOP('',(#268667,#268668,#268669,#268670)); #50517=EDGE_LOOP('',(#268671,#268672,#268673,#268674)); #50518=EDGE_LOOP('',(#268675,#268676,#268677,#268678)); #50519=EDGE_LOOP('',(#268679,#268680,#268681,#268682)); #50520=EDGE_LOOP('',(#268683,#268684,#268685,#268686)); #50521=EDGE_LOOP('',(#268687,#268688,#268689,#268690)); #50522=EDGE_LOOP('',(#268691,#268692,#268693,#268694)); #50523=EDGE_LOOP('',(#268695,#268696,#268697,#268698)); #50524=EDGE_LOOP('',(#268699,#268700,#268701,#268702)); #50525=EDGE_LOOP('',(#268703,#268704,#268705,#268706)); #50526=EDGE_LOOP('',(#268707,#268708,#268709,#268710)); #50527=EDGE_LOOP('',(#268711,#268712,#268713,#268714)); #50528=EDGE_LOOP('',(#268715,#268716,#268717,#268718)); #50529=EDGE_LOOP('',(#268719,#268720,#268721,#268722)); #50530=EDGE_LOOP('',(#268723,#268724,#268725,#268726)); #50531=EDGE_LOOP('',(#268727,#268728,#268729,#268730)); #50532=EDGE_LOOP('',(#268731,#268732,#268733,#268734)); #50533=EDGE_LOOP('',(#268735,#268736,#268737,#268738)); #50534=EDGE_LOOP('',(#268739,#268740,#268741,#268742)); #50535=EDGE_LOOP('',(#268743,#268744,#268745,#268746)); #50536=EDGE_LOOP('',(#268747,#268748,#268749,#268750)); #50537=EDGE_LOOP('',(#268751,#268752,#268753,#268754)); #50538=EDGE_LOOP('',(#268755,#268756,#268757,#268758)); #50539=EDGE_LOOP('',(#268759,#268760,#268761,#268762)); #50540=EDGE_LOOP('',(#268763,#268764,#268765,#268766)); #50541=EDGE_LOOP('',(#268767,#268768,#268769,#268770)); #50542=EDGE_LOOP('',(#268771,#268772,#268773,#268774)); #50543=EDGE_LOOP('',(#268775,#268776,#268777,#268778)); #50544=EDGE_LOOP('',(#268779,#268780,#268781,#268782)); #50545=EDGE_LOOP('',(#268783,#268784,#268785,#268786)); #50546=EDGE_LOOP('',(#268787,#268788,#268789,#268790)); #50547=EDGE_LOOP('',(#268791,#268792,#268793,#268794)); #50548=EDGE_LOOP('',(#268795,#268796,#268797,#268798)); #50549=EDGE_LOOP('',(#268799,#268800,#268801,#268802)); #50550=EDGE_LOOP('',(#268803,#268804,#268805,#268806)); #50551=EDGE_LOOP('',(#268807,#268808,#268809,#268810)); #50552=EDGE_LOOP('',(#268811,#268812,#268813,#268814)); #50553=EDGE_LOOP('',(#268815,#268816,#268817,#268818)); #50554=EDGE_LOOP('',(#268819,#268820,#268821,#268822)); #50555=EDGE_LOOP('',(#268823,#268824,#268825,#268826)); #50556=EDGE_LOOP('',(#268827,#268828,#268829,#268830)); #50557=EDGE_LOOP('',(#268831,#268832,#268833,#268834)); #50558=EDGE_LOOP('',(#268835,#268836,#268837,#268838)); #50559=EDGE_LOOP('',(#268839,#268840,#268841,#268842)); #50560=EDGE_LOOP('',(#268843,#268844,#268845,#268846)); #50561=EDGE_LOOP('',(#268847,#268848,#268849,#268850)); #50562=EDGE_LOOP('',(#268851,#268852,#268853,#268854)); #50563=EDGE_LOOP('',(#268855,#268856,#268857,#268858)); #50564=EDGE_LOOP('',(#268859,#268860,#268861,#268862)); #50565=EDGE_LOOP('',(#268863,#268864,#268865,#268866)); #50566=EDGE_LOOP('',(#268867,#268868,#268869,#268870)); #50567=EDGE_LOOP('',(#268871,#268872,#268873,#268874)); #50568=EDGE_LOOP('',(#268875,#268876,#268877,#268878)); #50569=EDGE_LOOP('',(#268879,#268880,#268881,#268882)); #50570=EDGE_LOOP('',(#268883,#268884,#268885,#268886)); #50571=EDGE_LOOP('',(#268887,#268888,#268889,#268890)); #50572=EDGE_LOOP('',(#268891,#268892,#268893,#268894)); #50573=EDGE_LOOP('',(#268895,#268896,#268897,#268898)); #50574=EDGE_LOOP('',(#268899,#268900,#268901,#268902)); #50575=EDGE_LOOP('',(#268903,#268904,#268905,#268906)); #50576=EDGE_LOOP('',(#268907,#268908,#268909,#268910)); #50577=EDGE_LOOP('',(#268911,#268912,#268913,#268914)); #50578=EDGE_LOOP('',(#268915,#268916,#268917,#268918)); #50579=EDGE_LOOP('',(#268919,#268920,#268921,#268922)); #50580=EDGE_LOOP('',(#268923,#268924,#268925,#268926)); #50581=EDGE_LOOP('',(#268927,#268928,#268929,#268930)); #50582=EDGE_LOOP('',(#268931,#268932,#268933,#268934)); #50583=EDGE_LOOP('',(#268935,#268936,#268937,#268938)); #50584=EDGE_LOOP('',(#268939,#268940,#268941,#268942)); #50585=EDGE_LOOP('',(#268943,#268944,#268945,#268946)); #50586=EDGE_LOOP('',(#268947,#268948,#268949,#268950)); #50587=EDGE_LOOP('',(#268951,#268952,#268953,#268954)); #50588=EDGE_LOOP('',(#268955,#268956,#268957,#268958)); #50589=EDGE_LOOP('',(#268959,#268960,#268961,#268962)); #50590=EDGE_LOOP('',(#268963,#268964,#268965,#268966)); #50591=EDGE_LOOP('',(#268967,#268968,#268969,#268970)); #50592=EDGE_LOOP('',(#268971,#268972,#268973,#268974)); #50593=EDGE_LOOP('',(#268975,#268976,#268977,#268978)); #50594=EDGE_LOOP('',(#268979,#268980,#268981,#268982)); #50595=EDGE_LOOP('',(#268983,#268984,#268985,#268986)); #50596=EDGE_LOOP('',(#268987,#268988,#268989,#268990)); #50597=EDGE_LOOP('',(#268991,#268992,#268993,#268994)); #50598=EDGE_LOOP('',(#268995,#268996,#268997,#268998)); #50599=EDGE_LOOP('',(#268999,#269000,#269001,#269002)); #50600=EDGE_LOOP('',(#269003,#269004,#269005,#269006)); #50601=EDGE_LOOP('',(#269007,#269008,#269009,#269010)); #50602=EDGE_LOOP('',(#269011,#269012,#269013,#269014)); #50603=EDGE_LOOP('',(#269015,#269016,#269017,#269018)); #50604=EDGE_LOOP('',(#269019,#269020,#269021,#269022)); #50605=EDGE_LOOP('',(#269023,#269024,#269025,#269026)); #50606=EDGE_LOOP('',(#269027,#269028,#269029,#269030)); #50607=EDGE_LOOP('',(#269031,#269032,#269033,#269034)); #50608=EDGE_LOOP('',(#269035,#269036,#269037,#269038)); #50609=EDGE_LOOP('',(#269039,#269040,#269041,#269042)); #50610=EDGE_LOOP('',(#269043,#269044,#269045,#269046)); #50611=EDGE_LOOP('',(#269047,#269048,#269049,#269050)); #50612=EDGE_LOOP('',(#269051,#269052,#269053,#269054)); #50613=EDGE_LOOP('',(#269055,#269056,#269057,#269058)); #50614=EDGE_LOOP('',(#269059,#269060,#269061,#269062)); #50615=EDGE_LOOP('',(#269063,#269064,#269065,#269066)); #50616=EDGE_LOOP('',(#269067,#269068,#269069,#269070)); #50617=EDGE_LOOP('',(#269071,#269072,#269073,#269074)); #50618=EDGE_LOOP('',(#269075,#269076,#269077,#269078)); #50619=EDGE_LOOP('',(#269079,#269080,#269081,#269082)); #50620=EDGE_LOOP('',(#269083,#269084,#269085,#269086)); #50621=EDGE_LOOP('',(#269087,#269088,#269089,#269090)); #50622=EDGE_LOOP('',(#269091,#269092,#269093,#269094)); #50623=EDGE_LOOP('',(#269095,#269096,#269097,#269098)); #50624=EDGE_LOOP('',(#269099,#269100,#269101,#269102)); #50625=EDGE_LOOP('',(#269103,#269104,#269105,#269106)); #50626=EDGE_LOOP('',(#269107,#269108,#269109,#269110)); #50627=EDGE_LOOP('',(#269111,#269112,#269113,#269114)); #50628=EDGE_LOOP('',(#269115,#269116,#269117,#269118)); #50629=EDGE_LOOP('',(#269119,#269120,#269121,#269122)); #50630=EDGE_LOOP('',(#269123,#269124,#269125,#269126)); #50631=EDGE_LOOP('',(#269127,#269128,#269129,#269130)); #50632=EDGE_LOOP('',(#269131,#269132,#269133,#269134)); #50633=EDGE_LOOP('',(#269135,#269136,#269137,#269138)); #50634=EDGE_LOOP('',(#269139,#269140,#269141,#269142)); #50635=EDGE_LOOP('',(#269143,#269144,#269145,#269146)); #50636=EDGE_LOOP('',(#269147,#269148,#269149,#269150)); #50637=EDGE_LOOP('',(#269151,#269152,#269153,#269154)); #50638=EDGE_LOOP('',(#269155,#269156,#269157,#269158)); #50639=EDGE_LOOP('',(#269159,#269160,#269161,#269162)); #50640=EDGE_LOOP('',(#269163,#269164,#269165,#269166)); #50641=EDGE_LOOP('',(#269167,#269168,#269169,#269170)); #50642=EDGE_LOOP('',(#269171,#269172,#269173,#269174)); #50643=EDGE_LOOP('',(#269175,#269176,#269177,#269178)); #50644=EDGE_LOOP('',(#269179,#269180,#269181,#269182)); #50645=EDGE_LOOP('',(#269183,#269184,#269185,#269186)); #50646=EDGE_LOOP('',(#269187,#269188,#269189,#269190)); #50647=EDGE_LOOP('',(#269191,#269192,#269193,#269194)); #50648=EDGE_LOOP('',(#269195,#269196,#269197,#269198)); #50649=EDGE_LOOP('',(#269199,#269200,#269201,#269202)); #50650=EDGE_LOOP('',(#269203,#269204,#269205,#269206)); #50651=EDGE_LOOP('',(#269207,#269208,#269209,#269210)); #50652=EDGE_LOOP('',(#269211,#269212,#269213,#269214)); #50653=EDGE_LOOP('',(#269215,#269216,#269217,#269218)); #50654=EDGE_LOOP('',(#269219,#269220,#269221,#269222)); #50655=EDGE_LOOP('',(#269223,#269224,#269225,#269226)); #50656=EDGE_LOOP('',(#269227,#269228,#269229,#269230)); #50657=EDGE_LOOP('',(#269231,#269232,#269233,#269234)); #50658=EDGE_LOOP('',(#269235,#269236,#269237,#269238)); #50659=EDGE_LOOP('',(#269239,#269240,#269241,#269242)); #50660=EDGE_LOOP('',(#269243,#269244,#269245,#269246)); #50661=EDGE_LOOP('',(#269247,#269248,#269249,#269250)); #50662=EDGE_LOOP('',(#269251,#269252,#269253,#269254)); #50663=EDGE_LOOP('',(#269255,#269256,#269257,#269258)); #50664=EDGE_LOOP('',(#269259,#269260,#269261,#269262)); #50665=EDGE_LOOP('',(#269263,#269264,#269265,#269266)); #50666=EDGE_LOOP('',(#269267,#269268,#269269,#269270)); #50667=EDGE_LOOP('',(#269271,#269272,#269273,#269274)); #50668=EDGE_LOOP('',(#269275,#269276,#269277,#269278)); #50669=EDGE_LOOP('',(#269279,#269280,#269281,#269282)); #50670=EDGE_LOOP('',(#269283,#269284,#269285,#269286)); #50671=EDGE_LOOP('',(#269287,#269288,#269289,#269290)); #50672=EDGE_LOOP('',(#269291,#269292,#269293,#269294)); #50673=EDGE_LOOP('',(#269295,#269296,#269297,#269298)); #50674=EDGE_LOOP('',(#269299,#269300,#269301,#269302)); #50675=EDGE_LOOP('',(#269303,#269304,#269305,#269306)); #50676=EDGE_LOOP('',(#269307,#269308,#269309,#269310)); #50677=EDGE_LOOP('',(#269311,#269312,#269313,#269314)); #50678=EDGE_LOOP('',(#269315,#269316,#269317,#269318)); #50679=EDGE_LOOP('',(#269319,#269320,#269321,#269322)); #50680=EDGE_LOOP('',(#269323,#269324,#269325,#269326)); #50681=EDGE_LOOP('',(#269327,#269328,#269329,#269330)); #50682=EDGE_LOOP('',(#269331,#269332,#269333,#269334)); #50683=EDGE_LOOP('',(#269335,#269336,#269337,#269338)); #50684=EDGE_LOOP('',(#269339,#269340,#269341,#269342)); #50685=EDGE_LOOP('',(#269343,#269344,#269345,#269346)); #50686=EDGE_LOOP('',(#269347,#269348,#269349,#269350)); #50687=EDGE_LOOP('',(#269351,#269352,#269353,#269354)); #50688=EDGE_LOOP('',(#269355,#269356,#269357,#269358)); #50689=EDGE_LOOP('',(#269359,#269360,#269361,#269362)); #50690=EDGE_LOOP('',(#269363,#269364,#269365,#269366)); #50691=EDGE_LOOP('',(#269367,#269368,#269369,#269370)); #50692=EDGE_LOOP('',(#269371,#269372,#269373,#269374)); #50693=EDGE_LOOP('',(#269375,#269376,#269377,#269378)); #50694=EDGE_LOOP('',(#269379,#269380,#269381,#269382)); #50695=EDGE_LOOP('',(#269383,#269384,#269385,#269386)); #50696=EDGE_LOOP('',(#269387,#269388,#269389,#269390)); #50697=EDGE_LOOP('',(#269391,#269392,#269393,#269394)); #50698=EDGE_LOOP('',(#269395,#269396,#269397,#269398)); #50699=EDGE_LOOP('',(#269399,#269400,#269401,#269402)); #50700=EDGE_LOOP('',(#269403,#269404,#269405,#269406)); #50701=EDGE_LOOP('',(#269407,#269408,#269409,#269410)); #50702=EDGE_LOOP('',(#269411,#269412,#269413,#269414)); #50703=EDGE_LOOP('',(#269415,#269416,#269417,#269418)); #50704=EDGE_LOOP('',(#269419,#269420,#269421,#269422)); #50705=EDGE_LOOP('',(#269423,#269424,#269425,#269426)); #50706=EDGE_LOOP('',(#269427,#269428,#269429,#269430)); #50707=EDGE_LOOP('',(#269431,#269432,#269433,#269434)); #50708=EDGE_LOOP('',(#269435,#269436,#269437,#269438)); #50709=EDGE_LOOP('',(#269439,#269440,#269441,#269442)); #50710=EDGE_LOOP('',(#269443,#269444,#269445,#269446)); #50711=EDGE_LOOP('',(#269447,#269448,#269449,#269450)); #50712=EDGE_LOOP('',(#269451,#269452,#269453,#269454)); #50713=EDGE_LOOP('',(#269455,#269456,#269457,#269458)); #50714=EDGE_LOOP('',(#269459,#269460,#269461,#269462)); #50715=EDGE_LOOP('',(#269463,#269464,#269465,#269466)); #50716=EDGE_LOOP('',(#269467,#269468,#269469,#269470)); #50717=EDGE_LOOP('',(#269471,#269472,#269473,#269474)); #50718=EDGE_LOOP('',(#269475,#269476,#269477,#269478)); #50719=EDGE_LOOP('',(#269479,#269480,#269481,#269482)); #50720=EDGE_LOOP('',(#269483,#269484,#269485,#269486)); #50721=EDGE_LOOP('',(#269487,#269488,#269489,#269490)); #50722=EDGE_LOOP('',(#269491,#269492,#269493,#269494)); #50723=EDGE_LOOP('',(#269495,#269496,#269497,#269498)); #50724=EDGE_LOOP('',(#269499,#269500,#269501,#269502)); #50725=EDGE_LOOP('',(#269503,#269504,#269505,#269506)); #50726=EDGE_LOOP('',(#269507,#269508,#269509,#269510)); #50727=EDGE_LOOP('',(#269511,#269512,#269513,#269514)); #50728=EDGE_LOOP('',(#269515,#269516,#269517,#269518)); #50729=EDGE_LOOP('',(#269519,#269520,#269521,#269522)); #50730=EDGE_LOOP('',(#269523,#269524,#269525,#269526)); #50731=EDGE_LOOP('',(#269527,#269528,#269529,#269530)); #50732=EDGE_LOOP('',(#269531,#269532,#269533,#269534)); #50733=EDGE_LOOP('',(#269535,#269536,#269537,#269538)); #50734=EDGE_LOOP('',(#269539,#269540,#269541,#269542)); #50735=EDGE_LOOP('',(#269543,#269544,#269545,#269546)); #50736=EDGE_LOOP('',(#269547,#269548,#269549,#269550)); #50737=EDGE_LOOP('',(#269551,#269552,#269553,#269554)); #50738=EDGE_LOOP('',(#269555,#269556,#269557,#269558)); #50739=EDGE_LOOP('',(#269559,#269560,#269561,#269562)); #50740=EDGE_LOOP('',(#269563,#269564,#269565,#269566)); #50741=EDGE_LOOP('',(#269567,#269568,#269569,#269570)); #50742=EDGE_LOOP('',(#269571,#269572,#269573,#269574)); #50743=EDGE_LOOP('',(#269575,#269576,#269577,#269578)); #50744=EDGE_LOOP('',(#269579,#269580,#269581,#269582)); #50745=EDGE_LOOP('',(#269583,#269584,#269585,#269586)); #50746=EDGE_LOOP('',(#269587,#269588,#269589,#269590)); #50747=EDGE_LOOP('',(#269591,#269592,#269593,#269594)); #50748=EDGE_LOOP('',(#269595,#269596,#269597,#269598)); #50749=EDGE_LOOP('',(#269599,#269600,#269601,#269602)); #50750=EDGE_LOOP('',(#269603,#269604,#269605,#269606)); #50751=EDGE_LOOP('',(#269607,#269608,#269609,#269610)); #50752=EDGE_LOOP('',(#269611,#269612,#269613,#269614)); #50753=EDGE_LOOP('',(#269615,#269616,#269617,#269618)); #50754=EDGE_LOOP('',(#269619,#269620,#269621,#269622)); #50755=EDGE_LOOP('',(#269623,#269624,#269625,#269626)); #50756=EDGE_LOOP('',(#269627,#269628,#269629,#269630)); #50757=EDGE_LOOP('',(#269631,#269632,#269633,#269634)); #50758=EDGE_LOOP('',(#269635,#269636,#269637,#269638)); #50759=EDGE_LOOP('',(#269639,#269640,#269641,#269642)); #50760=EDGE_LOOP('',(#269643,#269644,#269645,#269646)); #50761=EDGE_LOOP('',(#269647,#269648,#269649,#269650)); #50762=EDGE_LOOP('',(#269651,#269652,#269653,#269654)); #50763=EDGE_LOOP('',(#269655,#269656,#269657,#269658)); #50764=EDGE_LOOP('',(#269659,#269660,#269661,#269662)); #50765=EDGE_LOOP('',(#269663,#269664,#269665,#269666)); #50766=EDGE_LOOP('',(#269667,#269668,#269669,#269670)); #50767=EDGE_LOOP('',(#269671,#269672,#269673,#269674)); #50768=EDGE_LOOP('',(#269675,#269676,#269677,#269678)); #50769=EDGE_LOOP('',(#269679,#269680,#269681,#269682)); #50770=EDGE_LOOP('',(#269683,#269684,#269685,#269686)); #50771=EDGE_LOOP('',(#269687,#269688,#269689,#269690)); #50772=EDGE_LOOP('',(#269691,#269692,#269693,#269694)); #50773=EDGE_LOOP('',(#269695,#269696,#269697,#269698)); #50774=EDGE_LOOP('',(#269699,#269700,#269701,#269702)); #50775=EDGE_LOOP('',(#269703,#269704,#269705,#269706)); #50776=EDGE_LOOP('',(#269707,#269708,#269709,#269710)); #50777=EDGE_LOOP('',(#269711,#269712,#269713,#269714)); #50778=EDGE_LOOP('',(#269715,#269716,#269717,#269718)); #50779=EDGE_LOOP('',(#269719,#269720,#269721,#269722)); #50780=EDGE_LOOP('',(#269723,#269724,#269725,#269726)); #50781=EDGE_LOOP('',(#269727,#269728,#269729,#269730)); #50782=EDGE_LOOP('',(#269731,#269732,#269733,#269734)); #50783=EDGE_LOOP('',(#269735,#269736,#269737,#269738)); #50784=EDGE_LOOP('',(#269739,#269740,#269741,#269742)); #50785=EDGE_LOOP('',(#269743,#269744,#269745,#269746)); #50786=EDGE_LOOP('',(#269747,#269748,#269749,#269750)); #50787=EDGE_LOOP('',(#269751,#269752,#269753,#269754)); #50788=EDGE_LOOP('',(#269755,#269756,#269757,#269758)); #50789=EDGE_LOOP('',(#269759,#269760,#269761,#269762)); #50790=EDGE_LOOP('',(#269763,#269764,#269765,#269766)); #50791=EDGE_LOOP('',(#269767,#269768,#269769,#269770)); #50792=EDGE_LOOP('',(#269771,#269772,#269773,#269774)); #50793=EDGE_LOOP('',(#269775,#269776,#269777,#269778)); #50794=EDGE_LOOP('',(#269779,#269780,#269781,#269782)); #50795=EDGE_LOOP('',(#269783,#269784,#269785,#269786)); #50796=EDGE_LOOP('',(#269787,#269788,#269789,#269790)); #50797=EDGE_LOOP('',(#269791,#269792,#269793,#269794)); #50798=EDGE_LOOP('',(#269795,#269796,#269797,#269798)); #50799=EDGE_LOOP('',(#269799,#269800,#269801,#269802)); #50800=EDGE_LOOP('',(#269803,#269804,#269805,#269806)); #50801=EDGE_LOOP('',(#269807,#269808,#269809,#269810)); #50802=EDGE_LOOP('',(#269811,#269812,#269813,#269814)); #50803=EDGE_LOOP('',(#269815,#269816,#269817,#269818)); #50804=EDGE_LOOP('',(#269819,#269820,#269821,#269822)); #50805=EDGE_LOOP('',(#269823,#269824,#269825,#269826)); #50806=EDGE_LOOP('',(#269827,#269828,#269829,#269830)); #50807=EDGE_LOOP('',(#269831,#269832,#269833,#269834)); #50808=EDGE_LOOP('',(#269835,#269836,#269837,#269838)); #50809=EDGE_LOOP('',(#269839,#269840,#269841,#269842)); #50810=EDGE_LOOP('',(#269843,#269844,#269845,#269846)); #50811=EDGE_LOOP('',(#269847,#269848,#269849,#269850)); #50812=EDGE_LOOP('',(#269851,#269852,#269853,#269854)); #50813=EDGE_LOOP('',(#269855,#269856,#269857,#269858)); #50814=EDGE_LOOP('',(#269859,#269860,#269861,#269862)); #50815=EDGE_LOOP('',(#269863,#269864,#269865,#269866)); #50816=EDGE_LOOP('',(#269867,#269868,#269869,#269870)); #50817=EDGE_LOOP('',(#269871,#269872,#269873,#269874)); #50818=EDGE_LOOP('',(#269875,#269876,#269877,#269878)); #50819=EDGE_LOOP('',(#269879,#269880,#269881,#269882)); #50820=EDGE_LOOP('',(#269883,#269884,#269885,#269886)); #50821=EDGE_LOOP('',(#269887,#269888,#269889,#269890)); #50822=EDGE_LOOP('',(#269891,#269892,#269893,#269894)); #50823=EDGE_LOOP('',(#269895,#269896,#269897,#269898)); #50824=EDGE_LOOP('',(#269899,#269900,#269901,#269902)); #50825=EDGE_LOOP('',(#269903,#269904,#269905,#269906)); #50826=EDGE_LOOP('',(#269907,#269908,#269909,#269910)); #50827=EDGE_LOOP('',(#269911,#269912,#269913,#269914)); #50828=EDGE_LOOP('',(#269915,#269916,#269917,#269918)); #50829=EDGE_LOOP('',(#269919,#269920,#269921,#269922)); #50830=EDGE_LOOP('',(#269923,#269924,#269925,#269926)); #50831=EDGE_LOOP('',(#269927,#269928,#269929,#269930)); #50832=EDGE_LOOP('',(#269931,#269932,#269933,#269934)); #50833=EDGE_LOOP('',(#269935,#269936,#269937,#269938)); #50834=EDGE_LOOP('',(#269939,#269940,#269941,#269942)); #50835=EDGE_LOOP('',(#269943,#269944,#269945,#269946)); #50836=EDGE_LOOP('',(#269947,#269948,#269949,#269950)); #50837=EDGE_LOOP('',(#269951,#269952,#269953,#269954)); #50838=EDGE_LOOP('',(#269955,#269956,#269957,#269958)); #50839=EDGE_LOOP('',(#269959,#269960,#269961,#269962)); #50840=EDGE_LOOP('',(#269963,#269964,#269965,#269966)); #50841=EDGE_LOOP('',(#269967,#269968,#269969,#269970)); #50842=EDGE_LOOP('',(#269971,#269972,#269973,#269974)); #50843=EDGE_LOOP('',(#269975,#269976,#269977,#269978)); #50844=EDGE_LOOP('',(#269979,#269980,#269981,#269982)); #50845=EDGE_LOOP('',(#269983,#269984,#269985,#269986)); #50846=EDGE_LOOP('',(#269987,#269988,#269989,#269990)); #50847=EDGE_LOOP('',(#269991,#269992,#269993,#269994)); #50848=EDGE_LOOP('',(#269995,#269996,#269997,#269998)); #50849=EDGE_LOOP('',(#269999,#270000,#270001,#270002)); #50850=EDGE_LOOP('',(#270003,#270004,#270005,#270006)); #50851=EDGE_LOOP('',(#270007,#270008,#270009,#270010)); #50852=EDGE_LOOP('',(#270011,#270012,#270013,#270014)); #50853=EDGE_LOOP('',(#270015,#270016,#270017,#270018)); #50854=EDGE_LOOP('',(#270019,#270020,#270021,#270022)); #50855=EDGE_LOOP('',(#270023,#270024,#270025,#270026)); #50856=EDGE_LOOP('',(#270027,#270028,#270029,#270030)); #50857=EDGE_LOOP('',(#270031,#270032,#270033,#270034)); #50858=EDGE_LOOP('',(#270035,#270036,#270037,#270038)); #50859=EDGE_LOOP('',(#270039,#270040,#270041,#270042)); #50860=EDGE_LOOP('',(#270043,#270044,#270045,#270046)); #50861=EDGE_LOOP('',(#270047,#270048,#270049,#270050)); #50862=EDGE_LOOP('',(#270051,#270052,#270053,#270054)); #50863=EDGE_LOOP('',(#270055,#270056,#270057,#270058)); #50864=EDGE_LOOP('',(#270059,#270060,#270061,#270062)); #50865=EDGE_LOOP('',(#270063,#270064,#270065,#270066)); #50866=EDGE_LOOP('',(#270067,#270068,#270069,#270070)); #50867=EDGE_LOOP('',(#270071,#270072,#270073,#270074)); #50868=EDGE_LOOP('',(#270075,#270076,#270077,#270078)); #50869=EDGE_LOOP('',(#270079,#270080,#270081,#270082)); #50870=EDGE_LOOP('',(#270083,#270084,#270085,#270086)); #50871=EDGE_LOOP('',(#270087,#270088,#270089,#270090)); #50872=EDGE_LOOP('',(#270091,#270092,#270093,#270094)); #50873=EDGE_LOOP('',(#270095,#270096,#270097,#270098)); #50874=EDGE_LOOP('',(#270099,#270100,#270101,#270102)); #50875=EDGE_LOOP('',(#270103,#270104,#270105,#270106)); #50876=EDGE_LOOP('',(#270107,#270108,#270109,#270110)); #50877=EDGE_LOOP('',(#270111,#270112,#270113,#270114)); #50878=EDGE_LOOP('',(#270115,#270116,#270117,#270118)); #50879=EDGE_LOOP('',(#270119,#270120,#270121,#270122)); #50880=EDGE_LOOP('',(#270123,#270124,#270125,#270126)); #50881=EDGE_LOOP('',(#270127,#270128,#270129,#270130)); #50882=EDGE_LOOP('',(#270131,#270132,#270133,#270134)); #50883=EDGE_LOOP('',(#270135,#270136,#270137,#270138)); #50884=EDGE_LOOP('',(#270139,#270140,#270141,#270142)); #50885=EDGE_LOOP('',(#270143,#270144,#270145,#270146)); #50886=EDGE_LOOP('',(#270147,#270148,#270149,#270150)); #50887=EDGE_LOOP('',(#270151,#270152,#270153,#270154)); #50888=EDGE_LOOP('',(#270155,#270156,#270157,#270158)); #50889=EDGE_LOOP('',(#270159,#270160,#270161,#270162)); #50890=EDGE_LOOP('',(#270163,#270164,#270165,#270166)); #50891=EDGE_LOOP('',(#270167,#270168,#270169,#270170)); #50892=EDGE_LOOP('',(#270171,#270172,#270173,#270174)); #50893=EDGE_LOOP('',(#270175,#270176,#270177,#270178)); #50894=EDGE_LOOP('',(#270179,#270180,#270181,#270182)); #50895=EDGE_LOOP('',(#270183,#270184,#270185,#270186)); #50896=EDGE_LOOP('',(#270187,#270188,#270189,#270190)); #50897=EDGE_LOOP('',(#270191,#270192,#270193,#270194)); #50898=EDGE_LOOP('',(#270195,#270196,#270197,#270198)); #50899=EDGE_LOOP('',(#270199,#270200,#270201,#270202)); #50900=EDGE_LOOP('',(#270203,#270204,#270205,#270206)); #50901=EDGE_LOOP('',(#270207,#270208,#270209,#270210)); #50902=EDGE_LOOP('',(#270211,#270212,#270213,#270214)); #50903=EDGE_LOOP('',(#270215,#270216,#270217,#270218)); #50904=EDGE_LOOP('',(#270219,#270220,#270221,#270222)); #50905=EDGE_LOOP('',(#270223,#270224,#270225,#270226)); #50906=EDGE_LOOP('',(#270227,#270228,#270229,#270230)); #50907=EDGE_LOOP('',(#270231,#270232,#270233,#270234)); #50908=EDGE_LOOP('',(#270235,#270236,#270237,#270238)); #50909=EDGE_LOOP('',(#270239,#270240,#270241,#270242)); #50910=EDGE_LOOP('',(#270243,#270244,#270245,#270246)); #50911=EDGE_LOOP('',(#270247,#270248,#270249,#270250)); #50912=EDGE_LOOP('',(#270251,#270252,#270253,#270254)); #50913=EDGE_LOOP('',(#270255,#270256,#270257,#270258)); #50914=EDGE_LOOP('',(#270259,#270260,#270261,#270262)); #50915=EDGE_LOOP('',(#270263,#270264,#270265,#270266)); #50916=EDGE_LOOP('',(#270267,#270268,#270269,#270270)); #50917=EDGE_LOOP('',(#270271,#270272,#270273,#270274)); #50918=EDGE_LOOP('',(#270275,#270276,#270277,#270278)); #50919=EDGE_LOOP('',(#270279,#270280,#270281,#270282)); #50920=EDGE_LOOP('',(#270283,#270284,#270285,#270286)); #50921=EDGE_LOOP('',(#270287,#270288,#270289,#270290)); #50922=EDGE_LOOP('',(#270291,#270292,#270293,#270294)); #50923=EDGE_LOOP('',(#270295,#270296,#270297,#270298)); #50924=EDGE_LOOP('',(#270299,#270300,#270301,#270302)); #50925=EDGE_LOOP('',(#270303,#270304,#270305,#270306)); #50926=EDGE_LOOP('',(#270307,#270308,#270309,#270310)); #50927=EDGE_LOOP('',(#270311,#270312,#270313,#270314)); #50928=EDGE_LOOP('',(#270315,#270316,#270317,#270318)); #50929=EDGE_LOOP('',(#270319,#270320,#270321,#270322)); #50930=EDGE_LOOP('',(#270323,#270324,#270325,#270326)); #50931=EDGE_LOOP('',(#270327,#270328,#270329,#270330)); #50932=EDGE_LOOP('',(#270331,#270332,#270333,#270334)); #50933=EDGE_LOOP('',(#270335,#270336,#270337,#270338)); #50934=EDGE_LOOP('',(#270339,#270340,#270341,#270342)); #50935=EDGE_LOOP('',(#270343,#270344,#270345,#270346)); #50936=EDGE_LOOP('',(#270347,#270348,#270349,#270350)); #50937=EDGE_LOOP('',(#270351,#270352,#270353,#270354)); #50938=EDGE_LOOP('',(#270355,#270356,#270357,#270358)); #50939=EDGE_LOOP('',(#270359,#270360,#270361,#270362)); #50940=EDGE_LOOP('',(#270363,#270364,#270365,#270366)); #50941=EDGE_LOOP('',(#270367,#270368,#270369,#270370)); #50942=EDGE_LOOP('',(#270371,#270372,#270373,#270374)); #50943=EDGE_LOOP('',(#270375,#270376,#270377,#270378)); #50944=EDGE_LOOP('',(#270379,#270380,#270381,#270382)); #50945=EDGE_LOOP('',(#270383,#270384,#270385,#270386)); #50946=EDGE_LOOP('',(#270387,#270388,#270389,#270390)); #50947=EDGE_LOOP('',(#270391,#270392,#270393,#270394)); #50948=EDGE_LOOP('',(#270395,#270396,#270397,#270398)); #50949=EDGE_LOOP('',(#270399,#270400,#270401,#270402)); #50950=EDGE_LOOP('',(#270403,#270404,#270405,#270406)); #50951=EDGE_LOOP('',(#270407,#270408,#270409,#270410)); #50952=EDGE_LOOP('',(#270411,#270412,#270413,#270414)); #50953=EDGE_LOOP('',(#270415,#270416,#270417,#270418)); #50954=EDGE_LOOP('',(#270419,#270420,#270421,#270422)); #50955=EDGE_LOOP('',(#270423,#270424,#270425,#270426)); #50956=EDGE_LOOP('',(#270427,#270428,#270429,#270430)); #50957=EDGE_LOOP('',(#270431,#270432,#270433,#270434)); #50958=EDGE_LOOP('',(#270435,#270436,#270437,#270438)); #50959=EDGE_LOOP('',(#270439,#270440,#270441,#270442)); #50960=EDGE_LOOP('',(#270443,#270444,#270445,#270446)); #50961=EDGE_LOOP('',(#270447,#270448,#270449,#270450)); #50962=EDGE_LOOP('',(#270451,#270452,#270453,#270454)); #50963=EDGE_LOOP('',(#270455,#270456,#270457,#270458)); #50964=EDGE_LOOP('',(#270459,#270460,#270461,#270462)); #50965=EDGE_LOOP('',(#270463,#270464,#270465,#270466)); #50966=EDGE_LOOP('',(#270467,#270468,#270469,#270470)); #50967=EDGE_LOOP('',(#270471,#270472,#270473,#270474)); #50968=EDGE_LOOP('',(#270475,#270476,#270477,#270478)); #50969=EDGE_LOOP('',(#270479,#270480,#270481,#270482)); #50970=EDGE_LOOP('',(#270483,#270484,#270485,#270486)); #50971=EDGE_LOOP('',(#270487,#270488,#270489,#270490)); #50972=EDGE_LOOP('',(#270491,#270492,#270493,#270494)); #50973=EDGE_LOOP('',(#270495,#270496,#270497,#270498)); #50974=EDGE_LOOP('',(#270499,#270500,#270501,#270502)); #50975=EDGE_LOOP('',(#270503,#270504,#270505,#270506)); #50976=EDGE_LOOP('',(#270507,#270508,#270509,#270510)); #50977=EDGE_LOOP('',(#270511,#270512,#270513,#270514)); #50978=EDGE_LOOP('',(#270515,#270516,#270517,#270518)); #50979=EDGE_LOOP('',(#270519,#270520,#270521,#270522)); #50980=EDGE_LOOP('',(#270523,#270524,#270525,#270526)); #50981=EDGE_LOOP('',(#270527,#270528,#270529,#270530)); #50982=EDGE_LOOP('',(#270531,#270532,#270533,#270534)); #50983=EDGE_LOOP('',(#270535,#270536,#270537,#270538)); #50984=EDGE_LOOP('',(#270539,#270540,#270541,#270542)); #50985=EDGE_LOOP('',(#270543,#270544,#270545,#270546)); #50986=EDGE_LOOP('',(#270547,#270548,#270549,#270550)); #50987=EDGE_LOOP('',(#270551,#270552,#270553,#270554)); #50988=EDGE_LOOP('',(#270555,#270556,#270557,#270558)); #50989=EDGE_LOOP('',(#270559,#270560,#270561,#270562)); #50990=EDGE_LOOP('',(#270563,#270564,#270565,#270566)); #50991=EDGE_LOOP('',(#270567,#270568,#270569,#270570)); #50992=EDGE_LOOP('',(#270571,#270572,#270573,#270574)); #50993=EDGE_LOOP('',(#270575,#270576,#270577,#270578)); #50994=EDGE_LOOP('',(#270579,#270580,#270581,#270582)); #50995=EDGE_LOOP('',(#270583,#270584,#270585,#270586)); #50996=EDGE_LOOP('',(#270587,#270588,#270589,#270590)); #50997=EDGE_LOOP('',(#270591,#270592,#270593,#270594)); #50998=EDGE_LOOP('',(#270595,#270596,#270597,#270598)); #50999=EDGE_LOOP('',(#270599,#270600,#270601,#270602)); #51000=EDGE_LOOP('',(#270603,#270604,#270605,#270606)); #51001=EDGE_LOOP('',(#270607,#270608,#270609,#270610)); #51002=EDGE_LOOP('',(#270611,#270612,#270613,#270614)); #51003=EDGE_LOOP('',(#270615,#270616,#270617,#270618)); #51004=EDGE_LOOP('',(#270619,#270620,#270621,#270622)); #51005=EDGE_LOOP('',(#270623,#270624,#270625,#270626)); #51006=EDGE_LOOP('',(#270627,#270628,#270629,#270630)); #51007=EDGE_LOOP('',(#270631,#270632,#270633,#270634)); #51008=EDGE_LOOP('',(#270635,#270636,#270637,#270638)); #51009=EDGE_LOOP('',(#270639,#270640,#270641,#270642)); #51010=EDGE_LOOP('',(#270643,#270644,#270645,#270646)); #51011=EDGE_LOOP('',(#270647,#270648,#270649,#270650)); #51012=EDGE_LOOP('',(#270651,#270652,#270653,#270654)); #51013=EDGE_LOOP('',(#270655,#270656,#270657,#270658)); #51014=EDGE_LOOP('',(#270659,#270660,#270661,#270662)); #51015=EDGE_LOOP('',(#270663,#270664,#270665,#270666)); #51016=EDGE_LOOP('',(#270667,#270668,#270669,#270670)); #51017=EDGE_LOOP('',(#270671,#270672,#270673,#270674)); #51018=EDGE_LOOP('',(#270675,#270676,#270677,#270678)); #51019=EDGE_LOOP('',(#270679,#270680,#270681,#270682)); #51020=EDGE_LOOP('',(#270683,#270684,#270685,#270686)); #51021=EDGE_LOOP('',(#270687,#270688,#270689,#270690)); #51022=EDGE_LOOP('',(#270691,#270692,#270693,#270694)); #51023=EDGE_LOOP('',(#270695,#270696,#270697,#270698)); #51024=EDGE_LOOP('',(#270699,#270700,#270701,#270702)); #51025=EDGE_LOOP('',(#270703,#270704,#270705,#270706)); #51026=EDGE_LOOP('',(#270707,#270708,#270709,#270710)); #51027=EDGE_LOOP('',(#270711,#270712,#270713,#270714)); #51028=EDGE_LOOP('',(#270715,#270716,#270717,#270718)); #51029=EDGE_LOOP('',(#270719,#270720,#270721,#270722)); #51030=EDGE_LOOP('',(#270723,#270724,#270725,#270726)); #51031=EDGE_LOOP('',(#270727,#270728,#270729,#270730)); #51032=EDGE_LOOP('',(#270731,#270732,#270733,#270734)); #51033=EDGE_LOOP('',(#270735,#270736,#270737,#270738)); #51034=EDGE_LOOP('',(#270739,#270740,#270741,#270742)); #51035=EDGE_LOOP('',(#270743,#270744,#270745,#270746)); #51036=EDGE_LOOP('',(#270747,#270748,#270749,#270750)); #51037=EDGE_LOOP('',(#270751,#270752,#270753,#270754)); #51038=EDGE_LOOP('',(#270755,#270756,#270757,#270758)); #51039=EDGE_LOOP('',(#270759,#270760,#270761,#270762)); #51040=EDGE_LOOP('',(#270763,#270764,#270765,#270766)); #51041=EDGE_LOOP('',(#270767,#270768,#270769,#270770)); #51042=EDGE_LOOP('',(#270771,#270772,#270773,#270774)); #51043=EDGE_LOOP('',(#270775,#270776,#270777,#270778)); #51044=EDGE_LOOP('',(#270779,#270780,#270781,#270782)); #51045=EDGE_LOOP('',(#270783,#270784,#270785,#270786)); #51046=EDGE_LOOP('',(#270787,#270788,#270789,#270790)); #51047=EDGE_LOOP('',(#270791,#270792,#270793,#270794)); #51048=EDGE_LOOP('',(#270795,#270796,#270797,#270798)); #51049=EDGE_LOOP('',(#270799,#270800,#270801,#270802)); #51050=EDGE_LOOP('',(#270803,#270804,#270805,#270806)); #51051=EDGE_LOOP('',(#270807,#270808,#270809,#270810)); #51052=EDGE_LOOP('',(#270811,#270812,#270813,#270814)); #51053=EDGE_LOOP('',(#270815,#270816,#270817,#270818)); #51054=EDGE_LOOP('',(#270819,#270820,#270821,#270822)); #51055=EDGE_LOOP('',(#270823,#270824,#270825,#270826)); #51056=EDGE_LOOP('',(#270827,#270828,#270829,#270830)); #51057=EDGE_LOOP('',(#270831,#270832,#270833,#270834)); #51058=EDGE_LOOP('',(#270835,#270836,#270837,#270838)); #51059=EDGE_LOOP('',(#270839,#270840,#270841,#270842)); #51060=EDGE_LOOP('',(#270843,#270844,#270845,#270846)); #51061=EDGE_LOOP('',(#270847,#270848,#270849,#270850)); #51062=EDGE_LOOP('',(#270851,#270852,#270853,#270854)); #51063=EDGE_LOOP('',(#270855,#270856,#270857,#270858)); #51064=EDGE_LOOP('',(#270859,#270860,#270861,#270862)); #51065=EDGE_LOOP('',(#270863,#270864,#270865,#270866)); #51066=EDGE_LOOP('',(#270867,#270868,#270869,#270870)); #51067=EDGE_LOOP('',(#270871,#270872,#270873,#270874)); #51068=EDGE_LOOP('',(#270875,#270876,#270877,#270878)); #51069=EDGE_LOOP('',(#270879,#270880,#270881,#270882)); #51070=EDGE_LOOP('',(#270883,#270884,#270885,#270886)); #51071=EDGE_LOOP('',(#270887,#270888,#270889,#270890)); #51072=EDGE_LOOP('',(#270891,#270892,#270893,#270894)); #51073=EDGE_LOOP('',(#270895,#270896,#270897,#270898)); #51074=EDGE_LOOP('',(#270899,#270900,#270901,#270902)); #51075=EDGE_LOOP('',(#270903,#270904,#270905,#270906)); #51076=EDGE_LOOP('',(#270907,#270908,#270909,#270910)); #51077=EDGE_LOOP('',(#270911,#270912,#270913,#270914)); #51078=EDGE_LOOP('',(#270915,#270916,#270917,#270918)); #51079=EDGE_LOOP('',(#270919,#270920,#270921,#270922)); #51080=EDGE_LOOP('',(#270923,#270924,#270925,#270926)); #51081=EDGE_LOOP('',(#270927,#270928,#270929,#270930)); #51082=EDGE_LOOP('',(#270931,#270932,#270933,#270934)); #51083=EDGE_LOOP('',(#270935,#270936,#270937,#270938)); #51084=EDGE_LOOP('',(#270939,#270940,#270941,#270942)); #51085=EDGE_LOOP('',(#270943,#270944,#270945,#270946)); #51086=EDGE_LOOP('',(#270947,#270948,#270949,#270950)); #51087=EDGE_LOOP('',(#270951,#270952,#270953,#270954)); #51088=EDGE_LOOP('',(#270955,#270956,#270957,#270958)); #51089=EDGE_LOOP('',(#270959,#270960,#270961,#270962)); #51090=EDGE_LOOP('',(#270963,#270964,#270965,#270966)); #51091=EDGE_LOOP('',(#270967,#270968,#270969,#270970)); #51092=EDGE_LOOP('',(#270971,#270972,#270973,#270974)); #51093=EDGE_LOOP('',(#270975,#270976,#270977,#270978)); #51094=EDGE_LOOP('',(#270979,#270980,#270981,#270982)); #51095=EDGE_LOOP('',(#270983,#270984,#270985,#270986)); #51096=EDGE_LOOP('',(#270987,#270988,#270989,#270990)); #51097=EDGE_LOOP('',(#270991,#270992,#270993,#270994)); #51098=EDGE_LOOP('',(#270995,#270996,#270997,#270998)); #51099=EDGE_LOOP('',(#270999,#271000,#271001,#271002)); #51100=EDGE_LOOP('',(#271003,#271004,#271005,#271006)); #51101=EDGE_LOOP('',(#271007,#271008,#271009,#271010)); #51102=EDGE_LOOP('',(#271011,#271012,#271013,#271014)); #51103=EDGE_LOOP('',(#271015,#271016,#271017,#271018)); #51104=EDGE_LOOP('',(#271019,#271020,#271021,#271022)); #51105=EDGE_LOOP('',(#271023,#271024,#271025,#271026)); #51106=EDGE_LOOP('',(#271027,#271028,#271029,#271030)); #51107=EDGE_LOOP('',(#271031,#271032,#271033,#271034)); #51108=EDGE_LOOP('',(#271035,#271036,#271037,#271038)); #51109=EDGE_LOOP('',(#271039,#271040,#271041,#271042)); #51110=EDGE_LOOP('',(#271043,#271044,#271045,#271046)); #51111=EDGE_LOOP('',(#271047,#271048,#271049,#271050)); #51112=EDGE_LOOP('',(#271051,#271052,#271053,#271054)); #51113=EDGE_LOOP('',(#271055,#271056,#271057,#271058)); #51114=EDGE_LOOP('',(#271059,#271060,#271061,#271062)); #51115=EDGE_LOOP('',(#271063,#271064,#271065,#271066)); #51116=EDGE_LOOP('',(#271067,#271068,#271069,#271070)); #51117=EDGE_LOOP('',(#271071,#271072,#271073,#271074)); #51118=EDGE_LOOP('',(#271075,#271076,#271077,#271078)); #51119=EDGE_LOOP('',(#271079,#271080,#271081,#271082)); #51120=EDGE_LOOP('',(#271083,#271084,#271085,#271086)); #51121=EDGE_LOOP('',(#271087,#271088,#271089,#271090)); #51122=EDGE_LOOP('',(#271091,#271092,#271093,#271094)); #51123=EDGE_LOOP('',(#271095,#271096,#271097,#271098)); #51124=EDGE_LOOP('',(#271099,#271100,#271101,#271102)); #51125=EDGE_LOOP('',(#271103,#271104,#271105,#271106)); #51126=EDGE_LOOP('',(#271107,#271108,#271109,#271110)); #51127=EDGE_LOOP('',(#271111,#271112,#271113,#271114)); #51128=EDGE_LOOP('',(#271115,#271116,#271117,#271118)); #51129=EDGE_LOOP('',(#271119,#271120,#271121,#271122)); #51130=EDGE_LOOP('',(#271123,#271124,#271125,#271126)); #51131=EDGE_LOOP('',(#271127,#271128,#271129,#271130)); #51132=EDGE_LOOP('',(#271131,#271132,#271133,#271134)); #51133=EDGE_LOOP('',(#271135,#271136,#271137,#271138)); #51134=EDGE_LOOP('',(#271139,#271140,#271141,#271142)); #51135=EDGE_LOOP('',(#271143,#271144,#271145,#271146)); #51136=EDGE_LOOP('',(#271147,#271148,#271149,#271150)); #51137=EDGE_LOOP('',(#271151,#271152,#271153,#271154)); #51138=EDGE_LOOP('',(#271155,#271156,#271157,#271158)); #51139=EDGE_LOOP('',(#271159,#271160,#271161,#271162)); #51140=EDGE_LOOP('',(#271163,#271164,#271165,#271166)); #51141=EDGE_LOOP('',(#271167,#271168,#271169,#271170)); #51142=EDGE_LOOP('',(#271171,#271172,#271173,#271174)); #51143=EDGE_LOOP('',(#271175,#271176,#271177,#271178)); #51144=EDGE_LOOP('',(#271179,#271180,#271181,#271182)); #51145=EDGE_LOOP('',(#271183,#271184,#271185,#271186)); #51146=EDGE_LOOP('',(#271187,#271188,#271189,#271190)); #51147=EDGE_LOOP('',(#271191,#271192,#271193,#271194)); #51148=EDGE_LOOP('',(#271195,#271196,#271197,#271198)); #51149=EDGE_LOOP('',(#271199,#271200,#271201,#271202)); #51150=EDGE_LOOP('',(#271203,#271204,#271205,#271206)); #51151=EDGE_LOOP('',(#271207,#271208,#271209,#271210)); #51152=EDGE_LOOP('',(#271211,#271212,#271213,#271214)); #51153=EDGE_LOOP('',(#271215,#271216,#271217,#271218)); #51154=EDGE_LOOP('',(#271219,#271220,#271221,#271222)); #51155=EDGE_LOOP('',(#271223,#271224,#271225,#271226)); #51156=EDGE_LOOP('',(#271227,#271228,#271229,#271230)); #51157=EDGE_LOOP('',(#271231,#271232,#271233,#271234)); #51158=EDGE_LOOP('',(#271235,#271236,#271237,#271238)); #51159=EDGE_LOOP('',(#271239,#271240,#271241,#271242)); #51160=EDGE_LOOP('',(#271243,#271244,#271245,#271246)); #51161=EDGE_LOOP('',(#271247,#271248,#271249,#271250)); #51162=EDGE_LOOP('',(#271251,#271252,#271253,#271254)); #51163=EDGE_LOOP('',(#271255,#271256,#271257,#271258)); #51164=EDGE_LOOP('',(#271259,#271260,#271261,#271262)); #51165=EDGE_LOOP('',(#271263,#271264,#271265,#271266)); #51166=EDGE_LOOP('',(#271267,#271268,#271269,#271270)); #51167=EDGE_LOOP('',(#271271,#271272,#271273,#271274)); #51168=EDGE_LOOP('',(#271275,#271276,#271277,#271278)); #51169=EDGE_LOOP('',(#271279,#271280,#271281,#271282)); #51170=EDGE_LOOP('',(#271283,#271284,#271285,#271286)); #51171=EDGE_LOOP('',(#271287,#271288,#271289,#271290)); #51172=EDGE_LOOP('',(#271291,#271292,#271293,#271294)); #51173=EDGE_LOOP('',(#271295,#271296,#271297,#271298)); #51174=EDGE_LOOP('',(#271299,#271300,#271301,#271302)); #51175=EDGE_LOOP('',(#271303,#271304,#271305,#271306)); #51176=EDGE_LOOP('',(#271307,#271308,#271309,#271310)); #51177=EDGE_LOOP('',(#271311,#271312,#271313,#271314)); #51178=EDGE_LOOP('',(#271315,#271316,#271317,#271318)); #51179=EDGE_LOOP('',(#271319,#271320,#271321,#271322)); #51180=EDGE_LOOP('',(#271323,#271324,#271325,#271326)); #51181=EDGE_LOOP('',(#271327,#271328,#271329,#271330)); #51182=EDGE_LOOP('',(#271331,#271332,#271333,#271334)); #51183=EDGE_LOOP('',(#271335,#271336,#271337,#271338)); #51184=EDGE_LOOP('',(#271339,#271340,#271341,#271342)); #51185=EDGE_LOOP('',(#271343,#271344,#271345,#271346)); #51186=EDGE_LOOP('',(#271347,#271348,#271349,#271350)); #51187=EDGE_LOOP('',(#271351,#271352,#271353,#271354)); #51188=EDGE_LOOP('',(#271355,#271356,#271357,#271358)); #51189=EDGE_LOOP('',(#271359,#271360,#271361,#271362)); #51190=EDGE_LOOP('',(#271363,#271364,#271365,#271366)); #51191=EDGE_LOOP('',(#271367,#271368,#271369,#271370)); #51192=EDGE_LOOP('',(#271371,#271372,#271373,#271374)); #51193=EDGE_LOOP('',(#271375,#271376,#271377,#271378)); #51194=EDGE_LOOP('',(#271379,#271380,#271381,#271382)); #51195=EDGE_LOOP('',(#271383,#271384,#271385,#271386)); #51196=EDGE_LOOP('',(#271387,#271388,#271389,#271390)); #51197=EDGE_LOOP('',(#271391,#271392,#271393,#271394)); #51198=EDGE_LOOP('',(#271395,#271396,#271397,#271398)); #51199=EDGE_LOOP('',(#271399,#271400,#271401,#271402)); #51200=EDGE_LOOP('',(#271403,#271404,#271405,#271406)); #51201=EDGE_LOOP('',(#271407,#271408,#271409,#271410)); #51202=EDGE_LOOP('',(#271411,#271412,#271413,#271414)); #51203=EDGE_LOOP('',(#271415,#271416,#271417,#271418)); #51204=EDGE_LOOP('',(#271419,#271420,#271421,#271422)); #51205=EDGE_LOOP('',(#271423,#271424,#271425,#271426)); #51206=EDGE_LOOP('',(#271427,#271428,#271429,#271430)); #51207=EDGE_LOOP('',(#271431,#271432,#271433,#271434)); #51208=EDGE_LOOP('',(#271435,#271436,#271437,#271438)); #51209=EDGE_LOOP('',(#271439,#271440,#271441,#271442)); #51210=EDGE_LOOP('',(#271443,#271444,#271445,#271446)); #51211=EDGE_LOOP('',(#271447,#271448,#271449,#271450)); #51212=EDGE_LOOP('',(#271451,#271452,#271453,#271454)); #51213=EDGE_LOOP('',(#271455,#271456,#271457,#271458)); #51214=EDGE_LOOP('',(#271459,#271460,#271461,#271462)); #51215=EDGE_LOOP('',(#271463,#271464,#271465,#271466)); #51216=EDGE_LOOP('',(#271467,#271468,#271469,#271470)); #51217=EDGE_LOOP('',(#271471,#271472,#271473,#271474)); #51218=EDGE_LOOP('',(#271475,#271476,#271477,#271478)); #51219=EDGE_LOOP('',(#271479,#271480,#271481,#271482)); #51220=EDGE_LOOP('',(#271483,#271484,#271485,#271486)); #51221=EDGE_LOOP('',(#271487,#271488,#271489,#271490)); #51222=EDGE_LOOP('',(#271491,#271492,#271493,#271494)); #51223=EDGE_LOOP('',(#271495,#271496,#271497,#271498)); #51224=EDGE_LOOP('',(#271499,#271500,#271501,#271502)); #51225=EDGE_LOOP('',(#271503,#271504,#271505,#271506)); #51226=EDGE_LOOP('',(#271507,#271508,#271509,#271510)); #51227=EDGE_LOOP('',(#271511,#271512,#271513,#271514)); #51228=EDGE_LOOP('',(#271515,#271516,#271517,#271518)); #51229=EDGE_LOOP('',(#271519,#271520,#271521,#271522)); #51230=EDGE_LOOP('',(#271523,#271524,#271525,#271526)); #51231=EDGE_LOOP('',(#271527,#271528,#271529,#271530)); #51232=EDGE_LOOP('',(#271531,#271532,#271533,#271534)); #51233=EDGE_LOOP('',(#271535,#271536,#271537,#271538)); #51234=EDGE_LOOP('',(#271539,#271540,#271541,#271542)); #51235=EDGE_LOOP('',(#271543,#271544,#271545,#271546)); #51236=EDGE_LOOP('',(#271547,#271548,#271549,#271550)); #51237=EDGE_LOOP('',(#271551,#271552,#271553,#271554)); #51238=EDGE_LOOP('',(#271555,#271556,#271557,#271558)); #51239=EDGE_LOOP('',(#271559,#271560,#271561,#271562)); #51240=EDGE_LOOP('',(#271563,#271564,#271565,#271566)); #51241=EDGE_LOOP('',(#271567,#271568,#271569,#271570)); #51242=EDGE_LOOP('',(#271571,#271572,#271573,#271574)); #51243=EDGE_LOOP('',(#271575,#271576,#271577,#271578)); #51244=EDGE_LOOP('',(#271579,#271580,#271581,#271582)); #51245=EDGE_LOOP('',(#271583,#271584,#271585,#271586)); #51246=EDGE_LOOP('',(#271587,#271588,#271589,#271590)); #51247=EDGE_LOOP('',(#271591,#271592,#271593,#271594)); #51248=EDGE_LOOP('',(#271595,#271596,#271597,#271598)); #51249=EDGE_LOOP('',(#271599,#271600,#271601,#271602)); #51250=EDGE_LOOP('',(#271603,#271604,#271605,#271606)); #51251=EDGE_LOOP('',(#271607,#271608,#271609,#271610)); #51252=EDGE_LOOP('',(#271611,#271612,#271613,#271614)); #51253=EDGE_LOOP('',(#271615,#271616,#271617,#271618)); #51254=EDGE_LOOP('',(#271619,#271620,#271621,#271622)); #51255=EDGE_LOOP('',(#271623,#271624,#271625,#271626)); #51256=EDGE_LOOP('',(#271627,#271628,#271629,#271630,#271631,#271632,#271633, #271634,#271635,#271636,#271637,#271638,#271639,#271640,#271641,#271642, #271643,#271644,#271645,#271646,#271647,#271648,#271649,#271650,#271651, #271652,#271653,#271654,#271655,#271656,#271657,#271658,#271659,#271660, #271661,#271662,#271663,#271664,#271665,#271666,#271667,#271668,#271669, #271670,#271671,#271672,#271673,#271674,#271675,#271676,#271677,#271678, #271679,#271680,#271681,#271682,#271683,#271684,#271685,#271686,#271687, #271688,#271689,#271690,#271691,#271692,#271693,#271694,#271695,#271696, #271697,#271698,#271699,#271700,#271701,#271702,#271703,#271704,#271705, #271706,#271707,#271708,#271709,#271710,#271711,#271712,#271713,#271714, #271715,#271716,#271717,#271718,#271719,#271720,#271721,#271722,#271723, #271724,#271725,#271726,#271727,#271728,#271729,#271730,#271731,#271732, #271733,#271734,#271735,#271736,#271737,#271738,#271739,#271740,#271741, #271742,#271743,#271744,#271745,#271746)); #51257=EDGE_LOOP('',(#271747)); #51258=EDGE_LOOP('',(#271748)); #51259=EDGE_LOOP('',(#271749)); #51260=EDGE_LOOP('',(#271750)); #51261=EDGE_LOOP('',(#271751)); #51262=EDGE_LOOP('',(#271752)); #51263=EDGE_LOOP('',(#271753)); #51264=EDGE_LOOP('',(#271754)); #51265=EDGE_LOOP('',(#271755)); #51266=EDGE_LOOP('',(#271756)); #51267=EDGE_LOOP('',(#271757)); #51268=EDGE_LOOP('',(#271758)); #51269=EDGE_LOOP('',(#271759)); #51270=EDGE_LOOP('',(#271760)); #51271=EDGE_LOOP('',(#271761)); #51272=EDGE_LOOP('',(#271762)); #51273=EDGE_LOOP('',(#271763)); #51274=EDGE_LOOP('',(#271764)); #51275=EDGE_LOOP('',(#271765)); #51276=EDGE_LOOP('',(#271766)); #51277=EDGE_LOOP('',(#271767)); #51278=EDGE_LOOP('',(#271768)); #51279=EDGE_LOOP('',(#271769)); #51280=EDGE_LOOP('',(#271770)); #51281=EDGE_LOOP('',(#271771)); #51282=EDGE_LOOP('',(#271772)); #51283=EDGE_LOOP('',(#271773)); #51284=EDGE_LOOP('',(#271774)); #51285=EDGE_LOOP('',(#271775)); #51286=EDGE_LOOP('',(#271776)); #51287=EDGE_LOOP('',(#271777)); #51288=EDGE_LOOP('',(#271778)); #51289=EDGE_LOOP('',(#271779)); #51290=EDGE_LOOP('',(#271780)); #51291=EDGE_LOOP('',(#271781)); #51292=EDGE_LOOP('',(#271782)); #51293=EDGE_LOOP('',(#271783)); #51294=EDGE_LOOP('',(#271784)); #51295=EDGE_LOOP('',(#271785)); #51296=EDGE_LOOP('',(#271786)); #51297=EDGE_LOOP('',(#271787)); #51298=EDGE_LOOP('',(#271788)); #51299=EDGE_LOOP('',(#271789)); #51300=EDGE_LOOP('',(#271790)); #51301=EDGE_LOOP('',(#271791)); #51302=EDGE_LOOP('',(#271792)); #51303=EDGE_LOOP('',(#271793)); #51304=EDGE_LOOP('',(#271794)); #51305=EDGE_LOOP('',(#271795)); #51306=EDGE_LOOP('',(#271796)); #51307=EDGE_LOOP('',(#271797)); #51308=EDGE_LOOP('',(#271798)); #51309=EDGE_LOOP('',(#271799)); #51310=EDGE_LOOP('',(#271800)); #51311=EDGE_LOOP('',(#271801)); #51312=EDGE_LOOP('',(#271802)); #51313=EDGE_LOOP('',(#271803)); #51314=EDGE_LOOP('',(#271804)); #51315=EDGE_LOOP('',(#271805)); #51316=EDGE_LOOP('',(#271806)); #51317=EDGE_LOOP('',(#271807)); #51318=EDGE_LOOP('',(#271808)); #51319=EDGE_LOOP('',(#271809)); #51320=EDGE_LOOP('',(#271810)); #51321=EDGE_LOOP('',(#271811,#271812,#271813,#271814)); #51322=EDGE_LOOP('',(#271815,#271816,#271817,#271818)); #51323=EDGE_LOOP('',(#271819)); #51324=EDGE_LOOP('',(#271820)); #51325=EDGE_LOOP('',(#271821,#271822,#271823,#271824)); #51326=EDGE_LOOP('',(#271825)); #51327=EDGE_LOOP('',(#271826)); #51328=EDGE_LOOP('',(#271827)); #51329=EDGE_LOOP('',(#271828)); #51330=EDGE_LOOP('',(#271829)); #51331=EDGE_LOOP('',(#271830,#271831,#271832,#271833)); #51332=EDGE_LOOP('',(#271834)); #51333=EDGE_LOOP('',(#271835,#271836,#271837,#271838)); #51334=EDGE_LOOP('',(#271839,#271840,#271841,#271842)); #51335=EDGE_LOOP('',(#271843)); #51336=EDGE_LOOP('',(#271844)); #51337=EDGE_LOOP('',(#271845)); #51338=EDGE_LOOP('',(#271846)); #51339=EDGE_LOOP('',(#271847)); #51340=EDGE_LOOP('',(#271848)); #51341=EDGE_LOOP('',(#271849)); #51342=EDGE_LOOP('',(#271850)); #51343=EDGE_LOOP('',(#271851)); #51344=EDGE_LOOP('',(#271852)); #51345=EDGE_LOOP('',(#271853)); #51346=EDGE_LOOP('',(#271854)); #51347=EDGE_LOOP('',(#271855)); #51348=EDGE_LOOP('',(#271856)); #51349=EDGE_LOOP('',(#271857)); #51350=EDGE_LOOP('',(#271858)); #51351=EDGE_LOOP('',(#271859)); #51352=EDGE_LOOP('',(#271860)); #51353=EDGE_LOOP('',(#271861)); #51354=EDGE_LOOP('',(#271862)); #51355=EDGE_LOOP('',(#271863)); #51356=EDGE_LOOP('',(#271864)); #51357=EDGE_LOOP('',(#271865)); #51358=EDGE_LOOP('',(#271866)); #51359=EDGE_LOOP('',(#271867)); #51360=EDGE_LOOP('',(#271868)); #51361=EDGE_LOOP('',(#271869)); #51362=EDGE_LOOP('',(#271870)); #51363=EDGE_LOOP('',(#271871)); #51364=EDGE_LOOP('',(#271872)); #51365=EDGE_LOOP('',(#271873)); #51366=EDGE_LOOP('',(#271874)); #51367=EDGE_LOOP('',(#271875)); #51368=EDGE_LOOP('',(#271876)); #51369=EDGE_LOOP('',(#271877)); #51370=EDGE_LOOP('',(#271878)); #51371=EDGE_LOOP('',(#271879)); #51372=EDGE_LOOP('',(#271880)); #51373=EDGE_LOOP('',(#271881)); #51374=EDGE_LOOP('',(#271882)); #51375=EDGE_LOOP('',(#271883)); #51376=EDGE_LOOP('',(#271884)); #51377=EDGE_LOOP('',(#271885)); #51378=EDGE_LOOP('',(#271886)); #51379=EDGE_LOOP('',(#271887)); #51380=EDGE_LOOP('',(#271888)); #51381=EDGE_LOOP('',(#271889)); #51382=EDGE_LOOP('',(#271890)); #51383=EDGE_LOOP('',(#271891)); #51384=EDGE_LOOP('',(#271892)); #51385=EDGE_LOOP('',(#271893)); #51386=EDGE_LOOP('',(#271894)); #51387=EDGE_LOOP('',(#271895,#271896,#271897,#271898,#271899,#271900,#271901, #271902,#271903,#271904,#271905,#271906,#271907,#271908,#271909,#271910, #271911,#271912,#271913,#271914,#271915,#271916,#271917,#271918,#271919, #271920,#271921,#271922,#271923,#271924)); #51388=EDGE_LOOP('',(#271925,#271926,#271927,#271928,#271929,#271930,#271931, #271932,#271933,#271934,#271935,#271936,#271937,#271938,#271939,#271940, #271941,#271942,#271943,#271944,#271945,#271946,#271947,#271948,#271949, #271950,#271951,#271952,#271953,#271954)); #51389=EDGE_LOOP('',(#271955,#271956,#271957,#271958,#271959,#271960,#271961, #271962,#271963,#271964,#271965,#271966,#271967,#271968,#271969,#271970, #271971,#271972,#271973,#271974,#271975,#271976,#271977,#271978,#271979, #271980,#271981,#271982,#271983,#271984)); #51390=EDGE_LOOP('',(#271985,#271986,#271987,#271988,#271989,#271990,#271991, #271992,#271993,#271994,#271995,#271996,#271997,#271998,#271999,#272000, #272001,#272002,#272003,#272004,#272005,#272006,#272007,#272008,#272009, #272010,#272011,#272012,#272013,#272014,#272015,#272016,#272017,#272018, #272019,#272020,#272021,#272022,#272023,#272024,#272025,#272026,#272027, #272028,#272029,#272030,#272031,#272032,#272033,#272034,#272035,#272036, #272037,#272038,#272039,#272040,#272041,#272042,#272043,#272044,#272045, #272046,#272047,#272048,#272049,#272050,#272051,#272052,#272053,#272054, #272055,#272056,#272057,#272058,#272059,#272060,#272061,#272062,#272063, #272064,#272065,#272066,#272067,#272068,#272069,#272070,#272071,#272072, #272073,#272074,#272075,#272076,#272077,#272078,#272079,#272080,#272081, #272082,#272083,#272084,#272085,#272086,#272087,#272088,#272089,#272090, #272091,#272092,#272093,#272094,#272095,#272096,#272097,#272098,#272099, #272100,#272101,#272102,#272103,#272104,#272105,#272106,#272107,#272108, #272109,#272110,#272111,#272112,#272113,#272114,#272115,#272116,#272117, #272118,#272119,#272120,#272121,#272122,#272123,#272124,#272125,#272126, #272127,#272128,#272129,#272130,#272131,#272132,#272133,#272134,#272135, #272136,#272137,#272138,#272139,#272140,#272141,#272142,#272143,#272144, #272145,#272146,#272147,#272148,#272149,#272150,#272151,#272152,#272153, #272154,#272155,#272156,#272157,#272158,#272159,#272160,#272161,#272162, #272163,#272164,#272165,#272166,#272167,#272168,#272169,#272170,#272171, #272172,#272173,#272174,#272175,#272176,#272177,#272178,#272179,#272180, #272181,#272182,#272183,#272184,#272185,#272186,#272187,#272188,#272189, #272190,#272191,#272192,#272193,#272194,#272195,#272196,#272197,#272198, #272199,#272200,#272201,#272202,#272203,#272204,#272205,#272206,#272207, #272208,#272209,#272210,#272211,#272212,#272213,#272214,#272215,#272216, #272217,#272218,#272219,#272220,#272221,#272222,#272223,#272224,#272225, #272226,#272227,#272228,#272229,#272230,#272231,#272232,#272233,#272234, #272235)); #51391=EDGE_LOOP('',(#272236,#272237,#272238,#272239,#272240,#272241,#272242, #272243,#272244,#272245,#272246,#272247,#272248,#272249,#272250,#272251, #272252,#272253,#272254,#272255,#272256,#272257,#272258,#272259,#272260, #272261,#272262,#272263,#272264,#272265,#272266,#272267,#272268,#272269, #272270,#272271,#272272,#272273,#272274,#272275,#272276,#272277,#272278, #272279,#272280,#272281,#272282,#272283,#272284,#272285,#272286,#272287, #272288,#272289,#272290,#272291,#272292,#272293,#272294,#272295,#272296, #272297,#272298,#272299,#272300,#272301,#272302,#272303,#272304,#272305, #272306,#272307,#272308,#272309,#272310,#272311,#272312,#272313,#272314, #272315,#272316,#272317,#272318,#272319,#272320,#272321,#272322,#272323, #272324,#272325,#272326,#272327,#272328,#272329,#272330,#272331,#272332, #272333,#272334,#272335)); #51392=EDGE_LOOP('',(#272336,#272337,#272338,#272339,#272340,#272341,#272342, #272343,#272344,#272345,#272346,#272347,#272348,#272349,#272350,#272351, #272352,#272353,#272354,#272355,#272356,#272357,#272358,#272359,#272360, #272361,#272362,#272363,#272364,#272365,#272366,#272367,#272368,#272369, #272370,#272371,#272372,#272373,#272374,#272375,#272376,#272377,#272378, #272379,#272380,#272381,#272382,#272383,#272384,#272385,#272386,#272387, #272388,#272389,#272390,#272391,#272392,#272393,#272394,#272395,#272396, #272397,#272398,#272399,#272400,#272401,#272402,#272403,#272404,#272405, #272406,#272407,#272408,#272409,#272410,#272411,#272412,#272413,#272414, #272415,#272416,#272417,#272418,#272419,#272420,#272421,#272422,#272423, #272424,#272425,#272426,#272427,#272428,#272429,#272430,#272431,#272432, #272433,#272434,#272435,#272436,#272437,#272438,#272439,#272440,#272441, #272442,#272443,#272444,#272445,#272446,#272447,#272448,#272449,#272450, #272451,#272452,#272453,#272454,#272455,#272456,#272457,#272458,#272459, #272460,#272461,#272462,#272463,#272464,#272465,#272466,#272467,#272468, #272469,#272470,#272471,#272472,#272473,#272474,#272475,#272476,#272477, #272478,#272479,#272480,#272481,#272482,#272483,#272484,#272485,#272486, #272487,#272488,#272489,#272490,#272491,#272492,#272493,#272494,#272495, #272496,#272497,#272498,#272499,#272500,#272501,#272502,#272503,#272504, #272505,#272506,#272507,#272508,#272509,#272510,#272511,#272512,#272513, #272514,#272515,#272516,#272517,#272518,#272519,#272520,#272521,#272522, #272523,#272524,#272525,#272526,#272527,#272528,#272529,#272530,#272531, #272532,#272533,#272534,#272535,#272536,#272537,#272538,#272539,#272540, #272541,#272542,#272543,#272544,#272545,#272546,#272547,#272548,#272549, #272550,#272551,#272552,#272553,#272554,#272555,#272556,#272557,#272558, #272559,#272560,#272561,#272562,#272563,#272564,#272565,#272566,#272567, #272568,#272569,#272570,#272571,#272572,#272573,#272574,#272575,#272576, #272577,#272578,#272579,#272580,#272581,#272582,#272583,#272584,#272585, #272586,#272587,#272588,#272589,#272590,#272591,#272592,#272593,#272594, #272595,#272596,#272597,#272598,#272599,#272600,#272601,#272602,#272603, #272604,#272605,#272606,#272607,#272608,#272609,#272610,#272611,#272612, #272613,#272614,#272615,#272616,#272617,#272618,#272619,#272620,#272621, #272622,#272623,#272624,#272625,#272626,#272627,#272628,#272629,#272630, #272631,#272632,#272633,#272634,#272635,#272636,#272637,#272638,#272639, #272640,#272641,#272642,#272643,#272644,#272645,#272646,#272647,#272648, #272649,#272650,#272651,#272652,#272653,#272654,#272655,#272656,#272657, #272658,#272659,#272660,#272661,#272662,#272663,#272664,#272665,#272666, #272667,#272668,#272669,#272670,#272671,#272672,#272673,#272674,#272675, #272676,#272677,#272678,#272679,#272680,#272681,#272682,#272683,#272684, #272685,#272686,#272687,#272688,#272689,#272690,#272691,#272692,#272693, #272694,#272695,#272696,#272697,#272698,#272699,#272700,#272701,#272702, #272703,#272704,#272705,#272706,#272707,#272708,#272709,#272710,#272711, #272712,#272713,#272714,#272715,#272716,#272717,#272718,#272719,#272720, #272721,#272722,#272723,#272724,#272725,#272726,#272727,#272728,#272729, #272730,#272731,#272732,#272733,#272734,#272735,#272736,#272737,#272738, #272739,#272740,#272741,#272742,#272743,#272744,#272745,#272746,#272747, #272748,#272749,#272750,#272751,#272752,#272753,#272754,#272755,#272756, #272757,#272758,#272759,#272760,#272761,#272762,#272763,#272764,#272765, #272766,#272767,#272768,#272769,#272770,#272771,#272772,#272773,#272774, #272775,#272776,#272777,#272778,#272779,#272780,#272781,#272782,#272783, #272784,#272785,#272786,#272787,#272788,#272789,#272790,#272791,#272792, #272793,#272794,#272795,#272796,#272797,#272798,#272799,#272800,#272801, #272802,#272803,#272804,#272805,#272806,#272807,#272808,#272809,#272810, #272811,#272812,#272813,#272814,#272815,#272816,#272817,#272818,#272819, #272820,#272821,#272822,#272823,#272824,#272825,#272826,#272827,#272828, #272829,#272830,#272831,#272832,#272833,#272834,#272835,#272836,#272837, #272838,#272839,#272840,#272841,#272842,#272843,#272844,#272845,#272846, #272847,#272848,#272849,#272850,#272851,#272852,#272853,#272854,#272855, #272856,#272857,#272858,#272859,#272860,#272861,#272862,#272863,#272864, #272865,#272866,#272867,#272868,#272869,#272870,#272871,#272872,#272873, #272874,#272875,#272876,#272877,#272878,#272879,#272880,#272881,#272882, #272883,#272884,#272885,#272886,#272887,#272888,#272889,#272890,#272891, #272892,#272893,#272894,#272895,#272896,#272897,#272898,#272899,#272900, #272901,#272902,#272903,#272904,#272905,#272906,#272907,#272908,#272909, #272910,#272911,#272912,#272913,#272914,#272915,#272916,#272917,#272918, #272919,#272920,#272921,#272922,#272923,#272924,#272925,#272926,#272927, #272928,#272929,#272930,#272931,#272932,#272933,#272934,#272935,#272936, #272937,#272938,#272939,#272940,#272941,#272942,#272943,#272944,#272945, #272946,#272947,#272948,#272949,#272950,#272951,#272952,#272953,#272954, #272955,#272956,#272957,#272958,#272959,#272960,#272961,#272962,#272963, #272964,#272965,#272966,#272967,#272968,#272969,#272970,#272971,#272972, #272973,#272974,#272975,#272976,#272977,#272978,#272979,#272980,#272981)); #51393=EDGE_LOOP('',(#272982,#272983,#272984,#272985,#272986,#272987,#272988, #272989,#272990,#272991,#272992,#272993,#272994,#272995,#272996,#272997, #272998,#272999,#273000,#273001,#273002,#273003,#273004,#273005)); #51394=EDGE_LOOP('',(#273006,#273007,#273008,#273009,#273010,#273011,#273012, #273013,#273014,#273015,#273016,#273017,#273018,#273019,#273020,#273021, #273022,#273023,#273024,#273025,#273026,#273027,#273028,#273029,#273030, #273031,#273032,#273033,#273034,#273035,#273036,#273037,#273038,#273039, #273040,#273041,#273042,#273043)); #51395=EDGE_LOOP('',(#273044,#273045,#273046,#273047,#273048,#273049,#273050, #273051,#273052,#273053,#273054,#273055,#273056,#273057,#273058,#273059, #273060,#273061,#273062,#273063,#273064,#273065,#273066,#273067,#273068, #273069,#273070,#273071,#273072,#273073,#273074,#273075,#273076,#273077, #273078,#273079,#273080,#273081,#273082,#273083)); #51396=EDGE_LOOP('',(#273084,#273085,#273086,#273087,#273088,#273089,#273090, #273091,#273092,#273093,#273094,#273095,#273096,#273097,#273098,#273099, #273100,#273101,#273102,#273103,#273104,#273105,#273106,#273107,#273108, #273109,#273110,#273111,#273112,#273113,#273114,#273115,#273116,#273117)); #51397=EDGE_LOOP('',(#273118,#273119,#273120,#273121,#273122,#273123,#273124, #273125,#273126,#273127,#273128,#273129,#273130,#273131,#273132,#273133, #273134,#273135,#273136,#273137,#273138,#273139,#273140,#273141,#273142, #273143,#273144,#273145,#273146,#273147,#273148,#273149,#273150,#273151)); #51398=EDGE_LOOP('',(#273152,#273153,#273154,#273155,#273156,#273157,#273158, #273159)); #51399=EDGE_LOOP('',(#273160,#273161,#273162,#273163,#273164,#273165,#273166, #273167)); #51400=EDGE_LOOP('',(#273168,#273169,#273170,#273171,#273172,#273173,#273174, #273175)); #51401=EDGE_LOOP('',(#273176,#273177,#273178,#273179,#273180,#273181,#273182, #273183,#273184,#273185,#273186,#273187,#273188,#273189,#273190,#273191, #273192,#273193,#273194,#273195,#273196,#273197,#273198,#273199,#273200, #273201,#273202,#273203,#273204,#273205,#273206,#273207,#273208,#273209, #273210,#273211,#273212,#273213,#273214,#273215,#273216,#273217,#273218, #273219,#273220,#273221,#273222,#273223,#273224,#273225,#273226,#273227, #273228,#273229,#273230,#273231,#273232,#273233,#273234,#273235,#273236, #273237,#273238,#273239,#273240,#273241,#273242,#273243,#273244,#273245, #273246,#273247,#273248,#273249,#273250,#273251,#273252,#273253,#273254, #273255,#273256,#273257,#273258,#273259,#273260,#273261,#273262,#273263, #273264,#273265,#273266,#273267,#273268,#273269,#273270,#273271,#273272, #273273,#273274,#273275,#273276,#273277,#273278,#273279,#273280,#273281, #273282,#273283,#273284,#273285,#273286,#273287,#273288,#273289,#273290, #273291,#273292,#273293,#273294,#273295,#273296,#273297,#273298,#273299, #273300,#273301,#273302,#273303,#273304,#273305,#273306,#273307)); #51402=EDGE_LOOP('',(#273308,#273309,#273310,#273311,#273312,#273313,#273314, #273315,#273316,#273317,#273318,#273319,#273320,#273321,#273322,#273323, #273324,#273325,#273326,#273327,#273328,#273329,#273330,#273331,#273332, #273333,#273334,#273335,#273336,#273337,#273338,#273339,#273340,#273341, #273342,#273343,#273344,#273345,#273346,#273347,#273348,#273349,#273350, #273351,#273352,#273353,#273354,#273355,#273356,#273357,#273358,#273359, #273360,#273361,#273362,#273363,#273364,#273365,#273366,#273367,#273368, #273369,#273370,#273371,#273372,#273373,#273374,#273375,#273376,#273377, #273378,#273379,#273380,#273381,#273382,#273383,#273384,#273385,#273386, #273387,#273388,#273389,#273390,#273391,#273392,#273393,#273394,#273395, #273396,#273397,#273398,#273399,#273400,#273401,#273402,#273403,#273404, #273405,#273406,#273407,#273408,#273409,#273410,#273411,#273412,#273413, #273414,#273415,#273416,#273417,#273418,#273419,#273420,#273421,#273422, #273423,#273424,#273425,#273426,#273427)); #51403=EDGE_LOOP('',(#273428)); #51404=EDGE_LOOP('',(#273429)); #51405=EDGE_LOOP('',(#273430)); #51406=EDGE_LOOP('',(#273431)); #51407=EDGE_LOOP('',(#273432)); #51408=EDGE_LOOP('',(#273433)); #51409=EDGE_LOOP('',(#273434)); #51410=EDGE_LOOP('',(#273435)); #51411=EDGE_LOOP('',(#273436)); #51412=EDGE_LOOP('',(#273437)); #51413=EDGE_LOOP('',(#273438)); #51414=EDGE_LOOP('',(#273439)); #51415=EDGE_LOOP('',(#273440)); #51416=EDGE_LOOP('',(#273441)); #51417=EDGE_LOOP('',(#273442)); #51418=EDGE_LOOP('',(#273443)); #51419=EDGE_LOOP('',(#273444)); #51420=EDGE_LOOP('',(#273445)); #51421=EDGE_LOOP('',(#273446)); #51422=EDGE_LOOP('',(#273447)); #51423=EDGE_LOOP('',(#273448)); #51424=EDGE_LOOP('',(#273449)); #51425=EDGE_LOOP('',(#273450)); #51426=EDGE_LOOP('',(#273451)); #51427=EDGE_LOOP('',(#273452)); #51428=EDGE_LOOP('',(#273453)); #51429=EDGE_LOOP('',(#273454)); #51430=EDGE_LOOP('',(#273455)); #51431=EDGE_LOOP('',(#273456)); #51432=EDGE_LOOP('',(#273457)); #51433=EDGE_LOOP('',(#273458)); #51434=EDGE_LOOP('',(#273459)); #51435=EDGE_LOOP('',(#273460)); #51436=EDGE_LOOP('',(#273461)); #51437=EDGE_LOOP('',(#273462)); #51438=EDGE_LOOP('',(#273463)); #51439=EDGE_LOOP('',(#273464)); #51440=EDGE_LOOP('',(#273465)); #51441=EDGE_LOOP('',(#273466)); #51442=EDGE_LOOP('',(#273467)); #51443=EDGE_LOOP('',(#273468)); #51444=EDGE_LOOP('',(#273469)); #51445=EDGE_LOOP('',(#273470)); #51446=EDGE_LOOP('',(#273471)); #51447=EDGE_LOOP('',(#273472)); #51448=EDGE_LOOP('',(#273473)); #51449=EDGE_LOOP('',(#273474)); #51450=EDGE_LOOP('',(#273475)); #51451=EDGE_LOOP('',(#273476)); #51452=EDGE_LOOP('',(#273477)); #51453=EDGE_LOOP('',(#273478)); #51454=EDGE_LOOP('',(#273479)); #51455=EDGE_LOOP('',(#273480)); #51456=EDGE_LOOP('',(#273481)); #51457=EDGE_LOOP('',(#273482)); #51458=EDGE_LOOP('',(#273483)); #51459=EDGE_LOOP('',(#273484)); #51460=EDGE_LOOP('',(#273485)); #51461=EDGE_LOOP('',(#273486)); #51462=EDGE_LOOP('',(#273487)); #51463=EDGE_LOOP('',(#273488)); #51464=EDGE_LOOP('',(#273489)); #51465=EDGE_LOOP('',(#273490)); #51466=EDGE_LOOP('',(#273491)); #51467=EDGE_LOOP('',(#273492,#273493,#273494,#273495)); #51468=EDGE_LOOP('',(#273496,#273497,#273498,#273499)); #51469=EDGE_LOOP('',(#273500)); #51470=EDGE_LOOP('',(#273501)); #51471=EDGE_LOOP('',(#273502,#273503,#273504,#273505)); #51472=EDGE_LOOP('',(#273506)); #51473=EDGE_LOOP('',(#273507)); #51474=EDGE_LOOP('',(#273508)); #51475=EDGE_LOOP('',(#273509)); #51476=EDGE_LOOP('',(#273510)); #51477=EDGE_LOOP('',(#273511,#273512,#273513,#273514)); #51478=EDGE_LOOP('',(#273515)); #51479=EDGE_LOOP('',(#273516,#273517,#273518,#273519)); #51480=EDGE_LOOP('',(#273520,#273521,#273522,#273523)); #51481=EDGE_LOOP('',(#273524)); #51482=EDGE_LOOP('',(#273525)); #51483=EDGE_LOOP('',(#273526)); #51484=EDGE_LOOP('',(#273527)); #51485=EDGE_LOOP('',(#273528)); #51486=EDGE_LOOP('',(#273529)); #51487=EDGE_LOOP('',(#273530)); #51488=EDGE_LOOP('',(#273531)); #51489=EDGE_LOOP('',(#273532)); #51490=EDGE_LOOP('',(#273533)); #51491=EDGE_LOOP('',(#273534)); #51492=EDGE_LOOP('',(#273535)); #51493=EDGE_LOOP('',(#273536)); #51494=EDGE_LOOP('',(#273537)); #51495=EDGE_LOOP('',(#273538)); #51496=EDGE_LOOP('',(#273539)); #51497=EDGE_LOOP('',(#273540)); #51498=EDGE_LOOP('',(#273541)); #51499=EDGE_LOOP('',(#273542)); #51500=EDGE_LOOP('',(#273543)); #51501=EDGE_LOOP('',(#273544)); #51502=EDGE_LOOP('',(#273545)); #51503=EDGE_LOOP('',(#273546)); #51504=EDGE_LOOP('',(#273547)); #51505=EDGE_LOOP('',(#273548)); #51506=EDGE_LOOP('',(#273549)); #51507=EDGE_LOOP('',(#273550)); #51508=EDGE_LOOP('',(#273551)); #51509=EDGE_LOOP('',(#273552)); #51510=EDGE_LOOP('',(#273553)); #51511=EDGE_LOOP('',(#273554)); #51512=EDGE_LOOP('',(#273555)); #51513=EDGE_LOOP('',(#273556)); #51514=EDGE_LOOP('',(#273557)); #51515=EDGE_LOOP('',(#273558)); #51516=EDGE_LOOP('',(#273559)); #51517=EDGE_LOOP('',(#273560)); #51518=EDGE_LOOP('',(#273561)); #51519=EDGE_LOOP('',(#273562)); #51520=EDGE_LOOP('',(#273563)); #51521=EDGE_LOOP('',(#273564)); #51522=EDGE_LOOP('',(#273565)); #51523=EDGE_LOOP('',(#273566)); #51524=EDGE_LOOP('',(#273567)); #51525=EDGE_LOOP('',(#273568)); #51526=EDGE_LOOP('',(#273569)); #51527=EDGE_LOOP('',(#273570)); #51528=EDGE_LOOP('',(#273571)); #51529=EDGE_LOOP('',(#273572)); #51530=EDGE_LOOP('',(#273573)); #51531=EDGE_LOOP('',(#273574)); #51532=EDGE_LOOP('',(#273575)); #51533=EDGE_LOOP('',(#273576,#273577,#273578,#273579,#273580,#273581,#273582, #273583,#273584,#273585,#273586,#273587,#273588,#273589,#273590,#273591, #273592,#273593,#273594,#273595,#273596,#273597,#273598,#273599,#273600, #273601,#273602,#273603,#273604,#273605)); #51534=EDGE_LOOP('',(#273606,#273607,#273608,#273609,#273610,#273611,#273612, #273613,#273614,#273615,#273616,#273617,#273618,#273619,#273620,#273621, #273622,#273623,#273624,#273625,#273626,#273627,#273628,#273629,#273630, #273631,#273632,#273633,#273634,#273635)); #51535=EDGE_LOOP('',(#273636,#273637,#273638,#273639,#273640,#273641,#273642, #273643,#273644,#273645,#273646,#273647,#273648,#273649,#273650,#273651, #273652,#273653,#273654,#273655,#273656,#273657,#273658,#273659,#273660, #273661,#273662,#273663,#273664,#273665)); #51536=EDGE_LOOP('',(#273666,#273667,#273668,#273669,#273670,#273671,#273672, #273673,#273674,#273675,#273676,#273677,#273678,#273679,#273680,#273681, #273682,#273683,#273684,#273685,#273686,#273687,#273688,#273689,#273690, #273691,#273692,#273693,#273694,#273695,#273696,#273697,#273698,#273699, #273700,#273701,#273702,#273703,#273704,#273705,#273706,#273707,#273708, #273709,#273710,#273711,#273712,#273713,#273714,#273715,#273716,#273717, #273718,#273719,#273720,#273721,#273722,#273723,#273724,#273725,#273726, #273727,#273728,#273729,#273730,#273731,#273732,#273733,#273734,#273735, #273736,#273737,#273738,#273739,#273740,#273741,#273742,#273743,#273744, #273745,#273746,#273747,#273748,#273749,#273750,#273751,#273752,#273753, #273754,#273755,#273756,#273757,#273758,#273759,#273760,#273761,#273762, #273763,#273764,#273765,#273766,#273767,#273768,#273769,#273770,#273771, #273772,#273773,#273774,#273775,#273776,#273777,#273778,#273779,#273780, #273781,#273782,#273783,#273784,#273785,#273786,#273787,#273788,#273789, #273790,#273791,#273792,#273793,#273794,#273795,#273796,#273797,#273798, #273799,#273800,#273801,#273802,#273803,#273804,#273805,#273806,#273807, #273808,#273809,#273810,#273811,#273812,#273813,#273814,#273815,#273816, #273817,#273818,#273819,#273820,#273821,#273822,#273823,#273824,#273825, #273826,#273827,#273828,#273829,#273830,#273831,#273832,#273833,#273834, #273835,#273836,#273837,#273838,#273839,#273840,#273841,#273842,#273843, #273844,#273845,#273846,#273847,#273848,#273849,#273850,#273851,#273852, #273853,#273854,#273855,#273856,#273857,#273858,#273859,#273860,#273861, #273862,#273863,#273864,#273865,#273866,#273867,#273868,#273869,#273870, #273871,#273872,#273873,#273874,#273875,#273876,#273877,#273878,#273879, #273880,#273881,#273882,#273883,#273884,#273885,#273886,#273887,#273888, #273889,#273890,#273891,#273892,#273893,#273894,#273895,#273896,#273897, #273898,#273899,#273900,#273901,#273902,#273903,#273904,#273905,#273906, #273907,#273908,#273909,#273910,#273911,#273912,#273913,#273914,#273915, #273916)); #51537=EDGE_LOOP('',(#273917,#273918,#273919,#273920,#273921,#273922,#273923, #273924,#273925,#273926,#273927,#273928,#273929,#273930,#273931,#273932, #273933,#273934,#273935,#273936,#273937,#273938,#273939,#273940,#273941, #273942,#273943,#273944,#273945,#273946,#273947,#273948,#273949,#273950, #273951,#273952,#273953,#273954,#273955,#273956,#273957,#273958,#273959, #273960,#273961,#273962,#273963,#273964,#273965,#273966,#273967,#273968, #273969,#273970,#273971,#273972,#273973,#273974,#273975,#273976,#273977, #273978,#273979,#273980,#273981,#273982,#273983,#273984,#273985,#273986, #273987,#273988,#273989,#273990,#273991,#273992,#273993,#273994,#273995, #273996,#273997,#273998,#273999,#274000,#274001,#274002,#274003,#274004, #274005,#274006,#274007,#274008,#274009,#274010,#274011,#274012,#274013, #274014,#274015,#274016)); #51538=EDGE_LOOP('',(#274017,#274018,#274019,#274020,#274021,#274022,#274023, #274024,#274025,#274026,#274027,#274028,#274029,#274030,#274031,#274032, #274033,#274034,#274035,#274036,#274037,#274038,#274039,#274040,#274041, #274042,#274043,#274044,#274045,#274046,#274047,#274048,#274049,#274050, #274051,#274052,#274053,#274054,#274055,#274056,#274057,#274058,#274059, #274060,#274061,#274062,#274063,#274064,#274065,#274066,#274067,#274068, #274069,#274070,#274071,#274072,#274073,#274074,#274075,#274076,#274077, #274078,#274079,#274080,#274081,#274082,#274083,#274084,#274085,#274086, #274087,#274088,#274089,#274090,#274091,#274092,#274093,#274094,#274095, #274096,#274097,#274098,#274099,#274100,#274101,#274102,#274103,#274104, #274105,#274106,#274107,#274108,#274109,#274110,#274111,#274112,#274113, #274114,#274115,#274116,#274117,#274118,#274119,#274120,#274121,#274122, #274123,#274124,#274125,#274126,#274127,#274128,#274129,#274130,#274131, #274132,#274133,#274134,#274135,#274136,#274137,#274138,#274139,#274140, #274141,#274142,#274143,#274144,#274145,#274146,#274147,#274148,#274149, #274150,#274151,#274152,#274153,#274154,#274155,#274156,#274157,#274158, #274159,#274160,#274161,#274162,#274163,#274164,#274165,#274166,#274167, #274168,#274169,#274170,#274171,#274172,#274173,#274174,#274175,#274176, #274177,#274178,#274179,#274180,#274181,#274182,#274183,#274184,#274185, #274186,#274187,#274188,#274189,#274190,#274191,#274192,#274193,#274194, #274195,#274196,#274197,#274198,#274199,#274200,#274201,#274202,#274203, #274204,#274205,#274206,#274207,#274208,#274209,#274210,#274211,#274212, #274213,#274214,#274215,#274216,#274217,#274218,#274219,#274220,#274221, #274222,#274223,#274224,#274225,#274226,#274227,#274228,#274229,#274230, #274231,#274232,#274233,#274234,#274235,#274236,#274237,#274238,#274239, #274240,#274241,#274242,#274243,#274244,#274245,#274246,#274247,#274248, #274249,#274250,#274251,#274252,#274253,#274254,#274255,#274256,#274257, #274258,#274259,#274260,#274261,#274262,#274263,#274264,#274265,#274266, #274267,#274268,#274269,#274270,#274271,#274272,#274273,#274274,#274275, #274276,#274277,#274278,#274279,#274280,#274281,#274282,#274283,#274284, #274285,#274286,#274287,#274288,#274289,#274290,#274291,#274292,#274293, #274294,#274295,#274296,#274297,#274298,#274299,#274300,#274301,#274302, #274303,#274304,#274305,#274306,#274307,#274308,#274309,#274310,#274311, #274312,#274313,#274314,#274315,#274316,#274317,#274318,#274319,#274320, #274321,#274322,#274323,#274324,#274325,#274326,#274327,#274328,#274329, #274330,#274331,#274332,#274333,#274334,#274335,#274336,#274337,#274338, #274339,#274340,#274341,#274342,#274343,#274344,#274345,#274346,#274347, #274348,#274349,#274350,#274351,#274352,#274353,#274354,#274355,#274356, #274357,#274358,#274359,#274360,#274361,#274362,#274363,#274364,#274365, #274366,#274367,#274368,#274369,#274370,#274371,#274372,#274373,#274374, #274375,#274376,#274377,#274378,#274379,#274380,#274381,#274382,#274383, #274384,#274385,#274386,#274387,#274388,#274389,#274390,#274391,#274392, #274393,#274394,#274395,#274396,#274397,#274398,#274399,#274400,#274401, #274402,#274403,#274404,#274405,#274406,#274407,#274408,#274409,#274410, #274411,#274412,#274413,#274414,#274415,#274416,#274417,#274418,#274419, #274420,#274421,#274422,#274423,#274424,#274425,#274426,#274427,#274428, #274429,#274430,#274431,#274432,#274433,#274434,#274435,#274436,#274437, #274438,#274439,#274440,#274441,#274442,#274443,#274444,#274445,#274446, #274447,#274448,#274449,#274450,#274451,#274452,#274453,#274454,#274455, #274456,#274457,#274458,#274459,#274460,#274461,#274462,#274463,#274464, #274465,#274466,#274467,#274468,#274469,#274470,#274471,#274472,#274473, #274474,#274475,#274476,#274477,#274478,#274479,#274480,#274481,#274482, #274483,#274484,#274485,#274486,#274487,#274488,#274489,#274490,#274491, #274492,#274493,#274494,#274495,#274496,#274497,#274498,#274499,#274500, #274501,#274502,#274503,#274504,#274505,#274506,#274507,#274508,#274509, #274510,#274511,#274512,#274513,#274514,#274515,#274516,#274517,#274518, #274519,#274520,#274521,#274522,#274523,#274524,#274525,#274526,#274527, #274528,#274529,#274530,#274531,#274532,#274533,#274534,#274535,#274536, #274537,#274538,#274539,#274540,#274541,#274542,#274543,#274544,#274545, #274546,#274547,#274548,#274549,#274550,#274551,#274552,#274553,#274554, #274555,#274556,#274557,#274558,#274559,#274560,#274561,#274562,#274563, #274564,#274565,#274566,#274567,#274568,#274569,#274570,#274571,#274572, #274573,#274574,#274575,#274576,#274577,#274578,#274579,#274580,#274581, #274582,#274583,#274584,#274585,#274586,#274587,#274588,#274589,#274590, #274591,#274592,#274593,#274594,#274595,#274596,#274597,#274598,#274599, #274600,#274601,#274602,#274603,#274604,#274605,#274606,#274607,#274608, #274609,#274610,#274611,#274612,#274613,#274614,#274615,#274616,#274617, #274618,#274619,#274620,#274621,#274622,#274623,#274624,#274625,#274626, #274627,#274628,#274629,#274630,#274631,#274632,#274633,#274634,#274635, #274636,#274637,#274638,#274639,#274640,#274641,#274642,#274643,#274644, #274645,#274646,#274647,#274648,#274649,#274650,#274651,#274652,#274653, #274654,#274655,#274656,#274657,#274658,#274659,#274660,#274661,#274662)); #51539=EDGE_LOOP('',(#274663,#274664,#274665,#274666,#274667,#274668,#274669, #274670,#274671,#274672,#274673,#274674,#274675,#274676,#274677,#274678, #274679,#274680,#274681,#274682,#274683,#274684,#274685,#274686)); #51540=EDGE_LOOP('',(#274687,#274688,#274689,#274690,#274691,#274692,#274693, #274694,#274695,#274696,#274697,#274698,#274699,#274700,#274701,#274702, #274703,#274704,#274705,#274706,#274707,#274708,#274709,#274710,#274711, #274712,#274713,#274714,#274715,#274716,#274717,#274718,#274719,#274720, #274721,#274722,#274723,#274724)); #51541=EDGE_LOOP('',(#274725,#274726,#274727,#274728,#274729,#274730,#274731, #274732,#274733,#274734,#274735,#274736,#274737,#274738,#274739,#274740, #274741,#274742,#274743,#274744,#274745,#274746,#274747,#274748,#274749, #274750,#274751,#274752,#274753,#274754,#274755,#274756,#274757,#274758, #274759,#274760,#274761,#274762,#274763,#274764)); #51542=EDGE_LOOP('',(#274765,#274766,#274767,#274768,#274769,#274770,#274771, #274772,#274773,#274774,#274775,#274776,#274777,#274778,#274779,#274780, #274781,#274782,#274783,#274784,#274785,#274786,#274787,#274788,#274789, #274790,#274791,#274792,#274793,#274794,#274795,#274796,#274797,#274798)); #51543=EDGE_LOOP('',(#274799,#274800,#274801,#274802,#274803,#274804,#274805, #274806,#274807,#274808,#274809,#274810,#274811,#274812,#274813,#274814, #274815,#274816,#274817,#274818,#274819,#274820,#274821,#274822,#274823, #274824,#274825,#274826,#274827,#274828,#274829,#274830,#274831,#274832)); #51544=EDGE_LOOP('',(#274833,#274834,#274835,#274836,#274837,#274838,#274839, #274840)); #51545=EDGE_LOOP('',(#274841,#274842,#274843,#274844,#274845,#274846,#274847, #274848)); #51546=EDGE_LOOP('',(#274849,#274850,#274851,#274852,#274853,#274854,#274855, #274856)); #51547=EDGE_LOOP('',(#274857,#274858,#274859,#274860,#274861,#274862,#274863, #274864,#274865,#274866,#274867,#274868,#274869,#274870,#274871,#274872, #274873,#274874,#274875,#274876,#274877,#274878,#274879,#274880,#274881, #274882,#274883,#274884,#274885,#274886,#274887,#274888,#274889,#274890, #274891,#274892,#274893,#274894,#274895,#274896,#274897,#274898,#274899, #274900,#274901,#274902,#274903,#274904,#274905,#274906,#274907,#274908, #274909,#274910,#274911,#274912,#274913,#274914,#274915,#274916,#274917, #274918,#274919,#274920,#274921,#274922,#274923,#274924,#274925,#274926, #274927,#274928,#274929,#274930,#274931,#274932,#274933,#274934,#274935, #274936,#274937,#274938,#274939,#274940,#274941,#274942,#274943,#274944, #274945,#274946,#274947,#274948,#274949,#274950,#274951,#274952,#274953, #274954,#274955,#274956,#274957,#274958,#274959,#274960,#274961,#274962, #274963,#274964,#274965,#274966,#274967,#274968,#274969,#274970,#274971, #274972,#274973,#274974,#274975,#274976,#274977,#274978,#274979,#274980, #274981,#274982,#274983,#274984,#274985,#274986,#274987,#274988)); #51548=EDGE_LOOP('',(#274989,#274990,#274991,#274992)); #51549=EDGE_LOOP('',(#274993,#274994,#274995,#274996)); #51550=EDGE_LOOP('',(#274997,#274998,#274999,#275000)); #51551=EDGE_LOOP('',(#275001,#275002,#275003,#275004)); #51552=EDGE_LOOP('',(#275005,#275006,#275007,#275008)); #51553=EDGE_LOOP('',(#275009,#275010,#275011,#275012)); #51554=EDGE_LOOP('',(#275013,#275014,#275015,#275016)); #51555=EDGE_LOOP('',(#275017,#275018,#275019,#275020)); #51556=EDGE_LOOP('',(#275021,#275022,#275023,#275024)); #51557=EDGE_LOOP('',(#275025,#275026,#275027,#275028)); #51558=EDGE_LOOP('',(#275029,#275030,#275031,#275032)); #51559=EDGE_LOOP('',(#275033,#275034,#275035,#275036)); #51560=EDGE_LOOP('',(#275037,#275038,#275039,#275040)); #51561=EDGE_LOOP('',(#275041,#275042,#275043,#275044)); #51562=EDGE_LOOP('',(#275045,#275046,#275047,#275048)); #51563=EDGE_LOOP('',(#275049,#275050,#275051,#275052)); #51564=EDGE_LOOP('',(#275053,#275054,#275055,#275056)); #51565=EDGE_LOOP('',(#275057,#275058,#275059,#275060)); #51566=EDGE_LOOP('',(#275061,#275062,#275063,#275064)); #51567=EDGE_LOOP('',(#275065,#275066,#275067,#275068)); #51568=EDGE_LOOP('',(#275069,#275070,#275071,#275072)); #51569=EDGE_LOOP('',(#275073,#275074,#275075,#275076)); #51570=EDGE_LOOP('',(#275077,#275078,#275079,#275080)); #51571=EDGE_LOOP('',(#275081,#275082,#275083,#275084)); #51572=EDGE_LOOP('',(#275085,#275086,#275087,#275088)); #51573=EDGE_LOOP('',(#275089,#275090,#275091,#275092)); #51574=EDGE_LOOP('',(#275093,#275094,#275095,#275096)); #51575=EDGE_LOOP('',(#275097,#275098,#275099,#275100,#275101,#275102,#275103, #275104,#275105,#275106,#275107,#275108,#275109,#275110,#275111,#275112, #275113,#275114,#275115,#275116,#275117,#275118,#275119,#275120,#275121, #275122,#275123)); #51576=EDGE_LOOP('',(#275124,#275125,#275126,#275127,#275128,#275129,#275130, #275131,#275132,#275133,#275134,#275135,#275136,#275137,#275138,#275139, #275140,#275141,#275142,#275143,#275144,#275145,#275146,#275147,#275148, #275149,#275150)); #51577=EDGE_LOOP('',(#275151,#275152,#275153,#275154)); #51578=EDGE_LOOP('',(#275155,#275156,#275157,#275158)); #51579=EDGE_LOOP('',(#275159,#275160,#275161,#275162)); #51580=EDGE_LOOP('',(#275163,#275164,#275165,#275166)); #51581=EDGE_LOOP('',(#275167,#275168,#275169,#275170)); #51582=EDGE_LOOP('',(#275171,#275172,#275173,#275174)); #51583=EDGE_LOOP('',(#275175,#275176,#275177,#275178)); #51584=EDGE_LOOP('',(#275179,#275180,#275181,#275182)); #51585=EDGE_LOOP('',(#275183,#275184,#275185,#275186)); #51586=EDGE_LOOP('',(#275187,#275188,#275189,#275190)); #51587=EDGE_LOOP('',(#275191,#275192,#275193,#275194)); #51588=EDGE_LOOP('',(#275195,#275196,#275197,#275198)); #51589=EDGE_LOOP('',(#275199,#275200,#275201,#275202)); #51590=EDGE_LOOP('',(#275203,#275204,#275205,#275206)); #51591=EDGE_LOOP('',(#275207,#275208,#275209,#275210)); #51592=EDGE_LOOP('',(#275211,#275212,#275213,#275214)); #51593=EDGE_LOOP('',(#275215,#275216,#275217,#275218)); #51594=EDGE_LOOP('',(#275219,#275220,#275221,#275222)); #51595=EDGE_LOOP('',(#275223,#275224,#275225,#275226)); #51596=EDGE_LOOP('',(#275227,#275228,#275229,#275230)); #51597=EDGE_LOOP('',(#275231,#275232,#275233,#275234)); #51598=EDGE_LOOP('',(#275235,#275236,#275237,#275238,#275239,#275240,#275241, #275242,#275243,#275244,#275245,#275246,#275247,#275248,#275249,#275250, #275251,#275252,#275253,#275254,#275255)); #51599=EDGE_LOOP('',(#275256,#275257,#275258,#275259,#275260,#275261,#275262, #275263,#275264,#275265,#275266,#275267,#275268,#275269,#275270,#275271, #275272,#275273,#275274,#275275,#275276)); #51600=EDGE_LOOP('',(#275277,#275278,#275279,#275280)); #51601=EDGE_LOOP('',(#275281,#275282,#275283,#275284)); #51602=EDGE_LOOP('',(#275285,#275286,#275287,#275288)); #51603=EDGE_LOOP('',(#275289,#275290,#275291,#275292)); #51604=EDGE_LOOP('',(#275293,#275294,#275295,#275296)); #51605=EDGE_LOOP('',(#275297,#275298,#275299,#275300)); #51606=EDGE_LOOP('',(#275301,#275302,#275303,#275304)); #51607=EDGE_LOOP('',(#275305,#275306,#275307,#275308)); #51608=EDGE_LOOP('',(#275309,#275310,#275311,#275312)); #51609=EDGE_LOOP('',(#275313,#275314,#275315,#275316)); #51610=EDGE_LOOP('',(#275317,#275318,#275319,#275320)); #51611=EDGE_LOOP('',(#275321,#275322,#275323,#275324)); #51612=EDGE_LOOP('',(#275325,#275326,#275327,#275328)); #51613=EDGE_LOOP('',(#275329,#275330,#275331,#275332)); #51614=EDGE_LOOP('',(#275333,#275334,#275335,#275336)); #51615=EDGE_LOOP('',(#275337,#275338,#275339,#275340)); #51616=EDGE_LOOP('',(#275341,#275342,#275343,#275344)); #51617=EDGE_LOOP('',(#275345,#275346,#275347,#275348)); #51618=EDGE_LOOP('',(#275349,#275350,#275351,#275352)); #51619=EDGE_LOOP('',(#275353,#275354,#275355,#275356)); #51620=EDGE_LOOP('',(#275357,#275358,#275359,#275360,#275361,#275362,#275363, #275364,#275365,#275366,#275367,#275368,#275369,#275370,#275371,#275372, #275373,#275374,#275375,#275376)); #51621=EDGE_LOOP('',(#275377,#275378,#275379,#275380,#275381,#275382,#275383, #275384,#275385,#275386,#275387,#275388,#275389,#275390,#275391,#275392, #275393,#275394,#275395,#275396)); #51622=EDGE_LOOP('',(#275397,#275398,#275399,#275400)); #51623=EDGE_LOOP('',(#275401,#275402,#275403,#275404)); #51624=EDGE_LOOP('',(#275405,#275406,#275407,#275408)); #51625=EDGE_LOOP('',(#275409,#275410,#275411,#275412)); #51626=EDGE_LOOP('',(#275413,#275414,#275415,#275416)); #51627=EDGE_LOOP('',(#275417,#275418,#275419,#275420)); #51628=EDGE_LOOP('',(#275421,#275422,#275423,#275424)); #51629=EDGE_LOOP('',(#275425,#275426,#275427,#275428)); #51630=EDGE_LOOP('',(#275429,#275430,#275431,#275432)); #51631=EDGE_LOOP('',(#275433,#275434,#275435,#275436)); #51632=EDGE_LOOP('',(#275437,#275438,#275439,#275440)); #51633=EDGE_LOOP('',(#275441,#275442,#275443,#275444)); #51634=EDGE_LOOP('',(#275445,#275446,#275447,#275448)); #51635=EDGE_LOOP('',(#275449,#275450,#275451,#275452)); #51636=EDGE_LOOP('',(#275453,#275454,#275455,#275456)); #51637=EDGE_LOOP('',(#275457,#275458,#275459,#275460)); #51638=EDGE_LOOP('',(#275461,#275462,#275463,#275464)); #51639=EDGE_LOOP('',(#275465,#275466,#275467,#275468)); #51640=EDGE_LOOP('',(#275469,#275470,#275471,#275472)); #51641=EDGE_LOOP('',(#275473,#275474,#275475,#275476)); #51642=EDGE_LOOP('',(#275477,#275478,#275479,#275480)); #51643=EDGE_LOOP('',(#275481,#275482,#275483,#275484)); #51644=EDGE_LOOP('',(#275485,#275486,#275487,#275488)); #51645=EDGE_LOOP('',(#275489,#275490,#275491,#275492)); #51646=EDGE_LOOP('',(#275493,#275494,#275495,#275496)); #51647=EDGE_LOOP('',(#275497,#275498,#275499,#275500)); #51648=EDGE_LOOP('',(#275501,#275502,#275503,#275504)); #51649=EDGE_LOOP('',(#275505,#275506,#275507,#275508)); #51650=EDGE_LOOP('',(#275509,#275510,#275511,#275512)); #51651=EDGE_LOOP('',(#275513,#275514,#275515,#275516)); #51652=EDGE_LOOP('',(#275517,#275518,#275519,#275520)); #51653=EDGE_LOOP('',(#275521,#275522,#275523,#275524)); #51654=EDGE_LOOP('',(#275525,#275526,#275527,#275528)); #51655=EDGE_LOOP('',(#275529,#275530,#275531,#275532)); #51656=EDGE_LOOP('',(#275533,#275534,#275535,#275536)); #51657=EDGE_LOOP('',(#275537,#275538,#275539,#275540)); #51658=EDGE_LOOP('',(#275541,#275542,#275543,#275544)); #51659=EDGE_LOOP('',(#275545,#275546,#275547,#275548)); #51660=EDGE_LOOP('',(#275549,#275550,#275551,#275552)); #51661=EDGE_LOOP('',(#275553,#275554,#275555,#275556)); #51662=EDGE_LOOP('',(#275557,#275558,#275559,#275560)); #51663=EDGE_LOOP('',(#275561,#275562,#275563,#275564)); #51664=EDGE_LOOP('',(#275565,#275566,#275567,#275568)); #51665=EDGE_LOOP('',(#275569,#275570,#275571,#275572)); #51666=EDGE_LOOP('',(#275573,#275574,#275575,#275576)); #51667=EDGE_LOOP('',(#275577,#275578,#275579,#275580)); #51668=EDGE_LOOP('',(#275581,#275582,#275583,#275584)); #51669=EDGE_LOOP('',(#275585,#275586,#275587,#275588,#275589,#275590,#275591, #275592,#275593,#275594,#275595,#275596,#275597,#275598,#275599,#275600, #275601,#275602,#275603,#275604,#275605,#275606,#275607,#275608,#275609, #275610,#275611,#275612,#275613,#275614,#275615,#275616,#275617,#275618, #275619,#275620,#275621,#275622,#275623,#275624,#275625,#275626,#275627, #275628,#275629,#275630,#275631)); #51670=EDGE_LOOP('',(#275632,#275633,#275634,#275635,#275636,#275637,#275638, #275639,#275640,#275641,#275642,#275643,#275644,#275645,#275646,#275647, #275648,#275649,#275650,#275651,#275652,#275653,#275654,#275655,#275656, #275657,#275658,#275659,#275660,#275661,#275662,#275663,#275664,#275665, #275666,#275667,#275668,#275669,#275670,#275671,#275672,#275673,#275674, #275675,#275676,#275677,#275678)); #51671=EDGE_LOOP('',(#275679,#275680,#275681,#275682)); #51672=EDGE_LOOP('',(#275683,#275684,#275685,#275686)); #51673=EDGE_LOOP('',(#275687,#275688,#275689,#275690)); #51674=EDGE_LOOP('',(#275691,#275692,#275693,#275694)); #51675=EDGE_LOOP('',(#275695,#275696,#275697,#275698)); #51676=EDGE_LOOP('',(#275699,#275700,#275701,#275702)); #51677=EDGE_LOOP('',(#275703,#275704,#275705,#275706)); #51678=EDGE_LOOP('',(#275707,#275708,#275709,#275710)); #51679=EDGE_LOOP('',(#275711,#275712,#275713,#275714)); #51680=EDGE_LOOP('',(#275715,#275716,#275717,#275718)); #51681=EDGE_LOOP('',(#275719,#275720,#275721,#275722)); #51682=EDGE_LOOP('',(#275723,#275724,#275725,#275726)); #51683=EDGE_LOOP('',(#275727,#275728,#275729,#275730)); #51684=EDGE_LOOP('',(#275731,#275732,#275733,#275734)); #51685=EDGE_LOOP('',(#275735,#275736,#275737,#275738)); #51686=EDGE_LOOP('',(#275739,#275740,#275741,#275742)); #51687=EDGE_LOOP('',(#275743,#275744,#275745,#275746)); #51688=EDGE_LOOP('',(#275747,#275748,#275749,#275750)); #51689=EDGE_LOOP('',(#275751,#275752,#275753,#275754)); #51690=EDGE_LOOP('',(#275755,#275756,#275757,#275758)); #51691=EDGE_LOOP('',(#275759,#275760,#275761,#275762)); #51692=EDGE_LOOP('',(#275763,#275764,#275765,#275766)); #51693=EDGE_LOOP('',(#275767,#275768,#275769,#275770)); #51694=EDGE_LOOP('',(#275771,#275772,#275773,#275774)); #51695=EDGE_LOOP('',(#275775,#275776,#275777,#275778)); #51696=EDGE_LOOP('',(#275779,#275780,#275781,#275782)); #51697=EDGE_LOOP('',(#275783,#275784,#275785,#275786)); #51698=EDGE_LOOP('',(#275787,#275788,#275789,#275790)); #51699=EDGE_LOOP('',(#275791,#275792,#275793,#275794)); #51700=EDGE_LOOP('',(#275795,#275796,#275797,#275798)); #51701=EDGE_LOOP('',(#275799,#275800,#275801,#275802)); #51702=EDGE_LOOP('',(#275803,#275804,#275805,#275806)); #51703=EDGE_LOOP('',(#275807,#275808,#275809,#275810)); #51704=EDGE_LOOP('',(#275811,#275812,#275813,#275814)); #51705=EDGE_LOOP('',(#275815,#275816,#275817,#275818,#275819,#275820,#275821, #275822,#275823,#275824,#275825,#275826,#275827,#275828,#275829,#275830, #275831,#275832,#275833,#275834,#275835,#275836,#275837,#275838,#275839, #275840,#275841,#275842,#275843,#275844,#275845,#275846,#275847,#275848)); #51706=EDGE_LOOP('',(#275849,#275850,#275851,#275852,#275853,#275854,#275855, #275856,#275857,#275858,#275859,#275860,#275861,#275862,#275863,#275864, #275865,#275866,#275867,#275868,#275869,#275870,#275871,#275872,#275873, #275874,#275875,#275876,#275877,#275878,#275879,#275880,#275881,#275882)); #51707=EDGE_LOOP('',(#275883,#275884,#275885,#275886)); #51708=EDGE_LOOP('',(#275887,#275888,#275889,#275890)); #51709=EDGE_LOOP('',(#275891,#275892,#275893,#275894)); #51710=EDGE_LOOP('',(#275895,#275896,#275897,#275898)); #51711=EDGE_LOOP('',(#275899,#275900,#275901,#275902)); #51712=EDGE_LOOP('',(#275903,#275904,#275905,#275906)); #51713=EDGE_LOOP('',(#275907,#275908,#275909,#275910)); #51714=EDGE_LOOP('',(#275911,#275912,#275913,#275914)); #51715=EDGE_LOOP('',(#275915,#275916,#275917,#275918)); #51716=EDGE_LOOP('',(#275919,#275920,#275921,#275922)); #51717=EDGE_LOOP('',(#275923,#275924,#275925,#275926)); #51718=EDGE_LOOP('',(#275927,#275928,#275929,#275930)); #51719=EDGE_LOOP('',(#275931,#275932,#275933,#275934)); #51720=EDGE_LOOP('',(#275935,#275936,#275937,#275938)); #51721=EDGE_LOOP('',(#275939,#275940,#275941,#275942)); #51722=EDGE_LOOP('',(#275943,#275944,#275945,#275946)); #51723=EDGE_LOOP('',(#275947,#275948,#275949,#275950)); #51724=EDGE_LOOP('',(#275951,#275952,#275953,#275954)); #51725=EDGE_LOOP('',(#275955,#275956,#275957,#275958)); #51726=EDGE_LOOP('',(#275959,#275960,#275961,#275962)); #51727=EDGE_LOOP('',(#275963,#275964,#275965,#275966)); #51728=EDGE_LOOP('',(#275967,#275968,#275969,#275970)); #51729=EDGE_LOOP('',(#275971,#275972,#275973,#275974,#275975,#275976,#275977, #275978,#275979,#275980,#275981,#275982,#275983,#275984,#275985,#275986, #275987,#275988,#275989,#275990,#275991,#275992)); #51730=EDGE_LOOP('',(#275993,#275994,#275995,#275996,#275997,#275998,#275999, #276000,#276001,#276002,#276003,#276004,#276005,#276006,#276007,#276008, #276009,#276010,#276011,#276012,#276013,#276014)); #51731=EDGE_LOOP('',(#276015,#276016,#276017,#276018)); #51732=EDGE_LOOP('',(#276019,#276020,#276021,#276022)); #51733=EDGE_LOOP('',(#276023,#276024,#276025,#276026)); #51734=EDGE_LOOP('',(#276027,#276028,#276029,#276030)); #51735=EDGE_LOOP('',(#276031,#276032,#276033,#276034)); #51736=EDGE_LOOP('',(#276035,#276036,#276037,#276038)); #51737=EDGE_LOOP('',(#276039,#276040,#276041,#276042)); #51738=EDGE_LOOP('',(#276043,#276044,#276045,#276046)); #51739=EDGE_LOOP('',(#276047,#276048,#276049,#276050)); #51740=EDGE_LOOP('',(#276051,#276052,#276053,#276054)); #51741=EDGE_LOOP('',(#276055,#276056,#276057,#276058)); #51742=EDGE_LOOP('',(#276059,#276060,#276061,#276062)); #51743=EDGE_LOOP('',(#276063,#276064,#276065,#276066)); #51744=EDGE_LOOP('',(#276067,#276068,#276069,#276070)); #51745=EDGE_LOOP('',(#276071,#276072,#276073,#276074)); #51746=EDGE_LOOP('',(#276075,#276076,#276077,#276078)); #51747=EDGE_LOOP('',(#276079,#276080,#276081,#276082)); #51748=EDGE_LOOP('',(#276083,#276084,#276085,#276086)); #51749=EDGE_LOOP('',(#276087,#276088,#276089,#276090)); #51750=EDGE_LOOP('',(#276091,#276092,#276093,#276094)); #51751=EDGE_LOOP('',(#276095,#276096,#276097,#276098,#276099,#276100,#276101, #276102,#276103,#276104,#276105,#276106,#276107,#276108,#276109,#276110, #276111,#276112,#276113,#276114)); #51752=EDGE_LOOP('',(#276115,#276116,#276117,#276118,#276119,#276120,#276121, #276122,#276123,#276124,#276125,#276126,#276127,#276128,#276129,#276130, #276131,#276132,#276133,#276134)); #51753=EDGE_LOOP('',(#276135,#276136,#276137,#276138)); #51754=EDGE_LOOP('',(#276139,#276140,#276141,#276142)); #51755=EDGE_LOOP('',(#276143,#276144,#276145,#276146)); #51756=EDGE_LOOP('',(#276147,#276148,#276149,#276150)); #51757=EDGE_LOOP('',(#276151,#276152,#276153,#276154)); #51758=EDGE_LOOP('',(#276155,#276156,#276157,#276158)); #51759=EDGE_LOOP('',(#276159,#276160,#276161,#276162)); #51760=EDGE_LOOP('',(#276163,#276164,#276165,#276166)); #51761=EDGE_LOOP('',(#276167,#276168,#276169,#276170)); #51762=EDGE_LOOP('',(#276171,#276172,#276173,#276174)); #51763=EDGE_LOOP('',(#276175,#276176,#276177,#276178)); #51764=EDGE_LOOP('',(#276179,#276180,#276181,#276182)); #51765=EDGE_LOOP('',(#276183,#276184,#276185,#276186)); #51766=EDGE_LOOP('',(#276187,#276188,#276189,#276190)); #51767=EDGE_LOOP('',(#276191,#276192,#276193,#276194)); #51768=EDGE_LOOP('',(#276195,#276196,#276197,#276198)); #51769=EDGE_LOOP('',(#276199,#276200,#276201,#276202)); #51770=EDGE_LOOP('',(#276203,#276204,#276205,#276206)); #51771=EDGE_LOOP('',(#276207,#276208,#276209,#276210)); #51772=EDGE_LOOP('',(#276211,#276212,#276213,#276214)); #51773=EDGE_LOOP('',(#276215,#276216,#276217,#276218)); #51774=EDGE_LOOP('',(#276219,#276220,#276221,#276222)); #51775=EDGE_LOOP('',(#276223,#276224,#276225,#276226)); #51776=EDGE_LOOP('',(#276227,#276228,#276229,#276230)); #51777=EDGE_LOOP('',(#276231,#276232,#276233,#276234)); #51778=EDGE_LOOP('',(#276235,#276236,#276237,#276238)); #51779=EDGE_LOOP('',(#276239,#276240,#276241,#276242)); #51780=EDGE_LOOP('',(#276243,#276244,#276245,#276246)); #51781=EDGE_LOOP('',(#276247,#276248,#276249,#276250)); #51782=EDGE_LOOP('',(#276251,#276252,#276253,#276254)); #51783=EDGE_LOOP('',(#276255,#276256,#276257,#276258)); #51784=EDGE_LOOP('',(#276259,#276260,#276261,#276262)); #51785=EDGE_LOOP('',(#276263,#276264,#276265,#276266)); #51786=EDGE_LOOP('',(#276267,#276268,#276269,#276270)); #51787=EDGE_LOOP('',(#276271,#276272,#276273,#276274)); #51788=EDGE_LOOP('',(#276275,#276276,#276277,#276278)); #51789=EDGE_LOOP('',(#276279,#276280,#276281,#276282)); #51790=EDGE_LOOP('',(#276283,#276284,#276285,#276286)); #51791=EDGE_LOOP('',(#276287,#276288,#276289,#276290)); #51792=EDGE_LOOP('',(#276291,#276292,#276293,#276294)); #51793=EDGE_LOOP('',(#276295,#276296,#276297,#276298)); #51794=EDGE_LOOP('',(#276299,#276300,#276301,#276302)); #51795=EDGE_LOOP('',(#276303,#276304,#276305,#276306)); #51796=EDGE_LOOP('',(#276307,#276308,#276309,#276310)); #51797=EDGE_LOOP('',(#276311,#276312,#276313,#276314)); #51798=EDGE_LOOP('',(#276315,#276316,#276317,#276318)); #51799=EDGE_LOOP('',(#276319,#276320,#276321,#276322)); #51800=EDGE_LOOP('',(#276323,#276324,#276325,#276326)); #51801=EDGE_LOOP('',(#276327,#276328,#276329,#276330)); #51802=EDGE_LOOP('',(#276331,#276332,#276333,#276334)); #51803=EDGE_LOOP('',(#276335,#276336,#276337,#276338)); #51804=EDGE_LOOP('',(#276339,#276340,#276341,#276342)); #51805=EDGE_LOOP('',(#276343,#276344,#276345,#276346)); #51806=EDGE_LOOP('',(#276347,#276348,#276349,#276350)); #51807=EDGE_LOOP('',(#276351,#276352,#276353,#276354)); #51808=EDGE_LOOP('',(#276355,#276356,#276357,#276358)); #51809=EDGE_LOOP('',(#276359,#276360,#276361,#276362)); #51810=EDGE_LOOP('',(#276363,#276364,#276365,#276366)); #51811=EDGE_LOOP('',(#276367,#276368,#276369,#276370)); #51812=EDGE_LOOP('',(#276371,#276372,#276373,#276374)); #51813=EDGE_LOOP('',(#276375,#276376,#276377,#276378)); #51814=EDGE_LOOP('',(#276379,#276380,#276381,#276382)); #51815=EDGE_LOOP('',(#276383,#276384,#276385,#276386)); #51816=EDGE_LOOP('',(#276387,#276388,#276389,#276390)); #51817=EDGE_LOOP('',(#276391,#276392,#276393,#276394)); #51818=EDGE_LOOP('',(#276395,#276396,#276397,#276398)); #51819=EDGE_LOOP('',(#276399,#276400,#276401,#276402)); #51820=EDGE_LOOP('',(#276403,#276404,#276405,#276406)); #51821=EDGE_LOOP('',(#276407,#276408,#276409,#276410)); #51822=EDGE_LOOP('',(#276411,#276412,#276413,#276414)); #51823=EDGE_LOOP('',(#276415,#276416,#276417,#276418)); #51824=EDGE_LOOP('',(#276419,#276420,#276421,#276422)); #51825=EDGE_LOOP('',(#276423,#276424,#276425,#276426,#276427,#276428,#276429, #276430,#276431,#276432,#276433,#276434,#276435,#276436,#276437,#276438, #276439,#276440,#276441,#276442,#276443,#276444,#276445,#276446,#276447, #276448,#276449,#276450,#276451,#276452,#276453,#276454,#276455,#276456, #276457,#276458,#276459,#276460,#276461,#276462,#276463,#276464,#276465, #276466,#276467,#276468,#276469,#276470,#276471,#276472,#276473,#276474, #276475,#276476,#276477,#276478,#276479,#276480,#276481,#276482,#276483, #276484,#276485,#276486,#276487,#276488,#276489,#276490,#276491,#276492, #276493,#276494)); #51826=EDGE_LOOP('',(#276495,#276496,#276497,#276498,#276499,#276500,#276501, #276502,#276503,#276504,#276505,#276506,#276507,#276508,#276509,#276510, #276511,#276512,#276513,#276514,#276515,#276516,#276517,#276518,#276519, #276520,#276521,#276522,#276523,#276524,#276525,#276526,#276527,#276528, #276529,#276530,#276531,#276532,#276533,#276534,#276535,#276536,#276537, #276538,#276539,#276540,#276541,#276542,#276543,#276544,#276545,#276546, #276547,#276548,#276549,#276550,#276551,#276552,#276553,#276554,#276555, #276556,#276557,#276558,#276559,#276560,#276561,#276562,#276563,#276564, #276565,#276566)); #51827=EDGE_LOOP('',(#276567,#276568,#276569,#276570)); #51828=EDGE_LOOP('',(#276571,#276572,#276573,#276574)); #51829=EDGE_LOOP('',(#276575,#276576,#276577,#276578)); #51830=EDGE_LOOP('',(#276579,#276580,#276581,#276582)); #51831=EDGE_LOOP('',(#276583,#276584,#276585,#276586)); #51832=EDGE_LOOP('',(#276587,#276588,#276589,#276590)); #51833=EDGE_LOOP('',(#276591,#276592,#276593,#276594)); #51834=EDGE_LOOP('',(#276595,#276596,#276597,#276598)); #51835=EDGE_LOOP('',(#276599,#276600,#276601,#276602)); #51836=EDGE_LOOP('',(#276603,#276604,#276605,#276606)); #51837=EDGE_LOOP('',(#276607,#276608,#276609,#276610)); #51838=EDGE_LOOP('',(#276611,#276612,#276613,#276614)); #51839=EDGE_LOOP('',(#276615,#276616,#276617,#276618)); #51840=EDGE_LOOP('',(#276619,#276620,#276621,#276622)); #51841=EDGE_LOOP('',(#276623,#276624,#276625,#276626)); #51842=EDGE_LOOP('',(#276627,#276628,#276629,#276630)); #51843=EDGE_LOOP('',(#276631,#276632,#276633,#276634)); #51844=EDGE_LOOP('',(#276635,#276636,#276637,#276638)); #51845=EDGE_LOOP('',(#276639,#276640,#276641,#276642)); #51846=EDGE_LOOP('',(#276643,#276644,#276645,#276646)); #51847=EDGE_LOOP('',(#276647,#276648,#276649,#276650)); #51848=EDGE_LOOP('',(#276651,#276652,#276653,#276654)); #51849=EDGE_LOOP('',(#276655,#276656,#276657,#276658)); #51850=EDGE_LOOP('',(#276659,#276660,#276661,#276662)); #51851=EDGE_LOOP('',(#276663,#276664,#276665,#276666)); #51852=EDGE_LOOP('',(#276667,#276668,#276669,#276670)); #51853=EDGE_LOOP('',(#276671,#276672,#276673,#276674)); #51854=EDGE_LOOP('',(#276675,#276676,#276677,#276678)); #51855=EDGE_LOOP('',(#276679,#276680,#276681,#276682,#276683,#276684,#276685, #276686,#276687,#276688,#276689,#276690,#276691,#276692,#276693,#276694, #276695,#276696,#276697,#276698,#276699,#276700,#276701,#276702,#276703, #276704,#276705,#276706)); #51856=EDGE_LOOP('',(#276707,#276708,#276709,#276710,#276711,#276712,#276713, #276714,#276715,#276716,#276717,#276718,#276719,#276720,#276721,#276722, #276723,#276724,#276725,#276726,#276727,#276728,#276729,#276730,#276731, #276732,#276733,#276734)); #51857=EDGE_LOOP('',(#276735,#276736,#276737,#276738)); #51858=EDGE_LOOP('',(#276739,#276740,#276741,#276742)); #51859=EDGE_LOOP('',(#276743,#276744,#276745,#276746)); #51860=EDGE_LOOP('',(#276747,#276748,#276749,#276750)); #51861=EDGE_LOOP('',(#276751,#276752,#276753,#276754)); #51862=EDGE_LOOP('',(#276755,#276756,#276757,#276758)); #51863=EDGE_LOOP('',(#276759,#276760,#276761,#276762)); #51864=EDGE_LOOP('',(#276763,#276764,#276765,#276766)); #51865=EDGE_LOOP('',(#276767,#276768,#276769,#276770)); #51866=EDGE_LOOP('',(#276771,#276772,#276773,#276774)); #51867=EDGE_LOOP('',(#276775,#276776,#276777,#276778)); #51868=EDGE_LOOP('',(#276779,#276780,#276781,#276782)); #51869=EDGE_LOOP('',(#276783,#276784,#276785,#276786)); #51870=EDGE_LOOP('',(#276787,#276788,#276789,#276790)); #51871=EDGE_LOOP('',(#276791,#276792,#276793,#276794)); #51872=EDGE_LOOP('',(#276795,#276796,#276797,#276798)); #51873=EDGE_LOOP('',(#276799,#276800,#276801,#276802)); #51874=EDGE_LOOP('',(#276803,#276804,#276805,#276806)); #51875=EDGE_LOOP('',(#276807,#276808,#276809,#276810)); #51876=EDGE_LOOP('',(#276811,#276812,#276813,#276814)); #51877=EDGE_LOOP('',(#276815,#276816,#276817,#276818)); #51878=EDGE_LOOP('',(#276819,#276820,#276821,#276822)); #51879=EDGE_LOOP('',(#276823,#276824,#276825,#276826)); #51880=EDGE_LOOP('',(#276827,#276828,#276829,#276830)); #51881=EDGE_LOOP('',(#276831,#276832,#276833,#276834)); #51882=EDGE_LOOP('',(#276835,#276836,#276837,#276838)); #51883=EDGE_LOOP('',(#276839,#276840,#276841,#276842)); #51884=EDGE_LOOP('',(#276843,#276844,#276845,#276846)); #51885=EDGE_LOOP('',(#276847,#276848,#276849,#276850)); #51886=EDGE_LOOP('',(#276851,#276852,#276853,#276854)); #51887=EDGE_LOOP('',(#276855,#276856,#276857,#276858)); #51888=EDGE_LOOP('',(#276859,#276860,#276861,#276862)); #51889=EDGE_LOOP('',(#276863,#276864,#276865,#276866)); #51890=EDGE_LOOP('',(#276867,#276868,#276869,#276870)); #51891=EDGE_LOOP('',(#276871,#276872,#276873,#276874)); #51892=EDGE_LOOP('',(#276875,#276876,#276877,#276878)); #51893=EDGE_LOOP('',(#276879,#276880,#276881,#276882)); #51894=EDGE_LOOP('',(#276883,#276884,#276885,#276886)); #51895=EDGE_LOOP('',(#276887,#276888,#276889,#276890)); #51896=EDGE_LOOP('',(#276891,#276892,#276893,#276894)); #51897=EDGE_LOOP('',(#276895,#276896,#276897,#276898)); #51898=EDGE_LOOP('',(#276899,#276900,#276901,#276902)); #51899=EDGE_LOOP('',(#276903,#276904,#276905,#276906)); #51900=EDGE_LOOP('',(#276907,#276908,#276909,#276910)); #51901=EDGE_LOOP('',(#276911,#276912,#276913,#276914)); #51902=EDGE_LOOP('',(#276915,#276916,#276917,#276918)); #51903=EDGE_LOOP('',(#276919,#276920,#276921,#276922)); #51904=EDGE_LOOP('',(#276923,#276924,#276925,#276926)); #51905=EDGE_LOOP('',(#276927,#276928,#276929,#276930)); #51906=EDGE_LOOP('',(#276931,#276932,#276933,#276934)); #51907=EDGE_LOOP('',(#276935,#276936,#276937,#276938)); #51908=EDGE_LOOP('',(#276939,#276940,#276941,#276942)); #51909=EDGE_LOOP('',(#276943,#276944,#276945,#276946)); #51910=EDGE_LOOP('',(#276947,#276948,#276949,#276950)); #51911=EDGE_LOOP('',(#276951,#276952,#276953,#276954)); #51912=EDGE_LOOP('',(#276955,#276956,#276957,#276958)); #51913=EDGE_LOOP('',(#276959,#276960,#276961,#276962)); #51914=EDGE_LOOP('',(#276963,#276964,#276965,#276966)); #51915=EDGE_LOOP('',(#276967,#276968,#276969,#276970)); #51916=EDGE_LOOP('',(#276971,#276972,#276973,#276974)); #51917=EDGE_LOOP('',(#276975,#276976,#276977,#276978)); #51918=EDGE_LOOP('',(#276979,#276980,#276981,#276982)); #51919=EDGE_LOOP('',(#276983,#276984,#276985,#276986)); #51920=EDGE_LOOP('',(#276987,#276988,#276989,#276990)); #51921=EDGE_LOOP('',(#276991,#276992,#276993,#276994)); #51922=EDGE_LOOP('',(#276995,#276996,#276997,#276998)); #51923=EDGE_LOOP('',(#276999,#277000,#277001,#277002)); #51924=EDGE_LOOP('',(#277003,#277004,#277005,#277006)); #51925=EDGE_LOOP('',(#277007,#277008,#277009,#277010)); #51926=EDGE_LOOP('',(#277011,#277012,#277013,#277014)); #51927=EDGE_LOOP('',(#277015,#277016,#277017,#277018)); #51928=EDGE_LOOP('',(#277019,#277020,#277021,#277022)); #51929=EDGE_LOOP('',(#277023,#277024,#277025,#277026)); #51930=EDGE_LOOP('',(#277027,#277028,#277029,#277030)); #51931=EDGE_LOOP('',(#277031,#277032,#277033,#277034)); #51932=EDGE_LOOP('',(#277035,#277036,#277037,#277038)); #51933=EDGE_LOOP('',(#277039,#277040,#277041,#277042)); #51934=EDGE_LOOP('',(#277043,#277044,#277045,#277046)); #51935=EDGE_LOOP('',(#277047,#277048,#277049,#277050)); #51936=EDGE_LOOP('',(#277051,#277052,#277053,#277054)); #51937=EDGE_LOOP('',(#277055,#277056,#277057,#277058)); #51938=EDGE_LOOP('',(#277059,#277060,#277061,#277062)); #51939=EDGE_LOOP('',(#277063,#277064,#277065,#277066)); #51940=EDGE_LOOP('',(#277067,#277068,#277069,#277070)); #51941=EDGE_LOOP('',(#277071,#277072,#277073,#277074)); #51942=EDGE_LOOP('',(#277075,#277076,#277077,#277078)); #51943=EDGE_LOOP('',(#277079,#277080,#277081,#277082)); #51944=EDGE_LOOP('',(#277083,#277084,#277085,#277086)); #51945=EDGE_LOOP('',(#277087,#277088,#277089,#277090)); #51946=EDGE_LOOP('',(#277091,#277092,#277093,#277094)); #51947=EDGE_LOOP('',(#277095,#277096,#277097,#277098,#277099,#277100,#277101, #277102,#277103,#277104,#277105,#277106,#277107,#277108,#277109,#277110, #277111,#277112,#277113,#277114,#277115,#277116,#277117,#277118,#277119, #277120,#277121,#277122,#277123,#277124,#277125,#277126,#277127,#277128, #277129,#277130,#277131,#277132,#277133,#277134,#277135,#277136,#277137, #277138,#277139,#277140,#277141,#277142,#277143,#277144,#277145,#277146, #277147,#277148,#277149,#277150,#277151,#277152,#277153,#277154,#277155, #277156,#277157,#277158,#277159,#277160,#277161,#277162,#277163,#277164, #277165,#277166,#277167,#277168)); #51948=EDGE_LOOP('',(#277169)); #51949=EDGE_LOOP('',(#277170)); #51950=EDGE_LOOP('',(#277171)); #51951=EDGE_LOOP('',(#277172)); #51952=EDGE_LOOP('',(#277173)); #51953=EDGE_LOOP('',(#277174)); #51954=EDGE_LOOP('',(#277175)); #51955=EDGE_LOOP('',(#277176)); #51956=EDGE_LOOP('',(#277177)); #51957=EDGE_LOOP('',(#277178)); #51958=EDGE_LOOP('',(#277179)); #51959=EDGE_LOOP('',(#277180)); #51960=EDGE_LOOP('',(#277181)); #51961=EDGE_LOOP('',(#277182)); #51962=EDGE_LOOP('',(#277183)); #51963=EDGE_LOOP('',(#277184)); #51964=EDGE_LOOP('',(#277185,#277186,#277187,#277188,#277189,#277190,#277191, #277192,#277193,#277194,#277195,#277196,#277197,#277198,#277199,#277200, #277201,#277202,#277203,#277204,#277205,#277206,#277207,#277208,#277209, #277210,#277211,#277212,#277213,#277214,#277215,#277216,#277217,#277218, #277219,#277220,#277221,#277222,#277223,#277224,#277225,#277226,#277227, #277228,#277229,#277230,#277231,#277232,#277233,#277234,#277235,#277236, #277237,#277238,#277239,#277240,#277241,#277242,#277243,#277244,#277245, #277246,#277247,#277248,#277249,#277250,#277251,#277252,#277253,#277254, #277255,#277256,#277257,#277258)); #51965=EDGE_LOOP('',(#277259)); #51966=EDGE_LOOP('',(#277260)); #51967=EDGE_LOOP('',(#277261)); #51968=EDGE_LOOP('',(#277262)); #51969=EDGE_LOOP('',(#277263)); #51970=EDGE_LOOP('',(#277264)); #51971=EDGE_LOOP('',(#277265)); #51972=EDGE_LOOP('',(#277266)); #51973=EDGE_LOOP('',(#277267)); #51974=EDGE_LOOP('',(#277268)); #51975=EDGE_LOOP('',(#277269)); #51976=EDGE_LOOP('',(#277270)); #51977=EDGE_LOOP('',(#277271)); #51978=EDGE_LOOP('',(#277272)); #51979=EDGE_LOOP('',(#277273)); #51980=EDGE_LOOP('',(#277274)); #51981=EDGE_LOOP('',(#277275,#277276,#277277,#277278)); #51982=EDGE_LOOP('',(#277279,#277280,#277281,#277282)); #51983=EDGE_LOOP('',(#277283,#277284,#277285,#277286)); #51984=EDGE_LOOP('',(#277287,#277288,#277289,#277290)); #51985=EDGE_LOOP('',(#277291,#277292,#277293,#277294)); #51986=EDGE_LOOP('',(#277295,#277296,#277297,#277298)); #51987=EDGE_LOOP('',(#277299,#277300,#277301,#277302)); #51988=EDGE_LOOP('',(#277303,#277304,#277305,#277306)); #51989=EDGE_LOOP('',(#277307,#277308,#277309,#277310)); #51990=EDGE_LOOP('',(#277311,#277312,#277313,#277314)); #51991=EDGE_LOOP('',(#277315,#277316,#277317,#277318)); #51992=EDGE_LOOP('',(#277319,#277320,#277321,#277322)); #51993=EDGE_LOOP('',(#277323,#277324,#277325,#277326)); #51994=EDGE_LOOP('',(#277327,#277328,#277329,#277330)); #51995=EDGE_LOOP('',(#277331,#277332,#277333,#277334)); #51996=EDGE_LOOP('',(#277335,#277336,#277337,#277338)); #51997=EDGE_LOOP('',(#277339,#277340,#277341,#277342)); #51998=EDGE_LOOP('',(#277343,#277344,#277345,#277346)); #51999=EDGE_LOOP('',(#277347,#277348,#277349,#277350)); #52000=EDGE_LOOP('',(#277351,#277352,#277353,#277354)); #52001=EDGE_LOOP('',(#277355,#277356,#277357,#277358)); #52002=EDGE_LOOP('',(#277359,#277360,#277361,#277362)); #52003=EDGE_LOOP('',(#277363,#277364,#277365,#277366)); #52004=EDGE_LOOP('',(#277367,#277368,#277369,#277370)); #52005=EDGE_LOOP('',(#277371,#277372,#277373,#277374)); #52006=EDGE_LOOP('',(#277375,#277376,#277377,#277378)); #52007=EDGE_LOOP('',(#277379,#277380,#277381,#277382)); #52008=EDGE_LOOP('',(#277383,#277384,#277385,#277386)); #52009=EDGE_LOOP('',(#277387,#277388,#277389,#277390)); #52010=EDGE_LOOP('',(#277391,#277392,#277393,#277394)); #52011=EDGE_LOOP('',(#277395,#277396,#277397,#277398)); #52012=EDGE_LOOP('',(#277399,#277400,#277401,#277402)); #52013=EDGE_LOOP('',(#277403,#277404,#277405,#277406)); #52014=EDGE_LOOP('',(#277407,#277408,#277409,#277410)); #52015=EDGE_LOOP('',(#277411,#277412,#277413,#277414)); #52016=EDGE_LOOP('',(#277415,#277416,#277417,#277418)); #52017=EDGE_LOOP('',(#277419,#277420,#277421,#277422)); #52018=EDGE_LOOP('',(#277423,#277424,#277425,#277426)); #52019=EDGE_LOOP('',(#277427,#277428,#277429,#277430)); #52020=EDGE_LOOP('',(#277431,#277432,#277433,#277434)); #52021=EDGE_LOOP('',(#277435,#277436,#277437,#277438)); #52022=EDGE_LOOP('',(#277439,#277440,#277441,#277442)); #52023=EDGE_LOOP('',(#277443,#277444,#277445,#277446)); #52024=EDGE_LOOP('',(#277447,#277448,#277449,#277450)); #52025=EDGE_LOOP('',(#277451,#277452,#277453,#277454)); #52026=EDGE_LOOP('',(#277455,#277456,#277457,#277458)); #52027=EDGE_LOOP('',(#277459,#277460,#277461,#277462)); #52028=EDGE_LOOP('',(#277463,#277464,#277465,#277466)); #52029=EDGE_LOOP('',(#277467,#277468,#277469,#277470)); #52030=EDGE_LOOP('',(#277471,#277472,#277473,#277474)); #52031=EDGE_LOOP('',(#277475,#277476,#277477,#277478)); #52032=EDGE_LOOP('',(#277479,#277480,#277481,#277482)); #52033=EDGE_LOOP('',(#277483,#277484,#277485,#277486)); #52034=EDGE_LOOP('',(#277487,#277488,#277489,#277490)); #52035=EDGE_LOOP('',(#277491,#277492,#277493,#277494)); #52036=EDGE_LOOP('',(#277495,#277496,#277497,#277498)); #52037=EDGE_LOOP('',(#277499,#277500,#277501,#277502)); #52038=EDGE_LOOP('',(#277503,#277504,#277505,#277506)); #52039=EDGE_LOOP('',(#277507,#277508,#277509,#277510)); #52040=EDGE_LOOP('',(#277511,#277512,#277513,#277514)); #52041=EDGE_LOOP('',(#277515,#277516,#277517,#277518)); #52042=EDGE_LOOP('',(#277519,#277520,#277521,#277522)); #52043=EDGE_LOOP('',(#277523,#277524,#277525,#277526)); #52044=EDGE_LOOP('',(#277527,#277528,#277529,#277530)); #52045=EDGE_LOOP('',(#277531,#277532,#277533,#277534)); #52046=EDGE_LOOP('',(#277535,#277536,#277537,#277538)); #52047=EDGE_LOOP('',(#277539,#277540,#277541,#277542)); #52048=EDGE_LOOP('',(#277543,#277544,#277545,#277546)); #52049=EDGE_LOOP('',(#277547,#277548,#277549,#277550)); #52050=EDGE_LOOP('',(#277551,#277552,#277553,#277554)); #52051=EDGE_LOOP('',(#277555,#277556,#277557,#277558)); #52052=EDGE_LOOP('',(#277559,#277560,#277561,#277562)); #52053=EDGE_LOOP('',(#277563,#277564,#277565,#277566)); #52054=EDGE_LOOP('',(#277567,#277568,#277569,#277570)); #52055=EDGE_LOOP('',(#277571,#277572,#277573,#277574)); #52056=EDGE_LOOP('',(#277575,#277576,#277577,#277578)); #52057=EDGE_LOOP('',(#277579,#277580,#277581,#277582)); #52058=EDGE_LOOP('',(#277583,#277584,#277585,#277586)); #52059=EDGE_LOOP('',(#277587,#277588,#277589,#277590)); #52060=EDGE_LOOP('',(#277591,#277592,#277593,#277594)); #52061=EDGE_LOOP('',(#277595,#277596,#277597,#277598)); #52062=EDGE_LOOP('',(#277599,#277600,#277601,#277602)); #52063=EDGE_LOOP('',(#277603,#277604,#277605,#277606)); #52064=EDGE_LOOP('',(#277607,#277608,#277609,#277610)); #52065=EDGE_LOOP('',(#277611,#277612,#277613,#277614)); #52066=EDGE_LOOP('',(#277615,#277616,#277617,#277618)); #52067=EDGE_LOOP('',(#277619,#277620,#277621,#277622,#277623,#277624,#277625, #277626,#277627,#277628,#277629,#277630,#277631,#277632,#277633,#277634, #277635,#277636,#277637,#277638,#277639,#277640,#277641,#277642,#277643, #277644,#277645,#277646,#277647,#277648,#277649,#277650,#277651,#277652, #277653,#277654,#277655,#277656,#277657,#277658,#277659,#277660,#277661, #277662,#277663,#277664,#277665,#277666,#277667,#277668,#277669,#277670, #277671,#277672,#277673,#277674,#277675,#277676,#277677,#277678,#277679, #277680,#277681,#277682,#277683,#277684,#277685,#277686,#277687,#277688)); #52068=EDGE_LOOP('',(#277689)); #52069=EDGE_LOOP('',(#277690)); #52070=EDGE_LOOP('',(#277691)); #52071=EDGE_LOOP('',(#277692)); #52072=EDGE_LOOP('',(#277693)); #52073=EDGE_LOOP('',(#277694)); #52074=EDGE_LOOP('',(#277695)); #52075=EDGE_LOOP('',(#277696)); #52076=EDGE_LOOP('',(#277697)); #52077=EDGE_LOOP('',(#277698)); #52078=EDGE_LOOP('',(#277699)); #52079=EDGE_LOOP('',(#277700)); #52080=EDGE_LOOP('',(#277701)); #52081=EDGE_LOOP('',(#277702)); #52082=EDGE_LOOP('',(#277703)); #52083=EDGE_LOOP('',(#277704)); #52084=EDGE_LOOP('',(#277705,#277706,#277707,#277708,#277709,#277710,#277711, #277712,#277713,#277714,#277715,#277716,#277717,#277718,#277719,#277720, #277721,#277722,#277723,#277724,#277725,#277726,#277727,#277728,#277729, #277730,#277731,#277732,#277733,#277734,#277735,#277736,#277737,#277738, #277739,#277740,#277741,#277742,#277743,#277744,#277745,#277746,#277747, #277748,#277749,#277750,#277751,#277752,#277753,#277754,#277755,#277756, #277757,#277758,#277759,#277760,#277761,#277762,#277763,#277764,#277765, #277766,#277767,#277768,#277769,#277770,#277771,#277772,#277773,#277774)); #52085=EDGE_LOOP('',(#277775)); #52086=EDGE_LOOP('',(#277776)); #52087=EDGE_LOOP('',(#277777)); #52088=EDGE_LOOP('',(#277778)); #52089=EDGE_LOOP('',(#277779)); #52090=EDGE_LOOP('',(#277780)); #52091=EDGE_LOOP('',(#277781)); #52092=EDGE_LOOP('',(#277782)); #52093=EDGE_LOOP('',(#277783)); #52094=EDGE_LOOP('',(#277784)); #52095=EDGE_LOOP('',(#277785)); #52096=EDGE_LOOP('',(#277786)); #52097=EDGE_LOOP('',(#277787)); #52098=EDGE_LOOP('',(#277788)); #52099=EDGE_LOOP('',(#277789)); #52100=EDGE_LOOP('',(#277790)); #52101=EDGE_LOOP('',(#277791,#277792,#277793,#277794)); #52102=EDGE_LOOP('',(#277795,#277796,#277797,#277798)); #52103=EDGE_LOOP('',(#277799,#277800,#277801,#277802)); #52104=EDGE_LOOP('',(#277803,#277804,#277805,#277806)); #52105=EDGE_LOOP('',(#277807,#277808,#277809,#277810)); #52106=EDGE_LOOP('',(#277811,#277812,#277813,#277814)); #52107=EDGE_LOOP('',(#277815,#277816,#277817,#277818)); #52108=EDGE_LOOP('',(#277819,#277820,#277821,#277822)); #52109=EDGE_LOOP('',(#277823,#277824,#277825,#277826)); #52110=EDGE_LOOP('',(#277827,#277828,#277829,#277830)); #52111=EDGE_LOOP('',(#277831,#277832,#277833,#277834)); #52112=EDGE_LOOP('',(#277835,#277836,#277837,#277838)); #52113=EDGE_LOOP('',(#277839,#277840,#277841,#277842)); #52114=EDGE_LOOP('',(#277843,#277844,#277845,#277846)); #52115=EDGE_LOOP('',(#277847,#277848,#277849,#277850)); #52116=EDGE_LOOP('',(#277851,#277852,#277853,#277854)); #52117=EDGE_LOOP('',(#277855,#277856,#277857,#277858)); #52118=EDGE_LOOP('',(#277859,#277860,#277861,#277862)); #52119=EDGE_LOOP('',(#277863,#277864,#277865,#277866)); #52120=EDGE_LOOP('',(#277867,#277868,#277869,#277870)); #52121=EDGE_LOOP('',(#277871,#277872,#277873,#277874)); #52122=EDGE_LOOP('',(#277875,#277876,#277877,#277878)); #52123=EDGE_LOOP('',(#277879,#277880,#277881,#277882)); #52124=EDGE_LOOP('',(#277883,#277884,#277885,#277886)); #52125=EDGE_LOOP('',(#277887,#277888,#277889,#277890)); #52126=EDGE_LOOP('',(#277891,#277892,#277893,#277894)); #52127=EDGE_LOOP('',(#277895,#277896,#277897,#277898)); #52128=EDGE_LOOP('',(#277899,#277900,#277901,#277902)); #52129=EDGE_LOOP('',(#277903,#277904,#277905,#277906)); #52130=EDGE_LOOP('',(#277907,#277908,#277909,#277910)); #52131=EDGE_LOOP('',(#277911,#277912,#277913,#277914)); #52132=EDGE_LOOP('',(#277915,#277916,#277917,#277918)); #52133=EDGE_LOOP('',(#277919,#277920,#277921,#277922)); #52134=EDGE_LOOP('',(#277923,#277924,#277925,#277926)); #52135=EDGE_LOOP('',(#277927,#277928,#277929,#277930)); #52136=EDGE_LOOP('',(#277931,#277932,#277933,#277934)); #52137=EDGE_LOOP('',(#277935,#277936,#277937,#277938)); #52138=EDGE_LOOP('',(#277939,#277940,#277941,#277942)); #52139=EDGE_LOOP('',(#277943,#277944,#277945,#277946)); #52140=EDGE_LOOP('',(#277947,#277948,#277949,#277950)); #52141=EDGE_LOOP('',(#277951,#277952,#277953,#277954)); #52142=EDGE_LOOP('',(#277955,#277956,#277957,#277958)); #52143=EDGE_LOOP('',(#277959,#277960,#277961,#277962)); #52144=EDGE_LOOP('',(#277963,#277964,#277965,#277966)); #52145=EDGE_LOOP('',(#277967,#277968,#277969,#277970)); #52146=EDGE_LOOP('',(#277971,#277972,#277973,#277974)); #52147=EDGE_LOOP('',(#277975,#277976,#277977,#277978)); #52148=EDGE_LOOP('',(#277979,#277980,#277981,#277982)); #52149=EDGE_LOOP('',(#277983,#277984,#277985,#277986)); #52150=EDGE_LOOP('',(#277987,#277988,#277989,#277990)); #52151=EDGE_LOOP('',(#277991,#277992,#277993,#277994)); #52152=EDGE_LOOP('',(#277995,#277996,#277997,#277998)); #52153=EDGE_LOOP('',(#277999,#278000,#278001,#278002)); #52154=EDGE_LOOP('',(#278003,#278004,#278005,#278006)); #52155=EDGE_LOOP('',(#278007,#278008,#278009,#278010)); #52156=EDGE_LOOP('',(#278011,#278012,#278013,#278014)); #52157=EDGE_LOOP('',(#278015,#278016,#278017,#278018)); #52158=EDGE_LOOP('',(#278019,#278020,#278021,#278022)); #52159=EDGE_LOOP('',(#278023,#278024,#278025,#278026)); #52160=EDGE_LOOP('',(#278027,#278028,#278029,#278030)); #52161=EDGE_LOOP('',(#278031,#278032,#278033,#278034)); #52162=EDGE_LOOP('',(#278035,#278036,#278037,#278038)); #52163=EDGE_LOOP('',(#278039,#278040,#278041,#278042)); #52164=EDGE_LOOP('',(#278043,#278044,#278045,#278046)); #52165=EDGE_LOOP('',(#278047,#278048,#278049,#278050)); #52166=EDGE_LOOP('',(#278051,#278052,#278053,#278054)); #52167=EDGE_LOOP('',(#278055,#278056,#278057,#278058)); #52168=EDGE_LOOP('',(#278059,#278060,#278061,#278062)); #52169=EDGE_LOOP('',(#278063,#278064,#278065,#278066)); #52170=EDGE_LOOP('',(#278067,#278068,#278069,#278070)); #52171=EDGE_LOOP('',(#278071,#278072,#278073,#278074)); #52172=EDGE_LOOP('',(#278075,#278076,#278077,#278078)); #52173=EDGE_LOOP('',(#278079,#278080,#278081,#278082)); #52174=EDGE_LOOP('',(#278083,#278084,#278085,#278086)); #52175=EDGE_LOOP('',(#278087,#278088,#278089,#278090)); #52176=EDGE_LOOP('',(#278091,#278092,#278093,#278094,#278095,#278096,#278097, #278098,#278099,#278100,#278101,#278102,#278103,#278104,#278105,#278106, #278107,#278108,#278109,#278110,#278111,#278112,#278113,#278114,#278115, #278116,#278117,#278118,#278119,#278120,#278121,#278122,#278123,#278124, #278125,#278126,#278127,#278128,#278129,#278130,#278131,#278132,#278133, #278134,#278135)); #52177=EDGE_LOOP('',(#278136)); #52178=EDGE_LOOP('',(#278137)); #52179=EDGE_LOOP('',(#278138)); #52180=EDGE_LOOP('',(#278139)); #52181=EDGE_LOOP('',(#278140)); #52182=EDGE_LOOP('',(#278141)); #52183=EDGE_LOOP('',(#278142)); #52184=EDGE_LOOP('',(#278143)); #52185=EDGE_LOOP('',(#278144)); #52186=EDGE_LOOP('',(#278145)); #52187=EDGE_LOOP('',(#278146)); #52188=EDGE_LOOP('',(#278147)); #52189=EDGE_LOOP('',(#278148)); #52190=EDGE_LOOP('',(#278149)); #52191=EDGE_LOOP('',(#278150)); #52192=EDGE_LOOP('',(#278151)); #52193=EDGE_LOOP('',(#278152)); #52194=EDGE_LOOP('',(#278153)); #52195=EDGE_LOOP('',(#278154)); #52196=EDGE_LOOP('',(#278155)); #52197=EDGE_LOOP('',(#278156)); #52198=EDGE_LOOP('',(#278157)); #52199=EDGE_LOOP('',(#278158)); #52200=EDGE_LOOP('',(#278159)); #52201=EDGE_LOOP('',(#278160)); #52202=EDGE_LOOP('',(#278161)); #52203=EDGE_LOOP('',(#278162)); #52204=EDGE_LOOP('',(#278163)); #52205=EDGE_LOOP('',(#278164)); #52206=EDGE_LOOP('',(#278165)); #52207=EDGE_LOOP('',(#278166,#278167,#278168,#278169,#278170,#278171,#278172, #278173,#278174,#278175,#278176,#278177,#278178,#278179,#278180,#278181, #278182,#278183,#278184,#278185,#278186,#278187,#278188,#278189,#278190, #278191,#278192,#278193,#278194,#278195,#278196,#278197,#278198,#278199, #278200,#278201,#278202,#278203,#278204,#278205,#278206,#278207,#278208, #278209,#278210)); #52208=EDGE_LOOP('',(#278211)); #52209=EDGE_LOOP('',(#278212)); #52210=EDGE_LOOP('',(#278213)); #52211=EDGE_LOOP('',(#278214)); #52212=EDGE_LOOP('',(#278215)); #52213=EDGE_LOOP('',(#278216)); #52214=EDGE_LOOP('',(#278217)); #52215=EDGE_LOOP('',(#278218)); #52216=EDGE_LOOP('',(#278219)); #52217=EDGE_LOOP('',(#278220)); #52218=EDGE_LOOP('',(#278221)); #52219=EDGE_LOOP('',(#278222)); #52220=EDGE_LOOP('',(#278223)); #52221=EDGE_LOOP('',(#278224)); #52222=EDGE_LOOP('',(#278225)); #52223=EDGE_LOOP('',(#278226)); #52224=EDGE_LOOP('',(#278227)); #52225=EDGE_LOOP('',(#278228)); #52226=EDGE_LOOP('',(#278229)); #52227=EDGE_LOOP('',(#278230)); #52228=EDGE_LOOP('',(#278231)); #52229=EDGE_LOOP('',(#278232)); #52230=EDGE_LOOP('',(#278233)); #52231=EDGE_LOOP('',(#278234)); #52232=EDGE_LOOP('',(#278235)); #52233=EDGE_LOOP('',(#278236)); #52234=EDGE_LOOP('',(#278237)); #52235=EDGE_LOOP('',(#278238)); #52236=EDGE_LOOP('',(#278239)); #52237=EDGE_LOOP('',(#278240)); #52238=EDGE_LOOP('',(#278241,#278242,#278243,#278244)); #52239=EDGE_LOOP('',(#278245,#278246,#278247,#278248)); #52240=EDGE_LOOP('',(#278249,#278250,#278251,#278252)); #52241=EDGE_LOOP('',(#278253,#278254,#278255,#278256)); #52242=EDGE_LOOP('',(#278257,#278258,#278259,#278260)); #52243=EDGE_LOOP('',(#278261,#278262,#278263,#278264)); #52244=EDGE_LOOP('',(#278265,#278266,#278267,#278268)); #52245=EDGE_LOOP('',(#278269,#278270,#278271,#278272)); #52246=EDGE_LOOP('',(#278273,#278274,#278275,#278276)); #52247=EDGE_LOOP('',(#278277,#278278,#278279,#278280,#278281,#278282,#278283)); #52248=EDGE_LOOP('',(#278284)); #52249=EDGE_LOOP('',(#278285)); #52250=EDGE_LOOP('',(#278286,#278287,#278288,#278289,#278290,#278291,#278292)); #52251=EDGE_LOOP('',(#278293)); #52252=EDGE_LOOP('',(#278294)); #52253=EDGE_LOOP('',(#278295,#278296,#278297,#278298)); #52254=EDGE_LOOP('',(#278299,#278300,#278301,#278302)); #52255=EDGE_LOOP('',(#278303,#278304,#278305,#278306)); #52256=EDGE_LOOP('',(#278307,#278308,#278309,#278310)); #52257=EDGE_LOOP('',(#278311,#278312,#278313,#278314)); #52258=EDGE_LOOP('',(#278315,#278316,#278317,#278318)); #52259=EDGE_LOOP('',(#278319,#278320,#278321,#278322)); #52260=EDGE_LOOP('',(#278323,#278324,#278325,#278326)); #52261=EDGE_LOOP('',(#278327,#278328,#278329,#278330)); #52262=EDGE_LOOP('',(#278331,#278332,#278333,#278334)); #52263=EDGE_LOOP('',(#278335,#278336,#278337,#278338)); #52264=EDGE_LOOP('',(#278339,#278340,#278341,#278342)); #52265=EDGE_LOOP('',(#278343,#278344,#278345,#278346)); #52266=EDGE_LOOP('',(#278347,#278348,#278349,#278350)); #52267=EDGE_LOOP('',(#278351,#278352,#278353,#278354)); #52268=EDGE_LOOP('',(#278355,#278356,#278357,#278358)); #52269=EDGE_LOOP('',(#278359,#278360,#278361,#278362)); #52270=EDGE_LOOP('',(#278363,#278364,#278365,#278366)); #52271=EDGE_LOOP('',(#278367,#278368,#278369,#278370)); #52272=EDGE_LOOP('',(#278371,#278372,#278373,#278374)); #52273=EDGE_LOOP('',(#278375,#278376,#278377,#278378)); #52274=EDGE_LOOP('',(#278379,#278380,#278381,#278382)); #52275=EDGE_LOOP('',(#278383,#278384,#278385,#278386)); #52276=EDGE_LOOP('',(#278387,#278388,#278389,#278390)); #52277=EDGE_LOOP('',(#278391,#278392,#278393,#278394)); #52278=EDGE_LOOP('',(#278395,#278396,#278397,#278398)); #52279=EDGE_LOOP('',(#278399,#278400,#278401,#278402)); #52280=EDGE_LOOP('',(#278403,#278404,#278405,#278406)); #52281=EDGE_LOOP('',(#278407,#278408,#278409,#278410)); #52282=EDGE_LOOP('',(#278411,#278412,#278413,#278414)); #52283=EDGE_LOOP('',(#278415,#278416,#278417,#278418)); #52284=EDGE_LOOP('',(#278419,#278420,#278421,#278422)); #52285=EDGE_LOOP('',(#278423,#278424,#278425,#278426)); #52286=EDGE_LOOP('',(#278427,#278428,#278429,#278430)); #52287=EDGE_LOOP('',(#278431,#278432,#278433,#278434,#278435,#278436,#278437, #278438,#278439,#278440,#278441,#278442,#278443,#278444,#278445,#278446, #278447,#278448,#278449,#278450,#278451,#278452,#278453,#278454)); #52288=EDGE_LOOP('',(#278455)); #52289=EDGE_LOOP('',(#278456)); #52290=EDGE_LOOP('',(#278457)); #52291=EDGE_LOOP('',(#278458)); #52292=EDGE_LOOP('',(#278459)); #52293=EDGE_LOOP('',(#278460)); #52294=EDGE_LOOP('',(#278461)); #52295=EDGE_LOOP('',(#278462)); #52296=EDGE_LOOP('',(#278463)); #52297=EDGE_LOOP('',(#278464)); #52298=EDGE_LOOP('',(#278465,#278466,#278467,#278468,#278469,#278470,#278471, #278472,#278473,#278474,#278475,#278476,#278477,#278478,#278479,#278480, #278481,#278482,#278483,#278484,#278485,#278486,#278487,#278488)); #52299=EDGE_LOOP('',(#278489)); #52300=EDGE_LOOP('',(#278490)); #52301=EDGE_LOOP('',(#278491)); #52302=EDGE_LOOP('',(#278492)); #52303=EDGE_LOOP('',(#278493)); #52304=EDGE_LOOP('',(#278494)); #52305=EDGE_LOOP('',(#278495)); #52306=EDGE_LOOP('',(#278496)); #52307=EDGE_LOOP('',(#278497)); #52308=EDGE_LOOP('',(#278498)); #52309=EDGE_LOOP('',(#278499,#278500,#278501,#278502)); #52310=EDGE_LOOP('',(#278503,#278504,#278505,#278506)); #52311=EDGE_LOOP('',(#278507,#278508,#278509,#278510)); #52312=EDGE_LOOP('',(#278511,#278512,#278513,#278514)); #52313=EDGE_LOOP('',(#278515,#278516,#278517)); #52314=EDGE_LOOP('',(#278518)); #52315=EDGE_LOOP('',(#278519,#278520,#278521)); #52316=EDGE_LOOP('',(#278522)); #52317=EDGE_LOOP('',(#278523,#278524,#278525,#278526)); #52318=EDGE_LOOP('',(#278527,#278528,#278529,#278530)); #52319=EDGE_LOOP('',(#278531,#278532,#278533,#278534)); #52320=EDGE_LOOP('',(#278535,#278536,#278537,#278538)); #52321=EDGE_LOOP('',(#278539,#278540,#278541,#278542)); #52322=EDGE_LOOP('',(#278543,#278544,#278545,#278546)); #52323=EDGE_LOOP('',(#278547,#278548,#278549,#278550)); #52324=EDGE_LOOP('',(#278551,#278552,#278553,#278554)); #52325=EDGE_LOOP('',(#278555,#278556,#278557,#278558)); #52326=EDGE_LOOP('',(#278559,#278560,#278561,#278562)); #52327=EDGE_LOOP('',(#278563,#278564,#278565,#278566)); #52328=EDGE_LOOP('',(#278567,#278568,#278569,#278570)); #52329=EDGE_LOOP('',(#278571,#278572,#278573,#278574)); #52330=EDGE_LOOP('',(#278575,#278576,#278577,#278578)); #52331=EDGE_LOOP('',(#278579,#278580,#278581,#278582)); #52332=EDGE_LOOP('',(#278583,#278584,#278585,#278586)); #52333=EDGE_LOOP('',(#278587,#278588,#278589,#278590)); #52334=EDGE_LOOP('',(#278591,#278592,#278593,#278594)); #52335=EDGE_LOOP('',(#278595,#278596,#278597,#278598)); #52336=EDGE_LOOP('',(#278599,#278600,#278601,#278602)); #52337=EDGE_LOOP('',(#278603,#278604,#278605,#278606)); #52338=EDGE_LOOP('',(#278607,#278608,#278609,#278610)); #52339=EDGE_LOOP('',(#278611,#278612,#278613,#278614)); #52340=EDGE_LOOP('',(#278615,#278616,#278617,#278618)); #52341=EDGE_LOOP('',(#278619,#278620,#278621,#278622)); #52342=EDGE_LOOP('',(#278623,#278624,#278625,#278626)); #52343=EDGE_LOOP('',(#278627,#278628,#278629,#278630)); #52344=EDGE_LOOP('',(#278631,#278632,#278633,#278634)); #52345=EDGE_LOOP('',(#278635,#278636,#278637,#278638)); #52346=EDGE_LOOP('',(#278639,#278640,#278641,#278642)); #52347=EDGE_LOOP('',(#278643,#278644,#278645,#278646)); #52348=EDGE_LOOP('',(#278647,#278648,#278649,#278650)); #52349=EDGE_LOOP('',(#278651,#278652,#278653,#278654)); #52350=EDGE_LOOP('',(#278655,#278656,#278657,#278658)); #52351=EDGE_LOOP('',(#278659,#278660,#278661,#278662)); #52352=EDGE_LOOP('',(#278663,#278664,#278665,#278666)); #52353=EDGE_LOOP('',(#278667,#278668,#278669,#278670)); #52354=EDGE_LOOP('',(#278671,#278672,#278673,#278674)); #52355=EDGE_LOOP('',(#278675,#278676,#278677,#278678)); #52356=EDGE_LOOP('',(#278679,#278680,#278681,#278682)); #52357=EDGE_LOOP('',(#278683,#278684,#278685,#278686)); #52358=EDGE_LOOP('',(#278687,#278688,#278689,#278690)); #52359=EDGE_LOOP('',(#278691,#278692,#278693,#278694)); #52360=EDGE_LOOP('',(#278695,#278696,#278697,#278698)); #52361=EDGE_LOOP('',(#278699,#278700,#278701,#278702)); #52362=EDGE_LOOP('',(#278703,#278704,#278705,#278706)); #52363=EDGE_LOOP('',(#278707,#278708,#278709,#278710)); #52364=EDGE_LOOP('',(#278711,#278712,#278713,#278714)); #52365=EDGE_LOOP('',(#278715,#278716,#278717,#278718)); #52366=EDGE_LOOP('',(#278719,#278720,#278721,#278722)); #52367=EDGE_LOOP('',(#278723,#278724,#278725,#278726)); #52368=EDGE_LOOP('',(#278727,#278728,#278729,#278730)); #52369=EDGE_LOOP('',(#278731,#278732,#278733,#278734)); #52370=EDGE_LOOP('',(#278735,#278736,#278737,#278738)); #52371=EDGE_LOOP('',(#278739,#278740,#278741,#278742)); #52372=EDGE_LOOP('',(#278743,#278744,#278745,#278746)); #52373=EDGE_LOOP('',(#278747,#278748,#278749,#278750)); #52374=EDGE_LOOP('',(#278751,#278752,#278753,#278754)); #52375=EDGE_LOOP('',(#278755,#278756,#278757,#278758)); #52376=EDGE_LOOP('',(#278759,#278760,#278761,#278762)); #52377=EDGE_LOOP('',(#278763,#278764,#278765,#278766)); #52378=EDGE_LOOP('',(#278767,#278768,#278769,#278770)); #52379=EDGE_LOOP('',(#278771,#278772,#278773,#278774)); #52380=EDGE_LOOP('',(#278775,#278776,#278777,#278778)); #52381=EDGE_LOOP('',(#278779,#278780,#278781,#278782)); #52382=EDGE_LOOP('',(#278783,#278784,#278785,#278786)); #52383=EDGE_LOOP('',(#278787,#278788,#278789,#278790)); #52384=EDGE_LOOP('',(#278791,#278792,#278793,#278794)); #52385=EDGE_LOOP('',(#278795,#278796,#278797,#278798)); #52386=EDGE_LOOP('',(#278799,#278800,#278801,#278802)); #52387=EDGE_LOOP('',(#278803,#278804,#278805,#278806)); #52388=EDGE_LOOP('',(#278807,#278808,#278809,#278810)); #52389=EDGE_LOOP('',(#278811,#278812,#278813,#278814)); #52390=EDGE_LOOP('',(#278815,#278816,#278817,#278818)); #52391=EDGE_LOOP('',(#278819,#278820,#278821,#278822)); #52392=EDGE_LOOP('',(#278823,#278824,#278825,#278826,#278827,#278828,#278829, #278830,#278831,#278832,#278833,#278834,#278835,#278836,#278837,#278838, #278839,#278840,#278841,#278842,#278843,#278844,#278845,#278846,#278847, #278848,#278849,#278850,#278851,#278852,#278853,#278854,#278855,#278856, #278857,#278858,#278859,#278860,#278861,#278862,#278863,#278864,#278865, #278866,#278867)); #52393=EDGE_LOOP('',(#278868)); #52394=EDGE_LOOP('',(#278869)); #52395=EDGE_LOOP('',(#278870)); #52396=EDGE_LOOP('',(#278871)); #52397=EDGE_LOOP('',(#278872)); #52398=EDGE_LOOP('',(#278873)); #52399=EDGE_LOOP('',(#278874)); #52400=EDGE_LOOP('',(#278875)); #52401=EDGE_LOOP('',(#278876)); #52402=EDGE_LOOP('',(#278877)); #52403=EDGE_LOOP('',(#278878)); #52404=EDGE_LOOP('',(#278879)); #52405=EDGE_LOOP('',(#278880)); #52406=EDGE_LOOP('',(#278881)); #52407=EDGE_LOOP('',(#278882)); #52408=EDGE_LOOP('',(#278883)); #52409=EDGE_LOOP('',(#278884)); #52410=EDGE_LOOP('',(#278885)); #52411=EDGE_LOOP('',(#278886)); #52412=EDGE_LOOP('',(#278887)); #52413=EDGE_LOOP('',(#278888)); #52414=EDGE_LOOP('',(#278889)); #52415=EDGE_LOOP('',(#278890)); #52416=EDGE_LOOP('',(#278891)); #52417=EDGE_LOOP('',(#278892)); #52418=EDGE_LOOP('',(#278893)); #52419=EDGE_LOOP('',(#278894)); #52420=EDGE_LOOP('',(#278895)); #52421=EDGE_LOOP('',(#278896)); #52422=EDGE_LOOP('',(#278897)); #52423=EDGE_LOOP('',(#278898,#278899,#278900,#278901,#278902,#278903,#278904, #278905,#278906,#278907,#278908,#278909,#278910,#278911,#278912,#278913, #278914,#278915,#278916,#278917,#278918,#278919,#278920,#278921,#278922, #278923,#278924,#278925,#278926,#278927,#278928,#278929,#278930,#278931, #278932,#278933,#278934,#278935,#278936,#278937,#278938,#278939,#278940, #278941,#278942)); #52424=EDGE_LOOP('',(#278943)); #52425=EDGE_LOOP('',(#278944)); #52426=EDGE_LOOP('',(#278945)); #52427=EDGE_LOOP('',(#278946)); #52428=EDGE_LOOP('',(#278947)); #52429=EDGE_LOOP('',(#278948)); #52430=EDGE_LOOP('',(#278949)); #52431=EDGE_LOOP('',(#278950)); #52432=EDGE_LOOP('',(#278951)); #52433=EDGE_LOOP('',(#278952)); #52434=EDGE_LOOP('',(#278953)); #52435=EDGE_LOOP('',(#278954)); #52436=EDGE_LOOP('',(#278955)); #52437=EDGE_LOOP('',(#278956)); #52438=EDGE_LOOP('',(#278957)); #52439=EDGE_LOOP('',(#278958)); #52440=EDGE_LOOP('',(#278959)); #52441=EDGE_LOOP('',(#278960)); #52442=EDGE_LOOP('',(#278961)); #52443=EDGE_LOOP('',(#278962)); #52444=EDGE_LOOP('',(#278963)); #52445=EDGE_LOOP('',(#278964)); #52446=EDGE_LOOP('',(#278965)); #52447=EDGE_LOOP('',(#278966)); #52448=EDGE_LOOP('',(#278967)); #52449=EDGE_LOOP('',(#278968)); #52450=EDGE_LOOP('',(#278969)); #52451=EDGE_LOOP('',(#278970)); #52452=EDGE_LOOP('',(#278971)); #52453=EDGE_LOOP('',(#278972)); #52454=EDGE_LOOP('',(#278973,#278974,#278975,#278976)); #52455=EDGE_LOOP('',(#278977,#278978,#278979,#278980)); #52456=EDGE_LOOP('',(#278981,#278982,#278983,#278984)); #52457=EDGE_LOOP('',(#278985,#278986,#278987,#278988)); #52458=EDGE_LOOP('',(#278989,#278990,#278991,#278992)); #52459=EDGE_LOOP('',(#278993,#278994,#278995,#278996)); #52460=EDGE_LOOP('',(#278997,#278998,#278999,#279000)); #52461=EDGE_LOOP('',(#279001,#279002,#279003,#279004)); #52462=EDGE_LOOP('',(#279005,#279006,#279007,#279008)); #52463=EDGE_LOOP('',(#279009,#279010,#279011,#279012,#279013,#279014,#279015)); #52464=EDGE_LOOP('',(#279016)); #52465=EDGE_LOOP('',(#279017)); #52466=EDGE_LOOP('',(#279018,#279019,#279020,#279021,#279022,#279023,#279024)); #52467=EDGE_LOOP('',(#279025)); #52468=EDGE_LOOP('',(#279026)); #52469=EDGE_LOOP('',(#279027,#279028,#279029,#279030)); #52470=EDGE_LOOP('',(#279031,#279032,#279033,#279034)); #52471=EDGE_LOOP('',(#279035,#279036,#279037,#279038)); #52472=EDGE_LOOP('',(#279039,#279040,#279041,#279042)); #52473=EDGE_LOOP('',(#279043,#279044,#279045)); #52474=EDGE_LOOP('',(#279046)); #52475=EDGE_LOOP('',(#279047,#279048,#279049)); #52476=EDGE_LOOP('',(#279050)); #52477=EDGE_LOOP('',(#279051,#279052,#279053,#279054)); #52478=EDGE_LOOP('',(#279055,#279056,#279057,#279058)); #52479=EDGE_LOOP('',(#279059,#279060,#279061,#279062)); #52480=EDGE_LOOP('',(#279063,#279064,#279065,#279066)); #52481=EDGE_LOOP('',(#279067,#279068,#279069,#279070)); #52482=EDGE_LOOP('',(#279071,#279072,#279073,#279074)); #52483=EDGE_LOOP('',(#279075,#279076,#279077,#279078)); #52484=EDGE_LOOP('',(#279079,#279080,#279081,#279082)); #52485=EDGE_LOOP('',(#279083,#279084,#279085,#279086)); #52486=EDGE_LOOP('',(#279087,#279088,#279089,#279090)); #52487=EDGE_LOOP('',(#279091,#279092,#279093,#279094)); #52488=EDGE_LOOP('',(#279095,#279096,#279097,#279098)); #52489=EDGE_LOOP('',(#279099,#279100,#279101,#279102)); #52490=EDGE_LOOP('',(#279103,#279104,#279105,#279106)); #52491=EDGE_LOOP('',(#279107,#279108,#279109,#279110)); #52492=EDGE_LOOP('',(#279111,#279112,#279113,#279114)); #52493=EDGE_LOOP('',(#279115,#279116,#279117,#279118)); #52494=EDGE_LOOP('',(#279119,#279120,#279121,#279122)); #52495=EDGE_LOOP('',(#279123,#279124,#279125,#279126)); #52496=EDGE_LOOP('',(#279127,#279128,#279129,#279130)); #52497=EDGE_LOOP('',(#279131,#279132,#279133,#279134)); #52498=EDGE_LOOP('',(#279135,#279136,#279137,#279138)); #52499=EDGE_LOOP('',(#279139,#279140,#279141,#279142)); #52500=EDGE_LOOP('',(#279143,#279144,#279145,#279146)); #52501=EDGE_LOOP('',(#279147,#279148,#279149,#279150)); #52502=EDGE_LOOP('',(#279151,#279152,#279153,#279154)); #52503=EDGE_LOOP('',(#279155,#279156,#279157,#279158)); #52504=EDGE_LOOP('',(#279159,#279160,#279161,#279162)); #52505=EDGE_LOOP('',(#279163,#279164,#279165,#279166)); #52506=EDGE_LOOP('',(#279167,#279168,#279169,#279170)); #52507=EDGE_LOOP('',(#279171,#279172,#279173,#279174)); #52508=EDGE_LOOP('',(#279175,#279176,#279177,#279178)); #52509=EDGE_LOOP('',(#279179,#279180,#279181,#279182)); #52510=EDGE_LOOP('',(#279183,#279184,#279185,#279186)); #52511=EDGE_LOOP('',(#279187,#279188,#279189,#279190)); #52512=EDGE_LOOP('',(#279191,#279192,#279193,#279194)); #52513=EDGE_LOOP('',(#279195,#279196,#279197,#279198)); #52514=EDGE_LOOP('',(#279199,#279200,#279201,#279202)); #52515=EDGE_LOOP('',(#279203,#279204,#279205,#279206)); #52516=EDGE_LOOP('',(#279207,#279208,#279209,#279210)); #52517=EDGE_LOOP('',(#279211,#279212,#279213,#279214)); #52518=EDGE_LOOP('',(#279215,#279216,#279217,#279218)); #52519=EDGE_LOOP('',(#279219,#279220,#279221,#279222)); #52520=EDGE_LOOP('',(#279223,#279224,#279225,#279226)); #52521=EDGE_LOOP('',(#279227,#279228,#279229,#279230)); #52522=EDGE_LOOP('',(#279231,#279232,#279233,#279234)); #52523=EDGE_LOOP('',(#279235,#279236,#279237,#279238)); #52524=EDGE_LOOP('',(#279239,#279240,#279241,#279242)); #52525=EDGE_LOOP('',(#279243,#279244,#279245,#279246)); #52526=EDGE_LOOP('',(#279247,#279248,#279249,#279250)); #52527=EDGE_LOOP('',(#279251,#279252,#279253,#279254)); #52528=EDGE_LOOP('',(#279255,#279256,#279257,#279258)); #52529=EDGE_LOOP('',(#279259,#279260,#279261,#279262)); #52530=EDGE_LOOP('',(#279263,#279264,#279265,#279266)); #52531=EDGE_LOOP('',(#279267,#279268,#279269,#279270)); #52532=EDGE_LOOP('',(#279271,#279272,#279273,#279274)); #52533=EDGE_LOOP('',(#279275,#279276,#279277,#279278)); #52534=EDGE_LOOP('',(#279279,#279280,#279281,#279282)); #52535=EDGE_LOOP('',(#279283,#279284,#279285,#279286)); #52536=EDGE_LOOP('',(#279287,#279288,#279289,#279290)); #52537=EDGE_LOOP('',(#279291,#279292,#279293,#279294)); #52538=EDGE_LOOP('',(#279295,#279296,#279297,#279298)); #52539=EDGE_LOOP('',(#279299,#279300,#279301,#279302)); #52540=EDGE_LOOP('',(#279303,#279304,#279305,#279306)); #52541=EDGE_LOOP('',(#279307,#279308,#279309,#279310)); #52542=EDGE_LOOP('',(#279311,#279312,#279313,#279314)); #52543=EDGE_LOOP('',(#279315,#279316,#279317,#279318)); #52544=EDGE_LOOP('',(#279319,#279320,#279321,#279322)); #52545=EDGE_LOOP('',(#279323,#279324,#279325,#279326)); #52546=EDGE_LOOP('',(#279327,#279328,#279329,#279330)); #52547=EDGE_LOOP('',(#279331,#279332,#279333,#279334)); #52548=EDGE_LOOP('',(#279335,#279336,#279337,#279338)); #52549=EDGE_LOOP('',(#279339,#279340,#279341,#279342)); #52550=EDGE_LOOP('',(#279343,#279344,#279345,#279346)); #52551=EDGE_LOOP('',(#279347,#279348,#279349,#279350)); #52552=EDGE_LOOP('',(#279351,#279352,#279353,#279354)); #52553=EDGE_LOOP('',(#279355,#279356,#279357,#279358)); #52554=EDGE_LOOP('',(#279359,#279360,#279361,#279362)); #52555=EDGE_LOOP('',(#279363,#279364,#279365,#279366)); #52556=EDGE_LOOP('',(#279367,#279368,#279369,#279370)); #52557=EDGE_LOOP('',(#279371,#279372,#279373,#279374)); #52558=EDGE_LOOP('',(#279375,#279376,#279377,#279378)); #52559=EDGE_LOOP('',(#279379,#279380,#279381,#279382)); #52560=EDGE_LOOP('',(#279383,#279384,#279385,#279386)); #52561=EDGE_LOOP('',(#279387,#279388,#279389,#279390)); #52562=EDGE_LOOP('',(#279391,#279392,#279393,#279394)); #52563=EDGE_LOOP('',(#279395,#279396,#279397,#279398)); #52564=EDGE_LOOP('',(#279399,#279400,#279401,#279402)); #52565=EDGE_LOOP('',(#279403,#279404,#279405,#279406)); #52566=EDGE_LOOP('',(#279407,#279408,#279409,#279410)); #52567=EDGE_LOOP('',(#279411,#279412,#279413,#279414)); #52568=EDGE_LOOP('',(#279415,#279416,#279417,#279418)); #52569=EDGE_LOOP('',(#279419,#279420,#279421,#279422)); #52570=EDGE_LOOP('',(#279423,#279424,#279425,#279426)); #52571=EDGE_LOOP('',(#279427,#279428,#279429,#279430)); #52572=EDGE_LOOP('',(#279431,#279432,#279433,#279434)); #52573=EDGE_LOOP('',(#279435,#279436,#279437,#279438)); #52574=EDGE_LOOP('',(#279439,#279440,#279441,#279442)); #52575=EDGE_LOOP('',(#279443,#279444,#279445,#279446)); #52576=EDGE_LOOP('',(#279447,#279448,#279449,#279450)); #52577=EDGE_LOOP('',(#279451,#279452,#279453,#279454)); #52578=EDGE_LOOP('',(#279455,#279456,#279457,#279458)); #52579=EDGE_LOOP('',(#279459,#279460,#279461,#279462)); #52580=EDGE_LOOP('',(#279463,#279464,#279465,#279466)); #52581=EDGE_LOOP('',(#279467,#279468,#279469,#279470)); #52582=EDGE_LOOP('',(#279471,#279472,#279473,#279474)); #52583=EDGE_LOOP('',(#279475,#279476,#279477,#279478)); #52584=EDGE_LOOP('',(#279479,#279480,#279481,#279482)); #52585=EDGE_LOOP('',(#279483,#279484,#279485,#279486)); #52586=EDGE_LOOP('',(#279487,#279488,#279489,#279490)); #52587=EDGE_LOOP('',(#279491,#279492,#279493,#279494)); #52588=EDGE_LOOP('',(#279495,#279496,#279497,#279498)); #52589=EDGE_LOOP('',(#279499,#279500,#279501,#279502)); #52590=EDGE_LOOP('',(#279503,#279504,#279505,#279506)); #52591=EDGE_LOOP('',(#279507,#279508,#279509,#279510)); #52592=EDGE_LOOP('',(#279511,#279512,#279513,#279514)); #52593=EDGE_LOOP('',(#279515,#279516,#279517,#279518)); #52594=EDGE_LOOP('',(#279519,#279520,#279521,#279522)); #52595=EDGE_LOOP('',(#279523,#279524,#279525,#279526)); #52596=EDGE_LOOP('',(#279527,#279528,#279529,#279530)); #52597=EDGE_LOOP('',(#279531,#279532,#279533,#279534)); #52598=EDGE_LOOP('',(#279535,#279536,#279537,#279538)); #52599=EDGE_LOOP('',(#279539,#279540,#279541,#279542)); #52600=EDGE_LOOP('',(#279543,#279544,#279545,#279546)); #52601=EDGE_LOOP('',(#279547,#279548,#279549,#279550)); #52602=EDGE_LOOP('',(#279551,#279552,#279553,#279554)); #52603=EDGE_LOOP('',(#279555,#279556,#279557,#279558)); #52604=EDGE_LOOP('',(#279559,#279560,#279561,#279562)); #52605=EDGE_LOOP('',(#279563,#279564,#279565,#279566)); #52606=EDGE_LOOP('',(#279567,#279568,#279569,#279570)); #52607=EDGE_LOOP('',(#279571,#279572,#279573,#279574)); #52608=EDGE_LOOP('',(#279575,#279576,#279577,#279578)); #52609=EDGE_LOOP('',(#279579,#279580,#279581,#279582)); #52610=EDGE_LOOP('',(#279583,#279584,#279585,#279586)); #52611=EDGE_LOOP('',(#279587,#279588,#279589,#279590)); #52612=EDGE_LOOP('',(#279591,#279592,#279593,#279594)); #52613=EDGE_LOOP('',(#279595,#279596,#279597,#279598)); #52614=EDGE_LOOP('',(#279599,#279600,#279601,#279602)); #52615=EDGE_LOOP('',(#279603,#279604,#279605,#279606)); #52616=EDGE_LOOP('',(#279607,#279608,#279609,#279610)); #52617=EDGE_LOOP('',(#279611,#279612,#279613,#279614)); #52618=EDGE_LOOP('',(#279615,#279616,#279617,#279618)); #52619=EDGE_LOOP('',(#279619,#279620,#279621,#279622)); #52620=EDGE_LOOP('',(#279623,#279624,#279625,#279626)); #52621=EDGE_LOOP('',(#279627,#279628,#279629,#279630)); #52622=EDGE_LOOP('',(#279631,#279632,#279633,#279634)); #52623=EDGE_LOOP('',(#279635,#279636,#279637,#279638)); #52624=EDGE_LOOP('',(#279639,#279640,#279641,#279642)); #52625=EDGE_LOOP('',(#279643,#279644,#279645,#279646)); #52626=EDGE_LOOP('',(#279647,#279648,#279649,#279650)); #52627=EDGE_LOOP('',(#279651,#279652,#279653,#279654)); #52628=EDGE_LOOP('',(#279655,#279656,#279657,#279658)); #52629=EDGE_LOOP('',(#279659,#279660,#279661,#279662)); #52630=EDGE_LOOP('',(#279663,#279664,#279665,#279666)); #52631=EDGE_LOOP('',(#279667,#279668,#279669,#279670)); #52632=EDGE_LOOP('',(#279671,#279672,#279673,#279674)); #52633=EDGE_LOOP('',(#279675,#279676,#279677,#279678)); #52634=EDGE_LOOP('',(#279679,#279680,#279681,#279682)); #52635=EDGE_LOOP('',(#279683,#279684,#279685,#279686)); #52636=EDGE_LOOP('',(#279687,#279688,#279689,#279690)); #52637=EDGE_LOOP('',(#279691,#279692,#279693,#279694)); #52638=EDGE_LOOP('',(#279695,#279696,#279697,#279698)); #52639=EDGE_LOOP('',(#279699,#279700,#279701,#279702)); #52640=EDGE_LOOP('',(#279703,#279704,#279705,#279706)); #52641=EDGE_LOOP('',(#279707,#279708,#279709,#279710)); #52642=EDGE_LOOP('',(#279711,#279712,#279713,#279714)); #52643=EDGE_LOOP('',(#279715,#279716,#279717,#279718)); #52644=EDGE_LOOP('',(#279719,#279720,#279721,#279722)); #52645=EDGE_LOOP('',(#279723,#279724,#279725,#279726)); #52646=EDGE_LOOP('',(#279727,#279728,#279729,#279730)); #52647=EDGE_LOOP('',(#279731,#279732,#279733,#279734)); #52648=EDGE_LOOP('',(#279735,#279736,#279737,#279738)); #52649=EDGE_LOOP('',(#279739,#279740,#279741,#279742)); #52650=EDGE_LOOP('',(#279743,#279744,#279745,#279746)); #52651=EDGE_LOOP('',(#279747,#279748,#279749,#279750)); #52652=EDGE_LOOP('',(#279751,#279752,#279753,#279754)); #52653=EDGE_LOOP('',(#279755,#279756,#279757,#279758)); #52654=EDGE_LOOP('',(#279759,#279760,#279761,#279762)); #52655=EDGE_LOOP('',(#279763,#279764,#279765,#279766)); #52656=EDGE_LOOP('',(#279767,#279768,#279769,#279770)); #52657=EDGE_LOOP('',(#279771,#279772,#279773,#279774)); #52658=EDGE_LOOP('',(#279775,#279776,#279777,#279778)); #52659=EDGE_LOOP('',(#279779,#279780,#279781,#279782,#279783,#279784,#279785, #279786,#279787,#279788,#279789,#279790,#279791,#279792,#279793,#279794, #279795,#279796,#279797,#279798,#279799,#279800,#279801,#279802,#279803, #279804,#279805,#279806,#279807,#279808,#279809,#279810,#279811,#279812, #279813,#279814,#279815,#279816,#279817,#279818,#279819,#279820,#279821, #279822,#279823,#279824,#279825,#279826,#279827,#279828,#279829,#279830, #279831,#279832,#279833,#279834,#279835,#279836,#279837,#279838,#279839, #279840,#279841,#279842,#279843,#279844,#279845,#279846,#279847,#279848, #279849,#279850,#279851,#279852,#279853,#279854,#279855,#279856,#279857, #279858,#279859,#279860,#279861,#279862,#279863,#279864,#279865,#279866, #279867,#279868,#279869,#279870,#279871,#279872,#279873)); #52660=EDGE_LOOP('',(#279874)); #52661=EDGE_LOOP('',(#279875)); #52662=EDGE_LOOP('',(#279876)); #52663=EDGE_LOOP('',(#279877)); #52664=EDGE_LOOP('',(#279878)); #52665=EDGE_LOOP('',(#279879)); #52666=EDGE_LOOP('',(#279880)); #52667=EDGE_LOOP('',(#279881)); #52668=EDGE_LOOP('',(#279882)); #52669=EDGE_LOOP('',(#279883)); #52670=EDGE_LOOP('',(#279884)); #52671=EDGE_LOOP('',(#279885)); #52672=EDGE_LOOP('',(#279886)); #52673=EDGE_LOOP('',(#279887)); #52674=EDGE_LOOP('',(#279888)); #52675=EDGE_LOOP('',(#279889,#279890,#279891,#279892,#279893,#279894,#279895, #279896,#279897,#279898,#279899,#279900,#279901,#279902,#279903,#279904, #279905,#279906,#279907,#279908,#279909,#279910,#279911,#279912,#279913, #279914,#279915,#279916,#279917,#279918,#279919,#279920,#279921,#279922, #279923,#279924,#279925,#279926,#279927,#279928,#279929,#279930,#279931, #279932,#279933,#279934,#279935,#279936,#279937,#279938,#279939,#279940, #279941,#279942,#279943,#279944,#279945,#279946,#279947,#279948,#279949, #279950,#279951,#279952,#279953,#279954,#279955,#279956,#279957,#279958, #279959,#279960)); #52676=EDGE_LOOP('',(#279961,#279962,#279963,#279964,#279965,#279966,#279967, #279968,#279969,#279970,#279971,#279972,#279973,#279974,#279975,#279976, #279977,#279978,#279979,#279980,#279981,#279982,#279983,#279984,#279985, #279986,#279987,#279988,#279989,#279990,#279991,#279992,#279993,#279994, #279995,#279996,#279997,#279998,#279999,#280000,#280001,#280002,#280003, #280004,#280005,#280006,#280007,#280008,#280009,#280010,#280011,#280012, #280013,#280014,#280015,#280016,#280017,#280018,#280019,#280020,#280021, #280022,#280023,#280024,#280025,#280026,#280027,#280028,#280029,#280030, #280031,#280032,#280033,#280034,#280035,#280036,#280037,#280038,#280039, #280040,#280041,#280042,#280043,#280044,#280045,#280046,#280047,#280048, #280049,#280050,#280051,#280052,#280053,#280054,#280055)); #52677=EDGE_LOOP('',(#280056)); #52678=EDGE_LOOP('',(#280057)); #52679=EDGE_LOOP('',(#280058)); #52680=EDGE_LOOP('',(#280059)); #52681=EDGE_LOOP('',(#280060)); #52682=EDGE_LOOP('',(#280061)); #52683=EDGE_LOOP('',(#280062)); #52684=EDGE_LOOP('',(#280063)); #52685=EDGE_LOOP('',(#280064)); #52686=EDGE_LOOP('',(#280065)); #52687=EDGE_LOOP('',(#280066)); #52688=EDGE_LOOP('',(#280067)); #52689=EDGE_LOOP('',(#280068)); #52690=EDGE_LOOP('',(#280069)); #52691=EDGE_LOOP('',(#280070)); #52692=EDGE_LOOP('',(#280071,#280072,#280073,#280074,#280075,#280076,#280077, #280078,#280079,#280080,#280081,#280082,#280083,#280084,#280085,#280086, #280087,#280088,#280089,#280090,#280091,#280092,#280093,#280094,#280095, #280096,#280097,#280098,#280099,#280100,#280101,#280102,#280103,#280104, #280105,#280106,#280107,#280108,#280109,#280110,#280111,#280112,#280113, #280114,#280115,#280116,#280117,#280118,#280119,#280120,#280121,#280122, #280123,#280124,#280125,#280126,#280127,#280128,#280129,#280130,#280131, #280132,#280133,#280134,#280135,#280136,#280137,#280138,#280139,#280140, #280141,#280142)); #52693=EDGE_LOOP('',(#280143,#280144,#280145,#280146)); #52694=EDGE_LOOP('',(#280147,#280148,#280149,#280150)); #52695=EDGE_LOOP('',(#280151,#280152,#280153,#280154)); #52696=EDGE_LOOP('',(#280155,#280156,#280157,#280158)); #52697=EDGE_LOOP('',(#280159,#280160,#280161)); #52698=EDGE_LOOP('',(#280162)); #52699=EDGE_LOOP('',(#280163,#280164,#280165)); #52700=EDGE_LOOP('',(#280166)); #52701=EDGE_LOOP('',(#280167,#280168,#280169,#280170)); #52702=EDGE_LOOP('',(#280171,#280172,#280173,#280174)); #52703=EDGE_LOOP('',(#280175,#280176,#280177,#280178)); #52704=EDGE_LOOP('',(#280179,#280180,#280181,#280182)); #52705=EDGE_LOOP('',(#280183,#280184,#280185)); #52706=EDGE_LOOP('',(#280186)); #52707=EDGE_LOOP('',(#280187,#280188,#280189)); #52708=EDGE_LOOP('',(#280190)); #52709=EDGE_LOOP('',(#280191,#280192,#280193,#280194)); #52710=EDGE_LOOP('',(#280195,#280196,#280197,#280198)); #52711=EDGE_LOOP('',(#280199,#280200,#280201,#280202)); #52712=EDGE_LOOP('',(#280203,#280204,#280205,#280206)); #52713=EDGE_LOOP('',(#280207,#280208,#280209)); #52714=EDGE_LOOP('',(#280210)); #52715=EDGE_LOOP('',(#280211,#280212,#280213)); #52716=EDGE_LOOP('',(#280214)); #52717=EDGE_LOOP('',(#280215,#280216,#280217,#280218)); #52718=EDGE_LOOP('',(#280219,#280220,#280221,#280222)); #52719=EDGE_LOOP('',(#280223,#280224,#280225,#280226)); #52720=EDGE_LOOP('',(#280227,#280228,#280229,#280230)); #52721=EDGE_LOOP('',(#280231,#280232,#280233)); #52722=EDGE_LOOP('',(#280234)); #52723=EDGE_LOOP('',(#280235,#280236,#280237)); #52724=EDGE_LOOP('',(#280238)); #52725=EDGE_LOOP('',(#280239,#280240,#280241,#280242)); #52726=EDGE_LOOP('',(#280243,#280244,#280245,#280246)); #52727=EDGE_LOOP('',(#280247,#280248,#280249,#280250)); #52728=EDGE_LOOP('',(#280251,#280252,#280253,#280254)); #52729=EDGE_LOOP('',(#280255,#280256,#280257,#280258)); #52730=EDGE_LOOP('',(#280259,#280260,#280261,#280262)); #52731=EDGE_LOOP('',(#280263)); #52732=EDGE_LOOP('',(#280264,#280265,#280266,#280267)); #52733=EDGE_LOOP('',(#280268)); #52734=EDGE_LOOP('',(#280269,#280270,#280271,#280272)); #52735=EDGE_LOOP('',(#280273,#280274,#280275,#280276)); #52736=EDGE_LOOP('',(#280277,#280278,#280279,#280280)); #52737=EDGE_LOOP('',(#280281,#280282,#280283,#280284)); #52738=EDGE_LOOP('',(#280285,#280286,#280287)); #52739=EDGE_LOOP('',(#280288)); #52740=EDGE_LOOP('',(#280289,#280290,#280291)); #52741=EDGE_LOOP('',(#280292)); #52742=EDGE_LOOP('',(#280293,#280294,#280295,#280296)); #52743=EDGE_LOOP('',(#280297,#280298,#280299,#280300)); #52744=EDGE_LOOP('',(#280301,#280302,#280303,#280304)); #52745=EDGE_LOOP('',(#280305,#280306,#280307,#280308)); #52746=EDGE_LOOP('',(#280309,#280310,#280311)); #52747=EDGE_LOOP('',(#280312)); #52748=EDGE_LOOP('',(#280313,#280314,#280315)); #52749=EDGE_LOOP('',(#280316)); #52750=EDGE_LOOP('',(#280317,#280318,#280319,#280320)); #52751=EDGE_LOOP('',(#280321,#280322,#280323,#280324)); #52752=EDGE_LOOP('',(#280325,#280326,#280327,#280328)); #52753=EDGE_LOOP('',(#280329,#280330,#280331,#280332)); #52754=EDGE_LOOP('',(#280333,#280334,#280335)); #52755=EDGE_LOOP('',(#280336)); #52756=EDGE_LOOP('',(#280337,#280338,#280339)); #52757=EDGE_LOOP('',(#280340)); #52758=EDGE_LOOP('',(#280341,#280342,#280343,#280344)); #52759=EDGE_LOOP('',(#280345,#280346,#280347,#280348)); #52760=EDGE_LOOP('',(#280349,#280350,#280351,#280352)); #52761=EDGE_LOOP('',(#280353,#280354,#280355,#280356)); #52762=EDGE_LOOP('',(#280357,#280358,#280359)); #52763=EDGE_LOOP('',(#280360)); #52764=EDGE_LOOP('',(#280361,#280362,#280363)); #52765=EDGE_LOOP('',(#280364)); #52766=EDGE_LOOP('',(#280365,#280366,#280367,#280368)); #52767=EDGE_LOOP('',(#280369,#280370,#280371,#280372)); #52768=EDGE_LOOP('',(#280373,#280374,#280375,#280376)); #52769=EDGE_LOOP('',(#280377,#280378,#280379,#280380)); #52770=EDGE_LOOP('',(#280381,#280382,#280383,#280384)); #52771=EDGE_LOOP('',(#280385,#280386,#280387,#280388)); #52772=EDGE_LOOP('',(#280389,#280390,#280391,#280392)); #52773=EDGE_LOOP('',(#280393,#280394,#280395,#280396)); #52774=EDGE_LOOP('',(#280397,#280398,#280399,#280400)); #52775=EDGE_LOOP('',(#280401,#280402,#280403,#280404)); #52776=EDGE_LOOP('',(#280405,#280406,#280407,#280408)); #52777=EDGE_LOOP('',(#280409,#280410,#280411,#280412)); #52778=EDGE_LOOP('',(#280413,#280414,#280415,#280416)); #52779=EDGE_LOOP('',(#280417,#280418,#280419,#280420)); #52780=EDGE_LOOP('',(#280421,#280422,#280423,#280424)); #52781=EDGE_LOOP('',(#280425,#280426,#280427,#280428)); #52782=EDGE_LOOP('',(#280429,#280430,#280431,#280432)); #52783=EDGE_LOOP('',(#280433,#280434,#280435,#280436)); #52784=EDGE_LOOP('',(#280437,#280438,#280439,#280440)); #52785=EDGE_LOOP('',(#280441,#280442,#280443,#280444)); #52786=EDGE_LOOP('',(#280445,#280446,#280447,#280448)); #52787=EDGE_LOOP('',(#280449,#280450,#280451,#280452)); #52788=EDGE_LOOP('',(#280453,#280454,#280455,#280456)); #52789=EDGE_LOOP('',(#280457,#280458,#280459,#280460)); #52790=EDGE_LOOP('',(#280461,#280462,#280463,#280464)); #52791=EDGE_LOOP('',(#280465,#280466,#280467,#280468)); #52792=EDGE_LOOP('',(#280469,#280470,#280471,#280472)); #52793=EDGE_LOOP('',(#280473,#280474,#280475,#280476)); #52794=EDGE_LOOP('',(#280477,#280478,#280479,#280480)); #52795=EDGE_LOOP('',(#280481,#280482,#280483,#280484)); #52796=EDGE_LOOP('',(#280485,#280486,#280487,#280488)); #52797=EDGE_LOOP('',(#280489,#280490,#280491,#280492)); #52798=EDGE_LOOP('',(#280493,#280494,#280495,#280496)); #52799=EDGE_LOOP('',(#280497,#280498,#280499,#280500)); #52800=EDGE_LOOP('',(#280501,#280502,#280503,#280504)); #52801=EDGE_LOOP('',(#280505,#280506,#280507,#280508)); #52802=EDGE_LOOP('',(#280509,#280510,#280511,#280512)); #52803=EDGE_LOOP('',(#280513,#280514,#280515,#280516)); #52804=EDGE_LOOP('',(#280517,#280518,#280519,#280520)); #52805=EDGE_LOOP('',(#280521,#280522,#280523,#280524)); #52806=EDGE_LOOP('',(#280525,#280526,#280527,#280528)); #52807=EDGE_LOOP('',(#280529,#280530,#280531,#280532)); #52808=EDGE_LOOP('',(#280533,#280534,#280535,#280536)); #52809=EDGE_LOOP('',(#280537,#280538,#280539,#280540)); #52810=EDGE_LOOP('',(#280541,#280542,#280543,#280544)); #52811=EDGE_LOOP('',(#280545,#280546,#280547,#280548)); #52812=EDGE_LOOP('',(#280549,#280550,#280551,#280552)); #52813=EDGE_LOOP('',(#280553,#280554,#280555,#280556)); #52814=EDGE_LOOP('',(#280557,#280558,#280559,#280560)); #52815=EDGE_LOOP('',(#280561,#280562,#280563,#280564)); #52816=EDGE_LOOP('',(#280565,#280566,#280567,#280568)); #52817=EDGE_LOOP('',(#280569,#280570,#280571,#280572)); #52818=EDGE_LOOP('',(#280573,#280574,#280575,#280576)); #52819=EDGE_LOOP('',(#280577,#280578,#280579,#280580)); #52820=EDGE_LOOP('',(#280581,#280582,#280583,#280584)); #52821=EDGE_LOOP('',(#280585,#280586,#280587,#280588)); #52822=EDGE_LOOP('',(#280589,#280590,#280591,#280592)); #52823=EDGE_LOOP('',(#280593,#280594,#280595,#280596)); #52824=EDGE_LOOP('',(#280597,#280598,#280599,#280600)); #52825=EDGE_LOOP('',(#280601,#280602,#280603,#280604)); #52826=EDGE_LOOP('',(#280605,#280606,#280607,#280608,#280609,#280610,#280611, #280612,#280613,#280614,#280615,#280616,#280617,#280618,#280619,#280620, #280621,#280622,#280623,#280624,#280625,#280626,#280627,#280628,#280629, #280630,#280631,#280632,#280633,#280634,#280635,#280636,#280637,#280638, #280639,#280640,#280641,#280642,#280643,#280644,#280645,#280646,#280647, #280648,#280649,#280650,#280651,#280652,#280653,#280654,#280655,#280656, #280657,#280658,#280659,#280660)); #52827=EDGE_LOOP('',(#280661,#280662,#280663,#280664)); #52828=EDGE_LOOP('',(#280665,#280666,#280667,#280668,#280669,#280670,#280671, #280672,#280673,#280674,#280675,#280676,#280677,#280678,#280679,#280680, #280681,#280682,#280683,#280684,#280685,#280686,#280687,#280688,#280689, #280690,#280691,#280692,#280693,#280694,#280695,#280696,#280697,#280698, #280699,#280700,#280701,#280702,#280703,#280704,#280705,#280706,#280707, #280708,#280709,#280710,#280711,#280712,#280713,#280714,#280715,#280716, #280717,#280718,#280719,#280720)); #52829=EDGE_LOOP('',(#280721,#280722,#280723,#280724)); #52830=EDGE_LOOP('',(#280725,#280726,#280727,#280728)); #52831=EDGE_LOOP('',(#280729,#280730,#280731,#280732)); #52832=EDGE_LOOP('',(#280733,#280734,#280735,#280736)); #52833=EDGE_LOOP('',(#280737,#280738,#280739,#280740)); #52834=EDGE_LOOP('',(#280741,#280742,#280743,#280744)); #52835=EDGE_LOOP('',(#280745,#280746,#280747,#280748)); #52836=EDGE_LOOP('',(#280749,#280750,#280751,#280752)); #52837=EDGE_LOOP('',(#280753,#280754,#280755,#280756)); #52838=EDGE_LOOP('',(#280757,#280758,#280759,#280760)); #52839=EDGE_LOOP('',(#280761,#280762,#280763,#280764)); #52840=EDGE_LOOP('',(#280765,#280766,#280767,#280768)); #52841=EDGE_LOOP('',(#280769,#280770,#280771,#280772)); #52842=EDGE_LOOP('',(#280773,#280774,#280775,#280776)); #52843=EDGE_LOOP('',(#280777,#280778,#280779,#280780)); #52844=EDGE_LOOP('',(#280781,#280782,#280783,#280784)); #52845=EDGE_LOOP('',(#280785,#280786,#280787,#280788)); #52846=EDGE_LOOP('',(#280789,#280790,#280791,#280792)); #52847=EDGE_LOOP('',(#280793,#280794,#280795,#280796)); #52848=EDGE_LOOP('',(#280797,#280798,#280799,#280800)); #52849=EDGE_LOOP('',(#280801,#280802,#280803,#280804)); #52850=EDGE_LOOP('',(#280805,#280806,#280807,#280808)); #52851=EDGE_LOOP('',(#280809,#280810,#280811,#280812)); #52852=EDGE_LOOP('',(#280813,#280814,#280815,#280816)); #52853=EDGE_LOOP('',(#280817,#280818,#280819,#280820)); #52854=EDGE_LOOP('',(#280821,#280822,#280823,#280824)); #52855=EDGE_LOOP('',(#280825,#280826,#280827,#280828)); #52856=EDGE_LOOP('',(#280829,#280830,#280831,#280832)); #52857=EDGE_LOOP('',(#280833,#280834,#280835,#280836)); #52858=EDGE_LOOP('',(#280837,#280838,#280839,#280840)); #52859=EDGE_LOOP('',(#280841,#280842,#280843,#280844)); #52860=EDGE_LOOP('',(#280845,#280846,#280847,#280848)); #52861=EDGE_LOOP('',(#280849,#280850,#280851,#280852)); #52862=EDGE_LOOP('',(#280853,#280854,#280855,#280856)); #52863=EDGE_LOOP('',(#280857,#280858,#280859,#280860)); #52864=EDGE_LOOP('',(#280861,#280862,#280863,#280864)); #52865=EDGE_LOOP('',(#280865,#280866,#280867,#280868)); #52866=EDGE_LOOP('',(#280869,#280870,#280871,#280872)); #52867=EDGE_LOOP('',(#280873,#280874,#280875,#280876)); #52868=EDGE_LOOP('',(#280877,#280878,#280879,#280880)); #52869=EDGE_LOOP('',(#280881,#280882,#280883,#280884)); #52870=EDGE_LOOP('',(#280885,#280886,#280887,#280888)); #52871=EDGE_LOOP('',(#280889,#280890,#280891,#280892)); #52872=EDGE_LOOP('',(#280893,#280894,#280895,#280896)); #52873=EDGE_LOOP('',(#280897,#280898,#280899,#280900)); #52874=EDGE_LOOP('',(#280901,#280902,#280903,#280904)); #52875=EDGE_LOOP('',(#280905,#280906,#280907,#280908)); #52876=EDGE_LOOP('',(#280909,#280910,#280911,#280912)); #52877=EDGE_LOOP('',(#280913,#280914,#280915,#280916)); #52878=EDGE_LOOP('',(#280917,#280918,#280919,#280920)); #52879=EDGE_LOOP('',(#280921,#280922,#280923,#280924)); #52880=EDGE_LOOP('',(#280925,#280926,#280927,#280928)); #52881=EDGE_LOOP('',(#280929,#280930,#280931,#280932)); #52882=EDGE_LOOP('',(#280933,#280934,#280935,#280936)); #52883=EDGE_LOOP('',(#280937,#280938,#280939,#280940)); #52884=EDGE_LOOP('',(#280941,#280942,#280943,#280944)); #52885=EDGE_LOOP('',(#280945,#280946,#280947,#280948)); #52886=EDGE_LOOP('',(#280949,#280950,#280951,#280952)); #52887=EDGE_LOOP('',(#280953,#280954,#280955,#280956)); #52888=EDGE_LOOP('',(#280957,#280958,#280959,#280960)); #52889=EDGE_LOOP('',(#280961,#280962,#280963,#280964)); #52890=EDGE_LOOP('',(#280965,#280966,#280967,#280968)); #52891=EDGE_LOOP('',(#280969,#280970,#280971,#280972)); #52892=EDGE_LOOP('',(#280973,#280974,#280975,#280976)); #52893=EDGE_LOOP('',(#280977,#280978,#280979,#280980)); #52894=EDGE_LOOP('',(#280981,#280982,#280983,#280984)); #52895=EDGE_LOOP('',(#280985,#280986,#280987,#280988)); #52896=EDGE_LOOP('',(#280989,#280990,#280991,#280992)); #52897=EDGE_LOOP('',(#280993,#280994,#280995,#280996)); #52898=EDGE_LOOP('',(#280997,#280998,#280999,#281000)); #52899=EDGE_LOOP('',(#281001,#281002,#281003,#281004)); #52900=EDGE_LOOP('',(#281005,#281006,#281007,#281008)); #52901=EDGE_LOOP('',(#281009,#281010,#281011,#281012)); #52902=EDGE_LOOP('',(#281013,#281014,#281015,#281016)); #52903=EDGE_LOOP('',(#281017,#281018,#281019,#281020)); #52904=EDGE_LOOP('',(#281021,#281022,#281023,#281024)); #52905=EDGE_LOOP('',(#281025,#281026,#281027,#281028)); #52906=EDGE_LOOP('',(#281029,#281030,#281031,#281032,#281033,#281034,#281035, #281036,#281037,#281038,#281039,#281040,#281041,#281042,#281043,#281044, #281045,#281046,#281047,#281048,#281049,#281050,#281051,#281052,#281053, #281054,#281055,#281056,#281057,#281058,#281059,#281060,#281061,#281062, #281063,#281064,#281065,#281066,#281067,#281068,#281069,#281070,#281071, #281072,#281073,#281074,#281075,#281076,#281077,#281078,#281079,#281080, #281081,#281082,#281083,#281084,#281085,#281086,#281087,#281088,#281089, #281090,#281091,#281092,#281093,#281094,#281095,#281096,#281097,#281098, #281099,#281100)); #52907=EDGE_LOOP('',(#281101,#281102,#281103,#281104)); #52908=EDGE_LOOP('',(#281105,#281106,#281107,#281108,#281109,#281110,#281111, #281112,#281113,#281114,#281115,#281116,#281117,#281118,#281119,#281120, #281121,#281122,#281123,#281124,#281125,#281126,#281127,#281128,#281129, #281130,#281131,#281132,#281133,#281134,#281135,#281136,#281137,#281138, #281139,#281140,#281141,#281142,#281143,#281144,#281145,#281146,#281147, #281148,#281149,#281150,#281151,#281152,#281153,#281154,#281155,#281156, #281157,#281158,#281159,#281160,#281161,#281162,#281163,#281164,#281165, #281166,#281167,#281168,#281169,#281170,#281171,#281172,#281173,#281174, #281175,#281176)); #52909=EDGE_LOOP('',(#281177,#281178,#281179,#281180)); #52910=EDGE_LOOP('',(#281181,#281182,#281183,#281184)); #52911=EDGE_LOOP('',(#281185,#281186,#281187,#281188)); #52912=EDGE_LOOP('',(#281189,#281190,#281191,#281192)); #52913=EDGE_LOOP('',(#281193,#281194,#281195,#281196)); #52914=EDGE_LOOP('',(#281197,#281198,#281199,#281200)); #52915=EDGE_LOOP('',(#281201,#281202,#281203,#281204)); #52916=EDGE_LOOP('',(#281205,#281206,#281207,#281208)); #52917=EDGE_LOOP('',(#281209,#281210,#281211,#281212)); #52918=EDGE_LOOP('',(#281213,#281214,#281215,#281216)); #52919=EDGE_LOOP('',(#281217,#281218,#281219,#281220)); #52920=EDGE_LOOP('',(#281221,#281222,#281223,#281224)); #52921=EDGE_LOOP('',(#281225,#281226,#281227,#281228)); #52922=EDGE_LOOP('',(#281229,#281230,#281231,#281232)); #52923=EDGE_LOOP('',(#281233,#281234,#281235,#281236)); #52924=EDGE_LOOP('',(#281237,#281238,#281239,#281240)); #52925=EDGE_LOOP('',(#281241,#281242,#281243,#281244)); #52926=EDGE_LOOP('',(#281245,#281246,#281247,#281248)); #52927=EDGE_LOOP('',(#281249,#281250,#281251,#281252)); #52928=EDGE_LOOP('',(#281253,#281254,#281255,#281256)); #52929=EDGE_LOOP('',(#281257,#281258,#281259,#281260)); #52930=EDGE_LOOP('',(#281261,#281262,#281263,#281264)); #52931=EDGE_LOOP('',(#281265,#281266,#281267,#281268)); #52932=EDGE_LOOP('',(#281269,#281270,#281271,#281272)); #52933=EDGE_LOOP('',(#281273,#281274,#281275,#281276)); #52934=EDGE_LOOP('',(#281277,#281278,#281279,#281280)); #52935=EDGE_LOOP('',(#281281,#281282,#281283,#281284)); #52936=EDGE_LOOP('',(#281285,#281286,#281287,#281288)); #52937=EDGE_LOOP('',(#281289,#281290,#281291,#281292)); #52938=EDGE_LOOP('',(#281293,#281294,#281295,#281296)); #52939=EDGE_LOOP('',(#281297,#281298,#281299,#281300)); #52940=EDGE_LOOP('',(#281301,#281302,#281303,#281304)); #52941=EDGE_LOOP('',(#281305,#281306,#281307,#281308)); #52942=EDGE_LOOP('',(#281309,#281310,#281311,#281312)); #52943=EDGE_LOOP('',(#281313,#281314,#281315,#281316)); #52944=EDGE_LOOP('',(#281317,#281318,#281319,#281320)); #52945=EDGE_LOOP('',(#281321,#281322,#281323,#281324)); #52946=EDGE_LOOP('',(#281325,#281326,#281327,#281328)); #52947=EDGE_LOOP('',(#281329,#281330,#281331,#281332)); #52948=EDGE_LOOP('',(#281333,#281334,#281335,#281336)); #52949=EDGE_LOOP('',(#281337,#281338,#281339,#281340)); #52950=EDGE_LOOP('',(#281341,#281342,#281343,#281344)); #52951=EDGE_LOOP('',(#281345,#281346,#281347,#281348)); #52952=EDGE_LOOP('',(#281349,#281350,#281351,#281352)); #52953=EDGE_LOOP('',(#281353,#281354,#281355,#281356)); #52954=EDGE_LOOP('',(#281357,#281358,#281359,#281360)); #52955=EDGE_LOOP('',(#281361,#281362,#281363,#281364)); #52956=EDGE_LOOP('',(#281365,#281366,#281367,#281368)); #52957=EDGE_LOOP('',(#281369,#281370,#281371,#281372)); #52958=EDGE_LOOP('',(#281373,#281374,#281375,#281376)); #52959=EDGE_LOOP('',(#281377,#281378,#281379,#281380)); #52960=EDGE_LOOP('',(#281381,#281382,#281383,#281384)); #52961=EDGE_LOOP('',(#281385,#281386,#281387,#281388)); #52962=EDGE_LOOP('',(#281389,#281390,#281391,#281392)); #52963=EDGE_LOOP('',(#281393,#281394,#281395,#281396)); #52964=EDGE_LOOP('',(#281397,#281398,#281399,#281400)); #52965=EDGE_LOOP('',(#281401,#281402,#281403,#281404)); #52966=EDGE_LOOP('',(#281405,#281406,#281407,#281408)); #52967=EDGE_LOOP('',(#281409,#281410,#281411,#281412)); #52968=EDGE_LOOP('',(#281413,#281414,#281415,#281416)); #52969=EDGE_LOOP('',(#281417,#281418,#281419,#281420)); #52970=EDGE_LOOP('',(#281421,#281422,#281423,#281424,#281425,#281426,#281427, #281428,#281429,#281430,#281431,#281432,#281433,#281434,#281435,#281436, #281437,#281438,#281439,#281440,#281441,#281442,#281443,#281444,#281445, #281446,#281447,#281448,#281449,#281450,#281451,#281452,#281453,#281454, #281455,#281456,#281457,#281458,#281459,#281460,#281461,#281462,#281463, #281464,#281465,#281466,#281467,#281468,#281469,#281470,#281471,#281472, #281473,#281474,#281475,#281476)); #52971=EDGE_LOOP('',(#281477,#281478,#281479,#281480)); #52972=EDGE_LOOP('',(#281481,#281482,#281483,#281484,#281485,#281486,#281487, #281488,#281489,#281490,#281491,#281492,#281493,#281494,#281495,#281496, #281497,#281498,#281499,#281500,#281501,#281502,#281503,#281504,#281505, #281506,#281507,#281508,#281509,#281510,#281511,#281512,#281513,#281514, #281515,#281516,#281517,#281518,#281519,#281520,#281521,#281522,#281523, #281524,#281525,#281526,#281527,#281528,#281529,#281530,#281531,#281532, #281533,#281534,#281535,#281536)); #52973=EDGE_LOOP('',(#281537,#281538,#281539,#281540)); #52974=EDGE_LOOP('',(#281541,#281542,#281543,#281544)); #52975=EDGE_LOOP('',(#281545,#281546,#281547,#281548)); #52976=EDGE_LOOP('',(#281549,#281550,#281551,#281552)); #52977=EDGE_LOOP('',(#281553,#281554,#281555,#281556)); #52978=EDGE_LOOP('',(#281557,#281558,#281559,#281560)); #52979=EDGE_LOOP('',(#281561,#281562,#281563,#281564)); #52980=EDGE_LOOP('',(#281565)); #52981=EDGE_LOOP('',(#281566,#281567,#281568,#281569)); #52982=EDGE_LOOP('',(#281570)); #52983=EDGE_LOOP('',(#281571,#281572,#281573,#281574)); #52984=EDGE_LOOP('',(#281575,#281576,#281577,#281578)); #52985=EDGE_LOOP('',(#281579,#281580,#281581,#281582)); #52986=EDGE_LOOP('',(#281583,#281584,#281585,#281586)); #52987=EDGE_LOOP('',(#281587,#281588,#281589,#281590)); #52988=EDGE_LOOP('',(#281591,#281592,#281593,#281594)); #52989=EDGE_LOOP('',(#281595,#281596,#281597,#281598)); #52990=EDGE_LOOP('',(#281599,#281600,#281601,#281602)); #52991=EDGE_LOOP('',(#281603,#281604,#281605,#281606)); #52992=EDGE_LOOP('',(#281607,#281608,#281609,#281610)); #52993=EDGE_LOOP('',(#281611,#281612,#281613,#281614)); #52994=EDGE_LOOP('',(#281615,#281616,#281617,#281618)); #52995=EDGE_LOOP('',(#281619,#281620,#281621,#281622)); #52996=EDGE_LOOP('',(#281623,#281624,#281625,#281626)); #52997=EDGE_LOOP('',(#281627,#281628,#281629,#281630)); #52998=EDGE_LOOP('',(#281631,#281632,#281633,#281634)); #52999=EDGE_LOOP('',(#281635,#281636,#281637,#281638)); #53000=EDGE_LOOP('',(#281639,#281640,#281641,#281642)); #53001=EDGE_LOOP('',(#281643,#281644,#281645,#281646)); #53002=EDGE_LOOP('',(#281647,#281648,#281649,#281650)); #53003=EDGE_LOOP('',(#281651,#281652,#281653,#281654)); #53004=EDGE_LOOP('',(#281655,#281656,#281657,#281658)); #53005=EDGE_LOOP('',(#281659,#281660,#281661,#281662)); #53006=EDGE_LOOP('',(#281663,#281664,#281665,#281666)); #53007=EDGE_LOOP('',(#281667,#281668,#281669,#281670)); #53008=EDGE_LOOP('',(#281671,#281672,#281673,#281674)); #53009=EDGE_LOOP('',(#281675,#281676,#281677,#281678)); #53010=EDGE_LOOP('',(#281679,#281680,#281681,#281682)); #53011=EDGE_LOOP('',(#281683,#281684,#281685,#281686)); #53012=EDGE_LOOP('',(#281687,#281688,#281689,#281690)); #53013=EDGE_LOOP('',(#281691,#281692,#281693,#281694)); #53014=EDGE_LOOP('',(#281695,#281696,#281697,#281698)); #53015=EDGE_LOOP('',(#281699,#281700,#281701,#281702)); #53016=EDGE_LOOP('',(#281703,#281704,#281705,#281706)); #53017=EDGE_LOOP('',(#281707,#281708,#281709,#281710)); #53018=EDGE_LOOP('',(#281711,#281712,#281713,#281714)); #53019=EDGE_LOOP('',(#281715,#281716,#281717,#281718)); #53020=EDGE_LOOP('',(#281719,#281720,#281721,#281722)); #53021=EDGE_LOOP('',(#281723,#281724,#281725,#281726)); #53022=EDGE_LOOP('',(#281727,#281728,#281729,#281730)); #53023=EDGE_LOOP('',(#281731,#281732,#281733,#281734)); #53024=EDGE_LOOP('',(#281735,#281736,#281737,#281738)); #53025=EDGE_LOOP('',(#281739,#281740,#281741,#281742)); #53026=EDGE_LOOP('',(#281743,#281744,#281745,#281746)); #53027=EDGE_LOOP('',(#281747,#281748,#281749,#281750)); #53028=EDGE_LOOP('',(#281751,#281752,#281753,#281754)); #53029=EDGE_LOOP('',(#281755,#281756,#281757,#281758)); #53030=EDGE_LOOP('',(#281759,#281760,#281761,#281762)); #53031=EDGE_LOOP('',(#281763,#281764,#281765,#281766)); #53032=EDGE_LOOP('',(#281767,#281768,#281769,#281770)); #53033=EDGE_LOOP('',(#281771,#281772,#281773,#281774)); #53034=EDGE_LOOP('',(#281775,#281776,#281777,#281778)); #53035=EDGE_LOOP('',(#281779,#281780,#281781,#281782)); #53036=EDGE_LOOP('',(#281783,#281784,#281785,#281786)); #53037=EDGE_LOOP('',(#281787,#281788,#281789,#281790)); #53038=EDGE_LOOP('',(#281791,#281792,#281793,#281794)); #53039=EDGE_LOOP('',(#281795,#281796,#281797,#281798)); #53040=EDGE_LOOP('',(#281799,#281800,#281801,#281802)); #53041=EDGE_LOOP('',(#281803,#281804,#281805,#281806)); #53042=EDGE_LOOP('',(#281807,#281808,#281809,#281810)); #53043=EDGE_LOOP('',(#281811,#281812,#281813,#281814,#281815,#281816,#281817, #281818,#281819,#281820,#281821,#281822,#281823,#281824,#281825,#281826, #281827,#281828,#281829,#281830,#281831,#281832,#281833,#281834,#281835, #281836,#281837,#281838,#281839,#281840,#281841,#281842,#281843,#281844, #281845,#281846,#281847,#281848,#281849,#281850,#281851,#281852,#281853, #281854,#281855,#281856,#281857,#281858,#281859,#281860,#281861,#281862, #281863,#281864,#281865,#281866)); #53044=EDGE_LOOP('',(#281867,#281868,#281869,#281870)); #53045=EDGE_LOOP('',(#281871,#281872,#281873,#281874,#281875,#281876,#281877, #281878,#281879,#281880,#281881,#281882,#281883,#281884,#281885,#281886, #281887,#281888,#281889,#281890,#281891,#281892,#281893,#281894,#281895, #281896,#281897,#281898,#281899,#281900,#281901,#281902,#281903,#281904, #281905,#281906,#281907,#281908,#281909,#281910,#281911,#281912,#281913, #281914,#281915,#281916,#281917,#281918,#281919,#281920,#281921,#281922, #281923,#281924,#281925,#281926)); #53046=EDGE_LOOP('',(#281927,#281928,#281929,#281930)); #53047=EDGE_LOOP('',(#281931,#281932,#281933,#281934)); #53048=EDGE_LOOP('',(#281935,#281936,#281937,#281938)); #53049=EDGE_LOOP('',(#281939,#281940,#281941,#281942)); #53050=EDGE_LOOP('',(#281943,#281944,#281945,#281946)); #53051=EDGE_LOOP('',(#281947,#281948,#281949,#281950)); #53052=EDGE_LOOP('',(#281951,#281952,#281953,#281954)); #53053=EDGE_LOOP('',(#281955,#281956,#281957,#281958)); #53054=EDGE_LOOP('',(#281959,#281960,#281961,#281962)); #53055=EDGE_LOOP('',(#281963,#281964,#281965,#281966)); #53056=EDGE_LOOP('',(#281967,#281968,#281969,#281970)); #53057=EDGE_LOOP('',(#281971,#281972,#281973,#281974)); #53058=EDGE_LOOP('',(#281975,#281976,#281977,#281978)); #53059=EDGE_LOOP('',(#281979,#281980,#281981,#281982)); #53060=EDGE_LOOP('',(#281983,#281984,#281985,#281986)); #53061=EDGE_LOOP('',(#281987,#281988,#281989,#281990)); #53062=EDGE_LOOP('',(#281991,#281992,#281993,#281994)); #53063=EDGE_LOOP('',(#281995,#281996,#281997,#281998)); #53064=EDGE_LOOP('',(#281999,#282000,#282001,#282002)); #53065=EDGE_LOOP('',(#282003,#282004,#282005,#282006)); #53066=EDGE_LOOP('',(#282007,#282008,#282009,#282010)); #53067=EDGE_LOOP('',(#282011,#282012,#282013,#282014)); #53068=EDGE_LOOP('',(#282015,#282016,#282017,#282018)); #53069=EDGE_LOOP('',(#282019,#282020,#282021,#282022)); #53070=EDGE_LOOP('',(#282023,#282024,#282025,#282026)); #53071=EDGE_LOOP('',(#282027,#282028,#282029,#282030)); #53072=EDGE_LOOP('',(#282031,#282032,#282033,#282034)); #53073=EDGE_LOOP('',(#282035,#282036,#282037,#282038)); #53074=EDGE_LOOP('',(#282039,#282040,#282041,#282042)); #53075=EDGE_LOOP('',(#282043,#282044,#282045,#282046)); #53076=EDGE_LOOP('',(#282047,#282048,#282049,#282050)); #53077=EDGE_LOOP('',(#282051,#282052,#282053,#282054)); #53078=EDGE_LOOP('',(#282055,#282056,#282057,#282058)); #53079=EDGE_LOOP('',(#282059,#282060,#282061,#282062)); #53080=EDGE_LOOP('',(#282063,#282064,#282065,#282066)); #53081=EDGE_LOOP('',(#282067,#282068,#282069,#282070)); #53082=EDGE_LOOP('',(#282071,#282072,#282073,#282074)); #53083=EDGE_LOOP('',(#282075,#282076,#282077,#282078)); #53084=EDGE_LOOP('',(#282079,#282080,#282081,#282082)); #53085=EDGE_LOOP('',(#282083,#282084,#282085,#282086)); #53086=EDGE_LOOP('',(#282087,#282088,#282089,#282090)); #53087=EDGE_LOOP('',(#282091,#282092,#282093,#282094)); #53088=EDGE_LOOP('',(#282095,#282096,#282097,#282098)); #53089=EDGE_LOOP('',(#282099,#282100,#282101,#282102)); #53090=EDGE_LOOP('',(#282103,#282104,#282105,#282106)); #53091=EDGE_LOOP('',(#282107,#282108,#282109,#282110)); #53092=EDGE_LOOP('',(#282111,#282112,#282113,#282114)); #53093=EDGE_LOOP('',(#282115,#282116,#282117,#282118)); #53094=EDGE_LOOP('',(#282119,#282120,#282121,#282122)); #53095=EDGE_LOOP('',(#282123,#282124,#282125,#282126)); #53096=EDGE_LOOP('',(#282127,#282128,#282129,#282130)); #53097=EDGE_LOOP('',(#282131,#282132,#282133,#282134)); #53098=EDGE_LOOP('',(#282135,#282136,#282137,#282138)); #53099=EDGE_LOOP('',(#282139,#282140,#282141,#282142)); #53100=EDGE_LOOP('',(#282143,#282144,#282145,#282146)); #53101=EDGE_LOOP('',(#282147,#282148,#282149,#282150)); #53102=EDGE_LOOP('',(#282151,#282152,#282153,#282154)); #53103=EDGE_LOOP('',(#282155,#282156,#282157,#282158)); #53104=EDGE_LOOP('',(#282159,#282160,#282161,#282162)); #53105=EDGE_LOOP('',(#282163,#282164,#282165,#282166)); #53106=EDGE_LOOP('',(#282167,#282168,#282169,#282170)); #53107=EDGE_LOOP('',(#282171,#282172,#282173,#282174)); #53108=EDGE_LOOP('',(#282175,#282176,#282177,#282178)); #53109=EDGE_LOOP('',(#282179,#282180,#282181,#282182)); #53110=EDGE_LOOP('',(#282183,#282184,#282185,#282186)); #53111=EDGE_LOOP('',(#282187,#282188,#282189,#282190)); #53112=EDGE_LOOP('',(#282191,#282192,#282193,#282194)); #53113=EDGE_LOOP('',(#282195,#282196,#282197,#282198)); #53114=EDGE_LOOP('',(#282199,#282200,#282201,#282202)); #53115=EDGE_LOOP('',(#282203,#282204,#282205,#282206)); #53116=EDGE_LOOP('',(#282207,#282208,#282209,#282210)); #53117=EDGE_LOOP('',(#282211,#282212,#282213,#282214)); #53118=EDGE_LOOP('',(#282215,#282216,#282217,#282218)); #53119=EDGE_LOOP('',(#282219,#282220,#282221,#282222)); #53120=EDGE_LOOP('',(#282223,#282224,#282225,#282226)); #53121=EDGE_LOOP('',(#282227,#282228,#282229,#282230)); #53122=EDGE_LOOP('',(#282231,#282232,#282233,#282234)); #53123=EDGE_LOOP('',(#282235,#282236,#282237,#282238,#282239,#282240,#282241, #282242,#282243,#282244,#282245,#282246,#282247,#282248,#282249,#282250, #282251,#282252,#282253,#282254,#282255,#282256,#282257,#282258,#282259, #282260,#282261,#282262,#282263,#282264,#282265,#282266,#282267,#282268, #282269,#282270,#282271,#282272,#282273,#282274,#282275,#282276,#282277, #282278,#282279,#282280,#282281,#282282,#282283,#282284,#282285,#282286, #282287,#282288,#282289,#282290,#282291,#282292,#282293,#282294,#282295, #282296,#282297,#282298,#282299,#282300,#282301,#282302,#282303,#282304, #282305,#282306)); #53124=EDGE_LOOP('',(#282307,#282308,#282309,#282310)); #53125=EDGE_LOOP('',(#282311,#282312,#282313,#282314,#282315,#282316,#282317, #282318,#282319,#282320,#282321,#282322,#282323,#282324,#282325,#282326, #282327,#282328,#282329,#282330,#282331,#282332,#282333,#282334,#282335, #282336,#282337,#282338,#282339,#282340,#282341,#282342,#282343,#282344, #282345,#282346,#282347,#282348,#282349,#282350,#282351,#282352,#282353, #282354,#282355,#282356,#282357,#282358,#282359,#282360,#282361,#282362, #282363,#282364,#282365,#282366,#282367,#282368,#282369,#282370,#282371, #282372,#282373,#282374,#282375,#282376,#282377,#282378,#282379,#282380, #282381,#282382)); #53126=EDGE_LOOP('',(#282383,#282384,#282385,#282386)); #53127=EDGE_LOOP('',(#282387,#282388,#282389,#282390)); #53128=EDGE_LOOP('',(#282391,#282392,#282393,#282394)); #53129=EDGE_LOOP('',(#282395,#282396,#282397,#282398)); #53130=EDGE_LOOP('',(#282399,#282400,#282401,#282402)); #53131=EDGE_LOOP('',(#282403,#282404,#282405)); #53132=EDGE_LOOP('',(#282406)); #53133=EDGE_LOOP('',(#282407,#282408,#282409)); #53134=EDGE_LOOP('',(#282410)); #53135=EDGE_LOOP('',(#282411,#282412,#282413,#282414)); #53136=EDGE_LOOP('',(#282415,#282416,#282417,#282418)); #53137=EDGE_LOOP('',(#282419,#282420,#282421,#282422)); #53138=EDGE_LOOP('',(#282423,#282424,#282425,#282426)); #53139=EDGE_LOOP('',(#282427,#282428,#282429)); #53140=EDGE_LOOP('',(#282430)); #53141=EDGE_LOOP('',(#282431,#282432,#282433)); #53142=EDGE_LOOP('',(#282434)); #53143=EDGE_LOOP('',(#282435,#282436,#282437,#282438)); #53144=EDGE_LOOP('',(#282439,#282440,#282441,#282442)); #53145=EDGE_LOOP('',(#282443,#282444,#282445,#282446)); #53146=EDGE_LOOP('',(#282447,#282448,#282449,#282450)); #53147=EDGE_LOOP('',(#282451,#282452,#282453,#282454)); #53148=EDGE_LOOP('',(#282455,#282456,#282457,#282458)); #53149=EDGE_LOOP('',(#282459,#282460,#282461,#282462)); #53150=EDGE_LOOP('',(#282463,#282464,#282465,#282466)); #53151=EDGE_LOOP('',(#282467,#282468,#282469,#282470)); #53152=EDGE_LOOP('',(#282471,#282472,#282473,#282474)); #53153=EDGE_LOOP('',(#282475,#282476,#282477,#282478)); #53154=EDGE_LOOP('',(#282479,#282480,#282481,#282482)); #53155=EDGE_LOOP('',(#282483,#282484,#282485,#282486)); #53156=EDGE_LOOP('',(#282487,#282488,#282489,#282490)); #53157=EDGE_LOOP('',(#282491,#282492,#282493,#282494)); #53158=EDGE_LOOP('',(#282495,#282496,#282497,#282498)); #53159=EDGE_LOOP('',(#282499,#282500,#282501,#282502)); #53160=EDGE_LOOP('',(#282503,#282504,#282505,#282506)); #53161=EDGE_LOOP('',(#282507,#282508,#282509,#282510)); #53162=EDGE_LOOP('',(#282511,#282512,#282513,#282514)); #53163=EDGE_LOOP('',(#282515,#282516,#282517,#282518)); #53164=EDGE_LOOP('',(#282519,#282520,#282521,#282522)); #53165=EDGE_LOOP('',(#282523,#282524,#282525,#282526)); #53166=EDGE_LOOP('',(#282527,#282528,#282529,#282530)); #53167=EDGE_LOOP('',(#282531,#282532,#282533,#282534)); #53168=EDGE_LOOP('',(#282535,#282536,#282537,#282538)); #53169=EDGE_LOOP('',(#282539,#282540,#282541,#282542)); #53170=EDGE_LOOP('',(#282543,#282544,#282545,#282546)); #53171=EDGE_LOOP('',(#282547,#282548,#282549,#282550)); #53172=EDGE_LOOP('',(#282551,#282552,#282553,#282554)); #53173=EDGE_LOOP('',(#282555,#282556,#282557,#282558)); #53174=EDGE_LOOP('',(#282559,#282560,#282561,#282562)); #53175=EDGE_LOOP('',(#282563,#282564,#282565,#282566)); #53176=EDGE_LOOP('',(#282567,#282568,#282569,#282570)); #53177=EDGE_LOOP('',(#282571,#282572,#282573,#282574)); #53178=EDGE_LOOP('',(#282575,#282576,#282577,#282578)); #53179=EDGE_LOOP('',(#282579,#282580,#282581,#282582)); #53180=EDGE_LOOP('',(#282583,#282584,#282585,#282586)); #53181=EDGE_LOOP('',(#282587,#282588,#282589,#282590)); #53182=EDGE_LOOP('',(#282591,#282592,#282593,#282594)); #53183=EDGE_LOOP('',(#282595,#282596,#282597,#282598)); #53184=EDGE_LOOP('',(#282599,#282600,#282601,#282602)); #53185=EDGE_LOOP('',(#282603,#282604,#282605,#282606)); #53186=EDGE_LOOP('',(#282607,#282608,#282609,#282610)); #53187=EDGE_LOOP('',(#282611,#282612,#282613,#282614)); #53188=EDGE_LOOP('',(#282615,#282616,#282617,#282618)); #53189=EDGE_LOOP('',(#282619,#282620,#282621,#282622)); #53190=EDGE_LOOP('',(#282623,#282624,#282625,#282626)); #53191=EDGE_LOOP('',(#282627,#282628,#282629,#282630)); #53192=EDGE_LOOP('',(#282631,#282632,#282633,#282634)); #53193=EDGE_LOOP('',(#282635,#282636,#282637,#282638)); #53194=EDGE_LOOP('',(#282639,#282640,#282641,#282642)); #53195=EDGE_LOOP('',(#282643,#282644,#282645,#282646)); #53196=EDGE_LOOP('',(#282647,#282648,#282649,#282650)); #53197=EDGE_LOOP('',(#282651,#282652,#282653,#282654)); #53198=EDGE_LOOP('',(#282655,#282656,#282657,#282658)); #53199=EDGE_LOOP('',(#282659,#282660,#282661,#282662)); #53200=EDGE_LOOP('',(#282663,#282664,#282665,#282666)); #53201=EDGE_LOOP('',(#282667,#282668,#282669,#282670)); #53202=EDGE_LOOP('',(#282671,#282672,#282673,#282674)); #53203=EDGE_LOOP('',(#282675,#282676,#282677,#282678,#282679,#282680,#282681, #282682,#282683,#282684,#282685,#282686,#282687,#282688,#282689,#282690, #282691,#282692,#282693,#282694,#282695,#282696,#282697,#282698,#282699, #282700,#282701,#282702,#282703,#282704,#282705,#282706,#282707,#282708, #282709,#282710,#282711,#282712,#282713,#282714,#282715,#282716,#282717, #282718,#282719,#282720,#282721,#282722,#282723,#282724,#282725,#282726, #282727,#282728,#282729,#282730)); #53204=EDGE_LOOP('',(#282731,#282732,#282733,#282734)); #53205=EDGE_LOOP('',(#282735,#282736,#282737,#282738,#282739,#282740,#282741, #282742,#282743,#282744,#282745,#282746,#282747,#282748,#282749,#282750, #282751,#282752,#282753,#282754,#282755,#282756,#282757,#282758,#282759, #282760,#282761,#282762,#282763,#282764,#282765,#282766,#282767,#282768, #282769,#282770,#282771,#282772,#282773,#282774,#282775,#282776,#282777, #282778,#282779,#282780,#282781,#282782,#282783,#282784,#282785,#282786, #282787,#282788,#282789,#282790)); #53206=EDGE_LOOP('',(#282791,#282792,#282793,#282794)); #53207=EDGE_LOOP('',(#282795,#282796,#282797,#282798)); #53208=EDGE_LOOP('',(#282799,#282800,#282801,#282802)); #53209=EDGE_LOOP('',(#282803,#282804,#282805,#282806)); #53210=EDGE_LOOP('',(#282807,#282808,#282809,#282810)); #53211=EDGE_LOOP('',(#282811,#282812,#282813)); #53212=EDGE_LOOP('',(#282814)); #53213=EDGE_LOOP('',(#282815,#282816,#282817)); #53214=EDGE_LOOP('',(#282818)); #53215=EDGE_LOOP('',(#282819,#282820,#282821,#282822)); #53216=EDGE_LOOP('',(#282823,#282824,#282825,#282826)); #53217=EDGE_LOOP('',(#282827,#282828,#282829,#282830)); #53218=EDGE_LOOP('',(#282831,#282832,#282833,#282834)); #53219=EDGE_LOOP('',(#282835,#282836,#282837)); #53220=EDGE_LOOP('',(#282838)); #53221=EDGE_LOOP('',(#282839,#282840,#282841)); #53222=EDGE_LOOP('',(#282842)); #53223=EDGE_LOOP('',(#282843,#282844,#282845,#282846)); #53224=EDGE_LOOP('',(#282847,#282848,#282849,#282850)); #53225=EDGE_LOOP('',(#282851,#282852,#282853,#282854)); #53226=EDGE_LOOP('',(#282855,#282856,#282857,#282858)); #53227=EDGE_LOOP('',(#282859,#282860,#282861)); #53228=EDGE_LOOP('',(#282862)); #53229=EDGE_LOOP('',(#282863,#282864,#282865)); #53230=EDGE_LOOP('',(#282866)); #53231=EDGE_LOOP('',(#282867,#282868,#282869,#282870)); #53232=EDGE_LOOP('',(#282871,#282872,#282873,#282874)); #53233=EDGE_LOOP('',(#282875,#282876,#282877,#282878)); #53234=EDGE_LOOP('',(#282879,#282880,#282881,#282882)); #53235=EDGE_LOOP('',(#282883,#282884,#282885,#282886)); #53236=EDGE_LOOP('',(#282887,#282888,#282889,#282890)); #53237=EDGE_LOOP('',(#282891)); #53238=EDGE_LOOP('',(#282892,#282893,#282894,#282895)); #53239=EDGE_LOOP('',(#282896)); #53240=EDGE_LOOP('',(#282897,#282898,#282899,#282900)); #53241=EDGE_LOOP('',(#282901,#282902,#282903,#282904)); #53242=EDGE_LOOP('',(#282905,#282906,#282907,#282908)); #53243=EDGE_LOOP('',(#282909,#282910,#282911,#282912)); #53244=EDGE_LOOP('',(#282913,#282914,#282915)); #53245=EDGE_LOOP('',(#282916)); #53246=EDGE_LOOP('',(#282917,#282918,#282919)); #53247=EDGE_LOOP('',(#282920)); #53248=EDGE_LOOP('',(#282921,#282922,#282923,#282924)); #53249=EDGE_LOOP('',(#282925,#282926,#282927,#282928)); #53250=EDGE_LOOP('',(#282929,#282930,#282931,#282932)); #53251=EDGE_LOOP('',(#282933,#282934,#282935,#282936)); #53252=EDGE_LOOP('',(#282937,#282938,#282939,#282940)); #53253=EDGE_LOOP('',(#282941,#282942,#282943,#282944)); #53254=EDGE_LOOP('',(#282945,#282946,#282947,#282948)); #53255=EDGE_LOOP('',(#282949,#282950,#282951,#282952)); #53256=EDGE_LOOP('',(#282953,#282954,#282955,#282956)); #53257=EDGE_LOOP('',(#282957,#282958,#282959,#282960)); #53258=EDGE_LOOP('',(#282961,#282962,#282963,#282964)); #53259=EDGE_LOOP('',(#282965,#282966,#282967,#282968)); #53260=EDGE_LOOP('',(#282969,#282970,#282971,#282972)); #53261=EDGE_LOOP('',(#282973,#282974,#282975,#282976)); #53262=EDGE_LOOP('',(#282977,#282978,#282979,#282980)); #53263=EDGE_LOOP('',(#282981,#282982,#282983,#282984)); #53264=EDGE_LOOP('',(#282985,#282986,#282987,#282988)); #53265=EDGE_LOOP('',(#282989,#282990,#282991,#282992)); #53266=EDGE_LOOP('',(#282993,#282994,#282995,#282996)); #53267=EDGE_LOOP('',(#282997,#282998,#282999,#283000)); #53268=EDGE_LOOP('',(#283001,#283002,#283003,#283004)); #53269=EDGE_LOOP('',(#283005,#283006,#283007,#283008)); #53270=EDGE_LOOP('',(#283009,#283010,#283011,#283012)); #53271=EDGE_LOOP('',(#283013,#283014,#283015,#283016)); #53272=EDGE_LOOP('',(#283017,#283018,#283019,#283020)); #53273=EDGE_LOOP('',(#283021,#283022,#283023,#283024)); #53274=EDGE_LOOP('',(#283025,#283026,#283027,#283028)); #53275=EDGE_LOOP('',(#283029,#283030,#283031,#283032)); #53276=EDGE_LOOP('',(#283033,#283034,#283035,#283036)); #53277=EDGE_LOOP('',(#283037,#283038,#283039,#283040)); #53278=EDGE_LOOP('',(#283041,#283042,#283043,#283044)); #53279=EDGE_LOOP('',(#283045,#283046,#283047,#283048)); #53280=EDGE_LOOP('',(#283049,#283050,#283051,#283052)); #53281=EDGE_LOOP('',(#283053,#283054,#283055,#283056)); #53282=EDGE_LOOP('',(#283057,#283058,#283059,#283060)); #53283=EDGE_LOOP('',(#283061,#283062,#283063,#283064)); #53284=EDGE_LOOP('',(#283065,#283066,#283067,#283068)); #53285=EDGE_LOOP('',(#283069,#283070,#283071,#283072)); #53286=EDGE_LOOP('',(#283073,#283074,#283075,#283076)); #53287=EDGE_LOOP('',(#283077,#283078,#283079,#283080)); #53288=EDGE_LOOP('',(#283081,#283082,#283083,#283084)); #53289=EDGE_LOOP('',(#283085,#283086,#283087,#283088)); #53290=EDGE_LOOP('',(#283089,#283090,#283091,#283092)); #53291=EDGE_LOOP('',(#283093,#283094,#283095,#283096)); #53292=EDGE_LOOP('',(#283097,#283098,#283099,#283100)); #53293=EDGE_LOOP('',(#283101,#283102,#283103,#283104)); #53294=EDGE_LOOP('',(#283105,#283106,#283107,#283108)); #53295=EDGE_LOOP('',(#283109,#283110,#283111,#283112)); #53296=EDGE_LOOP('',(#283113,#283114,#283115,#283116)); #53297=EDGE_LOOP('',(#283117,#283118,#283119,#283120)); #53298=EDGE_LOOP('',(#283121,#283122,#283123,#283124)); #53299=EDGE_LOOP('',(#283125,#283126,#283127,#283128)); #53300=EDGE_LOOP('',(#283129,#283130,#283131,#283132)); #53301=EDGE_LOOP('',(#283133,#283134,#283135,#283136)); #53302=EDGE_LOOP('',(#283137,#283138,#283139,#283140)); #53303=EDGE_LOOP('',(#283141,#283142,#283143,#283144)); #53304=EDGE_LOOP('',(#283145,#283146,#283147,#283148)); #53305=EDGE_LOOP('',(#283149,#283150,#283151,#283152)); #53306=EDGE_LOOP('',(#283153,#283154,#283155,#283156)); #53307=EDGE_LOOP('',(#283157,#283158,#283159,#283160)); #53308=EDGE_LOOP('',(#283161,#283162,#283163,#283164)); #53309=EDGE_LOOP('',(#283165,#283166,#283167,#283168)); #53310=EDGE_LOOP('',(#283169,#283170,#283171,#283172)); #53311=EDGE_LOOP('',(#283173,#283174,#283175,#283176)); #53312=EDGE_LOOP('',(#283177,#283178,#283179,#283180)); #53313=EDGE_LOOP('',(#283181,#283182,#283183,#283184)); #53314=EDGE_LOOP('',(#283185,#283186,#283187,#283188)); #53315=EDGE_LOOP('',(#283189,#283190,#283191,#283192)); #53316=EDGE_LOOP('',(#283193,#283194,#283195,#283196)); #53317=EDGE_LOOP('',(#283197,#283198,#283199,#283200)); #53318=EDGE_LOOP('',(#283201,#283202,#283203,#283204)); #53319=EDGE_LOOP('',(#283205,#283206,#283207,#283208)); #53320=EDGE_LOOP('',(#283209,#283210,#283211,#283212)); #53321=EDGE_LOOP('',(#283213,#283214,#283215,#283216)); #53322=EDGE_LOOP('',(#283217,#283218,#283219,#283220)); #53323=EDGE_LOOP('',(#283221,#283222,#283223,#283224)); #53324=EDGE_LOOP('',(#283225,#283226,#283227,#283228)); #53325=EDGE_LOOP('',(#283229,#283230,#283231,#283232)); #53326=EDGE_LOOP('',(#283233,#283234,#283235,#283236)); #53327=EDGE_LOOP('',(#283237,#283238,#283239,#283240)); #53328=EDGE_LOOP('',(#283241,#283242,#283243,#283244)); #53329=EDGE_LOOP('',(#283245,#283246,#283247,#283248)); #53330=EDGE_LOOP('',(#283249,#283250,#283251,#283252)); #53331=EDGE_LOOP('',(#283253,#283254,#283255,#283256)); #53332=EDGE_LOOP('',(#283257,#283258,#283259,#283260)); #53333=EDGE_LOOP('',(#283261,#283262,#283263,#283264)); #53334=EDGE_LOOP('',(#283265,#283266,#283267,#283268)); #53335=EDGE_LOOP('',(#283269,#283270,#283271,#283272)); #53336=EDGE_LOOP('',(#283273,#283274,#283275,#283276)); #53337=EDGE_LOOP('',(#283277,#283278,#283279,#283280)); #53338=EDGE_LOOP('',(#283281,#283282,#283283,#283284)); #53339=EDGE_LOOP('',(#283285,#283286,#283287,#283288)); #53340=EDGE_LOOP('',(#283289,#283290,#283291,#283292)); #53341=EDGE_LOOP('',(#283293,#283294,#283295,#283296)); #53342=EDGE_LOOP('',(#283297,#283298,#283299,#283300)); #53343=EDGE_LOOP('',(#283301,#283302,#283303,#283304)); #53344=EDGE_LOOP('',(#283305,#283306,#283307,#283308)); #53345=EDGE_LOOP('',(#283309,#283310,#283311,#283312)); #53346=EDGE_LOOP('',(#283313,#283314,#283315,#283316)); #53347=EDGE_LOOP('',(#283317,#283318,#283319,#283320)); #53348=EDGE_LOOP('',(#283321,#283322,#283323,#283324)); #53349=EDGE_LOOP('',(#283325,#283326,#283327,#283328)); #53350=EDGE_LOOP('',(#283329,#283330,#283331,#283332)); #53351=EDGE_LOOP('',(#283333,#283334,#283335,#283336)); #53352=EDGE_LOOP('',(#283337,#283338,#283339,#283340)); #53353=EDGE_LOOP('',(#283341,#283342,#283343,#283344)); #53354=EDGE_LOOP('',(#283345,#283346,#283347,#283348)); #53355=EDGE_LOOP('',(#283349,#283350,#283351,#283352)); #53356=EDGE_LOOP('',(#283353,#283354,#283355,#283356)); #53357=EDGE_LOOP('',(#283357,#283358,#283359,#283360)); #53358=EDGE_LOOP('',(#283361,#283362,#283363,#283364)); #53359=EDGE_LOOP('',(#283365,#283366,#283367,#283368)); #53360=EDGE_LOOP('',(#283369,#283370,#283371,#283372)); #53361=EDGE_LOOP('',(#283373,#283374,#283375,#283376)); #53362=EDGE_LOOP('',(#283377,#283378,#283379,#283380)); #53363=EDGE_LOOP('',(#283381,#283382,#283383,#283384)); #53364=EDGE_LOOP('',(#283385,#283386,#283387,#283388)); #53365=EDGE_LOOP('',(#283389,#283390,#283391,#283392)); #53366=EDGE_LOOP('',(#283393,#283394,#283395,#283396)); #53367=EDGE_LOOP('',(#283397,#283398,#283399,#283400)); #53368=EDGE_LOOP('',(#283401,#283402,#283403,#283404)); #53369=EDGE_LOOP('',(#283405,#283406,#283407,#283408)); #53370=EDGE_LOOP('',(#283409,#283410,#283411,#283412)); #53371=EDGE_LOOP('',(#283413,#283414,#283415,#283416)); #53372=EDGE_LOOP('',(#283417,#283418,#283419,#283420)); #53373=EDGE_LOOP('',(#283421,#283422,#283423,#283424)); #53374=EDGE_LOOP('',(#283425,#283426,#283427,#283428)); #53375=EDGE_LOOP('',(#283429,#283430,#283431,#283432)); #53376=EDGE_LOOP('',(#283433,#283434,#283435,#283436)); #53377=EDGE_LOOP('',(#283437,#283438,#283439,#283440)); #53378=EDGE_LOOP('',(#283441,#283442,#283443,#283444)); #53379=EDGE_LOOP('',(#283445,#283446,#283447,#283448)); #53380=EDGE_LOOP('',(#283449,#283450,#283451,#283452)); #53381=EDGE_LOOP('',(#283453,#283454,#283455,#283456)); #53382=EDGE_LOOP('',(#283457,#283458,#283459,#283460)); #53383=EDGE_LOOP('',(#283461,#283462,#283463,#283464)); #53384=EDGE_LOOP('',(#283465,#283466,#283467,#283468)); #53385=EDGE_LOOP('',(#283469,#283470,#283471,#283472)); #53386=EDGE_LOOP('',(#283473,#283474,#283475,#283476)); #53387=EDGE_LOOP('',(#283477,#283478,#283479,#283480)); #53388=EDGE_LOOP('',(#283481,#283482,#283483,#283484)); #53389=EDGE_LOOP('',(#283485,#283486,#283487,#283488)); #53390=EDGE_LOOP('',(#283489,#283490,#283491,#283492)); #53391=EDGE_LOOP('',(#283493,#283494,#283495,#283496)); #53392=EDGE_LOOP('',(#283497,#283498,#283499,#283500)); #53393=EDGE_LOOP('',(#283501)); #53394=EDGE_LOOP('',(#283502)); #53395=EDGE_LOOP('',(#283503,#283504,#283505,#283506)); #53396=EDGE_LOOP('',(#283507)); #53397=EDGE_LOOP('',(#283508)); #53398=EDGE_LOOP('',(#283509,#283510,#283511,#283512)); #53399=EDGE_LOOP('',(#283513,#283514,#283515,#283516)); #53400=EDGE_LOOP('',(#283517,#283518,#283519,#283520)); #53401=EDGE_LOOP('',(#283521,#283522,#283523,#283524)); #53402=EDGE_LOOP('',(#283525,#283526,#283527,#283528)); #53403=EDGE_LOOP('',(#283529,#283530,#283531,#283532)); #53404=EDGE_LOOP('',(#283533,#283534,#283535,#283536)); #53405=EDGE_LOOP('',(#283537,#283538,#283539,#283540)); #53406=EDGE_LOOP('',(#283541,#283542,#283543,#283544)); #53407=EDGE_LOOP('',(#283545,#283546,#283547,#283548)); #53408=EDGE_LOOP('',(#283549,#283550,#283551,#283552)); #53409=EDGE_LOOP('',(#283553,#283554,#283555,#283556)); #53410=EDGE_LOOP('',(#283557,#283558,#283559,#283560)); #53411=EDGE_LOOP('',(#283561)); #53412=EDGE_LOOP('',(#283562)); #53413=EDGE_LOOP('',(#283563,#283564,#283565,#283566)); #53414=EDGE_LOOP('',(#283567)); #53415=EDGE_LOOP('',(#283568)); #53416=EDGE_LOOP('',(#283569,#283570,#283571,#283572)); #53417=EDGE_LOOP('',(#283573,#283574,#283575,#283576)); #53418=EDGE_LOOP('',(#283577,#283578,#283579,#283580)); #53419=EDGE_LOOP('',(#283581,#283582,#283583,#283584)); #53420=EDGE_LOOP('',(#283585,#283586,#283587,#283588)); #53421=EDGE_LOOP('',(#283589,#283590,#283591,#283592)); #53422=EDGE_LOOP('',(#283593,#283594,#283595,#283596)); #53423=EDGE_LOOP('',(#283597,#283598,#283599,#283600)); #53424=EDGE_LOOP('',(#283601,#283602,#283603,#283604)); #53425=EDGE_LOOP('',(#283605,#283606,#283607,#283608)); #53426=EDGE_LOOP('',(#283609,#283610,#283611,#283612)); #53427=EDGE_LOOP('',(#283613,#283614,#283615,#283616)); #53428=EDGE_LOOP('',(#283617,#283618,#283619,#283620)); #53429=EDGE_LOOP('',(#283621,#283622,#283623,#283624)); #53430=EDGE_LOOP('',(#283625,#283626,#283627,#283628)); #53431=EDGE_LOOP('',(#283629,#283630,#283631,#283632)); #53432=EDGE_LOOP('',(#283633,#283634,#283635,#283636)); #53433=EDGE_LOOP('',(#283637,#283638,#283639,#283640)); #53434=EDGE_LOOP('',(#283641,#283642,#283643,#283644)); #53435=EDGE_LOOP('',(#283645,#283646,#283647,#283648)); #53436=EDGE_LOOP('',(#283649,#283650,#283651,#283652)); #53437=EDGE_LOOP('',(#283653,#283654,#283655,#283656)); #53438=EDGE_LOOP('',(#283657,#283658,#283659,#283660)); #53439=EDGE_LOOP('',(#283661,#283662,#283663,#283664)); #53440=EDGE_LOOP('',(#283665,#283666,#283667,#283668)); #53441=EDGE_LOOP('',(#283669,#283670,#283671,#283672)); #53442=EDGE_LOOP('',(#283673,#283674,#283675,#283676)); #53443=EDGE_LOOP('',(#283677,#283678,#283679,#283680)); #53444=EDGE_LOOP('',(#283681,#283682,#283683,#283684)); #53445=EDGE_LOOP('',(#283685,#283686,#283687,#283688)); #53446=EDGE_LOOP('',(#283689,#283690,#283691,#283692)); #53447=EDGE_LOOP('',(#283693,#283694,#283695,#283696)); #53448=EDGE_LOOP('',(#283697,#283698,#283699,#283700)); #53449=EDGE_LOOP('',(#283701,#283702,#283703,#283704)); #53450=EDGE_LOOP('',(#283705,#283706,#283707,#283708)); #53451=EDGE_LOOP('',(#283709,#283710,#283711,#283712)); #53452=EDGE_LOOP('',(#283713,#283714,#283715,#283716)); #53453=EDGE_LOOP('',(#283717,#283718,#283719,#283720)); #53454=EDGE_LOOP('',(#283721,#283722,#283723,#283724)); #53455=EDGE_LOOP('',(#283725,#283726,#283727,#283728)); #53456=EDGE_LOOP('',(#283729,#283730,#283731,#283732)); #53457=EDGE_LOOP('',(#283733,#283734,#283735,#283736)); #53458=EDGE_LOOP('',(#283737,#283738,#283739,#283740)); #53459=EDGE_LOOP('',(#283741,#283742,#283743,#283744)); #53460=EDGE_LOOP('',(#283745,#283746,#283747,#283748)); #53461=EDGE_LOOP('',(#283749,#283750,#283751,#283752)); #53462=EDGE_LOOP('',(#283753,#283754,#283755,#283756)); #53463=EDGE_LOOP('',(#283757,#283758,#283759,#283760)); #53464=EDGE_LOOP('',(#283761,#283762,#283763,#283764)); #53465=EDGE_LOOP('',(#283765,#283766,#283767,#283768)); #53466=EDGE_LOOP('',(#283769,#283770,#283771,#283772)); #53467=EDGE_LOOP('',(#283773,#283774,#283775,#283776)); #53468=EDGE_LOOP('',(#283777,#283778,#283779,#283780)); #53469=EDGE_LOOP('',(#283781,#283782,#283783,#283784)); #53470=EDGE_LOOP('',(#283785,#283786,#283787,#283788)); #53471=EDGE_LOOP('',(#283789,#283790,#283791,#283792)); #53472=EDGE_LOOP('',(#283793,#283794,#283795,#283796)); #53473=EDGE_LOOP('',(#283797,#283798,#283799,#283800)); #53474=EDGE_LOOP('',(#283801,#283802,#283803,#283804)); #53475=EDGE_LOOP('',(#283805,#283806,#283807,#283808)); #53476=EDGE_LOOP('',(#283809,#283810,#283811,#283812)); #53477=EDGE_LOOP('',(#283813,#283814,#283815,#283816)); #53478=EDGE_LOOP('',(#283817,#283818,#283819,#283820)); #53479=EDGE_LOOP('',(#283821,#283822,#283823,#283824)); #53480=EDGE_LOOP('',(#283825,#283826,#283827,#283828)); #53481=EDGE_LOOP('',(#283829,#283830,#283831,#283832)); #53482=EDGE_LOOP('',(#283833,#283834,#283835,#283836)); #53483=EDGE_LOOP('',(#283837,#283838,#283839,#283840)); #53484=EDGE_LOOP('',(#283841,#283842,#283843,#283844)); #53485=EDGE_LOOP('',(#283845,#283846,#283847,#283848)); #53486=EDGE_LOOP('',(#283849,#283850,#283851,#283852)); #53487=EDGE_LOOP('',(#283853,#283854,#283855,#283856)); #53488=EDGE_LOOP('',(#283857,#283858,#283859,#283860)); #53489=EDGE_LOOP('',(#283861,#283862,#283863,#283864)); #53490=EDGE_LOOP('',(#283865,#283866,#283867,#283868)); #53491=EDGE_LOOP('',(#283869,#283870,#283871,#283872)); #53492=EDGE_LOOP('',(#283873,#283874,#283875,#283876)); #53493=EDGE_LOOP('',(#283877,#283878,#283879,#283880)); #53494=EDGE_LOOP('',(#283881,#283882,#283883,#283884)); #53495=EDGE_LOOP('',(#283885,#283886,#283887,#283888)); #53496=EDGE_LOOP('',(#283889,#283890,#283891,#283892)); #53497=EDGE_LOOP('',(#283893,#283894,#283895,#283896)); #53498=EDGE_LOOP('',(#283897,#283898,#283899,#283900)); #53499=EDGE_LOOP('',(#283901,#283902,#283903,#283904)); #53500=EDGE_LOOP('',(#283905,#283906,#283907,#283908)); #53501=EDGE_LOOP('',(#283909,#283910,#283911,#283912)); #53502=EDGE_LOOP('',(#283913,#283914,#283915,#283916)); #53503=EDGE_LOOP('',(#283917,#283918,#283919,#283920)); #53504=EDGE_LOOP('',(#283921,#283922,#283923,#283924)); #53505=EDGE_LOOP('',(#283925,#283926,#283927,#283928)); #53506=EDGE_LOOP('',(#283929,#283930,#283931,#283932)); #53507=EDGE_LOOP('',(#283933,#283934,#283935,#283936)); #53508=EDGE_LOOP('',(#283937,#283938,#283939,#283940)); #53509=EDGE_LOOP('',(#283941,#283942,#283943,#283944)); #53510=EDGE_LOOP('',(#283945,#283946,#283947,#283948)); #53511=EDGE_LOOP('',(#283949,#283950,#283951,#283952)); #53512=EDGE_LOOP('',(#283953,#283954,#283955,#283956)); #53513=EDGE_LOOP('',(#283957,#283958,#283959,#283960)); #53514=EDGE_LOOP('',(#283961,#283962,#283963,#283964)); #53515=EDGE_LOOP('',(#283965,#283966,#283967,#283968)); #53516=EDGE_LOOP('',(#283969,#283970,#283971,#283972)); #53517=EDGE_LOOP('',(#283973,#283974,#283975,#283976)); #53518=EDGE_LOOP('',(#283977)); #53519=EDGE_LOOP('',(#283978,#283979,#283980,#283981)); #53520=EDGE_LOOP('',(#283982)); #53521=EDGE_LOOP('',(#283983,#283984,#283985,#283986)); #53522=EDGE_LOOP('',(#283987,#283988,#283989,#283990)); #53523=EDGE_LOOP('',(#283991,#283992,#283993,#283994)); #53524=EDGE_LOOP('',(#283995,#283996,#283997,#283998)); #53525=EDGE_LOOP('',(#283999,#284000,#284001,#284002)); #53526=EDGE_LOOP('',(#284003,#284004,#284005,#284006)); #53527=EDGE_LOOP('',(#284007,#284008,#284009,#284010)); #53528=EDGE_LOOP('',(#284011,#284012,#284013,#284014)); #53529=EDGE_LOOP('',(#284015,#284016,#284017,#284018)); #53530=EDGE_LOOP('',(#284019,#284020,#284021,#284022)); #53531=EDGE_LOOP('',(#284023,#284024,#284025,#284026)); #53532=EDGE_LOOP('',(#284027,#284028,#284029,#284030)); #53533=EDGE_LOOP('',(#284031,#284032,#284033,#284034)); #53534=EDGE_LOOP('',(#284035,#284036,#284037,#284038)); #53535=EDGE_LOOP('',(#284039,#284040,#284041,#284042)); #53536=EDGE_LOOP('',(#284043,#284044,#284045,#284046)); #53537=EDGE_LOOP('',(#284047,#284048,#284049,#284050)); #53538=EDGE_LOOP('',(#284051,#284052,#284053,#284054)); #53539=EDGE_LOOP('',(#284055,#284056,#284057,#284058)); #53540=EDGE_LOOP('',(#284059,#284060,#284061,#284062)); #53541=EDGE_LOOP('',(#284063,#284064,#284065,#284066)); #53542=EDGE_LOOP('',(#284067,#284068,#284069,#284070)); #53543=EDGE_LOOP('',(#284071,#284072,#284073,#284074)); #53544=EDGE_LOOP('',(#284075,#284076,#284077,#284078)); #53545=EDGE_LOOP('',(#284079,#284080,#284081,#284082)); #53546=EDGE_LOOP('',(#284083,#284084,#284085,#284086)); #53547=EDGE_LOOP('',(#284087,#284088,#284089,#284090)); #53548=EDGE_LOOP('',(#284091,#284092,#284093,#284094)); #53549=EDGE_LOOP('',(#284095,#284096,#284097,#284098)); #53550=EDGE_LOOP('',(#284099,#284100,#284101,#284102)); #53551=EDGE_LOOP('',(#284103,#284104,#284105,#284106)); #53552=EDGE_LOOP('',(#284107,#284108,#284109,#284110)); #53553=EDGE_LOOP('',(#284111,#284112,#284113,#284114)); #53554=EDGE_LOOP('',(#284115,#284116,#284117,#284118)); #53555=EDGE_LOOP('',(#284119,#284120,#284121,#284122)); #53556=EDGE_LOOP('',(#284123,#284124,#284125,#284126)); #53557=EDGE_LOOP('',(#284127,#284128,#284129,#284130)); #53558=EDGE_LOOP('',(#284131,#284132,#284133,#284134)); #53559=EDGE_LOOP('',(#284135,#284136,#284137,#284138)); #53560=EDGE_LOOP('',(#284139,#284140,#284141,#284142)); #53561=EDGE_LOOP('',(#284143,#284144,#284145,#284146)); #53562=EDGE_LOOP('',(#284147,#284148,#284149,#284150)); #53563=EDGE_LOOP('',(#284151,#284152,#284153,#284154)); #53564=EDGE_LOOP('',(#284155,#284156,#284157,#284158)); #53565=EDGE_LOOP('',(#284159)); #53566=EDGE_LOOP('',(#284160)); #53567=EDGE_LOOP('',(#284161)); #53568=EDGE_LOOP('',(#284162)); #53569=EDGE_LOOP('',(#284163)); #53570=EDGE_LOOP('',(#284164)); #53571=EDGE_LOOP('',(#284165)); #53572=EDGE_LOOP('',(#284166)); #53573=EDGE_LOOP('',(#284167)); #53574=EDGE_LOOP('',(#284168)); #53575=EDGE_LOOP('',(#284169)); #53576=EDGE_LOOP('',(#284170)); #53577=EDGE_LOOP('',(#284171)); #53578=EDGE_LOOP('',(#284172)); #53579=EDGE_LOOP('',(#284173)); #53580=EDGE_LOOP('',(#284174,#284175,#284176,#284177)); #53581=EDGE_LOOP('',(#284178)); #53582=EDGE_LOOP('',(#284179)); #53583=EDGE_LOOP('',(#284180)); #53584=EDGE_LOOP('',(#284181)); #53585=EDGE_LOOP('',(#284182)); #53586=EDGE_LOOP('',(#284183)); #53587=EDGE_LOOP('',(#284184)); #53588=EDGE_LOOP('',(#284185)); #53589=EDGE_LOOP('',(#284186)); #53590=EDGE_LOOP('',(#284187)); #53591=EDGE_LOOP('',(#284188)); #53592=EDGE_LOOP('',(#284189)); #53593=EDGE_LOOP('',(#284190)); #53594=EDGE_LOOP('',(#284191)); #53595=EDGE_LOOP('',(#284192)); #53596=EDGE_LOOP('',(#284193,#284194,#284195,#284196)); #53597=EDGE_LOOP('',(#284197,#284198,#284199,#284200)); #53598=EDGE_LOOP('',(#284201,#284202,#284203,#284204)); #53599=EDGE_LOOP('',(#284205,#284206,#284207,#284208)); #53600=EDGE_LOOP('',(#284209,#284210,#284211,#284212)); #53601=EDGE_LOOP('',(#284213,#284214,#284215,#284216)); #53602=EDGE_LOOP('',(#284217,#284218,#284219,#284220)); #53603=EDGE_LOOP('',(#284221,#284222,#284223,#284224)); #53604=EDGE_LOOP('',(#284225,#284226,#284227,#284228)); #53605=EDGE_LOOP('',(#284229,#284230,#284231,#284232)); #53606=EDGE_LOOP('',(#284233,#284234,#284235,#284236)); #53607=EDGE_LOOP('',(#284237,#284238,#284239,#284240)); #53608=EDGE_LOOP('',(#284241,#284242,#284243,#284244)); #53609=EDGE_LOOP('',(#284245,#284246,#284247,#284248)); #53610=EDGE_LOOP('',(#284249,#284250,#284251,#284252)); #53611=EDGE_LOOP('',(#284253,#284254,#284255,#284256)); #53612=EDGE_LOOP('',(#284257,#284258,#284259,#284260)); #53613=EDGE_LOOP('',(#284261,#284262,#284263,#284264)); #53614=EDGE_LOOP('',(#284265,#284266,#284267,#284268)); #53615=EDGE_LOOP('',(#284269,#284270,#284271,#284272)); #53616=EDGE_LOOP('',(#284273,#284274,#284275,#284276)); #53617=EDGE_LOOP('',(#284277,#284278,#284279,#284280)); #53618=EDGE_LOOP('',(#284281,#284282,#284283,#284284)); #53619=EDGE_LOOP('',(#284285,#284286,#284287,#284288)); #53620=EDGE_LOOP('',(#284289)); #53621=EDGE_LOOP('',(#284290,#284291,#284292,#284293)); #53622=EDGE_LOOP('',(#284294)); #53623=EDGE_LOOP('',(#284295,#284296,#284297,#284298)); #53624=EDGE_LOOP('',(#284299,#284300,#284301,#284302)); #53625=EDGE_LOOP('',(#284303,#284304,#284305,#284306)); #53626=EDGE_LOOP('',(#284307,#284308,#284309,#284310)); #53627=EDGE_LOOP('',(#284311,#284312,#284313,#284314)); #53628=EDGE_LOOP('',(#284315,#284316,#284317,#284318)); #53629=EDGE_LOOP('',(#284319,#284320,#284321,#284322)); #53630=EDGE_LOOP('',(#284323,#284324,#284325,#284326)); #53631=EDGE_LOOP('',(#284327,#284328,#284329,#284330)); #53632=EDGE_LOOP('',(#284331,#284332,#284333,#284334)); #53633=EDGE_LOOP('',(#284335,#284336,#284337,#284338)); #53634=EDGE_LOOP('',(#284339,#284340,#284341,#284342)); #53635=EDGE_LOOP('',(#284343,#284344,#284345,#284346)); #53636=EDGE_LOOP('',(#284347,#284348,#284349,#284350)); #53637=EDGE_LOOP('',(#284351,#284352,#284353,#284354)); #53638=EDGE_LOOP('',(#284355,#284356,#284357,#284358)); #53639=EDGE_LOOP('',(#284359,#284360,#284361,#284362)); #53640=EDGE_LOOP('',(#284363,#284364,#284365,#284366)); #53641=EDGE_LOOP('',(#284367,#284368,#284369,#284370)); #53642=EDGE_LOOP('',(#284371,#284372,#284373,#284374)); #53643=EDGE_LOOP('',(#284375,#284376,#284377,#284378)); #53644=EDGE_LOOP('',(#284379,#284380,#284381,#284382)); #53645=EDGE_LOOP('',(#284383,#284384,#284385,#284386)); #53646=EDGE_LOOP('',(#284387,#284388,#284389,#284390)); #53647=EDGE_LOOP('',(#284391,#284392,#284393,#284394)); #53648=EDGE_LOOP('',(#284395,#284396,#284397,#284398)); #53649=EDGE_LOOP('',(#284399,#284400,#284401,#284402)); #53650=EDGE_LOOP('',(#284403,#284404,#284405,#284406)); #53651=EDGE_LOOP('',(#284407,#284408,#284409,#284410)); #53652=EDGE_LOOP('',(#284411,#284412,#284413,#284414)); #53653=EDGE_LOOP('',(#284415,#284416,#284417,#284418)); #53654=EDGE_LOOP('',(#284419,#284420,#284421,#284422)); #53655=EDGE_LOOP('',(#284423,#284424,#284425,#284426)); #53656=EDGE_LOOP('',(#284427,#284428,#284429,#284430)); #53657=EDGE_LOOP('',(#284431,#284432,#284433,#284434)); #53658=EDGE_LOOP('',(#284435,#284436,#284437,#284438)); #53659=EDGE_LOOP('',(#284439,#284440,#284441,#284442)); #53660=EDGE_LOOP('',(#284443,#284444,#284445,#284446)); #53661=EDGE_LOOP('',(#284447,#284448,#284449,#284450)); #53662=EDGE_LOOP('',(#284451,#284452,#284453,#284454)); #53663=EDGE_LOOP('',(#284455,#284456,#284457,#284458)); #53664=EDGE_LOOP('',(#284459,#284460,#284461,#284462)); #53665=EDGE_LOOP('',(#284463,#284464,#284465,#284466)); #53666=EDGE_LOOP('',(#284467,#284468,#284469,#284470)); #53667=EDGE_LOOP('',(#284471)); #53668=EDGE_LOOP('',(#284472)); #53669=EDGE_LOOP('',(#284473)); #53670=EDGE_LOOP('',(#284474)); #53671=EDGE_LOOP('',(#284475)); #53672=EDGE_LOOP('',(#284476)); #53673=EDGE_LOOP('',(#284477)); #53674=EDGE_LOOP('',(#284478)); #53675=EDGE_LOOP('',(#284479)); #53676=EDGE_LOOP('',(#284480)); #53677=EDGE_LOOP('',(#284481)); #53678=EDGE_LOOP('',(#284482)); #53679=EDGE_LOOP('',(#284483)); #53680=EDGE_LOOP('',(#284484)); #53681=EDGE_LOOP('',(#284485)); #53682=EDGE_LOOP('',(#284486,#284487,#284488,#284489)); #53683=EDGE_LOOP('',(#284490)); #53684=EDGE_LOOP('',(#284491)); #53685=EDGE_LOOP('',(#284492)); #53686=EDGE_LOOP('',(#284493)); #53687=EDGE_LOOP('',(#284494)); #53688=EDGE_LOOP('',(#284495)); #53689=EDGE_LOOP('',(#284496)); #53690=EDGE_LOOP('',(#284497)); #53691=EDGE_LOOP('',(#284498)); #53692=EDGE_LOOP('',(#284499)); #53693=EDGE_LOOP('',(#284500)); #53694=EDGE_LOOP('',(#284501)); #53695=EDGE_LOOP('',(#284502)); #53696=EDGE_LOOP('',(#284503)); #53697=EDGE_LOOP('',(#284504)); #53698=EDGE_LOOP('',(#284505,#284506,#284507,#284508)); #53699=EDGE_LOOP('',(#284509,#284510,#284511,#284512)); #53700=EDGE_LOOP('',(#284513,#284514,#284515,#284516)); #53701=EDGE_LOOP('',(#284517,#284518,#284519,#284520)); #53702=EDGE_LOOP('',(#284521,#284522,#284523,#284524)); #53703=EDGE_LOOP('',(#284525,#284526,#284527,#284528)); #53704=EDGE_LOOP('',(#284529,#284530,#284531,#284532)); #53705=EDGE_LOOP('',(#284533,#284534,#284535,#284536)); #53706=EDGE_LOOP('',(#284537,#284538,#284539,#284540)); #53707=EDGE_LOOP('',(#284541,#284542,#284543,#284544)); #53708=EDGE_LOOP('',(#284545,#284546,#284547,#284548)); #53709=EDGE_LOOP('',(#284549,#284550,#284551,#284552)); #53710=EDGE_LOOP('',(#284553,#284554,#284555,#284556)); #53711=EDGE_LOOP('',(#284557,#284558,#284559,#284560)); #53712=EDGE_LOOP('',(#284561,#284562,#284563,#284564)); #53713=EDGE_LOOP('',(#284565,#284566,#284567,#284568)); #53714=EDGE_LOOP('',(#284569,#284570,#284571,#284572)); #53715=EDGE_LOOP('',(#284573,#284574,#284575,#284576)); #53716=EDGE_LOOP('',(#284577,#284578,#284579,#284580)); #53717=EDGE_LOOP('',(#284581,#284582,#284583,#284584)); #53718=EDGE_LOOP('',(#284585,#284586,#284587,#284588)); #53719=EDGE_LOOP('',(#284589,#284590,#284591,#284592)); #53720=EDGE_LOOP('',(#284593,#284594,#284595,#284596)); #53721=EDGE_LOOP('',(#284597,#284598,#284599,#284600)); #53722=EDGE_LOOP('',(#284601)); #53723=EDGE_LOOP('',(#284602,#284603,#284604,#284605)); #53724=EDGE_LOOP('',(#284606)); #53725=EDGE_LOOP('',(#284607,#284608,#284609,#284610)); #53726=EDGE_LOOP('',(#284611,#284612,#284613,#284614)); #53727=EDGE_LOOP('',(#284615,#284616,#284617,#284618)); #53728=EDGE_LOOP('',(#284619,#284620,#284621,#284622)); #53729=EDGE_LOOP('',(#284623,#284624,#284625,#284626)); #53730=EDGE_LOOP('',(#284627,#284628,#284629,#284630)); #53731=EDGE_LOOP('',(#284631)); #53732=EDGE_LOOP('',(#284632,#284633,#284634,#284635)); #53733=EDGE_LOOP('',(#284636)); #53734=EDGE_LOOP('',(#284637,#284638,#284639,#284640)); #53735=EDGE_LOOP('',(#284641,#284642,#284643,#284644)); #53736=EDGE_LOOP('',(#284645,#284646,#284647,#284648)); #53737=EDGE_LOOP('',(#284649,#284650,#284651,#284652)); #53738=EDGE_LOOP('',(#284653,#284654,#284655,#284656)); #53739=EDGE_LOOP('',(#284657,#284658,#284659,#284660)); #53740=EDGE_LOOP('',(#284661)); #53741=EDGE_LOOP('',(#284662,#284663,#284664,#284665)); #53742=EDGE_LOOP('',(#284666)); #53743=EDGE_LOOP('',(#284667,#284668,#284669,#284670)); #53744=EDGE_LOOP('',(#284671,#284672,#284673,#284674)); #53745=EDGE_LOOP('',(#284675,#284676,#284677,#284678)); #53746=EDGE_LOOP('',(#284679,#284680,#284681,#284682)); #53747=EDGE_LOOP('',(#284683,#284684,#284685,#284686)); #53748=EDGE_LOOP('',(#284687,#284688,#284689,#284690)); #53749=EDGE_LOOP('',(#284691,#284692,#284693,#284694)); #53750=EDGE_LOOP('',(#284695,#284696,#284697,#284698)); #53751=EDGE_LOOP('',(#284699,#284700,#284701,#284702)); #53752=EDGE_LOOP('',(#284703,#284704,#284705,#284706)); #53753=EDGE_LOOP('',(#284707,#284708,#284709,#284710)); #53754=EDGE_LOOP('',(#284711,#284712,#284713,#284714)); #53755=EDGE_LOOP('',(#284715,#284716,#284717,#284718)); #53756=EDGE_LOOP('',(#284719,#284720,#284721,#284722)); #53757=EDGE_LOOP('',(#284723,#284724,#284725,#284726)); #53758=EDGE_LOOP('',(#284727,#284728,#284729,#284730)); #53759=EDGE_LOOP('',(#284731,#284732,#284733,#284734)); #53760=EDGE_LOOP('',(#284735,#284736,#284737,#284738)); #53761=EDGE_LOOP('',(#284739,#284740,#284741,#284742)); #53762=EDGE_LOOP('',(#284743,#284744,#284745,#284746)); #53763=EDGE_LOOP('',(#284747)); #53764=EDGE_LOOP('',(#284748)); #53765=EDGE_LOOP('',(#284749)); #53766=EDGE_LOOP('',(#284750)); #53767=EDGE_LOOP('',(#284751)); #53768=EDGE_LOOP('',(#284752)); #53769=EDGE_LOOP('',(#284753)); #53770=EDGE_LOOP('',(#284754)); #53771=EDGE_LOOP('',(#284755)); #53772=EDGE_LOOP('',(#284756)); #53773=EDGE_LOOP('',(#284757)); #53774=EDGE_LOOP('',(#284758)); #53775=EDGE_LOOP('',(#284759)); #53776=EDGE_LOOP('',(#284760)); #53777=EDGE_LOOP('',(#284761)); #53778=EDGE_LOOP('',(#284762,#284763,#284764,#284765)); #53779=EDGE_LOOP('',(#284766)); #53780=EDGE_LOOP('',(#284767)); #53781=EDGE_LOOP('',(#284768)); #53782=EDGE_LOOP('',(#284769)); #53783=EDGE_LOOP('',(#284770)); #53784=EDGE_LOOP('',(#284771)); #53785=EDGE_LOOP('',(#284772)); #53786=EDGE_LOOP('',(#284773)); #53787=EDGE_LOOP('',(#284774)); #53788=EDGE_LOOP('',(#284775)); #53789=EDGE_LOOP('',(#284776)); #53790=EDGE_LOOP('',(#284777)); #53791=EDGE_LOOP('',(#284778)); #53792=EDGE_LOOP('',(#284779)); #53793=EDGE_LOOP('',(#284780)); #53794=EDGE_LOOP('',(#284781,#284782,#284783,#284784)); #53795=EDGE_LOOP('',(#284785,#284786,#284787,#284788)); #53796=EDGE_LOOP('',(#284789,#284790,#284791,#284792)); #53797=EDGE_LOOP('',(#284793,#284794,#284795,#284796)); #53798=EDGE_LOOP('',(#284797,#284798,#284799,#284800)); #53799=EDGE_LOOP('',(#284801,#284802,#284803,#284804)); #53800=EDGE_LOOP('',(#284805,#284806,#284807,#284808)); #53801=EDGE_LOOP('',(#284809,#284810,#284811,#284812)); #53802=EDGE_LOOP('',(#284813,#284814,#284815,#284816)); #53803=EDGE_LOOP('',(#284817,#284818,#284819,#284820)); #53804=EDGE_LOOP('',(#284821,#284822,#284823,#284824)); #53805=EDGE_LOOP('',(#284825,#284826,#284827,#284828)); #53806=EDGE_LOOP('',(#284829,#284830,#284831,#284832)); #53807=EDGE_LOOP('',(#284833,#284834,#284835,#284836)); #53808=EDGE_LOOP('',(#284837,#284838,#284839,#284840)); #53809=EDGE_LOOP('',(#284841,#284842,#284843,#284844)); #53810=EDGE_LOOP('',(#284845,#284846,#284847,#284848)); #53811=EDGE_LOOP('',(#284849,#284850,#284851,#284852)); #53812=EDGE_LOOP('',(#284853,#284854,#284855,#284856)); #53813=EDGE_LOOP('',(#284857,#284858,#284859,#284860)); #53814=EDGE_LOOP('',(#284861,#284862,#284863,#284864)); #53815=EDGE_LOOP('',(#284865,#284866,#284867,#284868)); #53816=EDGE_LOOP('',(#284869,#284870,#284871,#284872)); #53817=EDGE_LOOP('',(#284873,#284874,#284875,#284876)); #53818=EDGE_LOOP('',(#284877,#284878,#284879,#284880)); #53819=EDGE_LOOP('',(#284881,#284882,#284883,#284884)); #53820=EDGE_LOOP('',(#284885,#284886,#284887,#284888)); #53821=EDGE_LOOP('',(#284889,#284890,#284891,#284892)); #53822=EDGE_LOOP('',(#284893,#284894,#284895,#284896)); #53823=EDGE_LOOP('',(#284897,#284898,#284899,#284900)); #53824=EDGE_LOOP('',(#284901,#284902,#284903,#284904)); #53825=EDGE_LOOP('',(#284905,#284906,#284907,#284908)); #53826=EDGE_LOOP('',(#284909,#284910,#284911,#284912)); #53827=EDGE_LOOP('',(#284913,#284914,#284915,#284916)); #53828=EDGE_LOOP('',(#284917,#284918,#284919,#284920)); #53829=EDGE_LOOP('',(#284921,#284922,#284923,#284924)); #53830=EDGE_LOOP('',(#284925,#284926,#284927,#284928)); #53831=EDGE_LOOP('',(#284929,#284930,#284931,#284932)); #53832=EDGE_LOOP('',(#284933,#284934,#284935,#284936)); #53833=EDGE_LOOP('',(#284937,#284938,#284939,#284940)); #53834=EDGE_LOOP('',(#284941,#284942,#284943,#284944)); #53835=EDGE_LOOP('',(#284945,#284946,#284947,#284948)); #53836=EDGE_LOOP('',(#284949,#284950,#284951,#284952)); #53837=EDGE_LOOP('',(#284953,#284954,#284955,#284956)); #53838=EDGE_LOOP('',(#284957,#284958,#284959,#284960)); #53839=EDGE_LOOP('',(#284961,#284962,#284963,#284964)); #53840=EDGE_LOOP('',(#284965,#284966,#284967,#284968)); #53841=EDGE_LOOP('',(#284969,#284970,#284971,#284972)); #53842=EDGE_LOOP('',(#284973,#284974,#284975,#284976)); #53843=EDGE_LOOP('',(#284977,#284978,#284979,#284980)); #53844=EDGE_LOOP('',(#284981,#284982,#284983,#284984)); #53845=EDGE_LOOP('',(#284985,#284986,#284987,#284988)); #53846=EDGE_LOOP('',(#284989,#284990,#284991,#284992)); #53847=EDGE_LOOP('',(#284993,#284994,#284995,#284996)); #53848=EDGE_LOOP('',(#284997,#284998,#284999,#285000)); #53849=EDGE_LOOP('',(#285001,#285002,#285003,#285004)); #53850=EDGE_LOOP('',(#285005,#285006,#285007,#285008)); #53851=EDGE_LOOP('',(#285009,#285010,#285011,#285012)); #53852=EDGE_LOOP('',(#285013,#285014,#285015,#285016)); #53853=EDGE_LOOP('',(#285017,#285018,#285019,#285020)); #53854=EDGE_LOOP('',(#285021,#285022,#285023,#285024)); #53855=EDGE_LOOP('',(#285025,#285026,#285027,#285028)); #53856=EDGE_LOOP('',(#285029,#285030,#285031,#285032)); #53857=EDGE_LOOP('',(#285033,#285034,#285035,#285036)); #53858=EDGE_LOOP('',(#285037,#285038,#285039,#285040)); #53859=EDGE_LOOP('',(#285041,#285042,#285043,#285044)); #53860=EDGE_LOOP('',(#285045,#285046,#285047,#285048)); #53861=EDGE_LOOP('',(#285049,#285050,#285051,#285052)); #53862=EDGE_LOOP('',(#285053,#285054,#285055,#285056)); #53863=EDGE_LOOP('',(#285057,#285058,#285059,#285060)); #53864=EDGE_LOOP('',(#285061,#285062,#285063,#285064)); #53865=EDGE_LOOP('',(#285065,#285066,#285067,#285068)); #53866=EDGE_LOOP('',(#285069,#285070,#285071,#285072)); #53867=EDGE_LOOP('',(#285073,#285074,#285075,#285076)); #53868=EDGE_LOOP('',(#285077,#285078,#285079,#285080)); #53869=EDGE_LOOP('',(#285081,#285082,#285083,#285084)); #53870=EDGE_LOOP('',(#285085,#285086,#285087,#285088)); #53871=EDGE_LOOP('',(#285089,#285090,#285091,#285092)); #53872=EDGE_LOOP('',(#285093,#285094,#285095,#285096)); #53873=EDGE_LOOP('',(#285097,#285098,#285099,#285100)); #53874=EDGE_LOOP('',(#285101,#285102,#285103,#285104)); #53875=EDGE_LOOP('',(#285105,#285106,#285107,#285108)); #53876=EDGE_LOOP('',(#285109,#285110,#285111,#285112)); #53877=EDGE_LOOP('',(#285113,#285114,#285115,#285116)); #53878=EDGE_LOOP('',(#285117,#285118,#285119,#285120)); #53879=EDGE_LOOP('',(#285121,#285122,#285123,#285124)); #53880=EDGE_LOOP('',(#285125,#285126,#285127,#285128)); #53881=EDGE_LOOP('',(#285129,#285130,#285131,#285132)); #53882=EDGE_LOOP('',(#285133,#285134,#285135,#285136)); #53883=EDGE_LOOP('',(#285137,#285138,#285139,#285140)); #53884=EDGE_LOOP('',(#285141,#285142,#285143,#285144)); #53885=EDGE_LOOP('',(#285145,#285146,#285147,#285148)); #53886=EDGE_LOOP('',(#285149,#285150,#285151,#285152)); #53887=EDGE_LOOP('',(#285153,#285154,#285155,#285156)); #53888=EDGE_LOOP('',(#285157,#285158,#285159,#285160)); #53889=EDGE_LOOP('',(#285161,#285162,#285163,#285164)); #53890=EDGE_LOOP('',(#285165,#285166,#285167,#285168)); #53891=EDGE_LOOP('',(#285169,#285170,#285171,#285172)); #53892=EDGE_LOOP('',(#285173,#285174,#285175,#285176)); #53893=EDGE_LOOP('',(#285177,#285178,#285179,#285180)); #53894=EDGE_LOOP('',(#285181,#285182,#285183,#285184)); #53895=EDGE_LOOP('',(#285185,#285186,#285187,#285188)); #53896=EDGE_LOOP('',(#285189,#285190,#285191,#285192)); #53897=EDGE_LOOP('',(#285193,#285194,#285195,#285196)); #53898=EDGE_LOOP('',(#285197,#285198,#285199,#285200)); #53899=EDGE_LOOP('',(#285201,#285202,#285203,#285204)); #53900=EDGE_LOOP('',(#285205,#285206,#285207,#285208)); #53901=EDGE_LOOP('',(#285209,#285210,#285211,#285212)); #53902=EDGE_LOOP('',(#285213,#285214,#285215,#285216)); #53903=EDGE_LOOP('',(#285217,#285218,#285219,#285220)); #53904=EDGE_LOOP('',(#285221,#285222,#285223,#285224)); #53905=EDGE_LOOP('',(#285225,#285226,#285227,#285228)); #53906=EDGE_LOOP('',(#285229,#285230,#285231,#285232)); #53907=EDGE_LOOP('',(#285233,#285234,#285235,#285236)); #53908=EDGE_LOOP('',(#285237,#285238,#285239,#285240)); #53909=EDGE_LOOP('',(#285241,#285242,#285243,#285244)); #53910=EDGE_LOOP('',(#285245,#285246,#285247,#285248)); #53911=EDGE_LOOP('',(#285249,#285250,#285251,#285252)); #53912=EDGE_LOOP('',(#285253,#285254,#285255,#285256)); #53913=EDGE_LOOP('',(#285257,#285258,#285259,#285260)); #53914=EDGE_LOOP('',(#285261,#285262,#285263,#285264)); #53915=EDGE_LOOP('',(#285265,#285266,#285267,#285268)); #53916=EDGE_LOOP('',(#285269,#285270,#285271,#285272)); #53917=EDGE_LOOP('',(#285273,#285274,#285275,#285276)); #53918=EDGE_LOOP('',(#285277,#285278,#285279,#285280)); #53919=EDGE_LOOP('',(#285281,#285282,#285283,#285284)); #53920=EDGE_LOOP('',(#285285,#285286,#285287,#285288)); #53921=EDGE_LOOP('',(#285289,#285290,#285291,#285292)); #53922=EDGE_LOOP('',(#285293,#285294,#285295,#285296)); #53923=EDGE_LOOP('',(#285297,#285298,#285299,#285300)); #53924=EDGE_LOOP('',(#285301,#285302,#285303,#285304)); #53925=EDGE_LOOP('',(#285305,#285306,#285307,#285308)); #53926=EDGE_LOOP('',(#285309,#285310,#285311,#285312)); #53927=EDGE_LOOP('',(#285313,#285314,#285315,#285316)); #53928=EDGE_LOOP('',(#285317,#285318,#285319,#285320)); #53929=EDGE_LOOP('',(#285321,#285322,#285323,#285324)); #53930=EDGE_LOOP('',(#285325,#285326,#285327,#285328)); #53931=EDGE_LOOP('',(#285329,#285330,#285331,#285332)); #53932=EDGE_LOOP('',(#285333,#285334,#285335,#285336)); #53933=EDGE_LOOP('',(#285337,#285338,#285339,#285340)); #53934=EDGE_LOOP('',(#285341)); #53935=EDGE_LOOP('',(#285342)); #53936=EDGE_LOOP('',(#285343)); #53937=EDGE_LOOP('',(#285344)); #53938=EDGE_LOOP('',(#285345)); #53939=EDGE_LOOP('',(#285346)); #53940=EDGE_LOOP('',(#285347)); #53941=EDGE_LOOP('',(#285348)); #53942=EDGE_LOOP('',(#285349)); #53943=EDGE_LOOP('',(#285350)); #53944=EDGE_LOOP('',(#285351)); #53945=EDGE_LOOP('',(#285352)); #53946=EDGE_LOOP('',(#285353)); #53947=EDGE_LOOP('',(#285354)); #53948=EDGE_LOOP('',(#285355)); #53949=EDGE_LOOP('',(#285356,#285357,#285358,#285359)); #53950=EDGE_LOOP('',(#285360)); #53951=EDGE_LOOP('',(#285361)); #53952=EDGE_LOOP('',(#285362)); #53953=EDGE_LOOP('',(#285363)); #53954=EDGE_LOOP('',(#285364)); #53955=EDGE_LOOP('',(#285365)); #53956=EDGE_LOOP('',(#285366)); #53957=EDGE_LOOP('',(#285367)); #53958=EDGE_LOOP('',(#285368)); #53959=EDGE_LOOP('',(#285369)); #53960=EDGE_LOOP('',(#285370)); #53961=EDGE_LOOP('',(#285371)); #53962=EDGE_LOOP('',(#285372)); #53963=EDGE_LOOP('',(#285373)); #53964=EDGE_LOOP('',(#285374)); #53965=EDGE_LOOP('',(#285375,#285376,#285377,#285378)); #53966=EDGE_LOOP('',(#285379,#285380,#285381,#285382)); #53967=EDGE_LOOP('',(#285383,#285384,#285385,#285386)); #53968=EDGE_LOOP('',(#285387,#285388,#285389,#285390)); #53969=EDGE_LOOP('',(#285391,#285392,#285393,#285394)); #53970=EDGE_LOOP('',(#285395,#285396,#285397,#285398)); #53971=EDGE_LOOP('',(#285399,#285400,#285401,#285402)); #53972=EDGE_LOOP('',(#285403,#285404,#285405,#285406)); #53973=EDGE_LOOP('',(#285407,#285408,#285409,#285410)); #53974=EDGE_LOOP('',(#285411,#285412,#285413,#285414)); #53975=EDGE_LOOP('',(#285415,#285416,#285417,#285418)); #53976=EDGE_LOOP('',(#285419,#285420,#285421,#285422)); #53977=EDGE_LOOP('',(#285423,#285424,#285425,#285426)); #53978=EDGE_LOOP('',(#285427,#285428,#285429,#285430)); #53979=EDGE_LOOP('',(#285431,#285432,#285433,#285434)); #53980=EDGE_LOOP('',(#285435,#285436,#285437,#285438)); #53981=EDGE_LOOP('',(#285439,#285440,#285441,#285442)); #53982=EDGE_LOOP('',(#285443,#285444,#285445,#285446)); #53983=EDGE_LOOP('',(#285447,#285448,#285449,#285450)); #53984=EDGE_LOOP('',(#285451,#285452,#285453,#285454)); #53985=EDGE_LOOP('',(#285455,#285456,#285457,#285458)); #53986=EDGE_LOOP('',(#285459,#285460,#285461,#285462)); #53987=EDGE_LOOP('',(#285463,#285464,#285465,#285466)); #53988=EDGE_LOOP('',(#285467,#285468,#285469,#285470)); #53989=EDGE_LOOP('',(#285471,#285472,#285473,#285474)); #53990=EDGE_LOOP('',(#285475,#285476,#285477,#285478)); #53991=EDGE_LOOP('',(#285479,#285480,#285481,#285482)); #53992=EDGE_LOOP('',(#285483,#285484,#285485,#285486)); #53993=EDGE_LOOP('',(#285487,#285488,#285489,#285490)); #53994=EDGE_LOOP('',(#285491,#285492,#285493,#285494)); #53995=EDGE_LOOP('',(#285495,#285496,#285497,#285498)); #53996=EDGE_LOOP('',(#285499,#285500,#285501,#285502)); #53997=EDGE_LOOP('',(#285503,#285504,#285505,#285506)); #53998=EDGE_LOOP('',(#285507,#285508,#285509,#285510)); #53999=EDGE_LOOP('',(#285511,#285512,#285513,#285514)); #54000=EDGE_LOOP('',(#285515,#285516,#285517,#285518)); #54001=EDGE_LOOP('',(#285519,#285520,#285521,#285522)); #54002=EDGE_LOOP('',(#285523,#285524,#285525,#285526)); #54003=EDGE_LOOP('',(#285527,#285528,#285529,#285530)); #54004=EDGE_LOOP('',(#285531,#285532,#285533,#285534)); #54005=EDGE_LOOP('',(#285535,#285536,#285537,#285538)); #54006=EDGE_LOOP('',(#285539,#285540,#285541,#285542)); #54007=EDGE_LOOP('',(#285543)); #54008=EDGE_LOOP('',(#285544,#285545,#285546,#285547)); #54009=EDGE_LOOP('',(#285548)); #54010=EDGE_LOOP('',(#285549,#285550,#285551,#285552)); #54011=EDGE_LOOP('',(#285553,#285554,#285555,#285556)); #54012=EDGE_LOOP('',(#285557,#285558,#285559,#285560)); #54013=EDGE_LOOP('',(#285561,#285562,#285563,#285564)); #54014=EDGE_LOOP('',(#285565,#285566,#285567,#285568)); #54015=EDGE_LOOP('',(#285569,#285570,#285571,#285572)); #54016=EDGE_LOOP('',(#285573,#285574,#285575,#285576)); #54017=EDGE_LOOP('',(#285577)); #54018=EDGE_LOOP('',(#285578)); #54019=EDGE_LOOP('',(#285579,#285580,#285581,#285582)); #54020=EDGE_LOOP('',(#285583)); #54021=EDGE_LOOP('',(#285584)); #54022=EDGE_LOOP('',(#285585,#285586,#285587,#285588)); #54023=EDGE_LOOP('',(#285589,#285590,#285591,#285592)); #54024=EDGE_LOOP('',(#285593,#285594,#285595,#285596)); #54025=EDGE_LOOP('',(#285597,#285598,#285599,#285600)); #54026=EDGE_LOOP('',(#285601,#285602,#285603,#285604)); #54027=EDGE_LOOP('',(#285605,#285606,#285607,#285608)); #54028=EDGE_LOOP('',(#285609,#285610,#285611,#285612)); #54029=EDGE_LOOP('',(#285613)); #54030=EDGE_LOOP('',(#285614)); #54031=EDGE_LOOP('',(#285615,#285616,#285617,#285618)); #54032=EDGE_LOOP('',(#285619)); #54033=EDGE_LOOP('',(#285620)); #54034=EDGE_LOOP('',(#285621,#285622,#285623,#285624)); #54035=EDGE_LOOP('',(#285625,#285626,#285627,#285628)); #54036=EDGE_LOOP('',(#285629,#285630,#285631,#285632)); #54037=EDGE_LOOP('',(#285633,#285634,#285635,#285636)); #54038=EDGE_LOOP('',(#285637,#285638,#285639,#285640)); #54039=EDGE_LOOP('',(#285641,#285642,#285643,#285644)); #54040=EDGE_LOOP('',(#285645)); #54041=EDGE_LOOP('',(#285646,#285647,#285648,#285649)); #54042=EDGE_LOOP('',(#285650)); #54043=EDGE_LOOP('',(#285651,#285652,#285653,#285654)); #54044=EDGE_LOOP('',(#285655,#285656,#285657,#285658)); #54045=EDGE_LOOP('',(#285659,#285660,#285661,#285662)); #54046=EDGE_LOOP('',(#285663,#285664,#285665,#285666)); #54047=EDGE_LOOP('',(#285667,#285668,#285669,#285670)); #54048=EDGE_LOOP('',(#285671,#285672,#285673,#285674)); #54049=EDGE_LOOP('',(#285675,#285676,#285677,#285678)); #54050=EDGE_LOOP('',(#285679,#285680,#285681,#285682)); #54051=EDGE_LOOP('',(#285683,#285684,#285685,#285686)); #54052=EDGE_LOOP('',(#285687,#285688,#285689,#285690)); #54053=EDGE_LOOP('',(#285691,#285692,#285693,#285694)); #54054=EDGE_LOOP('',(#285695,#285696,#285697,#285698)); #54055=EDGE_LOOP('',(#285699,#285700,#285701,#285702)); #54056=EDGE_LOOP('',(#285703,#285704,#285705,#285706)); #54057=EDGE_LOOP('',(#285707,#285708,#285709,#285710)); #54058=EDGE_LOOP('',(#285711,#285712,#285713,#285714)); #54059=EDGE_LOOP('',(#285715,#285716,#285717,#285718)); #54060=EDGE_LOOP('',(#285719,#285720,#285721,#285722)); #54061=EDGE_LOOP('',(#285723,#285724,#285725,#285726)); #54062=EDGE_LOOP('',(#285727,#285728,#285729,#285730)); #54063=EDGE_LOOP('',(#285731,#285732,#285733,#285734)); #54064=EDGE_LOOP('',(#285735,#285736,#285737,#285738)); #54065=EDGE_LOOP('',(#285739,#285740,#285741,#285742)); #54066=EDGE_LOOP('',(#285743,#285744,#285745,#285746)); #54067=EDGE_LOOP('',(#285747,#285748,#285749,#285750)); #54068=EDGE_LOOP('',(#285751,#285752,#285753,#285754)); #54069=EDGE_LOOP('',(#285755,#285756,#285757,#285758)); #54070=EDGE_LOOP('',(#285759,#285760,#285761,#285762)); #54071=EDGE_LOOP('',(#285763,#285764,#285765,#285766)); #54072=EDGE_LOOP('',(#285767,#285768,#285769,#285770)); #54073=EDGE_LOOP('',(#285771,#285772,#285773,#285774)); #54074=EDGE_LOOP('',(#285775,#285776,#285777,#285778)); #54075=EDGE_LOOP('',(#285779,#285780,#285781,#285782)); #54076=EDGE_LOOP('',(#285783,#285784,#285785,#285786)); #54077=EDGE_LOOP('',(#285787,#285788,#285789,#285790)); #54078=EDGE_LOOP('',(#285791,#285792,#285793,#285794)); #54079=EDGE_LOOP('',(#285795,#285796,#285797,#285798)); #54080=EDGE_LOOP('',(#285799,#285800,#285801,#285802)); #54081=EDGE_LOOP('',(#285803,#285804,#285805,#285806)); #54082=EDGE_LOOP('',(#285807,#285808,#285809,#285810)); #54083=EDGE_LOOP('',(#285811,#285812,#285813,#285814)); #54084=EDGE_LOOP('',(#285815,#285816,#285817,#285818)); #54085=EDGE_LOOP('',(#285819,#285820,#285821,#285822)); #54086=EDGE_LOOP('',(#285823,#285824,#285825,#285826)); #54087=EDGE_LOOP('',(#285827,#285828,#285829,#285830)); #54088=EDGE_LOOP('',(#285831,#285832,#285833,#285834)); #54089=EDGE_LOOP('',(#285835,#285836,#285837,#285838)); #54090=EDGE_LOOP('',(#285839,#285840,#285841,#285842)); #54091=EDGE_LOOP('',(#285843,#285844,#285845,#285846)); #54092=EDGE_LOOP('',(#285847,#285848,#285849,#285850)); #54093=EDGE_LOOP('',(#285851,#285852,#285853,#285854)); #54094=EDGE_LOOP('',(#285855,#285856,#285857,#285858)); #54095=EDGE_LOOP('',(#285859,#285860,#285861,#285862)); #54096=EDGE_LOOP('',(#285863,#285864,#285865,#285866)); #54097=EDGE_LOOP('',(#285867,#285868,#285869,#285870)); #54098=EDGE_LOOP('',(#285871,#285872,#285873,#285874)); #54099=EDGE_LOOP('',(#285875,#285876,#285877,#285878)); #54100=EDGE_LOOP('',(#285879,#285880,#285881,#285882)); #54101=EDGE_LOOP('',(#285883,#285884,#285885,#285886)); #54102=EDGE_LOOP('',(#285887,#285888,#285889,#285890)); #54103=EDGE_LOOP('',(#285891,#285892,#285893,#285894)); #54104=EDGE_LOOP('',(#285895,#285896,#285897,#285898)); #54105=EDGE_LOOP('',(#285899,#285900,#285901,#285902)); #54106=EDGE_LOOP('',(#285903,#285904,#285905,#285906)); #54107=EDGE_LOOP('',(#285907,#285908,#285909,#285910)); #54108=EDGE_LOOP('',(#285911,#285912,#285913,#285914)); #54109=EDGE_LOOP('',(#285915,#285916,#285917,#285918)); #54110=EDGE_LOOP('',(#285919,#285920,#285921,#285922)); #54111=EDGE_LOOP('',(#285923,#285924,#285925,#285926)); #54112=EDGE_LOOP('',(#285927,#285928,#285929,#285930)); #54113=EDGE_LOOP('',(#285931,#285932,#285933,#285934)); #54114=EDGE_LOOP('',(#285935,#285936,#285937,#285938)); #54115=EDGE_LOOP('',(#285939,#285940,#285941,#285942)); #54116=EDGE_LOOP('',(#285943,#285944,#285945,#285946)); #54117=EDGE_LOOP('',(#285947,#285948,#285949,#285950)); #54118=EDGE_LOOP('',(#285951,#285952,#285953,#285954)); #54119=EDGE_LOOP('',(#285955,#285956,#285957,#285958)); #54120=EDGE_LOOP('',(#285959,#285960,#285961,#285962)); #54121=EDGE_LOOP('',(#285963,#285964,#285965,#285966)); #54122=EDGE_LOOP('',(#285967,#285968,#285969,#285970)); #54123=EDGE_LOOP('',(#285971,#285972,#285973,#285974)); #54124=EDGE_LOOP('',(#285975,#285976,#285977,#285978)); #54125=EDGE_LOOP('',(#285979,#285980,#285981,#285982)); #54126=EDGE_LOOP('',(#285983,#285984,#285985,#285986)); #54127=EDGE_LOOP('',(#285987,#285988,#285989,#285990)); #54128=EDGE_LOOP('',(#285991,#285992,#285993,#285994)); #54129=EDGE_LOOP('',(#285995,#285996,#285997,#285998)); #54130=EDGE_LOOP('',(#285999,#286000,#286001,#286002)); #54131=EDGE_LOOP('',(#286003,#286004,#286005,#286006)); #54132=EDGE_LOOP('',(#286007,#286008,#286009,#286010)); #54133=EDGE_LOOP('',(#286011,#286012,#286013,#286014)); #54134=EDGE_LOOP('',(#286015,#286016,#286017,#286018)); #54135=EDGE_LOOP('',(#286019,#286020,#286021,#286022)); #54136=EDGE_LOOP('',(#286023,#286024,#286025,#286026)); #54137=EDGE_LOOP('',(#286027,#286028,#286029,#286030)); #54138=EDGE_LOOP('',(#286031,#286032,#286033,#286034)); #54139=EDGE_LOOP('',(#286035,#286036,#286037,#286038)); #54140=EDGE_LOOP('',(#286039,#286040,#286041,#286042)); #54141=EDGE_LOOP('',(#286043,#286044,#286045,#286046)); #54142=EDGE_LOOP('',(#286047,#286048,#286049,#286050)); #54143=EDGE_LOOP('',(#286051,#286052,#286053,#286054)); #54144=EDGE_LOOP('',(#286055,#286056,#286057,#286058)); #54145=EDGE_LOOP('',(#286059,#286060,#286061,#286062)); #54146=EDGE_LOOP('',(#286063,#286064,#286065,#286066)); #54147=EDGE_LOOP('',(#286067,#286068,#286069,#286070)); #54148=EDGE_LOOP('',(#286071,#286072,#286073,#286074)); #54149=EDGE_LOOP('',(#286075,#286076,#286077,#286078)); #54150=EDGE_LOOP('',(#286079,#286080,#286081,#286082)); #54151=EDGE_LOOP('',(#286083,#286084,#286085,#286086)); #54152=EDGE_LOOP('',(#286087,#286088,#286089,#286090)); #54153=EDGE_LOOP('',(#286091,#286092,#286093,#286094)); #54154=EDGE_LOOP('',(#286095,#286096,#286097,#286098)); #54155=EDGE_LOOP('',(#286099,#286100,#286101,#286102)); #54156=EDGE_LOOP('',(#286103,#286104,#286105,#286106)); #54157=EDGE_LOOP('',(#286107,#286108,#286109,#286110)); #54158=EDGE_LOOP('',(#286111,#286112,#286113,#286114)); #54159=EDGE_LOOP('',(#286115,#286116,#286117,#286118)); #54160=EDGE_LOOP('',(#286119,#286120,#286121,#286122)); #54161=EDGE_LOOP('',(#286123,#286124,#286125,#286126)); #54162=EDGE_LOOP('',(#286127,#286128,#286129,#286130)); #54163=EDGE_LOOP('',(#286131,#286132,#286133,#286134)); #54164=EDGE_LOOP('',(#286135,#286136,#286137,#286138)); #54165=EDGE_LOOP('',(#286139,#286140,#286141,#286142)); #54166=EDGE_LOOP('',(#286143,#286144,#286145,#286146)); #54167=EDGE_LOOP('',(#286147,#286148,#286149,#286150)); #54168=EDGE_LOOP('',(#286151,#286152,#286153,#286154)); #54169=EDGE_LOOP('',(#286155,#286156,#286157,#286158)); #54170=EDGE_LOOP('',(#286159,#286160,#286161,#286162)); #54171=EDGE_LOOP('',(#286163,#286164,#286165,#286166)); #54172=EDGE_LOOP('',(#286167,#286168,#286169,#286170)); #54173=EDGE_LOOP('',(#286171,#286172,#286173,#286174)); #54174=EDGE_LOOP('',(#286175,#286176,#286177,#286178)); #54175=EDGE_LOOP('',(#286179,#286180,#286181,#286182)); #54176=EDGE_LOOP('',(#286183,#286184,#286185,#286186)); #54177=EDGE_LOOP('',(#286187,#286188,#286189,#286190)); #54178=EDGE_LOOP('',(#286191,#286192,#286193,#286194)); #54179=EDGE_LOOP('',(#286195,#286196,#286197,#286198)); #54180=EDGE_LOOP('',(#286199,#286200,#286201,#286202)); #54181=EDGE_LOOP('',(#286203,#286204,#286205,#286206)); #54182=EDGE_LOOP('',(#286207,#286208,#286209,#286210)); #54183=EDGE_LOOP('',(#286211,#286212,#286213,#286214)); #54184=EDGE_LOOP('',(#286215,#286216,#286217,#286218)); #54185=EDGE_LOOP('',(#286219,#286220,#286221,#286222)); #54186=EDGE_LOOP('',(#286223,#286224,#286225,#286226)); #54187=EDGE_LOOP('',(#286227,#286228,#286229,#286230)); #54188=EDGE_LOOP('',(#286231,#286232,#286233,#286234)); #54189=EDGE_LOOP('',(#286235,#286236,#286237,#286238)); #54190=EDGE_LOOP('',(#286239,#286240,#286241,#286242)); #54191=EDGE_LOOP('',(#286243,#286244,#286245,#286246)); #54192=EDGE_LOOP('',(#286247,#286248,#286249,#286250)); #54193=EDGE_LOOP('',(#286251,#286252,#286253,#286254)); #54194=EDGE_LOOP('',(#286255,#286256,#286257,#286258)); #54195=EDGE_LOOP('',(#286259,#286260,#286261,#286262)); #54196=EDGE_LOOP('',(#286263,#286264,#286265,#286266)); #54197=EDGE_LOOP('',(#286267,#286268,#286269,#286270)); #54198=EDGE_LOOP('',(#286271,#286272,#286273,#286274)); #54199=EDGE_LOOP('',(#286275,#286276,#286277,#286278)); #54200=EDGE_LOOP('',(#286279,#286280,#286281,#286282)); #54201=EDGE_LOOP('',(#286283,#286284,#286285,#286286)); #54202=EDGE_LOOP('',(#286287,#286288,#286289,#286290)); #54203=EDGE_LOOP('',(#286291,#286292,#286293,#286294)); #54204=EDGE_LOOP('',(#286295,#286296,#286297,#286298)); #54205=EDGE_LOOP('',(#286299,#286300,#286301,#286302)); #54206=EDGE_LOOP('',(#286303,#286304,#286305,#286306)); #54207=EDGE_LOOP('',(#286307,#286308,#286309,#286310)); #54208=EDGE_LOOP('',(#286311,#286312,#286313,#286314)); #54209=EDGE_LOOP('',(#286315,#286316,#286317,#286318)); #54210=EDGE_LOOP('',(#286319,#286320,#286321,#286322)); #54211=EDGE_LOOP('',(#286323,#286324,#286325,#286326)); #54212=EDGE_LOOP('',(#286327,#286328,#286329,#286330)); #54213=EDGE_LOOP('',(#286331,#286332,#286333,#286334)); #54214=EDGE_LOOP('',(#286335,#286336,#286337,#286338)); #54215=EDGE_LOOP('',(#286339,#286340,#286341,#286342)); #54216=EDGE_LOOP('',(#286343,#286344,#286345,#286346)); #54217=EDGE_LOOP('',(#286347,#286348,#286349,#286350)); #54218=EDGE_LOOP('',(#286351,#286352,#286353,#286354)); #54219=EDGE_LOOP('',(#286355,#286356,#286357,#286358)); #54220=EDGE_LOOP('',(#286359,#286360,#286361,#286362)); #54221=EDGE_LOOP('',(#286363,#286364,#286365,#286366)); #54222=EDGE_LOOP('',(#286367,#286368,#286369,#286370)); #54223=EDGE_LOOP('',(#286371,#286372,#286373,#286374)); #54224=EDGE_LOOP('',(#286375,#286376,#286377,#286378)); #54225=EDGE_LOOP('',(#286379,#286380,#286381,#286382)); #54226=EDGE_LOOP('',(#286383,#286384,#286385,#286386)); #54227=EDGE_LOOP('',(#286387,#286388,#286389,#286390)); #54228=EDGE_LOOP('',(#286391,#286392,#286393,#286394)); #54229=EDGE_LOOP('',(#286395,#286396,#286397,#286398)); #54230=EDGE_LOOP('',(#286399,#286400,#286401,#286402)); #54231=EDGE_LOOP('',(#286403,#286404,#286405,#286406)); #54232=EDGE_LOOP('',(#286407,#286408,#286409,#286410)); #54233=EDGE_LOOP('',(#286411,#286412,#286413,#286414)); #54234=EDGE_LOOP('',(#286415,#286416,#286417,#286418)); #54235=EDGE_LOOP('',(#286419,#286420,#286421,#286422)); #54236=EDGE_LOOP('',(#286423,#286424,#286425,#286426)); #54237=EDGE_LOOP('',(#286427,#286428,#286429,#286430)); #54238=EDGE_LOOP('',(#286431,#286432,#286433,#286434)); #54239=EDGE_LOOP('',(#286435,#286436,#286437,#286438)); #54240=EDGE_LOOP('',(#286439,#286440,#286441,#286442)); #54241=EDGE_LOOP('',(#286443,#286444,#286445,#286446)); #54242=EDGE_LOOP('',(#286447,#286448,#286449,#286450)); #54243=EDGE_LOOP('',(#286451,#286452,#286453,#286454)); #54244=EDGE_LOOP('',(#286455,#286456,#286457,#286458)); #54245=EDGE_LOOP('',(#286459,#286460,#286461,#286462)); #54246=EDGE_LOOP('',(#286463,#286464,#286465,#286466)); #54247=EDGE_LOOP('',(#286467,#286468,#286469,#286470)); #54248=EDGE_LOOP('',(#286471,#286472,#286473,#286474)); #54249=EDGE_LOOP('',(#286475,#286476,#286477,#286478)); #54250=EDGE_LOOP('',(#286479,#286480,#286481,#286482)); #54251=EDGE_LOOP('',(#286483,#286484,#286485,#286486)); #54252=EDGE_LOOP('',(#286487,#286488,#286489,#286490)); #54253=EDGE_LOOP('',(#286491,#286492,#286493,#286494)); #54254=EDGE_LOOP('',(#286495,#286496,#286497,#286498)); #54255=EDGE_LOOP('',(#286499,#286500,#286501,#286502)); #54256=EDGE_LOOP('',(#286503,#286504,#286505,#286506)); #54257=EDGE_LOOP('',(#286507,#286508,#286509,#286510)); #54258=EDGE_LOOP('',(#286511,#286512,#286513,#286514)); #54259=EDGE_LOOP('',(#286515,#286516,#286517,#286518)); #54260=EDGE_LOOP('',(#286519,#286520,#286521,#286522)); #54261=EDGE_LOOP('',(#286523,#286524,#286525,#286526)); #54262=EDGE_LOOP('',(#286527,#286528,#286529,#286530)); #54263=EDGE_LOOP('',(#286531,#286532,#286533,#286534)); #54264=EDGE_LOOP('',(#286535,#286536,#286537,#286538)); #54265=EDGE_LOOP('',(#286539,#286540,#286541,#286542)); #54266=EDGE_LOOP('',(#286543,#286544,#286545,#286546)); #54267=EDGE_LOOP('',(#286547,#286548,#286549,#286550)); #54268=EDGE_LOOP('',(#286551,#286552,#286553,#286554)); #54269=EDGE_LOOP('',(#286555,#286556,#286557,#286558)); #54270=EDGE_LOOP('',(#286559,#286560,#286561,#286562)); #54271=EDGE_LOOP('',(#286563,#286564,#286565,#286566)); #54272=EDGE_LOOP('',(#286567,#286568,#286569,#286570)); #54273=EDGE_LOOP('',(#286571,#286572,#286573,#286574)); #54274=EDGE_LOOP('',(#286575,#286576,#286577,#286578)); #54275=EDGE_LOOP('',(#286579,#286580,#286581,#286582)); #54276=EDGE_LOOP('',(#286583,#286584,#286585,#286586)); #54277=EDGE_LOOP('',(#286587,#286588,#286589,#286590)); #54278=EDGE_LOOP('',(#286591,#286592,#286593,#286594)); #54279=EDGE_LOOP('',(#286595,#286596,#286597,#286598)); #54280=EDGE_LOOP('',(#286599,#286600,#286601,#286602)); #54281=EDGE_LOOP('',(#286603,#286604,#286605,#286606)); #54282=EDGE_LOOP('',(#286607,#286608,#286609,#286610)); #54283=EDGE_LOOP('',(#286611,#286612,#286613,#286614)); #54284=EDGE_LOOP('',(#286615,#286616,#286617,#286618)); #54285=EDGE_LOOP('',(#286619,#286620,#286621,#286622)); #54286=EDGE_LOOP('',(#286623,#286624,#286625,#286626)); #54287=EDGE_LOOP('',(#286627,#286628,#286629,#286630)); #54288=EDGE_LOOP('',(#286631,#286632,#286633,#286634)); #54289=EDGE_LOOP('',(#286635,#286636,#286637,#286638)); #54290=EDGE_LOOP('',(#286639,#286640,#286641,#286642)); #54291=EDGE_LOOP('',(#286643,#286644,#286645,#286646)); #54292=EDGE_LOOP('',(#286647,#286648,#286649,#286650)); #54293=EDGE_LOOP('',(#286651,#286652,#286653,#286654)); #54294=EDGE_LOOP('',(#286655,#286656,#286657,#286658)); #54295=EDGE_LOOP('',(#286659,#286660,#286661,#286662)); #54296=EDGE_LOOP('',(#286663,#286664,#286665,#286666)); #54297=EDGE_LOOP('',(#286667,#286668,#286669,#286670)); #54298=EDGE_LOOP('',(#286671,#286672,#286673,#286674)); #54299=EDGE_LOOP('',(#286675,#286676,#286677,#286678)); #54300=EDGE_LOOP('',(#286679,#286680,#286681,#286682)); #54301=EDGE_LOOP('',(#286683,#286684,#286685,#286686)); #54302=EDGE_LOOP('',(#286687,#286688,#286689,#286690)); #54303=EDGE_LOOP('',(#286691,#286692,#286693,#286694)); #54304=EDGE_LOOP('',(#286695,#286696,#286697,#286698)); #54305=EDGE_LOOP('',(#286699,#286700,#286701,#286702)); #54306=EDGE_LOOP('',(#286703,#286704,#286705,#286706)); #54307=EDGE_LOOP('',(#286707,#286708,#286709,#286710)); #54308=EDGE_LOOP('',(#286711,#286712,#286713,#286714)); #54309=EDGE_LOOP('',(#286715,#286716,#286717,#286718)); #54310=EDGE_LOOP('',(#286719,#286720,#286721,#286722)); #54311=EDGE_LOOP('',(#286723,#286724,#286725,#286726)); #54312=EDGE_LOOP('',(#286727,#286728,#286729,#286730)); #54313=EDGE_LOOP('',(#286731,#286732,#286733,#286734)); #54314=EDGE_LOOP('',(#286735,#286736,#286737,#286738)); #54315=EDGE_LOOP('',(#286739,#286740,#286741,#286742)); #54316=EDGE_LOOP('',(#286743,#286744,#286745,#286746)); #54317=EDGE_LOOP('',(#286747,#286748,#286749,#286750)); #54318=EDGE_LOOP('',(#286751,#286752,#286753,#286754)); #54319=EDGE_LOOP('',(#286755,#286756,#286757,#286758)); #54320=EDGE_LOOP('',(#286759,#286760,#286761,#286762)); #54321=EDGE_LOOP('',(#286763,#286764,#286765,#286766)); #54322=EDGE_LOOP('',(#286767,#286768,#286769,#286770)); #54323=EDGE_LOOP('',(#286771,#286772,#286773,#286774)); #54324=EDGE_LOOP('',(#286775,#286776,#286777,#286778)); #54325=EDGE_LOOP('',(#286779,#286780,#286781,#286782)); #54326=EDGE_LOOP('',(#286783,#286784,#286785,#286786)); #54327=EDGE_LOOP('',(#286787,#286788,#286789,#286790)); #54328=EDGE_LOOP('',(#286791,#286792,#286793,#286794)); #54329=EDGE_LOOP('',(#286795,#286796,#286797,#286798)); #54330=EDGE_LOOP('',(#286799,#286800,#286801,#286802)); #54331=EDGE_LOOP('',(#286803,#286804,#286805,#286806)); #54332=EDGE_LOOP('',(#286807,#286808,#286809,#286810)); #54333=EDGE_LOOP('',(#286811,#286812,#286813,#286814)); #54334=EDGE_LOOP('',(#286815,#286816,#286817,#286818)); #54335=EDGE_LOOP('',(#286819,#286820,#286821,#286822)); #54336=EDGE_LOOP('',(#286823,#286824,#286825,#286826)); #54337=EDGE_LOOP('',(#286827,#286828,#286829,#286830)); #54338=EDGE_LOOP('',(#286831,#286832,#286833,#286834)); #54339=EDGE_LOOP('',(#286835,#286836,#286837,#286838)); #54340=EDGE_LOOP('',(#286839,#286840,#286841,#286842)); #54341=EDGE_LOOP('',(#286843,#286844,#286845,#286846)); #54342=EDGE_LOOP('',(#286847,#286848,#286849,#286850)); #54343=EDGE_LOOP('',(#286851,#286852,#286853,#286854)); #54344=EDGE_LOOP('',(#286855,#286856,#286857,#286858)); #54345=EDGE_LOOP('',(#286859,#286860,#286861,#286862)); #54346=EDGE_LOOP('',(#286863,#286864,#286865,#286866)); #54347=EDGE_LOOP('',(#286867,#286868,#286869,#286870)); #54348=EDGE_LOOP('',(#286871,#286872,#286873,#286874)); #54349=EDGE_LOOP('',(#286875,#286876,#286877,#286878)); #54350=EDGE_LOOP('',(#286879,#286880,#286881,#286882)); #54351=EDGE_LOOP('',(#286883,#286884,#286885,#286886)); #54352=EDGE_LOOP('',(#286887,#286888,#286889,#286890)); #54353=EDGE_LOOP('',(#286891,#286892,#286893,#286894)); #54354=EDGE_LOOP('',(#286895,#286896,#286897,#286898)); #54355=EDGE_LOOP('',(#286899,#286900,#286901,#286902)); #54356=EDGE_LOOP('',(#286903,#286904,#286905,#286906)); #54357=EDGE_LOOP('',(#286907,#286908,#286909,#286910)); #54358=EDGE_LOOP('',(#286911,#286912,#286913,#286914)); #54359=EDGE_LOOP('',(#286915,#286916,#286917,#286918)); #54360=EDGE_LOOP('',(#286919,#286920,#286921,#286922)); #54361=EDGE_LOOP('',(#286923,#286924,#286925,#286926)); #54362=EDGE_LOOP('',(#286927,#286928,#286929,#286930)); #54363=EDGE_LOOP('',(#286931,#286932,#286933,#286934)); #54364=EDGE_LOOP('',(#286935,#286936,#286937,#286938)); #54365=EDGE_LOOP('',(#286939,#286940,#286941,#286942)); #54366=EDGE_LOOP('',(#286943,#286944,#286945,#286946)); #54367=EDGE_LOOP('',(#286947,#286948,#286949,#286950)); #54368=EDGE_LOOP('',(#286951,#286952,#286953,#286954)); #54369=EDGE_LOOP('',(#286955,#286956,#286957,#286958)); #54370=EDGE_LOOP('',(#286959,#286960,#286961,#286962)); #54371=EDGE_LOOP('',(#286963,#286964,#286965,#286966)); #54372=EDGE_LOOP('',(#286967,#286968,#286969,#286970)); #54373=EDGE_LOOP('',(#286971,#286972,#286973,#286974)); #54374=EDGE_LOOP('',(#286975,#286976,#286977,#286978)); #54375=EDGE_LOOP('',(#286979,#286980,#286981,#286982)); #54376=EDGE_LOOP('',(#286983,#286984,#286985,#286986)); #54377=EDGE_LOOP('',(#286987,#286988,#286989,#286990)); #54378=EDGE_LOOP('',(#286991,#286992,#286993,#286994)); #54379=EDGE_LOOP('',(#286995)); #54380=EDGE_LOOP('',(#286996)); #54381=EDGE_LOOP('',(#286997)); #54382=EDGE_LOOP('',(#286998)); #54383=EDGE_LOOP('',(#286999)); #54384=EDGE_LOOP('',(#287000)); #54385=EDGE_LOOP('',(#287001)); #54386=EDGE_LOOP('',(#287002)); #54387=EDGE_LOOP('',(#287003)); #54388=EDGE_LOOP('',(#287004)); #54389=EDGE_LOOP('',(#287005)); #54390=EDGE_LOOP('',(#287006)); #54391=EDGE_LOOP('',(#287007)); #54392=EDGE_LOOP('',(#287008)); #54393=EDGE_LOOP('',(#287009)); #54394=EDGE_LOOP('',(#287010)); #54395=EDGE_LOOP('',(#287011)); #54396=EDGE_LOOP('',(#287012)); #54397=EDGE_LOOP('',(#287013)); #54398=EDGE_LOOP('',(#287014)); #54399=EDGE_LOOP('',(#287015)); #54400=EDGE_LOOP('',(#287016)); #54401=EDGE_LOOP('',(#287017)); #54402=EDGE_LOOP('',(#287018)); #54403=EDGE_LOOP('',(#287019)); #54404=EDGE_LOOP('',(#287020)); #54405=EDGE_LOOP('',(#287021)); #54406=EDGE_LOOP('',(#287022)); #54407=EDGE_LOOP('',(#287023)); #54408=EDGE_LOOP('',(#287024)); #54409=EDGE_LOOP('',(#287025)); #54410=EDGE_LOOP('',(#287026)); #54411=EDGE_LOOP('',(#287027)); #54412=EDGE_LOOP('',(#287028)); #54413=EDGE_LOOP('',(#287029)); #54414=EDGE_LOOP('',(#287030)); #54415=EDGE_LOOP('',(#287031)); #54416=EDGE_LOOP('',(#287032)); #54417=EDGE_LOOP('',(#287033)); #54418=EDGE_LOOP('',(#287034)); #54419=EDGE_LOOP('',(#287035)); #54420=EDGE_LOOP('',(#287036)); #54421=EDGE_LOOP('',(#287037)); #54422=EDGE_LOOP('',(#287038)); #54423=EDGE_LOOP('',(#287039)); #54424=EDGE_LOOP('',(#287040)); #54425=EDGE_LOOP('',(#287041)); #54426=EDGE_LOOP('',(#287042)); #54427=EDGE_LOOP('',(#287043)); #54428=EDGE_LOOP('',(#287044)); #54429=EDGE_LOOP('',(#287045)); #54430=EDGE_LOOP('',(#287046)); #54431=EDGE_LOOP('',(#287047)); #54432=EDGE_LOOP('',(#287048)); #54433=EDGE_LOOP('',(#287049)); #54434=EDGE_LOOP('',(#287050)); #54435=EDGE_LOOP('',(#287051)); #54436=EDGE_LOOP('',(#287052)); #54437=EDGE_LOOP('',(#287053)); #54438=EDGE_LOOP('',(#287054)); #54439=EDGE_LOOP('',(#287055)); #54440=EDGE_LOOP('',(#287056)); #54441=EDGE_LOOP('',(#287057)); #54442=EDGE_LOOP('',(#287058)); #54443=EDGE_LOOP('',(#287059)); #54444=EDGE_LOOP('',(#287060)); #54445=EDGE_LOOP('',(#287061)); #54446=EDGE_LOOP('',(#287062)); #54447=EDGE_LOOP('',(#287063)); #54448=EDGE_LOOP('',(#287064)); #54449=EDGE_LOOP('',(#287065)); #54450=EDGE_LOOP('',(#287066)); #54451=EDGE_LOOP('',(#287067)); #54452=EDGE_LOOP('',(#287068)); #54453=EDGE_LOOP('',(#287069)); #54454=EDGE_LOOP('',(#287070)); #54455=EDGE_LOOP('',(#287071)); #54456=EDGE_LOOP('',(#287072)); #54457=EDGE_LOOP('',(#287073)); #54458=EDGE_LOOP('',(#287074)); #54459=EDGE_LOOP('',(#287075)); #54460=EDGE_LOOP('',(#287076)); #54461=EDGE_LOOP('',(#287077)); #54462=EDGE_LOOP('',(#287078)); #54463=EDGE_LOOP('',(#287079)); #54464=EDGE_LOOP('',(#287080)); #54465=EDGE_LOOP('',(#287081)); #54466=EDGE_LOOP('',(#287082)); #54467=EDGE_LOOP('',(#287083)); #54468=EDGE_LOOP('',(#287084)); #54469=EDGE_LOOP('',(#287085)); #54470=EDGE_LOOP('',(#287086)); #54471=EDGE_LOOP('',(#287087)); #54472=EDGE_LOOP('',(#287088)); #54473=EDGE_LOOP('',(#287089)); #54474=EDGE_LOOP('',(#287090)); #54475=EDGE_LOOP('',(#287091)); #54476=EDGE_LOOP('',(#287092)); #54477=EDGE_LOOP('',(#287093)); #54478=EDGE_LOOP('',(#287094)); #54479=EDGE_LOOP('',(#287095)); #54480=EDGE_LOOP('',(#287096)); #54481=EDGE_LOOP('',(#287097)); #54482=EDGE_LOOP('',(#287098)); #54483=EDGE_LOOP('',(#287099)); #54484=EDGE_LOOP('',(#287100)); #54485=EDGE_LOOP('',(#287101)); #54486=EDGE_LOOP('',(#287102)); #54487=EDGE_LOOP('',(#287103)); #54488=EDGE_LOOP('',(#287104)); #54489=EDGE_LOOP('',(#287105)); #54490=EDGE_LOOP('',(#287106)); #54491=EDGE_LOOP('',(#287107)); #54492=EDGE_LOOP('',(#287108)); #54493=EDGE_LOOP('',(#287109)); #54494=EDGE_LOOP('',(#287110)); #54495=EDGE_LOOP('',(#287111)); #54496=EDGE_LOOP('',(#287112)); #54497=EDGE_LOOP('',(#287113)); #54498=EDGE_LOOP('',(#287114)); #54499=EDGE_LOOP('',(#287115)); #54500=EDGE_LOOP('',(#287116)); #54501=EDGE_LOOP('',(#287117)); #54502=EDGE_LOOP('',(#287118)); #54503=EDGE_LOOP('',(#287119)); #54504=EDGE_LOOP('',(#287120)); #54505=EDGE_LOOP('',(#287121)); #54506=EDGE_LOOP('',(#287122)); #54507=EDGE_LOOP('',(#287123)); #54508=EDGE_LOOP('',(#287124)); #54509=EDGE_LOOP('',(#287125)); #54510=EDGE_LOOP('',(#287126)); #54511=EDGE_LOOP('',(#287127)); #54512=EDGE_LOOP('',(#287128)); #54513=EDGE_LOOP('',(#287129)); #54514=EDGE_LOOP('',(#287130)); #54515=EDGE_LOOP('',(#287131)); #54516=EDGE_LOOP('',(#287132)); #54517=EDGE_LOOP('',(#287133)); #54518=EDGE_LOOP('',(#287134)); #54519=EDGE_LOOP('',(#287135)); #54520=EDGE_LOOP('',(#287136)); #54521=EDGE_LOOP('',(#287137)); #54522=EDGE_LOOP('',(#287138)); #54523=EDGE_LOOP('',(#287139)); #54524=EDGE_LOOP('',(#287140)); #54525=EDGE_LOOP('',(#287141)); #54526=EDGE_LOOP('',(#287142)); #54527=EDGE_LOOP('',(#287143)); #54528=EDGE_LOOP('',(#287144)); #54529=EDGE_LOOP('',(#287145)); #54530=EDGE_LOOP('',(#287146)); #54531=EDGE_LOOP('',(#287147)); #54532=EDGE_LOOP('',(#287148)); #54533=EDGE_LOOP('',(#287149)); #54534=EDGE_LOOP('',(#287150)); #54535=EDGE_LOOP('',(#287151)); #54536=EDGE_LOOP('',(#287152)); #54537=EDGE_LOOP('',(#287153)); #54538=EDGE_LOOP('',(#287154)); #54539=EDGE_LOOP('',(#287155)); #54540=EDGE_LOOP('',(#287156)); #54541=EDGE_LOOP('',(#287157)); #54542=EDGE_LOOP('',(#287158)); #54543=EDGE_LOOP('',(#287159,#287160,#287161,#287162)); #54544=EDGE_LOOP('',(#287163)); #54545=EDGE_LOOP('',(#287164,#287165,#287166,#287167)); #54546=EDGE_LOOP('',(#287168)); #54547=EDGE_LOOP('',(#287169)); #54548=EDGE_LOOP('',(#287170)); #54549=EDGE_LOOP('',(#287171,#287172,#287173,#287174)); #54550=EDGE_LOOP('',(#287175)); #54551=EDGE_LOOP('',(#287176)); #54552=EDGE_LOOP('',(#287177)); #54553=EDGE_LOOP('',(#287178)); #54554=EDGE_LOOP('',(#287179)); #54555=EDGE_LOOP('',(#287180)); #54556=EDGE_LOOP('',(#287181)); #54557=EDGE_LOOP('',(#287182)); #54558=EDGE_LOOP('',(#287183)); #54559=EDGE_LOOP('',(#287184)); #54560=EDGE_LOOP('',(#287185,#287186,#287187,#287188)); #54561=EDGE_LOOP('',(#287189)); #54562=EDGE_LOOP('',(#287190,#287191,#287192,#287193)); #54563=EDGE_LOOP('',(#287194)); #54564=EDGE_LOOP('',(#287195,#287196,#287197,#287198)); #54565=EDGE_LOOP('',(#287199)); #54566=EDGE_LOOP('',(#287200)); #54567=EDGE_LOOP('',(#287201)); #54568=EDGE_LOOP('',(#287202)); #54569=EDGE_LOOP('',(#287203)); #54570=EDGE_LOOP('',(#287204)); #54571=EDGE_LOOP('',(#287205)); #54572=EDGE_LOOP('',(#287206)); #54573=EDGE_LOOP('',(#287207)); #54574=EDGE_LOOP('',(#287208)); #54575=EDGE_LOOP('',(#287209)); #54576=EDGE_LOOP('',(#287210)); #54577=EDGE_LOOP('',(#287211)); #54578=EDGE_LOOP('',(#287212)); #54579=EDGE_LOOP('',(#287213)); #54580=EDGE_LOOP('',(#287214)); #54581=EDGE_LOOP('',(#287215)); #54582=EDGE_LOOP('',(#287216)); #54583=EDGE_LOOP('',(#287217)); #54584=EDGE_LOOP('',(#287218)); #54585=EDGE_LOOP('',(#287219)); #54586=EDGE_LOOP('',(#287220)); #54587=EDGE_LOOP('',(#287221)); #54588=EDGE_LOOP('',(#287222)); #54589=EDGE_LOOP('',(#287223)); #54590=EDGE_LOOP('',(#287224)); #54591=EDGE_LOOP('',(#287225)); #54592=EDGE_LOOP('',(#287226)); #54593=EDGE_LOOP('',(#287227)); #54594=EDGE_LOOP('',(#287228)); #54595=EDGE_LOOP('',(#287229)); #54596=EDGE_LOOP('',(#287230)); #54597=EDGE_LOOP('',(#287231)); #54598=EDGE_LOOP('',(#287232)); #54599=EDGE_LOOP('',(#287233)); #54600=EDGE_LOOP('',(#287234)); #54601=EDGE_LOOP('',(#287235)); #54602=EDGE_LOOP('',(#287236)); #54603=EDGE_LOOP('',(#287237)); #54604=EDGE_LOOP('',(#287238)); #54605=EDGE_LOOP('',(#287239)); #54606=EDGE_LOOP('',(#287240)); #54607=EDGE_LOOP('',(#287241)); #54608=EDGE_LOOP('',(#287242)); #54609=EDGE_LOOP('',(#287243)); #54610=EDGE_LOOP('',(#287244)); #54611=EDGE_LOOP('',(#287245)); #54612=EDGE_LOOP('',(#287246)); #54613=EDGE_LOOP('',(#287247)); #54614=EDGE_LOOP('',(#287248)); #54615=EDGE_LOOP('',(#287249)); #54616=EDGE_LOOP('',(#287250)); #54617=EDGE_LOOP('',(#287251)); #54618=EDGE_LOOP('',(#287252)); #54619=EDGE_LOOP('',(#287253)); #54620=EDGE_LOOP('',(#287254)); #54621=EDGE_LOOP('',(#287255)); #54622=EDGE_LOOP('',(#287256)); #54623=EDGE_LOOP('',(#287257)); #54624=EDGE_LOOP('',(#287258)); #54625=EDGE_LOOP('',(#287259)); #54626=EDGE_LOOP('',(#287260)); #54627=EDGE_LOOP('',(#287261)); #54628=EDGE_LOOP('',(#287262)); #54629=EDGE_LOOP('',(#287263)); #54630=EDGE_LOOP('',(#287264)); #54631=EDGE_LOOP('',(#287265)); #54632=EDGE_LOOP('',(#287266)); #54633=EDGE_LOOP('',(#287267)); #54634=EDGE_LOOP('',(#287268)); #54635=EDGE_LOOP('',(#287269)); #54636=EDGE_LOOP('',(#287270)); #54637=EDGE_LOOP('',(#287271)); #54638=EDGE_LOOP('',(#287272)); #54639=EDGE_LOOP('',(#287273)); #54640=EDGE_LOOP('',(#287274)); #54641=EDGE_LOOP('',(#287275)); #54642=EDGE_LOOP('',(#287276)); #54643=EDGE_LOOP('',(#287277)); #54644=EDGE_LOOP('',(#287278)); #54645=EDGE_LOOP('',(#287279)); #54646=EDGE_LOOP('',(#287280)); #54647=EDGE_LOOP('',(#287281)); #54648=EDGE_LOOP('',(#287282)); #54649=EDGE_LOOP('',(#287283)); #54650=EDGE_LOOP('',(#287284)); #54651=EDGE_LOOP('',(#287285)); #54652=EDGE_LOOP('',(#287286)); #54653=EDGE_LOOP('',(#287287)); #54654=EDGE_LOOP('',(#287288)); #54655=EDGE_LOOP('',(#287289)); #54656=EDGE_LOOP('',(#287290)); #54657=EDGE_LOOP('',(#287291)); #54658=EDGE_LOOP('',(#287292)); #54659=EDGE_LOOP('',(#287293)); #54660=EDGE_LOOP('',(#287294)); #54661=EDGE_LOOP('',(#287295)); #54662=EDGE_LOOP('',(#287296)); #54663=EDGE_LOOP('',(#287297)); #54664=EDGE_LOOP('',(#287298)); #54665=EDGE_LOOP('',(#287299)); #54666=EDGE_LOOP('',(#287300)); #54667=EDGE_LOOP('',(#287301)); #54668=EDGE_LOOP('',(#287302)); #54669=EDGE_LOOP('',(#287303)); #54670=EDGE_LOOP('',(#287304)); #54671=EDGE_LOOP('',(#287305)); #54672=EDGE_LOOP('',(#287306)); #54673=EDGE_LOOP('',(#287307)); #54674=EDGE_LOOP('',(#287308)); #54675=EDGE_LOOP('',(#287309)); #54676=EDGE_LOOP('',(#287310)); #54677=EDGE_LOOP('',(#287311)); #54678=EDGE_LOOP('',(#287312)); #54679=EDGE_LOOP('',(#287313)); #54680=EDGE_LOOP('',(#287314)); #54681=EDGE_LOOP('',(#287315)); #54682=EDGE_LOOP('',(#287316)); #54683=EDGE_LOOP('',(#287317)); #54684=EDGE_LOOP('',(#287318)); #54685=EDGE_LOOP('',(#287319)); #54686=EDGE_LOOP('',(#287320)); #54687=EDGE_LOOP('',(#287321)); #54688=EDGE_LOOP('',(#287322)); #54689=EDGE_LOOP('',(#287323)); #54690=EDGE_LOOP('',(#287324)); #54691=EDGE_LOOP('',(#287325)); #54692=EDGE_LOOP('',(#287326)); #54693=EDGE_LOOP('',(#287327)); #54694=EDGE_LOOP('',(#287328)); #54695=EDGE_LOOP('',(#287329)); #54696=EDGE_LOOP('',(#287330)); #54697=EDGE_LOOP('',(#287331)); #54698=EDGE_LOOP('',(#287332)); #54699=EDGE_LOOP('',(#287333)); #54700=EDGE_LOOP('',(#287334)); #54701=EDGE_LOOP('',(#287335)); #54702=EDGE_LOOP('',(#287336)); #54703=EDGE_LOOP('',(#287337)); #54704=EDGE_LOOP('',(#287338)); #54705=EDGE_LOOP('',(#287339)); #54706=EDGE_LOOP('',(#287340)); #54707=EDGE_LOOP('',(#287341)); #54708=EDGE_LOOP('',(#287342)); #54709=EDGE_LOOP('',(#287343)); #54710=EDGE_LOOP('',(#287344)); #54711=EDGE_LOOP('',(#287345)); #54712=EDGE_LOOP('',(#287346)); #54713=EDGE_LOOP('',(#287347)); #54714=EDGE_LOOP('',(#287348)); #54715=EDGE_LOOP('',(#287349)); #54716=EDGE_LOOP('',(#287350)); #54717=EDGE_LOOP('',(#287351)); #54718=EDGE_LOOP('',(#287352)); #54719=EDGE_LOOP('',(#287353)); #54720=EDGE_LOOP('',(#287354)); #54721=EDGE_LOOP('',(#287355)); #54722=EDGE_LOOP('',(#287356)); #54723=EDGE_LOOP('',(#287357)); #54724=EDGE_LOOP('',(#287358)); #54725=EDGE_LOOP('',(#287359)); #54726=EDGE_LOOP('',(#287360)); #54727=EDGE_LOOP('',(#287361)); #54728=EDGE_LOOP('',(#287362)); #54729=EDGE_LOOP('',(#287363)); #54730=EDGE_LOOP('',(#287364)); #54731=EDGE_LOOP('',(#287365)); #54732=EDGE_LOOP('',(#287366)); #54733=EDGE_LOOP('',(#287367)); #54734=EDGE_LOOP('',(#287368)); #54735=EDGE_LOOP('',(#287369)); #54736=EDGE_LOOP('',(#287370)); #54737=EDGE_LOOP('',(#287371)); #54738=EDGE_LOOP('',(#287372)); #54739=EDGE_LOOP('',(#287373)); #54740=EDGE_LOOP('',(#287374)); #54741=EDGE_LOOP('',(#287375)); #54742=EDGE_LOOP('',(#287376)); #54743=EDGE_LOOP('',(#287377)); #54744=EDGE_LOOP('',(#287378)); #54745=EDGE_LOOP('',(#287379)); #54746=EDGE_LOOP('',(#287380)); #54747=EDGE_LOOP('',(#287381)); #54748=EDGE_LOOP('',(#287382)); #54749=EDGE_LOOP('',(#287383)); #54750=EDGE_LOOP('',(#287384)); #54751=EDGE_LOOP('',(#287385)); #54752=EDGE_LOOP('',(#287386)); #54753=EDGE_LOOP('',(#287387)); #54754=EDGE_LOOP('',(#287388)); #54755=EDGE_LOOP('',(#287389)); #54756=EDGE_LOOP('',(#287390)); #54757=EDGE_LOOP('',(#287391)); #54758=EDGE_LOOP('',(#287392)); #54759=EDGE_LOOP('',(#287393)); #54760=EDGE_LOOP('',(#287394)); #54761=EDGE_LOOP('',(#287395)); #54762=EDGE_LOOP('',(#287396)); #54763=EDGE_LOOP('',(#287397)); #54764=EDGE_LOOP('',(#287398)); #54765=EDGE_LOOP('',(#287399)); #54766=EDGE_LOOP('',(#287400)); #54767=EDGE_LOOP('',(#287401)); #54768=EDGE_LOOP('',(#287402)); #54769=EDGE_LOOP('',(#287403)); #54770=EDGE_LOOP('',(#287404)); #54771=EDGE_LOOP('',(#287405)); #54772=EDGE_LOOP('',(#287406)); #54773=EDGE_LOOP('',(#287407)); #54774=EDGE_LOOP('',(#287408)); #54775=EDGE_LOOP('',(#287409)); #54776=EDGE_LOOP('',(#287410)); #54777=EDGE_LOOP('',(#287411)); #54778=EDGE_LOOP('',(#287412)); #54779=EDGE_LOOP('',(#287413)); #54780=EDGE_LOOP('',(#287414)); #54781=EDGE_LOOP('',(#287415)); #54782=EDGE_LOOP('',(#287416)); #54783=EDGE_LOOP('',(#287417)); #54784=EDGE_LOOP('',(#287418)); #54785=EDGE_LOOP('',(#287419)); #54786=EDGE_LOOP('',(#287420)); #54787=EDGE_LOOP('',(#287421)); #54788=EDGE_LOOP('',(#287422)); #54789=EDGE_LOOP('',(#287423)); #54790=EDGE_LOOP('',(#287424)); #54791=EDGE_LOOP('',(#287425)); #54792=EDGE_LOOP('',(#287426)); #54793=EDGE_LOOP('',(#287427)); #54794=EDGE_LOOP('',(#287428)); #54795=EDGE_LOOP('',(#287429)); #54796=EDGE_LOOP('',(#287430)); #54797=EDGE_LOOP('',(#287431)); #54798=EDGE_LOOP('',(#287432)); #54799=EDGE_LOOP('',(#287433)); #54800=EDGE_LOOP('',(#287434)); #54801=EDGE_LOOP('',(#287435)); #54802=EDGE_LOOP('',(#287436)); #54803=EDGE_LOOP('',(#287437)); #54804=EDGE_LOOP('',(#287438)); #54805=EDGE_LOOP('',(#287439)); #54806=EDGE_LOOP('',(#287440)); #54807=EDGE_LOOP('',(#287441)); #54808=EDGE_LOOP('',(#287442)); #54809=EDGE_LOOP('',(#287443)); #54810=EDGE_LOOP('',(#287444)); #54811=EDGE_LOOP('',(#287445)); #54812=EDGE_LOOP('',(#287446)); #54813=EDGE_LOOP('',(#287447)); #54814=EDGE_LOOP('',(#287448)); #54815=EDGE_LOOP('',(#287449)); #54816=EDGE_LOOP('',(#287450)); #54817=EDGE_LOOP('',(#287451)); #54818=EDGE_LOOP('',(#287452)); #54819=EDGE_LOOP('',(#287453)); #54820=EDGE_LOOP('',(#287454)); #54821=EDGE_LOOP('',(#287455)); #54822=EDGE_LOOP('',(#287456)); #54823=EDGE_LOOP('',(#287457)); #54824=EDGE_LOOP('',(#287458)); #54825=EDGE_LOOP('',(#287459)); #54826=EDGE_LOOP('',(#287460)); #54827=EDGE_LOOP('',(#287461)); #54828=EDGE_LOOP('',(#287462)); #54829=EDGE_LOOP('',(#287463)); #54830=EDGE_LOOP('',(#287464)); #54831=EDGE_LOOP('',(#287465)); #54832=EDGE_LOOP('',(#287466)); #54833=EDGE_LOOP('',(#287467)); #54834=EDGE_LOOP('',(#287468)); #54835=EDGE_LOOP('',(#287469)); #54836=EDGE_LOOP('',(#287470)); #54837=EDGE_LOOP('',(#287471)); #54838=EDGE_LOOP('',(#287472)); #54839=EDGE_LOOP('',(#287473)); #54840=EDGE_LOOP('',(#287474)); #54841=EDGE_LOOP('',(#287475)); #54842=EDGE_LOOP('',(#287476)); #54843=EDGE_LOOP('',(#287477)); #54844=EDGE_LOOP('',(#287478)); #54845=EDGE_LOOP('',(#287479)); #54846=EDGE_LOOP('',(#287480)); #54847=EDGE_LOOP('',(#287481)); #54848=EDGE_LOOP('',(#287482)); #54849=EDGE_LOOP('',(#287483)); #54850=EDGE_LOOP('',(#287484)); #54851=EDGE_LOOP('',(#287485)); #54852=EDGE_LOOP('',(#287486)); #54853=EDGE_LOOP('',(#287487)); #54854=EDGE_LOOP('',(#287488)); #54855=EDGE_LOOP('',(#287489)); #54856=EDGE_LOOP('',(#287490)); #54857=EDGE_LOOP('',(#287491)); #54858=EDGE_LOOP('',(#287492)); #54859=EDGE_LOOP('',(#287493)); #54860=EDGE_LOOP('',(#287494)); #54861=EDGE_LOOP('',(#287495,#287496,#287497,#287498)); #54862=EDGE_LOOP('',(#287499)); #54863=EDGE_LOOP('',(#287500,#287501,#287502,#287503)); #54864=EDGE_LOOP('',(#287504)); #54865=EDGE_LOOP('',(#287505)); #54866=EDGE_LOOP('',(#287506)); #54867=EDGE_LOOP('',(#287507,#287508,#287509,#287510)); #54868=EDGE_LOOP('',(#287511)); #54869=EDGE_LOOP('',(#287512)); #54870=EDGE_LOOP('',(#287513)); #54871=EDGE_LOOP('',(#287514)); #54872=EDGE_LOOP('',(#287515)); #54873=EDGE_LOOP('',(#287516)); #54874=EDGE_LOOP('',(#287517)); #54875=EDGE_LOOP('',(#287518)); #54876=EDGE_LOOP('',(#287519)); #54877=EDGE_LOOP('',(#287520)); #54878=EDGE_LOOP('',(#287521,#287522,#287523,#287524)); #54879=EDGE_LOOP('',(#287525)); #54880=EDGE_LOOP('',(#287526,#287527,#287528,#287529)); #54881=EDGE_LOOP('',(#287530)); #54882=EDGE_LOOP('',(#287531,#287532,#287533,#287534)); #54883=EDGE_LOOP('',(#287535)); #54884=EDGE_LOOP('',(#287536)); #54885=EDGE_LOOP('',(#287537)); #54886=EDGE_LOOP('',(#287538)); #54887=EDGE_LOOP('',(#287539)); #54888=EDGE_LOOP('',(#287540)); #54889=EDGE_LOOP('',(#287541)); #54890=EDGE_LOOP('',(#287542)); #54891=EDGE_LOOP('',(#287543)); #54892=EDGE_LOOP('',(#287544)); #54893=EDGE_LOOP('',(#287545)); #54894=EDGE_LOOP('',(#287546)); #54895=EDGE_LOOP('',(#287547)); #54896=EDGE_LOOP('',(#287548)); #54897=EDGE_LOOP('',(#287549)); #54898=EDGE_LOOP('',(#287550)); #54899=EDGE_LOOP('',(#287551)); #54900=EDGE_LOOP('',(#287552)); #54901=EDGE_LOOP('',(#287553)); #54902=EDGE_LOOP('',(#287554)); #54903=EDGE_LOOP('',(#287555)); #54904=EDGE_LOOP('',(#287556)); #54905=EDGE_LOOP('',(#287557)); #54906=EDGE_LOOP('',(#287558)); #54907=EDGE_LOOP('',(#287559)); #54908=EDGE_LOOP('',(#287560)); #54909=EDGE_LOOP('',(#287561)); #54910=EDGE_LOOP('',(#287562)); #54911=EDGE_LOOP('',(#287563)); #54912=EDGE_LOOP('',(#287564)); #54913=EDGE_LOOP('',(#287565)); #54914=EDGE_LOOP('',(#287566)); #54915=EDGE_LOOP('',(#287567)); #54916=EDGE_LOOP('',(#287568)); #54917=EDGE_LOOP('',(#287569)); #54918=EDGE_LOOP('',(#287570)); #54919=EDGE_LOOP('',(#287571)); #54920=EDGE_LOOP('',(#287572)); #54921=EDGE_LOOP('',(#287573)); #54922=EDGE_LOOP('',(#287574)); #54923=EDGE_LOOP('',(#287575)); #54924=EDGE_LOOP('',(#287576)); #54925=EDGE_LOOP('',(#287577)); #54926=EDGE_LOOP('',(#287578)); #54927=EDGE_LOOP('',(#287579)); #54928=EDGE_LOOP('',(#287580)); #54929=EDGE_LOOP('',(#287581)); #54930=EDGE_LOOP('',(#287582)); #54931=EDGE_LOOP('',(#287583)); #54932=EDGE_LOOP('',(#287584)); #54933=EDGE_LOOP('',(#287585)); #54934=EDGE_LOOP('',(#287586)); #54935=EDGE_LOOP('',(#287587)); #54936=EDGE_LOOP('',(#287588)); #54937=EDGE_LOOP('',(#287589)); #54938=EDGE_LOOP('',(#287590)); #54939=EDGE_LOOP('',(#287591)); #54940=EDGE_LOOP('',(#287592)); #54941=EDGE_LOOP('',(#287593)); #54942=EDGE_LOOP('',(#287594)); #54943=EDGE_LOOP('',(#287595)); #54944=EDGE_LOOP('',(#287596)); #54945=EDGE_LOOP('',(#287597)); #54946=EDGE_LOOP('',(#287598)); #54947=EDGE_LOOP('',(#287599)); #54948=EDGE_LOOP('',(#287600)); #54949=EDGE_LOOP('',(#287601)); #54950=EDGE_LOOP('',(#287602)); #54951=EDGE_LOOP('',(#287603)); #54952=EDGE_LOOP('',(#287604)); #54953=EDGE_LOOP('',(#287605)); #54954=EDGE_LOOP('',(#287606)); #54955=EDGE_LOOP('',(#287607)); #54956=EDGE_LOOP('',(#287608)); #54957=EDGE_LOOP('',(#287609)); #54958=EDGE_LOOP('',(#287610)); #54959=EDGE_LOOP('',(#287611)); #54960=EDGE_LOOP('',(#287612)); #54961=EDGE_LOOP('',(#287613)); #54962=EDGE_LOOP('',(#287614)); #54963=EDGE_LOOP('',(#287615)); #54964=EDGE_LOOP('',(#287616)); #54965=EDGE_LOOP('',(#287617)); #54966=EDGE_LOOP('',(#287618)); #54967=EDGE_LOOP('',(#287619)); #54968=EDGE_LOOP('',(#287620)); #54969=EDGE_LOOP('',(#287621)); #54970=EDGE_LOOP('',(#287622)); #54971=EDGE_LOOP('',(#287623)); #54972=EDGE_LOOP('',(#287624)); #54973=EDGE_LOOP('',(#287625)); #54974=EDGE_LOOP('',(#287626)); #54975=EDGE_LOOP('',(#287627)); #54976=EDGE_LOOP('',(#287628)); #54977=EDGE_LOOP('',(#287629)); #54978=EDGE_LOOP('',(#287630)); #54979=EDGE_LOOP('',(#287631)); #54980=EDGE_LOOP('',(#287632)); #54981=EDGE_LOOP('',(#287633)); #54982=EDGE_LOOP('',(#287634)); #54983=EDGE_LOOP('',(#287635)); #54984=EDGE_LOOP('',(#287636)); #54985=EDGE_LOOP('',(#287637)); #54986=EDGE_LOOP('',(#287638)); #54987=EDGE_LOOP('',(#287639)); #54988=EDGE_LOOP('',(#287640)); #54989=EDGE_LOOP('',(#287641)); #54990=EDGE_LOOP('',(#287642)); #54991=EDGE_LOOP('',(#287643)); #54992=EDGE_LOOP('',(#287644)); #54993=EDGE_LOOP('',(#287645)); #54994=EDGE_LOOP('',(#287646)); #54995=EDGE_LOOP('',(#287647)); #54996=EDGE_LOOP('',(#287648)); #54997=EDGE_LOOP('',(#287649)); #54998=EDGE_LOOP('',(#287650)); #54999=EDGE_LOOP('',(#287651)); #55000=EDGE_LOOP('',(#287652)); #55001=EDGE_LOOP('',(#287653)); #55002=EDGE_LOOP('',(#287654)); #55003=EDGE_LOOP('',(#287655)); #55004=EDGE_LOOP('',(#287656)); #55005=EDGE_LOOP('',(#287657)); #55006=EDGE_LOOP('',(#287658)); #55007=EDGE_LOOP('',(#287659)); #55008=EDGE_LOOP('',(#287660)); #55009=EDGE_LOOP('',(#287661)); #55010=EDGE_LOOP('',(#287662)); #55011=EDGE_LOOP('',(#287663)); #55012=EDGE_LOOP('',(#287664)); #55013=EDGE_LOOP('',(#287665)); #55014=EDGE_LOOP('',(#287666)); #55015=EDGE_LOOP('',(#287667,#287668,#287669,#287670)); #55016=EDGE_LOOP('',(#287671,#287672,#287673,#287674)); #55017=EDGE_LOOP('',(#287675,#287676,#287677,#287678)); #55018=EDGE_LOOP('',(#287679,#287680,#287681,#287682)); #55019=EDGE_LOOP('',(#287683,#287684,#287685,#287686)); #55020=EDGE_LOOP('',(#287687,#287688,#287689,#287690)); #55021=EDGE_LOOP('',(#287691,#287692,#287693,#287694)); #55022=EDGE_LOOP('',(#287695,#287696,#287697,#287698)); #55023=EDGE_LOOP('',(#287699,#287700,#287701,#287702)); #55024=EDGE_LOOP('',(#287703,#287704,#287705,#287706)); #55025=EDGE_LOOP('',(#287707,#287708,#287709,#287710)); #55026=EDGE_LOOP('',(#287711,#287712,#287713,#287714)); #55027=EDGE_LOOP('',(#287715,#287716,#287717,#287718)); #55028=EDGE_LOOP('',(#287719,#287720,#287721,#287722)); #55029=EDGE_LOOP('',(#287723,#287724,#287725,#287726)); #55030=EDGE_LOOP('',(#287727,#287728,#287729,#287730)); #55031=EDGE_LOOP('',(#287731,#287732,#287733,#287734)); #55032=EDGE_LOOP('',(#287735,#287736,#287737,#287738)); #55033=EDGE_LOOP('',(#287739,#287740,#287741,#287742)); #55034=EDGE_LOOP('',(#287743,#287744,#287745,#287746)); #55035=EDGE_LOOP('',(#287747,#287748,#287749,#287750)); #55036=EDGE_LOOP('',(#287751,#287752,#287753,#287754)); #55037=EDGE_LOOP('',(#287755,#287756,#287757,#287758)); #55038=EDGE_LOOP('',(#287759,#287760,#287761,#287762)); #55039=EDGE_LOOP('',(#287763,#287764,#287765,#287766)); #55040=EDGE_LOOP('',(#287767,#287768,#287769,#287770)); #55041=EDGE_LOOP('',(#287771,#287772,#287773,#287774)); #55042=EDGE_LOOP('',(#287775,#287776,#287777,#287778)); #55043=EDGE_LOOP('',(#287779,#287780,#287781,#287782)); #55044=EDGE_LOOP('',(#287783,#287784,#287785,#287786)); #55045=EDGE_LOOP('',(#287787,#287788,#287789,#287790)); #55046=EDGE_LOOP('',(#287791,#287792,#287793,#287794)); #55047=EDGE_LOOP('',(#287795,#287796,#287797,#287798)); #55048=EDGE_LOOP('',(#287799,#287800,#287801,#287802)); #55049=EDGE_LOOP('',(#287803,#287804,#287805,#287806)); #55050=EDGE_LOOP('',(#287807,#287808,#287809,#287810)); #55051=EDGE_LOOP('',(#287811,#287812,#287813,#287814)); #55052=EDGE_LOOP('',(#287815,#287816,#287817,#287818)); #55053=EDGE_LOOP('',(#287819,#287820,#287821,#287822)); #55054=EDGE_LOOP('',(#287823,#287824,#287825,#287826)); #55055=EDGE_LOOP('',(#287827,#287828,#287829,#287830)); #55056=EDGE_LOOP('',(#287831,#287832,#287833,#287834)); #55057=EDGE_LOOP('',(#287835,#287836,#287837,#287838)); #55058=EDGE_LOOP('',(#287839,#287840,#287841,#287842)); #55059=EDGE_LOOP('',(#287843,#287844,#287845,#287846)); #55060=EDGE_LOOP('',(#287847,#287848,#287849,#287850)); #55061=EDGE_LOOP('',(#287851,#287852,#287853,#287854)); #55062=EDGE_LOOP('',(#287855,#287856,#287857,#287858)); #55063=EDGE_LOOP('',(#287859,#287860,#287861,#287862)); #55064=EDGE_LOOP('',(#287863,#287864,#287865,#287866)); #55065=EDGE_LOOP('',(#287867,#287868,#287869,#287870)); #55066=EDGE_LOOP('',(#287871,#287872,#287873,#287874)); #55067=EDGE_LOOP('',(#287875,#287876,#287877,#287878)); #55068=EDGE_LOOP('',(#287879,#287880,#287881,#287882)); #55069=EDGE_LOOP('',(#287883,#287884,#287885,#287886)); #55070=EDGE_LOOP('',(#287887,#287888,#287889,#287890)); #55071=EDGE_LOOP('',(#287891,#287892,#287893,#287894)); #55072=EDGE_LOOP('',(#287895,#287896,#287897,#287898)); #55073=EDGE_LOOP('',(#287899,#287900,#287901,#287902)); #55074=EDGE_LOOP('',(#287903,#287904,#287905,#287906)); #55075=EDGE_LOOP('',(#287907,#287908,#287909,#287910)); #55076=EDGE_LOOP('',(#287911,#287912,#287913,#287914)); #55077=EDGE_LOOP('',(#287915,#287916,#287917,#287918)); #55078=EDGE_LOOP('',(#287919,#287920,#287921,#287922)); #55079=EDGE_LOOP('',(#287923,#287924,#287925,#287926)); #55080=EDGE_LOOP('',(#287927,#287928,#287929,#287930)); #55081=EDGE_LOOP('',(#287931,#287932,#287933,#287934)); #55082=EDGE_LOOP('',(#287935,#287936,#287937,#287938)); #55083=EDGE_LOOP('',(#287939,#287940,#287941,#287942)); #55084=EDGE_LOOP('',(#287943,#287944,#287945,#287946)); #55085=EDGE_LOOP('',(#287947,#287948,#287949,#287950)); #55086=EDGE_LOOP('',(#287951,#287952,#287953,#287954)); #55087=EDGE_LOOP('',(#287955,#287956,#287957,#287958)); #55088=EDGE_LOOP('',(#287959,#287960,#287961,#287962)); #55089=EDGE_LOOP('',(#287963,#287964,#287965,#287966)); #55090=EDGE_LOOP('',(#287967,#287968,#287969,#287970)); #55091=EDGE_LOOP('',(#287971,#287972,#287973,#287974)); #55092=EDGE_LOOP('',(#287975,#287976,#287977,#287978)); #55093=EDGE_LOOP('',(#287979,#287980,#287981,#287982)); #55094=EDGE_LOOP('',(#287983,#287984,#287985,#287986)); #55095=EDGE_LOOP('',(#287987,#287988,#287989,#287990)); #55096=EDGE_LOOP('',(#287991,#287992,#287993,#287994)); #55097=EDGE_LOOP('',(#287995,#287996,#287997,#287998)); #55098=EDGE_LOOP('',(#287999,#288000,#288001,#288002)); #55099=EDGE_LOOP('',(#288003,#288004,#288005,#288006)); #55100=EDGE_LOOP('',(#288007,#288008,#288009,#288010)); #55101=EDGE_LOOP('',(#288011,#288012,#288013,#288014)); #55102=EDGE_LOOP('',(#288015,#288016,#288017,#288018)); #55103=EDGE_LOOP('',(#288019,#288020,#288021,#288022)); #55104=EDGE_LOOP('',(#288023,#288024,#288025,#288026)); #55105=EDGE_LOOP('',(#288027,#288028,#288029,#288030)); #55106=EDGE_LOOP('',(#288031,#288032,#288033,#288034)); #55107=EDGE_LOOP('',(#288035,#288036,#288037,#288038)); #55108=EDGE_LOOP('',(#288039,#288040,#288041,#288042)); #55109=EDGE_LOOP('',(#288043,#288044,#288045,#288046)); #55110=EDGE_LOOP('',(#288047,#288048,#288049,#288050)); #55111=EDGE_LOOP('',(#288051,#288052,#288053,#288054)); #55112=EDGE_LOOP('',(#288055,#288056,#288057,#288058)); #55113=EDGE_LOOP('',(#288059,#288060,#288061,#288062)); #55114=EDGE_LOOP('',(#288063,#288064,#288065,#288066)); #55115=EDGE_LOOP('',(#288067,#288068,#288069,#288070)); #55116=EDGE_LOOP('',(#288071,#288072,#288073,#288074)); #55117=EDGE_LOOP('',(#288075,#288076,#288077,#288078)); #55118=EDGE_LOOP('',(#288079,#288080,#288081,#288082)); #55119=EDGE_LOOP('',(#288083,#288084,#288085,#288086)); #55120=EDGE_LOOP('',(#288087,#288088,#288089,#288090)); #55121=EDGE_LOOP('',(#288091,#288092,#288093,#288094)); #55122=EDGE_LOOP('',(#288095,#288096,#288097,#288098)); #55123=EDGE_LOOP('',(#288099,#288100,#288101,#288102)); #55124=EDGE_LOOP('',(#288103,#288104,#288105,#288106)); #55125=EDGE_LOOP('',(#288107,#288108,#288109,#288110)); #55126=EDGE_LOOP('',(#288111,#288112,#288113,#288114)); #55127=EDGE_LOOP('',(#288115,#288116,#288117,#288118)); #55128=EDGE_LOOP('',(#288119,#288120,#288121,#288122)); #55129=EDGE_LOOP('',(#288123,#288124,#288125,#288126)); #55130=EDGE_LOOP('',(#288127,#288128,#288129,#288130)); #55131=EDGE_LOOP('',(#288131,#288132,#288133,#288134)); #55132=EDGE_LOOP('',(#288135,#288136,#288137,#288138)); #55133=EDGE_LOOP('',(#288139,#288140,#288141,#288142)); #55134=EDGE_LOOP('',(#288143,#288144,#288145,#288146)); #55135=EDGE_LOOP('',(#288147,#288148,#288149,#288150)); #55136=EDGE_LOOP('',(#288151,#288152,#288153,#288154)); #55137=EDGE_LOOP('',(#288155,#288156,#288157,#288158)); #55138=EDGE_LOOP('',(#288159,#288160,#288161,#288162)); #55139=EDGE_LOOP('',(#288163,#288164,#288165,#288166)); #55140=EDGE_LOOP('',(#288167,#288168,#288169,#288170)); #55141=EDGE_LOOP('',(#288171,#288172,#288173,#288174)); #55142=EDGE_LOOP('',(#288175,#288176,#288177,#288178)); #55143=EDGE_LOOP('',(#288179,#288180,#288181,#288182)); #55144=EDGE_LOOP('',(#288183,#288184,#288185,#288186)); #55145=EDGE_LOOP('',(#288187,#288188,#288189,#288190)); #55146=EDGE_LOOP('',(#288191,#288192,#288193,#288194)); #55147=EDGE_LOOP('',(#288195,#288196,#288197,#288198)); #55148=EDGE_LOOP('',(#288199,#288200,#288201,#288202)); #55149=EDGE_LOOP('',(#288203,#288204,#288205,#288206)); #55150=EDGE_LOOP('',(#288207,#288208,#288209,#288210)); #55151=EDGE_LOOP('',(#288211,#288212,#288213,#288214)); #55152=EDGE_LOOP('',(#288215,#288216,#288217,#288218)); #55153=EDGE_LOOP('',(#288219,#288220,#288221,#288222)); #55154=EDGE_LOOP('',(#288223,#288224,#288225,#288226)); #55155=EDGE_LOOP('',(#288227,#288228,#288229,#288230)); #55156=EDGE_LOOP('',(#288231,#288232,#288233,#288234)); #55157=EDGE_LOOP('',(#288235,#288236,#288237,#288238)); #55158=EDGE_LOOP('',(#288239,#288240,#288241,#288242)); #55159=EDGE_LOOP('',(#288243,#288244,#288245,#288246)); #55160=EDGE_LOOP('',(#288247,#288248,#288249,#288250)); #55161=EDGE_LOOP('',(#288251,#288252,#288253,#288254)); #55162=EDGE_LOOP('',(#288255,#288256,#288257,#288258)); #55163=EDGE_LOOP('',(#288259,#288260,#288261,#288262)); #55164=EDGE_LOOP('',(#288263,#288264,#288265,#288266)); #55165=EDGE_LOOP('',(#288267,#288268,#288269,#288270)); #55166=EDGE_LOOP('',(#288271,#288272,#288273,#288274)); #55167=EDGE_LOOP('',(#288275,#288276,#288277,#288278)); #55168=EDGE_LOOP('',(#288279,#288280,#288281,#288282)); #55169=EDGE_LOOP('',(#288283,#288284,#288285,#288286)); #55170=EDGE_LOOP('',(#288287,#288288,#288289,#288290)); #55171=EDGE_LOOP('',(#288291,#288292,#288293,#288294)); #55172=EDGE_LOOP('',(#288295,#288296,#288297,#288298)); #55173=EDGE_LOOP('',(#288299,#288300,#288301,#288302)); #55174=EDGE_LOOP('',(#288303,#288304,#288305,#288306)); #55175=EDGE_LOOP('',(#288307,#288308,#288309,#288310)); #55176=EDGE_LOOP('',(#288311,#288312,#288313,#288314)); #55177=EDGE_LOOP('',(#288315,#288316,#288317,#288318)); #55178=EDGE_LOOP('',(#288319,#288320,#288321,#288322)); #55179=EDGE_LOOP('',(#288323,#288324,#288325,#288326)); #55180=EDGE_LOOP('',(#288327,#288328,#288329,#288330)); #55181=EDGE_LOOP('',(#288331,#288332,#288333,#288334)); #55182=EDGE_LOOP('',(#288335,#288336,#288337,#288338)); #55183=EDGE_LOOP('',(#288339,#288340,#288341,#288342)); #55184=EDGE_LOOP('',(#288343,#288344,#288345,#288346)); #55185=EDGE_LOOP('',(#288347,#288348,#288349,#288350)); #55186=EDGE_LOOP('',(#288351,#288352,#288353,#288354)); #55187=EDGE_LOOP('',(#288355,#288356,#288357,#288358)); #55188=EDGE_LOOP('',(#288359,#288360,#288361,#288362)); #55189=EDGE_LOOP('',(#288363,#288364,#288365,#288366)); #55190=EDGE_LOOP('',(#288367,#288368,#288369,#288370)); #55191=EDGE_LOOP('',(#288371,#288372,#288373,#288374)); #55192=EDGE_LOOP('',(#288375,#288376,#288377,#288378)); #55193=EDGE_LOOP('',(#288379,#288380,#288381,#288382)); #55194=EDGE_LOOP('',(#288383,#288384,#288385,#288386)); #55195=EDGE_LOOP('',(#288387,#288388,#288389,#288390)); #55196=EDGE_LOOP('',(#288391,#288392,#288393,#288394)); #55197=EDGE_LOOP('',(#288395,#288396,#288397,#288398)); #55198=EDGE_LOOP('',(#288399,#288400,#288401,#288402)); #55199=EDGE_LOOP('',(#288403,#288404,#288405,#288406)); #55200=EDGE_LOOP('',(#288407,#288408,#288409,#288410)); #55201=EDGE_LOOP('',(#288411,#288412,#288413,#288414)); #55202=EDGE_LOOP('',(#288415,#288416,#288417,#288418)); #55203=EDGE_LOOP('',(#288419,#288420,#288421,#288422)); #55204=EDGE_LOOP('',(#288423,#288424,#288425,#288426)); #55205=EDGE_LOOP('',(#288427,#288428,#288429,#288430)); #55206=EDGE_LOOP('',(#288431,#288432,#288433,#288434)); #55207=EDGE_LOOP('',(#288435,#288436,#288437,#288438)); #55208=EDGE_LOOP('',(#288439,#288440,#288441,#288442)); #55209=EDGE_LOOP('',(#288443,#288444,#288445,#288446)); #55210=EDGE_LOOP('',(#288447,#288448,#288449,#288450)); #55211=EDGE_LOOP('',(#288451,#288452,#288453,#288454)); #55212=EDGE_LOOP('',(#288455,#288456,#288457,#288458)); #55213=EDGE_LOOP('',(#288459,#288460,#288461,#288462)); #55214=EDGE_LOOP('',(#288463,#288464,#288465,#288466)); #55215=EDGE_LOOP('',(#288467,#288468,#288469,#288470)); #55216=EDGE_LOOP('',(#288471,#288472,#288473,#288474)); #55217=EDGE_LOOP('',(#288475,#288476,#288477,#288478)); #55218=EDGE_LOOP('',(#288479,#288480,#288481,#288482)); #55219=EDGE_LOOP('',(#288483,#288484,#288485,#288486)); #55220=EDGE_LOOP('',(#288487,#288488,#288489,#288490)); #55221=EDGE_LOOP('',(#288491,#288492,#288493,#288494)); #55222=EDGE_LOOP('',(#288495,#288496,#288497,#288498)); #55223=EDGE_LOOP('',(#288499,#288500,#288501,#288502)); #55224=EDGE_LOOP('',(#288503,#288504,#288505,#288506)); #55225=EDGE_LOOP('',(#288507,#288508,#288509,#288510)); #55226=EDGE_LOOP('',(#288511,#288512,#288513,#288514)); #55227=EDGE_LOOP('',(#288515,#288516,#288517,#288518)); #55228=EDGE_LOOP('',(#288519,#288520,#288521,#288522)); #55229=EDGE_LOOP('',(#288523,#288524,#288525,#288526)); #55230=EDGE_LOOP('',(#288527,#288528,#288529,#288530)); #55231=EDGE_LOOP('',(#288531,#288532,#288533,#288534)); #55232=EDGE_LOOP('',(#288535,#288536,#288537,#288538)); #55233=EDGE_LOOP('',(#288539,#288540,#288541,#288542)); #55234=EDGE_LOOP('',(#288543,#288544,#288545,#288546)); #55235=EDGE_LOOP('',(#288547,#288548,#288549,#288550)); #55236=EDGE_LOOP('',(#288551,#288552,#288553,#288554)); #55237=EDGE_LOOP('',(#288555,#288556,#288557,#288558)); #55238=EDGE_LOOP('',(#288559,#288560,#288561,#288562)); #55239=EDGE_LOOP('',(#288563,#288564,#288565,#288566)); #55240=EDGE_LOOP('',(#288567,#288568,#288569,#288570)); #55241=EDGE_LOOP('',(#288571,#288572,#288573,#288574)); #55242=EDGE_LOOP('',(#288575,#288576,#288577,#288578)); #55243=EDGE_LOOP('',(#288579,#288580,#288581,#288582)); #55244=EDGE_LOOP('',(#288583,#288584,#288585,#288586)); #55245=EDGE_LOOP('',(#288587,#288588,#288589,#288590)); #55246=EDGE_LOOP('',(#288591,#288592,#288593,#288594)); #55247=EDGE_LOOP('',(#288595,#288596,#288597,#288598)); #55248=EDGE_LOOP('',(#288599,#288600,#288601,#288602)); #55249=EDGE_LOOP('',(#288603,#288604,#288605,#288606)); #55250=EDGE_LOOP('',(#288607,#288608,#288609,#288610)); #55251=EDGE_LOOP('',(#288611,#288612,#288613,#288614)); #55252=EDGE_LOOP('',(#288615,#288616,#288617,#288618)); #55253=EDGE_LOOP('',(#288619,#288620,#288621,#288622)); #55254=EDGE_LOOP('',(#288623,#288624,#288625,#288626)); #55255=EDGE_LOOP('',(#288627,#288628,#288629,#288630)); #55256=EDGE_LOOP('',(#288631,#288632,#288633,#288634)); #55257=EDGE_LOOP('',(#288635,#288636,#288637,#288638)); #55258=EDGE_LOOP('',(#288639,#288640,#288641,#288642)); #55259=EDGE_LOOP('',(#288643,#288644,#288645,#288646)); #55260=EDGE_LOOP('',(#288647,#288648,#288649,#288650)); #55261=EDGE_LOOP('',(#288651,#288652,#288653,#288654)); #55262=EDGE_LOOP('',(#288655,#288656,#288657,#288658)); #55263=EDGE_LOOP('',(#288659,#288660,#288661,#288662)); #55264=EDGE_LOOP('',(#288663,#288664,#288665,#288666)); #55265=EDGE_LOOP('',(#288667,#288668,#288669,#288670)); #55266=EDGE_LOOP('',(#288671,#288672,#288673,#288674)); #55267=EDGE_LOOP('',(#288675,#288676,#288677,#288678)); #55268=EDGE_LOOP('',(#288679,#288680,#288681,#288682)); #55269=EDGE_LOOP('',(#288683,#288684,#288685,#288686)); #55270=EDGE_LOOP('',(#288687,#288688,#288689,#288690)); #55271=EDGE_LOOP('',(#288691,#288692,#288693,#288694)); #55272=EDGE_LOOP('',(#288695,#288696,#288697,#288698)); #55273=EDGE_LOOP('',(#288699,#288700,#288701,#288702)); #55274=EDGE_LOOP('',(#288703,#288704,#288705,#288706)); #55275=EDGE_LOOP('',(#288707,#288708,#288709,#288710)); #55276=EDGE_LOOP('',(#288711,#288712,#288713,#288714)); #55277=EDGE_LOOP('',(#288715,#288716,#288717,#288718)); #55278=EDGE_LOOP('',(#288719,#288720,#288721,#288722)); #55279=EDGE_LOOP('',(#288723,#288724,#288725,#288726)); #55280=EDGE_LOOP('',(#288727,#288728,#288729,#288730)); #55281=EDGE_LOOP('',(#288731,#288732,#288733,#288734)); #55282=EDGE_LOOP('',(#288735,#288736,#288737,#288738)); #55283=EDGE_LOOP('',(#288739,#288740,#288741,#288742)); #55284=EDGE_LOOP('',(#288743,#288744,#288745,#288746)); #55285=EDGE_LOOP('',(#288747,#288748,#288749,#288750)); #55286=EDGE_LOOP('',(#288751,#288752,#288753,#288754)); #55287=EDGE_LOOP('',(#288755,#288756,#288757,#288758)); #55288=EDGE_LOOP('',(#288759,#288760,#288761,#288762)); #55289=EDGE_LOOP('',(#288763,#288764,#288765,#288766)); #55290=EDGE_LOOP('',(#288767,#288768,#288769,#288770)); #55291=EDGE_LOOP('',(#288771,#288772,#288773,#288774)); #55292=EDGE_LOOP('',(#288775,#288776,#288777,#288778)); #55293=EDGE_LOOP('',(#288779,#288780,#288781,#288782)); #55294=EDGE_LOOP('',(#288783,#288784,#288785,#288786)); #55295=EDGE_LOOP('',(#288787,#288788,#288789,#288790)); #55296=EDGE_LOOP('',(#288791,#288792,#288793,#288794)); #55297=EDGE_LOOP('',(#288795,#288796,#288797,#288798)); #55298=EDGE_LOOP('',(#288799,#288800,#288801,#288802)); #55299=EDGE_LOOP('',(#288803,#288804,#288805,#288806)); #55300=EDGE_LOOP('',(#288807,#288808,#288809,#288810)); #55301=EDGE_LOOP('',(#288811,#288812,#288813,#288814)); #55302=EDGE_LOOP('',(#288815,#288816,#288817,#288818)); #55303=EDGE_LOOP('',(#288819,#288820,#288821,#288822)); #55304=EDGE_LOOP('',(#288823,#288824,#288825,#288826)); #55305=EDGE_LOOP('',(#288827,#288828,#288829,#288830)); #55306=EDGE_LOOP('',(#288831,#288832,#288833,#288834)); #55307=EDGE_LOOP('',(#288835,#288836,#288837,#288838)); #55308=EDGE_LOOP('',(#288839,#288840,#288841,#288842)); #55309=EDGE_LOOP('',(#288843,#288844,#288845,#288846)); #55310=EDGE_LOOP('',(#288847,#288848,#288849,#288850)); #55311=EDGE_LOOP('',(#288851,#288852,#288853,#288854)); #55312=EDGE_LOOP('',(#288855,#288856,#288857,#288858)); #55313=EDGE_LOOP('',(#288859,#288860,#288861,#288862)); #55314=EDGE_LOOP('',(#288863,#288864,#288865,#288866)); #55315=EDGE_LOOP('',(#288867,#288868,#288869,#288870)); #55316=EDGE_LOOP('',(#288871,#288872,#288873,#288874)); #55317=EDGE_LOOP('',(#288875,#288876,#288877,#288878)); #55318=EDGE_LOOP('',(#288879,#288880,#288881,#288882)); #55319=EDGE_LOOP('',(#288883,#288884,#288885,#288886)); #55320=EDGE_LOOP('',(#288887,#288888,#288889,#288890)); #55321=EDGE_LOOP('',(#288891,#288892,#288893,#288894)); #55322=EDGE_LOOP('',(#288895,#288896,#288897,#288898)); #55323=EDGE_LOOP('',(#288899,#288900,#288901,#288902)); #55324=EDGE_LOOP('',(#288903,#288904,#288905,#288906)); #55325=EDGE_LOOP('',(#288907,#288908,#288909,#288910)); #55326=EDGE_LOOP('',(#288911,#288912,#288913,#288914)); #55327=EDGE_LOOP('',(#288915,#288916,#288917,#288918)); #55328=EDGE_LOOP('',(#288919,#288920,#288921,#288922)); #55329=EDGE_LOOP('',(#288923,#288924,#288925,#288926)); #55330=EDGE_LOOP('',(#288927,#288928,#288929,#288930)); #55331=EDGE_LOOP('',(#288931,#288932,#288933,#288934)); #55332=EDGE_LOOP('',(#288935,#288936,#288937,#288938)); #55333=EDGE_LOOP('',(#288939,#288940,#288941,#288942)); #55334=EDGE_LOOP('',(#288943,#288944,#288945,#288946)); #55335=EDGE_LOOP('',(#288947,#288948,#288949,#288950)); #55336=EDGE_LOOP('',(#288951,#288952,#288953,#288954)); #55337=EDGE_LOOP('',(#288955,#288956,#288957,#288958)); #55338=EDGE_LOOP('',(#288959,#288960,#288961,#288962)); #55339=EDGE_LOOP('',(#288963,#288964,#288965,#288966)); #55340=EDGE_LOOP('',(#288967,#288968,#288969,#288970)); #55341=EDGE_LOOP('',(#288971,#288972,#288973,#288974)); #55342=EDGE_LOOP('',(#288975,#288976,#288977,#288978)); #55343=EDGE_LOOP('',(#288979,#288980,#288981,#288982)); #55344=EDGE_LOOP('',(#288983,#288984,#288985,#288986)); #55345=EDGE_LOOP('',(#288987,#288988,#288989,#288990)); #55346=EDGE_LOOP('',(#288991,#288992,#288993,#288994)); #55347=EDGE_LOOP('',(#288995,#288996,#288997,#288998)); #55348=EDGE_LOOP('',(#288999,#289000,#289001,#289002)); #55349=EDGE_LOOP('',(#289003,#289004,#289005,#289006)); #55350=EDGE_LOOP('',(#289007,#289008,#289009,#289010)); #55351=EDGE_LOOP('',(#289011)); #55352=EDGE_LOOP('',(#289012)); #55353=EDGE_LOOP('',(#289013)); #55354=EDGE_LOOP('',(#289014)); #55355=EDGE_LOOP('',(#289015)); #55356=EDGE_LOOP('',(#289016)); #55357=EDGE_LOOP('',(#289017)); #55358=EDGE_LOOP('',(#289018)); #55359=EDGE_LOOP('',(#289019)); #55360=EDGE_LOOP('',(#289020)); #55361=EDGE_LOOP('',(#289021)); #55362=EDGE_LOOP('',(#289022)); #55363=EDGE_LOOP('',(#289023)); #55364=EDGE_LOOP('',(#289024)); #55365=EDGE_LOOP('',(#289025)); #55366=EDGE_LOOP('',(#289026)); #55367=EDGE_LOOP('',(#289027)); #55368=EDGE_LOOP('',(#289028)); #55369=EDGE_LOOP('',(#289029)); #55370=EDGE_LOOP('',(#289030)); #55371=EDGE_LOOP('',(#289031)); #55372=EDGE_LOOP('',(#289032)); #55373=EDGE_LOOP('',(#289033)); #55374=EDGE_LOOP('',(#289034)); #55375=EDGE_LOOP('',(#289035)); #55376=EDGE_LOOP('',(#289036)); #55377=EDGE_LOOP('',(#289037)); #55378=EDGE_LOOP('',(#289038)); #55379=EDGE_LOOP('',(#289039)); #55380=EDGE_LOOP('',(#289040)); #55381=EDGE_LOOP('',(#289041)); #55382=EDGE_LOOP('',(#289042)); #55383=EDGE_LOOP('',(#289043)); #55384=EDGE_LOOP('',(#289044)); #55385=EDGE_LOOP('',(#289045)); #55386=EDGE_LOOP('',(#289046)); #55387=EDGE_LOOP('',(#289047)); #55388=EDGE_LOOP('',(#289048)); #55389=EDGE_LOOP('',(#289049)); #55390=EDGE_LOOP('',(#289050)); #55391=EDGE_LOOP('',(#289051)); #55392=EDGE_LOOP('',(#289052)); #55393=EDGE_LOOP('',(#289053)); #55394=EDGE_LOOP('',(#289054)); #55395=EDGE_LOOP('',(#289055)); #55396=EDGE_LOOP('',(#289056)); #55397=EDGE_LOOP('',(#289057)); #55398=EDGE_LOOP('',(#289058)); #55399=EDGE_LOOP('',(#289059)); #55400=EDGE_LOOP('',(#289060)); #55401=EDGE_LOOP('',(#289061)); #55402=EDGE_LOOP('',(#289062)); #55403=EDGE_LOOP('',(#289063)); #55404=EDGE_LOOP('',(#289064)); #55405=EDGE_LOOP('',(#289065)); #55406=EDGE_LOOP('',(#289066)); #55407=EDGE_LOOP('',(#289067)); #55408=EDGE_LOOP('',(#289068)); #55409=EDGE_LOOP('',(#289069)); #55410=EDGE_LOOP('',(#289070)); #55411=EDGE_LOOP('',(#289071)); #55412=EDGE_LOOP('',(#289072)); #55413=EDGE_LOOP('',(#289073)); #55414=EDGE_LOOP('',(#289074)); #55415=EDGE_LOOP('',(#289075)); #55416=EDGE_LOOP('',(#289076)); #55417=EDGE_LOOP('',(#289077)); #55418=EDGE_LOOP('',(#289078)); #55419=EDGE_LOOP('',(#289079)); #55420=EDGE_LOOP('',(#289080)); #55421=EDGE_LOOP('',(#289081)); #55422=EDGE_LOOP('',(#289082)); #55423=EDGE_LOOP('',(#289083)); #55424=EDGE_LOOP('',(#289084)); #55425=EDGE_LOOP('',(#289085)); #55426=EDGE_LOOP('',(#289086)); #55427=EDGE_LOOP('',(#289087)); #55428=EDGE_LOOP('',(#289088)); #55429=EDGE_LOOP('',(#289089)); #55430=EDGE_LOOP('',(#289090)); #55431=EDGE_LOOP('',(#289091)); #55432=EDGE_LOOP('',(#289092)); #55433=EDGE_LOOP('',(#289093)); #55434=EDGE_LOOP('',(#289094)); #55435=EDGE_LOOP('',(#289095)); #55436=EDGE_LOOP('',(#289096)); #55437=EDGE_LOOP('',(#289097)); #55438=EDGE_LOOP('',(#289098)); #55439=EDGE_LOOP('',(#289099)); #55440=EDGE_LOOP('',(#289100)); #55441=EDGE_LOOP('',(#289101)); #55442=EDGE_LOOP('',(#289102)); #55443=EDGE_LOOP('',(#289103)); #55444=EDGE_LOOP('',(#289104)); #55445=EDGE_LOOP('',(#289105)); #55446=EDGE_LOOP('',(#289106)); #55447=EDGE_LOOP('',(#289107)); #55448=EDGE_LOOP('',(#289108)); #55449=EDGE_LOOP('',(#289109)); #55450=EDGE_LOOP('',(#289110)); #55451=EDGE_LOOP('',(#289111)); #55452=EDGE_LOOP('',(#289112)); #55453=EDGE_LOOP('',(#289113)); #55454=EDGE_LOOP('',(#289114)); #55455=EDGE_LOOP('',(#289115)); #55456=EDGE_LOOP('',(#289116)); #55457=EDGE_LOOP('',(#289117)); #55458=EDGE_LOOP('',(#289118)); #55459=EDGE_LOOP('',(#289119)); #55460=EDGE_LOOP('',(#289120)); #55461=EDGE_LOOP('',(#289121)); #55462=EDGE_LOOP('',(#289122)); #55463=EDGE_LOOP('',(#289123)); #55464=EDGE_LOOP('',(#289124)); #55465=EDGE_LOOP('',(#289125)); #55466=EDGE_LOOP('',(#289126)); #55467=EDGE_LOOP('',(#289127)); #55468=EDGE_LOOP('',(#289128)); #55469=EDGE_LOOP('',(#289129)); #55470=EDGE_LOOP('',(#289130)); #55471=EDGE_LOOP('',(#289131)); #55472=EDGE_LOOP('',(#289132)); #55473=EDGE_LOOP('',(#289133)); #55474=EDGE_LOOP('',(#289134)); #55475=EDGE_LOOP('',(#289135)); #55476=EDGE_LOOP('',(#289136)); #55477=EDGE_LOOP('',(#289137)); #55478=EDGE_LOOP('',(#289138)); #55479=EDGE_LOOP('',(#289139)); #55480=EDGE_LOOP('',(#289140)); #55481=EDGE_LOOP('',(#289141)); #55482=EDGE_LOOP('',(#289142)); #55483=EDGE_LOOP('',(#289143)); #55484=EDGE_LOOP('',(#289144)); #55485=EDGE_LOOP('',(#289145)); #55486=EDGE_LOOP('',(#289146)); #55487=EDGE_LOOP('',(#289147)); #55488=EDGE_LOOP('',(#289148)); #55489=EDGE_LOOP('',(#289149)); #55490=EDGE_LOOP('',(#289150)); #55491=EDGE_LOOP('',(#289151)); #55492=EDGE_LOOP('',(#289152)); #55493=EDGE_LOOP('',(#289153)); #55494=EDGE_LOOP('',(#289154)); #55495=EDGE_LOOP('',(#289155)); #55496=EDGE_LOOP('',(#289156)); #55497=EDGE_LOOP('',(#289157)); #55498=EDGE_LOOP('',(#289158)); #55499=EDGE_LOOP('',(#289159)); #55500=EDGE_LOOP('',(#289160)); #55501=EDGE_LOOP('',(#289161)); #55502=EDGE_LOOP('',(#289162)); #55503=EDGE_LOOP('',(#289163)); #55504=EDGE_LOOP('',(#289164)); #55505=EDGE_LOOP('',(#289165)); #55506=EDGE_LOOP('',(#289166)); #55507=EDGE_LOOP('',(#289167)); #55508=EDGE_LOOP('',(#289168)); #55509=EDGE_LOOP('',(#289169)); #55510=EDGE_LOOP('',(#289170)); #55511=EDGE_LOOP('',(#289171)); #55512=EDGE_LOOP('',(#289172)); #55513=EDGE_LOOP('',(#289173)); #55514=EDGE_LOOP('',(#289174)); #55515=EDGE_LOOP('',(#289175,#289176,#289177,#289178)); #55516=EDGE_LOOP('',(#289179)); #55517=EDGE_LOOP('',(#289180,#289181,#289182,#289183)); #55518=EDGE_LOOP('',(#289184)); #55519=EDGE_LOOP('',(#289185)); #55520=EDGE_LOOP('',(#289186)); #55521=EDGE_LOOP('',(#289187,#289188,#289189,#289190)); #55522=EDGE_LOOP('',(#289191)); #55523=EDGE_LOOP('',(#289192)); #55524=EDGE_LOOP('',(#289193)); #55525=EDGE_LOOP('',(#289194)); #55526=EDGE_LOOP('',(#289195)); #55527=EDGE_LOOP('',(#289196)); #55528=EDGE_LOOP('',(#289197)); #55529=EDGE_LOOP('',(#289198)); #55530=EDGE_LOOP('',(#289199)); #55531=EDGE_LOOP('',(#289200)); #55532=EDGE_LOOP('',(#289201,#289202,#289203,#289204)); #55533=EDGE_LOOP('',(#289205)); #55534=EDGE_LOOP('',(#289206,#289207,#289208,#289209)); #55535=EDGE_LOOP('',(#289210)); #55536=EDGE_LOOP('',(#289211,#289212,#289213,#289214)); #55537=EDGE_LOOP('',(#289215)); #55538=EDGE_LOOP('',(#289216)); #55539=EDGE_LOOP('',(#289217)); #55540=EDGE_LOOP('',(#289218)); #55541=EDGE_LOOP('',(#289219)); #55542=EDGE_LOOP('',(#289220)); #55543=EDGE_LOOP('',(#289221)); #55544=EDGE_LOOP('',(#289222)); #55545=EDGE_LOOP('',(#289223)); #55546=EDGE_LOOP('',(#289224)); #55547=EDGE_LOOP('',(#289225)); #55548=EDGE_LOOP('',(#289226)); #55549=EDGE_LOOP('',(#289227)); #55550=EDGE_LOOP('',(#289228)); #55551=EDGE_LOOP('',(#289229)); #55552=EDGE_LOOP('',(#289230)); #55553=EDGE_LOOP('',(#289231)); #55554=EDGE_LOOP('',(#289232)); #55555=EDGE_LOOP('',(#289233)); #55556=EDGE_LOOP('',(#289234)); #55557=EDGE_LOOP('',(#289235)); #55558=EDGE_LOOP('',(#289236)); #55559=EDGE_LOOP('',(#289237)); #55560=EDGE_LOOP('',(#289238)); #55561=EDGE_LOOP('',(#289239)); #55562=EDGE_LOOP('',(#289240)); #55563=EDGE_LOOP('',(#289241)); #55564=EDGE_LOOP('',(#289242)); #55565=EDGE_LOOP('',(#289243)); #55566=EDGE_LOOP('',(#289244)); #55567=EDGE_LOOP('',(#289245)); #55568=EDGE_LOOP('',(#289246)); #55569=EDGE_LOOP('',(#289247)); #55570=EDGE_LOOP('',(#289248)); #55571=EDGE_LOOP('',(#289249)); #55572=EDGE_LOOP('',(#289250)); #55573=EDGE_LOOP('',(#289251)); #55574=EDGE_LOOP('',(#289252)); #55575=EDGE_LOOP('',(#289253)); #55576=EDGE_LOOP('',(#289254)); #55577=EDGE_LOOP('',(#289255)); #55578=EDGE_LOOP('',(#289256)); #55579=EDGE_LOOP('',(#289257)); #55580=EDGE_LOOP('',(#289258)); #55581=EDGE_LOOP('',(#289259)); #55582=EDGE_LOOP('',(#289260)); #55583=EDGE_LOOP('',(#289261)); #55584=EDGE_LOOP('',(#289262)); #55585=EDGE_LOOP('',(#289263)); #55586=EDGE_LOOP('',(#289264)); #55587=EDGE_LOOP('',(#289265)); #55588=EDGE_LOOP('',(#289266)); #55589=EDGE_LOOP('',(#289267)); #55590=EDGE_LOOP('',(#289268)); #55591=EDGE_LOOP('',(#289269)); #55592=EDGE_LOOP('',(#289270)); #55593=EDGE_LOOP('',(#289271)); #55594=EDGE_LOOP('',(#289272)); #55595=EDGE_LOOP('',(#289273)); #55596=EDGE_LOOP('',(#289274)); #55597=EDGE_LOOP('',(#289275)); #55598=EDGE_LOOP('',(#289276)); #55599=EDGE_LOOP('',(#289277)); #55600=EDGE_LOOP('',(#289278)); #55601=EDGE_LOOP('',(#289279)); #55602=EDGE_LOOP('',(#289280)); #55603=EDGE_LOOP('',(#289281)); #55604=EDGE_LOOP('',(#289282)); #55605=EDGE_LOOP('',(#289283)); #55606=EDGE_LOOP('',(#289284)); #55607=EDGE_LOOP('',(#289285)); #55608=EDGE_LOOP('',(#289286)); #55609=EDGE_LOOP('',(#289287)); #55610=EDGE_LOOP('',(#289288)); #55611=EDGE_LOOP('',(#289289)); #55612=EDGE_LOOP('',(#289290)); #55613=EDGE_LOOP('',(#289291)); #55614=EDGE_LOOP('',(#289292)); #55615=EDGE_LOOP('',(#289293)); #55616=EDGE_LOOP('',(#289294)); #55617=EDGE_LOOP('',(#289295)); #55618=EDGE_LOOP('',(#289296)); #55619=EDGE_LOOP('',(#289297)); #55620=EDGE_LOOP('',(#289298)); #55621=EDGE_LOOP('',(#289299)); #55622=EDGE_LOOP('',(#289300)); #55623=EDGE_LOOP('',(#289301)); #55624=EDGE_LOOP('',(#289302)); #55625=EDGE_LOOP('',(#289303)); #55626=EDGE_LOOP('',(#289304)); #55627=EDGE_LOOP('',(#289305)); #55628=EDGE_LOOP('',(#289306)); #55629=EDGE_LOOP('',(#289307)); #55630=EDGE_LOOP('',(#289308)); #55631=EDGE_LOOP('',(#289309)); #55632=EDGE_LOOP('',(#289310)); #55633=EDGE_LOOP('',(#289311)); #55634=EDGE_LOOP('',(#289312)); #55635=EDGE_LOOP('',(#289313)); #55636=EDGE_LOOP('',(#289314)); #55637=EDGE_LOOP('',(#289315)); #55638=EDGE_LOOP('',(#289316)); #55639=EDGE_LOOP('',(#289317)); #55640=EDGE_LOOP('',(#289318)); #55641=EDGE_LOOP('',(#289319)); #55642=EDGE_LOOP('',(#289320)); #55643=EDGE_LOOP('',(#289321)); #55644=EDGE_LOOP('',(#289322)); #55645=EDGE_LOOP('',(#289323)); #55646=EDGE_LOOP('',(#289324)); #55647=EDGE_LOOP('',(#289325)); #55648=EDGE_LOOP('',(#289326)); #55649=EDGE_LOOP('',(#289327)); #55650=EDGE_LOOP('',(#289328)); #55651=EDGE_LOOP('',(#289329)); #55652=EDGE_LOOP('',(#289330)); #55653=EDGE_LOOP('',(#289331)); #55654=EDGE_LOOP('',(#289332)); #55655=EDGE_LOOP('',(#289333)); #55656=EDGE_LOOP('',(#289334)); #55657=EDGE_LOOP('',(#289335)); #55658=EDGE_LOOP('',(#289336)); #55659=EDGE_LOOP('',(#289337)); #55660=EDGE_LOOP('',(#289338)); #55661=EDGE_LOOP('',(#289339)); #55662=EDGE_LOOP('',(#289340)); #55663=EDGE_LOOP('',(#289341)); #55664=EDGE_LOOP('',(#289342)); #55665=EDGE_LOOP('',(#289343)); #55666=EDGE_LOOP('',(#289344)); #55667=EDGE_LOOP('',(#289345)); #55668=EDGE_LOOP('',(#289346)); #55669=EDGE_LOOP('',(#289347)); #55670=EDGE_LOOP('',(#289348)); #55671=EDGE_LOOP('',(#289349)); #55672=EDGE_LOOP('',(#289350)); #55673=EDGE_LOOP('',(#289351)); #55674=EDGE_LOOP('',(#289352)); #55675=EDGE_LOOP('',(#289353)); #55676=EDGE_LOOP('',(#289354)); #55677=EDGE_LOOP('',(#289355)); #55678=EDGE_LOOP('',(#289356)); #55679=EDGE_LOOP('',(#289357)); #55680=EDGE_LOOP('',(#289358)); #55681=EDGE_LOOP('',(#289359)); #55682=EDGE_LOOP('',(#289360)); #55683=EDGE_LOOP('',(#289361)); #55684=EDGE_LOOP('',(#289362)); #55685=EDGE_LOOP('',(#289363)); #55686=EDGE_LOOP('',(#289364)); #55687=EDGE_LOOP('',(#289365)); #55688=EDGE_LOOP('',(#289366)); #55689=EDGE_LOOP('',(#289367)); #55690=EDGE_LOOP('',(#289368)); #55691=EDGE_LOOP('',(#289369)); #55692=EDGE_LOOP('',(#289370)); #55693=EDGE_LOOP('',(#289371)); #55694=EDGE_LOOP('',(#289372)); #55695=EDGE_LOOP('',(#289373)); #55696=EDGE_LOOP('',(#289374)); #55697=EDGE_LOOP('',(#289375)); #55698=EDGE_LOOP('',(#289376)); #55699=EDGE_LOOP('',(#289377)); #55700=EDGE_LOOP('',(#289378)); #55701=EDGE_LOOP('',(#289379)); #55702=EDGE_LOOP('',(#289380)); #55703=EDGE_LOOP('',(#289381)); #55704=EDGE_LOOP('',(#289382)); #55705=EDGE_LOOP('',(#289383)); #55706=EDGE_LOOP('',(#289384)); #55707=EDGE_LOOP('',(#289385)); #55708=EDGE_LOOP('',(#289386)); #55709=EDGE_LOOP('',(#289387)); #55710=EDGE_LOOP('',(#289388)); #55711=EDGE_LOOP('',(#289389)); #55712=EDGE_LOOP('',(#289390)); #55713=EDGE_LOOP('',(#289391)); #55714=EDGE_LOOP('',(#289392)); #55715=EDGE_LOOP('',(#289393)); #55716=EDGE_LOOP('',(#289394)); #55717=EDGE_LOOP('',(#289395)); #55718=EDGE_LOOP('',(#289396)); #55719=EDGE_LOOP('',(#289397)); #55720=EDGE_LOOP('',(#289398)); #55721=EDGE_LOOP('',(#289399)); #55722=EDGE_LOOP('',(#289400)); #55723=EDGE_LOOP('',(#289401)); #55724=EDGE_LOOP('',(#289402)); #55725=EDGE_LOOP('',(#289403)); #55726=EDGE_LOOP('',(#289404)); #55727=EDGE_LOOP('',(#289405)); #55728=EDGE_LOOP('',(#289406)); #55729=EDGE_LOOP('',(#289407)); #55730=EDGE_LOOP('',(#289408)); #55731=EDGE_LOOP('',(#289409)); #55732=EDGE_LOOP('',(#289410)); #55733=EDGE_LOOP('',(#289411)); #55734=EDGE_LOOP('',(#289412)); #55735=EDGE_LOOP('',(#289413)); #55736=EDGE_LOOP('',(#289414)); #55737=EDGE_LOOP('',(#289415)); #55738=EDGE_LOOP('',(#289416)); #55739=EDGE_LOOP('',(#289417)); #55740=EDGE_LOOP('',(#289418)); #55741=EDGE_LOOP('',(#289419)); #55742=EDGE_LOOP('',(#289420)); #55743=EDGE_LOOP('',(#289421)); #55744=EDGE_LOOP('',(#289422)); #55745=EDGE_LOOP('',(#289423)); #55746=EDGE_LOOP('',(#289424)); #55747=EDGE_LOOP('',(#289425)); #55748=EDGE_LOOP('',(#289426)); #55749=EDGE_LOOP('',(#289427)); #55750=EDGE_LOOP('',(#289428)); #55751=EDGE_LOOP('',(#289429)); #55752=EDGE_LOOP('',(#289430)); #55753=EDGE_LOOP('',(#289431)); #55754=EDGE_LOOP('',(#289432)); #55755=EDGE_LOOP('',(#289433)); #55756=EDGE_LOOP('',(#289434)); #55757=EDGE_LOOP('',(#289435)); #55758=EDGE_LOOP('',(#289436)); #55759=EDGE_LOOP('',(#289437)); #55760=EDGE_LOOP('',(#289438)); #55761=EDGE_LOOP('',(#289439)); #55762=EDGE_LOOP('',(#289440)); #55763=EDGE_LOOP('',(#289441)); #55764=EDGE_LOOP('',(#289442)); #55765=EDGE_LOOP('',(#289443)); #55766=EDGE_LOOP('',(#289444)); #55767=EDGE_LOOP('',(#289445)); #55768=EDGE_LOOP('',(#289446)); #55769=EDGE_LOOP('',(#289447)); #55770=EDGE_LOOP('',(#289448)); #55771=EDGE_LOOP('',(#289449)); #55772=EDGE_LOOP('',(#289450)); #55773=EDGE_LOOP('',(#289451)); #55774=EDGE_LOOP('',(#289452)); #55775=EDGE_LOOP('',(#289453)); #55776=EDGE_LOOP('',(#289454)); #55777=EDGE_LOOP('',(#289455)); #55778=EDGE_LOOP('',(#289456)); #55779=EDGE_LOOP('',(#289457)); #55780=EDGE_LOOP('',(#289458)); #55781=EDGE_LOOP('',(#289459)); #55782=EDGE_LOOP('',(#289460)); #55783=EDGE_LOOP('',(#289461)); #55784=EDGE_LOOP('',(#289462)); #55785=EDGE_LOOP('',(#289463)); #55786=EDGE_LOOP('',(#289464)); #55787=EDGE_LOOP('',(#289465)); #55788=EDGE_LOOP('',(#289466)); #55789=EDGE_LOOP('',(#289467)); #55790=EDGE_LOOP('',(#289468)); #55791=EDGE_LOOP('',(#289469)); #55792=EDGE_LOOP('',(#289470)); #55793=EDGE_LOOP('',(#289471)); #55794=EDGE_LOOP('',(#289472)); #55795=EDGE_LOOP('',(#289473)); #55796=EDGE_LOOP('',(#289474)); #55797=EDGE_LOOP('',(#289475)); #55798=EDGE_LOOP('',(#289476)); #55799=EDGE_LOOP('',(#289477)); #55800=EDGE_LOOP('',(#289478)); #55801=EDGE_LOOP('',(#289479)); #55802=EDGE_LOOP('',(#289480)); #55803=EDGE_LOOP('',(#289481)); #55804=EDGE_LOOP('',(#289482)); #55805=EDGE_LOOP('',(#289483)); #55806=EDGE_LOOP('',(#289484)); #55807=EDGE_LOOP('',(#289485)); #55808=EDGE_LOOP('',(#289486)); #55809=EDGE_LOOP('',(#289487)); #55810=EDGE_LOOP('',(#289488)); #55811=EDGE_LOOP('',(#289489)); #55812=EDGE_LOOP('',(#289490)); #55813=EDGE_LOOP('',(#289491)); #55814=EDGE_LOOP('',(#289492)); #55815=EDGE_LOOP('',(#289493)); #55816=EDGE_LOOP('',(#289494)); #55817=EDGE_LOOP('',(#289495)); #55818=EDGE_LOOP('',(#289496)); #55819=EDGE_LOOP('',(#289497)); #55820=EDGE_LOOP('',(#289498)); #55821=EDGE_LOOP('',(#289499)); #55822=EDGE_LOOP('',(#289500)); #55823=EDGE_LOOP('',(#289501)); #55824=EDGE_LOOP('',(#289502)); #55825=EDGE_LOOP('',(#289503)); #55826=EDGE_LOOP('',(#289504)); #55827=EDGE_LOOP('',(#289505)); #55828=EDGE_LOOP('',(#289506)); #55829=EDGE_LOOP('',(#289507)); #55830=EDGE_LOOP('',(#289508)); #55831=EDGE_LOOP('',(#289509)); #55832=EDGE_LOOP('',(#289510)); #55833=EDGE_LOOP('',(#289511,#289512,#289513,#289514)); #55834=EDGE_LOOP('',(#289515)); #55835=EDGE_LOOP('',(#289516,#289517,#289518,#289519)); #55836=EDGE_LOOP('',(#289520)); #55837=EDGE_LOOP('',(#289521)); #55838=EDGE_LOOP('',(#289522)); #55839=EDGE_LOOP('',(#289523,#289524,#289525,#289526)); #55840=EDGE_LOOP('',(#289527)); #55841=EDGE_LOOP('',(#289528)); #55842=EDGE_LOOP('',(#289529)); #55843=EDGE_LOOP('',(#289530)); #55844=EDGE_LOOP('',(#289531)); #55845=EDGE_LOOP('',(#289532)); #55846=EDGE_LOOP('',(#289533)); #55847=EDGE_LOOP('',(#289534)); #55848=EDGE_LOOP('',(#289535)); #55849=EDGE_LOOP('',(#289536)); #55850=EDGE_LOOP('',(#289537,#289538,#289539,#289540)); #55851=EDGE_LOOP('',(#289541)); #55852=EDGE_LOOP('',(#289542,#289543,#289544,#289545)); #55853=EDGE_LOOP('',(#289546)); #55854=EDGE_LOOP('',(#289547,#289548,#289549,#289550)); #55855=EDGE_LOOP('',(#289551)); #55856=EDGE_LOOP('',(#289552)); #55857=EDGE_LOOP('',(#289553)); #55858=EDGE_LOOP('',(#289554)); #55859=EDGE_LOOP('',(#289555)); #55860=EDGE_LOOP('',(#289556)); #55861=EDGE_LOOP('',(#289557)); #55862=EDGE_LOOP('',(#289558)); #55863=EDGE_LOOP('',(#289559)); #55864=EDGE_LOOP('',(#289560)); #55865=EDGE_LOOP('',(#289561)); #55866=EDGE_LOOP('',(#289562)); #55867=EDGE_LOOP('',(#289563)); #55868=EDGE_LOOP('',(#289564)); #55869=EDGE_LOOP('',(#289565)); #55870=EDGE_LOOP('',(#289566)); #55871=EDGE_LOOP('',(#289567)); #55872=EDGE_LOOP('',(#289568)); #55873=EDGE_LOOP('',(#289569)); #55874=EDGE_LOOP('',(#289570)); #55875=EDGE_LOOP('',(#289571)); #55876=EDGE_LOOP('',(#289572)); #55877=EDGE_LOOP('',(#289573)); #55878=EDGE_LOOP('',(#289574)); #55879=EDGE_LOOP('',(#289575)); #55880=EDGE_LOOP('',(#289576)); #55881=EDGE_LOOP('',(#289577)); #55882=EDGE_LOOP('',(#289578)); #55883=EDGE_LOOP('',(#289579)); #55884=EDGE_LOOP('',(#289580)); #55885=EDGE_LOOP('',(#289581)); #55886=EDGE_LOOP('',(#289582)); #55887=EDGE_LOOP('',(#289583)); #55888=EDGE_LOOP('',(#289584)); #55889=EDGE_LOOP('',(#289585)); #55890=EDGE_LOOP('',(#289586)); #55891=EDGE_LOOP('',(#289587)); #55892=EDGE_LOOP('',(#289588)); #55893=EDGE_LOOP('',(#289589)); #55894=EDGE_LOOP('',(#289590)); #55895=EDGE_LOOP('',(#289591)); #55896=EDGE_LOOP('',(#289592)); #55897=EDGE_LOOP('',(#289593)); #55898=EDGE_LOOP('',(#289594)); #55899=EDGE_LOOP('',(#289595)); #55900=EDGE_LOOP('',(#289596)); #55901=EDGE_LOOP('',(#289597)); #55902=EDGE_LOOP('',(#289598)); #55903=EDGE_LOOP('',(#289599)); #55904=EDGE_LOOP('',(#289600)); #55905=EDGE_LOOP('',(#289601)); #55906=EDGE_LOOP('',(#289602)); #55907=EDGE_LOOP('',(#289603)); #55908=EDGE_LOOP('',(#289604)); #55909=EDGE_LOOP('',(#289605)); #55910=EDGE_LOOP('',(#289606)); #55911=EDGE_LOOP('',(#289607)); #55912=EDGE_LOOP('',(#289608)); #55913=EDGE_LOOP('',(#289609)); #55914=EDGE_LOOP('',(#289610)); #55915=EDGE_LOOP('',(#289611)); #55916=EDGE_LOOP('',(#289612)); #55917=EDGE_LOOP('',(#289613)); #55918=EDGE_LOOP('',(#289614)); #55919=EDGE_LOOP('',(#289615)); #55920=EDGE_LOOP('',(#289616)); #55921=EDGE_LOOP('',(#289617)); #55922=EDGE_LOOP('',(#289618)); #55923=EDGE_LOOP('',(#289619)); #55924=EDGE_LOOP('',(#289620)); #55925=EDGE_LOOP('',(#289621)); #55926=EDGE_LOOP('',(#289622)); #55927=EDGE_LOOP('',(#289623)); #55928=EDGE_LOOP('',(#289624)); #55929=EDGE_LOOP('',(#289625)); #55930=EDGE_LOOP('',(#289626)); #55931=EDGE_LOOP('',(#289627)); #55932=EDGE_LOOP('',(#289628)); #55933=EDGE_LOOP('',(#289629)); #55934=EDGE_LOOP('',(#289630)); #55935=EDGE_LOOP('',(#289631)); #55936=EDGE_LOOP('',(#289632)); #55937=EDGE_LOOP('',(#289633)); #55938=EDGE_LOOP('',(#289634)); #55939=EDGE_LOOP('',(#289635)); #55940=EDGE_LOOP('',(#289636)); #55941=EDGE_LOOP('',(#289637)); #55942=EDGE_LOOP('',(#289638)); #55943=EDGE_LOOP('',(#289639)); #55944=EDGE_LOOP('',(#289640)); #55945=EDGE_LOOP('',(#289641)); #55946=EDGE_LOOP('',(#289642)); #55947=EDGE_LOOP('',(#289643)); #55948=EDGE_LOOP('',(#289644)); #55949=EDGE_LOOP('',(#289645)); #55950=EDGE_LOOP('',(#289646)); #55951=EDGE_LOOP('',(#289647)); #55952=EDGE_LOOP('',(#289648)); #55953=EDGE_LOOP('',(#289649)); #55954=EDGE_LOOP('',(#289650)); #55955=EDGE_LOOP('',(#289651)); #55956=EDGE_LOOP('',(#289652)); #55957=EDGE_LOOP('',(#289653)); #55958=EDGE_LOOP('',(#289654)); #55959=EDGE_LOOP('',(#289655)); #55960=EDGE_LOOP('',(#289656)); #55961=EDGE_LOOP('',(#289657)); #55962=EDGE_LOOP('',(#289658)); #55963=EDGE_LOOP('',(#289659)); #55964=EDGE_LOOP('',(#289660)); #55965=EDGE_LOOP('',(#289661)); #55966=EDGE_LOOP('',(#289662)); #55967=EDGE_LOOP('',(#289663)); #55968=EDGE_LOOP('',(#289664)); #55969=EDGE_LOOP('',(#289665)); #55970=EDGE_LOOP('',(#289666)); #55971=EDGE_LOOP('',(#289667)); #55972=EDGE_LOOP('',(#289668)); #55973=EDGE_LOOP('',(#289669)); #55974=EDGE_LOOP('',(#289670)); #55975=EDGE_LOOP('',(#289671)); #55976=EDGE_LOOP('',(#289672)); #55977=EDGE_LOOP('',(#289673)); #55978=EDGE_LOOP('',(#289674)); #55979=EDGE_LOOP('',(#289675)); #55980=EDGE_LOOP('',(#289676)); #55981=EDGE_LOOP('',(#289677)); #55982=EDGE_LOOP('',(#289678)); #55983=EDGE_LOOP('',(#289679)); #55984=EDGE_LOOP('',(#289680)); #55985=EDGE_LOOP('',(#289681)); #55986=EDGE_LOOP('',(#289682)); #55987=EDGE_LOOP('',(#289683,#289684,#289685,#289686)); #55988=EDGE_LOOP('',(#289687,#289688,#289689,#289690)); #55989=EDGE_LOOP('',(#289691,#289692,#289693,#289694,#289695,#289696,#289697, #289698,#289699,#289700,#289701,#289702,#289703,#289704,#289705,#289706, #289707,#289708,#289709,#289710,#289711,#289712,#289713,#289714,#289715, #289716)); #55990=EDGE_LOOP('',(#289717,#289718,#289719,#289720)); #55991=EDGE_LOOP('',(#289721,#289722,#289723,#289724,#289725,#289726)); #55992=EDGE_LOOP('',(#289727,#289728,#289729,#289730,#289731,#289732,#289733, #289734)); #55993=EDGE_LOOP('',(#289735,#289736,#289737,#289738,#289739,#289740,#289741, #289742)); #55994=EDGE_LOOP('',(#289743,#289744,#289745,#289746)); #55995=EDGE_LOOP('',(#289747,#289748,#289749,#289750)); #55996=EDGE_LOOP('',(#289751,#289752,#289753,#289754)); #55997=EDGE_LOOP('',(#289755,#289756,#289757,#289758)); #55998=EDGE_LOOP('',(#289759,#289760,#289761,#289762)); #55999=EDGE_LOOP('',(#289763,#289764,#289765,#289766)); #56000=EDGE_LOOP('',(#289767,#289768,#289769,#289770)); #56001=EDGE_LOOP('',(#289771,#289772,#289773,#289774)); #56002=EDGE_LOOP('',(#289775,#289776,#289777,#289778)); #56003=EDGE_LOOP('',(#289779,#289780,#289781,#289782)); #56004=EDGE_LOOP('',(#289783,#289784,#289785,#289786)); #56005=EDGE_LOOP('',(#289787,#289788,#289789,#289790)); #56006=EDGE_LOOP('',(#289791,#289792,#289793,#289794)); #56007=EDGE_LOOP('',(#289795,#289796,#289797,#289798)); #56008=EDGE_LOOP('',(#289799,#289800,#289801,#289802)); #56009=EDGE_LOOP('',(#289803,#289804,#289805,#289806,#289807,#289808,#289809, #289810,#289811,#289812,#289813,#289814,#289815,#289816,#289817,#289818, #289819,#289820,#289821,#289822)); #56010=EDGE_LOOP('',(#289823,#289824,#289825,#289826)); #56011=EDGE_LOOP('',(#289827,#289828,#289829,#289830)); #56012=EDGE_LOOP('',(#289831,#289832,#289833,#289834)); #56013=EDGE_LOOP('',(#289835,#289836,#289837,#289838,#289839,#289840,#289841, #289842)); #56014=EDGE_LOOP('',(#289843,#289844,#289845,#289846)); #56015=EDGE_LOOP('',(#289847,#289848,#289849,#289850)); #56016=EDGE_LOOP('',(#289851,#289852,#289853,#289854)); #56017=EDGE_LOOP('',(#289855,#289856,#289857,#289858)); #56018=EDGE_LOOP('',(#289859,#289860,#289861,#289862)); #56019=EDGE_LOOP('',(#289863,#289864,#289865,#289866)); #56020=EDGE_LOOP('',(#289867,#289868,#289869,#289870)); #56021=EDGE_LOOP('',(#289871,#289872,#289873,#289874)); #56022=EDGE_LOOP('',(#289875,#289876,#289877,#289878)); #56023=EDGE_LOOP('',(#289879,#289880,#289881,#289882)); #56024=EDGE_LOOP('',(#289883,#289884,#289885,#289886)); #56025=EDGE_LOOP('',(#289887,#289888,#289889,#289890)); #56026=EDGE_LOOP('',(#289891,#289892,#289893,#289894)); #56027=EDGE_LOOP('',(#289895,#289896,#289897,#289898)); #56028=EDGE_LOOP('',(#289899,#289900,#289901,#289902)); #56029=EDGE_LOOP('',(#289903,#289904,#289905,#289906)); #56030=EDGE_LOOP('',(#289907,#289908,#289909,#289910)); #56031=EDGE_LOOP('',(#289911,#289912,#289913,#289914)); #56032=EDGE_LOOP('',(#289915,#289916,#289917,#289918)); #56033=EDGE_LOOP('',(#289919,#289920,#289921,#289922)); #56034=EDGE_LOOP('',(#289923,#289924,#289925,#289926)); #56035=EDGE_LOOP('',(#289927,#289928,#289929,#289930)); #56036=EDGE_LOOP('',(#289931,#289932,#289933,#289934)); #56037=EDGE_LOOP('',(#289935,#289936,#289937,#289938)); #56038=EDGE_LOOP('',(#289939,#289940,#289941,#289942)); #56039=EDGE_LOOP('',(#289943,#289944,#289945,#289946)); #56040=EDGE_LOOP('',(#289947,#289948,#289949,#289950)); #56041=EDGE_LOOP('',(#289951,#289952,#289953,#289954)); #56042=EDGE_LOOP('',(#289955,#289956,#289957,#289958)); #56043=EDGE_LOOP('',(#289959,#289960,#289961,#289962)); #56044=EDGE_LOOP('',(#289963,#289964,#289965,#289966)); #56045=EDGE_LOOP('',(#289967,#289968,#289969,#289970)); #56046=EDGE_LOOP('',(#289971,#289972,#289973,#289974)); #56047=EDGE_LOOP('',(#289975,#289976,#289977,#289978)); #56048=EDGE_LOOP('',(#289979,#289980,#289981,#289982)); #56049=EDGE_LOOP('',(#289983,#289984,#289985,#289986)); #56050=EDGE_LOOP('',(#289987,#289988,#289989,#289990)); #56051=EDGE_LOOP('',(#289991,#289992,#289993,#289994)); #56052=EDGE_LOOP('',(#289995,#289996,#289997,#289998)); #56053=EDGE_LOOP('',(#289999,#290000,#290001,#290002)); #56054=EDGE_LOOP('',(#290003,#290004,#290005,#290006)); #56055=EDGE_LOOP('',(#290007,#290008,#290009,#290010)); #56056=EDGE_LOOP('',(#290011,#290012,#290013,#290014)); #56057=EDGE_LOOP('',(#290015,#290016,#290017,#290018)); #56058=EDGE_LOOP('',(#290019,#290020,#290021,#290022)); #56059=EDGE_LOOP('',(#290023,#290024,#290025,#290026)); #56060=EDGE_LOOP('',(#290027,#290028,#290029,#290030)); #56061=EDGE_LOOP('',(#290031,#290032,#290033,#290034)); #56062=EDGE_LOOP('',(#290035,#290036,#290037,#290038)); #56063=EDGE_LOOP('',(#290039,#290040,#290041,#290042)); #56064=EDGE_LOOP('',(#290043,#290044,#290045,#290046)); #56065=EDGE_LOOP('',(#290047,#290048,#290049,#290050)); #56066=EDGE_LOOP('',(#290051,#290052,#290053,#290054)); #56067=EDGE_LOOP('',(#290055,#290056,#290057,#290058)); #56068=EDGE_LOOP('',(#290059,#290060,#290061,#290062)); #56069=EDGE_LOOP('',(#290063,#290064,#290065,#290066)); #56070=EDGE_LOOP('',(#290067,#290068,#290069,#290070)); #56071=EDGE_LOOP('',(#290071,#290072,#290073,#290074)); #56072=EDGE_LOOP('',(#290075,#290076,#290077,#290078)); #56073=EDGE_LOOP('',(#290079,#290080,#290081,#290082)); #56074=EDGE_LOOP('',(#290083,#290084,#290085,#290086)); #56075=EDGE_LOOP('',(#290087,#290088,#290089,#290090)); #56076=EDGE_LOOP('',(#290091,#290092,#290093,#290094)); #56077=EDGE_LOOP('',(#290095,#290096,#290097,#290098)); #56078=EDGE_LOOP('',(#290099,#290100,#290101,#290102)); #56079=EDGE_LOOP('',(#290103,#290104,#290105,#290106)); #56080=EDGE_LOOP('',(#290107,#290108,#290109,#290110)); #56081=EDGE_LOOP('',(#290111,#290112,#290113,#290114)); #56082=EDGE_LOOP('',(#290115,#290116,#290117,#290118)); #56083=EDGE_LOOP('',(#290119,#290120,#290121,#290122)); #56084=EDGE_LOOP('',(#290123,#290124,#290125,#290126)); #56085=EDGE_LOOP('',(#290127,#290128,#290129,#290130)); #56086=EDGE_LOOP('',(#290131,#290132,#290133,#290134)); #56087=EDGE_LOOP('',(#290135,#290136,#290137,#290138)); #56088=EDGE_LOOP('',(#290139,#290140,#290141,#290142)); #56089=EDGE_LOOP('',(#290143,#290144,#290145,#290146)); #56090=EDGE_LOOP('',(#290147,#290148,#290149,#290150)); #56091=EDGE_LOOP('',(#290151,#290152,#290153,#290154)); #56092=EDGE_LOOP('',(#290155,#290156,#290157,#290158)); #56093=EDGE_LOOP('',(#290159,#290160,#290161,#290162)); #56094=EDGE_LOOP('',(#290163,#290164,#290165,#290166)); #56095=EDGE_LOOP('',(#290167,#290168,#290169,#290170)); #56096=EDGE_LOOP('',(#290171,#290172,#290173,#290174)); #56097=EDGE_LOOP('',(#290175,#290176,#290177,#290178)); #56098=EDGE_LOOP('',(#290179,#290180,#290181,#290182)); #56099=EDGE_LOOP('',(#290183,#290184,#290185)); #56100=EDGE_LOOP('',(#290186,#290187,#290188)); #56101=EDGE_LOOP('',(#290189,#290190,#290191)); #56102=EDGE_LOOP('',(#290192,#290193,#290194)); #56103=EDGE_LOOP('',(#290195,#290196,#290197)); #56104=EDGE_LOOP('',(#290198,#290199,#290200,#290201)); #56105=EDGE_LOOP('',(#290202,#290203,#290204)); #56106=EDGE_LOOP('',(#290205,#290206,#290207)); #56107=EDGE_LOOP('',(#290208,#290209,#290210,#290211)); #56108=EDGE_LOOP('',(#290212,#290213,#290214,#290215)); #56109=EDGE_LOOP('',(#290216,#290217,#290218)); #56110=EDGE_LOOP('',(#290219,#290220,#290221,#290222)); #56111=EDGE_LOOP('',(#290223,#290224,#290225,#290226)); #56112=EDGE_LOOP('',(#290227,#290228,#290229,#290230)); #56113=EDGE_LOOP('',(#290231,#290232,#290233,#290234)); #56114=EDGE_LOOP('',(#290235,#290236,#290237,#290238)); #56115=EDGE_LOOP('',(#290239,#290240,#290241,#290242)); #56116=EDGE_LOOP('',(#290243,#290244,#290245,#290246)); #56117=EDGE_LOOP('',(#290247,#290248,#290249,#290250)); #56118=EDGE_LOOP('',(#290251,#290252,#290253,#290254)); #56119=EDGE_LOOP('',(#290255,#290256,#290257,#290258)); #56120=EDGE_LOOP('',(#290259,#290260,#290261,#290262)); #56121=EDGE_LOOP('',(#290263,#290264,#290265,#290266)); #56122=EDGE_LOOP('',(#290267,#290268,#290269,#290270)); #56123=EDGE_LOOP('',(#290271,#290272,#290273,#290274)); #56124=EDGE_LOOP('',(#290275,#290276,#290277,#290278)); #56125=EDGE_LOOP('',(#290279,#290280,#290281)); #56126=EDGE_LOOP('',(#290282,#290283,#290284)); #56127=EDGE_LOOP('',(#290285,#290286,#290287)); #56128=EDGE_LOOP('',(#290288,#290289,#290290)); #56129=EDGE_LOOP('',(#290291,#290292,#290293)); #56130=EDGE_LOOP('',(#290294,#290295,#290296,#290297)); #56131=EDGE_LOOP('',(#290298,#290299,#290300)); #56132=EDGE_LOOP('',(#290301,#290302,#290303)); #56133=EDGE_LOOP('',(#290304,#290305,#290306,#290307)); #56134=EDGE_LOOP('',(#290308,#290309,#290310,#290311)); #56135=EDGE_LOOP('',(#290312,#290313,#290314)); #56136=EDGE_LOOP('',(#290315,#290316,#290317,#290318)); #56137=EDGE_LOOP('',(#290319,#290320,#290321,#290322)); #56138=EDGE_LOOP('',(#290323,#290324,#290325,#290326)); #56139=EDGE_LOOP('',(#290327,#290328,#290329,#290330)); #56140=EDGE_LOOP('',(#290331,#290332,#290333,#290334)); #56141=EDGE_LOOP('',(#290335,#290336,#290337,#290338)); #56142=EDGE_LOOP('',(#290339,#290340,#290341,#290342)); #56143=EDGE_LOOP('',(#290343,#290344,#290345,#290346)); #56144=EDGE_LOOP('',(#290347,#290348,#290349,#290350)); #56145=EDGE_LOOP('',(#290351,#290352,#290353,#290354)); #56146=EDGE_LOOP('',(#290355,#290356,#290357,#290358)); #56147=EDGE_LOOP('',(#290359,#290360,#290361,#290362)); #56148=EDGE_LOOP('',(#290363,#290364,#290365,#290366)); #56149=EDGE_LOOP('',(#290367,#290368,#290369,#290370)); #56150=EDGE_LOOP('',(#290371,#290372,#290373,#290374)); #56151=EDGE_LOOP('',(#290375,#290376,#290377,#290378)); #56152=EDGE_LOOP('',(#290379,#290380,#290381,#290382)); #56153=EDGE_LOOP('',(#290383,#290384,#290385,#290386)); #56154=EDGE_LOOP('',(#290387,#290388,#290389,#290390)); #56155=EDGE_LOOP('',(#290391,#290392,#290393,#290394)); #56156=EDGE_LOOP('',(#290395,#290396,#290397,#290398)); #56157=EDGE_LOOP('',(#290399,#290400,#290401,#290402)); #56158=EDGE_LOOP('',(#290403,#290404,#290405,#290406)); #56159=EDGE_LOOP('',(#290407,#290408,#290409,#290410)); #56160=EDGE_LOOP('',(#290411,#290412,#290413,#290414)); #56161=EDGE_LOOP('',(#290415,#290416,#290417,#290418)); #56162=EDGE_LOOP('',(#290419,#290420,#290421,#290422)); #56163=EDGE_LOOP('',(#290423,#290424,#290425,#290426)); #56164=EDGE_LOOP('',(#290427,#290428,#290429,#290430)); #56165=EDGE_LOOP('',(#290431,#290432,#290433,#290434)); #56166=EDGE_LOOP('',(#290435,#290436,#290437,#290438)); #56167=EDGE_LOOP('',(#290439,#290440,#290441,#290442)); #56168=EDGE_LOOP('',(#290443,#290444,#290445,#290446)); #56169=EDGE_LOOP('',(#290447,#290448,#290449,#290450,#290451,#290452,#290453, #290454,#290455,#290456,#290457,#290458,#290459,#290460,#290461,#290462)); #56170=EDGE_LOOP('',(#290463,#290464,#290465,#290466)); #56171=EDGE_LOOP('',(#290467,#290468,#290469,#290470,#290471,#290472,#290473, #290474)); #56172=EDGE_LOOP('',(#290475,#290476,#290477,#290478,#290479,#290480,#290481, #290482)); #56173=EDGE_LOOP('',(#290483,#290484,#290485,#290486)); #56174=EDGE_LOOP('',(#290487,#290488,#290489,#290490)); #56175=EDGE_LOOP('',(#290491,#290492,#290493,#290494,#290495,#290496,#290497, #290498,#290499,#290500,#290501,#290502,#290503,#290504,#290505,#290506)); #56176=EDGE_LOOP('',(#290507,#290508,#290509,#290510)); #56177=EDGE_LOOP('',(#290511,#290512,#290513,#290514,#290515,#290516,#290517, #290518,#290519,#290520,#290521,#290522,#290523,#290524,#290525,#290526, #290527,#290528,#290529)); #56178=EDGE_LOOP('',(#290530,#290531,#290532,#290533,#290534)); #56179=EDGE_LOOP('',(#290535,#290536,#290537,#290538,#290539)); #56180=EDGE_LOOP('',(#290540,#290541,#290542,#290543,#290544,#290545,#290546, #290547)); #56181=EDGE_LOOP('',(#290548,#290549,#290550,#290551)); #56182=EDGE_LOOP('',(#290552,#290553,#290554,#290555)); #56183=EDGE_LOOP('',(#290556,#290557,#290558,#290559)); #56184=EDGE_LOOP('',(#290560,#290561,#290562,#290563)); #56185=EDGE_LOOP('',(#290564,#290565,#290566,#290567)); #56186=EDGE_LOOP('',(#290568,#290569,#290570,#290571)); #56187=EDGE_LOOP('',(#290572,#290573,#290574,#290575)); #56188=EDGE_LOOP('',(#290576,#290577,#290578,#290579)); #56189=EDGE_LOOP('',(#290580,#290581,#290582,#290583)); #56190=EDGE_LOOP('',(#290584,#290585,#290586,#290587)); #56191=EDGE_LOOP('',(#290588,#290589,#290590,#290591)); #56192=EDGE_LOOP('',(#290592,#290593,#290594,#290595)); #56193=EDGE_LOOP('',(#290596,#290597,#290598,#290599)); #56194=EDGE_LOOP('',(#290600,#290601,#290602,#290603)); #56195=EDGE_LOOP('',(#290604,#290605,#290606,#290607)); #56196=EDGE_LOOP('',(#290608,#290609,#290610,#290611)); #56197=EDGE_LOOP('',(#290612,#290613,#290614,#290615)); #56198=EDGE_LOOP('',(#290616,#290617,#290618,#290619)); #56199=EDGE_LOOP('',(#290620,#290621,#290622,#290623)); #56200=EDGE_LOOP('',(#290624,#290625,#290626,#290627)); #56201=EDGE_LOOP('',(#290628,#290629,#290630,#290631)); #56202=EDGE_LOOP('',(#290632,#290633,#290634,#290635)); #56203=EDGE_LOOP('',(#290636,#290637,#290638,#290639)); #56204=EDGE_LOOP('',(#290640,#290641,#290642,#290643)); #56205=EDGE_LOOP('',(#290644,#290645,#290646,#290647)); #56206=EDGE_LOOP('',(#290648,#290649,#290650,#290651)); #56207=EDGE_LOOP('',(#290652,#290653,#290654,#290655)); #56208=EDGE_LOOP('',(#290656,#290657,#290658,#290659)); #56209=EDGE_LOOP('',(#290660,#290661,#290662,#290663)); #56210=EDGE_LOOP('',(#290664,#290665,#290666,#290667)); #56211=EDGE_LOOP('',(#290668,#290669,#290670,#290671)); #56212=EDGE_LOOP('',(#290672,#290673,#290674,#290675)); #56213=EDGE_LOOP('',(#290676,#290677,#290678,#290679)); #56214=EDGE_LOOP('',(#290680,#290681,#290682,#290683)); #56215=EDGE_LOOP('',(#290684,#290685,#290686,#290687)); #56216=EDGE_LOOP('',(#290688,#290689,#290690,#290691)); #56217=EDGE_LOOP('',(#290692,#290693,#290694,#290695)); #56218=EDGE_LOOP('',(#290696,#290697,#290698,#290699)); #56219=EDGE_LOOP('',(#290700,#290701,#290702,#290703)); #56220=EDGE_LOOP('',(#290704,#290705,#290706,#290707)); #56221=EDGE_LOOP('',(#290708,#290709,#290710,#290711)); #56222=EDGE_LOOP('',(#290712,#290713,#290714,#290715)); #56223=EDGE_LOOP('',(#290716,#290717,#290718,#290719)); #56224=EDGE_LOOP('',(#290720,#290721,#290722,#290723)); #56225=EDGE_LOOP('',(#290724,#290725,#290726,#290727)); #56226=EDGE_LOOP('',(#290728,#290729,#290730,#290731)); #56227=EDGE_LOOP('',(#290732,#290733,#290734,#290735)); #56228=EDGE_LOOP('',(#290736,#290737,#290738,#290739)); #56229=EDGE_LOOP('',(#290740,#290741,#290742,#290743)); #56230=EDGE_LOOP('',(#290744,#290745,#290746,#290747)); #56231=EDGE_LOOP('',(#290748,#290749,#290750,#290751)); #56232=EDGE_LOOP('',(#290752,#290753,#290754,#290755)); #56233=EDGE_LOOP('',(#290756,#290757,#290758,#290759)); #56234=EDGE_LOOP('',(#290760,#290761,#290762,#290763)); #56235=EDGE_LOOP('',(#290764,#290765,#290766,#290767)); #56236=EDGE_LOOP('',(#290768,#290769,#290770,#290771)); #56237=EDGE_LOOP('',(#290772,#290773,#290774,#290775)); #56238=EDGE_LOOP('',(#290776,#290777,#290778,#290779)); #56239=EDGE_LOOP('',(#290780,#290781,#290782,#290783)); #56240=EDGE_LOOP('',(#290784,#290785,#290786,#290787)); #56241=EDGE_LOOP('',(#290788,#290789,#290790,#290791,#290792,#290793)); #56242=EDGE_LOOP('',(#290794,#290795,#290796,#290797,#290798,#290799)); #56243=EDGE_LOOP('',(#290800,#290801,#290802,#290803,#290804,#290805)); #56244=EDGE_LOOP('',(#290806,#290807,#290808,#290809,#290810,#290811,#290812, #290813,#290814,#290815,#290816,#290817,#290818,#290819,#290820,#290821, #290822,#290823,#290824,#290825,#290826,#290827,#290828,#290829,#290830, #290831,#290832,#290833,#290834,#290835,#290836,#290837,#290838,#290839, #290840,#290841,#290842,#290843,#290844,#290845,#290846,#290847,#290848, #290849,#290850,#290851,#290852,#290853,#290854,#290855,#290856,#290857, #290858,#290859,#290860,#290861,#290862,#290863,#290864,#290865,#290866, #290867,#290868,#290869,#290870,#290871,#290872,#290873,#290874,#290875, #290876,#290877,#290878,#290879,#290880,#290881)); #56245=EDGE_LOOP('',(#290882,#290883,#290884,#290885,#290886,#290887,#290888)); #56246=EDGE_LOOP('',(#290889,#290890,#290891,#290892,#290893,#290894,#290895)); #56247=EDGE_LOOP('',(#290896,#290897,#290898,#290899)); #56248=EDGE_LOOP('',(#290900,#290901,#290902,#290903)); #56249=EDGE_LOOP('',(#290904,#290905,#290906,#290907)); #56250=EDGE_LOOP('',(#290908,#290909,#290910,#290911,#290912,#290913,#290914, #290915,#290916,#290917,#290918,#290919,#290920,#290921)); #56251=EDGE_LOOP('',(#290922,#290923,#290924,#290925)); #56252=EDGE_LOOP('',(#290926,#290927,#290928,#290929)); #56253=EDGE_LOOP('',(#290930,#290931,#290932,#290933,#290934,#290935,#290936, #290937,#290938,#290939,#290940,#290941)); #56254=EDGE_LOOP('',(#290942,#290943,#290944,#290945)); #56255=EDGE_LOOP('',(#290946,#290947,#290948,#290949)); #56256=EDGE_LOOP('',(#290950,#290951,#290952,#290953,#290954,#290955,#290956, #290957,#290958,#290959,#290960,#290961)); #56257=EDGE_LOOP('',(#290962,#290963,#290964,#290965)); #56258=EDGE_LOOP('',(#290966,#290967,#290968,#290969)); #56259=EDGE_LOOP('',(#290970,#290971,#290972,#290973)); #56260=EDGE_LOOP('',(#290974,#290975,#290976,#290977)); #56261=EDGE_LOOP('',(#290978,#290979,#290980,#290981)); #56262=EDGE_LOOP('',(#290982,#290983,#290984,#290985,#290986,#290987)); #56263=EDGE_LOOP('',(#290988,#290989,#290990,#290991)); #56264=EDGE_LOOP('',(#290992,#290993,#290994,#290995)); #56265=EDGE_LOOP('',(#290996,#290997,#290998,#290999,#291000,#291001)); #56266=EDGE_LOOP('',(#291002,#291003,#291004,#291005,#291006,#291007,#291008, #291009,#291010,#291011,#291012,#291013,#291014,#291015)); #56267=EDGE_LOOP('',(#291016,#291017,#291018,#291019,#291020,#291021,#291022, #291023)); #56268=EDGE_LOOP('',(#291024,#291025,#291026,#291027)); #56269=EDGE_LOOP('',(#291028,#291029,#291030,#291031,#291032,#291033,#291034, #291035)); #56270=EDGE_LOOP('',(#291036,#291037,#291038,#291039,#291040,#291041,#291042, #291043,#291044,#291045,#291046,#291047)); #56271=EDGE_LOOP('',(#291048,#291049,#291050,#291051,#291052,#291053,#291054, #291055)); #56272=EDGE_LOOP('',(#291056,#291057,#291058,#291059)); #56273=EDGE_LOOP('',(#291060,#291061,#291062,#291063)); #56274=EDGE_LOOP('',(#291064,#291065,#291066,#291067)); #56275=EDGE_LOOP('',(#291068,#291069,#291070,#291071)); #56276=EDGE_LOOP('',(#291072,#291073,#291074,#291075)); #56277=EDGE_LOOP('',(#291076,#291077,#291078,#291079)); #56278=EDGE_LOOP('',(#291080,#291081,#291082,#291083)); #56279=EDGE_LOOP('',(#291084,#291085,#291086,#291087)); #56280=EDGE_LOOP('',(#291088,#291089,#291090,#291091)); #56281=EDGE_LOOP('',(#291092,#291093,#291094,#291095)); #56282=EDGE_LOOP('',(#291096,#291097,#291098,#291099,#291100,#291101,#291102, #291103,#291104,#291105,#291106,#291107)); #56283=EDGE_LOOP('',(#291108,#291109,#291110,#291111,#291112,#291113,#291114, #291115,#291116,#291117,#291118,#291119)); #56284=EDGE_LOOP('',(#291120,#291121,#291122,#291123)); #56285=EDGE_LOOP('',(#291124,#291125,#291126,#291127)); #56286=EDGE_LOOP('',(#291128,#291129,#291130,#291131)); #56287=EDGE_LOOP('',(#291132,#291133,#291134,#291135)); #56288=EDGE_LOOP('',(#291136,#291137,#291138,#291139)); #56289=EDGE_LOOP('',(#291140,#291141,#291142,#291143)); #56290=EDGE_LOOP('',(#291144,#291145,#291146,#291147)); #56291=EDGE_LOOP('',(#291148,#291149,#291150,#291151)); #56292=EDGE_LOOP('',(#291152,#291153,#291154,#291155)); #56293=EDGE_LOOP('',(#291156,#291157,#291158,#291159)); #56294=EDGE_LOOP('',(#291160,#291161,#291162,#291163)); #56295=EDGE_LOOP('',(#291164,#291165,#291166,#291167)); #56296=EDGE_LOOP('',(#291168,#291169,#291170,#291171)); #56297=EDGE_LOOP('',(#291172,#291173,#291174,#291175)); #56298=EDGE_LOOP('',(#291176,#291177,#291178,#291179)); #56299=EDGE_LOOP('',(#291180,#291181,#291182,#291183)); #56300=EDGE_LOOP('',(#291184,#291185,#291186,#291187,#291188,#291189)); #56301=EDGE_LOOP('',(#291190,#291191,#291192,#291193,#291194,#291195)); #56302=EDGE_LOOP('',(#291196,#291197,#291198,#291199,#291200)); #56303=EDGE_LOOP('',(#291201,#291202,#291203,#291204,#291205)); #56304=EDGE_LOOP('',(#291206,#291207,#291208,#291209)); #56305=EDGE_LOOP('',(#291210,#291211,#291212,#291213,#291214)); #56306=EDGE_LOOP('',(#291215,#291216,#291217,#291218,#291219)); #56307=EDGE_LOOP('',(#291220,#291221,#291222,#291223)); #56308=EDGE_LOOP('',(#291224,#291225,#291226,#291227,#291228)); #56309=EDGE_LOOP('',(#291229,#291230,#291231,#291232,#291233,#291234,#291235, #291236,#291237,#291238,#291239)); #56310=EDGE_LOOP('',(#291240,#291241,#291242,#291243)); #56311=EDGE_LOOP('',(#291244,#291245,#291246,#291247,#291248)); #56312=EDGE_LOOP('',(#291249,#291250,#291251,#291252,#291253,#291254,#291255, #291256)); #56313=EDGE_LOOP('',(#291257,#291258,#291259,#291260)); #56314=EDGE_LOOP('',(#291261,#291262,#291263,#291264)); #56315=EDGE_LOOP('',(#291265,#291266,#291267,#291268,#291269)); #56316=EDGE_LOOP('',(#291270,#291271,#291272,#291273,#291274,#291275,#291276, #291277,#291278,#291279,#291280)); #56317=EDGE_LOOP('',(#291281,#291282,#291283,#291284)); #56318=EDGE_LOOP('',(#291285,#291286,#291287,#291288,#291289)); #56319=EDGE_LOOP('',(#291290,#291291,#291292,#291293,#291294)); #56320=EDGE_LOOP('',(#291295,#291296,#291297,#291298,#291299)); #56321=EDGE_LOOP('',(#291300,#291301,#291302,#291303)); #56322=EDGE_LOOP('',(#291304,#291305,#291306,#291307)); #56323=EDGE_LOOP('',(#291308,#291309,#291310,#291311)); #56324=EDGE_LOOP('',(#291312,#291313,#291314,#291315,#291316,#291317)); #56325=EDGE_LOOP('',(#291318,#291319,#291320,#291321)); #56326=EDGE_LOOP('',(#291322,#291323,#291324,#291325)); #56327=EDGE_LOOP('',(#291326,#291327,#291328,#291329,#291330,#291331,#291332, #291333)); #56328=EDGE_LOOP('',(#291334)); #56329=EDGE_LOOP('',(#291335)); #56330=EDGE_LOOP('',(#291336,#291337,#291338,#291339)); #56331=EDGE_LOOP('',(#291340)); #56332=EDGE_LOOP('',(#291341,#291342,#291343,#291344)); #56333=EDGE_LOOP('',(#291345)); #56334=EDGE_LOOP('',(#291346,#291347,#291348,#291349)); #56335=EDGE_LOOP('',(#291350,#291351,#291352,#291353)); #56336=EDGE_LOOP('',(#291354,#291355,#291356,#291357)); #56337=EDGE_LOOP('',(#291358,#291359,#291360,#291361)); #56338=EDGE_LOOP('',(#291362,#291363,#291364,#291365,#291366,#291367,#291368, #291369)); #56339=EDGE_LOOP('',(#291370,#291371,#291372,#291373)); #56340=EDGE_LOOP('',(#291374,#291375,#291376,#291377)); #56341=EDGE_LOOP('',(#291378,#291379,#291380,#291381)); #56342=EDGE_LOOP('',(#291382,#291383,#291384,#291385)); #56343=EDGE_LOOP('',(#291386,#291387,#291388,#291389,#291390,#291391,#291392, #291393,#291394,#291395,#291396,#291397,#291398,#291399,#291400,#291401, #291402,#291403,#291404,#291405,#291406,#291407,#291408,#291409,#291410, #291411,#291412,#291413,#291414,#291415,#291416,#291417,#291418,#291419, #291420,#291421,#291422,#291423,#291424,#291425,#291426,#291427,#291428, #291429,#291430,#291431,#291432,#291433,#291434,#291435,#291436,#291437)); #56344=EDGE_LOOP('',(#291438,#291439,#291440,#291441)); #56345=EDGE_LOOP('',(#291442,#291443,#291444,#291445)); #56346=EDGE_LOOP('',(#291446,#291447,#291448,#291449)); #56347=EDGE_LOOP('',(#291450,#291451,#291452,#291453)); #56348=EDGE_LOOP('',(#291454,#291455,#291456,#291457)); #56349=EDGE_LOOP('',(#291458,#291459,#291460,#291461)); #56350=EDGE_LOOP('',(#291462,#291463,#291464,#291465)); #56351=EDGE_LOOP('',(#291466,#291467,#291468,#291469)); #56352=EDGE_LOOP('',(#291470,#291471,#291472,#291473)); #56353=EDGE_LOOP('',(#291474,#291475,#291476,#291477)); #56354=EDGE_LOOP('',(#291478,#291479,#291480,#291481)); #56355=EDGE_LOOP('',(#291482,#291483,#291484,#291485)); #56356=EDGE_LOOP('',(#291486,#291487,#291488,#291489)); #56357=EDGE_LOOP('',(#291490,#291491,#291492,#291493)); #56358=EDGE_LOOP('',(#291494,#291495,#291496,#291497)); #56359=EDGE_LOOP('',(#291498,#291499,#291500,#291501)); #56360=EDGE_LOOP('',(#291502,#291503,#291504,#291505)); #56361=EDGE_LOOP('',(#291506,#291507,#291508,#291509)); #56362=EDGE_LOOP('',(#291510,#291511,#291512,#291513)); #56363=EDGE_LOOP('',(#291514,#291515,#291516,#291517)); #56364=EDGE_LOOP('',(#291518,#291519,#291520,#291521)); #56365=EDGE_LOOP('',(#291522,#291523,#291524,#291525)); #56366=EDGE_LOOP('',(#291526,#291527,#291528,#291529)); #56367=EDGE_LOOP('',(#291530,#291531,#291532,#291533)); #56368=EDGE_LOOP('',(#291534,#291535,#291536,#291537,#291538,#291539)); #56369=EDGE_LOOP('',(#291540,#291541,#291542,#291543)); #56370=EDGE_LOOP('',(#291544,#291545,#291546,#291547,#291548,#291549,#291550, #291551)); #56371=EDGE_LOOP('',(#291552,#291553,#291554,#291555,#291556,#291557,#291558, #291559)); #56372=EDGE_LOOP('',(#291560,#291561,#291562,#291563)); #56373=EDGE_LOOP('',(#291564,#291565,#291566,#291567,#291568,#291569)); #56374=EDGE_LOOP('',(#291570,#291571,#291572,#291573,#291574,#291575,#291576, #291577)); #56375=EDGE_LOOP('',(#291578,#291579,#291580,#291581,#291582,#291583,#291584, #291585,#291586,#291587,#291588,#291589,#291590,#291591,#291592,#291593, #291594,#291595,#291596)); #56376=EDGE_LOOP('',(#291597,#291598,#291599,#291600)); #56377=EDGE_LOOP('',(#291601,#291602,#291603,#291604)); #56378=EDGE_LOOP('',(#291605,#291606,#291607,#291608,#291609)); #56379=EDGE_LOOP('',(#291610,#291611,#291612,#291613)); #56380=EDGE_LOOP('',(#291614,#291615,#291616,#291617)); #56381=EDGE_LOOP('',(#291618,#291619,#291620,#291621)); #56382=EDGE_LOOP('',(#291622,#291623,#291624,#291625,#291626)); #56383=EDGE_LOOP('',(#291627,#291628,#291629,#291630)); #56384=EDGE_LOOP('',(#291631,#291632,#291633,#291634)); #56385=EDGE_LOOP('',(#291635,#291636,#291637,#291638)); #56386=EDGE_LOOP('',(#291639,#291640,#291641,#291642)); #56387=EDGE_LOOP('',(#291643,#291644,#291645,#291646)); #56388=EDGE_LOOP('',(#291647,#291648,#291649,#291650)); #56389=EDGE_LOOP('',(#291651,#291652,#291653,#291654)); #56390=EDGE_LOOP('',(#291655,#291656,#291657,#291658)); #56391=EDGE_LOOP('',(#291659,#291660,#291661,#291662)); #56392=EDGE_LOOP('',(#291663,#291664,#291665,#291666)); #56393=EDGE_LOOP('',(#291667,#291668,#291669,#291670)); #56394=EDGE_LOOP('',(#291671,#291672,#291673,#291674)); #56395=EDGE_LOOP('',(#291675,#291676,#291677,#291678)); #56396=EDGE_LOOP('',(#291679,#291680,#291681,#291682)); #56397=EDGE_LOOP('',(#291683,#291684,#291685,#291686)); #56398=EDGE_LOOP('',(#291687,#291688,#291689,#291690)); #56399=EDGE_LOOP('',(#291691,#291692,#291693,#291694)); #56400=EDGE_LOOP('',(#291695,#291696,#291697,#291698)); #56401=EDGE_LOOP('',(#291699,#291700,#291701,#291702)); #56402=EDGE_LOOP('',(#291703,#291704,#291705,#291706)); #56403=EDGE_LOOP('',(#291707,#291708,#291709,#291710)); #56404=EDGE_LOOP('',(#291711,#291712,#291713,#291714)); #56405=EDGE_LOOP('',(#291715,#291716,#291717,#291718)); #56406=EDGE_LOOP('',(#291719,#291720,#291721,#291722)); #56407=EDGE_LOOP('',(#291723,#291724,#291725,#291726)); #56408=EDGE_LOOP('',(#291727,#291728,#291729,#291730)); #56409=EDGE_LOOP('',(#291731,#291732,#291733,#291734)); #56410=EDGE_LOOP('',(#291735,#291736,#291737,#291738)); #56411=EDGE_LOOP('',(#291739,#291740,#291741,#291742)); #56412=EDGE_LOOP('',(#291743,#291744,#291745,#291746)); #56413=EDGE_LOOP('',(#291747,#291748,#291749,#291750)); #56414=EDGE_LOOP('',(#291751,#291752,#291753,#291754,#291755,#291756,#291757, #291758,#291759,#291760,#291761,#291762,#291763,#291764,#291765,#291766, #291767,#291768,#291769,#291770,#291771,#291772,#291773,#291774,#291775, #291776,#291777,#291778,#291779,#291780,#291781,#291782,#291783,#291784)); #56415=EDGE_LOOP('',(#291785,#291786,#291787,#291788)); #56416=EDGE_LOOP('',(#291789,#291790,#291791,#291792)); #56417=EDGE_LOOP('',(#291793,#291794,#291795,#291796)); #56418=EDGE_LOOP('',(#291797,#291798,#291799,#291800)); #56419=EDGE_LOOP('',(#291801,#291802,#291803,#291804)); #56420=EDGE_LOOP('',(#291805,#291806,#291807,#291808)); #56421=EDGE_LOOP('',(#291809,#291810,#291811,#291812,#291813)); #56422=EDGE_LOOP('',(#291814,#291815,#291816,#291817)); #56423=EDGE_LOOP('',(#291818,#291819,#291820,#291821,#291822)); #56424=EDGE_LOOP('',(#291823,#291824,#291825,#291826)); #56425=EDGE_LOOP('',(#291827,#291828,#291829,#291830)); #56426=EDGE_LOOP('',(#291831,#291832,#291833,#291834,#291835,#291836,#291837, #291838,#291839,#291840,#291841,#291842)); #56427=EDGE_LOOP('',(#291843,#291844,#291845,#291846,#291847,#291848,#291849, #291850,#291851,#291852,#291853,#291854)); #56428=EDGE_LOOP('',(#291855,#291856,#291857,#291858)); #56429=EDGE_LOOP('',(#291859,#291860,#291861,#291862,#291863,#291864)); #56430=EDGE_LOOP('',(#291865,#291866,#291867,#291868)); #56431=EDGE_LOOP('',(#291869,#291870,#291871,#291872,#291873,#291874)); #56432=EDGE_LOOP('',(#291875,#291876,#291877,#291878,#291879,#291880,#291881, #291882)); #56433=EDGE_LOOP('',(#291883,#291884,#291885,#291886,#291887,#291888,#291889, #291890)); #56434=EDGE_LOOP('',(#291891,#291892,#291893,#291894)); #56435=EDGE_LOOP('',(#291895,#291896,#291897,#291898)); #56436=EDGE_LOOP('',(#291899,#291900,#291901,#291902)); #56437=EDGE_LOOP('',(#291903,#291904,#291905,#291906)); #56438=EDGE_LOOP('',(#291907,#291908,#291909,#291910)); #56439=EDGE_LOOP('',(#291911,#291912,#291913,#291914)); #56440=EDGE_LOOP('',(#291915,#291916,#291917,#291918)); #56441=EDGE_LOOP('',(#291919,#291920,#291921,#291922)); #56442=EDGE_LOOP('',(#291923,#291924,#291925,#291926)); #56443=EDGE_LOOP('',(#291927,#291928,#291929,#291930)); #56444=EDGE_LOOP('',(#291931,#291932,#291933,#291934)); #56445=EDGE_LOOP('',(#291935,#291936,#291937,#291938)); #56446=EDGE_LOOP('',(#291939,#291940,#291941,#291942,#291943,#291944,#291945, #291946,#291947,#291948,#291949,#291950)); #56447=EDGE_LOOP('',(#291951,#291952,#291953,#291954,#291955,#291956,#291957, #291958,#291959,#291960,#291961,#291962)); #56448=EDGE_LOOP('',(#291963,#291964,#291965,#291966)); #56449=EDGE_LOOP('',(#291967,#291968,#291969,#291970,#291971,#291972)); #56450=EDGE_LOOP('',(#291973,#291974,#291975,#291976)); #56451=EDGE_LOOP('',(#291977,#291978,#291979,#291980,#291981,#291982)); #56452=EDGE_LOOP('',(#291983,#291984,#291985,#291986,#291987,#291988,#291989, #291990)); #56453=EDGE_LOOP('',(#291991,#291992,#291993,#291994,#291995,#291996,#291997, #291998)); #56454=EDGE_LOOP('',(#291999,#292000,#292001,#292002)); #56455=EDGE_LOOP('',(#292003,#292004,#292005,#292006)); #56456=EDGE_LOOP('',(#292007,#292008,#292009,#292010)); #56457=EDGE_LOOP('',(#292011,#292012,#292013,#292014)); #56458=EDGE_LOOP('',(#292015,#292016,#292017,#292018)); #56459=EDGE_LOOP('',(#292019,#292020,#292021,#292022)); #56460=EDGE_LOOP('',(#292023,#292024,#292025,#292026)); #56461=EDGE_LOOP('',(#292027,#292028,#292029,#292030)); #56462=EDGE_LOOP('',(#292031,#292032,#292033,#292034)); #56463=EDGE_LOOP('',(#292035,#292036,#292037,#292038)); #56464=EDGE_LOOP('',(#292039,#292040,#292041,#292042)); #56465=EDGE_LOOP('',(#292043,#292044,#292045,#292046)); #56466=EDGE_LOOP('',(#292047,#292048,#292049,#292050,#292051,#292052,#292053, #292054,#292055,#292056,#292057,#292058)); #56467=EDGE_LOOP('',(#292059,#292060,#292061,#292062,#292063,#292064,#292065, #292066,#292067,#292068,#292069,#292070)); #56468=EDGE_LOOP('',(#292071,#292072,#292073,#292074)); #56469=EDGE_LOOP('',(#292075,#292076,#292077,#292078,#292079,#292080)); #56470=EDGE_LOOP('',(#292081,#292082,#292083,#292084)); #56471=EDGE_LOOP('',(#292085,#292086,#292087,#292088,#292089,#292090)); #56472=EDGE_LOOP('',(#292091,#292092,#292093,#292094,#292095,#292096,#292097, #292098)); #56473=EDGE_LOOP('',(#292099,#292100,#292101,#292102,#292103,#292104,#292105, #292106)); #56474=EDGE_LOOP('',(#292107,#292108,#292109,#292110)); #56475=EDGE_LOOP('',(#292111,#292112,#292113,#292114)); #56476=EDGE_LOOP('',(#292115,#292116,#292117,#292118)); #56477=EDGE_LOOP('',(#292119,#292120,#292121,#292122)); #56478=EDGE_LOOP('',(#292123,#292124,#292125,#292126)); #56479=EDGE_LOOP('',(#292127,#292128,#292129,#292130)); #56480=EDGE_LOOP('',(#292131,#292132,#292133,#292134)); #56481=EDGE_LOOP('',(#292135,#292136,#292137,#292138)); #56482=EDGE_LOOP('',(#292139,#292140,#292141,#292142)); #56483=EDGE_LOOP('',(#292143,#292144,#292145,#292146)); #56484=EDGE_LOOP('',(#292147,#292148,#292149,#292150)); #56485=EDGE_LOOP('',(#292151,#292152,#292153,#292154)); #56486=EDGE_LOOP('',(#292155,#292156,#292157,#292158,#292159,#292160,#292161, #292162,#292163,#292164,#292165,#292166)); #56487=EDGE_LOOP('',(#292167,#292168,#292169,#292170,#292171,#292172,#292173, #292174,#292175,#292176,#292177,#292178)); #56488=EDGE_LOOP('',(#292179,#292180,#292181,#292182)); #56489=EDGE_LOOP('',(#292183,#292184,#292185,#292186,#292187,#292188)); #56490=EDGE_LOOP('',(#292189,#292190,#292191,#292192)); #56491=EDGE_LOOP('',(#292193,#292194,#292195,#292196,#292197,#292198)); #56492=EDGE_LOOP('',(#292199,#292200,#292201,#292202,#292203,#292204,#292205, #292206)); #56493=EDGE_LOOP('',(#292207,#292208,#292209,#292210,#292211,#292212,#292213, #292214)); #56494=EDGE_LOOP('',(#292215,#292216,#292217,#292218)); #56495=EDGE_LOOP('',(#292219,#292220,#292221,#292222)); #56496=EDGE_LOOP('',(#292223,#292224,#292225,#292226)); #56497=EDGE_LOOP('',(#292227,#292228,#292229,#292230)); #56498=EDGE_LOOP('',(#292231,#292232,#292233,#292234)); #56499=EDGE_LOOP('',(#292235,#292236,#292237,#292238)); #56500=EDGE_LOOP('',(#292239,#292240,#292241,#292242)); #56501=EDGE_LOOP('',(#292243,#292244,#292245,#292246)); #56502=EDGE_LOOP('',(#292247,#292248,#292249,#292250)); #56503=EDGE_LOOP('',(#292251,#292252,#292253,#292254)); #56504=EDGE_LOOP('',(#292255,#292256,#292257,#292258)); #56505=EDGE_LOOP('',(#292259,#292260,#292261,#292262)); #56506=EDGE_LOOP('',(#292263,#292264,#292265,#292266,#292267,#292268,#292269, #292270,#292271,#292272,#292273,#292274)); #56507=EDGE_LOOP('',(#292275,#292276,#292277,#292278,#292279,#292280,#292281, #292282,#292283,#292284,#292285,#292286)); #56508=EDGE_LOOP('',(#292287,#292288,#292289,#292290)); #56509=EDGE_LOOP('',(#292291,#292292,#292293,#292294,#292295,#292296)); #56510=EDGE_LOOP('',(#292297,#292298,#292299,#292300)); #56511=EDGE_LOOP('',(#292301,#292302,#292303,#292304,#292305,#292306)); #56512=EDGE_LOOP('',(#292307,#292308,#292309,#292310,#292311,#292312,#292313, #292314)); #56513=EDGE_LOOP('',(#292315,#292316,#292317,#292318,#292319,#292320,#292321, #292322)); #56514=EDGE_LOOP('',(#292323,#292324,#292325,#292326)); #56515=EDGE_LOOP('',(#292327,#292328,#292329,#292330)); #56516=EDGE_LOOP('',(#292331,#292332,#292333,#292334)); #56517=EDGE_LOOP('',(#292335,#292336,#292337,#292338)); #56518=EDGE_LOOP('',(#292339,#292340,#292341,#292342)); #56519=EDGE_LOOP('',(#292343,#292344,#292345,#292346)); #56520=EDGE_LOOP('',(#292347,#292348,#292349,#292350)); #56521=EDGE_LOOP('',(#292351,#292352,#292353,#292354)); #56522=EDGE_LOOP('',(#292355,#292356,#292357,#292358)); #56523=EDGE_LOOP('',(#292359,#292360,#292361,#292362)); #56524=EDGE_LOOP('',(#292363,#292364,#292365,#292366)); #56525=EDGE_LOOP('',(#292367,#292368,#292369,#292370)); #56526=EDGE_LOOP('',(#292371,#292372,#292373,#292374,#292375,#292376,#292377, #292378,#292379,#292380,#292381,#292382)); #56527=EDGE_LOOP('',(#292383,#292384,#292385,#292386,#292387,#292388,#292389, #292390,#292391,#292392,#292393,#292394)); #56528=EDGE_LOOP('',(#292395,#292396,#292397,#292398)); #56529=EDGE_LOOP('',(#292399,#292400,#292401,#292402,#292403,#292404)); #56530=EDGE_LOOP('',(#292405,#292406,#292407,#292408)); #56531=EDGE_LOOP('',(#292409,#292410,#292411,#292412,#292413,#292414)); #56532=EDGE_LOOP('',(#292415,#292416,#292417,#292418,#292419,#292420,#292421, #292422)); #56533=EDGE_LOOP('',(#292423,#292424,#292425,#292426,#292427,#292428,#292429, #292430)); #56534=EDGE_LOOP('',(#292431,#292432,#292433,#292434)); #56535=EDGE_LOOP('',(#292435,#292436,#292437,#292438)); #56536=EDGE_LOOP('',(#292439,#292440,#292441,#292442)); #56537=EDGE_LOOP('',(#292443,#292444,#292445,#292446)); #56538=EDGE_LOOP('',(#292447,#292448,#292449,#292450)); #56539=EDGE_LOOP('',(#292451,#292452,#292453,#292454)); #56540=EDGE_LOOP('',(#292455,#292456,#292457,#292458)); #56541=EDGE_LOOP('',(#292459,#292460,#292461,#292462)); #56542=EDGE_LOOP('',(#292463,#292464,#292465,#292466)); #56543=EDGE_LOOP('',(#292467,#292468,#292469,#292470)); #56544=EDGE_LOOP('',(#292471,#292472,#292473,#292474)); #56545=EDGE_LOOP('',(#292475,#292476,#292477,#292478)); #56546=EDGE_LOOP('',(#292479,#292480,#292481,#292482,#292483,#292484,#292485, #292486,#292487,#292488,#292489,#292490)); #56547=EDGE_LOOP('',(#292491,#292492,#292493,#292494,#292495,#292496,#292497, #292498,#292499,#292500,#292501,#292502)); #56548=EDGE_LOOP('',(#292503,#292504,#292505,#292506)); #56549=EDGE_LOOP('',(#292507,#292508,#292509,#292510,#292511,#292512)); #56550=EDGE_LOOP('',(#292513,#292514,#292515,#292516)); #56551=EDGE_LOOP('',(#292517,#292518,#292519,#292520,#292521,#292522)); #56552=EDGE_LOOP('',(#292523,#292524,#292525,#292526,#292527,#292528,#292529, #292530)); #56553=EDGE_LOOP('',(#292531,#292532,#292533,#292534,#292535,#292536,#292537, #292538)); #56554=EDGE_LOOP('',(#292539,#292540,#292541,#292542)); #56555=EDGE_LOOP('',(#292543,#292544,#292545,#292546)); #56556=EDGE_LOOP('',(#292547,#292548,#292549,#292550)); #56557=EDGE_LOOP('',(#292551,#292552,#292553,#292554)); #56558=EDGE_LOOP('',(#292555,#292556,#292557,#292558)); #56559=EDGE_LOOP('',(#292559,#292560,#292561,#292562)); #56560=EDGE_LOOP('',(#292563,#292564,#292565,#292566)); #56561=EDGE_LOOP('',(#292567,#292568,#292569,#292570)); #56562=EDGE_LOOP('',(#292571,#292572,#292573,#292574)); #56563=EDGE_LOOP('',(#292575,#292576,#292577,#292578)); #56564=EDGE_LOOP('',(#292579,#292580,#292581,#292582)); #56565=EDGE_LOOP('',(#292583,#292584,#292585,#292586)); #56566=EDGE_LOOP('',(#292587,#292588,#292589,#292590,#292591,#292592,#292593, #292594,#292595,#292596,#292597,#292598)); #56567=EDGE_LOOP('',(#292599,#292600,#292601,#292602,#292603,#292604,#292605, #292606,#292607,#292608,#292609,#292610)); #56568=EDGE_LOOP('',(#292611,#292612,#292613,#292614)); #56569=EDGE_LOOP('',(#292615,#292616,#292617,#292618,#292619,#292620)); #56570=EDGE_LOOP('',(#292621,#292622,#292623,#292624)); #56571=EDGE_LOOP('',(#292625,#292626,#292627,#292628,#292629,#292630)); #56572=EDGE_LOOP('',(#292631,#292632,#292633,#292634,#292635,#292636,#292637, #292638)); #56573=EDGE_LOOP('',(#292639,#292640,#292641,#292642,#292643,#292644,#292645, #292646)); #56574=EDGE_LOOP('',(#292647,#292648,#292649,#292650)); #56575=EDGE_LOOP('',(#292651,#292652,#292653,#292654)); #56576=EDGE_LOOP('',(#292655,#292656,#292657,#292658)); #56577=EDGE_LOOP('',(#292659,#292660,#292661,#292662)); #56578=EDGE_LOOP('',(#292663,#292664,#292665,#292666)); #56579=EDGE_LOOP('',(#292667,#292668,#292669,#292670)); #56580=EDGE_LOOP('',(#292671,#292672,#292673,#292674)); #56581=EDGE_LOOP('',(#292675,#292676,#292677,#292678)); #56582=EDGE_LOOP('',(#292679,#292680,#292681,#292682)); #56583=EDGE_LOOP('',(#292683,#292684,#292685,#292686)); #56584=EDGE_LOOP('',(#292687,#292688,#292689,#292690)); #56585=EDGE_LOOP('',(#292691,#292692,#292693,#292694)); #56586=EDGE_LOOP('',(#292695,#292696,#292697,#292698,#292699,#292700,#292701, #292702,#292703,#292704,#292705,#292706)); #56587=EDGE_LOOP('',(#292707,#292708,#292709,#292710,#292711,#292712,#292713, #292714,#292715,#292716,#292717,#292718)); #56588=EDGE_LOOP('',(#292719,#292720,#292721,#292722)); #56589=EDGE_LOOP('',(#292723,#292724,#292725,#292726,#292727,#292728)); #56590=EDGE_LOOP('',(#292729,#292730,#292731,#292732)); #56591=EDGE_LOOP('',(#292733,#292734,#292735,#292736,#292737,#292738)); #56592=EDGE_LOOP('',(#292739,#292740,#292741,#292742,#292743,#292744,#292745, #292746)); #56593=EDGE_LOOP('',(#292747,#292748,#292749,#292750,#292751,#292752,#292753, #292754)); #56594=EDGE_LOOP('',(#292755,#292756,#292757,#292758)); #56595=EDGE_LOOP('',(#292759,#292760,#292761,#292762)); #56596=EDGE_LOOP('',(#292763,#292764,#292765,#292766)); #56597=EDGE_LOOP('',(#292767,#292768,#292769,#292770)); #56598=EDGE_LOOP('',(#292771,#292772,#292773,#292774)); #56599=EDGE_LOOP('',(#292775,#292776,#292777,#292778)); #56600=EDGE_LOOP('',(#292779,#292780,#292781,#292782)); #56601=EDGE_LOOP('',(#292783,#292784,#292785,#292786)); #56602=EDGE_LOOP('',(#292787,#292788,#292789,#292790)); #56603=EDGE_LOOP('',(#292791,#292792,#292793,#292794)); #56604=EDGE_LOOP('',(#292795,#292796,#292797,#292798)); #56605=EDGE_LOOP('',(#292799,#292800,#292801,#292802)); #56606=EDGE_LOOP('',(#292803,#292804,#292805,#292806,#292807,#292808,#292809, #292810,#292811,#292812,#292813,#292814)); #56607=EDGE_LOOP('',(#292815,#292816,#292817,#292818,#292819,#292820,#292821, #292822,#292823,#292824,#292825,#292826)); #56608=EDGE_LOOP('',(#292827,#292828,#292829,#292830)); #56609=EDGE_LOOP('',(#292831,#292832,#292833,#292834,#292835,#292836)); #56610=EDGE_LOOP('',(#292837,#292838,#292839,#292840)); #56611=EDGE_LOOP('',(#292841,#292842,#292843,#292844,#292845,#292846)); #56612=EDGE_LOOP('',(#292847,#292848,#292849,#292850,#292851,#292852,#292853, #292854)); #56613=EDGE_LOOP('',(#292855,#292856,#292857,#292858,#292859,#292860,#292861, #292862)); #56614=EDGE_LOOP('',(#292863,#292864,#292865,#292866)); #56615=EDGE_LOOP('',(#292867,#292868,#292869,#292870)); #56616=EDGE_LOOP('',(#292871,#292872,#292873,#292874)); #56617=EDGE_LOOP('',(#292875,#292876,#292877,#292878)); #56618=EDGE_LOOP('',(#292879,#292880,#292881,#292882)); #56619=EDGE_LOOP('',(#292883,#292884,#292885,#292886)); #56620=EDGE_LOOP('',(#292887,#292888,#292889,#292890)); #56621=EDGE_LOOP('',(#292891,#292892,#292893,#292894)); #56622=EDGE_LOOP('',(#292895,#292896,#292897,#292898)); #56623=EDGE_LOOP('',(#292899,#292900,#292901,#292902)); #56624=EDGE_LOOP('',(#292903,#292904,#292905,#292906)); #56625=EDGE_LOOP('',(#292907,#292908,#292909,#292910)); #56626=EDGE_LOOP('',(#292911,#292912,#292913,#292914,#292915,#292916,#292917, #292918,#292919,#292920,#292921,#292922)); #56627=EDGE_LOOP('',(#292923,#292924,#292925,#292926,#292927,#292928,#292929, #292930,#292931,#292932,#292933,#292934)); #56628=EDGE_LOOP('',(#292935,#292936,#292937,#292938)); #56629=EDGE_LOOP('',(#292939,#292940,#292941,#292942,#292943,#292944)); #56630=EDGE_LOOP('',(#292945,#292946,#292947,#292948)); #56631=EDGE_LOOP('',(#292949,#292950,#292951,#292952,#292953,#292954)); #56632=EDGE_LOOP('',(#292955,#292956,#292957,#292958,#292959,#292960,#292961, #292962)); #56633=EDGE_LOOP('',(#292963,#292964,#292965,#292966,#292967,#292968,#292969, #292970)); #56634=EDGE_LOOP('',(#292971,#292972,#292973,#292974)); #56635=EDGE_LOOP('',(#292975,#292976,#292977,#292978)); #56636=EDGE_LOOP('',(#292979,#292980,#292981,#292982)); #56637=EDGE_LOOP('',(#292983,#292984,#292985,#292986)); #56638=EDGE_LOOP('',(#292987,#292988,#292989,#292990)); #56639=EDGE_LOOP('',(#292991,#292992,#292993,#292994)); #56640=EDGE_LOOP('',(#292995,#292996,#292997,#292998)); #56641=EDGE_LOOP('',(#292999,#293000,#293001,#293002)); #56642=EDGE_LOOP('',(#293003,#293004,#293005,#293006)); #56643=EDGE_LOOP('',(#293007,#293008,#293009,#293010)); #56644=EDGE_LOOP('',(#293011,#293012,#293013,#293014)); #56645=EDGE_LOOP('',(#293015,#293016,#293017,#293018)); #56646=EDGE_LOOP('',(#293019,#293020,#293021,#293022,#293023,#293024,#293025, #293026,#293027,#293028,#293029,#293030)); #56647=EDGE_LOOP('',(#293031,#293032,#293033,#293034,#293035,#293036,#293037, #293038,#293039,#293040,#293041,#293042)); #56648=EDGE_LOOP('',(#293043,#293044,#293045,#293046)); #56649=EDGE_LOOP('',(#293047,#293048,#293049,#293050,#293051,#293052)); #56650=EDGE_LOOP('',(#293053,#293054,#293055,#293056)); #56651=EDGE_LOOP('',(#293057,#293058,#293059,#293060,#293061,#293062)); #56652=EDGE_LOOP('',(#293063,#293064,#293065,#293066,#293067,#293068,#293069, #293070)); #56653=EDGE_LOOP('',(#293071,#293072,#293073,#293074,#293075,#293076,#293077, #293078)); #56654=EDGE_LOOP('',(#293079,#293080,#293081,#293082)); #56655=EDGE_LOOP('',(#293083,#293084,#293085,#293086)); #56656=EDGE_LOOP('',(#293087,#293088,#293089,#293090)); #56657=EDGE_LOOP('',(#293091,#293092,#293093,#293094)); #56658=EDGE_LOOP('',(#293095,#293096,#293097,#293098)); #56659=EDGE_LOOP('',(#293099,#293100,#293101,#293102)); #56660=EDGE_LOOP('',(#293103,#293104,#293105,#293106)); #56661=EDGE_LOOP('',(#293107,#293108,#293109,#293110)); #56662=EDGE_LOOP('',(#293111,#293112,#293113,#293114)); #56663=EDGE_LOOP('',(#293115,#293116,#293117,#293118)); #56664=EDGE_LOOP('',(#293119,#293120,#293121,#293122)); #56665=EDGE_LOOP('',(#293123,#293124,#293125,#293126)); #56666=EDGE_LOOP('',(#293127,#293128,#293129,#293130,#293131,#293132,#293133, #293134,#293135,#293136,#293137,#293138)); #56667=EDGE_LOOP('',(#293139,#293140,#293141,#293142,#293143,#293144,#293145, #293146,#293147,#293148,#293149,#293150)); #56668=EDGE_LOOP('',(#293151,#293152,#293153,#293154)); #56669=EDGE_LOOP('',(#293155,#293156,#293157,#293158,#293159,#293160)); #56670=EDGE_LOOP('',(#293161,#293162,#293163,#293164)); #56671=EDGE_LOOP('',(#293165,#293166,#293167,#293168,#293169,#293170)); #56672=EDGE_LOOP('',(#293171,#293172,#293173,#293174,#293175,#293176,#293177, #293178)); #56673=EDGE_LOOP('',(#293179,#293180,#293181,#293182,#293183,#293184,#293185, #293186)); #56674=EDGE_LOOP('',(#293187,#293188,#293189,#293190)); #56675=EDGE_LOOP('',(#293191,#293192,#293193,#293194)); #56676=EDGE_LOOP('',(#293195,#293196,#293197,#293198)); #56677=EDGE_LOOP('',(#293199,#293200,#293201,#293202)); #56678=EDGE_LOOP('',(#293203,#293204,#293205,#293206)); #56679=EDGE_LOOP('',(#293207,#293208,#293209,#293210)); #56680=EDGE_LOOP('',(#293211,#293212,#293213,#293214)); #56681=EDGE_LOOP('',(#293215,#293216,#293217,#293218)); #56682=EDGE_LOOP('',(#293219,#293220,#293221,#293222)); #56683=EDGE_LOOP('',(#293223,#293224,#293225,#293226)); #56684=EDGE_LOOP('',(#293227,#293228,#293229,#293230)); #56685=EDGE_LOOP('',(#293231,#293232,#293233,#293234)); #56686=EDGE_LOOP('',(#293235,#293236,#293237,#293238,#293239,#293240,#293241, #293242,#293243,#293244,#293245,#293246)); #56687=EDGE_LOOP('',(#293247,#293248,#293249,#293250,#293251,#293252,#293253, #293254,#293255,#293256,#293257,#293258)); #56688=EDGE_LOOP('',(#293259,#293260,#293261,#293262)); #56689=EDGE_LOOP('',(#293263,#293264,#293265,#293266,#293267,#293268)); #56690=EDGE_LOOP('',(#293269,#293270,#293271,#293272)); #56691=EDGE_LOOP('',(#293273,#293274,#293275,#293276,#293277,#293278)); #56692=EDGE_LOOP('',(#293279,#293280,#293281,#293282,#293283,#293284,#293285, #293286)); #56693=EDGE_LOOP('',(#293287,#293288,#293289,#293290,#293291,#293292,#293293, #293294)); #56694=EDGE_LOOP('',(#293295,#293296,#293297,#293298)); #56695=EDGE_LOOP('',(#293299,#293300,#293301,#293302)); #56696=EDGE_LOOP('',(#293303,#293304,#293305,#293306)); #56697=EDGE_LOOP('',(#293307,#293308,#293309,#293310)); #56698=EDGE_LOOP('',(#293311,#293312,#293313,#293314)); #56699=EDGE_LOOP('',(#293315,#293316,#293317,#293318)); #56700=EDGE_LOOP('',(#293319,#293320,#293321,#293322)); #56701=EDGE_LOOP('',(#293323,#293324,#293325,#293326)); #56702=EDGE_LOOP('',(#293327,#293328,#293329,#293330)); #56703=EDGE_LOOP('',(#293331,#293332,#293333,#293334)); #56704=EDGE_LOOP('',(#293335,#293336,#293337,#293338)); #56705=EDGE_LOOP('',(#293339,#293340,#293341,#293342)); #56706=EDGE_LOOP('',(#293343,#293344,#293345,#293346)); #56707=EDGE_LOOP('',(#293347,#293348,#293349,#293350)); #56708=EDGE_LOOP('',(#293351,#293352,#293353,#293354)); #56709=EDGE_LOOP('',(#293355,#293356,#293357,#293358)); #56710=EDGE_LOOP('',(#293359,#293360,#293361,#293362)); #56711=EDGE_LOOP('',(#293363,#293364,#293365,#293366)); #56712=EDGE_LOOP('',(#293367,#293368,#293369,#293370)); #56713=EDGE_LOOP('',(#293371,#293372,#293373,#293374)); #56714=EDGE_LOOP('',(#293375,#293376,#293377,#293378)); #56715=EDGE_LOOP('',(#293379,#293380,#293381,#293382)); #56716=EDGE_LOOP('',(#293383,#293384,#293385,#293386)); #56717=EDGE_LOOP('',(#293387,#293388,#293389,#293390)); #56718=EDGE_LOOP('',(#293391,#293392,#293393,#293394)); #56719=EDGE_LOOP('',(#293395,#293396,#293397,#293398)); #56720=EDGE_LOOP('',(#293399,#293400,#293401,#293402)); #56721=EDGE_LOOP('',(#293403,#293404,#293405,#293406)); #56722=EDGE_LOOP('',(#293407,#293408,#293409,#293410)); #56723=EDGE_LOOP('',(#293411,#293412,#293413,#293414)); #56724=EDGE_LOOP('',(#293415,#293416,#293417,#293418)); #56725=EDGE_LOOP('',(#293419,#293420,#293421,#293422)); #56726=EDGE_LOOP('',(#293423,#293424,#293425,#293426)); #56727=EDGE_LOOP('',(#293427,#293428,#293429,#293430)); #56728=EDGE_LOOP('',(#293431,#293432,#293433,#293434)); #56729=EDGE_LOOP('',(#293435,#293436,#293437,#293438)); #56730=EDGE_LOOP('',(#293439,#293440,#293441,#293442)); #56731=EDGE_LOOP('',(#293443,#293444,#293445,#293446)); #56732=EDGE_LOOP('',(#293447,#293448,#293449,#293450)); #56733=EDGE_LOOP('',(#293451,#293452,#293453,#293454)); #56734=EDGE_LOOP('',(#293455,#293456,#293457,#293458)); #56735=EDGE_LOOP('',(#293459,#293460,#293461,#293462)); #56736=EDGE_LOOP('',(#293463,#293464,#293465,#293466)); #56737=EDGE_LOOP('',(#293467,#293468,#293469,#293470)); #56738=EDGE_LOOP('',(#293471,#293472,#293473,#293474)); #56739=EDGE_LOOP('',(#293475,#293476,#293477,#293478)); #56740=EDGE_LOOP('',(#293479,#293480,#293481,#293482)); #56741=EDGE_LOOP('',(#293483,#293484,#293485,#293486,#293487,#293488,#293489, #293490,#293491,#293492,#293493,#293494,#293495,#293496,#293497,#293498, #293499,#293500,#293501,#293502,#293503,#293504,#293505,#293506,#293507, #293508,#293509,#293510,#293511,#293512,#293513,#293514,#293515,#293516, #293517,#293518)); #56742=EDGE_LOOP('',(#293519,#293520,#293521,#293522,#293523,#293524,#293525, #293526,#293527,#293528,#293529,#293530,#293531,#293532,#293533,#293534, #293535,#293536,#293537,#293538,#293539,#293540,#293541,#293542,#293543, #293544,#293545,#293546,#293547,#293548,#293549,#293550,#293551,#293552, #293553,#293554)); #56743=EDGE_LOOP('',(#293555,#293556,#293557,#293558)); #56744=EDGE_LOOP('',(#293559,#293560,#293561,#293562)); #56745=EDGE_LOOP('',(#293563,#293564,#293565,#293566,#293567,#293568,#293569, #293570,#293571,#293572,#293573,#293574,#293575,#293576,#293577,#293578, #293579,#293580,#293581,#293582,#293583,#293584,#293585,#293586,#293587, #293588,#293589,#293590,#293591,#293592,#293593,#293594,#293595,#293596, #293597,#293598,#293599,#293600,#293601)); #56746=EDGE_LOOP('',(#293602,#293603,#293604,#293605,#293606,#293607,#293608, #293609)); #56747=EDGE_LOOP('',(#293610,#293611,#293612,#293613,#293614,#293615,#293616, #293617,#293618,#293619,#293620,#293621,#293622,#293623,#293624,#293625, #293626,#293627,#293628,#293629,#293630,#293631,#293632,#293633,#293634, #293635,#293636,#293637,#293638,#293639,#293640,#293641,#293642,#293643, #293644,#293645,#293646,#293647,#293648)); #56748=EDGE_LOOP('',(#293649,#293650,#293651,#293652,#293653,#293654,#293655, #293656)); #56749=EDGE_LOOP('',(#293657,#293658,#293659,#293660)); #56750=EDGE_LOOP('',(#293661,#293662,#293663,#293664,#293665)); #56751=EDGE_LOOP('',(#293666,#293667,#293668,#293669,#293670)); #56752=EDGE_LOOP('',(#293671,#293672,#293673,#293674,#293675)); #56753=EDGE_LOOP('',(#293676,#293677,#293678,#293679,#293680,#293681,#293682, #293683,#293684,#293685,#293686,#293687)); #56754=EDGE_LOOP('',(#293688,#293689,#293690,#293691,#293692,#293693,#293694, #293695)); #56755=EDGE_LOOP('',(#293696,#293697,#293698,#293699,#293700,#293701,#293702, #293703)); #56756=EDGE_LOOP('',(#293704,#293705,#293706,#293707,#293708,#293709,#293710, #293711,#293712,#293713,#293714,#293715,#293716,#293717,#293718,#293719, #293720,#293721,#293722,#293723,#293724,#293725,#293726,#293727,#293728, #293729,#293730,#293731,#293732,#293733,#293734,#293735,#293736,#293737, #293738,#293739,#293740,#293741,#293742,#293743,#293744,#293745)); #56757=EDGE_LOOP('',(#293746,#293747,#293748,#293749)); #56758=EDGE_LOOP('',(#293750,#293751,#293752,#293753,#293754,#293755,#293756, #293757)); #56759=EDGE_LOOP('',(#293758,#293759,#293760,#293761,#293762,#293763,#293764, #293765,#293766,#293767,#293768,#293769,#293770,#293771,#293772,#293773, #293774,#293775,#293776,#293777,#293778,#293779,#293780,#293781,#293782, #293783,#293784,#293785,#293786,#293787,#293788,#293789,#293790,#293791, #293792,#293793,#293794,#293795,#293796)); #56760=EDGE_LOOP('',(#293797,#293798,#293799,#293800,#293801,#293802,#293803, #293804)); #56761=EDGE_LOOP('',(#293805,#293806,#293807,#293808)); #56762=EDGE_LOOP('',(#293809,#293810,#293811,#293812)); #56763=EDGE_LOOP('',(#293813,#293814,#293815,#293816)); #56764=EDGE_LOOP('',(#293817,#293818,#293819,#293820)); #56765=EDGE_LOOP('',(#293821,#293822,#293823,#293824,#293825,#293826)); #56766=EDGE_LOOP('',(#293827,#293828,#293829,#293830,#293831,#293832,#293833)); #56767=EDGE_LOOP('',(#293834,#293835,#293836,#293837,#293838,#293839,#293840, #293841,#293842,#293843,#293844,#293845,#293846,#293847,#293848,#293849, #293850,#293851,#293852,#293853,#293854,#293855,#293856,#293857,#293858, #293859,#293860,#293861,#293862,#293863,#293864,#293865,#293866,#293867, #293868,#293869,#293870,#293871,#293872,#293873,#293874,#293875)); #56768=EDGE_LOOP('',(#293876,#293877,#293878,#293879)); #56769=EDGE_LOOP('',(#293880,#293881,#293882,#293883,#293884)); #56770=EDGE_LOOP('',(#293885,#293886,#293887,#293888)); #56771=EDGE_LOOP('',(#293889,#293890,#293891,#293892)); #56772=EDGE_LOOP('',(#293893,#293894,#293895,#293896)); #56773=EDGE_LOOP('',(#293897,#293898,#293899,#293900)); #56774=EDGE_LOOP('',(#293901,#293902,#293903,#293904,#293905,#293906,#293907, #293908,#293909,#293910,#293911,#293912,#293913,#293914,#293915,#293916, #293917,#293918,#293919,#293920,#293921,#293922,#293923,#293924,#293925, #293926,#293927,#293928,#293929,#293930,#293931,#293932,#293933,#293934, #293935,#293936,#293937,#293938,#293939)); #56775=EDGE_LOOP('',(#293940,#293941,#293942,#293943,#293944,#293945)); #56776=EDGE_LOOP('',(#293946,#293947,#293948,#293949,#293950,#293951)); #56777=EDGE_LOOP('',(#293952,#293953,#293954,#293955,#293956,#293957,#293958, #293959,#293960,#293961,#293962,#293963,#293964,#293965,#293966,#293967, #293968,#293969,#293970,#293971,#293972,#293973,#293974,#293975,#293976, #293977,#293978,#293979,#293980,#293981,#293982,#293983,#293984,#293985, #293986,#293987,#293988,#293989,#293990)); #56778=EDGE_LOOP('',(#293991,#293992,#293993,#293994,#293995,#293996,#293997, #293998)); #56779=EDGE_LOOP('',(#293999,#294000,#294001,#294002)); #56780=EDGE_LOOP('',(#294003,#294004,#294005,#294006,#294007,#294008)); #56781=EDGE_LOOP('',(#294009,#294010,#294011,#294012)); #56782=EDGE_LOOP('',(#294013,#294014,#294015,#294016,#294017,#294018)); #56783=EDGE_LOOP('',(#294019,#294020,#294021,#294022)); #56784=EDGE_LOOP('',(#294023,#294024,#294025,#294026)); #56785=EDGE_LOOP('',(#294027,#294028,#294029,#294030)); #56786=EDGE_LOOP('',(#294031,#294032,#294033,#294034,#294035)); #56787=EDGE_LOOP('',(#294036,#294037,#294038,#294039,#294040,#294041,#294042)); #56788=EDGE_LOOP('',(#294043,#294044,#294045,#294046)); #56789=EDGE_LOOP('',(#294047,#294048,#294049,#294050,#294051,#294052,#294053, #294054,#294055,#294056,#294057,#294058,#294059,#294060,#294061,#294062, #294063,#294064,#294065,#294066)); #56790=EDGE_LOOP('',(#294067,#294068,#294069,#294070)); #56791=EDGE_LOOP('',(#294071,#294072,#294073,#294074)); #56792=EDGE_LOOP('',(#294075,#294076,#294077,#294078,#294079,#294080)); #56793=EDGE_LOOP('',(#294081,#294082,#294083,#294084,#294085,#294086,#294087, #294088,#294089,#294090,#294091,#294092,#294093,#294094,#294095,#294096, #294097,#294098,#294099,#294100,#294101,#294102,#294103,#294104,#294105, #294106,#294107,#294108,#294109,#294110,#294111,#294112,#294113,#294114, #294115,#294116,#294117,#294118,#294119)); #56794=EDGE_LOOP('',(#294120,#294121,#294122,#294123,#294124,#294125)); #56795=EDGE_LOOP('',(#294126,#294127,#294128,#294129,#294130,#294131)); #56796=EDGE_LOOP('',(#294132,#294133,#294134,#294135,#294136,#294137,#294138, #294139,#294140,#294141,#294142,#294143)); #56797=EDGE_LOOP('',(#294144,#294145,#294146,#294147,#294148,#294149,#294150, #294151)); #56798=EDGE_LOOP('',(#294152,#294153,#294154,#294155,#294156,#294157,#294158, #294159)); #56799=EDGE_LOOP('',(#294160,#294161,#294162,#294163,#294164,#294165)); #56800=EDGE_LOOP('',(#294166,#294167,#294168,#294169,#294170,#294171)); #56801=EDGE_LOOP('',(#294172,#294173,#294174,#294175,#294176)); #56802=EDGE_LOOP('',(#294177,#294178,#294179,#294180,#294181)); #56803=EDGE_LOOP('',(#294182,#294183,#294184,#294185)); #56804=EDGE_LOOP('',(#294186,#294187,#294188,#294189,#294190,#294191)); #56805=EDGE_LOOP('',(#294192,#294193,#294194,#294195,#294196,#294197,#294198, #294199)); #56806=EDGE_LOOP('',(#294200,#294201,#294202,#294203)); #56807=EDGE_LOOP('',(#294204,#294205,#294206,#294207)); #56808=EDGE_LOOP('',(#294208,#294209,#294210,#294211)); #56809=EDGE_LOOP('',(#294212,#294213,#294214,#294215)); #56810=EDGE_LOOP('',(#294216,#294217,#294218,#294219)); #56811=EDGE_LOOP('',(#294220,#294221,#294222,#294223)); #56812=EDGE_LOOP('',(#294224,#294225,#294226,#294227)); #56813=EDGE_LOOP('',(#294228,#294229,#294230,#294231)); #56814=EDGE_LOOP('',(#294232,#294233,#294234,#294235)); #56815=EDGE_LOOP('',(#294236,#294237,#294238,#294239)); #56816=EDGE_LOOP('',(#294240,#294241,#294242,#294243,#294244,#294245)); #56817=EDGE_LOOP('',(#294246,#294247,#294248,#294249)); #56818=EDGE_LOOP('',(#294250,#294251,#294252,#294253)); #56819=EDGE_LOOP('',(#294254,#294255,#294256,#294257)); #56820=EDGE_LOOP('',(#294258,#294259,#294260,#294261,#294262)); #56821=EDGE_LOOP('',(#294263,#294264,#294265,#294266,#294267,#294268,#294269, #294270)); #56822=EDGE_LOOP('',(#294271,#294272,#294273,#294274,#294275,#294276)); #56823=EDGE_LOOP('',(#294277,#294278,#294279,#294280,#294281,#294282)); #56824=EDGE_LOOP('',(#294283,#294284,#294285,#294286)); #56825=EDGE_LOOP('',(#294287,#294288,#294289,#294290)); #56826=EDGE_LOOP('',(#294291,#294292,#294293,#294294)); #56827=EDGE_LOOP('',(#294295,#294296,#294297,#294298)); #56828=EDGE_LOOP('',(#294299,#294300,#294301,#294302)); #56829=EDGE_LOOP('',(#294303,#294304,#294305,#294306)); #56830=EDGE_LOOP('',(#294307,#294308,#294309,#294310)); #56831=EDGE_LOOP('',(#294311,#294312,#294313,#294314)); #56832=EDGE_LOOP('',(#294315,#294316,#294317,#294318,#294319,#294320,#294321, #294322)); #56833=EDGE_LOOP('',(#294323,#294324,#294325,#294326,#294327,#294328)); #56834=EDGE_LOOP('',(#294329,#294330,#294331,#294332,#294333,#294334)); #56835=EDGE_LOOP('',(#294335,#294336,#294337,#294338)); #56836=EDGE_LOOP('',(#294339,#294340,#294341,#294342)); #56837=EDGE_LOOP('',(#294343,#294344,#294345,#294346)); #56838=EDGE_LOOP('',(#294347,#294348,#294349,#294350)); #56839=EDGE_LOOP('',(#294351,#294352,#294353,#294354)); #56840=EDGE_LOOP('',(#294355,#294356,#294357,#294358)); #56841=EDGE_LOOP('',(#294359,#294360,#294361,#294362)); #56842=EDGE_LOOP('',(#294363,#294364,#294365,#294366)); #56843=EDGE_LOOP('',(#294367,#294368,#294369,#294370)); #56844=EDGE_LOOP('',(#294371,#294372,#294373,#294374)); #56845=EDGE_LOOP('',(#294375,#294376,#294377,#294378)); #56846=EDGE_LOOP('',(#294379,#294380,#294381,#294382)); #56847=EDGE_LOOP('',(#294383,#294384,#294385,#294386)); #56848=EDGE_LOOP('',(#294387,#294388,#294389,#294390)); #56849=EDGE_LOOP('',(#294391,#294392,#294393,#294394)); #56850=EDGE_LOOP('',(#294395,#294396,#294397,#294398)); #56851=EDGE_LOOP('',(#294399,#294400,#294401,#294402)); #56852=EDGE_LOOP('',(#294403,#294404,#294405,#294406)); #56853=EDGE_LOOP('',(#294407,#294408,#294409,#294410)); #56854=EDGE_LOOP('',(#294411,#294412,#294413,#294414)); #56855=EDGE_LOOP('',(#294415,#294416,#294417,#294418)); #56856=EDGE_LOOP('',(#294419,#294420,#294421,#294422)); #56857=EDGE_LOOP('',(#294423,#294424,#294425,#294426)); #56858=EDGE_LOOP('',(#294427,#294428,#294429,#294430,#294431)); #56859=EDGE_LOOP('',(#294432,#294433,#294434,#294435)); #56860=EDGE_LOOP('',(#294436,#294437,#294438,#294439)); #56861=EDGE_LOOP('',(#294440,#294441,#294442,#294443)); #56862=EDGE_LOOP('',(#294444,#294445,#294446,#294447,#294448)); #56863=EDGE_LOOP('',(#294449,#294450,#294451,#294452)); #56864=EDGE_LOOP('',(#294453,#294454,#294455,#294456)); #56865=EDGE_LOOP('',(#294457,#294458,#294459,#294460)); #56866=EDGE_LOOP('',(#294461,#294462,#294463,#294464)); #56867=EDGE_LOOP('',(#294465,#294466,#294467,#294468)); #56868=EDGE_LOOP('',(#294469,#294470,#294471,#294472)); #56869=EDGE_LOOP('',(#294473,#294474,#294475,#294476)); #56870=EDGE_LOOP('',(#294477,#294478,#294479,#294480)); #56871=EDGE_LOOP('',(#294481,#294482,#294483,#294484)); #56872=EDGE_LOOP('',(#294485,#294486,#294487,#294488)); #56873=EDGE_LOOP('',(#294489,#294490,#294491,#294492)); #56874=EDGE_LOOP('',(#294493,#294494,#294495,#294496)); #56875=EDGE_LOOP('',(#294497,#294498,#294499,#294500)); #56876=EDGE_LOOP('',(#294501,#294502,#294503,#294504)); #56877=EDGE_LOOP('',(#294505,#294506,#294507,#294508)); #56878=EDGE_LOOP('',(#294509,#294510,#294511,#294512)); #56879=EDGE_LOOP('',(#294513,#294514,#294515,#294516)); #56880=EDGE_LOOP('',(#294517,#294518,#294519,#294520)); #56881=EDGE_LOOP('',(#294521,#294522,#294523,#294524)); #56882=EDGE_LOOP('',(#294525,#294526,#294527,#294528)); #56883=EDGE_LOOP('',(#294529,#294530,#294531,#294532)); #56884=EDGE_LOOP('',(#294533,#294534,#294535,#294536)); #56885=EDGE_LOOP('',(#294537,#294538,#294539,#294540)); #56886=EDGE_LOOP('',(#294541,#294542,#294543,#294544)); #56887=EDGE_LOOP('',(#294545,#294546,#294547,#294548)); #56888=EDGE_LOOP('',(#294549,#294550,#294551,#294552)); #56889=EDGE_LOOP('',(#294553,#294554,#294555,#294556)); #56890=EDGE_LOOP('',(#294557,#294558,#294559,#294560)); #56891=EDGE_LOOP('',(#294561,#294562,#294563,#294564,#294565,#294566,#294567, #294568,#294569,#294570,#294571,#294572,#294573,#294574,#294575,#294576)); #56892=EDGE_LOOP('',(#294577,#294578,#294579,#294580,#294581,#294582,#294583, #294584,#294585,#294586,#294587,#294588,#294589,#294590,#294591,#294592)); #56893=EDGE_LOOP('',(#294593,#294594,#294595,#294596)); #56894=EDGE_LOOP('',(#294597,#294598,#294599,#294600)); #56895=EDGE_LOOP('',(#294601,#294602,#294603,#294604)); #56896=EDGE_LOOP('',(#294605,#294606,#294607,#294608)); #56897=EDGE_LOOP('',(#294609,#294610,#294611,#294612)); #56898=EDGE_LOOP('',(#294613,#294614,#294615,#294616)); #56899=EDGE_LOOP('',(#294617,#294618,#294619,#294620)); #56900=EDGE_LOOP('',(#294621,#294622,#294623,#294624)); #56901=EDGE_LOOP('',(#294625,#294626,#294627,#294628)); #56902=EDGE_LOOP('',(#294629,#294630,#294631,#294632)); #56903=EDGE_LOOP('',(#294633,#294634,#294635,#294636)); #56904=EDGE_LOOP('',(#294637,#294638,#294639,#294640)); #56905=EDGE_LOOP('',(#294641,#294642,#294643,#294644)); #56906=EDGE_LOOP('',(#294645,#294646,#294647,#294648)); #56907=EDGE_LOOP('',(#294649,#294650,#294651,#294652)); #56908=EDGE_LOOP('',(#294653,#294654,#294655,#294656)); #56909=EDGE_LOOP('',(#294657,#294658,#294659,#294660)); #56910=EDGE_LOOP('',(#294661,#294662,#294663,#294664)); #56911=EDGE_LOOP('',(#294665,#294666,#294667,#294668,#294669,#294670,#294671, #294672,#294673,#294674,#294675,#294676,#294677,#294678,#294679,#294680)); #56912=EDGE_LOOP('',(#294681,#294682,#294683,#294684,#294685,#294686,#294687, #294688,#294689,#294690,#294691,#294692,#294693,#294694,#294695,#294696)); #56913=EDGE_LOOP('',(#294697,#294698,#294699,#294700)); #56914=EDGE_LOOP('',(#294701,#294702,#294703,#294704)); #56915=EDGE_LOOP('',(#294705,#294706,#294707,#294708)); #56916=EDGE_LOOP('',(#294709,#294710,#294711,#294712)); #56917=EDGE_LOOP('',(#294713,#294714,#294715,#294716)); #56918=EDGE_LOOP('',(#294717,#294718,#294719,#294720)); #56919=EDGE_LOOP('',(#294721,#294722,#294723,#294724)); #56920=EDGE_LOOP('',(#294725,#294726,#294727,#294728)); #56921=EDGE_LOOP('',(#294729,#294730,#294731,#294732)); #56922=EDGE_LOOP('',(#294733,#294734,#294735,#294736)); #56923=EDGE_LOOP('',(#294737,#294738,#294739,#294740)); #56924=EDGE_LOOP('',(#294741,#294742,#294743,#294744)); #56925=EDGE_LOOP('',(#294745,#294746,#294747,#294748)); #56926=EDGE_LOOP('',(#294749,#294750,#294751,#294752)); #56927=EDGE_LOOP('',(#294753,#294754,#294755,#294756)); #56928=EDGE_LOOP('',(#294757,#294758,#294759,#294760)); #56929=EDGE_LOOP('',(#294761,#294762,#294763,#294764)); #56930=EDGE_LOOP('',(#294765,#294766,#294767,#294768)); #56931=EDGE_LOOP('',(#294769,#294770,#294771,#294772,#294773,#294774,#294775)); #56932=EDGE_LOOP('',(#294776,#294777,#294778,#294779,#294780)); #56933=EDGE_LOOP('',(#294781,#294782,#294783,#294784,#294785,#294786,#294787)); #56934=EDGE_LOOP('',(#294788,#294789,#294790,#294791,#294792,#294793)); #56935=EDGE_LOOP('',(#294794,#294795,#294796,#294797)); #56936=EDGE_LOOP('',(#294798,#294799,#294800,#294801)); #56937=EDGE_LOOP('',(#294802,#294803,#294804,#294805)); #56938=EDGE_LOOP('',(#294806,#294807,#294808,#294809)); #56939=EDGE_LOOP('',(#294810,#294811,#294812,#294813)); #56940=EDGE_LOOP('',(#294814,#294815,#294816,#294817)); #56941=EDGE_LOOP('',(#294818,#294819,#294820)); #56942=EDGE_LOOP('',(#294821,#294822,#294823,#294824)); #56943=EDGE_LOOP('',(#294825,#294826,#294827)); #56944=EDGE_LOOP('',(#294828,#294829,#294830,#294831)); #56945=EDGE_LOOP('',(#294832,#294833,#294834,#294835)); #56946=EDGE_LOOP('',(#294836,#294837,#294838,#294839)); #56947=EDGE_LOOP('',(#294840,#294841,#294842,#294843)); #56948=EDGE_LOOP('',(#294844,#294845,#294846,#294847)); #56949=EDGE_LOOP('',(#294848,#294849,#294850,#294851)); #56950=EDGE_LOOP('',(#294852,#294853,#294854,#294855)); #56951=EDGE_LOOP('',(#294856,#294857,#294858,#294859)); #56952=EDGE_LOOP('',(#294860,#294861,#294862,#294863)); #56953=EDGE_LOOP('',(#294864,#294865,#294866,#294867)); #56954=EDGE_LOOP('',(#294868,#294869,#294870,#294871)); #56955=EDGE_LOOP('',(#294872,#294873,#294874,#294875)); #56956=EDGE_LOOP('',(#294876,#294877,#294878,#294879)); #56957=EDGE_LOOP('',(#294880,#294881,#294882,#294883)); #56958=EDGE_LOOP('',(#294884,#294885,#294886,#294887)); #56959=EDGE_LOOP('',(#294888,#294889,#294890,#294891)); #56960=EDGE_LOOP('',(#294892,#294893,#294894,#294895)); #56961=EDGE_LOOP('',(#294896,#294897,#294898,#294899)); #56962=EDGE_LOOP('',(#294900,#294901,#294902,#294903)); #56963=EDGE_LOOP('',(#294904,#294905,#294906,#294907)); #56964=EDGE_LOOP('',(#294908,#294909,#294910,#294911)); #56965=EDGE_LOOP('',(#294912,#294913,#294914,#294915)); #56966=EDGE_LOOP('',(#294916,#294917,#294918,#294919)); #56967=EDGE_LOOP('',(#294920,#294921,#294922,#294923)); #56968=EDGE_LOOP('',(#294924,#294925,#294926,#294927)); #56969=EDGE_LOOP('',(#294928,#294929,#294930,#294931)); #56970=EDGE_LOOP('',(#294932,#294933,#294934,#294935)); #56971=EDGE_LOOP('',(#294936,#294937,#294938,#294939)); #56972=EDGE_LOOP('',(#294940,#294941,#294942,#294943)); #56973=EDGE_LOOP('',(#294944,#294945,#294946,#294947)); #56974=EDGE_LOOP('',(#294948,#294949,#294950,#294951)); #56975=EDGE_LOOP('',(#294952,#294953,#294954,#294955,#294956)); #56976=EDGE_LOOP('',(#294957,#294958,#294959,#294960)); #56977=EDGE_LOOP('',(#294961,#294962,#294963,#294964)); #56978=EDGE_LOOP('',(#294965,#294966,#294967,#294968)); #56979=EDGE_LOOP('',(#294969,#294970,#294971,#294972,#294973)); #56980=EDGE_LOOP('',(#294974,#294975,#294976,#294977)); #56981=EDGE_LOOP('',(#294978,#294979,#294980,#294981)); #56982=EDGE_LOOP('',(#294982,#294983,#294984,#294985)); #56983=EDGE_LOOP('',(#294986,#294987,#294988,#294989)); #56984=EDGE_LOOP('',(#294990,#294991,#294992,#294993)); #56985=EDGE_LOOP('',(#294994,#294995,#294996,#294997)); #56986=EDGE_LOOP('',(#294998,#294999,#295000,#295001)); #56987=EDGE_LOOP('',(#295002,#295003,#295004,#295005)); #56988=EDGE_LOOP('',(#295006,#295007,#295008,#295009,#295010,#295011,#295012, #295013,#295014,#295015,#295016,#295017,#295018,#295019,#295020,#295021)); #56989=EDGE_LOOP('',(#295022,#295023,#295024,#295025,#295026,#295027,#295028, #295029,#295030,#295031,#295032,#295033,#295034,#295035,#295036,#295037)); #56990=EDGE_LOOP('',(#295038,#295039,#295040,#295041)); #56991=EDGE_LOOP('',(#295042,#295043,#295044,#295045)); #56992=EDGE_LOOP('',(#295046,#295047,#295048,#295049)); #56993=EDGE_LOOP('',(#295050,#295051,#295052,#295053)); #56994=EDGE_LOOP('',(#295054,#295055,#295056,#295057)); #56995=EDGE_LOOP('',(#295058,#295059,#295060,#295061)); #56996=EDGE_LOOP('',(#295062,#295063,#295064,#295065)); #56997=EDGE_LOOP('',(#295066,#295067,#295068,#295069)); #56998=EDGE_LOOP('',(#295070,#295071,#295072,#295073)); #56999=EDGE_LOOP('',(#295074,#295075,#295076,#295077)); #57000=EDGE_LOOP('',(#295078,#295079,#295080,#295081)); #57001=EDGE_LOOP('',(#295082,#295083,#295084,#295085)); #57002=EDGE_LOOP('',(#295086,#295087,#295088,#295089)); #57003=EDGE_LOOP('',(#295090,#295091,#295092,#295093)); #57004=EDGE_LOOP('',(#295094,#295095,#295096,#295097)); #57005=EDGE_LOOP('',(#295098,#295099,#295100,#295101)); #57006=EDGE_LOOP('',(#295102,#295103,#295104,#295105)); #57007=EDGE_LOOP('',(#295106,#295107,#295108,#295109)); #57008=EDGE_LOOP('',(#295110,#295111,#295112,#295113,#295114,#295115,#295116, #295117,#295118,#295119,#295120,#295121,#295122,#295123,#295124,#295125)); #57009=EDGE_LOOP('',(#295126,#295127,#295128,#295129,#295130,#295131,#295132, #295133,#295134,#295135,#295136,#295137,#295138,#295139,#295140,#295141)); #57010=EDGE_LOOP('',(#295142,#295143,#295144,#295145)); #57011=EDGE_LOOP('',(#295146,#295147,#295148,#295149)); #57012=EDGE_LOOP('',(#295150,#295151,#295152,#295153)); #57013=EDGE_LOOP('',(#295154,#295155,#295156,#295157)); #57014=EDGE_LOOP('',(#295158,#295159,#295160,#295161)); #57015=EDGE_LOOP('',(#295162,#295163,#295164,#295165)); #57016=EDGE_LOOP('',(#295166,#295167,#295168,#295169)); #57017=EDGE_LOOP('',(#295170,#295171,#295172,#295173)); #57018=EDGE_LOOP('',(#295174,#295175,#295176,#295177)); #57019=EDGE_LOOP('',(#295178,#295179,#295180,#295181)); #57020=EDGE_LOOP('',(#295182,#295183,#295184,#295185)); #57021=EDGE_LOOP('',(#295186,#295187,#295188,#295189)); #57022=EDGE_LOOP('',(#295190,#295191,#295192,#295193)); #57023=EDGE_LOOP('',(#295194,#295195,#295196,#295197)); #57024=EDGE_LOOP('',(#295198,#295199,#295200,#295201)); #57025=EDGE_LOOP('',(#295202,#295203,#295204,#295205)); #57026=EDGE_LOOP('',(#295206,#295207,#295208,#295209)); #57027=EDGE_LOOP('',(#295210,#295211,#295212,#295213)); #57028=EDGE_LOOP('',(#295214,#295215,#295216,#295217,#295218)); #57029=EDGE_LOOP('',(#295219,#295220,#295221,#295222,#295223,#295224,#295225)); #57030=EDGE_LOOP('',(#295226,#295227,#295228,#295229,#295230,#295231,#295232)); #57031=EDGE_LOOP('',(#295233,#295234,#295235,#295236,#295237,#295238)); #57032=EDGE_LOOP('',(#295239,#295240,#295241,#295242)); #57033=EDGE_LOOP('',(#295243,#295244,#295245,#295246)); #57034=EDGE_LOOP('',(#295247,#295248,#295249,#295250)); #57035=EDGE_LOOP('',(#295251,#295252,#295253,#295254)); #57036=EDGE_LOOP('',(#295255,#295256,#295257,#295258)); #57037=EDGE_LOOP('',(#295259,#295260,#295261,#295262)); #57038=EDGE_LOOP('',(#295263,#295264,#295265)); #57039=EDGE_LOOP('',(#295266,#295267,#295268,#295269)); #57040=EDGE_LOOP('',(#295270,#295271,#295272)); #57041=EDGE_LOOP('',(#295273,#295274,#295275,#295276)); #57042=EDGE_LOOP('',(#295277,#295278,#295279,#295280)); #57043=EDGE_LOOP('',(#295281,#295282,#295283,#295284)); #57044=EDGE_LOOP('',(#295285,#295286,#295287,#295288)); #57045=EDGE_LOOP('',(#295289,#295290,#295291,#295292)); #57046=EDGE_LOOP('',(#295293,#295294,#295295,#295296)); #57047=EDGE_LOOP('',(#295297,#295298,#295299,#295300)); #57048=EDGE_LOOP('',(#295301,#295302,#295303,#295304)); #57049=EDGE_LOOP('',(#295305,#295306,#295307,#295308)); #57050=EDGE_LOOP('',(#295309,#295310,#295311,#295312)); #57051=EDGE_LOOP('',(#295313,#295314,#295315,#295316)); #57052=EDGE_LOOP('',(#295317,#295318,#295319,#295320)); #57053=EDGE_LOOP('',(#295321,#295322,#295323,#295324)); #57054=EDGE_LOOP('',(#295325,#295326,#295327,#295328)); #57055=EDGE_LOOP('',(#295329,#295330,#295331,#295332)); #57056=EDGE_LOOP('',(#295333,#295334,#295335,#295336)); #57057=EDGE_LOOP('',(#295337,#295338,#295339,#295340)); #57058=EDGE_LOOP('',(#295341,#295342,#295343,#295344)); #57059=EDGE_LOOP('',(#295345,#295346,#295347,#295348)); #57060=EDGE_LOOP('',(#295349,#295350,#295351,#295352)); #57061=EDGE_LOOP('',(#295353,#295354,#295355,#295356)); #57062=EDGE_LOOP('',(#295357,#295358,#295359,#295360)); #57063=EDGE_LOOP('',(#295361,#295362,#295363,#295364)); #57064=EDGE_LOOP('',(#295365,#295366,#295367,#295368)); #57065=EDGE_LOOP('',(#295369,#295370,#295371,#295372)); #57066=EDGE_LOOP('',(#295373,#295374,#295375,#295376)); #57067=EDGE_LOOP('',(#295377,#295378,#295379,#295380)); #57068=EDGE_LOOP('',(#295381,#295382,#295383,#295384)); #57069=EDGE_LOOP('',(#295385,#295386,#295387,#295388)); #57070=EDGE_LOOP('',(#295389,#295390,#295391,#295392)); #57071=EDGE_LOOP('',(#295393,#295394,#295395,#295396)); #57072=EDGE_LOOP('',(#295397,#295398,#295399,#295400)); #57073=EDGE_LOOP('',(#295401,#295402,#295403,#295404)); #57074=EDGE_LOOP('',(#295405,#295406,#295407,#295408,#295409)); #57075=EDGE_LOOP('',(#295410,#295411,#295412,#295413)); #57076=EDGE_LOOP('',(#295414,#295415,#295416,#295417,#295418,#295419,#295420)); #57077=EDGE_LOOP('',(#295421,#295422,#295423,#295424,#295425,#295426)); #57078=EDGE_LOOP('',(#295427,#295428,#295429,#295430,#295431,#295432,#295433, #295434,#295435,#295436,#295437,#295438)); #57079=EDGE_LOOP('',(#295439,#295440,#295441,#295442)); #57080=EDGE_LOOP('',(#295443,#295444,#295445,#295446,#295447,#295448)); #57081=EDGE_LOOP('',(#295449,#295450,#295451,#295452)); #57082=EDGE_LOOP('',(#295453,#295454,#295455,#295456,#295457,#295458,#295459, #295460,#295461,#295462,#295463,#295464)); #57083=EDGE_LOOP('',(#295465,#295466,#295467,#295468)); #57084=EDGE_LOOP('',(#295469,#295470,#295471,#295472,#295473,#295474,#295475, #295476,#295477,#295478,#295479,#295480)); #57085=EDGE_LOOP('',(#295481,#295482,#295483,#295484,#295485,#295486)); #57086=EDGE_LOOP('',(#295487,#295488,#295489,#295490)); #57087=EDGE_LOOP('',(#295491,#295492,#295493,#295494)); #57088=EDGE_LOOP('',(#295495,#295496,#295497,#295498,#295499,#295500)); #57089=EDGE_LOOP('',(#295501,#295502,#295503,#295504,#295505,#295506,#295507, #295508,#295509,#295510,#295511,#295512)); #57090=EDGE_LOOP('',(#295513,#295514,#295515,#295516,#295517,#295518,#295519)); #57091=EDGE_LOOP('',(#295520,#295521,#295522,#295523,#295524)); #57092=EDGE_LOOP('',(#295525,#295526,#295527,#295528,#295529,#295530)); #57093=EDGE_LOOP('',(#295531,#295532,#295533,#295534)); #57094=EDGE_LOOP('',(#295535,#295536,#295537,#295538)); #57095=EDGE_LOOP('',(#295539,#295540,#295541,#295542,#295543,#295544)); #57096=EDGE_LOOP('',(#295545,#295546,#295547,#295548)); #57097=EDGE_LOOP('',(#295549,#295550,#295551,#295552)); #57098=EDGE_LOOP('',(#295553,#295554,#295555,#295556)); #57099=EDGE_LOOP('',(#295557,#295558,#295559,#295560,#295561)); #57100=EDGE_LOOP('',(#295562,#295563,#295564,#295565,#295566,#295567)); #57101=EDGE_LOOP('',(#295568,#295569,#295570,#295571,#295572,#295573,#295574, #295575,#295576)); #57102=EDGE_LOOP('',(#295577,#295578,#295579,#295580)); #57103=EDGE_LOOP('',(#295581,#295582,#295583,#295584)); #57104=EDGE_LOOP('',(#295585,#295586,#295587,#295588)); #57105=EDGE_LOOP('',(#295589,#295590,#295591,#295592)); #57106=EDGE_LOOP('',(#295593,#295594,#295595,#295596)); #57107=EDGE_LOOP('',(#295597,#295598,#295599,#295600,#295601)); #57108=EDGE_LOOP('',(#295602,#295603,#295604,#295605)); #57109=EDGE_LOOP('',(#295606,#295607,#295608,#295609,#295610)); #57110=EDGE_LOOP('',(#295611,#295612,#295613,#295614)); #57111=EDGE_LOOP('',(#295615,#295616,#295617,#295618)); #57112=EDGE_LOOP('',(#295619,#295620,#295621,#295622)); #57113=EDGE_LOOP('',(#295623,#295624,#295625,#295626,#295627)); #57114=EDGE_LOOP('',(#295628,#295629,#295630,#295631)); #57115=EDGE_LOOP('',(#295632,#295633,#295634,#295635,#295636)); #57116=EDGE_LOOP('',(#295637,#295638,#295639,#295640)); #57117=EDGE_LOOP('',(#295641,#295642,#295643,#295644)); #57118=EDGE_LOOP('',(#295645,#295646,#295647,#295648)); #57119=EDGE_LOOP('',(#295649,#295650,#295651,#295652)); #57120=EDGE_LOOP('',(#295653,#295654,#295655,#295656)); #57121=EDGE_LOOP('',(#295657,#295658,#295659,#295660,#295661,#295662,#295663, #295664,#295665)); #57122=EDGE_LOOP('',(#295666,#295667,#295668,#295669,#295670,#295671)); #57123=EDGE_LOOP('',(#295672,#295673,#295674,#295675,#295676)); #57124=EDGE_LOOP('',(#295677,#295678,#295679,#295680)); #57125=EDGE_LOOP('',(#295681,#295682,#295683,#295684)); #57126=EDGE_LOOP('',(#295685,#295686,#295687,#295688)); #57127=EDGE_LOOP('',(#295689,#295690,#295691,#295692)); #57128=EDGE_LOOP('',(#295693,#295694,#295695,#295696)); #57129=EDGE_LOOP('',(#295697,#295698,#295699,#295700)); #57130=EDGE_LOOP('',(#295701,#295702,#295703,#295704,#295705,#295706)); #57131=EDGE_LOOP('',(#295707,#295708,#295709,#295710,#295711,#295712,#295713, #295714,#295715,#295716,#295717,#295718,#295719,#295720,#295721,#295722, #295723,#295724,#295725,#295726,#295727,#295728,#295729,#295730,#295731, #295732,#295733,#295734,#295735,#295736,#295737,#295738,#295739,#295740, #295741,#295742,#295743,#295744,#295745,#295746)); #57132=EDGE_LOOP('',(#295747,#295748,#295749,#295750,#295751)); #57133=EDGE_LOOP('',(#295752,#295753,#295754,#295755,#295756,#295757,#295758, #295759,#295760,#295761,#295762,#295763,#295764,#295765,#295766,#295767, #295768,#295769,#295770,#295771,#295772,#295773,#295774,#295775,#295776, #295777,#295778,#295779,#295780,#295781,#295782,#295783,#295784,#295785, #295786,#295787,#295788,#295789,#295790,#295791)); #57134=EDGE_LOOP('',(#295792,#295793,#295794,#295795,#295796)); #57135=EDGE_LOOP('',(#295797,#295798,#295799,#295800,#295801,#295802)); #57136=EDGE_LOOP('',(#295803,#295804,#295805,#295806,#295807)); #57137=EDGE_LOOP('',(#295808,#295809,#295810,#295811)); #57138=EDGE_LOOP('',(#295812,#295813,#295814,#295815,#295816)); #57139=EDGE_LOOP('',(#295817,#295818,#295819,#295820,#295821)); #57140=EDGE_LOOP('',(#295822,#295823,#295824,#295825,#295826,#295827,#295828)); #57141=EDGE_LOOP('',(#295829,#295830,#295831,#295832,#295833,#295834,#295835)); #57142=EDGE_LOOP('',(#295836,#295837,#295838,#295839,#295840)); #57143=EDGE_LOOP('',(#295841,#295842,#295843,#295844,#295845)); #57144=EDGE_LOOP('',(#295846,#295847,#295848,#295849)); #57145=EDGE_LOOP('',(#295850,#295851,#295852,#295853,#295854)); #57146=EDGE_LOOP('',(#295855,#295856,#295857,#295858,#295859,#295860,#295861)); #57147=EDGE_LOOP('',(#295862,#295863,#295864,#295865,#295866,#295867,#295868)); #57148=EDGE_LOOP('',(#295869,#295870,#295871,#295872)); #57149=EDGE_LOOP('',(#295873,#295874,#295875,#295876)); #57150=EDGE_LOOP('',(#295877,#295878,#295879,#295880)); #57151=EDGE_LOOP('',(#295881,#295882,#295883,#295884)); #57152=EDGE_LOOP('',(#295885,#295886,#295887,#295888)); #57153=EDGE_LOOP('',(#295889,#295890,#295891,#295892)); #57154=EDGE_LOOP('',(#295893,#295894,#295895,#295896)); #57155=EDGE_LOOP('',(#295897,#295898,#295899,#295900)); #57156=EDGE_LOOP('',(#295901,#295902,#295903,#295904)); #57157=EDGE_LOOP('',(#295905,#295906,#295907,#295908)); #57158=EDGE_LOOP('',(#295909,#295910,#295911,#295912)); #57159=EDGE_LOOP('',(#295913,#295914,#295915,#295916)); #57160=EDGE_LOOP('',(#295917,#295918,#295919,#295920)); #57161=EDGE_LOOP('',(#295921,#295922,#295923,#295924)); #57162=EDGE_LOOP('',(#295925,#295926,#295927,#295928)); #57163=EDGE_LOOP('',(#295929,#295930,#295931,#295932)); #57164=EDGE_LOOP('',(#295933,#295934,#295935,#295936)); #57165=EDGE_LOOP('',(#295937,#295938,#295939,#295940)); #57166=EDGE_LOOP('',(#295941,#295942,#295943,#295944)); #57167=EDGE_LOOP('',(#295945,#295946,#295947,#295948)); #57168=EDGE_LOOP('',(#295949,#295950,#295951,#295952)); #57169=EDGE_LOOP('',(#295953,#295954,#295955,#295956)); #57170=EDGE_LOOP('',(#295957,#295958,#295959,#295960)); #57171=EDGE_LOOP('',(#295961,#295962,#295963,#295964)); #57172=EDGE_LOOP('',(#295965,#295966,#295967,#295968)); #57173=EDGE_LOOP('',(#295969,#295970,#295971,#295972)); #57174=EDGE_LOOP('',(#295973,#295974,#295975,#295976)); #57175=EDGE_LOOP('',(#295977,#295978,#295979,#295980)); #57176=EDGE_LOOP('',(#295981,#295982,#295983,#295984)); #57177=EDGE_LOOP('',(#295985,#295986,#295987,#295988,#295989,#295990,#295991, #295992,#295993,#295994,#295995,#295996)); #57178=EDGE_LOOP('',(#295997,#295998,#295999,#296000,#296001,#296002,#296003, #296004,#296005,#296006,#296007,#296008)); #57179=EDGE_LOOP('',(#296009,#296010,#296011,#296012)); #57180=EDGE_LOOP('',(#296013,#296014,#296015,#296016,#296017,#296018)); #57181=EDGE_LOOP('',(#296019,#296020,#296021,#296022)); #57182=EDGE_LOOP('',(#296023,#296024,#296025,#296026,#296027,#296028)); #57183=EDGE_LOOP('',(#296029,#296030,#296031,#296032,#296033,#296034,#296035, #296036)); #57184=EDGE_LOOP('',(#296037,#296038,#296039,#296040,#296041,#296042,#296043, #296044)); #57185=EDGE_LOOP('',(#296045,#296046,#296047,#296048)); #57186=EDGE_LOOP('',(#296049,#296050,#296051,#296052)); #57187=EDGE_LOOP('',(#296053,#296054,#296055,#296056)); #57188=EDGE_LOOP('',(#296057,#296058,#296059,#296060)); #57189=EDGE_LOOP('',(#296061,#296062,#296063,#296064)); #57190=EDGE_LOOP('',(#296065,#296066,#296067,#296068)); #57191=EDGE_LOOP('',(#296069,#296070,#296071,#296072)); #57192=EDGE_LOOP('',(#296073,#296074,#296075,#296076)); #57193=EDGE_LOOP('',(#296077,#296078,#296079,#296080)); #57194=EDGE_LOOP('',(#296081,#296082,#296083,#296084)); #57195=EDGE_LOOP('',(#296085,#296086,#296087,#296088)); #57196=EDGE_LOOP('',(#296089,#296090,#296091,#296092,#296093,#296094,#296095, #296096,#296097,#296098,#296099,#296100)); #57197=EDGE_LOOP('',(#296101,#296102,#296103,#296104)); #57198=EDGE_LOOP('',(#296105,#296106,#296107,#296108)); #57199=EDGE_LOOP('',(#296109,#296110,#296111,#296112)); #57200=EDGE_LOOP('',(#296113,#296114,#296115,#296116)); #57201=EDGE_LOOP('',(#296117,#296118,#296119,#296120)); #57202=EDGE_LOOP('',(#296121,#296122,#296123,#296124)); #57203=EDGE_LOOP('',(#296125,#296126,#296127,#296128)); #57204=EDGE_LOOP('',(#296129,#296130,#296131,#296132)); #57205=EDGE_LOOP('',(#296133,#296134,#296135,#296136)); #57206=EDGE_LOOP('',(#296137,#296138,#296139,#296140,#296141,#296142)); #57207=EDGE_LOOP('',(#296143,#296144,#296145,#296146,#296147,#296148)); #57208=EDGE_LOOP('',(#296149,#296150,#296151,#296152)); #57209=EDGE_LOOP('',(#296153,#296154,#296155,#296156,#296157,#296158)); #57210=EDGE_LOOP('',(#296159,#296160,#296161,#296162,#296163,#296164)); #57211=EDGE_LOOP('',(#296165,#296166,#296167,#296168)); #57212=EDGE_LOOP('',(#296169,#296170,#296171,#296172,#296173,#296174,#296175, #296176)); #57213=EDGE_LOOP('',(#296177,#296178,#296179,#296180,#296181,#296182,#296183, #296184,#296185)); #57214=EDGE_LOOP('',(#296186,#296187,#296188,#296189,#296190,#296191,#296192, #296193,#296194)); #57215=EDGE_LOOP('',(#296195,#296196,#296197,#296198,#296199,#296200,#296201, #296202,#296203,#296204,#296205,#296206,#296207,#296208,#296209,#296210, #296211,#296212,#296213,#296214,#296215,#296216,#296217,#296218)); #57216=EDGE_LOOP('',(#296219,#296220,#296221,#296222)); #57217=EDGE_LOOP('',(#296223,#296224,#296225,#296226,#296227,#296228,#296229, #296230,#296231,#296232,#296233,#296234,#296235,#296236,#296237,#296238, #296239,#296240,#296241,#296242)); #57218=EDGE_LOOP('',(#296243,#296244,#296245,#296246,#296247,#296248,#296249)); #57219=EDGE_LOOP('',(#296250,#296251,#296252,#296253)); #57220=EDGE_LOOP('',(#296254,#296255,#296256,#296257,#296258,#296259,#296260)); #57221=EDGE_LOOP('',(#296261,#296262,#296263,#296264)); #57222=EDGE_LOOP('',(#296265,#296266,#296267,#296268)); #57223=EDGE_LOOP('',(#296269,#296270,#296271,#296272)); #57224=EDGE_LOOP('',(#296273,#296274,#296275,#296276)); #57225=EDGE_LOOP('',(#296277,#296278,#296279,#296280)); #57226=EDGE_LOOP('',(#296281,#296282,#296283,#296284)); #57227=EDGE_LOOP('',(#296285,#296286,#296287,#296288)); #57228=EDGE_LOOP('',(#296289,#296290,#296291,#296292)); #57229=EDGE_LOOP('',(#296293,#296294,#296295,#296296)); #57230=EDGE_LOOP('',(#296297,#296298,#296299,#296300)); #57231=EDGE_LOOP('',(#296301,#296302,#296303,#296304)); #57232=EDGE_LOOP('',(#296305,#296306,#296307,#296308)); #57233=EDGE_LOOP('',(#296309,#296310,#296311,#296312)); #57234=EDGE_LOOP('',(#296313,#296314,#296315,#296316)); #57235=EDGE_LOOP('',(#296317,#296318,#296319,#296320)); #57236=EDGE_LOOP('',(#296321,#296322,#296323,#296324)); #57237=EDGE_LOOP('',(#296325,#296326,#296327,#296328)); #57238=EDGE_LOOP('',(#296329,#296330,#296331,#296332)); #57239=EDGE_LOOP('',(#296333,#296334,#296335,#296336)); #57240=EDGE_LOOP('',(#296337,#296338,#296339,#296340)); #57241=EDGE_LOOP('',(#296341,#296342,#296343,#296344)); #57242=EDGE_LOOP('',(#296345,#296346,#296347,#296348)); #57243=EDGE_LOOP('',(#296349,#296350,#296351,#296352)); #57244=EDGE_LOOP('',(#296353,#296354,#296355,#296356)); #57245=EDGE_LOOP('',(#296357,#296358,#296359,#296360)); #57246=EDGE_LOOP('',(#296361,#296362,#296363,#296364)); #57247=EDGE_LOOP('',(#296365,#296366,#296367,#296368)); #57248=EDGE_LOOP('',(#296369,#296370,#296371,#296372)); #57249=EDGE_LOOP('',(#296373,#296374,#296375,#296376)); #57250=EDGE_LOOP('',(#296377,#296378,#296379,#296380)); #57251=EDGE_LOOP('',(#296381,#296382,#296383,#296384)); #57252=EDGE_LOOP('',(#296385,#296386,#296387,#296388)); #57253=EDGE_LOOP('',(#296389,#296390,#296391,#296392)); #57254=EDGE_LOOP('',(#296393,#296394,#296395,#296396)); #57255=EDGE_LOOP('',(#296397,#296398,#296399,#296400)); #57256=EDGE_LOOP('',(#296401,#296402,#296403,#296404)); #57257=EDGE_LOOP('',(#296405,#296406,#296407,#296408)); #57258=EDGE_LOOP('',(#296409,#296410,#296411,#296412)); #57259=EDGE_LOOP('',(#296413,#296414,#296415,#296416)); #57260=EDGE_LOOP('',(#296417,#296418,#296419,#296420)); #57261=EDGE_LOOP('',(#296421,#296422,#296423,#296424)); #57262=EDGE_LOOP('',(#296425,#296426,#296427,#296428)); #57263=EDGE_LOOP('',(#296429,#296430,#296431,#296432)); #57264=EDGE_LOOP('',(#296433,#296434,#296435,#296436)); #57265=EDGE_LOOP('',(#296437,#296438,#296439,#296440)); #57266=EDGE_LOOP('',(#296441,#296442,#296443,#296444)); #57267=EDGE_LOOP('',(#296445,#296446,#296447,#296448)); #57268=EDGE_LOOP('',(#296449,#296450,#296451,#296452)); #57269=EDGE_LOOP('',(#296453,#296454,#296455,#296456)); #57270=EDGE_LOOP('',(#296457,#296458,#296459,#296460)); #57271=EDGE_LOOP('',(#296461,#296462,#296463,#296464)); #57272=EDGE_LOOP('',(#296465,#296466,#296467,#296468,#296469)); #57273=EDGE_LOOP('',(#296470,#296471,#296472,#296473)); #57274=EDGE_LOOP('',(#296474,#296475,#296476,#296477,#296478)); #57275=EDGE_LOOP('',(#296479,#296480,#296481,#296482)); #57276=EDGE_LOOP('',(#296483,#296484,#296485,#296486)); #57277=EDGE_LOOP('',(#296487,#296488,#296489,#296490)); #57278=EDGE_LOOP('',(#296491,#296492,#296493,#296494)); #57279=EDGE_LOOP('',(#296495,#296496,#296497,#296498)); #57280=EDGE_LOOP('',(#296499,#296500,#296501,#296502)); #57281=EDGE_LOOP('',(#296503,#296504,#296505)); #57282=EDGE_LOOP('',(#296506,#296507,#296508)); #57283=EDGE_LOOP('',(#296509,#296510,#296511)); #57284=EDGE_LOOP('',(#296512,#296513,#296514)); #57285=EDGE_LOOP('',(#296515,#296516,#296517)); #57286=EDGE_LOOP('',(#296518,#296519,#296520,#296521)); #57287=EDGE_LOOP('',(#296522,#296523,#296524)); #57288=EDGE_LOOP('',(#296525,#296526,#296527)); #57289=EDGE_LOOP('',(#296528,#296529,#296530,#296531)); #57290=EDGE_LOOP('',(#296532,#296533,#296534,#296535)); #57291=EDGE_LOOP('',(#296536,#296537,#296538)); #57292=EDGE_LOOP('',(#296539,#296540,#296541,#296542)); #57293=EDGE_LOOP('',(#296543,#296544,#296545,#296546)); #57294=EDGE_LOOP('',(#296547,#296548,#296549,#296550)); #57295=EDGE_LOOP('',(#296551,#296552,#296553,#296554)); #57296=EDGE_LOOP('',(#296555,#296556,#296557,#296558)); #57297=EDGE_LOOP('',(#296559,#296560,#296561,#296562)); #57298=EDGE_LOOP('',(#296563,#296564,#296565,#296566)); #57299=EDGE_LOOP('',(#296567,#296568,#296569,#296570)); #57300=EDGE_LOOP('',(#296571,#296572,#296573,#296574)); #57301=EDGE_LOOP('',(#296575,#296576,#296577,#296578)); #57302=EDGE_LOOP('',(#296579,#296580,#296581,#296582)); #57303=EDGE_LOOP('',(#296583,#296584,#296585,#296586)); #57304=EDGE_LOOP('',(#296587,#296588,#296589,#296590)); #57305=EDGE_LOOP('',(#296591,#296592,#296593,#296594)); #57306=EDGE_LOOP('',(#296595,#296596,#296597,#296598)); #57307=EDGE_LOOP('',(#296599,#296600,#296601)); #57308=EDGE_LOOP('',(#296602,#296603,#296604)); #57309=EDGE_LOOP('',(#296605,#296606,#296607)); #57310=EDGE_LOOP('',(#296608,#296609,#296610)); #57311=EDGE_LOOP('',(#296611,#296612,#296613)); #57312=EDGE_LOOP('',(#296614,#296615,#296616,#296617)); #57313=EDGE_LOOP('',(#296618,#296619,#296620)); #57314=EDGE_LOOP('',(#296621,#296622,#296623)); #57315=EDGE_LOOP('',(#296624,#296625,#296626,#296627)); #57316=EDGE_LOOP('',(#296628,#296629,#296630,#296631)); #57317=EDGE_LOOP('',(#296632,#296633,#296634)); #57318=EDGE_LOOP('',(#296635,#296636,#296637,#296638)); #57319=EDGE_LOOP('',(#296639,#296640,#296641,#296642)); #57320=EDGE_LOOP('',(#296643,#296644,#296645,#296646)); #57321=EDGE_LOOP('',(#296647,#296648,#296649,#296650)); #57322=EDGE_LOOP('',(#296651,#296652,#296653,#296654)); #57323=EDGE_LOOP('',(#296655,#296656,#296657,#296658)); #57324=EDGE_LOOP('',(#296659,#296660,#296661,#296662)); #57325=EDGE_LOOP('',(#296663,#296664,#296665,#296666)); #57326=EDGE_LOOP('',(#296667,#296668,#296669,#296670)); #57327=EDGE_LOOP('',(#296671,#296672,#296673,#296674)); #57328=EDGE_LOOP('',(#296675,#296676,#296677,#296678)); #57329=EDGE_LOOP('',(#296679,#296680,#296681,#296682)); #57330=EDGE_LOOP('',(#296683,#296684,#296685,#296686)); #57331=EDGE_LOOP('',(#296687,#296688,#296689,#296690)); #57332=EDGE_LOOP('',(#296691,#296692,#296693,#296694)); #57333=EDGE_LOOP('',(#296695,#296696,#296697,#296698)); #57334=EDGE_LOOP('',(#296699,#296700,#296701,#296702)); #57335=EDGE_LOOP('',(#296703,#296704,#296705,#296706)); #57336=EDGE_LOOP('',(#296707,#296708,#296709,#296710)); #57337=EDGE_LOOP('',(#296711,#296712,#296713,#296714)); #57338=EDGE_LOOP('',(#296715,#296716,#296717,#296718)); #57339=EDGE_LOOP('',(#296719,#296720,#296721)); #57340=EDGE_LOOP('',(#296722,#296723,#296724)); #57341=EDGE_LOOP('',(#296725,#296726,#296727)); #57342=EDGE_LOOP('',(#296728,#296729,#296730)); #57343=EDGE_LOOP('',(#296731,#296732,#296733)); #57344=EDGE_LOOP('',(#296734,#296735,#296736,#296737)); #57345=EDGE_LOOP('',(#296738,#296739,#296740)); #57346=EDGE_LOOP('',(#296741,#296742,#296743)); #57347=EDGE_LOOP('',(#296744,#296745,#296746,#296747)); #57348=EDGE_LOOP('',(#296748,#296749,#296750,#296751)); #57349=EDGE_LOOP('',(#296752,#296753,#296754)); #57350=EDGE_LOOP('',(#296755,#296756,#296757,#296758)); #57351=EDGE_LOOP('',(#296759,#296760,#296761,#296762)); #57352=EDGE_LOOP('',(#296763,#296764,#296765,#296766)); #57353=EDGE_LOOP('',(#296767,#296768,#296769,#296770)); #57354=EDGE_LOOP('',(#296771,#296772,#296773,#296774)); #57355=EDGE_LOOP('',(#296775,#296776,#296777,#296778)); #57356=EDGE_LOOP('',(#296779,#296780,#296781,#296782)); #57357=EDGE_LOOP('',(#296783,#296784,#296785,#296786)); #57358=EDGE_LOOP('',(#296787,#296788,#296789,#296790)); #57359=EDGE_LOOP('',(#296791,#296792,#296793,#296794)); #57360=EDGE_LOOP('',(#296795,#296796,#296797,#296798)); #57361=EDGE_LOOP('',(#296799,#296800,#296801,#296802)); #57362=EDGE_LOOP('',(#296803,#296804,#296805,#296806)); #57363=EDGE_LOOP('',(#296807,#296808,#296809,#296810)); #57364=EDGE_LOOP('',(#296811,#296812,#296813,#296814)); #57365=EDGE_LOOP('',(#296815,#296816,#296817)); #57366=EDGE_LOOP('',(#296818,#296819,#296820)); #57367=EDGE_LOOP('',(#296821,#296822,#296823)); #57368=EDGE_LOOP('',(#296824,#296825,#296826)); #57369=EDGE_LOOP('',(#296827,#296828,#296829)); #57370=EDGE_LOOP('',(#296830,#296831,#296832,#296833)); #57371=EDGE_LOOP('',(#296834,#296835,#296836)); #57372=EDGE_LOOP('',(#296837,#296838,#296839)); #57373=EDGE_LOOP('',(#296840,#296841,#296842,#296843)); #57374=EDGE_LOOP('',(#296844,#296845,#296846,#296847)); #57375=EDGE_LOOP('',(#296848,#296849,#296850)); #57376=EDGE_LOOP('',(#296851,#296852,#296853,#296854)); #57377=EDGE_LOOP('',(#296855,#296856,#296857,#296858)); #57378=EDGE_LOOP('',(#296859,#296860,#296861,#296862)); #57379=EDGE_LOOP('',(#296863,#296864,#296865,#296866)); #57380=EDGE_LOOP('',(#296867,#296868,#296869,#296870)); #57381=EDGE_LOOP('',(#296871,#296872,#296873,#296874)); #57382=EDGE_LOOP('',(#296875,#296876,#296877,#296878)); #57383=EDGE_LOOP('',(#296879,#296880,#296881,#296882)); #57384=EDGE_LOOP('',(#296883,#296884,#296885,#296886)); #57385=EDGE_LOOP('',(#296887,#296888,#296889,#296890)); #57386=EDGE_LOOP('',(#296891,#296892,#296893,#296894)); #57387=EDGE_LOOP('',(#296895,#296896,#296897,#296898)); #57388=EDGE_LOOP('',(#296899,#296900,#296901,#296902)); #57389=EDGE_LOOP('',(#296903,#296904,#296905,#296906)); #57390=EDGE_LOOP('',(#296907,#296908,#296909,#296910)); #57391=EDGE_LOOP('',(#296911,#296912,#296913,#296914)); #57392=EDGE_LOOP('',(#296915,#296916,#296917,#296918)); #57393=EDGE_LOOP('',(#296919,#296920,#296921,#296922)); #57394=EDGE_LOOP('',(#296923,#296924,#296925,#296926)); #57395=EDGE_LOOP('',(#296927,#296928,#296929,#296930)); #57396=EDGE_LOOP('',(#296931,#296932,#296933,#296934)); #57397=EDGE_LOOP('',(#296935,#296936,#296937)); #57398=EDGE_LOOP('',(#296938,#296939,#296940)); #57399=EDGE_LOOP('',(#296941,#296942,#296943)); #57400=EDGE_LOOP('',(#296944,#296945,#296946)); #57401=EDGE_LOOP('',(#296947,#296948,#296949)); #57402=EDGE_LOOP('',(#296950,#296951,#296952,#296953)); #57403=EDGE_LOOP('',(#296954,#296955,#296956)); #57404=EDGE_LOOP('',(#296957,#296958,#296959)); #57405=EDGE_LOOP('',(#296960,#296961,#296962,#296963)); #57406=EDGE_LOOP('',(#296964,#296965,#296966,#296967)); #57407=EDGE_LOOP('',(#296968,#296969,#296970)); #57408=EDGE_LOOP('',(#296971,#296972,#296973,#296974)); #57409=EDGE_LOOP('',(#296975,#296976,#296977,#296978)); #57410=EDGE_LOOP('',(#296979,#296980,#296981,#296982)); #57411=EDGE_LOOP('',(#296983,#296984,#296985,#296986)); #57412=EDGE_LOOP('',(#296987,#296988,#296989,#296990)); #57413=EDGE_LOOP('',(#296991,#296992,#296993,#296994)); #57414=EDGE_LOOP('',(#296995,#296996,#296997,#296998)); #57415=EDGE_LOOP('',(#296999,#297000,#297001,#297002)); #57416=EDGE_LOOP('',(#297003,#297004,#297005,#297006)); #57417=EDGE_LOOP('',(#297007,#297008,#297009,#297010)); #57418=EDGE_LOOP('',(#297011,#297012,#297013,#297014)); #57419=EDGE_LOOP('',(#297015,#297016,#297017,#297018)); #57420=EDGE_LOOP('',(#297019,#297020,#297021,#297022)); #57421=EDGE_LOOP('',(#297023,#297024,#297025,#297026)); #57422=EDGE_LOOP('',(#297027,#297028,#297029,#297030)); #57423=EDGE_LOOP('',(#297031,#297032,#297033)); #57424=EDGE_LOOP('',(#297034,#297035,#297036)); #57425=EDGE_LOOP('',(#297037,#297038,#297039)); #57426=EDGE_LOOP('',(#297040,#297041,#297042)); #57427=EDGE_LOOP('',(#297043,#297044,#297045)); #57428=EDGE_LOOP('',(#297046,#297047,#297048,#297049)); #57429=EDGE_LOOP('',(#297050,#297051,#297052)); #57430=EDGE_LOOP('',(#297053,#297054,#297055)); #57431=EDGE_LOOP('',(#297056,#297057,#297058,#297059)); #57432=EDGE_LOOP('',(#297060,#297061,#297062,#297063)); #57433=EDGE_LOOP('',(#297064,#297065,#297066)); #57434=EDGE_LOOP('',(#297067,#297068,#297069,#297070)); #57435=EDGE_LOOP('',(#297071,#297072,#297073,#297074)); #57436=EDGE_LOOP('',(#297075,#297076,#297077,#297078)); #57437=EDGE_LOOP('',(#297079,#297080,#297081,#297082)); #57438=EDGE_LOOP('',(#297083,#297084,#297085,#297086)); #57439=EDGE_LOOP('',(#297087,#297088,#297089,#297090)); #57440=EDGE_LOOP('',(#297091,#297092,#297093,#297094)); #57441=EDGE_LOOP('',(#297095,#297096,#297097,#297098)); #57442=EDGE_LOOP('',(#297099,#297100,#297101,#297102)); #57443=EDGE_LOOP('',(#297103,#297104,#297105,#297106)); #57444=EDGE_LOOP('',(#297107,#297108,#297109,#297110)); #57445=EDGE_LOOP('',(#297111,#297112,#297113,#297114)); #57446=EDGE_LOOP('',(#297115,#297116,#297117,#297118)); #57447=EDGE_LOOP('',(#297119,#297120,#297121,#297122)); #57448=EDGE_LOOP('',(#297123,#297124,#297125,#297126)); #57449=EDGE_LOOP('',(#297127,#297128,#297129,#297130)); #57450=EDGE_LOOP('',(#297131,#297132,#297133,#297134)); #57451=EDGE_LOOP('',(#297135,#297136,#297137,#297138)); #57452=EDGE_LOOP('',(#297139,#297140,#297141,#297142)); #57453=EDGE_LOOP('',(#297143,#297144,#297145,#297146)); #57454=EDGE_LOOP('',(#297147,#297148,#297149,#297150)); #57455=EDGE_LOOP('',(#297151,#297152,#297153,#297154)); #57456=EDGE_LOOP('',(#297155)); #57457=EDGE_LOOP('',(#297156)); #57458=EDGE_LOOP('',(#297157,#297158,#297159,#297160)); #57459=EDGE_LOOP('',(#297161,#297162,#297163,#297164)); #57460=EDGE_LOOP('',(#297165,#297166,#297167,#297168)); #57461=EDGE_LOOP('',(#297169,#297170,#297171,#297172)); #57462=EDGE_LOOP('',(#297173,#297174,#297175,#297176)); #57463=EDGE_LOOP('',(#297177,#297178,#297179,#297180)); #57464=EDGE_LOOP('',(#297181,#297182,#297183,#297184)); #57465=EDGE_LOOP('',(#297185)); #57466=EDGE_LOOP('',(#297186)); #57467=EDGE_LOOP('',(#297187,#297188,#297189,#297190,#297191,#297192,#297193, #297194,#297195,#297196,#297197,#297198,#297199,#297200,#297201,#297202)); #57468=EDGE_LOOP('',(#297203,#297204,#297205,#297206)); #57469=EDGE_LOOP('',(#297207,#297208,#297209,#297210)); #57470=EDGE_LOOP('',(#297211,#297212,#297213,#297214)); #57471=EDGE_LOOP('',(#297215,#297216,#297217,#297218)); #57472=EDGE_LOOP('',(#297219,#297220,#297221,#297222)); #57473=EDGE_LOOP('',(#297223,#297224,#297225,#297226)); #57474=EDGE_LOOP('',(#297227,#297228,#297229,#297230)); #57475=EDGE_LOOP('',(#297231,#297232,#297233,#297234)); #57476=EDGE_LOOP('',(#297235,#297236,#297237,#297238)); #57477=EDGE_LOOP('',(#297239,#297240,#297241,#297242)); #57478=EDGE_LOOP('',(#297243,#297244,#297245,#297246)); #57479=EDGE_LOOP('',(#297247,#297248,#297249,#297250)); #57480=EDGE_LOOP('',(#297251,#297252,#297253,#297254)); #57481=EDGE_LOOP('',(#297255,#297256,#297257,#297258)); #57482=EDGE_LOOP('',(#297259,#297260,#297261,#297262)); #57483=EDGE_LOOP('',(#297263,#297264,#297265,#297266)); #57484=EDGE_LOOP('',(#297267,#297268,#297269,#297270,#297271,#297272,#297273, #297274,#297275,#297276,#297277,#297278,#297279,#297280,#297281,#297282)); #57485=EDGE_LOOP('',(#297283,#297284,#297285,#297286)); #57486=EDGE_LOOP('',(#297287)); #57487=EDGE_LOOP('',(#297288)); #57488=EDGE_LOOP('',(#297289,#297290,#297291,#297292)); #57489=EDGE_LOOP('',(#297293)); #57490=EDGE_LOOP('',(#297294)); #57491=EDGE_LOOP('',(#297295,#297296,#297297,#297298)); #57492=EDGE_LOOP('',(#297299,#297300,#297301,#297302)); #57493=EDGE_LOOP('',(#297303,#297304,#297305,#297306)); #57494=EDGE_LOOP('',(#297307,#297308,#297309,#297310)); #57495=EDGE_LOOP('',(#297311,#297312,#297313,#297314)); #57496=EDGE_LOOP('',(#297315,#297316,#297317,#297318)); #57497=EDGE_LOOP('',(#297319,#297320,#297321,#297322)); #57498=EDGE_LOOP('',(#297323,#297324,#297325,#297326)); #57499=EDGE_LOOP('',(#297327,#297328,#297329,#297330)); #57500=EDGE_LOOP('',(#297331,#297332,#297333,#297334)); #57501=EDGE_LOOP('',(#297335,#297336,#297337,#297338)); #57502=EDGE_LOOP('',(#297339,#297340,#297341,#297342)); #57503=EDGE_LOOP('',(#297343,#297344,#297345,#297346)); #57504=EDGE_LOOP('',(#297347,#297348,#297349,#297350)); #57505=EDGE_LOOP('',(#297351,#297352,#297353,#297354)); #57506=EDGE_LOOP('',(#297355,#297356,#297357,#297358)); #57507=EDGE_LOOP('',(#297359,#297360,#297361,#297362)); #57508=EDGE_LOOP('',(#297363,#297364,#297365,#297366)); #57509=EDGE_LOOP('',(#297367,#297368,#297369,#297370)); #57510=EDGE_LOOP('',(#297371,#297372,#297373,#297374)); #57511=EDGE_LOOP('',(#297375,#297376,#297377,#297378)); #57512=EDGE_LOOP('',(#297379,#297380,#297381,#297382)); #57513=EDGE_LOOP('',(#297383,#297384,#297385,#297386)); #57514=EDGE_LOOP('',(#297387,#297388,#297389,#297390)); #57515=EDGE_LOOP('',(#297391,#297392,#297393,#297394)); #57516=EDGE_LOOP('',(#297395,#297396,#297397,#297398)); #57517=EDGE_LOOP('',(#297399,#297400,#297401,#297402)); #57518=EDGE_LOOP('',(#297403,#297404,#297405,#297406)); #57519=EDGE_LOOP('',(#297407,#297408,#297409,#297410)); #57520=EDGE_LOOP('',(#297411,#297412,#297413,#297414)); #57521=EDGE_LOOP('',(#297415,#297416,#297417,#297418)); #57522=EDGE_LOOP('',(#297419,#297420,#297421,#297422)); #57523=EDGE_LOOP('',(#297423,#297424,#297425,#297426)); #57524=EDGE_LOOP('',(#297427,#297428,#297429,#297430)); #57525=EDGE_LOOP('',(#297431,#297432,#297433,#297434)); #57526=EDGE_LOOP('',(#297435,#297436,#297437,#297438)); #57527=EDGE_LOOP('',(#297439,#297440,#297441,#297442)); #57528=EDGE_LOOP('',(#297443,#297444,#297445,#297446)); #57529=EDGE_LOOP('',(#297447,#297448,#297449,#297450)); #57530=EDGE_LOOP('',(#297451,#297452,#297453,#297454)); #57531=EDGE_LOOP('',(#297455,#297456,#297457,#297458)); #57532=EDGE_LOOP('',(#297459,#297460,#297461,#297462)); #57533=EDGE_LOOP('',(#297463,#297464,#297465,#297466)); #57534=EDGE_LOOP('',(#297467,#297468,#297469,#297470)); #57535=EDGE_LOOP('',(#297471,#297472,#297473,#297474)); #57536=EDGE_LOOP('',(#297475,#297476,#297477,#297478)); #57537=EDGE_LOOP('',(#297479,#297480,#297481,#297482)); #57538=EDGE_LOOP('',(#297483,#297484,#297485,#297486)); #57539=EDGE_LOOP('',(#297487,#297488,#297489,#297490)); #57540=EDGE_LOOP('',(#297491,#297492,#297493,#297494)); #57541=EDGE_LOOP('',(#297495,#297496,#297497,#297498)); #57542=EDGE_LOOP('',(#297499,#297500,#297501,#297502)); #57543=EDGE_LOOP('',(#297503,#297504,#297505,#297506)); #57544=EDGE_LOOP('',(#297507,#297508,#297509,#297510)); #57545=EDGE_LOOP('',(#297511,#297512,#297513,#297514)); #57546=EDGE_LOOP('',(#297515)); #57547=EDGE_LOOP('',(#297516,#297517,#297518,#297519)); #57548=EDGE_LOOP('',(#297520,#297521,#297522,#297523)); #57549=EDGE_LOOP('',(#297524,#297525,#297526,#297527)); #57550=EDGE_LOOP('',(#297528,#297529,#297530,#297531)); #57551=EDGE_LOOP('',(#297532,#297533,#297534,#297535)); #57552=EDGE_LOOP('',(#297536,#297537,#297538,#297539)); #57553=EDGE_LOOP('',(#297540,#297541,#297542,#297543)); #57554=EDGE_LOOP('',(#297544)); #57555=EDGE_LOOP('',(#297545,#297546,#297547,#297548)); #57556=EDGE_LOOP('',(#297549,#297550,#297551,#297552)); #57557=EDGE_LOOP('',(#297553,#297554,#297555,#297556)); #57558=EDGE_LOOP('',(#297557,#297558,#297559,#297560)); #57559=EDGE_LOOP('',(#297561,#297562,#297563,#297564)); #57560=EDGE_LOOP('',(#297565,#297566,#297567,#297568)); #57561=EDGE_LOOP('',(#297569,#297570,#297571,#297572)); #57562=EDGE_LOOP('',(#297573,#297574,#297575,#297576)); #57563=EDGE_LOOP('',(#297577,#297578,#297579,#297580)); #57564=EDGE_LOOP('',(#297581,#297582,#297583,#297584)); #57565=EDGE_LOOP('',(#297585,#297586,#297587,#297588)); #57566=EDGE_LOOP('',(#297589,#297590,#297591,#297592)); #57567=EDGE_LOOP('',(#297593,#297594,#297595,#297596)); #57568=EDGE_LOOP('',(#297597,#297598,#297599,#297600)); #57569=EDGE_LOOP('',(#297601,#297602,#297603,#297604)); #57570=EDGE_LOOP('',(#297605,#297606,#297607,#297608,#297609,#297610)); #57571=EDGE_LOOP('',(#297611,#297612,#297613,#297614,#297615,#297616)); #57572=EDGE_LOOP('',(#297617,#297618,#297619,#297620)); #57573=EDGE_LOOP('',(#297621,#297622,#297623,#297624)); #57574=EDGE_LOOP('',(#297625,#297626,#297627,#297628)); #57575=EDGE_LOOP('',(#297629,#297630,#297631,#297632)); #57576=EDGE_LOOP('',(#297633,#297634,#297635,#297636)); #57577=EDGE_LOOP('',(#297637,#297638,#297639,#297640)); #57578=EDGE_LOOP('',(#297641,#297642,#297643,#297644,#297645,#297646)); #57579=EDGE_LOOP('',(#297647,#297648,#297649,#297650,#297651,#297652)); #57580=EDGE_LOOP('',(#297653,#297654,#297655,#297656)); #57581=EDGE_LOOP('',(#297657,#297658,#297659,#297660)); #57582=EDGE_LOOP('',(#297661,#297662,#297663,#297664)); #57583=EDGE_LOOP('',(#297665,#297666,#297667,#297668)); #57584=EDGE_LOOP('',(#297669,#297670,#297671,#297672)); #57585=EDGE_LOOP('',(#297673,#297674,#297675,#297676)); #57586=EDGE_LOOP('',(#297677,#297678,#297679,#297680,#297681,#297682)); #57587=EDGE_LOOP('',(#297683,#297684,#297685,#297686,#297687,#297688)); #57588=EDGE_LOOP('',(#297689,#297690,#297691,#297692)); #57589=EDGE_LOOP('',(#297693,#297694,#297695,#297696)); #57590=EDGE_LOOP('',(#297697,#297698,#297699,#297700)); #57591=EDGE_LOOP('',(#297701,#297702,#297703,#297704)); #57592=EDGE_LOOP('',(#297705,#297706,#297707,#297708)); #57593=EDGE_LOOP('',(#297709,#297710,#297711,#297712)); #57594=EDGE_LOOP('',(#297713,#297714,#297715,#297716,#297717,#297718)); #57595=EDGE_LOOP('',(#297719,#297720,#297721,#297722,#297723,#297724)); #57596=EDGE_LOOP('',(#297725,#297726,#297727,#297728)); #57597=EDGE_LOOP('',(#297729,#297730,#297731,#297732)); #57598=EDGE_LOOP('',(#297733,#297734,#297735,#297736)); #57599=EDGE_LOOP('',(#297737,#297738,#297739,#297740)); #57600=EDGE_LOOP('',(#297741,#297742,#297743,#297744)); #57601=EDGE_LOOP('',(#297745,#297746,#297747,#297748)); #57602=EDGE_LOOP('',(#297749,#297750,#297751,#297752,#297753,#297754)); #57603=EDGE_LOOP('',(#297755,#297756,#297757,#297758,#297759,#297760)); #57604=EDGE_LOOP('',(#297761,#297762,#297763,#297764)); #57605=EDGE_LOOP('',(#297765,#297766,#297767,#297768)); #57606=EDGE_LOOP('',(#297769,#297770,#297771,#297772)); #57607=EDGE_LOOP('',(#297773,#297774,#297775,#297776)); #57608=EDGE_LOOP('',(#297777,#297778,#297779,#297780)); #57609=EDGE_LOOP('',(#297781,#297782,#297783,#297784)); #57610=EDGE_LOOP('',(#297785,#297786,#297787,#297788,#297789,#297790)); #57611=EDGE_LOOP('',(#297791,#297792,#297793,#297794,#297795,#297796)); #57612=EDGE_LOOP('',(#297797,#297798,#297799,#297800)); #57613=EDGE_LOOP('',(#297801,#297802,#297803,#297804)); #57614=EDGE_LOOP('',(#297805,#297806,#297807,#297808)); #57615=EDGE_LOOP('',(#297809,#297810,#297811,#297812)); #57616=EDGE_LOOP('',(#297813,#297814,#297815,#297816)); #57617=EDGE_LOOP('',(#297817,#297818,#297819,#297820)); #57618=EDGE_LOOP('',(#297821,#297822,#297823,#297824,#297825,#297826)); #57619=EDGE_LOOP('',(#297827,#297828,#297829,#297830,#297831,#297832)); #57620=EDGE_LOOP('',(#297833,#297834,#297835,#297836)); #57621=EDGE_LOOP('',(#297837,#297838,#297839,#297840)); #57622=EDGE_LOOP('',(#297841,#297842,#297843,#297844)); #57623=EDGE_LOOP('',(#297845,#297846,#297847,#297848)); #57624=EDGE_LOOP('',(#297849,#297850,#297851,#297852)); #57625=EDGE_LOOP('',(#297853,#297854,#297855,#297856)); #57626=EDGE_LOOP('',(#297857,#297858,#297859,#297860,#297861,#297862)); #57627=EDGE_LOOP('',(#297863,#297864,#297865,#297866,#297867,#297868)); #57628=EDGE_LOOP('',(#297869,#297870,#297871,#297872)); #57629=EDGE_LOOP('',(#297873,#297874,#297875,#297876)); #57630=EDGE_LOOP('',(#297877,#297878,#297879,#297880)); #57631=EDGE_LOOP('',(#297881,#297882,#297883,#297884)); #57632=EDGE_LOOP('',(#297885,#297886,#297887,#297888)); #57633=EDGE_LOOP('',(#297889,#297890,#297891,#297892)); #57634=EDGE_LOOP('',(#297893,#297894,#297895,#297896)); #57635=EDGE_LOOP('',(#297897,#297898,#297899,#297900)); #57636=EDGE_LOOP('',(#297901,#297902,#297903,#297904)); #57637=EDGE_LOOP('',(#297905,#297906,#297907,#297908)); #57638=EDGE_LOOP('',(#297909,#297910,#297911,#297912)); #57639=EDGE_LOOP('',(#297913,#297914,#297915,#297916)); #57640=EDGE_LOOP('',(#297917,#297918,#297919,#297920)); #57641=EDGE_LOOP('',(#297921,#297922,#297923,#297924)); #57642=EDGE_LOOP('',(#297925,#297926,#297927,#297928)); #57643=EDGE_LOOP('',(#297929,#297930,#297931,#297932)); #57644=EDGE_LOOP('',(#297933,#297934,#297935,#297936)); #57645=EDGE_LOOP('',(#297937,#297938,#297939,#297940)); #57646=EDGE_LOOP('',(#297941,#297942,#297943,#297944)); #57647=EDGE_LOOP('',(#297945,#297946,#297947,#297948)); #57648=EDGE_LOOP('',(#297949,#297950,#297951,#297952)); #57649=EDGE_LOOP('',(#297953,#297954,#297955,#297956)); #57650=EDGE_LOOP('',(#297957,#297958,#297959,#297960)); #57651=EDGE_LOOP('',(#297961,#297962,#297963,#297964)); #57652=EDGE_LOOP('',(#297965,#297966,#297967,#297968)); #57653=EDGE_LOOP('',(#297969,#297970,#297971,#297972)); #57654=EDGE_LOOP('',(#297973,#297974,#297975,#297976)); #57655=EDGE_LOOP('',(#297977,#297978,#297979,#297980)); #57656=EDGE_LOOP('',(#297981,#297982,#297983,#297984)); #57657=EDGE_LOOP('',(#297985,#297986,#297987,#297988)); #57658=EDGE_LOOP('',(#297989,#297990,#297991,#297992)); #57659=EDGE_LOOP('',(#297993,#297994,#297995,#297996)); #57660=EDGE_LOOP('',(#297997,#297998,#297999,#298000)); #57661=EDGE_LOOP('',(#298001,#298002,#298003,#298004)); #57662=EDGE_LOOP('',(#298005,#298006,#298007,#298008)); #57663=EDGE_LOOP('',(#298009,#298010,#298011,#298012)); #57664=EDGE_LOOP('',(#298013,#298014,#298015,#298016)); #57665=EDGE_LOOP('',(#298017,#298018,#298019,#298020)); #57666=EDGE_LOOP('',(#298021,#298022,#298023,#298024)); #57667=EDGE_LOOP('',(#298025,#298026,#298027,#298028)); #57668=EDGE_LOOP('',(#298029,#298030,#298031,#298032)); #57669=EDGE_LOOP('',(#298033,#298034,#298035,#298036)); #57670=EDGE_LOOP('',(#298037,#298038,#298039,#298040)); #57671=EDGE_LOOP('',(#298041,#298042,#298043,#298044)); #57672=EDGE_LOOP('',(#298045,#298046,#298047,#298048)); #57673=EDGE_LOOP('',(#298049,#298050,#298051,#298052)); #57674=EDGE_LOOP('',(#298053,#298054,#298055,#298056)); #57675=EDGE_LOOP('',(#298057,#298058,#298059,#298060)); #57676=EDGE_LOOP('',(#298061,#298062,#298063,#298064)); #57677=EDGE_LOOP('',(#298065)); #57678=EDGE_LOOP('',(#298066,#298067,#298068,#298069)); #57679=EDGE_LOOP('',(#298070,#298071,#298072,#298073)); #57680=EDGE_LOOP('',(#298074,#298075,#298076,#298077)); #57681=EDGE_LOOP('',(#298078,#298079,#298080,#298081)); #57682=EDGE_LOOP('',(#298082,#298083,#298084,#298085)); #57683=EDGE_LOOP('',(#298086,#298087,#298088,#298089)); #57684=EDGE_LOOP('',(#298090,#298091,#298092,#298093)); #57685=EDGE_LOOP('',(#298094)); #57686=EDGE_LOOP('',(#298095,#298096,#298097,#298098)); #57687=EDGE_LOOP('',(#298099,#298100,#298101,#298102)); #57688=EDGE_LOOP('',(#298103,#298104,#298105,#298106)); #57689=EDGE_LOOP('',(#298107,#298108,#298109,#298110)); #57690=EDGE_LOOP('',(#298111,#298112,#298113,#298114)); #57691=EDGE_LOOP('',(#298115,#298116,#298117,#298118)); #57692=EDGE_LOOP('',(#298119,#298120,#298121,#298122)); #57693=EDGE_LOOP('',(#298123,#298124,#298125,#298126)); #57694=EDGE_LOOP('',(#298127,#298128,#298129,#298130)); #57695=EDGE_LOOP('',(#298131,#298132,#298133,#298134)); #57696=EDGE_LOOP('',(#298135,#298136,#298137,#298138)); #57697=EDGE_LOOP('',(#298139,#298140,#298141,#298142)); #57698=EDGE_LOOP('',(#298143,#298144,#298145,#298146)); #57699=EDGE_LOOP('',(#298147,#298148,#298149,#298150)); #57700=EDGE_LOOP('',(#298151,#298152,#298153,#298154)); #57701=EDGE_LOOP('',(#298155,#298156,#298157,#298158,#298159,#298160)); #57702=EDGE_LOOP('',(#298161,#298162,#298163,#298164,#298165,#298166)); #57703=EDGE_LOOP('',(#298167,#298168,#298169,#298170)); #57704=EDGE_LOOP('',(#298171,#298172,#298173,#298174)); #57705=EDGE_LOOP('',(#298175,#298176,#298177,#298178)); #57706=EDGE_LOOP('',(#298179,#298180,#298181,#298182)); #57707=EDGE_LOOP('',(#298183,#298184,#298185,#298186)); #57708=EDGE_LOOP('',(#298187,#298188,#298189,#298190)); #57709=EDGE_LOOP('',(#298191,#298192,#298193,#298194,#298195,#298196)); #57710=EDGE_LOOP('',(#298197,#298198,#298199,#298200,#298201,#298202)); #57711=EDGE_LOOP('',(#298203,#298204,#298205,#298206)); #57712=EDGE_LOOP('',(#298207,#298208,#298209,#298210)); #57713=EDGE_LOOP('',(#298211,#298212,#298213,#298214)); #57714=EDGE_LOOP('',(#298215,#298216,#298217,#298218)); #57715=EDGE_LOOP('',(#298219,#298220,#298221,#298222)); #57716=EDGE_LOOP('',(#298223,#298224,#298225,#298226)); #57717=EDGE_LOOP('',(#298227,#298228,#298229,#298230,#298231,#298232)); #57718=EDGE_LOOP('',(#298233,#298234,#298235,#298236,#298237,#298238)); #57719=EDGE_LOOP('',(#298239,#298240,#298241,#298242)); #57720=EDGE_LOOP('',(#298243,#298244,#298245,#298246)); #57721=EDGE_LOOP('',(#298247,#298248,#298249,#298250)); #57722=EDGE_LOOP('',(#298251,#298252,#298253,#298254)); #57723=EDGE_LOOP('',(#298255,#298256,#298257,#298258)); #57724=EDGE_LOOP('',(#298259,#298260,#298261,#298262)); #57725=EDGE_LOOP('',(#298263,#298264,#298265,#298266,#298267,#298268)); #57726=EDGE_LOOP('',(#298269,#298270,#298271,#298272,#298273,#298274)); #57727=EDGE_LOOP('',(#298275,#298276,#298277,#298278)); #57728=EDGE_LOOP('',(#298279,#298280,#298281,#298282)); #57729=EDGE_LOOP('',(#298283,#298284,#298285,#298286)); #57730=EDGE_LOOP('',(#298287,#298288,#298289,#298290)); #57731=EDGE_LOOP('',(#298291,#298292,#298293,#298294)); #57732=EDGE_LOOP('',(#298295,#298296,#298297,#298298)); #57733=EDGE_LOOP('',(#298299,#298300,#298301,#298302,#298303,#298304)); #57734=EDGE_LOOP('',(#298305,#298306,#298307,#298308,#298309,#298310)); #57735=EDGE_LOOP('',(#298311,#298312,#298313,#298314)); #57736=EDGE_LOOP('',(#298315,#298316,#298317,#298318)); #57737=EDGE_LOOP('',(#298319,#298320,#298321,#298322)); #57738=EDGE_LOOP('',(#298323,#298324,#298325,#298326)); #57739=EDGE_LOOP('',(#298327,#298328,#298329,#298330)); #57740=EDGE_LOOP('',(#298331,#298332,#298333,#298334)); #57741=EDGE_LOOP('',(#298335,#298336,#298337,#298338,#298339,#298340)); #57742=EDGE_LOOP('',(#298341,#298342,#298343,#298344,#298345,#298346)); #57743=EDGE_LOOP('',(#298347,#298348,#298349,#298350)); #57744=EDGE_LOOP('',(#298351,#298352,#298353,#298354)); #57745=EDGE_LOOP('',(#298355,#298356,#298357,#298358)); #57746=EDGE_LOOP('',(#298359,#298360,#298361,#298362)); #57747=EDGE_LOOP('',(#298363,#298364,#298365,#298366)); #57748=EDGE_LOOP('',(#298367,#298368,#298369,#298370)); #57749=EDGE_LOOP('',(#298371,#298372,#298373,#298374,#298375,#298376)); #57750=EDGE_LOOP('',(#298377,#298378,#298379,#298380,#298381,#298382)); #57751=EDGE_LOOP('',(#298383,#298384,#298385,#298386)); #57752=EDGE_LOOP('',(#298387,#298388,#298389,#298390)); #57753=EDGE_LOOP('',(#298391,#298392,#298393,#298394)); #57754=EDGE_LOOP('',(#298395,#298396,#298397,#298398)); #57755=EDGE_LOOP('',(#298399,#298400,#298401,#298402)); #57756=EDGE_LOOP('',(#298403,#298404,#298405,#298406)); #57757=EDGE_LOOP('',(#298407,#298408,#298409,#298410,#298411,#298412)); #57758=EDGE_LOOP('',(#298413,#298414,#298415,#298416,#298417,#298418)); #57759=EDGE_LOOP('',(#298419,#298420,#298421,#298422)); #57760=EDGE_LOOP('',(#298423,#298424,#298425,#298426)); #57761=EDGE_LOOP('',(#298427,#298428,#298429,#298430)); #57762=EDGE_LOOP('',(#298431,#298432,#298433,#298434)); #57763=EDGE_LOOP('',(#298435,#298436,#298437,#298438)); #57764=EDGE_LOOP('',(#298439,#298440,#298441,#298442)); #57765=EDGE_LOOP('',(#298443,#298444,#298445,#298446)); #57766=EDGE_LOOP('',(#298447,#298448,#298449,#298450)); #57767=EDGE_LOOP('',(#298451,#298452,#298453,#298454)); #57768=EDGE_LOOP('',(#298455,#298456,#298457,#298458)); #57769=EDGE_LOOP('',(#298459,#298460,#298461,#298462)); #57770=EDGE_LOOP('',(#298463,#298464,#298465,#298466)); #57771=EDGE_LOOP('',(#298467,#298468,#298469,#298470)); #57772=EDGE_LOOP('',(#298471,#298472,#298473,#298474)); #57773=EDGE_LOOP('',(#298475,#298476,#298477,#298478)); #57774=EDGE_LOOP('',(#298479,#298480,#298481,#298482)); #57775=EDGE_LOOP('',(#298483,#298484,#298485,#298486)); #57776=EDGE_LOOP('',(#298487,#298488,#298489,#298490)); #57777=EDGE_LOOP('',(#298491,#298492,#298493,#298494)); #57778=EDGE_LOOP('',(#298495,#298496,#298497,#298498)); #57779=EDGE_LOOP('',(#298499,#298500,#298501,#298502)); #57780=EDGE_LOOP('',(#298503,#298504,#298505,#298506)); #57781=EDGE_LOOP('',(#298507,#298508,#298509,#298510)); #57782=EDGE_LOOP('',(#298511,#298512,#298513,#298514)); #57783=EDGE_LOOP('',(#298515,#298516,#298517,#298518)); #57784=EDGE_LOOP('',(#298519,#298520,#298521,#298522)); #57785=EDGE_LOOP('',(#298523,#298524,#298525,#298526)); #57786=EDGE_LOOP('',(#298527,#298528,#298529,#298530)); #57787=EDGE_LOOP('',(#298531,#298532,#298533,#298534)); #57788=EDGE_LOOP('',(#298535,#298536,#298537,#298538)); #57789=EDGE_LOOP('',(#298539,#298540,#298541,#298542)); #57790=EDGE_LOOP('',(#298543,#298544,#298545,#298546)); #57791=EDGE_LOOP('',(#298547,#298548,#298549,#298550)); #57792=EDGE_LOOP('',(#298551,#298552,#298553,#298554)); #57793=EDGE_LOOP('',(#298555,#298556,#298557,#298558)); #57794=EDGE_LOOP('',(#298559,#298560,#298561,#298562)); #57795=EDGE_LOOP('',(#298563,#298564,#298565,#298566)); #57796=EDGE_LOOP('',(#298567,#298568,#298569,#298570)); #57797=EDGE_LOOP('',(#298571,#298572,#298573,#298574)); #57798=EDGE_LOOP('',(#298575,#298576,#298577,#298578)); #57799=EDGE_LOOP('',(#298579,#298580,#298581,#298582)); #57800=EDGE_LOOP('',(#298583,#298584,#298585,#298586)); #57801=EDGE_LOOP('',(#298587,#298588,#298589,#298590)); #57802=EDGE_LOOP('',(#298591,#298592,#298593,#298594)); #57803=EDGE_LOOP('',(#298595,#298596,#298597,#298598)); #57804=EDGE_LOOP('',(#298599,#298600,#298601,#298602)); #57805=EDGE_LOOP('',(#298603,#298604,#298605,#298606)); #57806=EDGE_LOOP('',(#298607,#298608,#298609,#298610)); #57807=EDGE_LOOP('',(#298611,#298612,#298613,#298614)); #57808=EDGE_LOOP('',(#298615,#298616,#298617,#298618)); #57809=EDGE_LOOP('',(#298619,#298620,#298621,#298622)); #57810=EDGE_LOOP('',(#298623,#298624,#298625,#298626)); #57811=EDGE_LOOP('',(#298627,#298628,#298629,#298630)); #57812=EDGE_LOOP('',(#298631,#298632,#298633,#298634)); #57813=EDGE_LOOP('',(#298635,#298636,#298637,#298638)); #57814=EDGE_LOOP('',(#298639,#298640,#298641,#298642)); #57815=EDGE_LOOP('',(#298643,#298644,#298645,#298646)); #57816=EDGE_LOOP('',(#298647,#298648,#298649,#298650)); #57817=EDGE_LOOP('',(#298651,#298652,#298653,#298654)); #57818=EDGE_LOOP('',(#298655,#298656,#298657,#298658)); #57819=EDGE_LOOP('',(#298659,#298660,#298661,#298662)); #57820=EDGE_LOOP('',(#298663,#298664,#298665,#298666)); #57821=EDGE_LOOP('',(#298667,#298668,#298669,#298670)); #57822=EDGE_LOOP('',(#298671,#298672,#298673,#298674)); #57823=EDGE_LOOP('',(#298675,#298676,#298677,#298678)); #57824=EDGE_LOOP('',(#298679,#298680,#298681,#298682)); #57825=EDGE_LOOP('',(#298683,#298684,#298685,#298686)); #57826=EDGE_LOOP('',(#298687,#298688,#298689,#298690)); #57827=EDGE_LOOP('',(#298691,#298692,#298693,#298694)); #57828=EDGE_LOOP('',(#298695,#298696,#298697,#298698)); #57829=EDGE_LOOP('',(#298699,#298700,#298701,#298702)); #57830=EDGE_LOOP('',(#298703,#298704,#298705,#298706)); #57831=EDGE_LOOP('',(#298707,#298708,#298709,#298710)); #57832=EDGE_LOOP('',(#298711,#298712,#298713,#298714)); #57833=EDGE_LOOP('',(#298715,#298716,#298717,#298718)); #57834=EDGE_LOOP('',(#298719,#298720,#298721,#298722)); #57835=EDGE_LOOP('',(#298723,#298724,#298725,#298726)); #57836=EDGE_LOOP('',(#298727,#298728,#298729,#298730)); #57837=EDGE_LOOP('',(#298731,#298732,#298733,#298734)); #57838=EDGE_LOOP('',(#298735,#298736,#298737,#298738)); #57839=EDGE_LOOP('',(#298739,#298740,#298741,#298742)); #57840=EDGE_LOOP('',(#298743,#298744,#298745,#298746)); #57841=EDGE_LOOP('',(#298747,#298748,#298749,#298750)); #57842=EDGE_LOOP('',(#298751,#298752,#298753,#298754)); #57843=EDGE_LOOP('',(#298755)); #57844=EDGE_LOOP('',(#298756)); #57845=EDGE_LOOP('',(#298757)); #57846=EDGE_LOOP('',(#298758)); #57847=EDGE_LOOP('',(#298759,#298760,#298761,#298762,#298763)); #57848=EDGE_LOOP('',(#298764,#298765,#298766,#298767)); #57849=EDGE_LOOP('',(#298768,#298769,#298770,#298771)); #57850=EDGE_LOOP('',(#298772,#298773,#298774,#298775)); #57851=EDGE_LOOP('',(#298776,#298777,#298778,#298779)); #57852=EDGE_LOOP('',(#298780,#298781,#298782,#298783,#298784)); #57853=EDGE_LOOP('',(#298785,#298786,#298787,#298788,#298789,#298790,#298791, #298792,#298793,#298794)); #57854=EDGE_LOOP('',(#298795,#298796,#298797,#298798,#298799,#298800,#298801, #298802,#298803,#298804)); #57855=EDGE_LOOP('',(#298805,#298806,#298807,#298808,#298809,#298810,#298811, #298812)); #57856=EDGE_LOOP('',(#298813,#298814,#298815,#298816,#298817,#298818,#298819, #298820)); #57857=EDGE_LOOP('',(#298821,#298822,#298823,#298824)); #57858=EDGE_LOOP('',(#298825,#298826,#298827,#298828)); #57859=EDGE_LOOP('',(#298829,#298830,#298831,#298832,#298833,#298834)); #57860=EDGE_LOOP('',(#298835,#298836,#298837,#298838)); #57861=EDGE_LOOP('',(#298839,#298840,#298841,#298842)); #57862=EDGE_LOOP('',(#298843,#298844,#298845,#298846)); #57863=EDGE_LOOP('',(#298847,#298848,#298849,#298850)); #57864=EDGE_LOOP('',(#298851,#298852,#298853,#298854)); #57865=EDGE_LOOP('',(#298855,#298856,#298857,#298858)); #57866=EDGE_LOOP('',(#298859,#298860,#298861,#298862)); #57867=EDGE_LOOP('',(#298863,#298864,#298865,#298866)); #57868=EDGE_LOOP('',(#298867,#298868,#298869,#298870)); #57869=EDGE_LOOP('',(#298871,#298872,#298873,#298874)); #57870=EDGE_LOOP('',(#298875,#298876,#298877,#298878)); #57871=EDGE_LOOP('',(#298879,#298880,#298881,#298882)); #57872=EDGE_LOOP('',(#298883,#298884,#298885,#298886)); #57873=EDGE_LOOP('',(#298887,#298888,#298889,#298890)); #57874=EDGE_LOOP('',(#298891,#298892,#298893)); #57875=EDGE_LOOP('',(#298894,#298895,#298896,#298897,#298898)); #57876=EDGE_LOOP('',(#298899,#298900,#298901,#298902)); #57877=EDGE_LOOP('',(#298903,#298904,#298905,#298906)); #57878=EDGE_LOOP('',(#298907,#298908,#298909,#298910)); #57879=EDGE_LOOP('',(#298911,#298912,#298913,#298914)); #57880=EDGE_LOOP('',(#298915,#298916,#298917,#298918)); #57881=EDGE_LOOP('',(#298919,#298920,#298921,#298922)); #57882=EDGE_LOOP('',(#298923,#298924,#298925,#298926)); #57883=EDGE_LOOP('',(#298927,#298928,#298929,#298930)); #57884=EDGE_LOOP('',(#298931,#298932,#298933,#298934)); #57885=EDGE_LOOP('',(#298935,#298936,#298937,#298938)); #57886=EDGE_LOOP('',(#298939,#298940,#298941,#298942)); #57887=EDGE_LOOP('',(#298943,#298944,#298945,#298946)); #57888=EDGE_LOOP('',(#298947,#298948,#298949,#298950)); #57889=EDGE_LOOP('',(#298951,#298952,#298953,#298954)); #57890=EDGE_LOOP('',(#298955,#298956,#298957,#298958)); #57891=EDGE_LOOP('',(#298959,#298960,#298961,#298962,#298963,#298964)); #57892=EDGE_LOOP('',(#298965,#298966,#298967,#298968,#298969,#298970,#298971, #298972,#298973,#298974,#298975,#298976,#298977)); #57893=EDGE_LOOP('',(#298978,#298979,#298980,#298981)); #57894=EDGE_LOOP('',(#298982,#298983,#298984,#298985)); #57895=EDGE_LOOP('',(#298986,#298987,#298988,#298989)); #57896=EDGE_LOOP('',(#298990,#298991,#298992,#298993)); #57897=EDGE_LOOP('',(#298994,#298995,#298996,#298997,#298998,#298999)); #57898=EDGE_LOOP('',(#299000,#299001,#299002,#299003)); #57899=EDGE_LOOP('',(#299004,#299005,#299006,#299007)); #57900=EDGE_LOOP('',(#299008,#299009,#299010,#299011)); #57901=EDGE_LOOP('',(#299012,#299013,#299014,#299015)); #57902=EDGE_LOOP('',(#299016,#299017,#299018,#299019)); #57903=EDGE_LOOP('',(#299020,#299021,#299022,#299023)); #57904=EDGE_LOOP('',(#299024,#299025,#299026,#299027)); #57905=EDGE_LOOP('',(#299028,#299029,#299030,#299031)); #57906=EDGE_LOOP('',(#299032,#299033,#299034,#299035)); #57907=EDGE_LOOP('',(#299036,#299037,#299038,#299039)); #57908=EDGE_LOOP('',(#299040,#299041,#299042,#299043)); #57909=EDGE_LOOP('',(#299044,#299045,#299046,#299047)); #57910=EDGE_LOOP('',(#299048,#299049,#299050,#299051)); #57911=EDGE_LOOP('',(#299052,#299053,#299054,#299055)); #57912=EDGE_LOOP('',(#299056,#299057,#299058,#299059)); #57913=EDGE_LOOP('',(#299060,#299061,#299062,#299063)); #57914=EDGE_LOOP('',(#299064,#299065,#299066,#299067,#299068)); #57915=EDGE_LOOP('',(#299069,#299070,#299071,#299072,#299073,#299074,#299075, #299076,#299077,#299078,#299079)); #57916=EDGE_LOOP('',(#299080,#299081,#299082,#299083)); #57917=EDGE_LOOP('',(#299084,#299085,#299086,#299087)); #57918=EDGE_LOOP('',(#299088,#299089,#299090,#299091)); #57919=EDGE_LOOP('',(#299092,#299093,#299094,#299095)); #57920=EDGE_LOOP('',(#299096,#299097,#299098,#299099)); #57921=EDGE_LOOP('',(#299100,#299101,#299102,#299103)); #57922=EDGE_LOOP('',(#299104,#299105,#299106,#299107,#299108)); #57923=EDGE_LOOP('',(#299109,#299110,#299111)); #57924=EDGE_LOOP('',(#299112,#299113,#299114)); #57925=EDGE_LOOP('',(#299115,#299116,#299117)); #57926=EDGE_LOOP('',(#299118,#299119,#299120)); #57927=EDGE_LOOP('',(#299121,#299122,#299123)); #57928=EDGE_LOOP('',(#299124,#299125,#299126)); #57929=EDGE_LOOP('',(#299127,#299128,#299129,#299130)); #57930=EDGE_LOOP('',(#299131,#299132,#299133,#299134)); #57931=EDGE_LOOP('',(#299135,#299136,#299137,#299138)); #57932=EDGE_LOOP('',(#299139,#299140,#299141,#299142)); #57933=EDGE_LOOP('',(#299143,#299144,#299145,#299146)); #57934=EDGE_LOOP('',(#299147,#299148,#299149,#299150)); #57935=EDGE_LOOP('',(#299151,#299152,#299153,#299154)); #57936=EDGE_LOOP('',(#299155,#299156,#299157,#299158)); #57937=EDGE_LOOP('',(#299159,#299160,#299161,#299162,#299163)); #57938=EDGE_LOOP('',(#299164,#299165,#299166,#299167)); #57939=EDGE_LOOP('',(#299168,#299169,#299170,#299171)); #57940=EDGE_LOOP('',(#299172,#299173,#299174,#299175)); #57941=EDGE_LOOP('',(#299176,#299177,#299178,#299179)); #57942=EDGE_LOOP('',(#299180,#299181,#299182,#299183)); #57943=EDGE_LOOP('',(#299184,#299185,#299186,#299187)); #57944=EDGE_LOOP('',(#299188,#299189,#299190,#299191,#299192,#299193,#299194, #299195,#299196,#299197,#299198)); #57945=EDGE_LOOP('',(#299199,#299200,#299201,#299202,#299203)); #57946=EDGE_LOOP('',(#299204,#299205,#299206,#299207)); #57947=EDGE_LOOP('',(#299208,#299209,#299210,#299211)); #57948=EDGE_LOOP('',(#299212,#299213,#299214,#299215)); #57949=EDGE_LOOP('',(#299216,#299217,#299218,#299219)); #57950=EDGE_LOOP('',(#299220,#299221,#299222,#299223)); #57951=EDGE_LOOP('',(#299224,#299225,#299226,#299227)); #57952=EDGE_LOOP('',(#299228,#299229,#299230,#299231)); #57953=EDGE_LOOP('',(#299232,#299233,#299234,#299235)); #57954=EDGE_LOOP('',(#299236,#299237,#299238)); #57955=EDGE_LOOP('',(#299239,#299240,#299241)); #57956=EDGE_LOOP('',(#299242,#299243,#299244)); #57957=EDGE_LOOP('',(#299245,#299246,#299247)); #57958=EDGE_LOOP('',(#299248,#299249,#299250)); #57959=EDGE_LOOP('',(#299251,#299252,#299253)); #57960=EDGE_LOOP('',(#299254,#299255,#299256)); #57961=EDGE_LOOP('',(#299257,#299258,#299259)); #57962=EDGE_LOOP('',(#299260,#299261,#299262,#299263,#299264,#299265,#299266, #299267,#299268,#299269,#299270,#299271,#299272,#299273,#299274,#299275, #299276,#299277,#299278,#299279,#299280,#299281,#299282,#299283,#299284, #299285,#299286,#299287,#299288,#299289,#299290,#299291,#299292,#299293, #299294,#299295,#299296,#299297,#299298,#299299,#299300,#299301,#299302, #299303,#299304,#299305,#299306,#299307,#299308,#299309,#299310,#299311, #299312,#299313,#299314,#299315,#299316,#299317,#299318,#299319,#299320, #299321,#299322,#299323,#299324,#299325,#299326,#299327)); #57963=EDGE_LOOP('',(#299328,#299329,#299330,#299331)); #57964=EDGE_LOOP('',(#299332,#299333,#299334,#299335)); #57965=EDGE_LOOP('',(#299336,#299337,#299338,#299339)); #57966=EDGE_LOOP('',(#299340,#299341,#299342,#299343)); #57967=EDGE_LOOP('',(#299344,#299345,#299346,#299347,#299348,#299349,#299350, #299351,#299352,#299353,#299354,#299355)); #57968=EDGE_LOOP('',(#299356,#299357,#299358,#299359,#299360,#299361,#299362, #299363)); #57969=EDGE_LOOP('',(#299364,#299365,#299366,#299367)); #57970=EDGE_LOOP('',(#299368,#299369,#299370,#299371)); #57971=EDGE_LOOP('',(#299372,#299373,#299374,#299375)); #57972=EDGE_LOOP('',(#299376,#299377,#299378,#299379)); #57973=EDGE_LOOP('',(#299380,#299381,#299382,#299383)); #57974=EDGE_LOOP('',(#299384,#299385,#299386,#299387)); #57975=EDGE_LOOP('',(#299388,#299389,#299390,#299391)); #57976=EDGE_LOOP('',(#299392,#299393,#299394,#299395)); #57977=EDGE_LOOP('',(#299396,#299397,#299398,#299399,#299400,#299401,#299402, #299403,#299404,#299405,#299406,#299407)); #57978=EDGE_LOOP('',(#299408,#299409,#299410,#299411)); #57979=EDGE_LOOP('',(#299412,#299413,#299414,#299415,#299416,#299417,#299418, #299419)); #57980=EDGE_LOOP('',(#299420,#299421,#299422,#299423,#299424,#299425,#299426, #299427,#299428,#299429,#299430,#299431)); #57981=EDGE_LOOP('',(#299432,#299433,#299434,#299435)); #57982=EDGE_LOOP('',(#299436,#299437,#299438,#299439,#299440,#299441,#299442, #299443,#299444,#299445,#299446,#299447)); #57983=EDGE_LOOP('',(#299448,#299449,#299450,#299451)); #57984=EDGE_LOOP('',(#299452,#299453,#299454,#299455)); #57985=EDGE_LOOP('',(#299456,#299457,#299458,#299459)); #57986=EDGE_LOOP('',(#299460,#299461,#299462,#299463)); #57987=EDGE_LOOP('',(#299464,#299465,#299466,#299467)); #57988=EDGE_LOOP('',(#299468,#299469,#299470,#299471)); #57989=EDGE_LOOP('',(#299472,#299473,#299474,#299475)); #57990=EDGE_LOOP('',(#299476,#299477,#299478,#299479)); #57991=EDGE_LOOP('',(#299480,#299481,#299482,#299483)); #57992=EDGE_LOOP('',(#299484,#299485,#299486,#299487)); #57993=EDGE_LOOP('',(#299488,#299489,#299490,#299491)); #57994=EDGE_LOOP('',(#299492,#299493,#299494,#299495)); #57995=EDGE_LOOP('',(#299496,#299497,#299498,#299499)); #57996=EDGE_LOOP('',(#299500,#299501,#299502,#299503)); #57997=EDGE_LOOP('',(#299504,#299505,#299506,#299507,#299508,#299509,#299510, #299511,#299512,#299513,#299514,#299515)); #57998=EDGE_LOOP('',(#299516,#299517,#299518,#299519,#299520,#299521,#299522, #299523)); #57999=EDGE_LOOP('',(#299524,#299525,#299526,#299527)); #58000=EDGE_LOOP('',(#299528,#299529,#299530,#299531,#299532,#299533,#299534, #299535,#299536,#299537,#299538,#299539)); #58001=EDGE_LOOP('',(#299540,#299541,#299542,#299543)); #58002=EDGE_LOOP('',(#299544,#299545,#299546,#299547)); #58003=EDGE_LOOP('',(#299548,#299549,#299550,#299551)); #58004=EDGE_LOOP('',(#299552,#299553,#299554,#299555)); #58005=EDGE_LOOP('',(#299556,#299557,#299558,#299559)); #58006=EDGE_LOOP('',(#299560,#299561,#299562,#299563,#299564,#299565,#299566, #299567)); #58007=EDGE_LOOP('',(#299568,#299569,#299570,#299571)); #58008=EDGE_LOOP('',(#299572,#299573,#299574,#299575)); #58009=EDGE_LOOP('',(#299576,#299577,#299578,#299579)); #58010=EDGE_LOOP('',(#299580,#299581,#299582,#299583)); #58011=EDGE_LOOP('',(#299584,#299585,#299586,#299587)); #58012=EDGE_LOOP('',(#299588,#299589,#299590,#299591)); #58013=EDGE_LOOP('',(#299592,#299593,#299594,#299595)); #58014=EDGE_LOOP('',(#299596,#299597,#299598,#299599,#299600,#299601,#299602, #299603,#299604,#299605,#299606,#299607)); #58015=EDGE_LOOP('',(#299608,#299609,#299610,#299611,#299612,#299613,#299614, #299615)); #58016=EDGE_LOOP('',(#299616,#299617,#299618,#299619)); #58017=EDGE_LOOP('',(#299620,#299621,#299622,#299623)); #58018=EDGE_LOOP('',(#299624,#299625,#299626,#299627)); #58019=EDGE_LOOP('',(#299628,#299629,#299630,#299631)); #58020=EDGE_LOOP('',(#299632,#299633,#299634,#299635)); #58021=EDGE_LOOP('',(#299636,#299637,#299638,#299639)); #58022=EDGE_LOOP('',(#299640,#299641,#299642,#299643)); #58023=EDGE_LOOP('',(#299644,#299645,#299646,#299647)); #58024=EDGE_LOOP('',(#299648,#299649,#299650,#299651,#299652,#299653,#299654, #299655,#299656,#299657,#299658,#299659)); #58025=EDGE_LOOP('',(#299660,#299661,#299662,#299663)); #58026=EDGE_LOOP('',(#299664,#299665,#299666,#299667,#299668,#299669,#299670, #299671)); #58027=EDGE_LOOP('',(#299672,#299673,#299674,#299675,#299676,#299677,#299678, #299679,#299680,#299681,#299682,#299683)); #58028=EDGE_LOOP('',(#299684,#299685,#299686,#299687)); #58029=EDGE_LOOP('',(#299688,#299689,#299690,#299691,#299692,#299693,#299694, #299695,#299696,#299697,#299698,#299699)); #58030=EDGE_LOOP('',(#299700,#299701,#299702,#299703)); #58031=EDGE_LOOP('',(#299704,#299705,#299706,#299707)); #58032=EDGE_LOOP('',(#299708,#299709,#299710,#299711)); #58033=EDGE_LOOP('',(#299712,#299713,#299714,#299715)); #58034=EDGE_LOOP('',(#299716,#299717,#299718,#299719)); #58035=EDGE_LOOP('',(#299720,#299721,#299722,#299723)); #58036=EDGE_LOOP('',(#299724,#299725,#299726,#299727)); #58037=EDGE_LOOP('',(#299728,#299729,#299730,#299731)); #58038=EDGE_LOOP('',(#299732,#299733,#299734,#299735)); #58039=EDGE_LOOP('',(#299736,#299737,#299738,#299739)); #58040=EDGE_LOOP('',(#299740,#299741,#299742,#299743,#299744,#299745,#299746)); #58041=EDGE_LOOP('',(#299747,#299748,#299749,#299750,#299751,#299752,#299753, #299754)); #58042=EDGE_LOOP('',(#299755,#299756,#299757,#299758,#299759,#299760,#299761)); #58043=EDGE_LOOP('',(#299762,#299763,#299764,#299765,#299766,#299767)); #58044=EDGE_LOOP('',(#299768,#299769,#299770,#299771,#299772,#299773,#299774, #299775,#299776,#299777,#299778,#299779,#299780,#299781,#299782,#299783)); #58045=EDGE_LOOP('',(#299784,#299785,#299786,#299787)); #58046=EDGE_LOOP('',(#299788,#299789,#299790,#299791)); #58047=EDGE_LOOP('',(#299792,#299793,#299794,#299795,#299796,#299797,#299798, #299799,#299800,#299801,#299802,#299803,#299804,#299805,#299806,#299807, #299808)); #58048=EDGE_LOOP('',(#299809,#299810,#299811,#299812)); #58049=EDGE_LOOP('',(#299813,#299814,#299815,#299816,#299817,#299818,#299819, #299820,#299821,#299822,#299823,#299824,#299825,#299826,#299827,#299828, #299829,#299830)); #58050=EDGE_LOOP('',(#299831,#299832,#299833,#299834)); #58051=EDGE_LOOP('',(#299835,#299836,#299837,#299838,#299839,#299840)); #58052=EDGE_LOOP('',(#299841,#299842,#299843,#299844)); #58053=EDGE_LOOP('',(#299845,#299846,#299847,#299848)); #58054=EDGE_LOOP('',(#299849,#299850,#299851,#299852)); #58055=EDGE_LOOP('',(#299853,#299854,#299855,#299856,#299857,#299858)); #58056=EDGE_LOOP('',(#299859,#299860,#299861,#299862)); #58057=EDGE_LOOP('',(#299863,#299864,#299865,#299866,#299867,#299868,#299869, #299870,#299871,#299872,#299873,#299874)); #58058=EDGE_LOOP('',(#299875,#299876,#299877,#299878,#299879)); #58059=EDGE_LOOP('',(#299880)); #58060=EDGE_LOOP('',(#299881,#299882,#299883,#299884)); #58061=EDGE_LOOP('',(#299885,#299886,#299887,#299888)); #58062=EDGE_LOOP('',(#299889,#299890,#299891,#299892)); #58063=EDGE_LOOP('',(#299893,#299894,#299895,#299896)); #58064=EDGE_LOOP('',(#299897,#299898,#299899,#299900)); #58065=EDGE_LOOP('',(#299901,#299902,#299903,#299904)); #58066=EDGE_LOOP('',(#299905,#299906,#299907,#299908)); #58067=EDGE_LOOP('',(#299909,#299910,#299911,#299912)); #58068=EDGE_LOOP('',(#299913,#299914,#299915,#299916,#299917,#299918,#299919)); #58069=EDGE_LOOP('',(#299920,#299921,#299922,#299923)); #58070=EDGE_LOOP('',(#299924,#299925,#299926,#299927)); #58071=EDGE_LOOP('',(#299928,#299929,#299930,#299931)); #58072=EDGE_LOOP('',(#299932,#299933,#299934,#299935)); #58073=EDGE_LOOP('',(#299936,#299937,#299938,#299939)); #58074=EDGE_LOOP('',(#299940,#299941,#299942,#299943)); #58075=EDGE_LOOP('',(#299944,#299945,#299946,#299947)); #58076=EDGE_LOOP('',(#299948,#299949,#299950,#299951)); #58077=EDGE_LOOP('',(#299952,#299953,#299954,#299955)); #58078=EDGE_LOOP('',(#299956,#299957,#299958,#299959)); #58079=EDGE_LOOP('',(#299960,#299961,#299962,#299963)); #58080=EDGE_LOOP('',(#299964,#299965,#299966,#299967,#299968,#299969,#299970, #299971,#299972,#299973,#299974,#299975,#299976,#299977,#299978,#299979, #299980,#299981,#299982,#299983,#299984,#299985)); #58081=EDGE_LOOP('',(#299986,#299987,#299988,#299989)); #58082=EDGE_LOOP('',(#299990,#299991,#299992,#299993)); #58083=EDGE_LOOP('',(#299994,#299995,#299996,#299997,#299998,#299999,#300000, #300001,#300002,#300003,#300004,#300005,#300006,#300007,#300008)); #58084=EDGE_LOOP('',(#300009,#300010,#300011,#300012)); #58085=EDGE_LOOP('',(#300013,#300014,#300015,#300016,#300017,#300018)); #58086=EDGE_LOOP('',(#300019,#300020,#300021,#300022,#300023,#300024,#300025, #300026,#300027,#300028)); #58087=EDGE_LOOP('',(#300029,#300030,#300031,#300032)); #58088=EDGE_LOOP('',(#300033,#300034,#300035,#300036,#300037,#300038,#300039, #300040,#300041,#300042)); #58089=EDGE_LOOP('',(#300043,#300044,#300045,#300046)); #58090=EDGE_LOOP('',(#300047,#300048,#300049,#300050)); #58091=EDGE_LOOP('',(#300051,#300052,#300053,#300054)); #58092=EDGE_LOOP('',(#300055,#300056,#300057,#300058)); #58093=EDGE_LOOP('',(#300059,#300060,#300061,#300062)); #58094=EDGE_LOOP('',(#300063,#300064,#300065,#300066)); #58095=EDGE_LOOP('',(#300067,#300068,#300069,#300070)); #58096=EDGE_LOOP('',(#300071,#300072,#300073,#300074,#300075)); #58097=EDGE_LOOP('',(#300076,#300077,#300078,#300079,#300080)); #58098=EDGE_LOOP('',(#300081,#300082,#300083,#300084)); #58099=EDGE_LOOP('',(#300085,#300086,#300087,#300088)); #58100=EDGE_LOOP('',(#300089,#300090,#300091,#300092)); #58101=EDGE_LOOP('',(#300093,#300094,#300095,#300096)); #58102=EDGE_LOOP('',(#300097,#300098,#300099,#300100)); #58103=EDGE_LOOP('',(#300101,#300102,#300103,#300104,#300105,#300106,#300107, #300108,#300109,#300110,#300111,#300112,#300113,#300114,#300115)); #58104=EDGE_LOOP('',(#300116,#300117,#300118,#300119)); #58105=EDGE_LOOP('',(#300120,#300121,#300122,#300123,#300124,#300125)); #58106=EDGE_LOOP('',(#300126,#300127,#300128,#300129)); #58107=EDGE_LOOP('',(#300130,#300131,#300132,#300133,#300134,#300135,#300136, #300137,#300138,#300139,#300140,#300141,#300142,#300143,#300144,#300145)); #58108=EDGE_LOOP('',(#300146,#300147,#300148,#300149,#300150,#300151,#300152)); #58109=EDGE_LOOP('',(#300153,#300154,#300155,#300156)); #58110=EDGE_LOOP('',(#300157,#300158,#300159,#300160,#300161,#300162)); #58111=EDGE_LOOP('',(#300163,#300164,#300165,#300166)); #58112=EDGE_LOOP('',(#300167,#300168,#300169,#300170)); #58113=EDGE_LOOP('',(#300171,#300172,#300173,#300174)); #58114=EDGE_LOOP('',(#300175,#300176,#300177,#300178)); #58115=EDGE_LOOP('',(#300179,#300180,#300181,#300182)); #58116=EDGE_LOOP('',(#300183,#300184,#300185,#300186)); #58117=EDGE_LOOP('',(#300187,#300188,#300189,#300190)); #58118=EDGE_LOOP('',(#300191,#300192,#300193,#300194,#300195,#300196,#300197, #300198,#300199,#300200,#300201,#300202,#300203,#300204,#300205,#300206)); #58119=EDGE_LOOP('',(#300207,#300208,#300209,#300210,#300211,#300212,#300213, #300214)); #58120=EDGE_LOOP('',(#300215,#300216,#300217,#300218)); #58121=EDGE_LOOP('',(#300219,#300220,#300221,#300222,#300223,#300224,#300225, #300226)); #58122=EDGE_LOOP('',(#300227,#300228,#300229,#300230,#300231,#300232,#300233, #300234,#300235,#300236)); #58123=EDGE_LOOP('',(#300237,#300238,#300239,#300240)); #58124=EDGE_LOOP('',(#300241,#300242,#300243,#300244,#300245,#300246)); #58125=EDGE_LOOP('',(#300247,#300248,#300249,#300250)); #58126=EDGE_LOOP('',(#300251,#300252,#300253,#300254)); #58127=EDGE_LOOP('',(#300255,#300256,#300257,#300258)); #58128=EDGE_LOOP('',(#300259,#300260,#300261,#300262,#300263,#300264,#300265, #300266,#300267,#300268,#300269,#300270,#300271,#300272,#300273,#300274, #300275,#300276,#300277,#300278,#300279,#300280,#300281,#300282,#300283, #300284,#300285,#300286,#300287,#300288,#300289,#300290,#300291,#300292, #300293,#300294,#300295,#300296,#300297,#300298,#300299,#300300,#300301, #300302,#300303,#300304,#300305,#300306,#300307,#300308)); #58129=EDGE_LOOP('',(#300309,#300310,#300311,#300312)); #58130=EDGE_LOOP('',(#300313,#300314,#300315,#300316)); #58131=EDGE_LOOP('',(#300317,#300318,#300319,#300320)); #58132=EDGE_LOOP('',(#300321,#300322,#300323,#300324,#300325,#300326)); #58133=EDGE_LOOP('',(#300327,#300328,#300329,#300330)); #58134=EDGE_LOOP('',(#300331,#300332,#300333,#300334,#300335,#300336,#300337, #300338,#300339,#300340,#300341,#300342,#300343)); #58135=EDGE_LOOP('',(#300344,#300345,#300346,#300347)); #58136=EDGE_LOOP('',(#300348,#300349,#300350,#300351)); #58137=EDGE_LOOP('',(#300352,#300353,#300354,#300355)); #58138=EDGE_LOOP('',(#300356,#300357,#300358,#300359)); #58139=EDGE_LOOP('',(#300360,#300361,#300362,#300363)); #58140=EDGE_LOOP('',(#300364,#300365,#300366,#300367)); #58141=EDGE_LOOP('',(#300368,#300369,#300370,#300371)); #58142=EDGE_LOOP('',(#300372,#300373,#300374,#300375)); #58143=EDGE_LOOP('',(#300376,#300377,#300378,#300379)); #58144=EDGE_LOOP('',(#300380,#300381,#300382,#300383)); #58145=EDGE_LOOP('',(#300384,#300385,#300386,#300387)); #58146=EDGE_LOOP('',(#300388,#300389,#300390,#300391)); #58147=EDGE_LOOP('',(#300392,#300393,#300394,#300395)); #58148=EDGE_LOOP('',(#300396,#300397,#300398,#300399)); #58149=EDGE_LOOP('',(#300400,#300401,#300402,#300403,#300404,#300405)); #58150=EDGE_LOOP('',(#300406,#300407,#300408,#300409)); #58151=EDGE_LOOP('',(#300410,#300411,#300412,#300413,#300414,#300415)); #58152=EDGE_LOOP('',(#300416,#300417,#300418,#300419)); #58153=EDGE_LOOP('',(#300420,#300421,#300422,#300423)); #58154=EDGE_LOOP('',(#300424,#300425,#300426,#300427)); #58155=EDGE_LOOP('',(#300428,#300429,#300430,#300431)); #58156=EDGE_LOOP('',(#300432,#300433,#300434,#300435)); #58157=EDGE_LOOP('',(#300436,#300437,#300438,#300439)); #58158=EDGE_LOOP('',(#300440,#300441,#300442,#300443)); #58159=EDGE_LOOP('',(#300444,#300445,#300446,#300447)); #58160=EDGE_LOOP('',(#300448,#300449,#300450,#300451)); #58161=EDGE_LOOP('',(#300452,#300453,#300454,#300455)); #58162=EDGE_LOOP('',(#300456,#300457,#300458,#300459)); #58163=EDGE_LOOP('',(#300460,#300461,#300462,#300463,#300464,#300465)); #58164=EDGE_LOOP('',(#300466,#300467,#300468,#300469)); #58165=EDGE_LOOP('',(#300470,#300471,#300472,#300473,#300474,#300475)); #58166=EDGE_LOOP('',(#300476,#300477,#300478,#300479)); #58167=EDGE_LOOP('',(#300480,#300481,#300482,#300483)); #58168=EDGE_LOOP('',(#300484,#300485,#300486,#300487)); #58169=EDGE_LOOP('',(#300488,#300489,#300490,#300491)); #58170=EDGE_LOOP('',(#300492,#300493,#300494,#300495)); #58171=EDGE_LOOP('',(#300496,#300497,#300498,#300499,#300500,#300501,#300502, #300503,#300504,#300505,#300506,#300507,#300508)); #58172=EDGE_LOOP('',(#300509,#300510,#300511,#300512)); #58173=EDGE_LOOP('',(#300513,#300514,#300515,#300516)); #58174=EDGE_LOOP('',(#300517,#300518,#300519,#300520,#300521,#300522,#300523, #300524,#300525)); #58175=EDGE_LOOP('',(#300526,#300527,#300528,#300529)); #58176=EDGE_LOOP('',(#300530,#300531,#300532,#300533)); #58177=EDGE_LOOP('',(#300534,#300535,#300536,#300537,#300538,#300539,#300540, #300541)); #58178=EDGE_LOOP('',(#300542,#300543,#300544,#300545)); #58179=EDGE_LOOP('',(#300546,#300547,#300548,#300549,#300550,#300551,#300552, #300553,#300554)); #58180=EDGE_LOOP('',(#300555,#300556,#300557,#300558)); #58181=EDGE_LOOP('',(#300559,#300560,#300561,#300562,#300563,#300564,#300565, #300566)); #58182=EDGE_LOOP('',(#300567,#300568,#300569,#300570)); #58183=EDGE_LOOP('',(#300571,#300572,#300573,#300574,#300575,#300576,#300577, #300578)); #58184=EDGE_LOOP('',(#300579,#300580,#300581,#300582,#300583,#300584,#300585, #300586,#300587)); #58185=EDGE_LOOP('',(#300588,#300589,#300590,#300591)); #58186=EDGE_LOOP('',(#300592,#300593,#300594,#300595)); #58187=EDGE_LOOP('',(#300596,#300597,#300598,#300599,#300600,#300601,#300602, #300603)); #58188=EDGE_LOOP('',(#300604,#300605,#300606,#300607,#300608,#300609,#300610, #300611,#300612)); #58189=EDGE_LOOP('',(#300613,#300614,#300615,#300616)); #58190=EDGE_LOOP('',(#300617,#300618,#300619,#300620)); #58191=EDGE_LOOP('',(#300621,#300622,#300623,#300624)); #58192=EDGE_LOOP('',(#300625,#300626,#300627,#300628)); #58193=EDGE_LOOP('',(#300629,#300630,#300631,#300632)); #58194=EDGE_LOOP('',(#300633,#300634,#300635,#300636)); #58195=EDGE_LOOP('',(#300637,#300638,#300639,#300640)); #58196=EDGE_LOOP('',(#300641,#300642,#300643,#300644)); #58197=EDGE_LOOP('',(#300645,#300646,#300647,#300648)); #58198=EDGE_LOOP('',(#300649,#300650,#300651,#300652)); #58199=EDGE_LOOP('',(#300653,#300654,#300655,#300656)); #58200=EDGE_LOOP('',(#300657,#300658,#300659,#300660)); #58201=EDGE_LOOP('',(#300661,#300662,#300663,#300664)); #58202=EDGE_LOOP('',(#300665,#300666,#300667,#300668)); #58203=EDGE_LOOP('',(#300669,#300670,#300671,#300672)); #58204=EDGE_LOOP('',(#300673,#300674,#300675,#300676)); #58205=EDGE_LOOP('',(#300677,#300678,#300679,#300680)); #58206=EDGE_LOOP('',(#300681,#300682,#300683,#300684)); #58207=EDGE_LOOP('',(#300685,#300686,#300687,#300688)); #58208=EDGE_LOOP('',(#300689,#300690,#300691,#300692)); #58209=EDGE_LOOP('',(#300693,#300694,#300695,#300696)); #58210=EDGE_LOOP('',(#300697,#300698,#300699,#300700)); #58211=EDGE_LOOP('',(#300701,#300702,#300703,#300704)); #58212=EDGE_LOOP('',(#300705,#300706,#300707,#300708)); #58213=EDGE_LOOP('',(#300709,#300710,#300711,#300712)); #58214=EDGE_LOOP('',(#300713,#300714,#300715,#300716)); #58215=EDGE_LOOP('',(#300717,#300718,#300719,#300720)); #58216=EDGE_LOOP('',(#300721,#300722,#300723,#300724,#300725,#300726)); #58217=EDGE_LOOP('',(#300727,#300728,#300729,#300730)); #58218=EDGE_LOOP('',(#300731,#300732,#300733,#300734)); #58219=EDGE_LOOP('',(#300735,#300736,#300737,#300738)); #58220=EDGE_LOOP('',(#300739,#300740,#300741,#300742)); #58221=EDGE_LOOP('',(#300743,#300744,#300745,#300746)); #58222=EDGE_LOOP('',(#300747,#300748,#300749,#300750)); #58223=EDGE_LOOP('',(#300751,#300752,#300753,#300754)); #58224=EDGE_LOOP('',(#300755,#300756,#300757,#300758,#300759,#300760)); #58225=EDGE_LOOP('',(#300761,#300762,#300763,#300764)); #58226=EDGE_LOOP('',(#300765,#300766,#300767,#300768)); #58227=EDGE_LOOP('',(#300769,#300770,#300771,#300772)); #58228=EDGE_LOOP('',(#300773,#300774,#300775,#300776)); #58229=EDGE_LOOP('',(#300777,#300778,#300779,#300780)); #58230=EDGE_LOOP('',(#300781,#300782,#300783,#300784)); #58231=EDGE_LOOP('',(#300785,#300786,#300787,#300788)); #58232=EDGE_LOOP('',(#300789,#300790,#300791,#300792)); #58233=EDGE_LOOP('',(#300793,#300794,#300795,#300796)); #58234=EDGE_LOOP('',(#300797,#300798,#300799,#300800)); #58235=EDGE_LOOP('',(#300801,#300802,#300803,#300804)); #58236=EDGE_LOOP('',(#300805,#300806,#300807,#300808)); #58237=EDGE_LOOP('',(#300809,#300810,#300811,#300812)); #58238=EDGE_LOOP('',(#300813,#300814,#300815,#300816)); #58239=EDGE_LOOP('',(#300817,#300818,#300819,#300820)); #58240=EDGE_LOOP('',(#300821,#300822,#300823,#300824)); #58241=EDGE_LOOP('',(#300825,#300826,#300827,#300828)); #58242=EDGE_LOOP('',(#300829,#300830,#300831,#300832)); #58243=EDGE_LOOP('',(#300833,#300834,#300835,#300836,#300837,#300838)); #58244=EDGE_LOOP('',(#300839,#300840,#300841,#300842)); #58245=EDGE_LOOP('',(#300843,#300844,#300845,#300846)); #58246=EDGE_LOOP('',(#300847,#300848,#300849,#300850)); #58247=EDGE_LOOP('',(#300851,#300852,#300853,#300854)); #58248=EDGE_LOOP('',(#300855,#300856,#300857,#300858)); #58249=EDGE_LOOP('',(#300859,#300860,#300861,#300862)); #58250=EDGE_LOOP('',(#300863,#300864,#300865,#300866,#300867,#300868)); #58251=EDGE_LOOP('',(#300869,#300870,#300871,#300872)); #58252=EDGE_LOOP('',(#300873,#300874,#300875,#300876)); #58253=EDGE_LOOP('',(#300877,#300878,#300879,#300880)); #58254=EDGE_LOOP('',(#300881,#300882,#300883,#300884)); #58255=EDGE_LOOP('',(#300885,#300886,#300887,#300888)); #58256=EDGE_LOOP('',(#300889,#300890,#300891,#300892)); #58257=EDGE_LOOP('',(#300893,#300894,#300895,#300896)); #58258=EDGE_LOOP('',(#300897,#300898,#300899,#300900)); #58259=EDGE_LOOP('',(#300901,#300902,#300903,#300904)); #58260=EDGE_LOOP('',(#300905,#300906,#300907,#300908)); #58261=EDGE_LOOP('',(#300909,#300910,#300911,#300912)); #58262=EDGE_LOOP('',(#300913,#300914,#300915,#300916)); #58263=EDGE_LOOP('',(#300917,#300918,#300919,#300920)); #58264=EDGE_LOOP('',(#300921,#300922,#300923,#300924)); #58265=EDGE_LOOP('',(#300925)); #58266=EDGE_LOOP('',(#300926,#300927,#300928,#300929)); #58267=EDGE_LOOP('',(#300930,#300931,#300932,#300933)); #58268=EDGE_LOOP('',(#300934,#300935,#300936,#300937)); #58269=EDGE_LOOP('',(#300938,#300939,#300940,#300941)); #58270=EDGE_LOOP('',(#300942,#300943,#300944,#300945)); #58271=EDGE_LOOP('',(#300946,#300947,#300948,#300949)); #58272=EDGE_LOOP('',(#300950,#300951,#300952,#300953)); #58273=EDGE_LOOP('',(#300954)); #58274=EDGE_LOOP('',(#300955,#300956,#300957,#300958)); #58275=EDGE_LOOP('',(#300959,#300960,#300961,#300962)); #58276=EDGE_LOOP('',(#300963,#300964,#300965,#300966)); #58277=EDGE_LOOP('',(#300967,#300968,#300969,#300970)); #58278=EDGE_LOOP('',(#300971,#300972,#300973,#300974)); #58279=EDGE_LOOP('',(#300975,#300976,#300977,#300978)); #58280=EDGE_LOOP('',(#300979,#300980,#300981,#300982)); #58281=EDGE_LOOP('',(#300983,#300984,#300985,#300986)); #58282=EDGE_LOOP('',(#300987,#300988,#300989,#300990)); #58283=EDGE_LOOP('',(#300991,#300992,#300993,#300994,#300995,#300996)); #58284=EDGE_LOOP('',(#300997,#300998,#300999,#301000,#301001,#301002)); #58285=EDGE_LOOP('',(#301003,#301004,#301005,#301006)); #58286=EDGE_LOOP('',(#301007,#301008,#301009,#301010)); #58287=EDGE_LOOP('',(#301011,#301012,#301013,#301014)); #58288=EDGE_LOOP('',(#301015,#301016,#301017,#301018)); #58289=EDGE_LOOP('',(#301019,#301020,#301021,#301022)); #58290=EDGE_LOOP('',(#301023,#301024,#301025,#301026)); #58291=EDGE_LOOP('',(#301027,#301028,#301029,#301030,#301031,#301032)); #58292=EDGE_LOOP('',(#301033,#301034,#301035,#301036,#301037,#301038)); #58293=EDGE_LOOP('',(#301039,#301040,#301041,#301042)); #58294=EDGE_LOOP('',(#301043,#301044,#301045,#301046)); #58295=EDGE_LOOP('',(#301047,#301048,#301049,#301050)); #58296=EDGE_LOOP('',(#301051,#301052,#301053,#301054)); #58297=EDGE_LOOP('',(#301055,#301056,#301057,#301058)); #58298=EDGE_LOOP('',(#301059,#301060,#301061,#301062)); #58299=EDGE_LOOP('',(#301063,#301064,#301065,#301066,#301067,#301068)); #58300=EDGE_LOOP('',(#301069,#301070,#301071,#301072,#301073,#301074)); #58301=EDGE_LOOP('',(#301075,#301076,#301077,#301078)); #58302=EDGE_LOOP('',(#301079,#301080,#301081,#301082)); #58303=EDGE_LOOP('',(#301083,#301084,#301085,#301086)); #58304=EDGE_LOOP('',(#301087,#301088,#301089,#301090)); #58305=EDGE_LOOP('',(#301091,#301092,#301093,#301094)); #58306=EDGE_LOOP('',(#301095,#301096,#301097,#301098)); #58307=EDGE_LOOP('',(#301099,#301100,#301101,#301102,#301103,#301104)); #58308=EDGE_LOOP('',(#301105,#301106,#301107,#301108,#301109,#301110)); #58309=EDGE_LOOP('',(#301111,#301112,#301113,#301114)); #58310=EDGE_LOOP('',(#301115,#301116,#301117,#301118)); #58311=EDGE_LOOP('',(#301119,#301120,#301121,#301122)); #58312=EDGE_LOOP('',(#301123,#301124,#301125,#301126)); #58313=EDGE_LOOP('',(#301127,#301128,#301129,#301130)); #58314=EDGE_LOOP('',(#301131,#301132,#301133,#301134)); #58315=EDGE_LOOP('',(#301135,#301136,#301137,#301138,#301139,#301140)); #58316=EDGE_LOOP('',(#301141,#301142,#301143,#301144,#301145,#301146)); #58317=EDGE_LOOP('',(#301147,#301148,#301149,#301150)); #58318=EDGE_LOOP('',(#301151,#301152,#301153,#301154)); #58319=EDGE_LOOP('',(#301155,#301156,#301157,#301158)); #58320=EDGE_LOOP('',(#301159,#301160,#301161,#301162)); #58321=EDGE_LOOP('',(#301163,#301164,#301165,#301166)); #58322=EDGE_LOOP('',(#301167,#301168,#301169,#301170)); #58323=EDGE_LOOP('',(#301171,#301172,#301173,#301174,#301175,#301176)); #58324=EDGE_LOOP('',(#301177,#301178,#301179,#301180,#301181,#301182)); #58325=EDGE_LOOP('',(#301183,#301184,#301185,#301186)); #58326=EDGE_LOOP('',(#301187,#301188,#301189,#301190)); #58327=EDGE_LOOP('',(#301191,#301192,#301193,#301194)); #58328=EDGE_LOOP('',(#301195,#301196,#301197,#301198)); #58329=EDGE_LOOP('',(#301199,#301200,#301201,#301202)); #58330=EDGE_LOOP('',(#301203,#301204,#301205,#301206)); #58331=EDGE_LOOP('',(#301207,#301208,#301209,#301210,#301211,#301212)); #58332=EDGE_LOOP('',(#301213,#301214,#301215,#301216,#301217,#301218)); #58333=EDGE_LOOP('',(#301219,#301220,#301221,#301222)); #58334=EDGE_LOOP('',(#301223,#301224,#301225,#301226)); #58335=EDGE_LOOP('',(#301227,#301228,#301229,#301230)); #58336=EDGE_LOOP('',(#301231,#301232,#301233,#301234)); #58337=EDGE_LOOP('',(#301235,#301236,#301237,#301238)); #58338=EDGE_LOOP('',(#301239,#301240,#301241,#301242)); #58339=EDGE_LOOP('',(#301243,#301244,#301245,#301246,#301247,#301248)); #58340=EDGE_LOOP('',(#301249,#301250,#301251,#301252,#301253,#301254)); #58341=EDGE_LOOP('',(#301255,#301256,#301257,#301258)); #58342=EDGE_LOOP('',(#301259,#301260,#301261,#301262)); #58343=EDGE_LOOP('',(#301263,#301264,#301265,#301266)); #58344=EDGE_LOOP('',(#301267,#301268,#301269,#301270)); #58345=EDGE_LOOP('',(#301271,#301272,#301273,#301274)); #58346=EDGE_LOOP('',(#301275,#301276,#301277,#301278)); #58347=EDGE_LOOP('',(#301279,#301280,#301281,#301282,#301283,#301284)); #58348=EDGE_LOOP('',(#301285,#301286,#301287,#301288,#301289,#301290)); #58349=EDGE_LOOP('',(#301291,#301292,#301293,#301294)); #58350=EDGE_LOOP('',(#301295,#301296,#301297,#301298)); #58351=EDGE_LOOP('',(#301299,#301300,#301301,#301302)); #58352=EDGE_LOOP('',(#301303,#301304,#301305,#301306)); #58353=EDGE_LOOP('',(#301307,#301308,#301309,#301310)); #58354=EDGE_LOOP('',(#301311,#301312,#301313,#301314)); #58355=EDGE_LOOP('',(#301315,#301316,#301317,#301318,#301319,#301320)); #58356=EDGE_LOOP('',(#301321,#301322,#301323,#301324,#301325,#301326)); #58357=EDGE_LOOP('',(#301327,#301328,#301329,#301330)); #58358=EDGE_LOOP('',(#301331,#301332,#301333,#301334)); #58359=EDGE_LOOP('',(#301335,#301336,#301337,#301338)); #58360=EDGE_LOOP('',(#301339,#301340,#301341,#301342)); #58361=EDGE_LOOP('',(#301343,#301344,#301345,#301346)); #58362=EDGE_LOOP('',(#301347,#301348,#301349,#301350)); #58363=EDGE_LOOP('',(#301351,#301352,#301353,#301354,#301355,#301356)); #58364=EDGE_LOOP('',(#301357,#301358,#301359,#301360,#301361,#301362)); #58365=EDGE_LOOP('',(#301363,#301364,#301365,#301366)); #58366=EDGE_LOOP('',(#301367,#301368,#301369,#301370)); #58367=EDGE_LOOP('',(#301371,#301372,#301373,#301374)); #58368=EDGE_LOOP('',(#301375,#301376,#301377,#301378)); #58369=EDGE_LOOP('',(#301379,#301380,#301381,#301382)); #58370=EDGE_LOOP('',(#301383,#301384,#301385,#301386)); #58371=EDGE_LOOP('',(#301387,#301388,#301389,#301390,#301391,#301392)); #58372=EDGE_LOOP('',(#301393,#301394,#301395,#301396,#301397,#301398)); #58373=EDGE_LOOP('',(#301399,#301400,#301401,#301402)); #58374=EDGE_LOOP('',(#301403,#301404,#301405,#301406)); #58375=EDGE_LOOP('',(#301407,#301408,#301409,#301410)); #58376=EDGE_LOOP('',(#301411,#301412,#301413,#301414)); #58377=EDGE_LOOP('',(#301415,#301416,#301417,#301418)); #58378=EDGE_LOOP('',(#301419,#301420,#301421,#301422)); #58379=EDGE_LOOP('',(#301423,#301424,#301425,#301426,#301427,#301428)); #58380=EDGE_LOOP('',(#301429,#301430,#301431,#301432,#301433,#301434)); #58381=EDGE_LOOP('',(#301435,#301436,#301437,#301438)); #58382=EDGE_LOOP('',(#301439,#301440,#301441,#301442)); #58383=EDGE_LOOP('',(#301443,#301444,#301445,#301446)); #58384=EDGE_LOOP('',(#301447,#301448,#301449,#301450)); #58385=EDGE_LOOP('',(#301451,#301452,#301453,#301454)); #58386=EDGE_LOOP('',(#301455,#301456,#301457,#301458)); #58387=EDGE_LOOP('',(#301459,#301460,#301461,#301462,#301463,#301464)); #58388=EDGE_LOOP('',(#301465,#301466,#301467,#301468,#301469,#301470)); #58389=EDGE_LOOP('',(#301471,#301472,#301473,#301474)); #58390=EDGE_LOOP('',(#301475,#301476,#301477,#301478)); #58391=EDGE_LOOP('',(#301479,#301480,#301481,#301482)); #58392=EDGE_LOOP('',(#301483,#301484,#301485,#301486)); #58393=EDGE_LOOP('',(#301487,#301488,#301489,#301490)); #58394=EDGE_LOOP('',(#301491,#301492,#301493,#301494)); #58395=EDGE_LOOP('',(#301495,#301496,#301497,#301498,#301499,#301500)); #58396=EDGE_LOOP('',(#301501,#301502,#301503,#301504,#301505,#301506)); #58397=EDGE_LOOP('',(#301507,#301508,#301509,#301510)); #58398=EDGE_LOOP('',(#301511,#301512,#301513,#301514)); #58399=EDGE_LOOP('',(#301515,#301516,#301517,#301518)); #58400=EDGE_LOOP('',(#301519,#301520,#301521,#301522)); #58401=EDGE_LOOP('',(#301523,#301524,#301525,#301526)); #58402=EDGE_LOOP('',(#301527,#301528,#301529,#301530)); #58403=EDGE_LOOP('',(#301531,#301532,#301533,#301534,#301535,#301536)); #58404=EDGE_LOOP('',(#301537,#301538,#301539,#301540,#301541,#301542)); #58405=EDGE_LOOP('',(#301543,#301544,#301545,#301546)); #58406=EDGE_LOOP('',(#301547,#301548,#301549,#301550)); #58407=EDGE_LOOP('',(#301551,#301552,#301553,#301554)); #58408=EDGE_LOOP('',(#301555,#301556,#301557,#301558)); #58409=EDGE_LOOP('',(#301559,#301560,#301561,#301562)); #58410=EDGE_LOOP('',(#301563,#301564,#301565,#301566)); #58411=EDGE_LOOP('',(#301567,#301568,#301569,#301570,#301571,#301572)); #58412=EDGE_LOOP('',(#301573,#301574,#301575,#301576,#301577,#301578)); #58413=EDGE_LOOP('',(#301579,#301580,#301581,#301582)); #58414=EDGE_LOOP('',(#301583,#301584,#301585,#301586)); #58415=EDGE_LOOP('',(#301587,#301588,#301589,#301590)); #58416=EDGE_LOOP('',(#301591,#301592,#301593,#301594)); #58417=EDGE_LOOP('',(#301595,#301596,#301597,#301598)); #58418=EDGE_LOOP('',(#301599,#301600,#301601,#301602)); #58419=EDGE_LOOP('',(#301603,#301604,#301605,#301606,#301607,#301608)); #58420=EDGE_LOOP('',(#301609,#301610,#301611,#301612,#301613,#301614)); #58421=EDGE_LOOP('',(#301615,#301616,#301617,#301618)); #58422=EDGE_LOOP('',(#301619,#301620,#301621,#301622)); #58423=EDGE_LOOP('',(#301623,#301624,#301625,#301626)); #58424=EDGE_LOOP('',(#301627,#301628,#301629,#301630)); #58425=EDGE_LOOP('',(#301631,#301632,#301633,#301634)); #58426=EDGE_LOOP('',(#301635,#301636,#301637,#301638)); #58427=EDGE_LOOP('',(#301639,#301640,#301641,#301642,#301643,#301644)); #58428=EDGE_LOOP('',(#301645,#301646,#301647,#301648,#301649,#301650)); #58429=EDGE_LOOP('',(#301651,#301652,#301653,#301654)); #58430=EDGE_LOOP('',(#301655,#301656,#301657,#301658)); #58431=EDGE_LOOP('',(#301659,#301660,#301661,#301662)); #58432=EDGE_LOOP('',(#301663,#301664,#301665,#301666)); #58433=EDGE_LOOP('',(#301667,#301668,#301669,#301670)); #58434=EDGE_LOOP('',(#301671,#301672,#301673,#301674)); #58435=EDGE_LOOP('',(#301675,#301676,#301677,#301678,#301679,#301680)); #58436=EDGE_LOOP('',(#301681,#301682,#301683,#301684,#301685,#301686)); #58437=EDGE_LOOP('',(#301687,#301688,#301689,#301690)); #58438=EDGE_LOOP('',(#301691,#301692,#301693,#301694)); #58439=EDGE_LOOP('',(#301695,#301696,#301697,#301698)); #58440=EDGE_LOOP('',(#301699,#301700,#301701,#301702)); #58441=EDGE_LOOP('',(#301703,#301704,#301705,#301706)); #58442=EDGE_LOOP('',(#301707,#301708,#301709,#301710)); #58443=EDGE_LOOP('',(#301711,#301712,#301713,#301714,#301715,#301716)); #58444=EDGE_LOOP('',(#301717,#301718,#301719,#301720,#301721,#301722)); #58445=EDGE_LOOP('',(#301723,#301724,#301725,#301726)); #58446=EDGE_LOOP('',(#301727,#301728,#301729,#301730)); #58447=EDGE_LOOP('',(#301731,#301732,#301733,#301734)); #58448=EDGE_LOOP('',(#301735,#301736,#301737,#301738)); #58449=EDGE_LOOP('',(#301739,#301740,#301741,#301742)); #58450=EDGE_LOOP('',(#301743,#301744,#301745,#301746)); #58451=EDGE_LOOP('',(#301747,#301748,#301749,#301750,#301751,#301752)); #58452=EDGE_LOOP('',(#301753,#301754,#301755,#301756,#301757,#301758)); #58453=EDGE_LOOP('',(#301759,#301760,#301761,#301762)); #58454=EDGE_LOOP('',(#301763,#301764,#301765,#301766)); #58455=EDGE_LOOP('',(#301767,#301768,#301769,#301770)); #58456=EDGE_LOOP('',(#301771,#301772,#301773,#301774)); #58457=EDGE_LOOP('',(#301775,#301776,#301777,#301778)); #58458=EDGE_LOOP('',(#301779,#301780,#301781,#301782)); #58459=EDGE_LOOP('',(#301783,#301784,#301785,#301786,#301787,#301788)); #58460=EDGE_LOOP('',(#301789,#301790,#301791,#301792,#301793,#301794)); #58461=EDGE_LOOP('',(#301795,#301796,#301797,#301798)); #58462=EDGE_LOOP('',(#301799,#301800,#301801,#301802)); #58463=EDGE_LOOP('',(#301803,#301804,#301805,#301806)); #58464=EDGE_LOOP('',(#301807,#301808,#301809,#301810)); #58465=EDGE_LOOP('',(#301811,#301812,#301813,#301814)); #58466=EDGE_LOOP('',(#301815,#301816,#301817,#301818)); #58467=EDGE_LOOP('',(#301819,#301820,#301821,#301822,#301823,#301824)); #58468=EDGE_LOOP('',(#301825,#301826,#301827,#301828,#301829,#301830)); #58469=EDGE_LOOP('',(#301831,#301832,#301833,#301834)); #58470=EDGE_LOOP('',(#301835,#301836,#301837,#301838)); #58471=EDGE_LOOP('',(#301839,#301840,#301841,#301842)); #58472=EDGE_LOOP('',(#301843,#301844,#301845,#301846)); #58473=EDGE_LOOP('',(#301847,#301848,#301849,#301850)); #58474=EDGE_LOOP('',(#301851,#301852,#301853,#301854)); #58475=EDGE_LOOP('',(#301855,#301856,#301857,#301858,#301859,#301860)); #58476=EDGE_LOOP('',(#301861,#301862,#301863,#301864,#301865,#301866)); #58477=EDGE_LOOP('',(#301867,#301868,#301869,#301870)); #58478=EDGE_LOOP('',(#301871,#301872,#301873,#301874)); #58479=EDGE_LOOP('',(#301875,#301876,#301877,#301878)); #58480=EDGE_LOOP('',(#301879,#301880,#301881,#301882)); #58481=EDGE_LOOP('',(#301883,#301884,#301885,#301886)); #58482=EDGE_LOOP('',(#301887,#301888,#301889,#301890)); #58483=EDGE_LOOP('',(#301891,#301892,#301893,#301894,#301895,#301896)); #58484=EDGE_LOOP('',(#301897,#301898,#301899,#301900,#301901,#301902)); #58485=EDGE_LOOP('',(#301903,#301904,#301905,#301906)); #58486=EDGE_LOOP('',(#301907,#301908,#301909,#301910)); #58487=EDGE_LOOP('',(#301911,#301912,#301913,#301914)); #58488=EDGE_LOOP('',(#301915,#301916,#301917,#301918)); #58489=EDGE_LOOP('',(#301919,#301920,#301921,#301922)); #58490=EDGE_LOOP('',(#301923,#301924,#301925,#301926)); #58491=EDGE_LOOP('',(#301927,#301928,#301929,#301930,#301931,#301932)); #58492=EDGE_LOOP('',(#301933,#301934,#301935,#301936,#301937,#301938)); #58493=EDGE_LOOP('',(#301939,#301940,#301941,#301942)); #58494=EDGE_LOOP('',(#301943,#301944,#301945,#301946)); #58495=EDGE_LOOP('',(#301947,#301948,#301949,#301950)); #58496=EDGE_LOOP('',(#301951,#301952,#301953,#301954)); #58497=EDGE_LOOP('',(#301955,#301956,#301957,#301958)); #58498=EDGE_LOOP('',(#301959,#301960,#301961,#301962)); #58499=EDGE_LOOP('',(#301963,#301964,#301965,#301966,#301967,#301968)); #58500=EDGE_LOOP('',(#301969,#301970,#301971,#301972,#301973,#301974)); #58501=EDGE_LOOP('',(#301975,#301976,#301977,#301978)); #58502=EDGE_LOOP('',(#301979,#301980,#301981,#301982)); #58503=EDGE_LOOP('',(#301983,#301984,#301985,#301986)); #58504=EDGE_LOOP('',(#301987,#301988,#301989,#301990)); #58505=EDGE_LOOP('',(#301991,#301992,#301993,#301994)); #58506=EDGE_LOOP('',(#301995,#301996,#301997,#301998)); #58507=EDGE_LOOP('',(#301999,#302000,#302001,#302002,#302003,#302004)); #58508=EDGE_LOOP('',(#302005,#302006,#302007,#302008,#302009,#302010)); #58509=EDGE_LOOP('',(#302011,#302012,#302013,#302014)); #58510=EDGE_LOOP('',(#302015,#302016,#302017,#302018)); #58511=EDGE_LOOP('',(#302019,#302020,#302021,#302022)); #58512=EDGE_LOOP('',(#302023,#302024,#302025,#302026)); #58513=EDGE_LOOP('',(#302027,#302028,#302029,#302030)); #58514=EDGE_LOOP('',(#302031,#302032,#302033,#302034)); #58515=EDGE_LOOP('',(#302035,#302036,#302037,#302038,#302039,#302040)); #58516=EDGE_LOOP('',(#302041,#302042,#302043,#302044,#302045,#302046)); #58517=EDGE_LOOP('',(#302047,#302048,#302049,#302050)); #58518=EDGE_LOOP('',(#302051,#302052,#302053,#302054)); #58519=EDGE_LOOP('',(#302055,#302056,#302057,#302058)); #58520=EDGE_LOOP('',(#302059,#302060,#302061,#302062)); #58521=EDGE_LOOP('',(#302063,#302064,#302065,#302066)); #58522=EDGE_LOOP('',(#302067,#302068,#302069,#302070)); #58523=EDGE_LOOP('',(#302071,#302072,#302073,#302074,#302075,#302076)); #58524=EDGE_LOOP('',(#302077,#302078,#302079,#302080,#302081,#302082)); #58525=EDGE_LOOP('',(#302083,#302084,#302085,#302086)); #58526=EDGE_LOOP('',(#302087,#302088,#302089,#302090)); #58527=EDGE_LOOP('',(#302091,#302092,#302093,#302094)); #58528=EDGE_LOOP('',(#302095,#302096,#302097,#302098)); #58529=EDGE_LOOP('',(#302099,#302100,#302101,#302102)); #58530=EDGE_LOOP('',(#302103,#302104,#302105,#302106)); #58531=EDGE_LOOP('',(#302107,#302108,#302109,#302110,#302111,#302112)); #58532=EDGE_LOOP('',(#302113,#302114,#302115,#302116,#302117,#302118)); #58533=EDGE_LOOP('',(#302119,#302120,#302121,#302122)); #58534=EDGE_LOOP('',(#302123,#302124,#302125,#302126)); #58535=EDGE_LOOP('',(#302127,#302128,#302129,#302130)); #58536=EDGE_LOOP('',(#302131,#302132,#302133,#302134)); #58537=EDGE_LOOP('',(#302135,#302136,#302137,#302138)); #58538=EDGE_LOOP('',(#302139,#302140,#302141,#302142)); #58539=EDGE_LOOP('',(#302143,#302144,#302145,#302146,#302147,#302148)); #58540=EDGE_LOOP('',(#302149,#302150,#302151,#302152,#302153,#302154)); #58541=EDGE_LOOP('',(#302155,#302156,#302157,#302158)); #58542=EDGE_LOOP('',(#302159,#302160,#302161,#302162)); #58543=EDGE_LOOP('',(#302163,#302164,#302165,#302166)); #58544=EDGE_LOOP('',(#302167,#302168,#302169,#302170)); #58545=EDGE_LOOP('',(#302171,#302172,#302173,#302174)); #58546=EDGE_LOOP('',(#302175,#302176,#302177,#302178)); #58547=EDGE_LOOP('',(#302179,#302180,#302181,#302182,#302183,#302184)); #58548=EDGE_LOOP('',(#302185,#302186,#302187,#302188,#302189,#302190)); #58549=EDGE_LOOP('',(#302191,#302192,#302193,#302194)); #58550=EDGE_LOOP('',(#302195,#302196,#302197,#302198)); #58551=EDGE_LOOP('',(#302199,#302200,#302201,#302202)); #58552=EDGE_LOOP('',(#302203,#302204,#302205,#302206)); #58553=EDGE_LOOP('',(#302207,#302208,#302209,#302210)); #58554=EDGE_LOOP('',(#302211,#302212,#302213,#302214)); #58555=EDGE_LOOP('',(#302215,#302216,#302217,#302218,#302219,#302220)); #58556=EDGE_LOOP('',(#302221,#302222,#302223,#302224,#302225,#302226)); #58557=EDGE_LOOP('',(#302227,#302228,#302229,#302230)); #58558=EDGE_LOOP('',(#302231,#302232,#302233,#302234)); #58559=EDGE_LOOP('',(#302235,#302236,#302237,#302238)); #58560=EDGE_LOOP('',(#302239,#302240,#302241,#302242)); #58561=EDGE_LOOP('',(#302243,#302244,#302245,#302246)); #58562=EDGE_LOOP('',(#302247,#302248,#302249,#302250)); #58563=EDGE_LOOP('',(#302251,#302252,#302253,#302254,#302255,#302256)); #58564=EDGE_LOOP('',(#302257,#302258,#302259,#302260,#302261,#302262)); #58565=EDGE_LOOP('',(#302263,#302264,#302265,#302266)); #58566=EDGE_LOOP('',(#302267,#302268,#302269,#302270)); #58567=EDGE_LOOP('',(#302271,#302272,#302273,#302274)); #58568=EDGE_LOOP('',(#302275,#302276,#302277,#302278)); #58569=EDGE_LOOP('',(#302279,#302280,#302281,#302282)); #58570=EDGE_LOOP('',(#302283,#302284,#302285,#302286)); #58571=EDGE_LOOP('',(#302287,#302288,#302289,#302290,#302291,#302292)); #58572=EDGE_LOOP('',(#302293,#302294,#302295,#302296,#302297,#302298)); #58573=EDGE_LOOP('',(#302299,#302300,#302301,#302302)); #58574=EDGE_LOOP('',(#302303,#302304,#302305,#302306)); #58575=EDGE_LOOP('',(#302307,#302308,#302309,#302310)); #58576=EDGE_LOOP('',(#302311,#302312,#302313,#302314)); #58577=EDGE_LOOP('',(#302315,#302316,#302317,#302318)); #58578=EDGE_LOOP('',(#302319,#302320,#302321,#302322)); #58579=EDGE_LOOP('',(#302323,#302324,#302325,#302326,#302327,#302328)); #58580=EDGE_LOOP('',(#302329,#302330,#302331,#302332,#302333,#302334)); #58581=EDGE_LOOP('',(#302335,#302336,#302337,#302338)); #58582=EDGE_LOOP('',(#302339,#302340,#302341,#302342)); #58583=EDGE_LOOP('',(#302343,#302344,#302345,#302346)); #58584=EDGE_LOOP('',(#302347,#302348,#302349,#302350)); #58585=EDGE_LOOP('',(#302351,#302352,#302353,#302354)); #58586=EDGE_LOOP('',(#302355,#302356,#302357,#302358)); #58587=EDGE_LOOP('',(#302359,#302360,#302361,#302362,#302363,#302364)); #58588=EDGE_LOOP('',(#302365,#302366,#302367,#302368,#302369,#302370)); #58589=EDGE_LOOP('',(#302371,#302372,#302373,#302374)); #58590=EDGE_LOOP('',(#302375,#302376,#302377,#302378)); #58591=EDGE_LOOP('',(#302379,#302380,#302381,#302382)); #58592=EDGE_LOOP('',(#302383,#302384,#302385,#302386)); #58593=EDGE_LOOP('',(#302387,#302388,#302389,#302390)); #58594=EDGE_LOOP('',(#302391,#302392,#302393,#302394)); #58595=EDGE_LOOP('',(#302395,#302396,#302397,#302398,#302399,#302400)); #58596=EDGE_LOOP('',(#302401,#302402,#302403,#302404,#302405,#302406)); #58597=EDGE_LOOP('',(#302407,#302408,#302409,#302410)); #58598=EDGE_LOOP('',(#302411,#302412,#302413,#302414)); #58599=EDGE_LOOP('',(#302415,#302416,#302417,#302418)); #58600=EDGE_LOOP('',(#302419,#302420,#302421,#302422)); #58601=EDGE_LOOP('',(#302423,#302424,#302425,#302426)); #58602=EDGE_LOOP('',(#302427,#302428,#302429,#302430)); #58603=EDGE_LOOP('',(#302431,#302432,#302433,#302434,#302435,#302436)); #58604=EDGE_LOOP('',(#302437,#302438,#302439,#302440,#302441,#302442)); #58605=EDGE_LOOP('',(#302443,#302444,#302445,#302446)); #58606=EDGE_LOOP('',(#302447,#302448,#302449,#302450)); #58607=EDGE_LOOP('',(#302451,#302452,#302453,#302454)); #58608=EDGE_LOOP('',(#302455,#302456,#302457,#302458)); #58609=EDGE_LOOP('',(#302459,#302460,#302461,#302462)); #58610=EDGE_LOOP('',(#302463,#302464,#302465,#302466)); #58611=EDGE_LOOP('',(#302467,#302468,#302469,#302470,#302471,#302472)); #58612=EDGE_LOOP('',(#302473,#302474,#302475,#302476,#302477,#302478)); #58613=EDGE_LOOP('',(#302479,#302480,#302481,#302482)); #58614=EDGE_LOOP('',(#302483,#302484,#302485,#302486)); #58615=EDGE_LOOP('',(#302487,#302488,#302489,#302490)); #58616=EDGE_LOOP('',(#302491,#302492,#302493,#302494)); #58617=EDGE_LOOP('',(#302495,#302496,#302497,#302498)); #58618=EDGE_LOOP('',(#302499,#302500,#302501,#302502)); #58619=EDGE_LOOP('',(#302503,#302504,#302505,#302506,#302507,#302508)); #58620=EDGE_LOOP('',(#302509,#302510,#302511,#302512,#302513,#302514)); #58621=EDGE_LOOP('',(#302515,#302516,#302517,#302518)); #58622=EDGE_LOOP('',(#302519,#302520,#302521,#302522)); #58623=EDGE_LOOP('',(#302523,#302524,#302525,#302526)); #58624=EDGE_LOOP('',(#302527,#302528,#302529,#302530)); #58625=EDGE_LOOP('',(#302531,#302532,#302533,#302534)); #58626=EDGE_LOOP('',(#302535,#302536,#302537,#302538)); #58627=EDGE_LOOP('',(#302539,#302540,#302541,#302542,#302543,#302544)); #58628=EDGE_LOOP('',(#302545,#302546,#302547,#302548,#302549,#302550)); #58629=EDGE_LOOP('',(#302551,#302552,#302553,#302554)); #58630=EDGE_LOOP('',(#302555,#302556,#302557,#302558)); #58631=EDGE_LOOP('',(#302559,#302560,#302561,#302562)); #58632=EDGE_LOOP('',(#302563,#302564,#302565,#302566)); #58633=EDGE_LOOP('',(#302567,#302568,#302569,#302570)); #58634=EDGE_LOOP('',(#302571,#302572,#302573,#302574)); #58635=EDGE_LOOP('',(#302575,#302576,#302577,#302578,#302579,#302580)); #58636=EDGE_LOOP('',(#302581,#302582,#302583,#302584,#302585,#302586)); #58637=EDGE_LOOP('',(#302587,#302588,#302589,#302590)); #58638=EDGE_LOOP('',(#302591,#302592,#302593,#302594)); #58639=EDGE_LOOP('',(#302595,#302596,#302597,#302598)); #58640=EDGE_LOOP('',(#302599,#302600,#302601,#302602)); #58641=EDGE_LOOP('',(#302603,#302604,#302605,#302606)); #58642=EDGE_LOOP('',(#302607,#302608,#302609,#302610)); #58643=EDGE_LOOP('',(#302611,#302612,#302613,#302614,#302615,#302616)); #58644=EDGE_LOOP('',(#302617,#302618,#302619,#302620,#302621,#302622)); #58645=EDGE_LOOP('',(#302623,#302624,#302625,#302626)); #58646=EDGE_LOOP('',(#302627,#302628,#302629,#302630)); #58647=EDGE_LOOP('',(#302631,#302632,#302633,#302634)); #58648=EDGE_LOOP('',(#302635,#302636,#302637,#302638)); #58649=EDGE_LOOP('',(#302639,#302640,#302641,#302642)); #58650=EDGE_LOOP('',(#302643,#302644,#302645,#302646)); #58651=EDGE_LOOP('',(#302647,#302648,#302649,#302650,#302651,#302652)); #58652=EDGE_LOOP('',(#302653,#302654,#302655,#302656,#302657,#302658)); #58653=EDGE_LOOP('',(#302659,#302660,#302661,#302662)); #58654=EDGE_LOOP('',(#302663,#302664,#302665,#302666)); #58655=EDGE_LOOP('',(#302667,#302668,#302669,#302670)); #58656=EDGE_LOOP('',(#302671,#302672,#302673,#302674)); #58657=EDGE_LOOP('',(#302675,#302676,#302677,#302678)); #58658=EDGE_LOOP('',(#302679,#302680,#302681,#302682)); #58659=EDGE_LOOP('',(#302683,#302684,#302685,#302686,#302687,#302688)); #58660=EDGE_LOOP('',(#302689,#302690,#302691,#302692,#302693,#302694)); #58661=EDGE_LOOP('',(#302695,#302696,#302697,#302698)); #58662=EDGE_LOOP('',(#302699,#302700,#302701,#302702)); #58663=EDGE_LOOP('',(#302703,#302704,#302705,#302706)); #58664=EDGE_LOOP('',(#302707,#302708,#302709,#302710)); #58665=EDGE_LOOP('',(#302711,#302712,#302713,#302714)); #58666=EDGE_LOOP('',(#302715,#302716,#302717,#302718)); #58667=EDGE_LOOP('',(#302719,#302720,#302721)); #58668=EDGE_LOOP('',(#302722,#302723,#302724)); #58669=EDGE_LOOP('',(#302725,#302726,#302727)); #58670=EDGE_LOOP('',(#302728,#302729,#302730)); #58671=EDGE_LOOP('',(#302731,#302732,#302733)); #58672=EDGE_LOOP('',(#302734,#302735,#302736,#302737)); #58673=EDGE_LOOP('',(#302738,#302739,#302740)); #58674=EDGE_LOOP('',(#302741,#302742,#302743)); #58675=EDGE_LOOP('',(#302744,#302745,#302746,#302747)); #58676=EDGE_LOOP('',(#302748,#302749,#302750,#302751)); #58677=EDGE_LOOP('',(#302752,#302753,#302754)); #58678=EDGE_LOOP('',(#302755,#302756,#302757,#302758)); #58679=EDGE_LOOP('',(#302759,#302760,#302761,#302762)); #58680=EDGE_LOOP('',(#302763,#302764,#302765,#302766)); #58681=EDGE_LOOP('',(#302767,#302768,#302769,#302770)); #58682=EDGE_LOOP('',(#302771,#302772,#302773,#302774)); #58683=EDGE_LOOP('',(#302775,#302776,#302777,#302778)); #58684=EDGE_LOOP('',(#302779,#302780,#302781,#302782)); #58685=EDGE_LOOP('',(#302783,#302784,#302785,#302786)); #58686=EDGE_LOOP('',(#302787,#302788,#302789,#302790)); #58687=EDGE_LOOP('',(#302791,#302792,#302793,#302794)); #58688=EDGE_LOOP('',(#302795,#302796,#302797,#302798)); #58689=EDGE_LOOP('',(#302799,#302800,#302801,#302802)); #58690=EDGE_LOOP('',(#302803,#302804,#302805,#302806)); #58691=EDGE_LOOP('',(#302807,#302808,#302809,#302810)); #58692=EDGE_LOOP('',(#302811,#302812,#302813,#302814)); #58693=EDGE_LOOP('',(#302815,#302816,#302817)); #58694=EDGE_LOOP('',(#302818,#302819,#302820)); #58695=EDGE_LOOP('',(#302821,#302822,#302823)); #58696=EDGE_LOOP('',(#302824,#302825,#302826)); #58697=EDGE_LOOP('',(#302827,#302828,#302829)); #58698=EDGE_LOOP('',(#302830,#302831,#302832,#302833)); #58699=EDGE_LOOP('',(#302834,#302835,#302836)); #58700=EDGE_LOOP('',(#302837,#302838,#302839)); #58701=EDGE_LOOP('',(#302840,#302841,#302842,#302843)); #58702=EDGE_LOOP('',(#302844,#302845,#302846,#302847)); #58703=EDGE_LOOP('',(#302848,#302849,#302850)); #58704=EDGE_LOOP('',(#302851,#302852,#302853,#302854)); #58705=EDGE_LOOP('',(#302855,#302856,#302857,#302858)); #58706=EDGE_LOOP('',(#302859,#302860,#302861,#302862)); #58707=EDGE_LOOP('',(#302863,#302864,#302865,#302866)); #58708=EDGE_LOOP('',(#302867,#302868,#302869,#302870)); #58709=EDGE_LOOP('',(#302871,#302872,#302873,#302874)); #58710=EDGE_LOOP('',(#302875,#302876,#302877,#302878)); #58711=EDGE_LOOP('',(#302879,#302880,#302881,#302882)); #58712=EDGE_LOOP('',(#302883,#302884,#302885,#302886)); #58713=EDGE_LOOP('',(#302887,#302888,#302889,#302890)); #58714=EDGE_LOOP('',(#302891,#302892,#302893,#302894)); #58715=EDGE_LOOP('',(#302895,#302896,#302897,#302898)); #58716=EDGE_LOOP('',(#302899,#302900,#302901,#302902)); #58717=EDGE_LOOP('',(#302903,#302904,#302905,#302906)); #58718=EDGE_LOOP('',(#302907,#302908,#302909,#302910)); #58719=EDGE_LOOP('',(#302911,#302912,#302913,#302914)); #58720=EDGE_LOOP('',(#302915,#302916,#302917,#302918)); #58721=EDGE_LOOP('',(#302919,#302920,#302921,#302922)); #58722=EDGE_LOOP('',(#302923,#302924,#302925,#302926)); #58723=EDGE_LOOP('',(#302927,#302928,#302929,#302930)); #58724=EDGE_LOOP('',(#302931,#302932,#302933,#302934)); #58725=EDGE_LOOP('',(#302935,#302936,#302937,#302938)); #58726=EDGE_LOOP('',(#302939,#302940,#302941,#302942)); #58727=EDGE_LOOP('',(#302943,#302944,#302945,#302946)); #58728=EDGE_LOOP('',(#302947,#302948,#302949,#302950)); #58729=EDGE_LOOP('',(#302951,#302952,#302953,#302954)); #58730=EDGE_LOOP('',(#302955,#302956,#302957,#302958)); #58731=EDGE_LOOP('',(#302959,#302960,#302961,#302962)); #58732=EDGE_LOOP('',(#302963,#302964,#302965,#302966)); #58733=EDGE_LOOP('',(#302967,#302968,#302969,#302970)); #58734=EDGE_LOOP('',(#302971,#302972,#302973,#302974)); #58735=EDGE_LOOP('',(#302975,#302976,#302977,#302978)); #58736=EDGE_LOOP('',(#302979,#302980,#302981,#302982)); #58737=EDGE_LOOP('',(#302983,#302984,#302985,#302986)); #58738=EDGE_LOOP('',(#302987,#302988,#302989,#302990)); #58739=EDGE_LOOP('',(#302991,#302992,#302993,#302994)); #58740=EDGE_LOOP('',(#302995,#302996,#302997,#302998)); #58741=EDGE_LOOP('',(#302999,#303000,#303001,#303002)); #58742=EDGE_LOOP('',(#303003,#303004,#303005,#303006)); #58743=EDGE_LOOP('',(#303007,#303008,#303009,#303010)); #58744=EDGE_LOOP('',(#303011,#303012,#303013,#303014)); #58745=EDGE_LOOP('',(#303015,#303016,#303017,#303018)); #58746=EDGE_LOOP('',(#303019,#303020,#303021,#303022)); #58747=EDGE_LOOP('',(#303023,#303024,#303025,#303026)); #58748=EDGE_LOOP('',(#303027,#303028,#303029,#303030)); #58749=EDGE_LOOP('',(#303031,#303032,#303033,#303034)); #58750=EDGE_LOOP('',(#303035,#303036,#303037,#303038)); #58751=EDGE_LOOP('',(#303039,#303040,#303041,#303042)); #58752=EDGE_LOOP('',(#303043,#303044,#303045,#303046)); #58753=EDGE_LOOP('',(#303047,#303048,#303049,#303050)); #58754=EDGE_LOOP('',(#303051,#303052,#303053,#303054)); #58755=EDGE_LOOP('',(#303055,#303056,#303057,#303058)); #58756=EDGE_LOOP('',(#303059,#303060,#303061,#303062)); #58757=EDGE_LOOP('',(#303063,#303064,#303065,#303066)); #58758=EDGE_LOOP('',(#303067,#303068,#303069,#303070)); #58759=EDGE_LOOP('',(#303071,#303072,#303073,#303074)); #58760=EDGE_LOOP('',(#303075,#303076,#303077,#303078)); #58761=EDGE_LOOP('',(#303079,#303080,#303081,#303082)); #58762=EDGE_LOOP('',(#303083,#303084,#303085,#303086)); #58763=EDGE_LOOP('',(#303087,#303088,#303089,#303090)); #58764=EDGE_LOOP('',(#303091,#303092,#303093,#303094)); #58765=EDGE_LOOP('',(#303095,#303096,#303097,#303098)); #58766=EDGE_LOOP('',(#303099)); #58767=EDGE_LOOP('',(#303100,#303101,#303102,#303103)); #58768=EDGE_LOOP('',(#303104,#303105,#303106,#303107)); #58769=EDGE_LOOP('',(#303108,#303109,#303110,#303111)); #58770=EDGE_LOOP('',(#303112,#303113,#303114,#303115)); #58771=EDGE_LOOP('',(#303116,#303117,#303118,#303119)); #58772=EDGE_LOOP('',(#303120,#303121,#303122,#303123)); #58773=EDGE_LOOP('',(#303124,#303125,#303126,#303127)); #58774=EDGE_LOOP('',(#303128)); #58775=EDGE_LOOP('',(#303129,#303130,#303131,#303132)); #58776=EDGE_LOOP('',(#303133,#303134,#303135,#303136)); #58777=EDGE_LOOP('',(#303137,#303138,#303139,#303140)); #58778=EDGE_LOOP('',(#303141,#303142,#303143,#303144)); #58779=EDGE_LOOP('',(#303145,#303146,#303147,#303148)); #58780=EDGE_LOOP('',(#303149,#303150,#303151,#303152)); #58781=EDGE_LOOP('',(#303153,#303154,#303155,#303156)); #58782=EDGE_LOOP('',(#303157,#303158,#303159,#303160,#303161,#303162,#303163, #303164,#303165,#303166,#303167,#303168)); #58783=EDGE_LOOP('',(#303169,#303170,#303171,#303172)); #58784=EDGE_LOOP('',(#303173,#303174,#303175,#303176)); #58785=EDGE_LOOP('',(#303177,#303178,#303179,#303180)); #58786=EDGE_LOOP('',(#303181,#303182,#303183,#303184)); #58787=EDGE_LOOP('',(#303185,#303186,#303187,#303188)); #58788=EDGE_LOOP('',(#303189,#303190,#303191,#303192)); #58789=EDGE_LOOP('',(#303193,#303194,#303195,#303196)); #58790=EDGE_LOOP('',(#303197,#303198,#303199,#303200)); #58791=EDGE_LOOP('',(#303201,#303202,#303203,#303204)); #58792=EDGE_LOOP('',(#303205,#303206,#303207,#303208)); #58793=EDGE_LOOP('',(#303209,#303210,#303211,#303212)); #58794=EDGE_LOOP('',(#303213,#303214,#303215,#303216)); #58795=EDGE_LOOP('',(#303217,#303218,#303219,#303220,#303221,#303222,#303223, #303224,#303225,#303226,#303227,#303228)); #58796=EDGE_LOOP('',(#303229,#303230,#303231,#303232,#303233,#303234,#303235, #303236,#303237,#303238,#303239,#303240)); #58797=EDGE_LOOP('',(#303241,#303242,#303243,#303244)); #58798=EDGE_LOOP('',(#303245,#303246,#303247,#303248)); #58799=EDGE_LOOP('',(#303249,#303250,#303251,#303252)); #58800=EDGE_LOOP('',(#303253,#303254,#303255,#303256)); #58801=EDGE_LOOP('',(#303257,#303258,#303259,#303260)); #58802=EDGE_LOOP('',(#303261,#303262,#303263,#303264)); #58803=EDGE_LOOP('',(#303265,#303266,#303267,#303268)); #58804=EDGE_LOOP('',(#303269,#303270,#303271,#303272)); #58805=EDGE_LOOP('',(#303273,#303274,#303275,#303276)); #58806=EDGE_LOOP('',(#303277,#303278,#303279,#303280)); #58807=EDGE_LOOP('',(#303281,#303282,#303283,#303284)); #58808=EDGE_LOOP('',(#303285,#303286,#303287,#303288)); #58809=EDGE_LOOP('',(#303289,#303290,#303291,#303292,#303293,#303294,#303295, #303296,#303297,#303298,#303299,#303300)); #58810=EDGE_LOOP('',(#303301,#303302,#303303,#303304,#303305,#303306,#303307, #303308,#303309,#303310,#303311,#303312)); #58811=EDGE_LOOP('',(#303313,#303314,#303315,#303316)); #58812=EDGE_LOOP('',(#303317,#303318,#303319,#303320)); #58813=EDGE_LOOP('',(#303321,#303322,#303323,#303324)); #58814=EDGE_LOOP('',(#303325,#303326,#303327,#303328)); #58815=EDGE_LOOP('',(#303329,#303330,#303331,#303332)); #58816=EDGE_LOOP('',(#303333,#303334,#303335,#303336)); #58817=EDGE_LOOP('',(#303337,#303338,#303339,#303340)); #58818=EDGE_LOOP('',(#303341,#303342,#303343,#303344)); #58819=EDGE_LOOP('',(#303345,#303346,#303347,#303348)); #58820=EDGE_LOOP('',(#303349,#303350,#303351,#303352)); #58821=EDGE_LOOP('',(#303353,#303354,#303355,#303356)); #58822=EDGE_LOOP('',(#303357,#303358,#303359,#303360)); #58823=EDGE_LOOP('',(#303361,#303362,#303363,#303364,#303365,#303366,#303367, #303368,#303369,#303370,#303371,#303372)); #58824=EDGE_LOOP('',(#303373,#303374,#303375,#303376,#303377,#303378,#303379, #303380,#303381,#303382,#303383,#303384)); #58825=EDGE_LOOP('',(#303385,#303386,#303387,#303388)); #58826=EDGE_LOOP('',(#303389,#303390,#303391,#303392)); #58827=EDGE_LOOP('',(#303393,#303394,#303395,#303396)); #58828=EDGE_LOOP('',(#303397,#303398,#303399,#303400)); #58829=EDGE_LOOP('',(#303401,#303402,#303403,#303404)); #58830=EDGE_LOOP('',(#303405,#303406,#303407,#303408)); #58831=EDGE_LOOP('',(#303409,#303410,#303411,#303412)); #58832=EDGE_LOOP('',(#303413,#303414,#303415,#303416)); #58833=EDGE_LOOP('',(#303417,#303418,#303419,#303420)); #58834=EDGE_LOOP('',(#303421,#303422,#303423,#303424)); #58835=EDGE_LOOP('',(#303425,#303426,#303427,#303428)); #58836=EDGE_LOOP('',(#303429,#303430,#303431,#303432)); #58837=EDGE_LOOP('',(#303433,#303434,#303435,#303436,#303437,#303438,#303439, #303440,#303441,#303442,#303443,#303444)); #58838=EDGE_LOOP('',(#303445,#303446,#303447,#303448,#303449,#303450,#303451, #303452,#303453,#303454,#303455,#303456)); #58839=EDGE_LOOP('',(#303457,#303458,#303459,#303460)); #58840=EDGE_LOOP('',(#303461,#303462,#303463,#303464)); #58841=EDGE_LOOP('',(#303465,#303466,#303467,#303468)); #58842=EDGE_LOOP('',(#303469,#303470,#303471,#303472)); #58843=EDGE_LOOP('',(#303473,#303474,#303475,#303476)); #58844=EDGE_LOOP('',(#303477,#303478,#303479,#303480)); #58845=EDGE_LOOP('',(#303481,#303482,#303483,#303484)); #58846=EDGE_LOOP('',(#303485,#303486,#303487,#303488)); #58847=EDGE_LOOP('',(#303489,#303490,#303491,#303492)); #58848=EDGE_LOOP('',(#303493,#303494,#303495,#303496)); #58849=EDGE_LOOP('',(#303497,#303498,#303499,#303500)); #58850=EDGE_LOOP('',(#303501,#303502,#303503,#303504)); #58851=EDGE_LOOP('',(#303505,#303506,#303507,#303508,#303509,#303510,#303511, #303512,#303513,#303514,#303515,#303516)); #58852=EDGE_LOOP('',(#303517,#303518,#303519,#303520,#303521,#303522,#303523, #303524,#303525,#303526,#303527,#303528)); #58853=EDGE_LOOP('',(#303529,#303530,#303531,#303532)); #58854=EDGE_LOOP('',(#303533,#303534,#303535,#303536)); #58855=EDGE_LOOP('',(#303537,#303538,#303539,#303540)); #58856=EDGE_LOOP('',(#303541,#303542,#303543,#303544)); #58857=EDGE_LOOP('',(#303545,#303546,#303547,#303548)); #58858=EDGE_LOOP('',(#303549,#303550,#303551,#303552)); #58859=EDGE_LOOP('',(#303553,#303554,#303555,#303556)); #58860=EDGE_LOOP('',(#303557,#303558,#303559,#303560)); #58861=EDGE_LOOP('',(#303561,#303562,#303563,#303564)); #58862=EDGE_LOOP('',(#303565,#303566,#303567,#303568)); #58863=EDGE_LOOP('',(#303569,#303570,#303571,#303572)); #58864=EDGE_LOOP('',(#303573,#303574,#303575,#303576)); #58865=EDGE_LOOP('',(#303577,#303578,#303579,#303580,#303581,#303582,#303583, #303584,#303585,#303586,#303587,#303588)); #58866=EDGE_LOOP('',(#303589,#303590,#303591,#303592,#303593,#303594,#303595, #303596,#303597,#303598,#303599,#303600)); #58867=EDGE_LOOP('',(#303601,#303602,#303603,#303604)); #58868=EDGE_LOOP('',(#303605,#303606,#303607,#303608)); #58869=EDGE_LOOP('',(#303609,#303610,#303611,#303612)); #58870=EDGE_LOOP('',(#303613,#303614,#303615,#303616)); #58871=EDGE_LOOP('',(#303617,#303618,#303619,#303620)); #58872=EDGE_LOOP('',(#303621,#303622,#303623,#303624)); #58873=EDGE_LOOP('',(#303625,#303626,#303627,#303628)); #58874=EDGE_LOOP('',(#303629,#303630,#303631,#303632)); #58875=EDGE_LOOP('',(#303633,#303634,#303635,#303636)); #58876=EDGE_LOOP('',(#303637,#303638,#303639,#303640)); #58877=EDGE_LOOP('',(#303641,#303642,#303643,#303644)); #58878=EDGE_LOOP('',(#303645,#303646,#303647,#303648)); #58879=EDGE_LOOP('',(#303649,#303650,#303651,#303652,#303653,#303654,#303655, #303656,#303657,#303658,#303659,#303660)); #58880=EDGE_LOOP('',(#303661,#303662,#303663,#303664,#303665,#303666,#303667, #303668,#303669,#303670,#303671,#303672)); #58881=EDGE_LOOP('',(#303673,#303674,#303675,#303676)); #58882=EDGE_LOOP('',(#303677,#303678,#303679,#303680)); #58883=EDGE_LOOP('',(#303681,#303682,#303683,#303684)); #58884=EDGE_LOOP('',(#303685,#303686,#303687,#303688)); #58885=EDGE_LOOP('',(#303689,#303690,#303691,#303692)); #58886=EDGE_LOOP('',(#303693,#303694,#303695,#303696)); #58887=EDGE_LOOP('',(#303697,#303698,#303699,#303700)); #58888=EDGE_LOOP('',(#303701,#303702,#303703,#303704)); #58889=EDGE_LOOP('',(#303705,#303706,#303707,#303708)); #58890=EDGE_LOOP('',(#303709,#303710,#303711,#303712)); #58891=EDGE_LOOP('',(#303713,#303714,#303715,#303716)); #58892=EDGE_LOOP('',(#303717,#303718,#303719,#303720)); #58893=EDGE_LOOP('',(#303721,#303722,#303723,#303724,#303725,#303726,#303727, #303728,#303729,#303730,#303731,#303732)); #58894=EDGE_LOOP('',(#303733,#303734,#303735,#303736)); #58895=EDGE_LOOP('',(#303737)); #58896=EDGE_LOOP('',(#303738,#303739,#303740,#303741)); #58897=EDGE_LOOP('',(#303742,#303743,#303744,#303745)); #58898=EDGE_LOOP('',(#303746,#303747,#303748,#303749)); #58899=EDGE_LOOP('',(#303750,#303751,#303752,#303753)); #58900=EDGE_LOOP('',(#303754,#303755,#303756,#303757)); #58901=EDGE_LOOP('',(#303758,#303759,#303760,#303761)); #58902=EDGE_LOOP('',(#303762,#303763,#303764,#303765)); #58903=EDGE_LOOP('',(#303766)); #58904=EDGE_LOOP('',(#303767,#303768,#303769,#303770)); #58905=EDGE_LOOP('',(#303771,#303772,#303773,#303774)); #58906=EDGE_LOOP('',(#303775,#303776,#303777,#303778)); #58907=EDGE_LOOP('',(#303779,#303780,#303781,#303782)); #58908=EDGE_LOOP('',(#303783,#303784,#303785,#303786)); #58909=EDGE_LOOP('',(#303787,#303788,#303789,#303790)); #58910=EDGE_LOOP('',(#303791,#303792,#303793,#303794)); #58911=EDGE_LOOP('',(#303795,#303796,#303797,#303798,#303799,#303800,#303801, #303802,#303803,#303804,#303805,#303806)); #58912=EDGE_LOOP('',(#303807,#303808,#303809,#303810)); #58913=EDGE_LOOP('',(#303811,#303812,#303813,#303814)); #58914=EDGE_LOOP('',(#303815,#303816,#303817,#303818)); #58915=EDGE_LOOP('',(#303819,#303820,#303821,#303822)); #58916=EDGE_LOOP('',(#303823,#303824,#303825,#303826)); #58917=EDGE_LOOP('',(#303827,#303828,#303829,#303830)); #58918=EDGE_LOOP('',(#303831,#303832,#303833,#303834)); #58919=EDGE_LOOP('',(#303835,#303836,#303837,#303838)); #58920=EDGE_LOOP('',(#303839,#303840,#303841,#303842)); #58921=EDGE_LOOP('',(#303843,#303844,#303845,#303846)); #58922=EDGE_LOOP('',(#303847,#303848,#303849,#303850)); #58923=EDGE_LOOP('',(#303851,#303852,#303853,#303854)); #58924=EDGE_LOOP('',(#303855,#303856,#303857,#303858,#303859,#303860,#303861, #303862,#303863,#303864,#303865,#303866)); #58925=EDGE_LOOP('',(#303867,#303868,#303869,#303870,#303871,#303872,#303873, #303874,#303875,#303876,#303877,#303878)); #58926=EDGE_LOOP('',(#303879,#303880,#303881,#303882)); #58927=EDGE_LOOP('',(#303883,#303884,#303885,#303886)); #58928=EDGE_LOOP('',(#303887,#303888,#303889,#303890)); #58929=EDGE_LOOP('',(#303891,#303892,#303893,#303894)); #58930=EDGE_LOOP('',(#303895,#303896,#303897,#303898)); #58931=EDGE_LOOP('',(#303899,#303900,#303901,#303902)); #58932=EDGE_LOOP('',(#303903,#303904,#303905,#303906)); #58933=EDGE_LOOP('',(#303907,#303908,#303909,#303910)); #58934=EDGE_LOOP('',(#303911,#303912,#303913,#303914)); #58935=EDGE_LOOP('',(#303915,#303916,#303917,#303918)); #58936=EDGE_LOOP('',(#303919,#303920,#303921,#303922)); #58937=EDGE_LOOP('',(#303923,#303924,#303925,#303926)); #58938=EDGE_LOOP('',(#303927,#303928,#303929,#303930,#303931,#303932,#303933, #303934,#303935,#303936,#303937,#303938)); #58939=EDGE_LOOP('',(#303939,#303940,#303941,#303942,#303943,#303944,#303945, #303946,#303947,#303948,#303949,#303950)); #58940=EDGE_LOOP('',(#303951,#303952,#303953,#303954)); #58941=EDGE_LOOP('',(#303955,#303956,#303957,#303958)); #58942=EDGE_LOOP('',(#303959,#303960,#303961,#303962)); #58943=EDGE_LOOP('',(#303963,#303964,#303965,#303966)); #58944=EDGE_LOOP('',(#303967,#303968,#303969,#303970)); #58945=EDGE_LOOP('',(#303971,#303972,#303973,#303974)); #58946=EDGE_LOOP('',(#303975,#303976,#303977,#303978)); #58947=EDGE_LOOP('',(#303979,#303980,#303981,#303982)); #58948=EDGE_LOOP('',(#303983,#303984,#303985,#303986)); #58949=EDGE_LOOP('',(#303987,#303988,#303989,#303990)); #58950=EDGE_LOOP('',(#303991,#303992,#303993,#303994)); #58951=EDGE_LOOP('',(#303995,#303996,#303997,#303998)); #58952=EDGE_LOOP('',(#303999,#304000,#304001,#304002,#304003,#304004,#304005, #304006,#304007,#304008,#304009,#304010)); #58953=EDGE_LOOP('',(#304011,#304012,#304013,#304014,#304015,#304016,#304017, #304018,#304019,#304020,#304021,#304022)); #58954=EDGE_LOOP('',(#304023,#304024,#304025,#304026)); #58955=EDGE_LOOP('',(#304027,#304028,#304029,#304030)); #58956=EDGE_LOOP('',(#304031,#304032,#304033,#304034)); #58957=EDGE_LOOP('',(#304035,#304036,#304037,#304038)); #58958=EDGE_LOOP('',(#304039,#304040,#304041,#304042)); #58959=EDGE_LOOP('',(#304043,#304044,#304045,#304046)); #58960=EDGE_LOOP('',(#304047,#304048,#304049,#304050)); #58961=EDGE_LOOP('',(#304051,#304052,#304053,#304054)); #58962=EDGE_LOOP('',(#304055,#304056,#304057,#304058)); #58963=EDGE_LOOP('',(#304059,#304060,#304061,#304062)); #58964=EDGE_LOOP('',(#304063,#304064,#304065,#304066)); #58965=EDGE_LOOP('',(#304067,#304068,#304069,#304070)); #58966=EDGE_LOOP('',(#304071,#304072,#304073,#304074,#304075,#304076,#304077, #304078,#304079,#304080,#304081,#304082)); #58967=EDGE_LOOP('',(#304083,#304084,#304085,#304086,#304087,#304088,#304089, #304090,#304091,#304092,#304093,#304094)); #58968=EDGE_LOOP('',(#304095,#304096,#304097,#304098)); #58969=EDGE_LOOP('',(#304099,#304100,#304101,#304102)); #58970=EDGE_LOOP('',(#304103,#304104,#304105,#304106)); #58971=EDGE_LOOP('',(#304107,#304108,#304109,#304110)); #58972=EDGE_LOOP('',(#304111,#304112,#304113,#304114)); #58973=EDGE_LOOP('',(#304115,#304116,#304117,#304118)); #58974=EDGE_LOOP('',(#304119,#304120,#304121,#304122)); #58975=EDGE_LOOP('',(#304123,#304124,#304125,#304126)); #58976=EDGE_LOOP('',(#304127,#304128,#304129,#304130)); #58977=EDGE_LOOP('',(#304131,#304132,#304133,#304134)); #58978=EDGE_LOOP('',(#304135,#304136,#304137,#304138)); #58979=EDGE_LOOP('',(#304139,#304140,#304141,#304142)); #58980=EDGE_LOOP('',(#304143,#304144,#304145,#304146,#304147,#304148,#304149, #304150,#304151,#304152,#304153,#304154)); #58981=EDGE_LOOP('',(#304155,#304156,#304157)); #58982=EDGE_LOOP('',(#304158,#304159,#304160)); #58983=EDGE_LOOP('',(#304161,#304162,#304163)); #58984=EDGE_LOOP('',(#304164,#304165,#304166)); #58985=EDGE_LOOP('',(#304167,#304168,#304169)); #58986=EDGE_LOOP('',(#304170,#304171,#304172,#304173)); #58987=EDGE_LOOP('',(#304174,#304175,#304176)); #58988=EDGE_LOOP('',(#304177,#304178,#304179)); #58989=EDGE_LOOP('',(#304180,#304181,#304182,#304183)); #58990=EDGE_LOOP('',(#304184,#304185,#304186,#304187)); #58991=EDGE_LOOP('',(#304188,#304189,#304190)); #58992=EDGE_LOOP('',(#304191,#304192,#304193,#304194)); #58993=EDGE_LOOP('',(#304195,#304196,#304197,#304198)); #58994=EDGE_LOOP('',(#304199,#304200,#304201,#304202)); #58995=EDGE_LOOP('',(#304203,#304204,#304205,#304206)); #58996=EDGE_LOOP('',(#304207,#304208,#304209,#304210)); #58997=EDGE_LOOP('',(#304211,#304212,#304213,#304214)); #58998=EDGE_LOOP('',(#304215,#304216,#304217,#304218)); #58999=EDGE_LOOP('',(#304219,#304220,#304221,#304222)); #59000=EDGE_LOOP('',(#304223,#304224,#304225,#304226)); #59001=EDGE_LOOP('',(#304227,#304228,#304229,#304230)); #59002=EDGE_LOOP('',(#304231,#304232,#304233,#304234)); #59003=EDGE_LOOP('',(#304235,#304236,#304237,#304238)); #59004=EDGE_LOOP('',(#304239,#304240,#304241,#304242)); #59005=EDGE_LOOP('',(#304243,#304244,#304245,#304246)); #59006=EDGE_LOOP('',(#304247,#304248,#304249,#304250)); #59007=EDGE_LOOP('',(#304251,#304252,#304253)); #59008=EDGE_LOOP('',(#304254,#304255,#304256)); #59009=EDGE_LOOP('',(#304257,#304258,#304259)); #59010=EDGE_LOOP('',(#304260,#304261,#304262)); #59011=EDGE_LOOP('',(#304263,#304264,#304265)); #59012=EDGE_LOOP('',(#304266,#304267,#304268,#304269)); #59013=EDGE_LOOP('',(#304270,#304271,#304272)); #59014=EDGE_LOOP('',(#304273,#304274,#304275)); #59015=EDGE_LOOP('',(#304276,#304277,#304278,#304279)); #59016=EDGE_LOOP('',(#304280,#304281,#304282,#304283)); #59017=EDGE_LOOP('',(#304284,#304285,#304286)); #59018=EDGE_LOOP('',(#304287,#304288,#304289,#304290)); #59019=EDGE_LOOP('',(#304291,#304292,#304293,#304294)); #59020=EDGE_LOOP('',(#304295,#304296,#304297,#304298)); #59021=EDGE_LOOP('',(#304299,#304300,#304301,#304302)); #59022=EDGE_LOOP('',(#304303,#304304,#304305,#304306)); #59023=EDGE_LOOP('',(#304307,#304308,#304309,#304310)); #59024=EDGE_LOOP('',(#304311,#304312,#304313,#304314)); #59025=EDGE_LOOP('',(#304315,#304316,#304317,#304318)); #59026=EDGE_LOOP('',(#304319,#304320,#304321,#304322)); #59027=EDGE_LOOP('',(#304323,#304324,#304325,#304326)); #59028=EDGE_LOOP('',(#304327,#304328,#304329,#304330)); #59029=EDGE_LOOP('',(#304331,#304332,#304333,#304334)); #59030=EDGE_LOOP('',(#304335,#304336,#304337,#304338)); #59031=EDGE_LOOP('',(#304339,#304340,#304341,#304342)); #59032=EDGE_LOOP('',(#304343,#304344,#304345,#304346)); #59033=EDGE_LOOP('',(#304347,#304348,#304349,#304350)); #59034=EDGE_LOOP('',(#304351,#304352,#304353,#304354)); #59035=EDGE_LOOP('',(#304355,#304356,#304357,#304358)); #59036=EDGE_LOOP('',(#304359,#304360,#304361,#304362)); #59037=EDGE_LOOP('',(#304363,#304364,#304365,#304366)); #59038=EDGE_LOOP('',(#304367,#304368,#304369,#304370)); #59039=LINE('',#437974,#96030); #59040=LINE('',#437980,#96031); #59041=LINE('',#437986,#96032); #59042=LINE('',#437992,#96033); #59043=LINE('',#437998,#96034); #59044=LINE('',#438004,#96035); #59045=LINE('',#438010,#96036); #59046=LINE('',#438016,#96037); #59047=LINE('',#438022,#96038); #59048=LINE('',#438028,#96039); #59049=LINE('',#438034,#96040); #59050=LINE('',#438040,#96041); #59051=LINE('',#438046,#96042); #59052=LINE('',#438052,#96043); #59053=LINE('',#438058,#96044); #59054=LINE('',#438064,#96045); #59055=LINE('',#438070,#96046); #59056=LINE('',#438076,#96047); #59057=LINE('',#438082,#96048); #59058=LINE('',#438088,#96049); #59059=LINE('',#438094,#96050); #59060=LINE('',#438100,#96051); #59061=LINE('',#438106,#96052); #59062=LINE('',#438112,#96053); #59063=LINE('',#438118,#96054); #59064=LINE('',#438124,#96055); #59065=LINE('',#438130,#96056); #59066=LINE('',#438136,#96057); #59067=LINE('',#438142,#96058); #59068=LINE('',#438148,#96059); #59069=LINE('',#438154,#96060); #59070=LINE('',#438160,#96061); #59071=LINE('',#438166,#96062); #59072=LINE('',#438172,#96063); #59073=LINE('',#438178,#96064); #59074=LINE('',#438184,#96065); #59075=LINE('',#438190,#96066); #59076=LINE('',#438196,#96067); #59077=LINE('',#438202,#96068); #59078=LINE('',#438208,#96069); #59079=LINE('',#438214,#96070); #59080=LINE('',#438220,#96071); #59081=LINE('',#438226,#96072); #59082=LINE('',#438232,#96073); #59083=LINE('',#438238,#96074); #59084=LINE('',#438244,#96075); #59085=LINE('',#438250,#96076); #59086=LINE('',#438256,#96077); #59087=LINE('',#438262,#96078); #59088=LINE('',#438268,#96079); #59089=LINE('',#438274,#96080); #59090=LINE('',#438280,#96081); #59091=LINE('',#438286,#96082); #59092=LINE('',#438292,#96083); #59093=LINE('',#438298,#96084); #59094=LINE('',#438304,#96085); #59095=LINE('',#438310,#96086); #59096=LINE('',#438316,#96087); #59097=LINE('',#438322,#96088); #59098=LINE('',#438328,#96089); #59099=LINE('',#438334,#96090); #59100=LINE('',#438340,#96091); #59101=LINE('',#438346,#96092); #59102=LINE('',#438352,#96093); #59103=LINE('',#438358,#96094); #59104=LINE('',#438364,#96095); #59105=LINE('',#438370,#96096); #59106=LINE('',#438376,#96097); #59107=LINE('',#438382,#96098); #59108=LINE('',#438388,#96099); #59109=LINE('',#438394,#96100); #59110=LINE('',#438400,#96101); #59111=LINE('',#438406,#96102); #59112=LINE('',#438412,#96103); #59113=LINE('',#438418,#96104); #59114=LINE('',#438424,#96105); #59115=LINE('',#438430,#96106); #59116=LINE('',#438436,#96107); #59117=LINE('',#438442,#96108); #59118=LINE('',#438448,#96109); #59119=LINE('',#438454,#96110); #59120=LINE('',#438460,#96111); #59121=LINE('',#438466,#96112); #59122=LINE('',#438472,#96113); #59123=LINE('',#438478,#96114); #59124=LINE('',#438484,#96115); #59125=LINE('',#438490,#96116); #59126=LINE('',#438496,#96117); #59127=LINE('',#438502,#96118); #59128=LINE('',#438508,#96119); #59129=LINE('',#438514,#96120); #59130=LINE('',#438520,#96121); #59131=LINE('',#438526,#96122); #59132=LINE('',#438532,#96123); #59133=LINE('',#438538,#96124); #59134=LINE('',#438544,#96125); #59135=LINE('',#438550,#96126); #59136=LINE('',#438556,#96127); #59137=LINE('',#438562,#96128); #59138=LINE('',#438568,#96129); #59139=LINE('',#438574,#96130); #59140=LINE('',#438580,#96131); #59141=LINE('',#438586,#96132); #59142=LINE('',#438592,#96133); #59143=LINE('',#438598,#96134); #59144=LINE('',#438604,#96135); #59145=LINE('',#438610,#96136); #59146=LINE('',#438616,#96137); #59147=LINE('',#438622,#96138); #59148=LINE('',#438628,#96139); #59149=LINE('',#438634,#96140); #59150=LINE('',#438640,#96141); #59151=LINE('',#438646,#96142); #59152=LINE('',#438652,#96143); #59153=LINE('',#438658,#96144); #59154=LINE('',#438664,#96145); #59155=LINE('',#438670,#96146); #59156=LINE('',#438676,#96147); #59157=LINE('',#438682,#96148); #59158=LINE('',#438688,#96149); #59159=LINE('',#438694,#96150); #59160=LINE('',#438700,#96151); #59161=LINE('',#438706,#96152); #59162=LINE('',#438712,#96153); #59163=LINE('',#438718,#96154); #59164=LINE('',#438724,#96155); #59165=LINE('',#438730,#96156); #59166=LINE('',#438736,#96157); #59167=LINE('',#438742,#96158); #59168=LINE('',#438748,#96159); #59169=LINE('',#438754,#96160); #59170=LINE('',#438760,#96161); #59171=LINE('',#438766,#96162); #59172=LINE('',#438772,#96163); #59173=LINE('',#438778,#96164); #59174=LINE('',#438784,#96165); #59175=LINE('',#438790,#96166); #59176=LINE('',#438796,#96167); #59177=LINE('',#438802,#96168); #59178=LINE('',#438808,#96169); #59179=LINE('',#438814,#96170); #59180=LINE('',#438820,#96171); #59181=LINE('',#438826,#96172); #59182=LINE('',#438832,#96173); #59183=LINE('',#438838,#96174); #59184=LINE('',#438844,#96175); #59185=LINE('',#438850,#96176); #59186=LINE('',#438856,#96177); #59187=LINE('',#438862,#96178); #59188=LINE('',#438868,#96179); #59189=LINE('',#438874,#96180); #59190=LINE('',#438880,#96181); #59191=LINE('',#438886,#96182); #59192=LINE('',#438892,#96183); #59193=LINE('',#438898,#96184); #59194=LINE('',#438904,#96185); #59195=LINE('',#438910,#96186); #59196=LINE('',#438916,#96187); #59197=LINE('',#438922,#96188); #59198=LINE('',#438928,#96189); #59199=LINE('',#438934,#96190); #59200=LINE('',#438940,#96191); #59201=LINE('',#438946,#96192); #59202=LINE('',#438951,#96193); #59203=LINE('',#438953,#96194); #59204=LINE('',#438955,#96195); #59205=LINE('',#438956,#96196); #59206=LINE('',#438962,#96197); #59207=LINE('',#438965,#96198); #59208=LINE('',#438967,#96199); #59209=LINE('',#438968,#96200); #59210=LINE('',#438976,#96201); #59211=LINE('',#438981,#96202); #59212=LINE('',#438983,#96203); #59213=LINE('',#438985,#96204); #59214=LINE('',#438986,#96205); #59215=LINE('',#438992,#96206); #59216=LINE('',#438995,#96207); #59217=LINE('',#438997,#96208); #59218=LINE('',#438998,#96209); #59219=LINE('',#439006,#96210); #59220=LINE('',#439012,#96211); #59221=LINE('',#439018,#96212); #59222=LINE('',#439023,#96213); #59223=LINE('',#439025,#96214); #59224=LINE('',#439027,#96215); #59225=LINE('',#439028,#96216); #59226=LINE('',#439034,#96217); #59227=LINE('',#439037,#96218); #59228=LINE('',#439039,#96219); #59229=LINE('',#439040,#96220); #59230=LINE('',#439048,#96221); #59231=LINE('',#439054,#96222); #59232=LINE('',#439060,#96223); #59233=LINE('',#439066,#96224); #59234=LINE('',#439072,#96225); #59235=LINE('',#439078,#96226); #59236=LINE('',#439084,#96227); #59237=LINE('',#439090,#96228); #59238=LINE('',#439096,#96229); #59239=LINE('',#439102,#96230); #59240=LINE('',#439107,#96231); #59241=LINE('',#439109,#96232); #59242=LINE('',#439111,#96233); #59243=LINE('',#439112,#96234); #59244=LINE('',#439118,#96235); #59245=LINE('',#439121,#96236); #59246=LINE('',#439123,#96237); #59247=LINE('',#439124,#96238); #59248=LINE('',#439132,#96239); #59249=LINE('',#439137,#96240); #59250=LINE('',#439139,#96241); #59251=LINE('',#439141,#96242); #59252=LINE('',#439142,#96243); #59253=LINE('',#439148,#96244); #59254=LINE('',#439151,#96245); #59255=LINE('',#439153,#96246); #59256=LINE('',#439154,#96247); #59257=LINE('',#439162,#96248); #59258=LINE('',#439167,#96249); #59259=LINE('',#439169,#96250); #59260=LINE('',#439171,#96251); #59261=LINE('',#439172,#96252); #59262=LINE('',#439178,#96253); #59263=LINE('',#439181,#96254); #59264=LINE('',#439183,#96255); #59265=LINE('',#439184,#96256); #59266=LINE('',#439192,#96257); #59267=LINE('',#439198,#96258); #59268=LINE('',#439204,#96259); #59269=LINE('',#439210,#96260); #59270=LINE('',#439216,#96261); #59271=LINE('',#439222,#96262); #59272=LINE('',#439228,#96263); #59273=LINE('',#439234,#96264); #59274=LINE('',#439240,#96265); #59275=LINE('',#439246,#96266); #59276=LINE('',#439252,#96267); #59277=LINE('',#439258,#96268); #59278=LINE('',#439264,#96269); #59279=LINE('',#439270,#96270); #59280=LINE('',#439276,#96271); #59281=LINE('',#439282,#96272); #59282=LINE('',#439288,#96273); #59283=LINE('',#439294,#96274); #59284=LINE('',#439300,#96275); #59285=LINE('',#439306,#96276); #59286=LINE('',#439312,#96277); #59287=LINE('',#439318,#96278); #59288=LINE('',#439324,#96279); #59289=LINE('',#439330,#96280); #59290=LINE('',#439336,#96281); #59291=LINE('',#439342,#96282); #59292=LINE('',#439348,#96283); #59293=LINE('',#439354,#96284); #59294=LINE('',#439360,#96285); #59295=LINE('',#439366,#96286); #59296=LINE('',#439372,#96287); #59297=LINE('',#439378,#96288); #59298=LINE('',#439384,#96289); #59299=LINE('',#439390,#96290); #59300=LINE('',#439396,#96291); #59301=LINE('',#439402,#96292); #59302=LINE('',#439408,#96293); #59303=LINE('',#439414,#96294); #59304=LINE('',#439420,#96295); #59305=LINE('',#439426,#96296); #59306=LINE('',#439432,#96297); #59307=LINE('',#439438,#96298); #59308=LINE('',#439444,#96299); #59309=LINE('',#439450,#96300); #59310=LINE('',#439456,#96301); #59311=LINE('',#439462,#96302); #59312=LINE('',#439468,#96303); #59313=LINE('',#439474,#96304); #59314=LINE('',#439480,#96305); #59315=LINE('',#439486,#96306); #59316=LINE('',#439492,#96307); #59317=LINE('',#439498,#96308); #59318=LINE('',#439504,#96309); #59319=LINE('',#439510,#96310); #59320=LINE('',#439516,#96311); #59321=LINE('',#439522,#96312); #59322=LINE('',#439528,#96313); #59323=LINE('',#439534,#96314); #59324=LINE('',#439540,#96315); #59325=LINE('',#439546,#96316); #59326=LINE('',#439552,#96317); #59327=LINE('',#439558,#96318); #59328=LINE('',#439564,#96319); #59329=LINE('',#439570,#96320); #59330=LINE('',#439576,#96321); #59331=LINE('',#439582,#96322); #59332=LINE('',#439588,#96323); #59333=LINE('',#439594,#96324); #59334=LINE('',#439600,#96325); #59335=LINE('',#439606,#96326); #59336=LINE('',#439612,#96327); #59337=LINE('',#439618,#96328); #59338=LINE('',#439624,#96329); #59339=LINE('',#439630,#96330); #59340=LINE('',#439636,#96331); #59341=LINE('',#439642,#96332); #59342=LINE('',#439648,#96333); #59343=LINE('',#439654,#96334); #59344=LINE('',#439660,#96335); #59345=LINE('',#439666,#96336); #59346=LINE('',#439672,#96337); #59347=LINE('',#439678,#96338); #59348=LINE('',#439684,#96339); #59349=LINE('',#439690,#96340); #59350=LINE('',#439696,#96341); #59351=LINE('',#439702,#96342); #59352=LINE('',#439708,#96343); #59353=LINE('',#439714,#96344); #59354=LINE('',#439720,#96345); #59355=LINE('',#439726,#96346); #59356=LINE('',#439732,#96347); #59357=LINE('',#439738,#96348); #59358=LINE('',#439744,#96349); #59359=LINE('',#439750,#96350); #59360=LINE('',#439756,#96351); #59361=LINE('',#439762,#96352); #59362=LINE('',#439768,#96353); #59363=LINE('',#439774,#96354); #59364=LINE('',#439780,#96355); #59365=LINE('',#439786,#96356); #59366=LINE('',#439792,#96357); #59367=LINE('',#439798,#96358); #59368=LINE('',#439804,#96359); #59369=LINE('',#439810,#96360); #59370=LINE('',#439816,#96361); #59371=LINE('',#439822,#96362); #59372=LINE('',#439828,#96363); #59373=LINE('',#439834,#96364); #59374=LINE('',#439840,#96365); #59375=LINE('',#439846,#96366); #59376=LINE('',#439852,#96367); #59377=LINE('',#439858,#96368); #59378=LINE('',#439864,#96369); #59379=LINE('',#439870,#96370); #59380=LINE('',#439876,#96371); #59381=LINE('',#439882,#96372); #59382=LINE('',#439888,#96373); #59383=LINE('',#439894,#96374); #59384=LINE('',#439900,#96375); #59385=LINE('',#439906,#96376); #59386=LINE('',#439912,#96377); #59387=LINE('',#439918,#96378); #59388=LINE('',#439924,#96379); #59389=LINE('',#439930,#96380); #59390=LINE('',#439936,#96381); #59391=LINE('',#439942,#96382); #59392=LINE('',#439948,#96383); #59393=LINE('',#439954,#96384); #59394=LINE('',#439960,#96385); #59395=LINE('',#439966,#96386); #59396=LINE('',#439972,#96387); #59397=LINE('',#439978,#96388); #59398=LINE('',#439984,#96389); #59399=LINE('',#439992,#96390); #59400=LINE('',#439998,#96391); #59401=LINE('',#440006,#96392); #59402=LINE('',#440012,#96393); #59403=LINE('',#440020,#96394); #59404=LINE('',#440026,#96395); #59405=LINE('',#440034,#96396); #59406=LINE('',#440040,#96397); #59407=LINE('',#440048,#96398); #59408=LINE('',#440054,#96399); #59409=LINE('',#440062,#96400); #59410=LINE('',#440068,#96401); #59411=LINE('',#440076,#96402); #59412=LINE('',#440082,#96403); #59413=LINE('',#440090,#96404); #59414=LINE('',#440096,#96405); #59415=LINE('',#440104,#96406); #59416=LINE('',#440110,#96407); #59417=LINE('',#440118,#96408); #59418=LINE('',#440124,#96409); #59419=LINE('',#440132,#96410); #59420=LINE('',#440138,#96411); #59421=LINE('',#440146,#96412); #59422=LINE('',#440152,#96413); #59423=LINE('',#440160,#96414); #59424=LINE('',#440166,#96415); #59425=LINE('',#440174,#96416); #59426=LINE('',#440180,#96417); #59427=LINE('',#440188,#96418); #59428=LINE('',#440194,#96419); #59429=LINE('',#440202,#96420); #59430=LINE('',#440208,#96421); #59431=LINE('',#440216,#96422); #59432=LINE('',#440222,#96423); #59433=LINE('',#440230,#96424); #59434=LINE('',#440236,#96425); #59435=LINE('',#440244,#96426); #59436=LINE('',#440250,#96427); #59437=LINE('',#440258,#96428); #59438=LINE('',#440264,#96429); #59439=LINE('',#440272,#96430); #59440=LINE('',#440278,#96431); #59441=LINE('',#440286,#96432); #59442=LINE('',#440292,#96433); #59443=LINE('',#440300,#96434); #59444=LINE('',#440306,#96435); #59445=LINE('',#440314,#96436); #59446=LINE('',#440320,#96437); #59447=LINE('',#440328,#96438); #59448=LINE('',#440334,#96439); #59449=LINE('',#440342,#96440); #59450=LINE('',#440348,#96441); #59451=LINE('',#440356,#96442); #59452=LINE('',#440362,#96443); #59453=LINE('',#440370,#96444); #59454=LINE('',#440376,#96445); #59455=LINE('',#440384,#96446); #59456=LINE('',#440390,#96447); #59457=LINE('',#440398,#96448); #59458=LINE('',#440404,#96449); #59459=LINE('',#440412,#96450); #59460=LINE('',#440418,#96451); #59461=LINE('',#440426,#96452); #59462=LINE('',#440432,#96453); #59463=LINE('',#440440,#96454); #59464=LINE('',#440446,#96455); #59465=LINE('',#440454,#96456); #59466=LINE('',#440460,#96457); #59467=LINE('',#440468,#96458); #59468=LINE('',#440474,#96459); #59469=LINE('',#440482,#96460); #59470=LINE('',#440488,#96461); #59471=LINE('',#440496,#96462); #59472=LINE('',#440502,#96463); #59473=LINE('',#440510,#96464); #59474=LINE('',#440516,#96465); #59475=LINE('',#440524,#96466); #59476=LINE('',#440530,#96467); #59477=LINE('',#440538,#96468); #59478=LINE('',#440544,#96469); #59479=LINE('',#440552,#96470); #59480=LINE('',#440558,#96471); #59481=LINE('',#440566,#96472); #59482=LINE('',#440572,#96473); #59483=LINE('',#440580,#96474); #59484=LINE('',#440586,#96475); #59485=LINE('',#440594,#96476); #59486=LINE('',#440600,#96477); #59487=LINE('',#440608,#96478); #59488=LINE('',#440614,#96479); #59489=LINE('',#440622,#96480); #59490=LINE('',#440628,#96481); #59491=LINE('',#440636,#96482); #59492=LINE('',#440642,#96483); #59493=LINE('',#440650,#96484); #59494=LINE('',#440656,#96485); #59495=LINE('',#440664,#96486); #59496=LINE('',#440670,#96487); #59497=LINE('',#440678,#96488); #59498=LINE('',#440684,#96489); #59499=LINE('',#440692,#96490); #59500=LINE('',#440698,#96491); #59501=LINE('',#440706,#96492); #59502=LINE('',#440712,#96493); #59503=LINE('',#440720,#96494); #59504=LINE('',#440726,#96495); #59505=LINE('',#440734,#96496); #59506=LINE('',#440740,#96497); #59507=LINE('',#440748,#96498); #59508=LINE('',#440754,#96499); #59509=LINE('',#440762,#96500); #59510=LINE('',#440768,#96501); #59511=LINE('',#440776,#96502); #59512=LINE('',#440782,#96503); #59513=LINE('',#440790,#96504); #59514=LINE('',#440796,#96505); #59515=LINE('',#440804,#96506); #59516=LINE('',#440810,#96507); #59517=LINE('',#440818,#96508); #59518=LINE('',#440824,#96509); #59519=LINE('',#440832,#96510); #59520=LINE('',#440838,#96511); #59521=LINE('',#440846,#96512); #59522=LINE('',#440852,#96513); #59523=LINE('',#440860,#96514); #59524=LINE('',#440866,#96515); #59525=LINE('',#440874,#96516); #59526=LINE('',#440880,#96517); #59527=LINE('',#440888,#96518); #59528=LINE('',#440894,#96519); #59529=LINE('',#440902,#96520); #59530=LINE('',#440908,#96521); #59531=LINE('',#440916,#96522); #59532=LINE('',#440922,#96523); #59533=LINE('',#440930,#96524); #59534=LINE('',#440936,#96525); #59535=LINE('',#440944,#96526); #59536=LINE('',#440950,#96527); #59537=LINE('',#440958,#96528); #59538=LINE('',#440964,#96529); #59539=LINE('',#440972,#96530); #59540=LINE('',#440978,#96531); #59541=LINE('',#440986,#96532); #59542=LINE('',#440992,#96533); #59543=LINE('',#441000,#96534); #59544=LINE('',#441006,#96535); #59545=LINE('',#441014,#96536); #59546=LINE('',#441020,#96537); #59547=LINE('',#441028,#96538); #59548=LINE('',#441034,#96539); #59549=LINE('',#441042,#96540); #59550=LINE('',#441048,#96541); #59551=LINE('',#441056,#96542); #59552=LINE('',#441062,#96543); #59553=LINE('',#441070,#96544); #59554=LINE('',#441076,#96545); #59555=LINE('',#441084,#96546); #59556=LINE('',#441090,#96547); #59557=LINE('',#441098,#96548); #59558=LINE('',#441104,#96549); #59559=LINE('',#441112,#96550); #59560=LINE('',#441118,#96551); #59561=LINE('',#441126,#96552); #59562=LINE('',#441132,#96553); #59563=LINE('',#441140,#96554); #59564=LINE('',#441146,#96555); #59565=LINE('',#441154,#96556); #59566=LINE('',#441160,#96557); #59567=LINE('',#441168,#96558); #59568=LINE('',#441174,#96559); #59569=LINE('',#441182,#96560); #59570=LINE('',#441188,#96561); #59571=LINE('',#441196,#96562); #59572=LINE('',#441202,#96563); #59573=LINE('',#441210,#96564); #59574=LINE('',#441216,#96565); #59575=LINE('',#441224,#96566); #59576=LINE('',#441230,#96567); #59577=LINE('',#441238,#96568); #59578=LINE('',#441244,#96569); #59579=LINE('',#441252,#96570); #59580=LINE('',#441258,#96571); #59581=LINE('',#441266,#96572); #59582=LINE('',#441272,#96573); #59583=LINE('',#441280,#96574); #59584=LINE('',#441286,#96575); #59585=LINE('',#441294,#96576); #59586=LINE('',#441300,#96577); #59587=LINE('',#441308,#96578); #59588=LINE('',#441314,#96579); #59589=LINE('',#441322,#96580); #59590=LINE('',#441328,#96581); #59591=LINE('',#441336,#96582); #59592=LINE('',#441342,#96583); #59593=LINE('',#441350,#96584); #59594=LINE('',#441356,#96585); #59595=LINE('',#441364,#96586); #59596=LINE('',#441370,#96587); #59597=LINE('',#441378,#96588); #59598=LINE('',#441384,#96589); #59599=LINE('',#441392,#96590); #59600=LINE('',#441398,#96591); #59601=LINE('',#441406,#96592); #59602=LINE('',#441412,#96593); #59603=LINE('',#441420,#96594); #59604=LINE('',#441426,#96595); #59605=LINE('',#441434,#96596); #59606=LINE('',#441440,#96597); #59607=LINE('',#441448,#96598); #59608=LINE('',#441454,#96599); #59609=LINE('',#441462,#96600); #59610=LINE('',#441468,#96601); #59611=LINE('',#441476,#96602); #59612=LINE('',#441482,#96603); #59613=LINE('',#441490,#96604); #59614=LINE('',#441496,#96605); #59615=LINE('',#441504,#96606); #59616=LINE('',#441510,#96607); #59617=LINE('',#441518,#96608); #59618=LINE('',#441524,#96609); #59619=LINE('',#441532,#96610); #59620=LINE('',#441538,#96611); #59621=LINE('',#441546,#96612); #59622=LINE('',#441552,#96613); #59623=LINE('',#441560,#96614); #59624=LINE('',#441566,#96615); #59625=LINE('',#441574,#96616); #59626=LINE('',#441580,#96617); #59627=LINE('',#441588,#96618); #59628=LINE('',#441594,#96619); #59629=LINE('',#441602,#96620); #59630=LINE('',#441608,#96621); #59631=LINE('',#441616,#96622); #59632=LINE('',#441622,#96623); #59633=LINE('',#441630,#96624); #59634=LINE('',#441636,#96625); #59635=LINE('',#441644,#96626); #59636=LINE('',#441650,#96627); #59637=LINE('',#441658,#96628); #59638=LINE('',#441664,#96629); #59639=LINE('',#441672,#96630); #59640=LINE('',#441678,#96631); #59641=LINE('',#441686,#96632); #59642=LINE('',#441692,#96633); #59643=LINE('',#441700,#96634); #59644=LINE('',#441706,#96635); #59645=LINE('',#441714,#96636); #59646=LINE('',#441720,#96637); #59647=LINE('',#441728,#96638); #59648=LINE('',#441734,#96639); #59649=LINE('',#441742,#96640); #59650=LINE('',#441748,#96641); #59651=LINE('',#441756,#96642); #59652=LINE('',#441762,#96643); #59653=LINE('',#441770,#96644); #59654=LINE('',#441776,#96645); #59655=LINE('',#441784,#96646); #59656=LINE('',#441790,#96647); #59657=LINE('',#441798,#96648); #59658=LINE('',#441804,#96649); #59659=LINE('',#441812,#96650); #59660=LINE('',#441818,#96651); #59661=LINE('',#441826,#96652); #59662=LINE('',#441832,#96653); #59663=LINE('',#441839,#96654); #59664=LINE('',#441841,#96655); #59665=LINE('',#441843,#96656); #59666=LINE('',#441844,#96657); #59667=LINE('',#441850,#96658); #59668=LINE('',#441853,#96659); #59669=LINE('',#441855,#96660); #59670=LINE('',#441856,#96661); #59671=LINE('',#441863,#96662); #59672=LINE('',#441865,#96663); #59673=LINE('',#441867,#96664); #59674=LINE('',#441868,#96665); #59675=LINE('',#441874,#96666); #59676=LINE('',#441877,#96667); #59677=LINE('',#441879,#96668); #59678=LINE('',#441880,#96669); #59679=LINE('',#441890,#96670); #59680=LINE('',#441896,#96671); #59681=LINE('',#441903,#96672); #59682=LINE('',#441905,#96673); #59683=LINE('',#441907,#96674); #59684=LINE('',#441908,#96675); #59685=LINE('',#441914,#96676); #59686=LINE('',#441917,#96677); #59687=LINE('',#441919,#96678); #59688=LINE('',#441920,#96679); #59689=LINE('',#441927,#96680); #59690=LINE('',#441929,#96681); #59691=LINE('',#441931,#96682); #59692=LINE('',#441932,#96683); #59693=LINE('',#441938,#96684); #59694=LINE('',#441941,#96685); #59695=LINE('',#441943,#96686); #59696=LINE('',#441944,#96687); #59697=LINE('',#441954,#96688); #59698=LINE('',#441960,#96689); #59699=LINE('',#441967,#96690); #59700=LINE('',#441969,#96691); #59701=LINE('',#441971,#96692); #59702=LINE('',#441972,#96693); #59703=LINE('',#441978,#96694); #59704=LINE('',#441981,#96695); #59705=LINE('',#441983,#96696); #59706=LINE('',#441984,#96697); #59707=LINE('',#441991,#96698); #59708=LINE('',#441993,#96699); #59709=LINE('',#441995,#96700); #59710=LINE('',#441996,#96701); #59711=LINE('',#442002,#96702); #59712=LINE('',#442005,#96703); #59713=LINE('',#442007,#96704); #59714=LINE('',#442008,#96705); #59715=LINE('',#442018,#96706); #59716=LINE('',#442024,#96707); #59717=LINE('',#442032,#96708); #59718=LINE('',#442038,#96709); #59719=LINE('',#442046,#96710); #59720=LINE('',#442052,#96711); #59721=LINE('',#442060,#96712); #59722=LINE('',#442066,#96713); #59723=LINE('',#442074,#96714); #59724=LINE('',#442080,#96715); #59725=LINE('',#442088,#96716); #59726=LINE('',#442094,#96717); #59727=LINE('',#442102,#96718); #59728=LINE('',#442108,#96719); #59729=LINE('',#442116,#96720); #59730=LINE('',#442122,#96721); #59731=LINE('',#442130,#96722); #59732=LINE('',#442136,#96723); #59733=LINE('',#442144,#96724); #59734=LINE('',#442150,#96725); #59735=LINE('',#442157,#96726); #59736=LINE('',#442159,#96727); #59737=LINE('',#442161,#96728); #59738=LINE('',#442162,#96729); #59739=LINE('',#442168,#96730); #59740=LINE('',#442171,#96731); #59741=LINE('',#442173,#96732); #59742=LINE('',#442174,#96733); #59743=LINE('',#442181,#96734); #59744=LINE('',#442183,#96735); #59745=LINE('',#442185,#96736); #59746=LINE('',#442186,#96737); #59747=LINE('',#442192,#96738); #59748=LINE('',#442195,#96739); #59749=LINE('',#442197,#96740); #59750=LINE('',#442198,#96741); #59751=LINE('',#442208,#96742); #59752=LINE('',#442214,#96743); #59753=LINE('',#442222,#96744); #59754=LINE('',#442228,#96745); #59755=LINE('',#442236,#96746); #59756=LINE('',#442242,#96747); #59757=LINE('',#442249,#96748); #59758=LINE('',#442251,#96749); #59759=LINE('',#442253,#96750); #59760=LINE('',#442254,#96751); #59761=LINE('',#442260,#96752); #59762=LINE('',#442263,#96753); #59763=LINE('',#442265,#96754); #59764=LINE('',#442266,#96755); #59765=LINE('',#442273,#96756); #59766=LINE('',#442275,#96757); #59767=LINE('',#442277,#96758); #59768=LINE('',#442278,#96759); #59769=LINE('',#442284,#96760); #59770=LINE('',#442287,#96761); #59771=LINE('',#442289,#96762); #59772=LINE('',#442290,#96763); #59773=LINE('',#442300,#96764); #59774=LINE('',#442306,#96765); #59775=LINE('',#442313,#96766); #59776=LINE('',#442315,#96767); #59777=LINE('',#442317,#96768); #59778=LINE('',#442318,#96769); #59779=LINE('',#442324,#96770); #59780=LINE('',#442327,#96771); #59781=LINE('',#442329,#96772); #59782=LINE('',#442330,#96773); #59783=LINE('',#442337,#96774); #59784=LINE('',#442339,#96775); #59785=LINE('',#442341,#96776); #59786=LINE('',#442342,#96777); #59787=LINE('',#442348,#96778); #59788=LINE('',#442351,#96779); #59789=LINE('',#442353,#96780); #59790=LINE('',#442354,#96781); #59791=LINE('',#442364,#96782); #59792=LINE('',#442370,#96783); #59793=LINE('',#442378,#96784); #59794=LINE('',#442384,#96785); #59795=LINE('',#442392,#96786); #59796=LINE('',#442398,#96787); #59797=LINE('',#442406,#96788); #59798=LINE('',#442412,#96789); #59799=LINE('',#442420,#96790); #59800=LINE('',#442426,#96791); #59801=LINE('',#442434,#96792); #59802=LINE('',#442440,#96793); #59803=LINE('',#442448,#96794); #59804=LINE('',#442454,#96795); #59805=LINE('',#442462,#96796); #59806=LINE('',#442468,#96797); #59807=LINE('',#442476,#96798); #59808=LINE('',#442482,#96799); #59809=LINE('',#442490,#96800); #59810=LINE('',#442496,#96801); #59811=LINE('',#442504,#96802); #59812=LINE('',#442510,#96803); #59813=LINE('',#442518,#96804); #59814=LINE('',#442524,#96805); #59815=LINE('',#442532,#96806); #59816=LINE('',#442538,#96807); #59817=LINE('',#442546,#96808); #59818=LINE('',#442552,#96809); #59819=LINE('',#442560,#96810); #59820=LINE('',#442566,#96811); #59821=LINE('',#442574,#96812); #59822=LINE('',#442580,#96813); #59823=LINE('',#442588,#96814); #59824=LINE('',#442594,#96815); #59825=LINE('',#442602,#96816); #59826=LINE('',#442608,#96817); #59827=LINE('',#442616,#96818); #59828=LINE('',#442622,#96819); #59829=LINE('',#442630,#96820); #59830=LINE('',#442636,#96821); #59831=LINE('',#442644,#96822); #59832=LINE('',#442650,#96823); #59833=LINE('',#442658,#96824); #59834=LINE('',#442664,#96825); #59835=LINE('',#442672,#96826); #59836=LINE('',#442678,#96827); #59837=LINE('',#442686,#96828); #59838=LINE('',#442692,#96829); #59839=LINE('',#442700,#96830); #59840=LINE('',#442706,#96831); #59841=LINE('',#442714,#96832); #59842=LINE('',#442720,#96833); #59843=LINE('',#442728,#96834); #59844=LINE('',#442734,#96835); #59845=LINE('',#442742,#96836); #59846=LINE('',#442748,#96837); #59847=LINE('',#442756,#96838); #59848=LINE('',#442762,#96839); #59849=LINE('',#442770,#96840); #59850=LINE('',#442776,#96841); #59851=LINE('',#442784,#96842); #59852=LINE('',#442790,#96843); #59853=LINE('',#442798,#96844); #59854=LINE('',#442804,#96845); #59855=LINE('',#442812,#96846); #59856=LINE('',#442818,#96847); #59857=LINE('',#442826,#96848); #59858=LINE('',#442832,#96849); #59859=LINE('',#442840,#96850); #59860=LINE('',#442846,#96851); #59861=LINE('',#442854,#96852); #59862=LINE('',#442860,#96853); #59863=LINE('',#442868,#96854); #59864=LINE('',#442874,#96855); #59865=LINE('',#442882,#96856); #59866=LINE('',#442888,#96857); #59867=LINE('',#442896,#96858); #59868=LINE('',#442902,#96859); #59869=LINE('',#442910,#96860); #59870=LINE('',#442916,#96861); #59871=LINE('',#442924,#96862); #59872=LINE('',#442930,#96863); #59873=LINE('',#442938,#96864); #59874=LINE('',#442944,#96865); #59875=LINE('',#442952,#96866); #59876=LINE('',#442958,#96867); #59877=LINE('',#442966,#96868); #59878=LINE('',#442972,#96869); #59879=LINE('',#442980,#96870); #59880=LINE('',#442986,#96871); #59881=LINE('',#442994,#96872); #59882=LINE('',#443000,#96873); #59883=LINE('',#443008,#96874); #59884=LINE('',#443014,#96875); #59885=LINE('',#443022,#96876); #59886=LINE('',#443028,#96877); #59887=LINE('',#443036,#96878); #59888=LINE('',#443042,#96879); #59889=LINE('',#443050,#96880); #59890=LINE('',#443056,#96881); #59891=LINE('',#443064,#96882); #59892=LINE('',#443070,#96883); #59893=LINE('',#443078,#96884); #59894=LINE('',#443084,#96885); #59895=LINE('',#443092,#96886); #59896=LINE('',#443098,#96887); #59897=LINE('',#443106,#96888); #59898=LINE('',#443112,#96889); #59899=LINE('',#443120,#96890); #59900=LINE('',#443126,#96891); #59901=LINE('',#443134,#96892); #59902=LINE('',#443140,#96893); #59903=LINE('',#443148,#96894); #59904=LINE('',#443154,#96895); #59905=LINE('',#443162,#96896); #59906=LINE('',#443168,#96897); #59907=LINE('',#443176,#96898); #59908=LINE('',#443182,#96899); #59909=LINE('',#443190,#96900); #59910=LINE('',#443196,#96901); #59911=LINE('',#443204,#96902); #59912=LINE('',#443210,#96903); #59913=LINE('',#443218,#96904); #59914=LINE('',#443224,#96905); #59915=LINE('',#443232,#96906); #59916=LINE('',#443238,#96907); #59917=LINE('',#443246,#96908); #59918=LINE('',#443252,#96909); #59919=LINE('',#443260,#96910); #59920=LINE('',#443266,#96911); #59921=LINE('',#443274,#96912); #59922=LINE('',#443280,#96913); #59923=LINE('',#443288,#96914); #59924=LINE('',#443294,#96915); #59925=LINE('',#443302,#96916); #59926=LINE('',#443308,#96917); #59927=LINE('',#443316,#96918); #59928=LINE('',#443322,#96919); #59929=LINE('',#443330,#96920); #59930=LINE('',#443336,#96921); #59931=LINE('',#443344,#96922); #59932=LINE('',#443350,#96923); #59933=LINE('',#443358,#96924); #59934=LINE('',#443364,#96925); #59935=LINE('',#443372,#96926); #59936=LINE('',#443378,#96927); #59937=LINE('',#443386,#96928); #59938=LINE('',#443392,#96929); #59939=LINE('',#443400,#96930); #59940=LINE('',#443406,#96931); #59941=LINE('',#443414,#96932); #59942=LINE('',#443420,#96933); #59943=LINE('',#443428,#96934); #59944=LINE('',#443434,#96935); #59945=LINE('',#443442,#96936); #59946=LINE('',#443448,#96937); #59947=LINE('',#443456,#96938); #59948=LINE('',#443462,#96939); #59949=LINE('',#443470,#96940); #59950=LINE('',#443476,#96941); #59951=LINE('',#443484,#96942); #59952=LINE('',#443490,#96943); #59953=LINE('',#443498,#96944); #59954=LINE('',#443504,#96945); #59955=LINE('',#443512,#96946); #59956=LINE('',#443518,#96947); #59957=LINE('',#443526,#96948); #59958=LINE('',#443532,#96949); #59959=LINE('',#443540,#96950); #59960=LINE('',#443546,#96951); #59961=LINE('',#443554,#96952); #59962=LINE('',#443560,#96953); #59963=LINE('',#443568,#96954); #59964=LINE('',#443574,#96955); #59965=LINE('',#443582,#96956); #59966=LINE('',#443588,#96957); #59967=LINE('',#443596,#96958); #59968=LINE('',#443602,#96959); #59969=LINE('',#443610,#96960); #59970=LINE('',#443616,#96961); #59971=LINE('',#443624,#96962); #59972=LINE('',#443630,#96963); #59973=LINE('',#443638,#96964); #59974=LINE('',#443644,#96965); #59975=LINE('',#443652,#96966); #59976=LINE('',#443658,#96967); #59977=LINE('',#443666,#96968); #59978=LINE('',#443672,#96969); #59979=LINE('',#443680,#96970); #59980=LINE('',#443686,#96971); #59981=LINE('',#443694,#96972); #59982=LINE('',#443700,#96973); #59983=LINE('',#443708,#96974); #59984=LINE('',#443714,#96975); #59985=LINE('',#443722,#96976); #59986=LINE('',#443728,#96977); #59987=LINE('',#443736,#96978); #59988=LINE('',#443742,#96979); #59989=LINE('',#443750,#96980); #59990=LINE('',#443756,#96981); #59991=LINE('',#443764,#96982); #59992=LINE('',#443770,#96983); #59993=LINE('',#443778,#96984); #59994=LINE('',#443784,#96985); #59995=LINE('',#443792,#96986); #59996=LINE('',#443798,#96987); #59997=LINE('',#443806,#96988); #59998=LINE('',#443812,#96989); #59999=LINE('',#443820,#96990); #60000=LINE('',#443826,#96991); #60001=LINE('',#443834,#96992); #60002=LINE('',#443840,#96993); #60003=LINE('',#443848,#96994); #60004=LINE('',#443854,#96995); #60005=LINE('',#443862,#96996); #60006=LINE('',#443868,#96997); #60007=LINE('',#443876,#96998); #60008=LINE('',#443882,#96999); #60009=LINE('',#443890,#97000); #60010=LINE('',#443896,#97001); #60011=LINE('',#443904,#97002); #60012=LINE('',#443910,#97003); #60013=LINE('',#443918,#97004); #60014=LINE('',#443924,#97005); #60015=LINE('',#443932,#97006); #60016=LINE('',#443938,#97007); #60017=LINE('',#443946,#97008); #60018=LINE('',#443952,#97009); #60019=LINE('',#443960,#97010); #60020=LINE('',#443966,#97011); #60021=LINE('',#443974,#97012); #60022=LINE('',#443980,#97013); #60023=LINE('',#443988,#97014); #60024=LINE('',#443994,#97015); #60025=LINE('',#444002,#97016); #60026=LINE('',#444008,#97017); #60027=LINE('',#444016,#97018); #60028=LINE('',#444022,#97019); #60029=LINE('',#444030,#97020); #60030=LINE('',#444036,#97021); #60031=LINE('',#444044,#97022); #60032=LINE('',#444050,#97023); #60033=LINE('',#444058,#97024); #60034=LINE('',#444064,#97025); #60035=LINE('',#444072,#97026); #60036=LINE('',#444078,#97027); #60037=LINE('',#444086,#97028); #60038=LINE('',#444092,#97029); #60039=LINE('',#444100,#97030); #60040=LINE('',#444106,#97031); #60041=LINE('',#444114,#97032); #60042=LINE('',#444120,#97033); #60043=LINE('',#444128,#97034); #60044=LINE('',#444134,#97035); #60045=LINE('',#444142,#97036); #60046=LINE('',#444148,#97037); #60047=LINE('',#444156,#97038); #60048=LINE('',#444162,#97039); #60049=LINE('',#444170,#97040); #60050=LINE('',#444176,#97041); #60051=LINE('',#444184,#97042); #60052=LINE('',#444190,#97043); #60053=LINE('',#444198,#97044); #60054=LINE('',#444204,#97045); #60055=LINE('',#444212,#97046); #60056=LINE('',#444218,#97047); #60057=LINE('',#444226,#97048); #60058=LINE('',#444232,#97049); #60059=LINE('',#444240,#97050); #60060=LINE('',#444246,#97051); #60061=LINE('',#444254,#97052); #60062=LINE('',#444260,#97053); #60063=LINE('',#444268,#97054); #60064=LINE('',#444274,#97055); #60065=LINE('',#444282,#97056); #60066=LINE('',#444288,#97057); #60067=LINE('',#444296,#97058); #60068=LINE('',#444302,#97059); #60069=LINE('',#444310,#97060); #60070=LINE('',#444316,#97061); #60071=LINE('',#444324,#97062); #60072=LINE('',#444330,#97063); #60073=LINE('',#444338,#97064); #60074=LINE('',#444344,#97065); #60075=LINE('',#444352,#97066); #60076=LINE('',#444358,#97067); #60077=LINE('',#444366,#97068); #60078=LINE('',#444372,#97069); #60079=LINE('',#444380,#97070); #60080=LINE('',#444386,#97071); #60081=LINE('',#444394,#97072); #60082=LINE('',#444400,#97073); #60083=LINE('',#444408,#97074); #60084=LINE('',#444414,#97075); #60085=LINE('',#444422,#97076); #60086=LINE('',#444428,#97077); #60087=LINE('',#444436,#97078); #60088=LINE('',#444442,#97079); #60089=LINE('',#444450,#97080); #60090=LINE('',#444456,#97081); #60091=LINE('',#444464,#97082); #60092=LINE('',#444470,#97083); #60093=LINE('',#444478,#97084); #60094=LINE('',#444484,#97085); #60095=LINE('',#444492,#97086); #60096=LINE('',#444498,#97087); #60097=LINE('',#444506,#97088); #60098=LINE('',#444512,#97089); #60099=LINE('',#444520,#97090); #60100=LINE('',#444526,#97091); #60101=LINE('',#444534,#97092); #60102=LINE('',#444540,#97093); #60103=LINE('',#444548,#97094); #60104=LINE('',#444554,#97095); #60105=LINE('',#444562,#97096); #60106=LINE('',#444568,#97097); #60107=LINE('',#444576,#97098); #60108=LINE('',#444582,#97099); #60109=LINE('',#444590,#97100); #60110=LINE('',#444596,#97101); #60111=LINE('',#444604,#97102); #60112=LINE('',#444610,#97103); #60113=LINE('',#444619,#97104); #60114=LINE('',#444624,#97105); #60115=LINE('',#444626,#97106); #60116=LINE('',#444628,#97107); #60117=LINE('',#444629,#97108); #60118=LINE('',#444635,#97109); #60119=LINE('',#444644,#97110); #60120=LINE('',#444646,#97111); #60121=LINE('',#444648,#97112); #60122=LINE('',#444649,#97113); #60123=LINE('',#444652,#97114); #60124=LINE('',#444654,#97115); #60125=LINE('',#444655,#97116); #60126=LINE('',#444658,#97117); #60127=LINE('',#444660,#97118); #60128=LINE('',#444661,#97119); #60129=LINE('',#444663,#97120); #60130=LINE('',#444664,#97121); #60131=LINE('',#444670,#97122); #60132=LINE('',#444672,#97123); #60133=LINE('',#444674,#97124); #60134=LINE('',#444675,#97125); #60135=LINE('',#444678,#97126); #60136=LINE('',#444680,#97127); #60137=LINE('',#444681,#97128); #60138=LINE('',#444684,#97129); #60139=LINE('',#444686,#97130); #60140=LINE('',#444687,#97131); #60141=LINE('',#444689,#97132); #60142=LINE('',#444690,#97133); #60143=LINE('',#444696,#97134); #60144=LINE('',#444698,#97135); #60145=LINE('',#444700,#97136); #60146=LINE('',#444701,#97137); #60147=LINE('',#444704,#97138); #60148=LINE('',#444706,#97139); #60149=LINE('',#444707,#97140); #60150=LINE('',#444710,#97141); #60151=LINE('',#444712,#97142); #60152=LINE('',#444713,#97143); #60153=LINE('',#444715,#97144); #60154=LINE('',#444716,#97145); #60155=LINE('',#444722,#97146); #60156=LINE('',#444724,#97147); #60157=LINE('',#444726,#97148); #60158=LINE('',#444727,#97149); #60159=LINE('',#444730,#97150); #60160=LINE('',#444732,#97151); #60161=LINE('',#444733,#97152); #60162=LINE('',#444736,#97153); #60163=LINE('',#444738,#97154); #60164=LINE('',#444739,#97155); #60165=LINE('',#444741,#97156); #60166=LINE('',#444742,#97157); #60167=LINE('',#444748,#97158); #60168=LINE('',#444750,#97159); #60169=LINE('',#444752,#97160); #60170=LINE('',#444753,#97161); #60171=LINE('',#444756,#97162); #60172=LINE('',#444758,#97163); #60173=LINE('',#444759,#97164); #60174=LINE('',#444762,#97165); #60175=LINE('',#444764,#97166); #60176=LINE('',#444765,#97167); #60177=LINE('',#444767,#97168); #60178=LINE('',#444768,#97169); #60179=LINE('',#444774,#97170); #60180=LINE('',#444776,#97171); #60181=LINE('',#444778,#97172); #60182=LINE('',#444779,#97173); #60183=LINE('',#444782,#97174); #60184=LINE('',#444784,#97175); #60185=LINE('',#444785,#97176); #60186=LINE('',#444788,#97177); #60187=LINE('',#444790,#97178); #60188=LINE('',#444791,#97179); #60189=LINE('',#444793,#97180); #60190=LINE('',#444794,#97181); #60191=LINE('',#444800,#97182); #60192=LINE('',#444802,#97183); #60193=LINE('',#444804,#97184); #60194=LINE('',#444805,#97185); #60195=LINE('',#444808,#97186); #60196=LINE('',#444810,#97187); #60197=LINE('',#444811,#97188); #60198=LINE('',#444814,#97189); #60199=LINE('',#444816,#97190); #60200=LINE('',#444817,#97191); #60201=LINE('',#444819,#97192); #60202=LINE('',#444820,#97193); #60203=LINE('',#444826,#97194); #60204=LINE('',#444828,#97195); #60205=LINE('',#444830,#97196); #60206=LINE('',#444831,#97197); #60207=LINE('',#444834,#97198); #60208=LINE('',#444836,#97199); #60209=LINE('',#444837,#97200); #60210=LINE('',#444840,#97201); #60211=LINE('',#444842,#97202); #60212=LINE('',#444843,#97203); #60213=LINE('',#444845,#97204); #60214=LINE('',#444846,#97205); #60215=LINE('',#444852,#97206); #60216=LINE('',#444854,#97207); #60217=LINE('',#444856,#97208); #60218=LINE('',#444857,#97209); #60219=LINE('',#444860,#97210); #60220=LINE('',#444862,#97211); #60221=LINE('',#444863,#97212); #60222=LINE('',#444866,#97213); #60223=LINE('',#444868,#97214); #60224=LINE('',#444869,#97215); #60225=LINE('',#444871,#97216); #60226=LINE('',#444872,#97217); #60227=LINE('',#444878,#97218); #60228=LINE('',#444880,#97219); #60229=LINE('',#444882,#97220); #60230=LINE('',#444883,#97221); #60231=LINE('',#444886,#97222); #60232=LINE('',#444888,#97223); #60233=LINE('',#444889,#97224); #60234=LINE('',#444892,#97225); #60235=LINE('',#444894,#97226); #60236=LINE('',#444895,#97227); #60237=LINE('',#444897,#97228); #60238=LINE('',#444898,#97229); #60239=LINE('',#444905,#97230); #60240=LINE('',#444910,#97231); #60241=LINE('',#444912,#97232); #60242=LINE('',#444914,#97233); #60243=LINE('',#444915,#97234); #60244=LINE('',#444921,#97235); #60245=LINE('',#444931,#97236); #60246=LINE('',#444937,#97237); #60247=LINE('',#444942,#97238); #60248=LINE('',#444944,#97239); #60249=LINE('',#444946,#97240); #60250=LINE('',#444947,#97241); #60251=LINE('',#444953,#97242); #60252=LINE('',#444959,#97243); #60253=LINE('',#444962,#97244); #60254=LINE('',#444964,#97245); #60255=LINE('',#444965,#97246); #60256=LINE('',#444971,#97247); #60257=LINE('',#444977,#97248); #60258=LINE('',#444980,#97249); #60259=LINE('',#444982,#97250); #60260=LINE('',#444983,#97251); #60261=LINE('',#444993,#97252); #60262=LINE('',#444998,#97253); #60263=LINE('',#445000,#97254); #60264=LINE('',#445002,#97255); #60265=LINE('',#445003,#97256); #60266=LINE('',#445009,#97257); #60267=LINE('',#445019,#97258); #60268=LINE('',#445024,#97259); #60269=LINE('',#445026,#97260); #60270=LINE('',#445028,#97261); #60271=LINE('',#445029,#97262); #60272=LINE('',#445035,#97263); #60273=LINE('',#445045,#97264); #60274=LINE('',#445050,#97265); #60275=LINE('',#445052,#97266); #60276=LINE('',#445054,#97267); #60277=LINE('',#445055,#97268); #60278=LINE('',#445061,#97269); #60279=LINE('',#445064,#97270); #60280=LINE('',#445066,#97271); #60281=LINE('',#445067,#97272); #60282=LINE('',#445073,#97273); #60283=LINE('',#445076,#97274); #60284=LINE('',#445078,#97275); #60285=LINE('',#445079,#97276); #60286=LINE('',#445082,#97277); #60287=LINE('',#445084,#97278); #60288=LINE('',#445085,#97279); #60289=LINE('',#445088,#97280); #60290=LINE('',#445090,#97281); #60291=LINE('',#445091,#97282); #60292=LINE('',#445094,#97283); #60293=LINE('',#445096,#97284); #60294=LINE('',#445097,#97285); #60295=LINE('',#445100,#97286); #60296=LINE('',#445102,#97287); #60297=LINE('',#445103,#97288); #60298=LINE('',#445106,#97289); #60299=LINE('',#445108,#97290); #60300=LINE('',#445109,#97291); #60301=LINE('',#445112,#97292); #60302=LINE('',#445114,#97293); #60303=LINE('',#445115,#97294); #60304=LINE('',#445121,#97295); #60305=LINE('',#445131,#97296); #60306=LINE('',#445136,#97297); #60307=LINE('',#445138,#97298); #60308=LINE('',#445140,#97299); #60309=LINE('',#445141,#97300); #60310=LINE('',#445147,#97301); #60311=LINE('',#445150,#97302); #60312=LINE('',#445152,#97303); #60313=LINE('',#445153,#97304); #60314=LINE('',#445156,#97305); #60315=LINE('',#445158,#97306); #60316=LINE('',#445159,#97307); #60317=LINE('',#445162,#97308); #60318=LINE('',#445164,#97309); #60319=LINE('',#445165,#97310); #60320=LINE('',#445168,#97311); #60321=LINE('',#445170,#97312); #60322=LINE('',#445171,#97313); #60323=LINE('',#445174,#97314); #60324=LINE('',#445176,#97315); #60325=LINE('',#445177,#97316); #60326=LINE('',#445180,#97317); #60327=LINE('',#445182,#97318); #60328=LINE('',#445183,#97319); #60329=LINE('',#445186,#97320); #60330=LINE('',#445188,#97321); #60331=LINE('',#445189,#97322); #60332=LINE('',#445198,#97323); #60333=LINE('',#445200,#97324); #60334=LINE('',#445202,#97325); #60335=LINE('',#445203,#97326); #60336=LINE('',#445206,#97327); #60337=LINE('',#445208,#97328); #60338=LINE('',#445209,#97329); #60339=LINE('',#445212,#97330); #60340=LINE('',#445214,#97331); #60341=LINE('',#445215,#97332); #60342=LINE('',#445218,#97333); #60343=LINE('',#445220,#97334); #60344=LINE('',#445221,#97335); #60345=LINE('',#445224,#97336); #60346=LINE('',#445226,#97337); #60347=LINE('',#445227,#97338); #60348=LINE('',#445230,#97339); #60349=LINE('',#445232,#97340); #60350=LINE('',#445233,#97341); #60351=LINE('',#445236,#97342); #60352=LINE('',#445238,#97343); #60353=LINE('',#445239,#97344); #60354=LINE('',#445242,#97345); #60355=LINE('',#445244,#97346); #60356=LINE('',#445245,#97347); #60357=LINE('',#445251,#97348); #60358=LINE('',#445254,#97349); #60359=LINE('',#445256,#97350); #60360=LINE('',#445257,#97351); #60361=LINE('',#445263,#97352); #60362=LINE('',#445266,#97353); #60363=LINE('',#445268,#97354); #60364=LINE('',#445269,#97355); #60365=LINE('',#445272,#97356); #60366=LINE('',#445274,#97357); #60367=LINE('',#445275,#97358); #60368=LINE('',#445278,#97359); #60369=LINE('',#445280,#97360); #60370=LINE('',#445281,#97361); #60371=LINE('',#445284,#97362); #60372=LINE('',#445286,#97363); #60373=LINE('',#445287,#97364); #60374=LINE('',#445290,#97365); #60375=LINE('',#445292,#97366); #60376=LINE('',#445293,#97367); #60377=LINE('',#445296,#97368); #60378=LINE('',#445298,#97369); #60379=LINE('',#445299,#97370); #60380=LINE('',#445302,#97371); #60381=LINE('',#445304,#97372); #60382=LINE('',#445305,#97373); #60383=LINE('',#445311,#97374); #60384=LINE('',#445313,#97375); #60385=LINE('',#445314,#97376); #60386=LINE('',#445320,#97377); #60387=LINE('',#445322,#97378); #60388=LINE('',#445324,#97379); #60389=LINE('',#445325,#97380); #60390=LINE('',#445328,#97381); #60391=LINE('',#445330,#97382); #60392=LINE('',#445331,#97383); #60393=LINE('',#445334,#97384); #60394=LINE('',#445336,#97385); #60395=LINE('',#445337,#97386); #60396=LINE('',#445340,#97387); #60397=LINE('',#445342,#97388); #60398=LINE('',#445343,#97389); #60399=LINE('',#445346,#97390); #60400=LINE('',#445348,#97391); #60401=LINE('',#445349,#97392); #60402=LINE('',#445355,#97393); #60403=LINE('',#445358,#97394); #60404=LINE('',#445360,#97395); #60405=LINE('',#445361,#97396); #60406=LINE('',#445364,#97397); #60407=LINE('',#445366,#97398); #60408=LINE('',#445367,#97399); #60409=LINE('',#445370,#97400); #60410=LINE('',#445372,#97401); #60411=LINE('',#445373,#97402); #60412=LINE('',#445376,#97403); #60413=LINE('',#445378,#97404); #60414=LINE('',#445379,#97405); #60415=LINE('',#445382,#97406); #60416=LINE('',#445384,#97407); #60417=LINE('',#445385,#97408); #60418=LINE('',#445388,#97409); #60419=LINE('',#445390,#97410); #60420=LINE('',#445391,#97411); #60421=LINE('',#445394,#97412); #60422=LINE('',#445396,#97413); #60423=LINE('',#445397,#97414); #60424=LINE('',#445403,#97415); #60425=LINE('',#445406,#97416); #60426=LINE('',#445408,#97417); #60427=LINE('',#445409,#97418); #60428=LINE('',#445412,#97419); #60429=LINE('',#445414,#97420); #60430=LINE('',#445415,#97421); #60431=LINE('',#445421,#97422); #60432=LINE('',#445424,#97423); #60433=LINE('',#445426,#97424); #60434=LINE('',#445427,#97425); #60435=LINE('',#445430,#97426); #60436=LINE('',#445432,#97427); #60437=LINE('',#445433,#97428); #60438=LINE('',#445436,#97429); #60439=LINE('',#445438,#97430); #60440=LINE('',#445439,#97431); #60441=LINE('',#445442,#97432); #60442=LINE('',#445444,#97433); #60443=LINE('',#445445,#97434); #60444=LINE('',#445448,#97435); #60445=LINE('',#445450,#97436); #60446=LINE('',#445451,#97437); #60447=LINE('',#445454,#97438); #60448=LINE('',#445456,#97439); #60449=LINE('',#445457,#97440); #60450=LINE('',#445460,#97441); #60451=LINE('',#445462,#97442); #60452=LINE('',#445463,#97443); #60453=LINE('',#445469,#97444); #60454=LINE('',#445472,#97445); #60455=LINE('',#445474,#97446); #60456=LINE('',#445475,#97447); #60457=LINE('',#445478,#97448); #60458=LINE('',#445480,#97449); #60459=LINE('',#445481,#97450); #60460=LINE('',#445484,#97451); #60461=LINE('',#445486,#97452); #60462=LINE('',#445487,#97453); #60463=LINE('',#445490,#97454); #60464=LINE('',#445492,#97455); #60465=LINE('',#445493,#97456); #60466=LINE('',#445496,#97457); #60467=LINE('',#445498,#97458); #60468=LINE('',#445499,#97459); #60469=LINE('',#445505,#97460); #60470=LINE('',#445508,#97461); #60471=LINE('',#445510,#97462); #60472=LINE('',#445511,#97463); #60473=LINE('',#445517,#97464); #60474=LINE('',#445520,#97465); #60475=LINE('',#445522,#97466); #60476=LINE('',#445523,#97467); #60477=LINE('',#445526,#97468); #60478=LINE('',#445528,#97469); #60479=LINE('',#445529,#97470); #60480=LINE('',#445531,#97471); #60481=LINE('',#445532,#97472); #60482=LINE('',#445538,#97473); #60483=LINE('',#445540,#97474); #60484=LINE('',#445542,#97475); #60485=LINE('',#445543,#97476); #60486=LINE('',#445546,#97477); #60487=LINE('',#445548,#97478); #60488=LINE('',#445549,#97479); #60489=LINE('',#445552,#97480); #60490=LINE('',#445554,#97481); #60491=LINE('',#445555,#97482); #60492=LINE('',#445558,#97483); #60493=LINE('',#445560,#97484); #60494=LINE('',#445561,#97485); #60495=LINE('',#445564,#97486); #60496=LINE('',#445566,#97487); #60497=LINE('',#445567,#97488); #60498=LINE('',#445570,#97489); #60499=LINE('',#445572,#97490); #60500=LINE('',#445573,#97491); #60501=LINE('',#445579,#97492); #60502=LINE('',#445582,#97493); #60503=LINE('',#445584,#97494); #60504=LINE('',#445585,#97495); #60505=LINE('',#445591,#97496); #60506=LINE('',#445594,#97497); #60507=LINE('',#445596,#97498); #60508=LINE('',#445597,#97499); #60509=LINE('',#445600,#97500); #60510=LINE('',#445602,#97501); #60511=LINE('',#445603,#97502); #60512=LINE('',#445606,#97503); #60513=LINE('',#445608,#97504); #60514=LINE('',#445609,#97505); #60515=LINE('',#445612,#97506); #60516=LINE('',#445614,#97507); #60517=LINE('',#445615,#97508); #60518=LINE('',#445618,#97509); #60519=LINE('',#445620,#97510); #60520=LINE('',#445621,#97511); #60521=LINE('',#445627,#97512); #60522=LINE('',#445630,#97513); #60523=LINE('',#445632,#97514); #60524=LINE('',#445633,#97515); #60525=LINE('',#445636,#97516); #60526=LINE('',#445638,#97517); #60527=LINE('',#445639,#97518); #60528=LINE('',#445642,#97519); #60529=LINE('',#445644,#97520); #60530=LINE('',#445645,#97521); #60531=LINE('',#445648,#97522); #60532=LINE('',#445650,#97523); #60533=LINE('',#445651,#97524); #60534=LINE('',#445654,#97525); #60535=LINE('',#445656,#97526); #60536=LINE('',#445657,#97527); #60537=LINE('',#445660,#97528); #60538=LINE('',#445662,#97529); #60539=LINE('',#445663,#97530); #60540=LINE('',#445666,#97531); #60541=LINE('',#445668,#97532); #60542=LINE('',#445669,#97533); #60543=LINE('',#445675,#97534); #60544=LINE('',#445678,#97535); #60545=LINE('',#445680,#97536); #60546=LINE('',#445681,#97537); #60547=LINE('',#445684,#97538); #60548=LINE('',#445686,#97539); #60549=LINE('',#445687,#97540); #60550=LINE('',#445693,#97541); #60551=LINE('',#445696,#97542); #60552=LINE('',#445698,#97543); #60553=LINE('',#445699,#97544); #60554=LINE('',#445702,#97545); #60555=LINE('',#445704,#97546); #60556=LINE('',#445705,#97547); #60557=LINE('',#445708,#97548); #60558=LINE('',#445710,#97549); #60559=LINE('',#445711,#97550); #60560=LINE('',#445714,#97551); #60561=LINE('',#445716,#97552); #60562=LINE('',#445717,#97553); #60563=LINE('',#445720,#97554); #60564=LINE('',#445722,#97555); #60565=LINE('',#445723,#97556); #60566=LINE('',#445726,#97557); #60567=LINE('',#445728,#97558); #60568=LINE('',#445729,#97559); #60569=LINE('',#445732,#97560); #60570=LINE('',#445734,#97561); #60571=LINE('',#445735,#97562); #60572=LINE('',#445741,#97563); #60573=LINE('',#445744,#97564); #60574=LINE('',#445746,#97565); #60575=LINE('',#445747,#97566); #60576=LINE('',#445749,#97567); #60577=LINE('',#445750,#97568); #60578=LINE('',#445757,#97569); #60579=LINE('',#445762,#97570); #60580=LINE('',#445764,#97571); #60581=LINE('',#445766,#97572); #60582=LINE('',#445767,#97573); #60583=LINE('',#445773,#97574); #60584=LINE('',#445776,#97575); #60585=LINE('',#445778,#97576); #60586=LINE('',#445779,#97577); #60587=LINE('',#445782,#97578); #60588=LINE('',#445784,#97579); #60589=LINE('',#445785,#97580); #60590=LINE('',#445791,#97581); #60591=LINE('',#445794,#97582); #60592=LINE('',#445796,#97583); #60593=LINE('',#445797,#97584); #60594=LINE('',#445800,#97585); #60595=LINE('',#445802,#97586); #60596=LINE('',#445803,#97587); #60597=LINE('',#445809,#97588); #60598=LINE('',#445812,#97589); #60599=LINE('',#445814,#97590); #60600=LINE('',#445815,#97591); #60601=LINE('',#445818,#97592); #60602=LINE('',#445820,#97593); #60603=LINE('',#445821,#97594); #60604=LINE('',#445824,#97595); #60605=LINE('',#445826,#97596); #60606=LINE('',#445827,#97597); #60607=LINE('',#445830,#97598); #60608=LINE('',#445832,#97599); #60609=LINE('',#445833,#97600); #60610=LINE('',#445836,#97601); #60611=LINE('',#445838,#97602); #60612=LINE('',#445839,#97603); #60613=LINE('',#445842,#97604); #60614=LINE('',#445844,#97605); #60615=LINE('',#445845,#97606); #60616=LINE('',#445848,#97607); #60617=LINE('',#445850,#97608); #60618=LINE('',#445851,#97609); #60619=LINE('',#445854,#97610); #60620=LINE('',#445856,#97611); #60621=LINE('',#445857,#97612); #60622=LINE('',#445863,#97613); #60623=LINE('',#445866,#97614); #60624=LINE('',#445868,#97615); #60625=LINE('',#445869,#97616); #60626=LINE('',#445872,#97617); #60627=LINE('',#445874,#97618); #60628=LINE('',#445875,#97619); #60629=LINE('',#445881,#97620); #60630=LINE('',#445884,#97621); #60631=LINE('',#445886,#97622); #60632=LINE('',#445887,#97623); #60633=LINE('',#445897,#97624); #60634=LINE('',#445902,#97625); #60635=LINE('',#445904,#97626); #60636=LINE('',#445906,#97627); #60637=LINE('',#445907,#97628); #60638=LINE('',#445913,#97629); #60639=LINE('',#445916,#97630); #60640=LINE('',#445918,#97631); #60641=LINE('',#445919,#97632); #60642=LINE('',#445922,#97633); #60643=LINE('',#445924,#97634); #60644=LINE('',#445925,#97635); #60645=LINE('',#445931,#97636); #60646=LINE('',#445934,#97637); #60647=LINE('',#445936,#97638); #60648=LINE('',#445937,#97639); #60649=LINE('',#445940,#97640); #60650=LINE('',#445942,#97641); #60651=LINE('',#445943,#97642); #60652=LINE('',#445946,#97643); #60653=LINE('',#445948,#97644); #60654=LINE('',#445949,#97645); #60655=LINE('',#445952,#97646); #60656=LINE('',#445954,#97647); #60657=LINE('',#445955,#97648); #60658=LINE('',#445958,#97649); #60659=LINE('',#445960,#97650); #60660=LINE('',#445961,#97651); #60661=LINE('',#445964,#97652); #60662=LINE('',#445966,#97653); #60663=LINE('',#445967,#97654); #60664=LINE('',#445970,#97655); #60665=LINE('',#445972,#97656); #60666=LINE('',#445973,#97657); #60667=LINE('',#445976,#97658); #60668=LINE('',#445978,#97659); #60669=LINE('',#445979,#97660); #60670=LINE('',#445985,#97661); #60671=LINE('',#445988,#97662); #60672=LINE('',#445990,#97663); #60673=LINE('',#445991,#97664); #60674=LINE('',#446001,#97665); #60675=LINE('',#446006,#97666); #60676=LINE('',#446008,#97667); #60677=LINE('',#446010,#97668); #60678=LINE('',#446011,#97669); #60679=LINE('',#446017,#97670); #60680=LINE('',#446020,#97671); #60681=LINE('',#446022,#97672); #60682=LINE('',#446023,#97673); #60683=LINE('',#446026,#97674); #60684=LINE('',#446028,#97675); #60685=LINE('',#446029,#97676); #60686=LINE('',#446032,#97677); #60687=LINE('',#446034,#97678); #60688=LINE('',#446035,#97679); #60689=LINE('',#446038,#97680); #60690=LINE('',#446040,#97681); #60691=LINE('',#446041,#97682); #60692=LINE('',#446044,#97683); #60693=LINE('',#446046,#97684); #60694=LINE('',#446047,#97685); #60695=LINE('',#446050,#97686); #60696=LINE('',#446052,#97687); #60697=LINE('',#446053,#97688); #60698=LINE('',#446056,#97689); #60699=LINE('',#446058,#97690); #60700=LINE('',#446059,#97691); #60701=LINE('',#446065,#97692); #60702=LINE('',#446068,#97693); #60703=LINE('',#446070,#97694); #60704=LINE('',#446071,#97695); #60705=LINE('',#446081,#97696); #60706=LINE('',#446086,#97697); #60707=LINE('',#446088,#97698); #60708=LINE('',#446090,#97699); #60709=LINE('',#446091,#97700); #60710=LINE('',#446097,#97701); #60711=LINE('',#446100,#97702); #60712=LINE('',#446102,#97703); #60713=LINE('',#446103,#97704); #60714=LINE('',#446109,#97705); #60715=LINE('',#446112,#97706); #60716=LINE('',#446114,#97707); #60717=LINE('',#446115,#97708); #60718=LINE('',#446118,#97709); #60719=LINE('',#446120,#97710); #60720=LINE('',#446121,#97711); #60721=LINE('',#446124,#97712); #60722=LINE('',#446126,#97713); #60723=LINE('',#446127,#97714); #60724=LINE('',#446130,#97715); #60725=LINE('',#446132,#97716); #60726=LINE('',#446133,#97717); #60727=LINE('',#446136,#97718); #60728=LINE('',#446138,#97719); #60729=LINE('',#446139,#97720); #60730=LINE('',#446142,#97721); #60731=LINE('',#446144,#97722); #60732=LINE('',#446145,#97723); #60733=LINE('',#446148,#97724); #60734=LINE('',#446150,#97725); #60735=LINE('',#446151,#97726); #60736=LINE('',#446154,#97727); #60737=LINE('',#446156,#97728); #60738=LINE('',#446157,#97729); #60739=LINE('',#446160,#97730); #60740=LINE('',#446162,#97731); #60741=LINE('',#446163,#97732); #60742=LINE('',#446173,#97733); #60743=LINE('',#446179,#97734); #60744=LINE('',#446184,#97735); #60745=LINE('',#446186,#97736); #60746=LINE('',#446188,#97737); #60747=LINE('',#446189,#97738); #60748=LINE('',#446195,#97739); #60749=LINE('',#446201,#97740); #60750=LINE('',#446204,#97741); #60751=LINE('',#446206,#97742); #60752=LINE('',#446207,#97743); #60753=LINE('',#446213,#97744); #60754=LINE('',#446219,#97745); #60755=LINE('',#446222,#97746); #60756=LINE('',#446224,#97747); #60757=LINE('',#446225,#97748); #60758=LINE('',#446235,#97749); #60759=LINE('',#446240,#97750); #60760=LINE('',#446242,#97751); #60761=LINE('',#446244,#97752); #60762=LINE('',#446245,#97753); #60763=LINE('',#446251,#97754); #60764=LINE('',#446254,#97755); #60765=LINE('',#446256,#97756); #60766=LINE('',#446257,#97757); #60767=LINE('',#446260,#97758); #60768=LINE('',#446262,#97759); #60769=LINE('',#446263,#97760); #60770=LINE('',#446269,#97761); #60771=LINE('',#446272,#97762); #60772=LINE('',#446274,#97763); #60773=LINE('',#446275,#97764); #60774=LINE('',#446278,#97765); #60775=LINE('',#446280,#97766); #60776=LINE('',#446281,#97767); #60777=LINE('',#446284,#97768); #60778=LINE('',#446286,#97769); #60779=LINE('',#446287,#97770); #60780=LINE('',#446290,#97771); #60781=LINE('',#446292,#97772); #60782=LINE('',#446293,#97773); #60783=LINE('',#446296,#97774); #60784=LINE('',#446298,#97775); #60785=LINE('',#446299,#97776); #60786=LINE('',#446302,#97777); #60787=LINE('',#446304,#97778); #60788=LINE('',#446305,#97779); #60789=LINE('',#446308,#97780); #60790=LINE('',#446310,#97781); #60791=LINE('',#446311,#97782); #60792=LINE('',#446314,#97783); #60793=LINE('',#446316,#97784); #60794=LINE('',#446317,#97785); #60795=LINE('',#446323,#97786); #60796=LINE('',#446326,#97787); #60797=LINE('',#446328,#97788); #60798=LINE('',#446329,#97789); #60799=LINE('',#446339,#97790); #60800=LINE('',#446344,#97791); #60801=LINE('',#446346,#97792); #60802=LINE('',#446348,#97793); #60803=LINE('',#446349,#97794); #60804=LINE('',#446355,#97795); #60805=LINE('',#446358,#97796); #60806=LINE('',#446360,#97797); #60807=LINE('',#446361,#97798); #60808=LINE('',#446364,#97799); #60809=LINE('',#446366,#97800); #60810=LINE('',#446367,#97801); #60811=LINE('',#446373,#97802); #60812=LINE('',#446376,#97803); #60813=LINE('',#446378,#97804); #60814=LINE('',#446379,#97805); #60815=LINE('',#446382,#97806); #60816=LINE('',#446384,#97807); #60817=LINE('',#446385,#97808); #60818=LINE('',#446391,#97809); #60819=LINE('',#446394,#97810); #60820=LINE('',#446396,#97811); #60821=LINE('',#446397,#97812); #60822=LINE('',#446400,#97813); #60823=LINE('',#446402,#97814); #60824=LINE('',#446403,#97815); #60825=LINE('',#446406,#97816); #60826=LINE('',#446408,#97817); #60827=LINE('',#446409,#97818); #60828=LINE('',#446412,#97819); #60829=LINE('',#446414,#97820); #60830=LINE('',#446415,#97821); #60831=LINE('',#446418,#97822); #60832=LINE('',#446420,#97823); #60833=LINE('',#446421,#97824); #60834=LINE('',#446424,#97825); #60835=LINE('',#446426,#97826); #60836=LINE('',#446427,#97827); #60837=LINE('',#446430,#97828); #60838=LINE('',#446432,#97829); #60839=LINE('',#446433,#97830); #60840=LINE('',#446436,#97831); #60841=LINE('',#446438,#97832); #60842=LINE('',#446439,#97833); #60843=LINE('',#446445,#97834); #60844=LINE('',#446448,#97835); #60845=LINE('',#446450,#97836); #60846=LINE('',#446451,#97837); #60847=LINE('',#446454,#97838); #60848=LINE('',#446456,#97839); #60849=LINE('',#446457,#97840); #60850=LINE('',#446463,#97841); #60851=LINE('',#446466,#97842); #60852=LINE('',#446468,#97843); #60853=LINE('',#446469,#97844); #60854=LINE('',#446478,#97845); #60855=LINE('',#446480,#97846); #60856=LINE('',#446482,#97847); #60857=LINE('',#446483,#97848); #60858=LINE('',#446486,#97849); #60859=LINE('',#446488,#97850); #60860=LINE('',#446489,#97851); #60861=LINE('',#446492,#97852); #60862=LINE('',#446494,#97853); #60863=LINE('',#446495,#97854); #60864=LINE('',#446498,#97855); #60865=LINE('',#446500,#97856); #60866=LINE('',#446501,#97857); #60867=LINE('',#446507,#97858); #60868=LINE('',#446510,#97859); #60869=LINE('',#446512,#97860); #60870=LINE('',#446513,#97861); #60871=LINE('',#446519,#97862); #60872=LINE('',#446522,#97863); #60873=LINE('',#446524,#97864); #60874=LINE('',#446525,#97865); #60875=LINE('',#446528,#97866); #60876=LINE('',#446530,#97867); #60877=LINE('',#446531,#97868); #60878=LINE('',#446534,#97869); #60879=LINE('',#446536,#97870); #60880=LINE('',#446537,#97871); #60881=LINE('',#446543,#97872); #60882=LINE('',#446546,#97873); #60883=LINE('',#446548,#97874); #60884=LINE('',#446549,#97875); #60885=LINE('',#446552,#97876); #60886=LINE('',#446554,#97877); #60887=LINE('',#446555,#97878); #60888=LINE('',#446558,#97879); #60889=LINE('',#446560,#97880); #60890=LINE('',#446561,#97881); #60891=LINE('',#446564,#97882); #60892=LINE('',#446566,#97883); #60893=LINE('',#446567,#97884); #60894=LINE('',#446570,#97885); #60895=LINE('',#446572,#97886); #60896=LINE('',#446573,#97887); #60897=LINE('',#446576,#97888); #60898=LINE('',#446578,#97889); #60899=LINE('',#446579,#97890); #60900=LINE('',#446582,#97891); #60901=LINE('',#446584,#97892); #60902=LINE('',#446585,#97893); #60903=LINE('',#446591,#97894); #60904=LINE('',#446594,#97895); #60905=LINE('',#446596,#97896); #60906=LINE('',#446597,#97897); #60907=LINE('',#446603,#97898); #60908=LINE('',#446606,#97899); #60909=LINE('',#446608,#97900); #60910=LINE('',#446609,#97901); #60911=LINE('',#446612,#97902); #60912=LINE('',#446614,#97903); #60913=LINE('',#446615,#97904); #60914=LINE('',#446618,#97905); #60915=LINE('',#446620,#97906); #60916=LINE('',#446621,#97907); #60917=LINE('',#446624,#97908); #60918=LINE('',#446626,#97909); #60919=LINE('',#446627,#97910); #60920=LINE('',#446629,#97911); #60921=LINE('',#446630,#97912); #60922=LINE('',#446637,#97913); #60923=LINE('',#446642,#97914); #60924=LINE('',#446644,#97915); #60925=LINE('',#446646,#97916); #60926=LINE('',#446647,#97917); #60927=LINE('',#446653,#97918); #60928=LINE('',#446656,#97919); #60929=LINE('',#446658,#97920); #60930=LINE('',#446659,#97921); #60931=LINE('',#446662,#97922); #60932=LINE('',#446664,#97923); #60933=LINE('',#446665,#97924); #60934=LINE('',#446671,#97925); #60935=LINE('',#446674,#97926); #60936=LINE('',#446676,#97927); #60937=LINE('',#446677,#97928); #60938=LINE('',#446683,#97929); #60939=LINE('',#446686,#97930); #60940=LINE('',#446688,#97931); #60941=LINE('',#446689,#97932); #60942=LINE('',#446695,#97933); #60943=LINE('',#446698,#97934); #60944=LINE('',#446700,#97935); #60945=LINE('',#446701,#97936); #60946=LINE('',#446704,#97937); #60947=LINE('',#446706,#97938); #60948=LINE('',#446707,#97939); #60949=LINE('',#446710,#97940); #60950=LINE('',#446712,#97941); #60951=LINE('',#446713,#97942); #60952=LINE('',#446716,#97943); #60953=LINE('',#446718,#97944); #60954=LINE('',#446719,#97945); #60955=LINE('',#446722,#97946); #60956=LINE('',#446724,#97947); #60957=LINE('',#446725,#97948); #60958=LINE('',#446728,#97949); #60959=LINE('',#446730,#97950); #60960=LINE('',#446731,#97951); #60961=LINE('',#446734,#97952); #60962=LINE('',#446736,#97953); #60963=LINE('',#446737,#97954); #60964=LINE('',#446743,#97955); #60965=LINE('',#446746,#97956); #60966=LINE('',#446748,#97957); #60967=LINE('',#446749,#97958); #60968=LINE('',#446752,#97959); #60969=LINE('',#446754,#97960); #60970=LINE('',#446755,#97961); #60971=LINE('',#446758,#97962); #60972=LINE('',#446760,#97963); #60973=LINE('',#446761,#97964); #60974=LINE('',#446764,#97965); #60975=LINE('',#446766,#97966); #60976=LINE('',#446767,#97967); #60977=LINE('',#446773,#97968); #60978=LINE('',#446776,#97969); #60979=LINE('',#446778,#97970); #60980=LINE('',#446779,#97971); #60981=LINE('',#446789,#97972); #60982=LINE('',#446794,#97973); #60983=LINE('',#446796,#97974); #60984=LINE('',#446798,#97975); #60985=LINE('',#446799,#97976); #60986=LINE('',#446805,#97977); #60987=LINE('',#446808,#97978); #60988=LINE('',#446810,#97979); #60989=LINE('',#446811,#97980); #60990=LINE('',#446814,#97981); #60991=LINE('',#446816,#97982); #60992=LINE('',#446817,#97983); #60993=LINE('',#446820,#97984); #60994=LINE('',#446822,#97985); #60995=LINE('',#446823,#97986); #60996=LINE('',#446826,#97987); #60997=LINE('',#446828,#97988); #60998=LINE('',#446829,#97989); #60999=LINE('',#446832,#97990); #61000=LINE('',#446834,#97991); #61001=LINE('',#446835,#97992); #61002=LINE('',#446838,#97993); #61003=LINE('',#446840,#97994); #61004=LINE('',#446841,#97995); #61005=LINE('',#446844,#97996); #61006=LINE('',#446846,#97997); #61007=LINE('',#446847,#97998); #61008=LINE('',#446853,#97999); #61009=LINE('',#446862,#98000); #61010=LINE('',#446864,#98001); #61011=LINE('',#446866,#98002); #61012=LINE('',#446867,#98003); #61013=LINE('',#446870,#98004); #61014=LINE('',#446872,#98005); #61015=LINE('',#446873,#98006); #61016=LINE('',#446876,#98007); #61017=LINE('',#446878,#98008); #61018=LINE('',#446879,#98009); #61019=LINE('',#446882,#98010); #61020=LINE('',#446884,#98011); #61021=LINE('',#446885,#98012); #61022=LINE('',#446888,#98013); #61023=LINE('',#446890,#98014); #61024=LINE('',#446891,#98015); #61025=LINE('',#446897,#98016); #61026=LINE('',#446900,#98017); #61027=LINE('',#446902,#98018); #61028=LINE('',#446903,#98019); #61029=LINE('',#446906,#98020); #61030=LINE('',#446908,#98021); #61031=LINE('',#446909,#98022); #61032=LINE('',#446912,#98023); #61033=LINE('',#446914,#98024); #61034=LINE('',#446915,#98025); #61035=LINE('',#446921,#98026); #61036=LINE('',#446924,#98027); #61037=LINE('',#446926,#98028); #61038=LINE('',#446927,#98029); #61039=LINE('',#446933,#98030); #61040=LINE('',#446936,#98031); #61041=LINE('',#446938,#98032); #61042=LINE('',#446939,#98033); #61043=LINE('',#446945,#98034); #61044=LINE('',#446948,#98035); #61045=LINE('',#446950,#98036); #61046=LINE('',#446951,#98037); #61047=LINE('',#446954,#98038); #61048=LINE('',#446956,#98039); #61049=LINE('',#446957,#98040); #61050=LINE('',#446963,#98041); #61051=LINE('',#446966,#98042); #61052=LINE('',#446968,#98043); #61053=LINE('',#446969,#98044); #61054=LINE('',#446972,#98045); #61055=LINE('',#446974,#98046); #61056=LINE('',#446975,#98047); #61057=LINE('',#446978,#98048); #61058=LINE('',#446980,#98049); #61059=LINE('',#446981,#98050); #61060=LINE('',#446984,#98051); #61061=LINE('',#446986,#98052); #61062=LINE('',#446987,#98053); #61063=LINE('',#446990,#98054); #61064=LINE('',#446992,#98055); #61065=LINE('',#446993,#98056); #61066=LINE('',#446996,#98057); #61067=LINE('',#446998,#98058); #61068=LINE('',#446999,#98059); #61069=LINE('',#447002,#98060); #61070=LINE('',#447004,#98061); #61071=LINE('',#447005,#98062); #61072=LINE('',#447011,#98063); #61073=LINE('',#447014,#98064); #61074=LINE('',#447016,#98065); #61075=LINE('',#447017,#98066); #61076=LINE('',#447020,#98067); #61077=LINE('',#447022,#98068); #61078=LINE('',#447023,#98069); #61079=LINE('',#447029,#98070); #61080=LINE('',#447032,#98071); #61081=LINE('',#447034,#98072); #61082=LINE('',#447035,#98073); #61083=LINE('',#447038,#98074); #61084=LINE('',#447040,#98075); #61085=LINE('',#447041,#98076); #61086=LINE('',#447044,#98077); #61087=LINE('',#447046,#98078); #61088=LINE('',#447047,#98079); #61089=LINE('',#447050,#98080); #61090=LINE('',#447052,#98081); #61091=LINE('',#447053,#98082); #61092=LINE('',#447059,#98083); #61093=LINE('',#447062,#98084); #61094=LINE('',#447064,#98085); #61095=LINE('',#447065,#98086); #61096=LINE('',#447071,#98087); #61097=LINE('',#447074,#98088); #61098=LINE('',#447076,#98089); #61099=LINE('',#447077,#98090); #61100=LINE('',#447080,#98091); #61101=LINE('',#447082,#98092); #61102=LINE('',#447083,#98093); #61103=LINE('',#447085,#98094); #61104=LINE('',#447086,#98095); #61105=LINE('',#447092,#98096); #61106=LINE('',#447094,#98097); #61107=LINE('',#447096,#98098); #61108=LINE('',#447097,#98099); #61109=LINE('',#447100,#98100); #61110=LINE('',#447102,#98101); #61111=LINE('',#447103,#98102); #61112=LINE('',#447106,#98103); #61113=LINE('',#447108,#98104); #61114=LINE('',#447109,#98105); #61115=LINE('',#447112,#98106); #61116=LINE('',#447114,#98107); #61117=LINE('',#447115,#98108); #61118=LINE('',#447118,#98109); #61119=LINE('',#447120,#98110); #61120=LINE('',#447121,#98111); #61121=LINE('',#447124,#98112); #61122=LINE('',#447126,#98113); #61123=LINE('',#447127,#98114); #61124=LINE('',#447133,#98115); #61125=LINE('',#447136,#98116); #61126=LINE('',#447138,#98117); #61127=LINE('',#447139,#98118); #61128=LINE('',#447145,#98119); #61129=LINE('',#447148,#98120); #61130=LINE('',#447150,#98121); #61131=LINE('',#447151,#98122); #61132=LINE('',#447154,#98123); #61133=LINE('',#447156,#98124); #61134=LINE('',#447157,#98125); #61135=LINE('',#447160,#98126); #61136=LINE('',#447162,#98127); #61137=LINE('',#447163,#98128); #61138=LINE('',#447166,#98129); #61139=LINE('',#447168,#98130); #61140=LINE('',#447169,#98131); #61141=LINE('',#447175,#98132); #61142=LINE('',#447178,#98133); #61143=LINE('',#447180,#98134); #61144=LINE('',#447181,#98135); #61145=LINE('',#447184,#98136); #61146=LINE('',#447186,#98137); #61147=LINE('',#447187,#98138); #61148=LINE('',#447193,#98139); #61149=LINE('',#447196,#98140); #61150=LINE('',#447198,#98141); #61151=LINE('',#447199,#98142); #61152=LINE('',#447202,#98143); #61153=LINE('',#447204,#98144); #61154=LINE('',#447205,#98145); #61155=LINE('',#447208,#98146); #61156=LINE('',#447210,#98147); #61157=LINE('',#447211,#98148); #61158=LINE('',#447214,#98149); #61159=LINE('',#447216,#98150); #61160=LINE('',#447217,#98151); #61161=LINE('',#447220,#98152); #61162=LINE('',#447222,#98153); #61163=LINE('',#447223,#98154); #61164=LINE('',#447226,#98155); #61165=LINE('',#447228,#98156); #61166=LINE('',#447229,#98157); #61167=LINE('',#447232,#98158); #61168=LINE('',#447234,#98159); #61169=LINE('',#447235,#98160); #61170=LINE('',#447241,#98161); #61171=LINE('',#447244,#98162); #61172=LINE('',#447246,#98163); #61173=LINE('',#447247,#98164); #61174=LINE('',#447250,#98165); #61175=LINE('',#447252,#98166); #61176=LINE('',#447253,#98167); #61177=LINE('',#447259,#98168); #61178=LINE('',#447262,#98169); #61179=LINE('',#447264,#98170); #61180=LINE('',#447265,#98171); #61181=LINE('',#447271,#98172); #61182=LINE('',#447274,#98173); #61183=LINE('',#447276,#98174); #61184=LINE('',#447277,#98175); #61185=LINE('',#447283,#98176); #61186=LINE('',#447286,#98177); #61187=LINE('',#447288,#98178); #61188=LINE('',#447289,#98179); #61189=LINE('',#447292,#98180); #61190=LINE('',#447294,#98181); #61191=LINE('',#447295,#98182); #61192=LINE('',#447298,#98183); #61193=LINE('',#447300,#98184); #61194=LINE('',#447301,#98185); #61195=LINE('',#447307,#98186); #61196=LINE('',#447310,#98187); #61197=LINE('',#447312,#98188); #61198=LINE('',#447313,#98189); #61199=LINE('',#447315,#98190); #61200=LINE('',#447316,#98191); #61201=LINE('',#447322,#98192); #61202=LINE('',#447324,#98193); #61203=LINE('',#447326,#98194); #61204=LINE('',#447327,#98195); #61205=LINE('',#447330,#98196); #61206=LINE('',#447332,#98197); #61207=LINE('',#447333,#98198); #61208=LINE('',#447336,#98199); #61209=LINE('',#447338,#98200); #61210=LINE('',#447339,#98201); #61211=LINE('',#447342,#98202); #61212=LINE('',#447344,#98203); #61213=LINE('',#447345,#98204); #61214=LINE('',#447351,#98205); #61215=LINE('',#447354,#98206); #61216=LINE('',#447356,#98207); #61217=LINE('',#447357,#98208); #61218=LINE('',#447360,#98209); #61219=LINE('',#447362,#98210); #61220=LINE('',#447363,#98211); #61221=LINE('',#447369,#98212); #61222=LINE('',#447372,#98213); #61223=LINE('',#447374,#98214); #61224=LINE('',#447375,#98215); #61225=LINE('',#447378,#98216); #61226=LINE('',#447380,#98217); #61227=LINE('',#447381,#98218); #61228=LINE('',#447384,#98219); #61229=LINE('',#447386,#98220); #61230=LINE('',#447387,#98221); #61231=LINE('',#447390,#98222); #61232=LINE('',#447392,#98223); #61233=LINE('',#447393,#98224); #61234=LINE('',#447396,#98225); #61235=LINE('',#447398,#98226); #61236=LINE('',#447399,#98227); #61237=LINE('',#447402,#98228); #61238=LINE('',#447404,#98229); #61239=LINE('',#447405,#98230); #61240=LINE('',#447408,#98231); #61241=LINE('',#447410,#98232); #61242=LINE('',#447411,#98233); #61243=LINE('',#447417,#98234); #61244=LINE('',#447420,#98235); #61245=LINE('',#447422,#98236); #61246=LINE('',#447423,#98237); #61247=LINE('',#447426,#98238); #61248=LINE('',#447428,#98239); #61249=LINE('',#447429,#98240); #61250=LINE('',#447432,#98241); #61251=LINE('',#447434,#98242); #61252=LINE('',#447435,#98243); #61253=LINE('',#447437,#98244); #61254=LINE('',#447438,#98245); #61255=LINE('',#447445,#98246); #61256=LINE('',#447450,#98247); #61257=LINE('',#447452,#98248); #61258=LINE('',#447454,#98249); #61259=LINE('',#447455,#98250); #61260=LINE('',#447458,#98251); #61261=LINE('',#447460,#98252); #61262=LINE('',#447461,#98253); #61263=LINE('',#447467,#98254); #61264=LINE('',#447470,#98255); #61265=LINE('',#447472,#98256); #61266=LINE('',#447473,#98257); #61267=LINE('',#447476,#98258); #61268=LINE('',#447478,#98259); #61269=LINE('',#447479,#98260); #61270=LINE('',#447482,#98261); #61271=LINE('',#447484,#98262); #61272=LINE('',#447485,#98263); #61273=LINE('',#447491,#98264); #61274=LINE('',#447494,#98265); #61275=LINE('',#447496,#98266); #61276=LINE('',#447497,#98267); #61277=LINE('',#447500,#98268); #61278=LINE('',#447502,#98269); #61279=LINE('',#447503,#98270); #61280=LINE('',#447509,#98271); #61281=LINE('',#447515,#98272); #61282=LINE('',#447518,#98273); #61283=LINE('',#447520,#98274); #61284=LINE('',#447521,#98275); #61285=LINE('',#447527,#98276); #61286=LINE('',#447530,#98277); #61287=LINE('',#447532,#98278); #61288=LINE('',#447533,#98279); #61289=LINE('',#447536,#98280); #61290=LINE('',#447538,#98281); #61291=LINE('',#447539,#98282); #61292=LINE('',#447545,#98283); #61293=LINE('',#447548,#98284); #61294=LINE('',#447550,#98285); #61295=LINE('',#447551,#98286); #61296=LINE('',#447554,#98287); #61297=LINE('',#447556,#98288); #61298=LINE('',#447557,#98289); #61299=LINE('',#447560,#98290); #61300=LINE('',#447562,#98291); #61301=LINE('',#447563,#98292); #61302=LINE('',#447566,#98293); #61303=LINE('',#447568,#98294); #61304=LINE('',#447569,#98295); #61305=LINE('',#447571,#98296); #61306=LINE('',#447572,#98297); #61307=LINE('',#447579,#98298); #61308=LINE('',#447584,#98299); #61309=LINE('',#447586,#98300); #61310=LINE('',#447588,#98301); #61311=LINE('',#447589,#98302); #61312=LINE('',#447595,#98303); #61313=LINE('',#447598,#98304); #61314=LINE('',#447600,#98305); #61315=LINE('',#447601,#98306); #61316=LINE('',#447604,#98307); #61317=LINE('',#447606,#98308); #61318=LINE('',#447607,#98309); #61319=LINE('',#447613,#98310); #61320=LINE('',#447616,#98311); #61321=LINE('',#447618,#98312); #61322=LINE('',#447619,#98313); #61323=LINE('',#447622,#98314); #61324=LINE('',#447624,#98315); #61325=LINE('',#447625,#98316); #61326=LINE('',#447628,#98317); #61327=LINE('',#447630,#98318); #61328=LINE('',#447631,#98319); #61329=LINE('',#447634,#98320); #61330=LINE('',#447636,#98321); #61331=LINE('',#447637,#98322); #61332=LINE('',#447640,#98323); #61333=LINE('',#447642,#98324); #61334=LINE('',#447643,#98325); #61335=LINE('',#447646,#98326); #61336=LINE('',#447648,#98327); #61337=LINE('',#447649,#98328); #61338=LINE('',#447652,#98329); #61339=LINE('',#447654,#98330); #61340=LINE('',#447655,#98331); #61341=LINE('',#447658,#98332); #61342=LINE('',#447660,#98333); #61343=LINE('',#447661,#98334); #61344=LINE('',#447667,#98335); #61345=LINE('',#447670,#98336); #61346=LINE('',#447672,#98337); #61347=LINE('',#447673,#98338); #61348=LINE('',#447683,#98339); #61349=LINE('',#447688,#98340); #61350=LINE('',#447690,#98341); #61351=LINE('',#447692,#98342); #61352=LINE('',#447693,#98343); #61353=LINE('',#447699,#98344); #61354=LINE('',#447705,#98345); #61355=LINE('',#447708,#98346); #61356=LINE('',#447710,#98347); #61357=LINE('',#447711,#98348); #61358=LINE('',#447714,#98349); #61359=LINE('',#447716,#98350); #61360=LINE('',#447717,#98351); #61361=LINE('',#447720,#98352); #61362=LINE('',#447722,#98353); #61363=LINE('',#447723,#98354); #61364=LINE('',#447726,#98355); #61365=LINE('',#447728,#98356); #61366=LINE('',#447729,#98357); #61367=LINE('',#447732,#98358); #61368=LINE('',#447734,#98359); #61369=LINE('',#447735,#98360); #61370=LINE('',#447738,#98361); #61371=LINE('',#447740,#98362); #61372=LINE('',#447741,#98363); #61373=LINE('',#447744,#98364); #61374=LINE('',#447746,#98365); #61375=LINE('',#447747,#98366); #61376=LINE('',#447753,#98367); #61377=LINE('',#447756,#98368); #61378=LINE('',#447758,#98369); #61379=LINE('',#447759,#98370); #61380=LINE('',#447769,#98371); #61381=LINE('',#447774,#98372); #61382=LINE('',#447776,#98373); #61383=LINE('',#447778,#98374); #61384=LINE('',#447779,#98375); #61385=LINE('',#447782,#98376); #61386=LINE('',#447784,#98377); #61387=LINE('',#447785,#98378); #61388=LINE('',#447788,#98379); #61389=LINE('',#447790,#98380); #61390=LINE('',#447791,#98381); #61391=LINE('',#447794,#98382); #61392=LINE('',#447796,#98383); #61393=LINE('',#447797,#98384); #61394=LINE('',#447800,#98385); #61395=LINE('',#447802,#98386); #61396=LINE('',#447803,#98387); #61397=LINE('',#447809,#98388); #61398=LINE('',#447812,#98389); #61399=LINE('',#447814,#98390); #61400=LINE('',#447815,#98391); #61401=LINE('',#447818,#98392); #61402=LINE('',#447820,#98393); #61403=LINE('',#447821,#98394); #61404=LINE('',#447827,#98395); #61405=LINE('',#447830,#98396); #61406=LINE('',#447832,#98397); #61407=LINE('',#447833,#98398); #61408=LINE('',#447839,#98399); #61409=LINE('',#447845,#98400); #61410=LINE('',#447848,#98401); #61411=LINE('',#447850,#98402); #61412=LINE('',#447851,#98403); #61413=LINE('',#447854,#98404); #61414=LINE('',#447856,#98405); #61415=LINE('',#447857,#98406); #61416=LINE('',#447863,#98407); #61417=LINE('',#447866,#98408); #61418=LINE('',#447868,#98409); #61419=LINE('',#447869,#98410); #61420=LINE('',#447872,#98411); #61421=LINE('',#447874,#98412); #61422=LINE('',#447875,#98413); #61423=LINE('',#447877,#98414); #61424=LINE('',#447878,#98415); #61425=LINE('',#447885,#98416); #61426=LINE('',#447890,#98417); #61427=LINE('',#447892,#98418); #61428=LINE('',#447894,#98419); #61429=LINE('',#447895,#98420); #61430=LINE('',#447901,#98421); #61431=LINE('',#447904,#98422); #61432=LINE('',#447906,#98423); #61433=LINE('',#447907,#98424); #61434=LINE('',#447913,#98425); #61435=LINE('',#447916,#98426); #61436=LINE('',#447918,#98427); #61437=LINE('',#447919,#98428); #61438=LINE('',#447922,#98429); #61439=LINE('',#447924,#98430); #61440=LINE('',#447925,#98431); #61441=LINE('',#447928,#98432); #61442=LINE('',#447930,#98433); #61443=LINE('',#447931,#98434); #61444=LINE('',#447934,#98435); #61445=LINE('',#447936,#98436); #61446=LINE('',#447937,#98437); #61447=LINE('',#447940,#98438); #61448=LINE('',#447942,#98439); #61449=LINE('',#447943,#98440); #61450=LINE('',#447946,#98441); #61451=LINE('',#447948,#98442); #61452=LINE('',#447949,#98443); #61453=LINE('',#447952,#98444); #61454=LINE('',#447954,#98445); #61455=LINE('',#447955,#98446); #61456=LINE('',#447961,#98447); #61457=LINE('',#447971,#98448); #61458=LINE('',#447976,#98449); #61459=LINE('',#447978,#98450); #61460=LINE('',#447980,#98451); #61461=LINE('',#447981,#98452); #61462=LINE('',#447984,#98453); #61463=LINE('',#447986,#98454); #61464=LINE('',#447987,#98455); #61465=LINE('',#447990,#98456); #61466=LINE('',#447992,#98457); #61467=LINE('',#447993,#98458); #61468=LINE('',#447996,#98459); #61469=LINE('',#447998,#98460); #61470=LINE('',#447999,#98461); #61471=LINE('',#448002,#98462); #61472=LINE('',#448004,#98463); #61473=LINE('',#448005,#98464); #61474=LINE('',#448008,#98465); #61475=LINE('',#448010,#98466); #61476=LINE('',#448011,#98467); #61477=LINE('',#448017,#98468); #61478=LINE('',#448020,#98469); #61479=LINE('',#448022,#98470); #61480=LINE('',#448023,#98471); #61481=LINE('',#448026,#98472); #61482=LINE('',#448028,#98473); #61483=LINE('',#448029,#98474); #61484=LINE('',#448035,#98475); #61485=LINE('',#448041,#98476); #61486=LINE('',#448044,#98477); #61487=LINE('',#448046,#98478); #61488=LINE('',#448047,#98479); #61489=LINE('',#448053,#98480); #61490=LINE('',#448056,#98481); #61491=LINE('',#448058,#98482); #61492=LINE('',#448059,#98483); #61493=LINE('',#448062,#98484); #61494=LINE('',#448064,#98485); #61495=LINE('',#448065,#98486); #61496=LINE('',#448071,#98487); #61497=LINE('',#448074,#98488); #61498=LINE('',#448076,#98489); #61499=LINE('',#448077,#98490); #61500=LINE('',#448079,#98491); #61501=LINE('',#448080,#98492); #61502=LINE('',#448087,#98493); #61503=LINE('',#448092,#98494); #61504=LINE('',#448094,#98495); #61505=LINE('',#448096,#98496); #61506=LINE('',#448097,#98497); #61507=LINE('',#448103,#98498); #61508=LINE('',#448106,#98499); #61509=LINE('',#448108,#98500); #61510=LINE('',#448109,#98501); #61511=LINE('',#448112,#98502); #61512=LINE('',#448114,#98503); #61513=LINE('',#448115,#98504); #61514=LINE('',#448118,#98505); #61515=LINE('',#448120,#98506); #61516=LINE('',#448121,#98507); #61517=LINE('',#448124,#98508); #61518=LINE('',#448126,#98509); #61519=LINE('',#448127,#98510); #61520=LINE('',#448130,#98511); #61521=LINE('',#448132,#98512); #61522=LINE('',#448133,#98513); #61523=LINE('',#448136,#98514); #61524=LINE('',#448138,#98515); #61525=LINE('',#448139,#98516); #61526=LINE('',#448142,#98517); #61527=LINE('',#448144,#98518); #61528=LINE('',#448145,#98519); #61529=LINE('',#448151,#98520); #61530=LINE('',#448161,#98521); #61531=LINE('',#448166,#98522); #61532=LINE('',#448168,#98523); #61533=LINE('',#448170,#98524); #61534=LINE('',#448171,#98525); #61535=LINE('',#448177,#98526); #61536=LINE('',#448183,#98527); #61537=LINE('',#448186,#98528); #61538=LINE('',#448188,#98529); #61539=LINE('',#448189,#98530); #61540=LINE('',#448192,#98531); #61541=LINE('',#448194,#98532); #61542=LINE('',#448195,#98533); #61543=LINE('',#448198,#98534); #61544=LINE('',#448200,#98535); #61545=LINE('',#448201,#98536); #61546=LINE('',#448204,#98537); #61547=LINE('',#448206,#98538); #61548=LINE('',#448207,#98539); #61549=LINE('',#448210,#98540); #61550=LINE('',#448212,#98541); #61551=LINE('',#448213,#98542); #61552=LINE('',#448216,#98543); #61553=LINE('',#448218,#98544); #61554=LINE('',#448219,#98545); #61555=LINE('',#448222,#98546); #61556=LINE('',#448224,#98547); #61557=LINE('',#448225,#98548); #61558=LINE('',#448235,#98549); #61559=LINE('',#448240,#98550); #61560=LINE('',#448242,#98551); #61561=LINE('',#448244,#98552); #61562=LINE('',#448245,#98553); #61563=LINE('',#448248,#98554); #61564=LINE('',#448250,#98555); #61565=LINE('',#448251,#98556); #61566=LINE('',#448254,#98557); #61567=LINE('',#448256,#98558); #61568=LINE('',#448257,#98559); #61569=LINE('',#448260,#98560); #61570=LINE('',#448262,#98561); #61571=LINE('',#448263,#98562); #61572=LINE('',#448266,#98563); #61573=LINE('',#448268,#98564); #61574=LINE('',#448269,#98565); #61575=LINE('',#448275,#98566); #61576=LINE('',#448278,#98567); #61577=LINE('',#448280,#98568); #61578=LINE('',#448281,#98569); #61579=LINE('',#448284,#98570); #61580=LINE('',#448286,#98571); #61581=LINE('',#448287,#98572); #61582=LINE('',#448290,#98573); #61583=LINE('',#448292,#98574); #61584=LINE('',#448293,#98575); #61585=LINE('',#448296,#98576); #61586=LINE('',#448298,#98577); #61587=LINE('',#448299,#98578); #61588=LINE('',#448302,#98579); #61589=LINE('',#448304,#98580); #61590=LINE('',#448305,#98581); #61591=LINE('',#448311,#98582); #61592=LINE('',#448317,#98583); #61593=LINE('',#448320,#98584); #61594=LINE('',#448322,#98585); #61595=LINE('',#448323,#98586); #61596=LINE('',#448329,#98587); #61597=LINE('',#448332,#98588); #61598=LINE('',#448334,#98589); #61599=LINE('',#448335,#98590); #61600=LINE('',#448341,#98591); #61601=LINE('',#448344,#98592); #61602=LINE('',#448346,#98593); #61603=LINE('',#448347,#98594); #61604=LINE('',#448353,#98595); #61605=LINE('',#448356,#98596); #61606=LINE('',#448358,#98597); #61607=LINE('',#448359,#98598); #61608=LINE('',#448365,#98599); #61609=LINE('',#448368,#98600); #61610=LINE('',#448370,#98601); #61611=LINE('',#448371,#98602); #61612=LINE('',#448373,#98603); #61613=LINE('',#448374,#98604); #61614=LINE('',#448381,#98605); #61615=LINE('',#448386,#98606); #61616=LINE('',#448388,#98607); #61617=LINE('',#448390,#98608); #61618=LINE('',#448391,#98609); #61619=LINE('',#448394,#98610); #61620=LINE('',#448396,#98611); #61621=LINE('',#448397,#98612); #61622=LINE('',#448400,#98613); #61623=LINE('',#448402,#98614); #61624=LINE('',#448403,#98615); #61625=LINE('',#448406,#98616); #61626=LINE('',#448408,#98617); #61627=LINE('',#448409,#98618); #61628=LINE('',#448412,#98619); #61629=LINE('',#448414,#98620); #61630=LINE('',#448415,#98621); #61631=LINE('',#448418,#98622); #61632=LINE('',#448420,#98623); #61633=LINE('',#448421,#98624); #61634=LINE('',#448424,#98625); #61635=LINE('',#448426,#98626); #61636=LINE('',#448427,#98627); #61637=LINE('',#448430,#98628); #61638=LINE('',#448432,#98629); #61639=LINE('',#448433,#98630); #61640=LINE('',#448439,#98631); #61641=LINE('',#448445,#98632); #61642=LINE('',#448448,#98633); #61643=LINE('',#448450,#98634); #61644=LINE('',#448451,#98635); #61645=LINE('',#448457,#98636); #61646=LINE('',#448460,#98637); #61647=LINE('',#448462,#98638); #61648=LINE('',#448463,#98639); #61649=LINE('',#448469,#98640); #61650=LINE('',#448472,#98641); #61651=LINE('',#448474,#98642); #61652=LINE('',#448475,#98643); #61653=LINE('',#448481,#98644); #61654=LINE('',#448483,#98645); #61655=LINE('',#448484,#98646); #61656=LINE('',#448491,#98647); #61657=LINE('',#448496,#98648); #61658=LINE('',#448498,#98649); #61659=LINE('',#448500,#98650); #61660=LINE('',#448501,#98651); #61661=LINE('',#448504,#98652); #61662=LINE('',#448506,#98653); #61663=LINE('',#448507,#98654); #61664=LINE('',#448510,#98655); #61665=LINE('',#448512,#98656); #61666=LINE('',#448513,#98657); #61667=LINE('',#448516,#98658); #61668=LINE('',#448518,#98659); #61669=LINE('',#448519,#98660); #61670=LINE('',#448522,#98661); #61671=LINE('',#448524,#98662); #61672=LINE('',#448525,#98663); #61673=LINE('',#448531,#98664); #61674=LINE('',#448534,#98665); #61675=LINE('',#448536,#98666); #61676=LINE('',#448537,#98667); #61677=LINE('',#448543,#98668); #61678=LINE('',#448546,#98669); #61679=LINE('',#448548,#98670); #61680=LINE('',#448549,#98671); #61681=LINE('',#448555,#98672); #61682=LINE('',#448558,#98673); #61683=LINE('',#448560,#98674); #61684=LINE('',#448561,#98675); #61685=LINE('',#448567,#98676); #61686=LINE('',#448570,#98677); #61687=LINE('',#448572,#98678); #61688=LINE('',#448573,#98679); #61689=LINE('',#448579,#98680); #61690=LINE('',#448585,#98681); #61691=LINE('',#448588,#98682); #61692=LINE('',#448590,#98683); #61693=LINE('',#448591,#98684); #61694=LINE('',#448594,#98685); #61695=LINE('',#448596,#98686); #61696=LINE('',#448597,#98687); #61697=LINE('',#448600,#98688); #61698=LINE('',#448602,#98689); #61699=LINE('',#448603,#98690); #61700=LINE('',#448606,#98691); #61701=LINE('',#448608,#98692); #61702=LINE('',#448609,#98693); #61703=LINE('',#448612,#98694); #61704=LINE('',#448614,#98695); #61705=LINE('',#448615,#98696); #61706=LINE('',#448621,#98697); #61707=LINE('',#448624,#98698); #61708=LINE('',#448626,#98699); #61709=LINE('',#448627,#98700); #61710=LINE('',#448629,#98701); #61711=LINE('',#448630,#98702); #61712=LINE('',#448636,#98703); #61713=LINE('',#448638,#98704); #61714=LINE('',#448640,#98705); #61715=LINE('',#448641,#98706); #61716=LINE('',#448644,#98707); #61717=LINE('',#448646,#98708); #61718=LINE('',#448647,#98709); #61719=LINE('',#448650,#98710); #61720=LINE('',#448652,#98711); #61721=LINE('',#448653,#98712); #61722=LINE('',#448656,#98713); #61723=LINE('',#448658,#98714); #61724=LINE('',#448659,#98715); #61725=LINE('',#448665,#98716); #61726=LINE('',#448668,#98717); #61727=LINE('',#448670,#98718); #61728=LINE('',#448671,#98719); #61729=LINE('',#448677,#98720); #61730=LINE('',#448680,#98721); #61731=LINE('',#448682,#98722); #61732=LINE('',#448683,#98723); #61733=LINE('',#448686,#98724); #61734=LINE('',#448688,#98725); #61735=LINE('',#448689,#98726); #61736=LINE('',#448692,#98727); #61737=LINE('',#448694,#98728); #61738=LINE('',#448695,#98729); #61739=LINE('',#448698,#98730); #61740=LINE('',#448700,#98731); #61741=LINE('',#448701,#98732); #61742=LINE('',#448704,#98733); #61743=LINE('',#448706,#98734); #61744=LINE('',#448707,#98735); #61745=LINE('',#448710,#98736); #61746=LINE('',#448712,#98737); #61747=LINE('',#448713,#98738); #61748=LINE('',#448716,#98739); #61749=LINE('',#448718,#98740); #61750=LINE('',#448719,#98741); #61751=LINE('',#448722,#98742); #61752=LINE('',#448724,#98743); #61753=LINE('',#448725,#98744); #61754=LINE('',#448728,#98745); #61755=LINE('',#448730,#98746); #61756=LINE('',#448731,#98747); #61757=LINE('',#448737,#98748); #61758=LINE('',#448740,#98749); #61759=LINE('',#448742,#98750); #61760=LINE('',#448743,#98751); #61761=LINE('',#448749,#98752); #61762=LINE('',#448752,#98753); #61763=LINE('',#448754,#98754); #61764=LINE('',#448755,#98755); #61765=LINE('',#448758,#98756); #61766=LINE('',#448760,#98757); #61767=LINE('',#448761,#98758); #61768=LINE('',#448764,#98759); #61769=LINE('',#448766,#98760); #61770=LINE('',#448767,#98761); #61771=LINE('',#448770,#98762); #61772=LINE('',#448772,#98763); #61773=LINE('',#448773,#98764); #61774=LINE('',#448775,#98765); #61775=LINE('',#448776,#98766); #61776=LINE('',#448783,#98767); #61777=LINE('',#448788,#98768); #61778=LINE('',#448790,#98769); #61779=LINE('',#448792,#98770); #61780=LINE('',#448793,#98771); #61781=LINE('',#448796,#98772); #61782=LINE('',#448798,#98773); #61783=LINE('',#448799,#98774); #61784=LINE('',#448802,#98775); #61785=LINE('',#448804,#98776); #61786=LINE('',#448805,#98777); #61787=LINE('',#448807,#98778); #61788=LINE('',#448808,#98779); #61789=LINE('',#448815,#98780); #61790=LINE('',#448820,#98781); #61791=LINE('',#448822,#98782); #61792=LINE('',#448824,#98783); #61793=LINE('',#448825,#98784); #61794=LINE('',#448831,#98785); #61795=LINE('',#448834,#98786); #61796=LINE('',#448836,#98787); #61797=LINE('',#448837,#98788); #61798=LINE('',#448843,#98789); #61799=LINE('',#448846,#98790); #61800=LINE('',#448848,#98791); #61801=LINE('',#448849,#98792); #61802=LINE('',#448852,#98793); #61803=LINE('',#448854,#98794); #61804=LINE('',#448855,#98795); #61805=LINE('',#448858,#98796); #61806=LINE('',#448860,#98797); #61807=LINE('',#448861,#98798); #61808=LINE('',#448864,#98799); #61809=LINE('',#448866,#98800); #61810=LINE('',#448867,#98801); #61811=LINE('',#448873,#98802); #61812=LINE('',#448876,#98803); #61813=LINE('',#448878,#98804); #61814=LINE('',#448879,#98805); #61815=LINE('',#448882,#98806); #61816=LINE('',#448884,#98807); #61817=LINE('',#448885,#98808); #61818=LINE('',#448888,#98809); #61819=LINE('',#448890,#98810); #61820=LINE('',#448891,#98811); #61821=LINE('',#448894,#98812); #61822=LINE('',#448896,#98813); #61823=LINE('',#448897,#98814); #61824=LINE('',#448900,#98815); #61825=LINE('',#448902,#98816); #61826=LINE('',#448903,#98817); #61827=LINE('',#448906,#98818); #61828=LINE('',#448908,#98819); #61829=LINE('',#448909,#98820); #61830=LINE('',#448912,#98821); #61831=LINE('',#448914,#98822); #61832=LINE('',#448915,#98823); #61833=LINE('',#448921,#98824); #61834=LINE('',#448924,#98825); #61835=LINE('',#448926,#98826); #61836=LINE('',#448927,#98827); #61837=LINE('',#448933,#98828); #61838=LINE('',#448936,#98829); #61839=LINE('',#448938,#98830); #61840=LINE('',#448939,#98831); #61841=LINE('',#448945,#98832); #61842=LINE('',#448948,#98833); #61843=LINE('',#448950,#98834); #61844=LINE('',#448951,#98835); #61845=LINE('',#448954,#98836); #61846=LINE('',#448956,#98837); #61847=LINE('',#448957,#98838); #61848=LINE('',#448967,#98839); #61849=LINE('',#448972,#98840); #61850=LINE('',#448974,#98841); #61851=LINE('',#448976,#98842); #61852=LINE('',#448977,#98843); #61853=LINE('',#448980,#98844); #61854=LINE('',#448982,#98845); #61855=LINE('',#448983,#98846); #61856=LINE('',#448986,#98847); #61857=LINE('',#448988,#98848); #61858=LINE('',#448989,#98849); #61859=LINE('',#448992,#98850); #61860=LINE('',#448994,#98851); #61861=LINE('',#448995,#98852); #61862=LINE('',#448998,#98853); #61863=LINE('',#449000,#98854); #61864=LINE('',#449001,#98855); #61865=LINE('',#449004,#98856); #61866=LINE('',#449006,#98857); #61867=LINE('',#449007,#98858); #61868=LINE('',#449010,#98859); #61869=LINE('',#449012,#98860); #61870=LINE('',#449013,#98861); #61871=LINE('',#449019,#98862); #61872=LINE('',#449022,#98863); #61873=LINE('',#449024,#98864); #61874=LINE('',#449025,#98865); #61875=LINE('',#449031,#98866); #61876=LINE('',#449037,#98867); #61877=LINE('',#449040,#98868); #61878=LINE('',#449042,#98869); #61879=LINE('',#449043,#98870); #61880=LINE('',#449046,#98871); #61881=LINE('',#449048,#98872); #61882=LINE('',#449049,#98873); #61883=LINE('',#449055,#98874); #61884=LINE('',#449057,#98875); #61885=LINE('',#449058,#98876); #61886=LINE('',#449065,#98877); #61887=LINE('',#449070,#98878); #61888=LINE('',#449072,#98879); #61889=LINE('',#449074,#98880); #61890=LINE('',#449075,#98881); #61891=LINE('',#449078,#98882); #61892=LINE('',#449080,#98883); #61893=LINE('',#449081,#98884); #61894=LINE('',#449084,#98885); #61895=LINE('',#449086,#98886); #61896=LINE('',#449087,#98887); #61897=LINE('',#449090,#98888); #61898=LINE('',#449092,#98889); #61899=LINE('',#449093,#98890); #61900=LINE('',#449096,#98891); #61901=LINE('',#449098,#98892); #61902=LINE('',#449099,#98893); #61903=LINE('',#449102,#98894); #61904=LINE('',#449104,#98895); #61905=LINE('',#449105,#98896); #61906=LINE('',#449108,#98897); #61907=LINE('',#449110,#98898); #61908=LINE('',#449111,#98899); #61909=LINE('',#449114,#98900); #61910=LINE('',#449116,#98901); #61911=LINE('',#449117,#98902); #61912=LINE('',#449120,#98903); #61913=LINE('',#449122,#98904); #61914=LINE('',#449123,#98905); #61915=LINE('',#449126,#98906); #61916=LINE('',#449128,#98907); #61917=LINE('',#449129,#98908); #61918=LINE('',#449135,#98909); #61919=LINE('',#449138,#98910); #61920=LINE('',#449140,#98911); #61921=LINE('',#449141,#98912); #61922=LINE('',#449147,#98913); #61923=LINE('',#449150,#98914); #61924=LINE('',#449152,#98915); #61925=LINE('',#449153,#98916); #61926=LINE('',#449156,#98917); #61927=LINE('',#449158,#98918); #61928=LINE('',#449159,#98919); #61929=LINE('',#449162,#98920); #61930=LINE('',#449164,#98921); #61931=LINE('',#449165,#98922); #61932=LINE('',#449171,#98923); #61933=LINE('',#449174,#98924); #61934=LINE('',#449176,#98925); #61935=LINE('',#449177,#98926); #61936=LINE('',#449183,#98927); #61937=LINE('',#449186,#98928); #61938=LINE('',#449188,#98929); #61939=LINE('',#449189,#98930); #61940=LINE('',#449192,#98931); #61941=LINE('',#449194,#98932); #61942=LINE('',#449195,#98933); #61943=LINE('',#449198,#98934); #61944=LINE('',#449200,#98935); #61945=LINE('',#449201,#98936); #61946=LINE('',#449207,#98937); #61947=LINE('',#449213,#98938); #61948=LINE('',#449216,#98939); #61949=LINE('',#449218,#98940); #61950=LINE('',#449219,#98941); #61951=LINE('',#449225,#98942); #61952=LINE('',#449228,#98943); #61953=LINE('',#449230,#98944); #61954=LINE('',#449231,#98945); #61955=LINE('',#449237,#98946); #61956=LINE('',#449240,#98947); #61957=LINE('',#449242,#98948); #61958=LINE('',#449243,#98949); #61959=LINE('',#449246,#98950); #61960=LINE('',#449248,#98951); #61961=LINE('',#449249,#98952); #61962=LINE('',#449252,#98953); #61963=LINE('',#449254,#98954); #61964=LINE('',#449255,#98955); #61965=LINE('',#449261,#98956); #61966=LINE('',#449264,#98957); #61967=LINE('',#449266,#98958); #61968=LINE('',#449267,#98959); #61969=LINE('',#449273,#98960); #61970=LINE('',#449276,#98961); #61971=LINE('',#449278,#98962); #61972=LINE('',#449279,#98963); #61973=LINE('',#449282,#98964); #61974=LINE('',#449284,#98965); #61975=LINE('',#449285,#98966); #61976=LINE('',#449288,#98967); #61977=LINE('',#449290,#98968); #61978=LINE('',#449291,#98969); #61979=LINE('',#449294,#98970); #61980=LINE('',#449296,#98971); #61981=LINE('',#449297,#98972); #61982=LINE('',#449300,#98973); #61983=LINE('',#449302,#98974); #61984=LINE('',#449303,#98975); #61985=LINE('',#449306,#98976); #61986=LINE('',#449308,#98977); #61987=LINE('',#449309,#98978); #61988=LINE('',#449312,#98979); #61989=LINE('',#449314,#98980); #61990=LINE('',#449315,#98981); #61991=LINE('',#449318,#98982); #61992=LINE('',#449320,#98983); #61993=LINE('',#449321,#98984); #61994=LINE('',#449323,#98985); #61995=LINE('',#449324,#98986); #61996=LINE('',#449331,#98987); #61997=LINE('',#449336,#98988); #61998=LINE('',#449338,#98989); #61999=LINE('',#449340,#98990); #62000=LINE('',#449341,#98991); #62001=LINE('',#449344,#98992); #62002=LINE('',#449346,#98993); #62003=LINE('',#449347,#98994); #62004=LINE('',#449350,#98995); #62005=LINE('',#449352,#98996); #62006=LINE('',#449353,#98997); #62007=LINE('',#449356,#98998); #62008=LINE('',#449358,#98999); #62009=LINE('',#449359,#99000); #62010=LINE('',#449362,#99001); #62011=LINE('',#449364,#99002); #62012=LINE('',#449365,#99003); #62013=LINE('',#449371,#99004); #62014=LINE('',#449374,#99005); #62015=LINE('',#449376,#99006); #62016=LINE('',#449377,#99007); #62017=LINE('',#449380,#99008); #62018=LINE('',#449382,#99009); #62019=LINE('',#449383,#99010); #62020=LINE('',#449389,#99011); #62021=LINE('',#449392,#99012); #62022=LINE('',#449394,#99013); #62023=LINE('',#449395,#99014); #62024=LINE('',#449398,#99015); #62025=LINE('',#449400,#99016); #62026=LINE('',#449401,#99017); #62027=LINE('',#449407,#99018); #62028=LINE('',#449413,#99019); #62029=LINE('',#449416,#99020); #62030=LINE('',#449418,#99021); #62031=LINE('',#449419,#99022); #62032=LINE('',#449425,#99023); #62033=LINE('',#449428,#99024); #62034=LINE('',#449430,#99025); #62035=LINE('',#449431,#99026); #62036=LINE('',#449434,#99027); #62037=LINE('',#449436,#99028); #62038=LINE('',#449437,#99029); #62039=LINE('',#449443,#99030); #62040=LINE('',#449446,#99031); #62041=LINE('',#449448,#99032); #62042=LINE('',#449449,#99033); #62043=LINE('',#449452,#99034); #62044=LINE('',#449454,#99035); #62045=LINE('',#449455,#99036); #62046=LINE('',#449457,#99037); #62047=LINE('',#449458,#99038); #62048=LINE('',#449465,#99039); #62049=LINE('',#449470,#99040); #62050=LINE('',#449472,#99041); #62051=LINE('',#449474,#99042); #62052=LINE('',#449475,#99043); #62053=LINE('',#449478,#99044); #62054=LINE('',#449480,#99045); #62055=LINE('',#449481,#99046); #62056=LINE('',#449484,#99047); #62057=LINE('',#449486,#99048); #62058=LINE('',#449487,#99049); #62059=LINE('',#449489,#99050); #62060=LINE('',#449490,#99051); #62061=LINE('',#449497,#99052); #62062=LINE('',#449502,#99053); #62063=LINE('',#449504,#99054); #62064=LINE('',#449506,#99055); #62065=LINE('',#449507,#99056); #62066=LINE('',#449510,#99057); #62067=LINE('',#449512,#99058); #62068=LINE('',#449513,#99059); #62069=LINE('',#449516,#99060); #62070=LINE('',#449518,#99061); #62071=LINE('',#449519,#99062); #62072=LINE('',#449521,#99063); #62073=LINE('',#449522,#99064); #62074=LINE('',#449529,#99065); #62075=LINE('',#449534,#99066); #62076=LINE('',#449536,#99067); #62077=LINE('',#449538,#99068); #62078=LINE('',#449539,#99069); #62079=LINE('',#449545,#99070); #62080=LINE('',#449548,#99071); #62081=LINE('',#449550,#99072); #62082=LINE('',#449551,#99073); #62083=LINE('',#449557,#99074); #62084=LINE('',#449560,#99075); #62085=LINE('',#449562,#99076); #62086=LINE('',#449563,#99077); #62087=LINE('',#449566,#99078); #62088=LINE('',#449568,#99079); #62089=LINE('',#449569,#99080); #62090=LINE('',#449572,#99081); #62091=LINE('',#449574,#99082); #62092=LINE('',#449575,#99083); #62093=LINE('',#449578,#99084); #62094=LINE('',#449580,#99085); #62095=LINE('',#449581,#99086); #62096=LINE('',#449584,#99087); #62097=LINE('',#449586,#99088); #62098=LINE('',#449587,#99089); #62099=LINE('',#449590,#99090); #62100=LINE('',#449592,#99091); #62101=LINE('',#449593,#99092); #62102=LINE('',#449596,#99093); #62103=LINE('',#449598,#99094); #62104=LINE('',#449599,#99095); #62105=LINE('',#449602,#99096); #62106=LINE('',#449604,#99097); #62107=LINE('',#449605,#99098); #62108=LINE('',#449608,#99099); #62109=LINE('',#449610,#99100); #62110=LINE('',#449611,#99101); #62111=LINE('',#449621,#99102); #62112=LINE('',#449626,#99103); #62113=LINE('',#449628,#99104); #62114=LINE('',#449630,#99105); #62115=LINE('',#449631,#99106); #62116=LINE('',#449637,#99107); #62117=LINE('',#449647,#99108); #62118=LINE('',#449653,#99109); #62119=LINE('',#449658,#99110); #62120=LINE('',#449660,#99111); #62121=LINE('',#449662,#99112); #62122=LINE('',#449663,#99113); #62123=LINE('',#449669,#99114); #62124=LINE('',#449672,#99115); #62125=LINE('',#449674,#99116); #62126=LINE('',#449675,#99117); #62127=LINE('',#449681,#99118); #62128=LINE('',#449687,#99119); #62129=LINE('',#449690,#99120); #62130=LINE('',#449692,#99121); #62131=LINE('',#449693,#99122); #62132=LINE('',#449703,#99123); #62133=LINE('',#449708,#99124); #62134=LINE('',#449710,#99125); #62135=LINE('',#449712,#99126); #62136=LINE('',#449713,#99127); #62137=LINE('',#449719,#99128); #62138=LINE('',#449729,#99129); #62139=LINE('',#449734,#99130); #62140=LINE('',#449736,#99131); #62141=LINE('',#449738,#99132); #62142=LINE('',#449739,#99133); #62143=LINE('',#449742,#99134); #62144=LINE('',#449744,#99135); #62145=LINE('',#449745,#99136); #62146=LINE('',#449748,#99137); #62147=LINE('',#449750,#99138); #62148=LINE('',#449751,#99139); #62149=LINE('',#449753,#99140); #62150=LINE('',#449754,#99141); #62151=LINE('',#449761,#99142); #62152=LINE('',#449766,#99143); #62153=LINE('',#449768,#99144); #62154=LINE('',#449770,#99145); #62155=LINE('',#449771,#99146); #62156=LINE('',#449774,#99147); #62157=LINE('',#449776,#99148); #62158=LINE('',#449777,#99149); #62159=LINE('',#449780,#99150); #62160=LINE('',#449782,#99151); #62161=LINE('',#449783,#99152); #62162=LINE('',#449786,#99153); #62163=LINE('',#449788,#99154); #62164=LINE('',#449789,#99155); #62165=LINE('',#449792,#99156); #62166=LINE('',#449794,#99157); #62167=LINE('',#449795,#99158); #62168=LINE('',#449798,#99159); #62169=LINE('',#449800,#99160); #62170=LINE('',#449801,#99161); #62171=LINE('',#449804,#99162); #62172=LINE('',#449806,#99163); #62173=LINE('',#449807,#99164); #62174=LINE('',#449810,#99165); #62175=LINE('',#449812,#99166); #62176=LINE('',#449813,#99167); #62177=LINE('',#449816,#99168); #62178=LINE('',#449818,#99169); #62179=LINE('',#449819,#99170); #62180=LINE('',#449822,#99171); #62181=LINE('',#449824,#99172); #62182=LINE('',#449825,#99173); #62183=LINE('',#449828,#99174); #62184=LINE('',#449830,#99175); #62185=LINE('',#449831,#99176); #62186=LINE('',#449834,#99177); #62187=LINE('',#449836,#99178); #62188=LINE('',#449837,#99179); #62189=LINE('',#449843,#99180); #62190=LINE('',#449846,#99181); #62191=LINE('',#449848,#99182); #62192=LINE('',#449849,#99183); #62193=LINE('',#449855,#99184); #62194=LINE('',#449858,#99185); #62195=LINE('',#449860,#99186); #62196=LINE('',#449861,#99187); #62197=LINE('',#449864,#99188); #62198=LINE('',#449866,#99189); #62199=LINE('',#449867,#99190); #62200=LINE('',#449870,#99191); #62201=LINE('',#449872,#99192); #62202=LINE('',#449873,#99193); #62203=LINE('',#449879,#99194); #62204=LINE('',#449882,#99195); #62205=LINE('',#449884,#99196); #62206=LINE('',#449885,#99197); #62207=LINE('',#449891,#99198); #62208=LINE('',#449894,#99199); #62209=LINE('',#449896,#99200); #62210=LINE('',#449897,#99201); #62211=LINE('',#449903,#99202); #62212=LINE('',#449909,#99203); #62213=LINE('',#449912,#99204); #62214=LINE('',#449914,#99205); #62215=LINE('',#449915,#99206); #62216=LINE('',#449918,#99207); #62217=LINE('',#449920,#99208); #62218=LINE('',#449921,#99209); #62219=LINE('',#449924,#99210); #62220=LINE('',#449926,#99211); #62221=LINE('',#449927,#99212); #62222=LINE('',#449933,#99213); #62223=LINE('',#449936,#99214); #62224=LINE('',#449938,#99215); #62225=LINE('',#449939,#99216); #62226=LINE('',#449945,#99217); #62227=LINE('',#449948,#99218); #62228=LINE('',#449950,#99219); #62229=LINE('',#449951,#99220); #62230=LINE('',#449954,#99221); #62231=LINE('',#449956,#99222); #62232=LINE('',#449957,#99223); #62233=LINE('',#449960,#99224); #62234=LINE('',#449962,#99225); #62235=LINE('',#449963,#99226); #62236=LINE('',#449969,#99227); #62237=LINE('',#449972,#99228); #62238=LINE('',#449974,#99229); #62239=LINE('',#449975,#99230); #62240=LINE('',#449981,#99231); #62241=LINE('',#449984,#99232); #62242=LINE('',#449986,#99233); #62243=LINE('',#449987,#99234); #62244=LINE('',#449990,#99235); #62245=LINE('',#449992,#99236); #62246=LINE('',#449993,#99237); #62247=LINE('',#449996,#99238); #62248=LINE('',#449998,#99239); #62249=LINE('',#449999,#99240); #62250=LINE('',#450002,#99241); #62251=LINE('',#450004,#99242); #62252=LINE('',#450005,#99243); #62253=LINE('',#450008,#99244); #62254=LINE('',#450010,#99245); #62255=LINE('',#450011,#99246); #62256=LINE('',#450014,#99247); #62257=LINE('',#450016,#99248); #62258=LINE('',#450017,#99249); #62259=LINE('',#450019,#99250); #62260=LINE('',#450020,#99251); #62261=LINE('',#450027,#99252); #62262=LINE('',#450032,#99253); #62263=LINE('',#450034,#99254); #62264=LINE('',#450036,#99255); #62265=LINE('',#450037,#99256); #62266=LINE('',#450040,#99257); #62267=LINE('',#450042,#99258); #62268=LINE('',#450043,#99259); #62269=LINE('',#450046,#99260); #62270=LINE('',#450048,#99261); #62271=LINE('',#450049,#99262); #62272=LINE('',#450052,#99263); #62273=LINE('',#450054,#99264); #62274=LINE('',#450055,#99265); #62275=LINE('',#450061,#99266); #62276=LINE('',#450064,#99267); #62277=LINE('',#450066,#99268); #62278=LINE('',#450067,#99269); #62279=LINE('',#450073,#99270); #62280=LINE('',#450076,#99271); #62281=LINE('',#450078,#99272); #62282=LINE('',#450079,#99273); #62283=LINE('',#450082,#99274); #62284=LINE('',#450084,#99275); #62285=LINE('',#450085,#99276); #62286=LINE('',#450091,#99277); #62287=LINE('',#450094,#99278); #62288=LINE('',#450096,#99279); #62289=LINE('',#450097,#99280); #62290=LINE('',#450103,#99281); #62291=LINE('',#450109,#99282); #62292=LINE('',#450112,#99283); #62293=LINE('',#450114,#99284); #62294=LINE('',#450115,#99285); #62295=LINE('',#450118,#99286); #62296=LINE('',#450120,#99287); #62297=LINE('',#450121,#99288); #62298=LINE('',#450127,#99289); #62299=LINE('',#450130,#99290); #62300=LINE('',#450132,#99291); #62301=LINE('',#450133,#99292); #62302=LINE('',#450136,#99293); #62303=LINE('',#450138,#99294); #62304=LINE('',#450139,#99295); #62305=LINE('',#450142,#99296); #62306=LINE('',#450144,#99297); #62307=LINE('',#450145,#99298); #62308=LINE('',#450148,#99299); #62309=LINE('',#450150,#99300); #62310=LINE('',#450151,#99301); #62311=LINE('',#450153,#99302); #62312=LINE('',#450154,#99303); #62313=LINE('',#450160,#99304); #62314=LINE('',#450162,#99305); #62315=LINE('',#450164,#99306); #62316=LINE('',#450165,#99307); #62317=LINE('',#450168,#99308); #62318=LINE('',#450170,#99309); #62319=LINE('',#450171,#99310); #62320=LINE('',#450174,#99311); #62321=LINE('',#450176,#99312); #62322=LINE('',#450177,#99313); #62323=LINE('',#450180,#99314); #62324=LINE('',#450182,#99315); #62325=LINE('',#450183,#99316); #62326=LINE('',#450186,#99317); #62327=LINE('',#450188,#99318); #62328=LINE('',#450189,#99319); #62329=LINE('',#450192,#99320); #62330=LINE('',#450194,#99321); #62331=LINE('',#450195,#99322); #62332=LINE('',#450198,#99323); #62333=LINE('',#450200,#99324); #62334=LINE('',#450201,#99325); #62335=LINE('',#450204,#99326); #62336=LINE('',#450206,#99327); #62337=LINE('',#450207,#99328); #62338=LINE('',#450210,#99329); #62339=LINE('',#450212,#99330); #62340=LINE('',#450213,#99331); #62341=LINE('',#450216,#99332); #62342=LINE('',#450218,#99333); #62343=LINE('',#450219,#99334); #62344=LINE('',#450222,#99335); #62345=LINE('',#450224,#99336); #62346=LINE('',#450225,#99337); #62347=LINE('',#450227,#99338); #62348=LINE('',#450228,#99339); #62349=LINE('',#450234,#99340); #62350=LINE('',#450236,#99341); #62351=LINE('',#450238,#99342); #62352=LINE('',#450239,#99343); #62353=LINE('',#450242,#99344); #62354=LINE('',#450244,#99345); #62355=LINE('',#450245,#99346); #62356=LINE('',#450248,#99347); #62357=LINE('',#450250,#99348); #62358=LINE('',#450251,#99349); #62359=LINE('',#450254,#99350); #62360=LINE('',#450256,#99351); #62361=LINE('',#450257,#99352); #62362=LINE('',#450260,#99353); #62363=LINE('',#450262,#99354); #62364=LINE('',#450263,#99355); #62365=LINE('',#450266,#99356); #62366=LINE('',#450268,#99357); #62367=LINE('',#450269,#99358); #62368=LINE('',#450272,#99359); #62369=LINE('',#450274,#99360); #62370=LINE('',#450275,#99361); #62371=LINE('',#450278,#99362); #62372=LINE('',#450280,#99363); #62373=LINE('',#450281,#99364); #62374=LINE('',#450284,#99365); #62375=LINE('',#450286,#99366); #62376=LINE('',#450287,#99367); #62377=LINE('',#450290,#99368); #62378=LINE('',#450292,#99369); #62379=LINE('',#450293,#99370); #62380=LINE('',#450296,#99371); #62381=LINE('',#450298,#99372); #62382=LINE('',#450299,#99373); #62383=LINE('',#450301,#99374); #62384=LINE('',#450302,#99375); #62385=LINE('',#450309,#99376); #62386=LINE('',#450314,#99377); #62387=LINE('',#450316,#99378); #62388=LINE('',#450318,#99379); #62389=LINE('',#450319,#99380); #62390=LINE('',#450322,#99381); #62391=LINE('',#450324,#99382); #62392=LINE('',#450325,#99383); #62393=LINE('',#450328,#99384); #62394=LINE('',#450330,#99385); #62395=LINE('',#450331,#99386); #62396=LINE('',#450334,#99387); #62397=LINE('',#450336,#99388); #62398=LINE('',#450337,#99389); #62399=LINE('',#450343,#99390); #62400=LINE('',#450346,#99391); #62401=LINE('',#450348,#99392); #62402=LINE('',#450349,#99393); #62403=LINE('',#450355,#99394); #62404=LINE('',#450358,#99395); #62405=LINE('',#450360,#99396); #62406=LINE('',#450361,#99397); #62407=LINE('',#450367,#99398); #62408=LINE('',#450370,#99399); #62409=LINE('',#450372,#99400); #62410=LINE('',#450373,#99401); #62411=LINE('',#450379,#99402); #62412=LINE('',#450385,#99403); #62413=LINE('',#450388,#99404); #62414=LINE('',#450390,#99405); #62415=LINE('',#450391,#99406); #62416=LINE('',#450394,#99407); #62417=LINE('',#450396,#99408); #62418=LINE('',#450397,#99409); #62419=LINE('',#450400,#99410); #62420=LINE('',#450402,#99411); #62421=LINE('',#450403,#99412); #62422=LINE('',#450406,#99413); #62423=LINE('',#450408,#99414); #62424=LINE('',#450409,#99415); #62425=LINE('',#450411,#99416); #62426=LINE('',#450412,#99417); #62427=LINE('',#450419,#99418); #62428=LINE('',#450424,#99419); #62429=LINE('',#450426,#99420); #62430=LINE('',#450428,#99421); #62431=LINE('',#450429,#99422); #62432=LINE('',#450435,#99423); #62433=LINE('',#450438,#99424); #62434=LINE('',#450440,#99425); #62435=LINE('',#450441,#99426); #62436=LINE('',#450447,#99427); #62437=LINE('',#450450,#99428); #62438=LINE('',#450452,#99429); #62439=LINE('',#450453,#99430); #62440=LINE('',#450459,#99431); #62441=LINE('',#450462,#99432); #62442=LINE('',#450464,#99433); #62443=LINE('',#450465,#99434); #62444=LINE('',#450468,#99435); #62445=LINE('',#450470,#99436); #62446=LINE('',#450471,#99437); #62447=LINE('',#450474,#99438); #62448=LINE('',#450476,#99439); #62449=LINE('',#450477,#99440); #62450=LINE('',#450480,#99441); #62451=LINE('',#450482,#99442); #62452=LINE('',#450483,#99443); #62453=LINE('',#450486,#99444); #62454=LINE('',#450488,#99445); #62455=LINE('',#450489,#99446); #62456=LINE('',#450492,#99447); #62457=LINE('',#450494,#99448); #62458=LINE('',#450495,#99449); #62459=LINE('',#450498,#99450); #62460=LINE('',#450500,#99451); #62461=LINE('',#450501,#99452); #62462=LINE('',#450504,#99453); #62463=LINE('',#450506,#99454); #62464=LINE('',#450507,#99455); #62465=LINE('',#450510,#99456); #62466=LINE('',#450512,#99457); #62467=LINE('',#450513,#99458); #62468=LINE('',#450519,#99459); #62469=LINE('',#450522,#99460); #62470=LINE('',#450524,#99461); #62471=LINE('',#450525,#99462); #62472=LINE('',#450531,#99463); #62473=LINE('',#450534,#99464); #62474=LINE('',#450536,#99465); #62475=LINE('',#450537,#99466); #62476=LINE('',#450540,#99467); #62477=LINE('',#450542,#99468); #62478=LINE('',#450543,#99469); #62479=LINE('',#450546,#99470); #62480=LINE('',#450548,#99471); #62481=LINE('',#450549,#99472); #62482=LINE('',#450559,#99473); #62483=LINE('',#450564,#99474); #62484=LINE('',#450566,#99475); #62485=LINE('',#450568,#99476); #62486=LINE('',#450569,#99477); #62487=LINE('',#450575,#99478); #62488=LINE('',#450581,#99479); #62489=LINE('',#450584,#99480); #62490=LINE('',#450586,#99481); #62491=LINE('',#450587,#99482); #62492=LINE('',#450590,#99483); #62493=LINE('',#450592,#99484); #62494=LINE('',#450593,#99485); #62495=LINE('',#450596,#99486); #62496=LINE('',#450598,#99487); #62497=LINE('',#450599,#99488); #62498=LINE('',#450602,#99489); #62499=LINE('',#450604,#99490); #62500=LINE('',#450605,#99491); #62501=LINE('',#450608,#99492); #62502=LINE('',#450610,#99493); #62503=LINE('',#450611,#99494); #62504=LINE('',#450614,#99495); #62505=LINE('',#450616,#99496); #62506=LINE('',#450617,#99497); #62507=LINE('',#450623,#99498); #62508=LINE('',#450626,#99499); #62509=LINE('',#450628,#99500); #62510=LINE('',#450629,#99501); #62511=LINE('',#450638,#99502); #62512=LINE('',#450640,#99503); #62513=LINE('',#450642,#99504); #62514=LINE('',#450643,#99505); #62515=LINE('',#450646,#99506); #62516=LINE('',#450648,#99507); #62517=LINE('',#450649,#99508); #62518=LINE('',#450652,#99509); #62519=LINE('',#450654,#99510); #62520=LINE('',#450655,#99511); #62521=LINE('',#450658,#99512); #62522=LINE('',#450660,#99513); #62523=LINE('',#450661,#99514); #62524=LINE('',#450667,#99515); #62525=LINE('',#450670,#99516); #62526=LINE('',#450672,#99517); #62527=LINE('',#450673,#99518); #62528=LINE('',#450679,#99519); #62529=LINE('',#450682,#99520); #62530=LINE('',#450684,#99521); #62531=LINE('',#450685,#99522); #62532=LINE('',#450688,#99523); #62533=LINE('',#450690,#99524); #62534=LINE('',#450691,#99525); #62535=LINE('',#450694,#99526); #62536=LINE('',#450696,#99527); #62537=LINE('',#450697,#99528); #62538=LINE('',#450703,#99529); #62539=LINE('',#450706,#99530); #62540=LINE('',#450708,#99531); #62541=LINE('',#450709,#99532); #62542=LINE('',#450715,#99533); #62543=LINE('',#450718,#99534); #62544=LINE('',#450720,#99535); #62545=LINE('',#450721,#99536); #62546=LINE('',#450724,#99537); #62547=LINE('',#450726,#99538); #62548=LINE('',#450727,#99539); #62549=LINE('',#450730,#99540); #62550=LINE('',#450732,#99541); #62551=LINE('',#450733,#99542); #62552=LINE('',#450736,#99543); #62553=LINE('',#450738,#99544); #62554=LINE('',#450739,#99545); #62555=LINE('',#450742,#99546); #62556=LINE('',#450744,#99547); #62557=LINE('',#450745,#99548); #62558=LINE('',#450748,#99549); #62559=LINE('',#450750,#99550); #62560=LINE('',#450751,#99551); #62561=LINE('',#450754,#99552); #62562=LINE('',#450756,#99553); #62563=LINE('',#450757,#99554); #62564=LINE('',#450760,#99555); #62565=LINE('',#450762,#99556); #62566=LINE('',#450763,#99557); #62567=LINE('',#450766,#99558); #62568=LINE('',#450768,#99559); #62569=LINE('',#450769,#99560); #62570=LINE('',#450775,#99561); #62571=LINE('',#450778,#99562); #62572=LINE('',#450780,#99563); #62573=LINE('',#450781,#99564); #62574=LINE('',#450787,#99565); #62575=LINE('',#450790,#99566); #62576=LINE('',#450792,#99567); #62577=LINE('',#450793,#99568); #62578=LINE('',#450796,#99569); #62579=LINE('',#450798,#99570); #62580=LINE('',#450799,#99571); #62581=LINE('',#450802,#99572); #62582=LINE('',#450804,#99573); #62583=LINE('',#450805,#99574); #62584=LINE('',#450811,#99575); #62585=LINE('',#450814,#99576); #62586=LINE('',#450816,#99577); #62587=LINE('',#450817,#99578); #62588=LINE('',#450823,#99579); #62589=LINE('',#450826,#99580); #62590=LINE('',#450828,#99581); #62591=LINE('',#450829,#99582); #62592=LINE('',#450832,#99583); #62593=LINE('',#450834,#99584); #62594=LINE('',#450835,#99585); #62595=LINE('',#450838,#99586); #62596=LINE('',#450840,#99587); #62597=LINE('',#450841,#99588); #62598=LINE('',#450844,#99589); #62599=LINE('',#450846,#99590); #62600=LINE('',#450847,#99591); #62601=LINE('',#450849,#99592); #62602=LINE('',#450850,#99593); #62603=LINE('',#450857,#99594); #62604=LINE('',#450862,#99595); #62605=LINE('',#450864,#99596); #62606=LINE('',#450866,#99597); #62607=LINE('',#450867,#99598); #62608=LINE('',#450873,#99599); #62609=LINE('',#450876,#99600); #62610=LINE('',#450878,#99601); #62611=LINE('',#450879,#99602); #62612=LINE('',#450882,#99603); #62613=LINE('',#450884,#99604); #62614=LINE('',#450885,#99605); #62615=LINE('',#450888,#99606); #62616=LINE('',#450890,#99607); #62617=LINE('',#450891,#99608); #62618=LINE('',#450894,#99609); #62619=LINE('',#450896,#99610); #62620=LINE('',#450897,#99611); #62621=LINE('',#450900,#99612); #62622=LINE('',#450902,#99613); #62623=LINE('',#450903,#99614); #62624=LINE('',#450906,#99615); #62625=LINE('',#450908,#99616); #62626=LINE('',#450909,#99617); #62627=LINE('',#450912,#99618); #62628=LINE('',#450914,#99619); #62629=LINE('',#450915,#99620); #62630=LINE('',#450925,#99621); #62631=LINE('',#450931,#99622); #62632=LINE('',#450936,#99623); #62633=LINE('',#450938,#99624); #62634=LINE('',#450940,#99625); #62635=LINE('',#450941,#99626); #62636=LINE('',#450944,#99627); #62637=LINE('',#450946,#99628); #62638=LINE('',#450947,#99629); #62639=LINE('',#450953,#99630); #62640=LINE('',#450959,#99631); #62641=LINE('',#450962,#99632); #62642=LINE('',#450964,#99633); #62643=LINE('',#450965,#99634); #62644=LINE('',#450968,#99635); #62645=LINE('',#450970,#99636); #62646=LINE('',#450971,#99637); #62647=LINE('',#450977,#99638); #62648=LINE('',#450983,#99639); #62649=LINE('',#450986,#99640); #62650=LINE('',#450988,#99641); #62651=LINE('',#450989,#99642); #62652=LINE('',#450992,#99643); #62653=LINE('',#450994,#99644); #62654=LINE('',#450995,#99645); #62655=LINE('',#450998,#99646); #62656=LINE('',#451000,#99647); #62657=LINE('',#451001,#99648); #62658=LINE('',#451004,#99649); #62659=LINE('',#451006,#99650); #62660=LINE('',#451007,#99651); #62661=LINE('',#451010,#99652); #62662=LINE('',#451012,#99653); #62663=LINE('',#451013,#99654); #62664=LINE('',#451016,#99655); #62665=LINE('',#451018,#99656); #62666=LINE('',#451019,#99657); #62667=LINE('',#451022,#99658); #62668=LINE('',#451024,#99659); #62669=LINE('',#451025,#99660); #62670=LINE('',#451028,#99661); #62671=LINE('',#451030,#99662); #62672=LINE('',#451031,#99663); #62673=LINE('',#451034,#99664); #62674=LINE('',#451036,#99665); #62675=LINE('',#451037,#99666); #62676=LINE('',#451040,#99667); #62677=LINE('',#451042,#99668); #62678=LINE('',#451043,#99669); #62679=LINE('',#451049,#99670); #62680=LINE('',#451052,#99671); #62681=LINE('',#451054,#99672); #62682=LINE('',#451055,#99673); #62683=LINE('',#451064,#99674); #62684=LINE('',#451066,#99675); #62685=LINE('',#451068,#99676); #62686=LINE('',#451069,#99677); #62687=LINE('',#451072,#99678); #62688=LINE('',#451074,#99679); #62689=LINE('',#451075,#99680); #62690=LINE('',#451078,#99681); #62691=LINE('',#451080,#99682); #62692=LINE('',#451081,#99683); #62693=LINE('',#451084,#99684); #62694=LINE('',#451086,#99685); #62695=LINE('',#451087,#99686); #62696=LINE('',#451093,#99687); #62697=LINE('',#451096,#99688); #62698=LINE('',#451098,#99689); #62699=LINE('',#451099,#99690); #62700=LINE('',#451102,#99691); #62701=LINE('',#451104,#99692); #62702=LINE('',#451105,#99693); #62703=LINE('',#451108,#99694); #62704=LINE('',#451110,#99695); #62705=LINE('',#451111,#99696); #62706=LINE('',#451114,#99697); #62707=LINE('',#451116,#99698); #62708=LINE('',#451117,#99699); #62709=LINE('',#451120,#99700); #62710=LINE('',#451122,#99701); #62711=LINE('',#451123,#99702); #62712=LINE('',#451126,#99703); #62713=LINE('',#451128,#99704); #62714=LINE('',#451129,#99705); #62715=LINE('',#451132,#99706); #62716=LINE('',#451134,#99707); #62717=LINE('',#451135,#99708); #62718=LINE('',#451138,#99709); #62719=LINE('',#451140,#99710); #62720=LINE('',#451141,#99711); #62721=LINE('',#451143,#99712); #62722=LINE('',#451144,#99713); #62723=LINE('',#451151,#99714); #62724=LINE('',#451157,#99715); #62725=LINE('',#451163,#99716); #62726=LINE('',#451169,#99717); #62727=LINE('',#451175,#99718); #62728=LINE('',#451181,#99719); #62729=LINE('',#451187,#99720); #62730=LINE('',#451193,#99721); #62731=LINE('',#451199,#99722); #62732=LINE('',#451205,#99723); #62733=LINE('',#451211,#99724); #62734=LINE('',#451216,#99725); #62735=LINE('',#451218,#99726); #62736=LINE('',#451220,#99727); #62737=LINE('',#451221,#99728); #62738=LINE('',#451224,#99729); #62739=LINE('',#451226,#99730); #62740=LINE('',#451227,#99731); #62741=LINE('',#451230,#99732); #62742=LINE('',#451232,#99733); #62743=LINE('',#451233,#99734); #62744=LINE('',#451236,#99735); #62745=LINE('',#451238,#99736); #62746=LINE('',#451239,#99737); #62747=LINE('',#451245,#99738); #62748=LINE('',#451248,#99739); #62749=LINE('',#451250,#99740); #62750=LINE('',#451251,#99741); #62751=LINE('',#451257,#99742); #62752=LINE('',#451260,#99743); #62753=LINE('',#451262,#99744); #62754=LINE('',#451263,#99745); #62755=LINE('',#451266,#99746); #62756=LINE('',#451268,#99747); #62757=LINE('',#451269,#99748); #62758=LINE('',#451272,#99749); #62759=LINE('',#451274,#99750); #62760=LINE('',#451275,#99751); #62761=LINE('',#451278,#99752); #62762=LINE('',#451280,#99753); #62763=LINE('',#451281,#99754); #62764=LINE('',#451284,#99755); #62765=LINE('',#451286,#99756); #62766=LINE('',#451287,#99757); #62767=LINE('',#451290,#99758); #62768=LINE('',#451292,#99759); #62769=LINE('',#451293,#99760); #62770=LINE('',#451296,#99761); #62771=LINE('',#451298,#99762); #62772=LINE('',#451299,#99763); #62773=LINE('',#451302,#99764); #62774=LINE('',#451304,#99765); #62775=LINE('',#451305,#99766); #62776=LINE('',#451308,#99767); #62777=LINE('',#451310,#99768); #62778=LINE('',#451311,#99769); #62779=LINE('',#451314,#99770); #62780=LINE('',#451316,#99771); #62781=LINE('',#451317,#99772); #62782=LINE('',#451320,#99773); #62783=LINE('',#451322,#99774); #62784=LINE('',#451323,#99775); #62785=LINE('',#451326,#99776); #62786=LINE('',#451328,#99777); #62787=LINE('',#451329,#99778); #62788=LINE('',#451332,#99779); #62789=LINE('',#451334,#99780); #62790=LINE('',#451335,#99781); #62791=LINE('',#451338,#99782); #62792=LINE('',#451340,#99783); #62793=LINE('',#451341,#99784); #62794=LINE('',#451344,#99785); #62795=LINE('',#451346,#99786); #62796=LINE('',#451347,#99787); #62797=LINE('',#451350,#99788); #62798=LINE('',#451352,#99789); #62799=LINE('',#451353,#99790); #62800=LINE('',#451356,#99791); #62801=LINE('',#451358,#99792); #62802=LINE('',#451359,#99793); #62803=LINE('',#451362,#99794); #62804=LINE('',#451364,#99795); #62805=LINE('',#451365,#99796); #62806=LINE('',#451368,#99797); #62807=LINE('',#451370,#99798); #62808=LINE('',#451371,#99799); #62809=LINE('',#451374,#99800); #62810=LINE('',#451376,#99801); #62811=LINE('',#451377,#99802); #62812=LINE('',#451380,#99803); #62813=LINE('',#451382,#99804); #62814=LINE('',#451383,#99805); #62815=LINE('',#451386,#99806); #62816=LINE('',#451388,#99807); #62817=LINE('',#451389,#99808); #62818=LINE('',#451392,#99809); #62819=LINE('',#451394,#99810); #62820=LINE('',#451395,#99811); #62821=LINE('',#451398,#99812); #62822=LINE('',#451400,#99813); #62823=LINE('',#451401,#99814); #62824=LINE('',#451404,#99815); #62825=LINE('',#451406,#99816); #62826=LINE('',#451407,#99817); #62827=LINE('',#451410,#99818); #62828=LINE('',#451412,#99819); #62829=LINE('',#451413,#99820); #62830=LINE('',#451416,#99821); #62831=LINE('',#451418,#99822); #62832=LINE('',#451419,#99823); #62833=LINE('',#451422,#99824); #62834=LINE('',#451424,#99825); #62835=LINE('',#451425,#99826); #62836=LINE('',#451428,#99827); #62837=LINE('',#451430,#99828); #62838=LINE('',#451431,#99829); #62839=LINE('',#451434,#99830); #62840=LINE('',#451436,#99831); #62841=LINE('',#451437,#99832); #62842=LINE('',#451440,#99833); #62843=LINE('',#451442,#99834); #62844=LINE('',#451443,#99835); #62845=LINE('',#451446,#99836); #62846=LINE('',#451448,#99837); #62847=LINE('',#451449,#99838); #62848=LINE('',#451452,#99839); #62849=LINE('',#451454,#99840); #62850=LINE('',#451455,#99841); #62851=LINE('',#451458,#99842); #62852=LINE('',#451460,#99843); #62853=LINE('',#451461,#99844); #62854=LINE('',#451464,#99845); #62855=LINE('',#451466,#99846); #62856=LINE('',#451467,#99847); #62857=LINE('',#451470,#99848); #62858=LINE('',#451472,#99849); #62859=LINE('',#451473,#99850); #62860=LINE('',#451476,#99851); #62861=LINE('',#451478,#99852); #62862=LINE('',#451479,#99853); #62863=LINE('',#451482,#99854); #62864=LINE('',#451484,#99855); #62865=LINE('',#451485,#99856); #62866=LINE('',#451488,#99857); #62867=LINE('',#451490,#99858); #62868=LINE('',#451491,#99859); #62869=LINE('',#451494,#99860); #62870=LINE('',#451496,#99861); #62871=LINE('',#451497,#99862); #62872=LINE('',#451500,#99863); #62873=LINE('',#451502,#99864); #62874=LINE('',#451503,#99865); #62875=LINE('',#451506,#99866); #62876=LINE('',#451508,#99867); #62877=LINE('',#451509,#99868); #62878=LINE('',#451512,#99869); #62879=LINE('',#451514,#99870); #62880=LINE('',#451515,#99871); #62881=LINE('',#451518,#99872); #62882=LINE('',#451520,#99873); #62883=LINE('',#451521,#99874); #62884=LINE('',#451524,#99875); #62885=LINE('',#451526,#99876); #62886=LINE('',#451527,#99877); #62887=LINE('',#451530,#99878); #62888=LINE('',#451532,#99879); #62889=LINE('',#451533,#99880); #62890=LINE('',#451536,#99881); #62891=LINE('',#451538,#99882); #62892=LINE('',#451539,#99883); #62893=LINE('',#451542,#99884); #62894=LINE('',#451544,#99885); #62895=LINE('',#451545,#99886); #62896=LINE('',#451548,#99887); #62897=LINE('',#451550,#99888); #62898=LINE('',#451551,#99889); #62899=LINE('',#451554,#99890); #62900=LINE('',#451556,#99891); #62901=LINE('',#451557,#99892); #62902=LINE('',#451560,#99893); #62903=LINE('',#451562,#99894); #62904=LINE('',#451563,#99895); #62905=LINE('',#451566,#99896); #62906=LINE('',#451568,#99897); #62907=LINE('',#451569,#99898); #62908=LINE('',#451572,#99899); #62909=LINE('',#451574,#99900); #62910=LINE('',#451575,#99901); #62911=LINE('',#451578,#99902); #62912=LINE('',#451580,#99903); #62913=LINE('',#451581,#99904); #62914=LINE('',#451584,#99905); #62915=LINE('',#451586,#99906); #62916=LINE('',#451587,#99907); #62917=LINE('',#451590,#99908); #62918=LINE('',#451592,#99909); #62919=LINE('',#451593,#99910); #62920=LINE('',#451596,#99911); #62921=LINE('',#451598,#99912); #62922=LINE('',#451599,#99913); #62923=LINE('',#451602,#99914); #62924=LINE('',#451604,#99915); #62925=LINE('',#451605,#99916); #62926=LINE('',#451608,#99917); #62927=LINE('',#451610,#99918); #62928=LINE('',#451611,#99919); #62929=LINE('',#451614,#99920); #62930=LINE('',#451616,#99921); #62931=LINE('',#451617,#99922); #62932=LINE('',#451620,#99923); #62933=LINE('',#451622,#99924); #62934=LINE('',#451623,#99925); #62935=LINE('',#451626,#99926); #62936=LINE('',#451628,#99927); #62937=LINE('',#451629,#99928); #62938=LINE('',#451632,#99929); #62939=LINE('',#451634,#99930); #62940=LINE('',#451635,#99931); #62941=LINE('',#451638,#99932); #62942=LINE('',#451640,#99933); #62943=LINE('',#451641,#99934); #62944=LINE('',#451644,#99935); #62945=LINE('',#451646,#99936); #62946=LINE('',#451647,#99937); #62947=LINE('',#451650,#99938); #62948=LINE('',#451652,#99939); #62949=LINE('',#451653,#99940); #62950=LINE('',#451656,#99941); #62951=LINE('',#451658,#99942); #62952=LINE('',#451659,#99943); #62953=LINE('',#451662,#99944); #62954=LINE('',#451664,#99945); #62955=LINE('',#451665,#99946); #62956=LINE('',#451668,#99947); #62957=LINE('',#451670,#99948); #62958=LINE('',#451671,#99949); #62959=LINE('',#451674,#99950); #62960=LINE('',#451676,#99951); #62961=LINE('',#451677,#99952); #62962=LINE('',#451680,#99953); #62963=LINE('',#451682,#99954); #62964=LINE('',#451683,#99955); #62965=LINE('',#451686,#99956); #62966=LINE('',#451688,#99957); #62967=LINE('',#451689,#99958); #62968=LINE('',#451692,#99959); #62969=LINE('',#451694,#99960); #62970=LINE('',#451695,#99961); #62971=LINE('',#451698,#99962); #62972=LINE('',#451700,#99963); #62973=LINE('',#451701,#99964); #62974=LINE('',#451704,#99965); #62975=LINE('',#451706,#99966); #62976=LINE('',#451707,#99967); #62977=LINE('',#451710,#99968); #62978=LINE('',#451712,#99969); #62979=LINE('',#451713,#99970); #62980=LINE('',#451716,#99971); #62981=LINE('',#451718,#99972); #62982=LINE('',#451719,#99973); #62983=LINE('',#451722,#99974); #62984=LINE('',#451724,#99975); #62985=LINE('',#451725,#99976); #62986=LINE('',#451728,#99977); #62987=LINE('',#451730,#99978); #62988=LINE('',#451731,#99979); #62989=LINE('',#451734,#99980); #62990=LINE('',#451736,#99981); #62991=LINE('',#451737,#99982); #62992=LINE('',#451740,#99983); #62993=LINE('',#451742,#99984); #62994=LINE('',#451743,#99985); #62995=LINE('',#451746,#99986); #62996=LINE('',#451748,#99987); #62997=LINE('',#451749,#99988); #62998=LINE('',#451752,#99989); #62999=LINE('',#451754,#99990); #63000=LINE('',#451755,#99991); #63001=LINE('',#451758,#99992); #63002=LINE('',#451760,#99993); #63003=LINE('',#451761,#99994); #63004=LINE('',#451764,#99995); #63005=LINE('',#451766,#99996); #63006=LINE('',#451767,#99997); #63007=LINE('',#451770,#99998); #63008=LINE('',#451772,#99999); #63009=LINE('',#451773,#100000); #63010=LINE('',#451776,#100001); #63011=LINE('',#451778,#100002); #63012=LINE('',#451779,#100003); #63013=LINE('',#451782,#100004); #63014=LINE('',#451784,#100005); #63015=LINE('',#451785,#100006); #63016=LINE('',#451788,#100007); #63017=LINE('',#451790,#100008); #63018=LINE('',#451791,#100009); #63019=LINE('',#451794,#100010); #63020=LINE('',#451796,#100011); #63021=LINE('',#451797,#100012); #63022=LINE('',#451800,#100013); #63023=LINE('',#451802,#100014); #63024=LINE('',#451803,#100015); #63025=LINE('',#451806,#100016); #63026=LINE('',#451808,#100017); #63027=LINE('',#451809,#100018); #63028=LINE('',#451812,#100019); #63029=LINE('',#451814,#100020); #63030=LINE('',#451815,#100021); #63031=LINE('',#451818,#100022); #63032=LINE('',#451820,#100023); #63033=LINE('',#451821,#100024); #63034=LINE('',#451824,#100025); #63035=LINE('',#451826,#100026); #63036=LINE('',#451827,#100027); #63037=LINE('',#451830,#100028); #63038=LINE('',#451832,#100029); #63039=LINE('',#451833,#100030); #63040=LINE('',#451836,#100031); #63041=LINE('',#451838,#100032); #63042=LINE('',#451839,#100033); #63043=LINE('',#451842,#100034); #63044=LINE('',#451844,#100035); #63045=LINE('',#451845,#100036); #63046=LINE('',#451848,#100037); #63047=LINE('',#451850,#100038); #63048=LINE('',#451851,#100039); #63049=LINE('',#451854,#100040); #63050=LINE('',#451856,#100041); #63051=LINE('',#451857,#100042); #63052=LINE('',#451860,#100043); #63053=LINE('',#451862,#100044); #63054=LINE('',#451863,#100045); #63055=LINE('',#451866,#100046); #63056=LINE('',#451868,#100047); #63057=LINE('',#451869,#100048); #63058=LINE('',#451872,#100049); #63059=LINE('',#451874,#100050); #63060=LINE('',#451875,#100051); #63061=LINE('',#451878,#100052); #63062=LINE('',#451880,#100053); #63063=LINE('',#451881,#100054); #63064=LINE('',#451884,#100055); #63065=LINE('',#451886,#100056); #63066=LINE('',#451887,#100057); #63067=LINE('',#451890,#100058); #63068=LINE('',#451892,#100059); #63069=LINE('',#451893,#100060); #63070=LINE('',#451896,#100061); #63071=LINE('',#451898,#100062); #63072=LINE('',#451899,#100063); #63073=LINE('',#451902,#100064); #63074=LINE('',#451904,#100065); #63075=LINE('',#451905,#100066); #63076=LINE('',#451908,#100067); #63077=LINE('',#451910,#100068); #63078=LINE('',#451911,#100069); #63079=LINE('',#451914,#100070); #63080=LINE('',#451916,#100071); #63081=LINE('',#451917,#100072); #63082=LINE('',#451920,#100073); #63083=LINE('',#451922,#100074); #63084=LINE('',#451923,#100075); #63085=LINE('',#451926,#100076); #63086=LINE('',#451928,#100077); #63087=LINE('',#451929,#100078); #63088=LINE('',#451932,#100079); #63089=LINE('',#451934,#100080); #63090=LINE('',#451935,#100081); #63091=LINE('',#451938,#100082); #63092=LINE('',#451940,#100083); #63093=LINE('',#451941,#100084); #63094=LINE('',#451944,#100085); #63095=LINE('',#451946,#100086); #63096=LINE('',#451947,#100087); #63097=LINE('',#451950,#100088); #63098=LINE('',#451952,#100089); #63099=LINE('',#451953,#100090); #63100=LINE('',#451956,#100091); #63101=LINE('',#451958,#100092); #63102=LINE('',#451959,#100093); #63103=LINE('',#451962,#100094); #63104=LINE('',#451964,#100095); #63105=LINE('',#451965,#100096); #63106=LINE('',#451971,#100097); #63107=LINE('',#451974,#100098); #63108=LINE('',#451976,#100099); #63109=LINE('',#451977,#100100); #63110=LINE('',#451983,#100101); #63111=LINE('',#451986,#100102); #63112=LINE('',#451988,#100103); #63113=LINE('',#451989,#100104); #63114=LINE('',#451992,#100105); #63115=LINE('',#451994,#100106); #63116=LINE('',#451995,#100107); #63117=LINE('',#451998,#100108); #63118=LINE('',#452000,#100109); #63119=LINE('',#452001,#100110); #63120=LINE('',#452004,#100111); #63121=LINE('',#452006,#100112); #63122=LINE('',#452007,#100113); #63123=LINE('',#452009,#100114); #63124=LINE('',#452010,#100115); #63125=LINE('',#452017,#100116); #63126=LINE('',#452022,#100117); #63127=LINE('',#452024,#100118); #63128=LINE('',#452026,#100119); #63129=LINE('',#452027,#100120); #63130=LINE('',#452033,#100121); #63131=LINE('',#452042,#100122); #63132=LINE('',#452044,#100123); #63133=LINE('',#452046,#100124); #63134=LINE('',#452047,#100125); #63135=LINE('',#452050,#100126); #63136=LINE('',#452052,#100127); #63137=LINE('',#452053,#100128); #63138=LINE('',#452056,#100129); #63139=LINE('',#452058,#100130); #63140=LINE('',#452059,#100131); #63141=LINE('',#452062,#100132); #63142=LINE('',#452064,#100133); #63143=LINE('',#452065,#100134); #63144=LINE('',#452068,#100135); #63145=LINE('',#452070,#100136); #63146=LINE('',#452071,#100137); #63147=LINE('',#452074,#100138); #63148=LINE('',#452076,#100139); #63149=LINE('',#452077,#100140); #63150=LINE('',#452080,#100141); #63151=LINE('',#452082,#100142); #63152=LINE('',#452083,#100143); #63153=LINE('',#452086,#100144); #63154=LINE('',#452088,#100145); #63155=LINE('',#452089,#100146); #63156=LINE('',#452092,#100147); #63157=LINE('',#452094,#100148); #63158=LINE('',#452095,#100149); #63159=LINE('',#452098,#100150); #63160=LINE('',#452100,#100151); #63161=LINE('',#452101,#100152); #63162=LINE('',#452104,#100153); #63163=LINE('',#452106,#100154); #63164=LINE('',#452107,#100155); #63165=LINE('',#452110,#100156); #63166=LINE('',#452112,#100157); #63167=LINE('',#452113,#100158); #63168=LINE('',#452116,#100159); #63169=LINE('',#452118,#100160); #63170=LINE('',#452119,#100161); #63171=LINE('',#452122,#100162); #63172=LINE('',#452124,#100163); #63173=LINE('',#452125,#100164); #63174=LINE('',#452128,#100165); #63175=LINE('',#452130,#100166); #63176=LINE('',#452131,#100167); #63177=LINE('',#452134,#100168); #63178=LINE('',#452136,#100169); #63179=LINE('',#452137,#100170); #63180=LINE('',#452140,#100171); #63181=LINE('',#452142,#100172); #63182=LINE('',#452143,#100173); #63183=LINE('',#452146,#100174); #63184=LINE('',#452148,#100175); #63185=LINE('',#452149,#100176); #63186=LINE('',#452152,#100177); #63187=LINE('',#452154,#100178); #63188=LINE('',#452155,#100179); #63189=LINE('',#452157,#100180); #63190=LINE('',#452158,#100181); #63191=LINE('',#452164,#100182); #63192=LINE('',#452166,#100183); #63193=LINE('',#452168,#100184); #63194=LINE('',#452169,#100185); #63195=LINE('',#452172,#100186); #63196=LINE('',#452174,#100187); #63197=LINE('',#452175,#100188); #63198=LINE('',#452178,#100189); #63199=LINE('',#452180,#100190); #63200=LINE('',#452181,#100191); #63201=LINE('',#452184,#100192); #63202=LINE('',#452186,#100193); #63203=LINE('',#452187,#100194); #63204=LINE('',#452190,#100195); #63205=LINE('',#452192,#100196); #63206=LINE('',#452193,#100197); #63207=LINE('',#452196,#100198); #63208=LINE('',#452198,#100199); #63209=LINE('',#452199,#100200); #63210=LINE('',#452202,#100201); #63211=LINE('',#452204,#100202); #63212=LINE('',#452205,#100203); #63213=LINE('',#452208,#100204); #63214=LINE('',#452210,#100205); #63215=LINE('',#452211,#100206); #63216=LINE('',#452214,#100207); #63217=LINE('',#452216,#100208); #63218=LINE('',#452217,#100209); #63219=LINE('',#452220,#100210); #63220=LINE('',#452222,#100211); #63221=LINE('',#452223,#100212); #63222=LINE('',#452226,#100213); #63223=LINE('',#452228,#100214); #63224=LINE('',#452229,#100215); #63225=LINE('',#452232,#100216); #63226=LINE('',#452234,#100217); #63227=LINE('',#452235,#100218); #63228=LINE('',#452238,#100219); #63229=LINE('',#452240,#100220); #63230=LINE('',#452241,#100221); #63231=LINE('',#452244,#100222); #63232=LINE('',#452246,#100223); #63233=LINE('',#452247,#100224); #63234=LINE('',#452250,#100225); #63235=LINE('',#452252,#100226); #63236=LINE('',#452253,#100227); #63237=LINE('',#452256,#100228); #63238=LINE('',#452258,#100229); #63239=LINE('',#452259,#100230); #63240=LINE('',#452262,#100231); #63241=LINE('',#452264,#100232); #63242=LINE('',#452265,#100233); #63243=LINE('',#452268,#100234); #63244=LINE('',#452270,#100235); #63245=LINE('',#452271,#100236); #63246=LINE('',#452274,#100237); #63247=LINE('',#452276,#100238); #63248=LINE('',#452277,#100239); #63249=LINE('',#452280,#100240); #63250=LINE('',#452282,#100241); #63251=LINE('',#452283,#100242); #63252=LINE('',#452285,#100243); #63253=LINE('',#452286,#100244); #63254=LINE('',#452292,#100245); #63255=LINE('',#452294,#100246); #63256=LINE('',#452296,#100247); #63257=LINE('',#452297,#100248); #63258=LINE('',#452300,#100249); #63259=LINE('',#452302,#100250); #63260=LINE('',#452303,#100251); #63261=LINE('',#452306,#100252); #63262=LINE('',#452308,#100253); #63263=LINE('',#452309,#100254); #63264=LINE('',#452312,#100255); #63265=LINE('',#452314,#100256); #63266=LINE('',#452315,#100257); #63267=LINE('',#452318,#100258); #63268=LINE('',#452320,#100259); #63269=LINE('',#452321,#100260); #63270=LINE('',#452324,#100261); #63271=LINE('',#452326,#100262); #63272=LINE('',#452327,#100263); #63273=LINE('',#452330,#100264); #63274=LINE('',#452332,#100265); #63275=LINE('',#452333,#100266); #63276=LINE('',#452336,#100267); #63277=LINE('',#452338,#100268); #63278=LINE('',#452339,#100269); #63279=LINE('',#452342,#100270); #63280=LINE('',#452344,#100271); #63281=LINE('',#452345,#100272); #63282=LINE('',#452348,#100273); #63283=LINE('',#452350,#100274); #63284=LINE('',#452351,#100275); #63285=LINE('',#452354,#100276); #63286=LINE('',#452356,#100277); #63287=LINE('',#452357,#100278); #63288=LINE('',#452360,#100279); #63289=LINE('',#452362,#100280); #63290=LINE('',#452363,#100281); #63291=LINE('',#452366,#100282); #63292=LINE('',#452368,#100283); #63293=LINE('',#452369,#100284); #63294=LINE('',#452372,#100285); #63295=LINE('',#452374,#100286); #63296=LINE('',#452375,#100287); #63297=LINE('',#452378,#100288); #63298=LINE('',#452380,#100289); #63299=LINE('',#452381,#100290); #63300=LINE('',#452384,#100291); #63301=LINE('',#452386,#100292); #63302=LINE('',#452387,#100293); #63303=LINE('',#452390,#100294); #63304=LINE('',#452392,#100295); #63305=LINE('',#452393,#100296); #63306=LINE('',#452396,#100297); #63307=LINE('',#452398,#100298); #63308=LINE('',#452399,#100299); #63309=LINE('',#452402,#100300); #63310=LINE('',#452404,#100301); #63311=LINE('',#452405,#100302); #63312=LINE('',#452407,#100303); #63313=LINE('',#452408,#100304); #63314=LINE('',#452414,#100305); #63315=LINE('',#452416,#100306); #63316=LINE('',#452418,#100307); #63317=LINE('',#452419,#100308); #63318=LINE('',#452422,#100309); #63319=LINE('',#452424,#100310); #63320=LINE('',#452425,#100311); #63321=LINE('',#452428,#100312); #63322=LINE('',#452430,#100313); #63323=LINE('',#452431,#100314); #63324=LINE('',#452434,#100315); #63325=LINE('',#452436,#100316); #63326=LINE('',#452437,#100317); #63327=LINE('',#452440,#100318); #63328=LINE('',#452442,#100319); #63329=LINE('',#452443,#100320); #63330=LINE('',#452446,#100321); #63331=LINE('',#452448,#100322); #63332=LINE('',#452449,#100323); #63333=LINE('',#452452,#100324); #63334=LINE('',#452454,#100325); #63335=LINE('',#452455,#100326); #63336=LINE('',#452458,#100327); #63337=LINE('',#452460,#100328); #63338=LINE('',#452461,#100329); #63339=LINE('',#452464,#100330); #63340=LINE('',#452466,#100331); #63341=LINE('',#452467,#100332); #63342=LINE('',#452470,#100333); #63343=LINE('',#452472,#100334); #63344=LINE('',#452473,#100335); #63345=LINE('',#452476,#100336); #63346=LINE('',#452478,#100337); #63347=LINE('',#452479,#100338); #63348=LINE('',#452482,#100339); #63349=LINE('',#452484,#100340); #63350=LINE('',#452485,#100341); #63351=LINE('',#452488,#100342); #63352=LINE('',#452490,#100343); #63353=LINE('',#452491,#100344); #63354=LINE('',#452494,#100345); #63355=LINE('',#452496,#100346); #63356=LINE('',#452497,#100347); #63357=LINE('',#452500,#100348); #63358=LINE('',#452502,#100349); #63359=LINE('',#452503,#100350); #63360=LINE('',#452506,#100351); #63361=LINE('',#452508,#100352); #63362=LINE('',#452509,#100353); #63363=LINE('',#452512,#100354); #63364=LINE('',#452514,#100355); #63365=LINE('',#452515,#100356); #63366=LINE('',#452518,#100357); #63367=LINE('',#452520,#100358); #63368=LINE('',#452521,#100359); #63369=LINE('',#452524,#100360); #63370=LINE('',#452526,#100361); #63371=LINE('',#452527,#100362); #63372=LINE('',#452530,#100363); #63373=LINE('',#452532,#100364); #63374=LINE('',#452533,#100365); #63375=LINE('',#452535,#100366); #63376=LINE('',#452536,#100367); #63377=LINE('',#452543,#100368); #63378=LINE('',#452549,#100369); #63379=LINE('',#452555,#100370); #63380=LINE('',#452560,#100371); #63381=LINE('',#452562,#100372); #63382=LINE('',#452564,#100373); #63383=LINE('',#452565,#100374); #63384=LINE('',#452568,#100375); #63385=LINE('',#452570,#100376); #63386=LINE('',#452571,#100377); #63387=LINE('',#452574,#100378); #63388=LINE('',#452576,#100379); #63389=LINE('',#452577,#100380); #63390=LINE('',#452580,#100381); #63391=LINE('',#452582,#100382); #63392=LINE('',#452583,#100383); #63393=LINE('',#452586,#100384); #63394=LINE('',#452588,#100385); #63395=LINE('',#452589,#100386); #63396=LINE('',#452592,#100387); #63397=LINE('',#452594,#100388); #63398=LINE('',#452595,#100389); #63399=LINE('',#452598,#100390); #63400=LINE('',#452600,#100391); #63401=LINE('',#452601,#100392); #63402=LINE('',#452604,#100393); #63403=LINE('',#452606,#100394); #63404=LINE('',#452607,#100395); #63405=LINE('',#452610,#100396); #63406=LINE('',#452612,#100397); #63407=LINE('',#452613,#100398); #63408=LINE('',#452616,#100399); #63409=LINE('',#452618,#100400); #63410=LINE('',#452619,#100401); #63411=LINE('',#452622,#100402); #63412=LINE('',#452624,#100403); #63413=LINE('',#452625,#100404); #63414=LINE('',#452628,#100405); #63415=LINE('',#452630,#100406); #63416=LINE('',#452631,#100407); #63417=LINE('',#452634,#100408); #63418=LINE('',#452636,#100409); #63419=LINE('',#452637,#100410); #63420=LINE('',#452640,#100411); #63421=LINE('',#452642,#100412); #63422=LINE('',#452643,#100413); #63423=LINE('',#452646,#100414); #63424=LINE('',#452648,#100415); #63425=LINE('',#452649,#100416); #63426=LINE('',#452652,#100417); #63427=LINE('',#452654,#100418); #63428=LINE('',#452655,#100419); #63429=LINE('',#452658,#100420); #63430=LINE('',#452660,#100421); #63431=LINE('',#452661,#100422); #63432=LINE('',#452664,#100423); #63433=LINE('',#452666,#100424); #63434=LINE('',#452667,#100425); #63435=LINE('',#452670,#100426); #63436=LINE('',#452672,#100427); #63437=LINE('',#452673,#100428); #63438=LINE('',#452676,#100429); #63439=LINE('',#452678,#100430); #63440=LINE('',#452679,#100431); #63441=LINE('',#452682,#100432); #63442=LINE('',#452684,#100433); #63443=LINE('',#452685,#100434); #63444=LINE('',#452688,#100435); #63445=LINE('',#452690,#100436); #63446=LINE('',#452691,#100437); #63447=LINE('',#452694,#100438); #63448=LINE('',#452696,#100439); #63449=LINE('',#452697,#100440); #63450=LINE('',#452700,#100441); #63451=LINE('',#452702,#100442); #63452=LINE('',#452703,#100443); #63453=LINE('',#452706,#100444); #63454=LINE('',#452708,#100445); #63455=LINE('',#452709,#100446); #63456=LINE('',#452712,#100447); #63457=LINE('',#452714,#100448); #63458=LINE('',#452715,#100449); #63459=LINE('',#452718,#100450); #63460=LINE('',#452720,#100451); #63461=LINE('',#452721,#100452); #63462=LINE('',#452724,#100453); #63463=LINE('',#452726,#100454); #63464=LINE('',#452727,#100455); #63465=LINE('',#452730,#100456); #63466=LINE('',#452732,#100457); #63467=LINE('',#452733,#100458); #63468=LINE('',#452736,#100459); #63469=LINE('',#452738,#100460); #63470=LINE('',#452739,#100461); #63471=LINE('',#452742,#100462); #63472=LINE('',#452744,#100463); #63473=LINE('',#452745,#100464); #63474=LINE('',#452748,#100465); #63475=LINE('',#452750,#100466); #63476=LINE('',#452751,#100467); #63477=LINE('',#452754,#100468); #63478=LINE('',#452756,#100469); #63479=LINE('',#452757,#100470); #63480=LINE('',#452760,#100471); #63481=LINE('',#452762,#100472); #63482=LINE('',#452763,#100473); #63483=LINE('',#452766,#100474); #63484=LINE('',#452768,#100475); #63485=LINE('',#452769,#100476); #63486=LINE('',#452772,#100477); #63487=LINE('',#452774,#100478); #63488=LINE('',#452775,#100479); #63489=LINE('',#452778,#100480); #63490=LINE('',#452780,#100481); #63491=LINE('',#452781,#100482); #63492=LINE('',#452784,#100483); #63493=LINE('',#452786,#100484); #63494=LINE('',#452787,#100485); #63495=LINE('',#452790,#100486); #63496=LINE('',#452792,#100487); #63497=LINE('',#452793,#100488); #63498=LINE('',#452796,#100489); #63499=LINE('',#452798,#100490); #63500=LINE('',#452799,#100491); #63501=LINE('',#452802,#100492); #63502=LINE('',#452804,#100493); #63503=LINE('',#452805,#100494); #63504=LINE('',#452808,#100495); #63505=LINE('',#452810,#100496); #63506=LINE('',#452811,#100497); #63507=LINE('',#452814,#100498); #63508=LINE('',#452816,#100499); #63509=LINE('',#452817,#100500); #63510=LINE('',#452820,#100501); #63511=LINE('',#452822,#100502); #63512=LINE('',#452823,#100503); #63513=LINE('',#452826,#100504); #63514=LINE('',#452828,#100505); #63515=LINE('',#452829,#100506); #63516=LINE('',#452832,#100507); #63517=LINE('',#452834,#100508); #63518=LINE('',#452835,#100509); #63519=LINE('',#452838,#100510); #63520=LINE('',#452840,#100511); #63521=LINE('',#452841,#100512); #63522=LINE('',#452844,#100513); #63523=LINE('',#452846,#100514); #63524=LINE('',#452847,#100515); #63525=LINE('',#452850,#100516); #63526=LINE('',#452852,#100517); #63527=LINE('',#452853,#100518); #63528=LINE('',#452856,#100519); #63529=LINE('',#452858,#100520); #63530=LINE('',#452859,#100521); #63531=LINE('',#452862,#100522); #63532=LINE('',#452864,#100523); #63533=LINE('',#452865,#100524); #63534=LINE('',#452868,#100525); #63535=LINE('',#452870,#100526); #63536=LINE('',#452871,#100527); #63537=LINE('',#452874,#100528); #63538=LINE('',#452876,#100529); #63539=LINE('',#452877,#100530); #63540=LINE('',#452880,#100531); #63541=LINE('',#452882,#100532); #63542=LINE('',#452883,#100533); #63543=LINE('',#452886,#100534); #63544=LINE('',#452888,#100535); #63545=LINE('',#452889,#100536); #63546=LINE('',#452892,#100537); #63547=LINE('',#452894,#100538); #63548=LINE('',#452895,#100539); #63549=LINE('',#452898,#100540); #63550=LINE('',#452900,#100541); #63551=LINE('',#452901,#100542); #63552=LINE('',#452904,#100543); #63553=LINE('',#452906,#100544); #63554=LINE('',#452907,#100545); #63555=LINE('',#452910,#100546); #63556=LINE('',#452912,#100547); #63557=LINE('',#452913,#100548); #63558=LINE('',#452916,#100549); #63559=LINE('',#452918,#100550); #63560=LINE('',#452919,#100551); #63561=LINE('',#452922,#100552); #63562=LINE('',#452924,#100553); #63563=LINE('',#452925,#100554); #63564=LINE('',#452928,#100555); #63565=LINE('',#452930,#100556); #63566=LINE('',#452931,#100557); #63567=LINE('',#452934,#100558); #63568=LINE('',#452936,#100559); #63569=LINE('',#452937,#100560); #63570=LINE('',#452940,#100561); #63571=LINE('',#452942,#100562); #63572=LINE('',#452943,#100563); #63573=LINE('',#452946,#100564); #63574=LINE('',#452948,#100565); #63575=LINE('',#452949,#100566); #63576=LINE('',#452952,#100567); #63577=LINE('',#452954,#100568); #63578=LINE('',#452955,#100569); #63579=LINE('',#452958,#100570); #63580=LINE('',#452960,#100571); #63581=LINE('',#452961,#100572); #63582=LINE('',#452964,#100573); #63583=LINE('',#452966,#100574); #63584=LINE('',#452967,#100575); #63585=LINE('',#452970,#100576); #63586=LINE('',#452972,#100577); #63587=LINE('',#452973,#100578); #63588=LINE('',#452976,#100579); #63589=LINE('',#452978,#100580); #63590=LINE('',#452979,#100581); #63591=LINE('',#452982,#100582); #63592=LINE('',#452984,#100583); #63593=LINE('',#452985,#100584); #63594=LINE('',#452988,#100585); #63595=LINE('',#452990,#100586); #63596=LINE('',#452991,#100587); #63597=LINE('',#452994,#100588); #63598=LINE('',#452996,#100589); #63599=LINE('',#452997,#100590); #63600=LINE('',#453000,#100591); #63601=LINE('',#453002,#100592); #63602=LINE('',#453003,#100593); #63603=LINE('',#453006,#100594); #63604=LINE('',#453008,#100595); #63605=LINE('',#453009,#100596); #63606=LINE('',#453012,#100597); #63607=LINE('',#453014,#100598); #63608=LINE('',#453015,#100599); #63609=LINE('',#453018,#100600); #63610=LINE('',#453020,#100601); #63611=LINE('',#453021,#100602); #63612=LINE('',#453024,#100603); #63613=LINE('',#453026,#100604); #63614=LINE('',#453027,#100605); #63615=LINE('',#453030,#100606); #63616=LINE('',#453032,#100607); #63617=LINE('',#453033,#100608); #63618=LINE('',#453036,#100609); #63619=LINE('',#453038,#100610); #63620=LINE('',#453039,#100611); #63621=LINE('',#453042,#100612); #63622=LINE('',#453044,#100613); #63623=LINE('',#453045,#100614); #63624=LINE('',#453048,#100615); #63625=LINE('',#453050,#100616); #63626=LINE('',#453051,#100617); #63627=LINE('',#453054,#100618); #63628=LINE('',#453056,#100619); #63629=LINE('',#453057,#100620); #63630=LINE('',#453060,#100621); #63631=LINE('',#453062,#100622); #63632=LINE('',#453063,#100623); #63633=LINE('',#453066,#100624); #63634=LINE('',#453068,#100625); #63635=LINE('',#453069,#100626); #63636=LINE('',#453072,#100627); #63637=LINE('',#453074,#100628); #63638=LINE('',#453075,#100629); #63639=LINE('',#453078,#100630); #63640=LINE('',#453080,#100631); #63641=LINE('',#453081,#100632); #63642=LINE('',#453084,#100633); #63643=LINE('',#453086,#100634); #63644=LINE('',#453087,#100635); #63645=LINE('',#453090,#100636); #63646=LINE('',#453092,#100637); #63647=LINE('',#453093,#100638); #63648=LINE('',#453095,#100639); #63649=LINE('',#453096,#100640); #63650=LINE('',#453102,#100641); #63651=LINE('',#453104,#100642); #63652=LINE('',#453106,#100643); #63653=LINE('',#453107,#100644); #63654=LINE('',#453110,#100645); #63655=LINE('',#453112,#100646); #63656=LINE('',#453113,#100647); #63657=LINE('',#453116,#100648); #63658=LINE('',#453118,#100649); #63659=LINE('',#453119,#100650); #63660=LINE('',#453122,#100651); #63661=LINE('',#453124,#100652); #63662=LINE('',#453125,#100653); #63663=LINE('',#453128,#100654); #63664=LINE('',#453130,#100655); #63665=LINE('',#453131,#100656); #63666=LINE('',#453134,#100657); #63667=LINE('',#453136,#100658); #63668=LINE('',#453137,#100659); #63669=LINE('',#453140,#100660); #63670=LINE('',#453142,#100661); #63671=LINE('',#453143,#100662); #63672=LINE('',#453146,#100663); #63673=LINE('',#453148,#100664); #63674=LINE('',#453149,#100665); #63675=LINE('',#453152,#100666); #63676=LINE('',#453154,#100667); #63677=LINE('',#453155,#100668); #63678=LINE('',#453158,#100669); #63679=LINE('',#453160,#100670); #63680=LINE('',#453161,#100671); #63681=LINE('',#453164,#100672); #63682=LINE('',#453166,#100673); #63683=LINE('',#453167,#100674); #63684=LINE('',#453170,#100675); #63685=LINE('',#453172,#100676); #63686=LINE('',#453173,#100677); #63687=LINE('',#453176,#100678); #63688=LINE('',#453178,#100679); #63689=LINE('',#453179,#100680); #63690=LINE('',#453182,#100681); #63691=LINE('',#453184,#100682); #63692=LINE('',#453185,#100683); #63693=LINE('',#453188,#100684); #63694=LINE('',#453190,#100685); #63695=LINE('',#453191,#100686); #63696=LINE('',#453194,#100687); #63697=LINE('',#453196,#100688); #63698=LINE('',#453197,#100689); #63699=LINE('',#453200,#100690); #63700=LINE('',#453202,#100691); #63701=LINE('',#453203,#100692); #63702=LINE('',#453206,#100693); #63703=LINE('',#453208,#100694); #63704=LINE('',#453209,#100695); #63705=LINE('',#453212,#100696); #63706=LINE('',#453214,#100697); #63707=LINE('',#453215,#100698); #63708=LINE('',#453218,#100699); #63709=LINE('',#453220,#100700); #63710=LINE('',#453221,#100701); #63711=LINE('',#453224,#100702); #63712=LINE('',#453226,#100703); #63713=LINE('',#453227,#100704); #63714=LINE('',#453230,#100705); #63715=LINE('',#453232,#100706); #63716=LINE('',#453233,#100707); #63717=LINE('',#453236,#100708); #63718=LINE('',#453238,#100709); #63719=LINE('',#453239,#100710); #63720=LINE('',#453242,#100711); #63721=LINE('',#453244,#100712); #63722=LINE('',#453245,#100713); #63723=LINE('',#453248,#100714); #63724=LINE('',#453250,#100715); #63725=LINE('',#453251,#100716); #63726=LINE('',#453254,#100717); #63727=LINE('',#453256,#100718); #63728=LINE('',#453257,#100719); #63729=LINE('',#453260,#100720); #63730=LINE('',#453262,#100721); #63731=LINE('',#453263,#100722); #63732=LINE('',#453266,#100723); #63733=LINE('',#453268,#100724); #63734=LINE('',#453269,#100725); #63735=LINE('',#453272,#100726); #63736=LINE('',#453274,#100727); #63737=LINE('',#453275,#100728); #63738=LINE('',#453278,#100729); #63739=LINE('',#453280,#100730); #63740=LINE('',#453281,#100731); #63741=LINE('',#453284,#100732); #63742=LINE('',#453286,#100733); #63743=LINE('',#453287,#100734); #63744=LINE('',#453290,#100735); #63745=LINE('',#453292,#100736); #63746=LINE('',#453293,#100737); #63747=LINE('',#453296,#100738); #63748=LINE('',#453298,#100739); #63749=LINE('',#453299,#100740); #63750=LINE('',#453301,#100741); #63751=LINE('',#453302,#100742); #63752=LINE('',#453308,#100743); #63753=LINE('',#453310,#100744); #63754=LINE('',#453312,#100745); #63755=LINE('',#453313,#100746); #63756=LINE('',#453316,#100747); #63757=LINE('',#453318,#100748); #63758=LINE('',#453319,#100749); #63759=LINE('',#453322,#100750); #63760=LINE('',#453324,#100751); #63761=LINE('',#453325,#100752); #63762=LINE('',#453328,#100753); #63763=LINE('',#453330,#100754); #63764=LINE('',#453331,#100755); #63765=LINE('',#453334,#100756); #63766=LINE('',#453336,#100757); #63767=LINE('',#453337,#100758); #63768=LINE('',#453340,#100759); #63769=LINE('',#453342,#100760); #63770=LINE('',#453343,#100761); #63771=LINE('',#453346,#100762); #63772=LINE('',#453348,#100763); #63773=LINE('',#453349,#100764); #63774=LINE('',#453352,#100765); #63775=LINE('',#453354,#100766); #63776=LINE('',#453355,#100767); #63777=LINE('',#453358,#100768); #63778=LINE('',#453360,#100769); #63779=LINE('',#453361,#100770); #63780=LINE('',#453364,#100771); #63781=LINE('',#453366,#100772); #63782=LINE('',#453367,#100773); #63783=LINE('',#453370,#100774); #63784=LINE('',#453372,#100775); #63785=LINE('',#453373,#100776); #63786=LINE('',#453376,#100777); #63787=LINE('',#453378,#100778); #63788=LINE('',#453379,#100779); #63789=LINE('',#453382,#100780); #63790=LINE('',#453384,#100781); #63791=LINE('',#453385,#100782); #63792=LINE('',#453388,#100783); #63793=LINE('',#453390,#100784); #63794=LINE('',#453391,#100785); #63795=LINE('',#453394,#100786); #63796=LINE('',#453396,#100787); #63797=LINE('',#453397,#100788); #63798=LINE('',#453400,#100789); #63799=LINE('',#453402,#100790); #63800=LINE('',#453403,#100791); #63801=LINE('',#453406,#100792); #63802=LINE('',#453408,#100793); #63803=LINE('',#453409,#100794); #63804=LINE('',#453412,#100795); #63805=LINE('',#453414,#100796); #63806=LINE('',#453415,#100797); #63807=LINE('',#453418,#100798); #63808=LINE('',#453420,#100799); #63809=LINE('',#453421,#100800); #63810=LINE('',#453423,#100801); #63811=LINE('',#453424,#100802); #63812=LINE('',#453430,#100803); #63813=LINE('',#453432,#100804); #63814=LINE('',#453434,#100805); #63815=LINE('',#453435,#100806); #63816=LINE('',#453438,#100807); #63817=LINE('',#453440,#100808); #63818=LINE('',#453441,#100809); #63819=LINE('',#453444,#100810); #63820=LINE('',#453446,#100811); #63821=LINE('',#453447,#100812); #63822=LINE('',#453450,#100813); #63823=LINE('',#453452,#100814); #63824=LINE('',#453453,#100815); #63825=LINE('',#453456,#100816); #63826=LINE('',#453458,#100817); #63827=LINE('',#453459,#100818); #63828=LINE('',#453462,#100819); #63829=LINE('',#453464,#100820); #63830=LINE('',#453465,#100821); #63831=LINE('',#453468,#100822); #63832=LINE('',#453470,#100823); #63833=LINE('',#453471,#100824); #63834=LINE('',#453474,#100825); #63835=LINE('',#453476,#100826); #63836=LINE('',#453477,#100827); #63837=LINE('',#453480,#100828); #63838=LINE('',#453482,#100829); #63839=LINE('',#453483,#100830); #63840=LINE('',#453486,#100831); #63841=LINE('',#453488,#100832); #63842=LINE('',#453489,#100833); #63843=LINE('',#453492,#100834); #63844=LINE('',#453494,#100835); #63845=LINE('',#453495,#100836); #63846=LINE('',#453498,#100837); #63847=LINE('',#453500,#100838); #63848=LINE('',#453501,#100839); #63849=LINE('',#453504,#100840); #63850=LINE('',#453506,#100841); #63851=LINE('',#453507,#100842); #63852=LINE('',#453510,#100843); #63853=LINE('',#453512,#100844); #63854=LINE('',#453513,#100845); #63855=LINE('',#453516,#100846); #63856=LINE('',#453518,#100847); #63857=LINE('',#453519,#100848); #63858=LINE('',#453522,#100849); #63859=LINE('',#453524,#100850); #63860=LINE('',#453525,#100851); #63861=LINE('',#453528,#100852); #63862=LINE('',#453530,#100853); #63863=LINE('',#453531,#100854); #63864=LINE('',#453534,#100855); #63865=LINE('',#453536,#100856); #63866=LINE('',#453537,#100857); #63867=LINE('',#453540,#100858); #63868=LINE('',#453542,#100859); #63869=LINE('',#453543,#100860); #63870=LINE('',#453546,#100861); #63871=LINE('',#453548,#100862); #63872=LINE('',#453549,#100863); #63873=LINE('',#453551,#100864); #63874=LINE('',#453552,#100865); #63875=LINE('',#453559,#100866); #63876=LINE('',#453564,#100867); #63877=LINE('',#453566,#100868); #63878=LINE('',#453568,#100869); #63879=LINE('',#453569,#100870); #63880=LINE('',#453575,#100871); #63881=LINE('',#453578,#100872); #63882=LINE('',#453580,#100873); #63883=LINE('',#453581,#100874); #63884=LINE('',#453589,#100875); #63885=LINE('',#453595,#100876); #63886=LINE('',#453601,#100877); #63887=LINE('',#453607,#100878); #63888=LINE('',#453613,#100879); #63889=LINE('',#453619,#100880); #63890=LINE('',#453625,#100881); #63891=LINE('',#453631,#100882); #63892=LINE('',#453637,#100883); #63893=LINE('',#453642,#100884); #63894=LINE('',#453644,#100885); #63895=LINE('',#453646,#100886); #63896=LINE('',#453647,#100887); #63897=LINE('',#453650,#100888); #63898=LINE('',#453652,#100889); #63899=LINE('',#453653,#100890); #63900=LINE('',#453656,#100891); #63901=LINE('',#453658,#100892); #63902=LINE('',#453659,#100893); #63903=LINE('',#453662,#100894); #63904=LINE('',#453664,#100895); #63905=LINE('',#453665,#100896); #63906=LINE('',#453668,#100897); #63907=LINE('',#453670,#100898); #63908=LINE('',#453671,#100899); #63909=LINE('',#453674,#100900); #63910=LINE('',#453676,#100901); #63911=LINE('',#453677,#100902); #63912=LINE('',#453680,#100903); #63913=LINE('',#453682,#100904); #63914=LINE('',#453683,#100905); #63915=LINE('',#453686,#100906); #63916=LINE('',#453688,#100907); #63917=LINE('',#453689,#100908); #63918=LINE('',#453692,#100909); #63919=LINE('',#453694,#100910); #63920=LINE('',#453695,#100911); #63921=LINE('',#453698,#100912); #63922=LINE('',#453700,#100913); #63923=LINE('',#453701,#100914); #63924=LINE('',#453704,#100915); #63925=LINE('',#453706,#100916); #63926=LINE('',#453707,#100917); #63927=LINE('',#453710,#100918); #63928=LINE('',#453712,#100919); #63929=LINE('',#453713,#100920); #63930=LINE('',#453716,#100921); #63931=LINE('',#453718,#100922); #63932=LINE('',#453719,#100923); #63933=LINE('',#453722,#100924); #63934=LINE('',#453724,#100925); #63935=LINE('',#453725,#100926); #63936=LINE('',#453728,#100927); #63937=LINE('',#453730,#100928); #63938=LINE('',#453731,#100929); #63939=LINE('',#453733,#100930); #63940=LINE('',#453734,#100931); #63941=LINE('',#453738,#100932); #63942=LINE('',#453740,#100933); #63943=LINE('',#453742,#100934); #63944=LINE('',#453743,#100935); #63945=LINE('',#453746,#100936); #63946=LINE('',#453748,#100937); #63947=LINE('',#453749,#100938); #63948=LINE('',#453752,#100939); #63949=LINE('',#453754,#100940); #63950=LINE('',#453755,#100941); #63951=LINE('',#453758,#100942); #63952=LINE('',#453760,#100943); #63953=LINE('',#453761,#100944); #63954=LINE('',#453764,#100945); #63955=LINE('',#453766,#100946); #63956=LINE('',#453767,#100947); #63957=LINE('',#453770,#100948); #63958=LINE('',#453772,#100949); #63959=LINE('',#453773,#100950); #63960=LINE('',#453776,#100951); #63961=LINE('',#453778,#100952); #63962=LINE('',#453779,#100953); #63963=LINE('',#453782,#100954); #63964=LINE('',#453784,#100955); #63965=LINE('',#453785,#100956); #63966=LINE('',#453788,#100957); #63967=LINE('',#453790,#100958); #63968=LINE('',#453791,#100959); #63969=LINE('',#453794,#100960); #63970=LINE('',#453796,#100961); #63971=LINE('',#453797,#100962); #63972=LINE('',#453800,#100963); #63973=LINE('',#453802,#100964); #63974=LINE('',#453803,#100965); #63975=LINE('',#453806,#100966); #63976=LINE('',#453808,#100967); #63977=LINE('',#453809,#100968); #63978=LINE('',#453812,#100969); #63979=LINE('',#453814,#100970); #63980=LINE('',#453815,#100971); #63981=LINE('',#453818,#100972); #63982=LINE('',#453820,#100973); #63983=LINE('',#453821,#100974); #63984=LINE('',#453824,#100975); #63985=LINE('',#453826,#100976); #63986=LINE('',#453827,#100977); #63987=LINE('',#453830,#100978); #63988=LINE('',#453832,#100979); #63989=LINE('',#453833,#100980); #63990=LINE('',#453836,#100981); #63991=LINE('',#453838,#100982); #63992=LINE('',#453839,#100983); #63993=LINE('',#453842,#100984); #63994=LINE('',#453844,#100985); #63995=LINE('',#453845,#100986); #63996=LINE('',#453848,#100987); #63997=LINE('',#453850,#100988); #63998=LINE('',#453851,#100989); #63999=LINE('',#453854,#100990); #64000=LINE('',#453856,#100991); #64001=LINE('',#453857,#100992); #64002=LINE('',#453860,#100993); #64003=LINE('',#453862,#100994); #64004=LINE('',#453863,#100995); #64005=LINE('',#453866,#100996); #64006=LINE('',#453868,#100997); #64007=LINE('',#453869,#100998); #64008=LINE('',#453872,#100999); #64009=LINE('',#453874,#101000); #64010=LINE('',#453875,#101001); #64011=LINE('',#453878,#101002); #64012=LINE('',#453880,#101003); #64013=LINE('',#453881,#101004); #64014=LINE('',#453884,#101005); #64015=LINE('',#453886,#101006); #64016=LINE('',#453887,#101007); #64017=LINE('',#453890,#101008); #64018=LINE('',#453892,#101009); #64019=LINE('',#453893,#101010); #64020=LINE('',#453896,#101011); #64021=LINE('',#453898,#101012); #64022=LINE('',#453899,#101013); #64023=LINE('',#453902,#101014); #64024=LINE('',#453904,#101015); #64025=LINE('',#453905,#101016); #64026=LINE('',#453908,#101017); #64027=LINE('',#453910,#101018); #64028=LINE('',#453911,#101019); #64029=LINE('',#453914,#101020); #64030=LINE('',#453916,#101021); #64031=LINE('',#453917,#101022); #64032=LINE('',#453920,#101023); #64033=LINE('',#453922,#101024); #64034=LINE('',#453923,#101025); #64035=LINE('',#453926,#101026); #64036=LINE('',#453928,#101027); #64037=LINE('',#453929,#101028); #64038=LINE('',#453932,#101029); #64039=LINE('',#453934,#101030); #64040=LINE('',#453935,#101031); #64041=LINE('',#453938,#101032); #64042=LINE('',#453940,#101033); #64043=LINE('',#453941,#101034); #64044=LINE('',#453944,#101035); #64045=LINE('',#453946,#101036); #64046=LINE('',#453947,#101037); #64047=LINE('',#453950,#101038); #64048=LINE('',#453952,#101039); #64049=LINE('',#453953,#101040); #64050=LINE('',#453956,#101041); #64051=LINE('',#453958,#101042); #64052=LINE('',#453959,#101043); #64053=LINE('',#453962,#101044); #64054=LINE('',#453964,#101045); #64055=LINE('',#453965,#101046); #64056=LINE('',#453968,#101047); #64057=LINE('',#453970,#101048); #64058=LINE('',#453971,#101049); #64059=LINE('',#453974,#101050); #64060=LINE('',#453976,#101051); #64061=LINE('',#453977,#101052); #64062=LINE('',#453980,#101053); #64063=LINE('',#453982,#101054); #64064=LINE('',#453983,#101055); #64065=LINE('',#453986,#101056); #64066=LINE('',#453988,#101057); #64067=LINE('',#453989,#101058); #64068=LINE('',#453992,#101059); #64069=LINE('',#453994,#101060); #64070=LINE('',#453995,#101061); #64071=LINE('',#453998,#101062); #64072=LINE('',#454000,#101063); #64073=LINE('',#454001,#101064); #64074=LINE('',#454004,#101065); #64075=LINE('',#454006,#101066); #64076=LINE('',#454007,#101067); #64077=LINE('',#454010,#101068); #64078=LINE('',#454012,#101069); #64079=LINE('',#454013,#101070); #64080=LINE('',#454016,#101071); #64081=LINE('',#454018,#101072); #64082=LINE('',#454019,#101073); #64083=LINE('',#454022,#101074); #64084=LINE('',#454024,#101075); #64085=LINE('',#454025,#101076); #64086=LINE('',#454028,#101077); #64087=LINE('',#454030,#101078); #64088=LINE('',#454031,#101079); #64089=LINE('',#454034,#101080); #64090=LINE('',#454036,#101081); #64091=LINE('',#454037,#101082); #64092=LINE('',#454040,#101083); #64093=LINE('',#454042,#101084); #64094=LINE('',#454043,#101085); #64095=LINE('',#454046,#101086); #64096=LINE('',#454048,#101087); #64097=LINE('',#454049,#101088); #64098=LINE('',#454052,#101089); #64099=LINE('',#454054,#101090); #64100=LINE('',#454055,#101091); #64101=LINE('',#454058,#101092); #64102=LINE('',#454060,#101093); #64103=LINE('',#454061,#101094); #64104=LINE('',#454064,#101095); #64105=LINE('',#454066,#101096); #64106=LINE('',#454067,#101097); #64107=LINE('',#454070,#101098); #64108=LINE('',#454072,#101099); #64109=LINE('',#454073,#101100); #64110=LINE('',#454076,#101101); #64111=LINE('',#454078,#101102); #64112=LINE('',#454079,#101103); #64113=LINE('',#454082,#101104); #64114=LINE('',#454084,#101105); #64115=LINE('',#454085,#101106); #64116=LINE('',#454088,#101107); #64117=LINE('',#454090,#101108); #64118=LINE('',#454091,#101109); #64119=LINE('',#454094,#101110); #64120=LINE('',#454096,#101111); #64121=LINE('',#454097,#101112); #64122=LINE('',#454100,#101113); #64123=LINE('',#454102,#101114); #64124=LINE('',#454103,#101115); #64125=LINE('',#454106,#101116); #64126=LINE('',#454108,#101117); #64127=LINE('',#454109,#101118); #64128=LINE('',#454112,#101119); #64129=LINE('',#454114,#101120); #64130=LINE('',#454115,#101121); #64131=LINE('',#454118,#101122); #64132=LINE('',#454120,#101123); #64133=LINE('',#454121,#101124); #64134=LINE('',#454124,#101125); #64135=LINE('',#454126,#101126); #64136=LINE('',#454127,#101127); #64137=LINE('',#454130,#101128); #64138=LINE('',#454132,#101129); #64139=LINE('',#454133,#101130); #64140=LINE('',#454136,#101131); #64141=LINE('',#454138,#101132); #64142=LINE('',#454139,#101133); #64143=LINE('',#454142,#101134); #64144=LINE('',#454144,#101135); #64145=LINE('',#454145,#101136); #64146=LINE('',#454148,#101137); #64147=LINE('',#454150,#101138); #64148=LINE('',#454151,#101139); #64149=LINE('',#454154,#101140); #64150=LINE('',#454156,#101141); #64151=LINE('',#454157,#101142); #64152=LINE('',#454160,#101143); #64153=LINE('',#454162,#101144); #64154=LINE('',#454163,#101145); #64155=LINE('',#454166,#101146); #64156=LINE('',#454168,#101147); #64157=LINE('',#454169,#101148); #64158=LINE('',#454172,#101149); #64159=LINE('',#454174,#101150); #64160=LINE('',#454175,#101151); #64161=LINE('',#454178,#101152); #64162=LINE('',#454180,#101153); #64163=LINE('',#454181,#101154); #64164=LINE('',#454184,#101155); #64165=LINE('',#454186,#101156); #64166=LINE('',#454187,#101157); #64167=LINE('',#454190,#101158); #64168=LINE('',#454192,#101159); #64169=LINE('',#454193,#101160); #64170=LINE('',#454196,#101161); #64171=LINE('',#454198,#101162); #64172=LINE('',#454199,#101163); #64173=LINE('',#454202,#101164); #64174=LINE('',#454204,#101165); #64175=LINE('',#454205,#101166); #64176=LINE('',#454208,#101167); #64177=LINE('',#454210,#101168); #64178=LINE('',#454211,#101169); #64179=LINE('',#454214,#101170); #64180=LINE('',#454216,#101171); #64181=LINE('',#454217,#101172); #64182=LINE('',#454220,#101173); #64183=LINE('',#454222,#101174); #64184=LINE('',#454223,#101175); #64185=LINE('',#454226,#101176); #64186=LINE('',#454228,#101177); #64187=LINE('',#454229,#101178); #64188=LINE('',#454232,#101179); #64189=LINE('',#454234,#101180); #64190=LINE('',#454235,#101181); #64191=LINE('',#454238,#101182); #64192=LINE('',#454240,#101183); #64193=LINE('',#454241,#101184); #64194=LINE('',#454244,#101185); #64195=LINE('',#454246,#101186); #64196=LINE('',#454247,#101187); #64197=LINE('',#454250,#101188); #64198=LINE('',#454252,#101189); #64199=LINE('',#454253,#101190); #64200=LINE('',#454256,#101191); #64201=LINE('',#454258,#101192); #64202=LINE('',#454259,#101193); #64203=LINE('',#454262,#101194); #64204=LINE('',#454264,#101195); #64205=LINE('',#454265,#101196); #64206=LINE('',#454268,#101197); #64207=LINE('',#454270,#101198); #64208=LINE('',#454271,#101199); #64209=LINE('',#454274,#101200); #64210=LINE('',#454276,#101201); #64211=LINE('',#454277,#101202); #64212=LINE('',#454280,#101203); #64213=LINE('',#454282,#101204); #64214=LINE('',#454283,#101205); #64215=LINE('',#454286,#101206); #64216=LINE('',#454288,#101207); #64217=LINE('',#454289,#101208); #64218=LINE('',#454292,#101209); #64219=LINE('',#454294,#101210); #64220=LINE('',#454295,#101211); #64221=LINE('',#454298,#101212); #64222=LINE('',#454300,#101213); #64223=LINE('',#454301,#101214); #64224=LINE('',#454304,#101215); #64225=LINE('',#454306,#101216); #64226=LINE('',#454307,#101217); #64227=LINE('',#454310,#101218); #64228=LINE('',#454312,#101219); #64229=LINE('',#454313,#101220); #64230=LINE('',#454316,#101221); #64231=LINE('',#454318,#101222); #64232=LINE('',#454319,#101223); #64233=LINE('',#454322,#101224); #64234=LINE('',#454324,#101225); #64235=LINE('',#454325,#101226); #64236=LINE('',#454328,#101227); #64237=LINE('',#454330,#101228); #64238=LINE('',#454331,#101229); #64239=LINE('',#454334,#101230); #64240=LINE('',#454336,#101231); #64241=LINE('',#454337,#101232); #64242=LINE('',#454340,#101233); #64243=LINE('',#454342,#101234); #64244=LINE('',#454343,#101235); #64245=LINE('',#454346,#101236); #64246=LINE('',#454348,#101237); #64247=LINE('',#454349,#101238); #64248=LINE('',#454352,#101239); #64249=LINE('',#454354,#101240); #64250=LINE('',#454355,#101241); #64251=LINE('',#454358,#101242); #64252=LINE('',#454360,#101243); #64253=LINE('',#454361,#101244); #64254=LINE('',#454364,#101245); #64255=LINE('',#454366,#101246); #64256=LINE('',#454367,#101247); #64257=LINE('',#454370,#101248); #64258=LINE('',#454372,#101249); #64259=LINE('',#454373,#101250); #64260=LINE('',#454376,#101251); #64261=LINE('',#454378,#101252); #64262=LINE('',#454379,#101253); #64263=LINE('',#454382,#101254); #64264=LINE('',#454384,#101255); #64265=LINE('',#454385,#101256); #64266=LINE('',#454388,#101257); #64267=LINE('',#454390,#101258); #64268=LINE('',#454391,#101259); #64269=LINE('',#454394,#101260); #64270=LINE('',#454396,#101261); #64271=LINE('',#454397,#101262); #64272=LINE('',#454400,#101263); #64273=LINE('',#454402,#101264); #64274=LINE('',#454403,#101265); #64275=LINE('',#454406,#101266); #64276=LINE('',#454408,#101267); #64277=LINE('',#454409,#101268); #64278=LINE('',#454412,#101269); #64279=LINE('',#454414,#101270); #64280=LINE('',#454415,#101271); #64281=LINE('',#454418,#101272); #64282=LINE('',#454420,#101273); #64283=LINE('',#454421,#101274); #64284=LINE('',#454424,#101275); #64285=LINE('',#454426,#101276); #64286=LINE('',#454427,#101277); #64287=LINE('',#454430,#101278); #64288=LINE('',#454432,#101279); #64289=LINE('',#454433,#101280); #64290=LINE('',#454436,#101281); #64291=LINE('',#454438,#101282); #64292=LINE('',#454439,#101283); #64293=LINE('',#454442,#101284); #64294=LINE('',#454444,#101285); #64295=LINE('',#454445,#101286); #64296=LINE('',#454448,#101287); #64297=LINE('',#454450,#101288); #64298=LINE('',#454451,#101289); #64299=LINE('',#454454,#101290); #64300=LINE('',#454456,#101291); #64301=LINE('',#454457,#101292); #64302=LINE('',#454460,#101293); #64303=LINE('',#454462,#101294); #64304=LINE('',#454463,#101295); #64305=LINE('',#454466,#101296); #64306=LINE('',#454468,#101297); #64307=LINE('',#454469,#101298); #64308=LINE('',#454472,#101299); #64309=LINE('',#454474,#101300); #64310=LINE('',#454475,#101301); #64311=LINE('',#454478,#101302); #64312=LINE('',#454480,#101303); #64313=LINE('',#454481,#101304); #64314=LINE('',#454484,#101305); #64315=LINE('',#454486,#101306); #64316=LINE('',#454487,#101307); #64317=LINE('',#454490,#101308); #64318=LINE('',#454492,#101309); #64319=LINE('',#454493,#101310); #64320=LINE('',#454496,#101311); #64321=LINE('',#454498,#101312); #64322=LINE('',#454499,#101313); #64323=LINE('',#454502,#101314); #64324=LINE('',#454504,#101315); #64325=LINE('',#454505,#101316); #64326=LINE('',#454508,#101317); #64327=LINE('',#454510,#101318); #64328=LINE('',#454511,#101319); #64329=LINE('',#454514,#101320); #64330=LINE('',#454516,#101321); #64331=LINE('',#454517,#101322); #64332=LINE('',#454520,#101323); #64333=LINE('',#454522,#101324); #64334=LINE('',#454523,#101325); #64335=LINE('',#454526,#101326); #64336=LINE('',#454528,#101327); #64337=LINE('',#454529,#101328); #64338=LINE('',#454532,#101329); #64339=LINE('',#454534,#101330); #64340=LINE('',#454535,#101331); #64341=LINE('',#454538,#101332); #64342=LINE('',#454540,#101333); #64343=LINE('',#454541,#101334); #64344=LINE('',#454544,#101335); #64345=LINE('',#454546,#101336); #64346=LINE('',#454547,#101337); #64347=LINE('',#454550,#101338); #64348=LINE('',#454552,#101339); #64349=LINE('',#454553,#101340); #64350=LINE('',#454556,#101341); #64351=LINE('',#454558,#101342); #64352=LINE('',#454559,#101343); #64353=LINE('',#454562,#101344); #64354=LINE('',#454564,#101345); #64355=LINE('',#454565,#101346); #64356=LINE('',#454568,#101347); #64357=LINE('',#454570,#101348); #64358=LINE('',#454571,#101349); #64359=LINE('',#454574,#101350); #64360=LINE('',#454576,#101351); #64361=LINE('',#454577,#101352); #64362=LINE('',#454580,#101353); #64363=LINE('',#454582,#101354); #64364=LINE('',#454583,#101355); #64365=LINE('',#454586,#101356); #64366=LINE('',#454588,#101357); #64367=LINE('',#454589,#101358); #64368=LINE('',#454592,#101359); #64369=LINE('',#454594,#101360); #64370=LINE('',#454595,#101361); #64371=LINE('',#454598,#101362); #64372=LINE('',#454600,#101363); #64373=LINE('',#454601,#101364); #64374=LINE('',#454604,#101365); #64375=LINE('',#454606,#101366); #64376=LINE('',#454607,#101367); #64377=LINE('',#454610,#101368); #64378=LINE('',#454612,#101369); #64379=LINE('',#454613,#101370); #64380=LINE('',#454616,#101371); #64381=LINE('',#454618,#101372); #64382=LINE('',#454619,#101373); #64383=LINE('',#454622,#101374); #64384=LINE('',#454624,#101375); #64385=LINE('',#454625,#101376); #64386=LINE('',#454628,#101377); #64387=LINE('',#454630,#101378); #64388=LINE('',#454631,#101379); #64389=LINE('',#454634,#101380); #64390=LINE('',#454636,#101381); #64391=LINE('',#454637,#101382); #64392=LINE('',#454640,#101383); #64393=LINE('',#454642,#101384); #64394=LINE('',#454643,#101385); #64395=LINE('',#454646,#101386); #64396=LINE('',#454648,#101387); #64397=LINE('',#454649,#101388); #64398=LINE('',#454652,#101389); #64399=LINE('',#454654,#101390); #64400=LINE('',#454655,#101391); #64401=LINE('',#454658,#101392); #64402=LINE('',#454660,#101393); #64403=LINE('',#454661,#101394); #64404=LINE('',#454664,#101395); #64405=LINE('',#454666,#101396); #64406=LINE('',#454667,#101397); #64407=LINE('',#454670,#101398); #64408=LINE('',#454672,#101399); #64409=LINE('',#454673,#101400); #64410=LINE('',#454676,#101401); #64411=LINE('',#454678,#101402); #64412=LINE('',#454679,#101403); #64413=LINE('',#454682,#101404); #64414=LINE('',#454684,#101405); #64415=LINE('',#454685,#101406); #64416=LINE('',#454688,#101407); #64417=LINE('',#454690,#101408); #64418=LINE('',#454691,#101409); #64419=LINE('',#454694,#101410); #64420=LINE('',#454696,#101411); #64421=LINE('',#454697,#101412); #64422=LINE('',#454700,#101413); #64423=LINE('',#454702,#101414); #64424=LINE('',#454703,#101415); #64425=LINE('',#454706,#101416); #64426=LINE('',#454708,#101417); #64427=LINE('',#454709,#101418); #64428=LINE('',#454712,#101419); #64429=LINE('',#454714,#101420); #64430=LINE('',#454715,#101421); #64431=LINE('',#454718,#101422); #64432=LINE('',#454720,#101423); #64433=LINE('',#454721,#101424); #64434=LINE('',#454724,#101425); #64435=LINE('',#454726,#101426); #64436=LINE('',#454727,#101427); #64437=LINE('',#454730,#101428); #64438=LINE('',#454732,#101429); #64439=LINE('',#454733,#101430); #64440=LINE('',#454736,#101431); #64441=LINE('',#454738,#101432); #64442=LINE('',#454739,#101433); #64443=LINE('',#454742,#101434); #64444=LINE('',#454744,#101435); #64445=LINE('',#454745,#101436); #64446=LINE('',#454748,#101437); #64447=LINE('',#454750,#101438); #64448=LINE('',#454751,#101439); #64449=LINE('',#454754,#101440); #64450=LINE('',#454756,#101441); #64451=LINE('',#454757,#101442); #64452=LINE('',#454760,#101443); #64453=LINE('',#454762,#101444); #64454=LINE('',#454763,#101445); #64455=LINE('',#454766,#101446); #64456=LINE('',#454768,#101447); #64457=LINE('',#454769,#101448); #64458=LINE('',#454772,#101449); #64459=LINE('',#454774,#101450); #64460=LINE('',#454775,#101451); #64461=LINE('',#454778,#101452); #64462=LINE('',#454780,#101453); #64463=LINE('',#454781,#101454); #64464=LINE('',#454784,#101455); #64465=LINE('',#454786,#101456); #64466=LINE('',#454787,#101457); #64467=LINE('',#454790,#101458); #64468=LINE('',#454792,#101459); #64469=LINE('',#454793,#101460); #64470=LINE('',#454796,#101461); #64471=LINE('',#454798,#101462); #64472=LINE('',#454799,#101463); #64473=LINE('',#454802,#101464); #64474=LINE('',#454804,#101465); #64475=LINE('',#454805,#101466); #64476=LINE('',#454808,#101467); #64477=LINE('',#454810,#101468); #64478=LINE('',#454811,#101469); #64479=LINE('',#454814,#101470); #64480=LINE('',#454816,#101471); #64481=LINE('',#454817,#101472); #64482=LINE('',#454820,#101473); #64483=LINE('',#454822,#101474); #64484=LINE('',#454823,#101475); #64485=LINE('',#454826,#101476); #64486=LINE('',#454828,#101477); #64487=LINE('',#454829,#101478); #64488=LINE('',#454832,#101479); #64489=LINE('',#454834,#101480); #64490=LINE('',#454835,#101481); #64491=LINE('',#454838,#101482); #64492=LINE('',#454840,#101483); #64493=LINE('',#454841,#101484); #64494=LINE('',#454844,#101485); #64495=LINE('',#454846,#101486); #64496=LINE('',#454847,#101487); #64497=LINE('',#454850,#101488); #64498=LINE('',#454852,#101489); #64499=LINE('',#454853,#101490); #64500=LINE('',#454856,#101491); #64501=LINE('',#454858,#101492); #64502=LINE('',#454859,#101493); #64503=LINE('',#454862,#101494); #64504=LINE('',#454864,#101495); #64505=LINE('',#454865,#101496); #64506=LINE('',#454868,#101497); #64507=LINE('',#454870,#101498); #64508=LINE('',#454871,#101499); #64509=LINE('',#454874,#101500); #64510=LINE('',#454876,#101501); #64511=LINE('',#454877,#101502); #64512=LINE('',#454880,#101503); #64513=LINE('',#454882,#101504); #64514=LINE('',#454883,#101505); #64515=LINE('',#454886,#101506); #64516=LINE('',#454888,#101507); #64517=LINE('',#454889,#101508); #64518=LINE('',#454892,#101509); #64519=LINE('',#454894,#101510); #64520=LINE('',#454895,#101511); #64521=LINE('',#454898,#101512); #64522=LINE('',#454900,#101513); #64523=LINE('',#454901,#101514); #64524=LINE('',#454904,#101515); #64525=LINE('',#454906,#101516); #64526=LINE('',#454907,#101517); #64527=LINE('',#454910,#101518); #64528=LINE('',#454912,#101519); #64529=LINE('',#454913,#101520); #64530=LINE('',#454916,#101521); #64531=LINE('',#454918,#101522); #64532=LINE('',#454919,#101523); #64533=LINE('',#454922,#101524); #64534=LINE('',#454924,#101525); #64535=LINE('',#454925,#101526); #64536=LINE('',#454928,#101527); #64537=LINE('',#454930,#101528); #64538=LINE('',#454931,#101529); #64539=LINE('',#454934,#101530); #64540=LINE('',#454936,#101531); #64541=LINE('',#454937,#101532); #64542=LINE('',#454940,#101533); #64543=LINE('',#454942,#101534); #64544=LINE('',#454943,#101535); #64545=LINE('',#454946,#101536); #64546=LINE('',#454948,#101537); #64547=LINE('',#454949,#101538); #64548=LINE('',#454952,#101539); #64549=LINE('',#454954,#101540); #64550=LINE('',#454955,#101541); #64551=LINE('',#454958,#101542); #64552=LINE('',#454960,#101543); #64553=LINE('',#454961,#101544); #64554=LINE('',#454964,#101545); #64555=LINE('',#454966,#101546); #64556=LINE('',#454967,#101547); #64557=LINE('',#454970,#101548); #64558=LINE('',#454972,#101549); #64559=LINE('',#454973,#101550); #64560=LINE('',#454976,#101551); #64561=LINE('',#454978,#101552); #64562=LINE('',#454979,#101553); #64563=LINE('',#454982,#101554); #64564=LINE('',#454984,#101555); #64565=LINE('',#454985,#101556); #64566=LINE('',#454988,#101557); #64567=LINE('',#454990,#101558); #64568=LINE('',#454991,#101559); #64569=LINE('',#454994,#101560); #64570=LINE('',#454996,#101561); #64571=LINE('',#454997,#101562); #64572=LINE('',#454999,#101563); #64573=LINE('',#455000,#101564); #64574=LINE('',#455006,#101565); #64575=LINE('',#455008,#101566); #64576=LINE('',#455010,#101567); #64577=LINE('',#455011,#101568); #64578=LINE('',#455014,#101569); #64579=LINE('',#455016,#101570); #64580=LINE('',#455017,#101571); #64581=LINE('',#455020,#101572); #64582=LINE('',#455022,#101573); #64583=LINE('',#455023,#101574); #64584=LINE('',#455026,#101575); #64585=LINE('',#455028,#101576); #64586=LINE('',#455029,#101577); #64587=LINE('',#455032,#101578); #64588=LINE('',#455034,#101579); #64589=LINE('',#455035,#101580); #64590=LINE('',#455038,#101581); #64591=LINE('',#455040,#101582); #64592=LINE('',#455041,#101583); #64593=LINE('',#455044,#101584); #64594=LINE('',#455046,#101585); #64595=LINE('',#455047,#101586); #64596=LINE('',#455050,#101587); #64597=LINE('',#455052,#101588); #64598=LINE('',#455053,#101589); #64599=LINE('',#455056,#101590); #64600=LINE('',#455058,#101591); #64601=LINE('',#455059,#101592); #64602=LINE('',#455062,#101593); #64603=LINE('',#455064,#101594); #64604=LINE('',#455065,#101595); #64605=LINE('',#455068,#101596); #64606=LINE('',#455070,#101597); #64607=LINE('',#455071,#101598); #64608=LINE('',#455074,#101599); #64609=LINE('',#455076,#101600); #64610=LINE('',#455077,#101601); #64611=LINE('',#455080,#101602); #64612=LINE('',#455082,#101603); #64613=LINE('',#455083,#101604); #64614=LINE('',#455086,#101605); #64615=LINE('',#455088,#101606); #64616=LINE('',#455089,#101607); #64617=LINE('',#455092,#101608); #64618=LINE('',#455094,#101609); #64619=LINE('',#455095,#101610); #64620=LINE('',#455098,#101611); #64621=LINE('',#455100,#101612); #64622=LINE('',#455101,#101613); #64623=LINE('',#455104,#101614); #64624=LINE('',#455106,#101615); #64625=LINE('',#455107,#101616); #64626=LINE('',#455110,#101617); #64627=LINE('',#455112,#101618); #64628=LINE('',#455113,#101619); #64629=LINE('',#455116,#101620); #64630=LINE('',#455118,#101621); #64631=LINE('',#455119,#101622); #64632=LINE('',#455122,#101623); #64633=LINE('',#455124,#101624); #64634=LINE('',#455125,#101625); #64635=LINE('',#455127,#101626); #64636=LINE('',#455128,#101627); #64637=LINE('',#455134,#101628); #64638=LINE('',#455136,#101629); #64639=LINE('',#455138,#101630); #64640=LINE('',#455139,#101631); #64641=LINE('',#455142,#101632); #64642=LINE('',#455144,#101633); #64643=LINE('',#455145,#101634); #64644=LINE('',#455148,#101635); #64645=LINE('',#455150,#101636); #64646=LINE('',#455151,#101637); #64647=LINE('',#455154,#101638); #64648=LINE('',#455156,#101639); #64649=LINE('',#455157,#101640); #64650=LINE('',#455160,#101641); #64651=LINE('',#455162,#101642); #64652=LINE('',#455163,#101643); #64653=LINE('',#455166,#101644); #64654=LINE('',#455168,#101645); #64655=LINE('',#455169,#101646); #64656=LINE('',#455172,#101647); #64657=LINE('',#455174,#101648); #64658=LINE('',#455175,#101649); #64659=LINE('',#455178,#101650); #64660=LINE('',#455180,#101651); #64661=LINE('',#455181,#101652); #64662=LINE('',#455184,#101653); #64663=LINE('',#455186,#101654); #64664=LINE('',#455187,#101655); #64665=LINE('',#455190,#101656); #64666=LINE('',#455192,#101657); #64667=LINE('',#455193,#101658); #64668=LINE('',#455196,#101659); #64669=LINE('',#455198,#101660); #64670=LINE('',#455199,#101661); #64671=LINE('',#455202,#101662); #64672=LINE('',#455204,#101663); #64673=LINE('',#455205,#101664); #64674=LINE('',#455208,#101665); #64675=LINE('',#455210,#101666); #64676=LINE('',#455211,#101667); #64677=LINE('',#455214,#101668); #64678=LINE('',#455216,#101669); #64679=LINE('',#455217,#101670); #64680=LINE('',#455220,#101671); #64681=LINE('',#455222,#101672); #64682=LINE('',#455223,#101673); #64683=LINE('',#455226,#101674); #64684=LINE('',#455228,#101675); #64685=LINE('',#455229,#101676); #64686=LINE('',#455232,#101677); #64687=LINE('',#455234,#101678); #64688=LINE('',#455235,#101679); #64689=LINE('',#455238,#101680); #64690=LINE('',#455240,#101681); #64691=LINE('',#455241,#101682); #64692=LINE('',#455244,#101683); #64693=LINE('',#455246,#101684); #64694=LINE('',#455247,#101685); #64695=LINE('',#455249,#101686); #64696=LINE('',#455250,#101687); #64697=LINE('',#455257,#101688); #64698=LINE('',#455263,#101689); #64699=LINE('',#455269,#101690); #64700=LINE('',#455275,#101691); #64701=LINE('',#455281,#101692); #64702=LINE('',#455287,#101693); #64703=LINE('',#455293,#101694); #64704=LINE('',#455299,#101695); #64705=LINE('',#455305,#101696); #64706=LINE('',#455311,#101697); #64707=LINE('',#455317,#101698); #64708=LINE('',#455323,#101699); #64709=LINE('',#455329,#101700); #64710=LINE('',#455335,#101701); #64711=LINE('',#455341,#101702); #64712=LINE('',#455347,#101703); #64713=LINE('',#455353,#101704); #64714=LINE('',#455359,#101705); #64715=LINE('',#455365,#101706); #64716=LINE('',#455371,#101707); #64717=LINE('',#455377,#101708); #64718=LINE('',#455383,#101709); #64719=LINE('',#455389,#101710); #64720=LINE('',#455395,#101711); #64721=LINE('',#455401,#101712); #64722=LINE('',#455407,#101713); #64723=LINE('',#455413,#101714); #64724=LINE('',#455419,#101715); #64725=LINE('',#455425,#101716); #64726=LINE('',#455431,#101717); #64727=LINE('',#455437,#101718); #64728=LINE('',#455443,#101719); #64729=LINE('',#455449,#101720); #64730=LINE('',#455455,#101721); #64731=LINE('',#455461,#101722); #64732=LINE('',#455466,#101723); #64733=LINE('',#455468,#101724); #64734=LINE('',#455470,#101725); #64735=LINE('',#455471,#101726); #64736=LINE('',#455477,#101727); #64737=LINE('',#455480,#101728); #64738=LINE('',#455482,#101729); #64739=LINE('',#455483,#101730); #64740=LINE('',#455491,#101731); #64741=LINE('',#455497,#101732); #64742=LINE('',#455503,#101733); #64743=LINE('',#455509,#101734); #64744=LINE('',#455514,#101735); #64745=LINE('',#455516,#101736); #64746=LINE('',#455518,#101737); #64747=LINE('',#455519,#101738); #64748=LINE('',#455525,#101739); #64749=LINE('',#455528,#101740); #64750=LINE('',#455530,#101741); #64751=LINE('',#455531,#101742); #64752=LINE('',#455538,#101743); #64753=LINE('',#455540,#101744); #64754=LINE('',#455542,#101745); #64755=LINE('',#455543,#101746); #64756=LINE('',#455549,#101747); #64757=LINE('',#455552,#101748); #64758=LINE('',#455554,#101749); #64759=LINE('',#455555,#101750); #64760=LINE('',#455562,#101751); #64761=LINE('',#455564,#101752); #64762=LINE('',#455566,#101753); #64763=LINE('',#455567,#101754); #64764=LINE('',#455573,#101755); #64765=LINE('',#455576,#101756); #64766=LINE('',#455578,#101757); #64767=LINE('',#455579,#101758); #64768=LINE('',#455587,#101759); #64769=LINE('',#455593,#101760); #64770=LINE('',#455599,#101761); #64771=LINE('',#455605,#101762); #64772=LINE('',#455611,#101763); #64773=LINE('',#455617,#101764); #64774=LINE('',#455623,#101765); #64775=LINE('',#455629,#101766); #64776=LINE('',#455635,#101767); #64777=LINE('',#455641,#101768); #64778=LINE('',#455647,#101769); #64779=LINE('',#455653,#101770); #64780=LINE('',#455659,#101771); #64781=LINE('',#455665,#101772); #64782=LINE('',#455671,#101773); #64783=LINE('',#455677,#101774); #64784=LINE('',#455683,#101775); #64785=LINE('',#455689,#101776); #64786=LINE('',#455695,#101777); #64787=LINE('',#455700,#101778); #64788=LINE('',#455702,#101779); #64789=LINE('',#455704,#101780); #64790=LINE('',#455705,#101781); #64791=LINE('',#455708,#101782); #64792=LINE('',#455710,#101783); #64793=LINE('',#455711,#101784); #64794=LINE('',#455714,#101785); #64795=LINE('',#455716,#101786); #64796=LINE('',#455717,#101787); #64797=LINE('',#455720,#101788); #64798=LINE('',#455722,#101789); #64799=LINE('',#455723,#101790); #64800=LINE('',#455726,#101791); #64801=LINE('',#455728,#101792); #64802=LINE('',#455729,#101793); #64803=LINE('',#455732,#101794); #64804=LINE('',#455734,#101795); #64805=LINE('',#455735,#101796); #64806=LINE('',#455738,#101797); #64807=LINE('',#455740,#101798); #64808=LINE('',#455741,#101799); #64809=LINE('',#455743,#101800); #64810=LINE('',#455744,#101801); #64811=LINE('',#455748,#101802); #64812=LINE('',#455750,#101803); #64813=LINE('',#455752,#101804); #64814=LINE('',#455753,#101805); #64815=LINE('',#455756,#101806); #64816=LINE('',#455758,#101807); #64817=LINE('',#455759,#101808); #64818=LINE('',#455762,#101809); #64819=LINE('',#455764,#101810); #64820=LINE('',#455765,#101811); #64821=LINE('',#455768,#101812); #64822=LINE('',#455770,#101813); #64823=LINE('',#455771,#101814); #64824=LINE('',#455774,#101815); #64825=LINE('',#455776,#101816); #64826=LINE('',#455777,#101817); #64827=LINE('',#455780,#101818); #64828=LINE('',#455782,#101819); #64829=LINE('',#455783,#101820); #64830=LINE('',#455786,#101821); #64831=LINE('',#455788,#101822); #64832=LINE('',#455789,#101823); #64833=LINE('',#455792,#101824); #64834=LINE('',#455794,#101825); #64835=LINE('',#455795,#101826); #64836=LINE('',#455798,#101827); #64837=LINE('',#455800,#101828); #64838=LINE('',#455801,#101829); #64839=LINE('',#455804,#101830); #64840=LINE('',#455806,#101831); #64841=LINE('',#455807,#101832); #64842=LINE('',#455810,#101833); #64843=LINE('',#455812,#101834); #64844=LINE('',#455813,#101835); #64845=LINE('',#455816,#101836); #64846=LINE('',#455818,#101837); #64847=LINE('',#455819,#101838); #64848=LINE('',#455822,#101839); #64849=LINE('',#455824,#101840); #64850=LINE('',#455825,#101841); #64851=LINE('',#455828,#101842); #64852=LINE('',#455830,#101843); #64853=LINE('',#455831,#101844); #64854=LINE('',#455834,#101845); #64855=LINE('',#455836,#101846); #64856=LINE('',#455837,#101847); #64857=LINE('',#455839,#101848); #64858=LINE('',#455840,#101849); #64859=LINE('',#455844,#101850); #64860=LINE('',#455846,#101851); #64861=LINE('',#455848,#101852); #64862=LINE('',#455849,#101853); #64863=LINE('',#455852,#101854); #64864=LINE('',#455854,#101855); #64865=LINE('',#455855,#101856); #64866=LINE('',#455858,#101857); #64867=LINE('',#455860,#101858); #64868=LINE('',#455861,#101859); #64869=LINE('',#455864,#101860); #64870=LINE('',#455866,#101861); #64871=LINE('',#455867,#101862); #64872=LINE('',#455870,#101863); #64873=LINE('',#455872,#101864); #64874=LINE('',#455873,#101865); #64875=LINE('',#455876,#101866); #64876=LINE('',#455878,#101867); #64877=LINE('',#455879,#101868); #64878=LINE('',#455882,#101869); #64879=LINE('',#455884,#101870); #64880=LINE('',#455885,#101871); #64881=LINE('',#455888,#101872); #64882=LINE('',#455890,#101873); #64883=LINE('',#455891,#101874); #64884=LINE('',#455894,#101875); #64885=LINE('',#455896,#101876); #64886=LINE('',#455897,#101877); #64887=LINE('',#455900,#101878); #64888=LINE('',#455902,#101879); #64889=LINE('',#455903,#101880); #64890=LINE('',#455906,#101881); #64891=LINE('',#455908,#101882); #64892=LINE('',#455909,#101883); #64893=LINE('',#455912,#101884); #64894=LINE('',#455914,#101885); #64895=LINE('',#455915,#101886); #64896=LINE('',#455918,#101887); #64897=LINE('',#455920,#101888); #64898=LINE('',#455921,#101889); #64899=LINE('',#455924,#101890); #64900=LINE('',#455926,#101891); #64901=LINE('',#455927,#101892); #64902=LINE('',#455930,#101893); #64903=LINE('',#455932,#101894); #64904=LINE('',#455933,#101895); #64905=LINE('',#455935,#101896); #64906=LINE('',#455936,#101897); #64907=LINE('',#455940,#101898); #64908=LINE('',#455942,#101899); #64909=LINE('',#455944,#101900); #64910=LINE('',#455945,#101901); #64911=LINE('',#455948,#101902); #64912=LINE('',#455950,#101903); #64913=LINE('',#455951,#101904); #64914=LINE('',#455954,#101905); #64915=LINE('',#455956,#101906); #64916=LINE('',#455957,#101907); #64917=LINE('',#455960,#101908); #64918=LINE('',#455962,#101909); #64919=LINE('',#455963,#101910); #64920=LINE('',#455966,#101911); #64921=LINE('',#455968,#101912); #64922=LINE('',#455969,#101913); #64923=LINE('',#455972,#101914); #64924=LINE('',#455974,#101915); #64925=LINE('',#455975,#101916); #64926=LINE('',#455978,#101917); #64927=LINE('',#455980,#101918); #64928=LINE('',#455981,#101919); #64929=LINE('',#455984,#101920); #64930=LINE('',#455986,#101921); #64931=LINE('',#455987,#101922); #64932=LINE('',#455990,#101923); #64933=LINE('',#455992,#101924); #64934=LINE('',#455993,#101925); #64935=LINE('',#455996,#101926); #64936=LINE('',#455998,#101927); #64937=LINE('',#455999,#101928); #64938=LINE('',#456002,#101929); #64939=LINE('',#456004,#101930); #64940=LINE('',#456005,#101931); #64941=LINE('',#456008,#101932); #64942=LINE('',#456010,#101933); #64943=LINE('',#456011,#101934); #64944=LINE('',#456014,#101935); #64945=LINE('',#456016,#101936); #64946=LINE('',#456017,#101937); #64947=LINE('',#456020,#101938); #64948=LINE('',#456022,#101939); #64949=LINE('',#456023,#101940); #64950=LINE('',#456026,#101941); #64951=LINE('',#456028,#101942); #64952=LINE('',#456029,#101943); #64953=LINE('',#456032,#101944); #64954=LINE('',#456034,#101945); #64955=LINE('',#456035,#101946); #64956=LINE('',#456038,#101947); #64957=LINE('',#456040,#101948); #64958=LINE('',#456041,#101949); #64959=LINE('',#456044,#101950); #64960=LINE('',#456046,#101951); #64961=LINE('',#456047,#101952); #64962=LINE('',#456050,#101953); #64963=LINE('',#456052,#101954); #64964=LINE('',#456053,#101955); #64965=LINE('',#456056,#101956); #64966=LINE('',#456058,#101957); #64967=LINE('',#456059,#101958); #64968=LINE('',#456062,#101959); #64969=LINE('',#456064,#101960); #64970=LINE('',#456065,#101961); #64971=LINE('',#456068,#101962); #64972=LINE('',#456070,#101963); #64973=LINE('',#456071,#101964); #64974=LINE('',#456074,#101965); #64975=LINE('',#456076,#101966); #64976=LINE('',#456077,#101967); #64977=LINE('',#456080,#101968); #64978=LINE('',#456082,#101969); #64979=LINE('',#456083,#101970); #64980=LINE('',#456086,#101971); #64981=LINE('',#456088,#101972); #64982=LINE('',#456089,#101973); #64983=LINE('',#456092,#101974); #64984=LINE('',#456094,#101975); #64985=LINE('',#456095,#101976); #64986=LINE('',#456098,#101977); #64987=LINE('',#456100,#101978); #64988=LINE('',#456101,#101979); #64989=LINE('',#456104,#101980); #64990=LINE('',#456106,#101981); #64991=LINE('',#456107,#101982); #64992=LINE('',#456110,#101983); #64993=LINE('',#456112,#101984); #64994=LINE('',#456113,#101985); #64995=LINE('',#456116,#101986); #64996=LINE('',#456118,#101987); #64997=LINE('',#456119,#101988); #64998=LINE('',#456122,#101989); #64999=LINE('',#456124,#101990); #65000=LINE('',#456125,#101991); #65001=LINE('',#456128,#101992); #65002=LINE('',#456130,#101993); #65003=LINE('',#456131,#101994); #65004=LINE('',#456134,#101995); #65005=LINE('',#456136,#101996); #65006=LINE('',#456137,#101997); #65007=LINE('',#456140,#101998); #65008=LINE('',#456142,#101999); #65009=LINE('',#456143,#102000); #65010=LINE('',#456146,#102001); #65011=LINE('',#456148,#102002); #65012=LINE('',#456149,#102003); #65013=LINE('',#456151,#102004); #65014=LINE('',#456152,#102005); #65015=LINE('',#456156,#102006); #65016=LINE('',#456158,#102007); #65017=LINE('',#456160,#102008); #65018=LINE('',#456161,#102009); #65019=LINE('',#456164,#102010); #65020=LINE('',#456166,#102011); #65021=LINE('',#456167,#102012); #65022=LINE('',#456170,#102013); #65023=LINE('',#456172,#102014); #65024=LINE('',#456173,#102015); #65025=LINE('',#456176,#102016); #65026=LINE('',#456178,#102017); #65027=LINE('',#456179,#102018); #65028=LINE('',#456182,#102019); #65029=LINE('',#456184,#102020); #65030=LINE('',#456185,#102021); #65031=LINE('',#456188,#102022); #65032=LINE('',#456190,#102023); #65033=LINE('',#456191,#102024); #65034=LINE('',#456194,#102025); #65035=LINE('',#456196,#102026); #65036=LINE('',#456197,#102027); #65037=LINE('',#456200,#102028); #65038=LINE('',#456202,#102029); #65039=LINE('',#456203,#102030); #65040=LINE('',#456206,#102031); #65041=LINE('',#456208,#102032); #65042=LINE('',#456209,#102033); #65043=LINE('',#456212,#102034); #65044=LINE('',#456214,#102035); #65045=LINE('',#456215,#102036); #65046=LINE('',#456218,#102037); #65047=LINE('',#456220,#102038); #65048=LINE('',#456221,#102039); #65049=LINE('',#456224,#102040); #65050=LINE('',#456226,#102041); #65051=LINE('',#456227,#102042); #65052=LINE('',#456230,#102043); #65053=LINE('',#456232,#102044); #65054=LINE('',#456233,#102045); #65055=LINE('',#456236,#102046); #65056=LINE('',#456238,#102047); #65057=LINE('',#456239,#102048); #65058=LINE('',#456242,#102049); #65059=LINE('',#456244,#102050); #65060=LINE('',#456245,#102051); #65061=LINE('',#456248,#102052); #65062=LINE('',#456250,#102053); #65063=LINE('',#456251,#102054); #65064=LINE('',#456254,#102055); #65065=LINE('',#456256,#102056); #65066=LINE('',#456257,#102057); #65067=LINE('',#456260,#102058); #65068=LINE('',#456262,#102059); #65069=LINE('',#456263,#102060); #65070=LINE('',#456266,#102061); #65071=LINE('',#456268,#102062); #65072=LINE('',#456269,#102063); #65073=LINE('',#456272,#102064); #65074=LINE('',#456274,#102065); #65075=LINE('',#456275,#102066); #65076=LINE('',#456278,#102067); #65077=LINE('',#456280,#102068); #65078=LINE('',#456281,#102069); #65079=LINE('',#456284,#102070); #65080=LINE('',#456286,#102071); #65081=LINE('',#456287,#102072); #65082=LINE('',#456290,#102073); #65083=LINE('',#456292,#102074); #65084=LINE('',#456293,#102075); #65085=LINE('',#456296,#102076); #65086=LINE('',#456298,#102077); #65087=LINE('',#456299,#102078); #65088=LINE('',#456302,#102079); #65089=LINE('',#456304,#102080); #65090=LINE('',#456305,#102081); #65091=LINE('',#456308,#102082); #65092=LINE('',#456310,#102083); #65093=LINE('',#456311,#102084); #65094=LINE('',#456314,#102085); #65095=LINE('',#456316,#102086); #65096=LINE('',#456317,#102087); #65097=LINE('',#456320,#102088); #65098=LINE('',#456322,#102089); #65099=LINE('',#456323,#102090); #65100=LINE('',#456326,#102091); #65101=LINE('',#456328,#102092); #65102=LINE('',#456329,#102093); #65103=LINE('',#456332,#102094); #65104=LINE('',#456334,#102095); #65105=LINE('',#456335,#102096); #65106=LINE('',#456338,#102097); #65107=LINE('',#456340,#102098); #65108=LINE('',#456341,#102099); #65109=LINE('',#456344,#102100); #65110=LINE('',#456346,#102101); #65111=LINE('',#456347,#102102); #65112=LINE('',#456350,#102103); #65113=LINE('',#456352,#102104); #65114=LINE('',#456353,#102105); #65115=LINE('',#456356,#102106); #65116=LINE('',#456358,#102107); #65117=LINE('',#456359,#102108); #65118=LINE('',#456362,#102109); #65119=LINE('',#456364,#102110); #65120=LINE('',#456365,#102111); #65121=LINE('',#456367,#102112); #65122=LINE('',#456368,#102113); #65123=LINE('',#456372,#102114); #65124=LINE('',#456374,#102115); #65125=LINE('',#456376,#102116); #65126=LINE('',#456377,#102117); #65127=LINE('',#456380,#102118); #65128=LINE('',#456382,#102119); #65129=LINE('',#456383,#102120); #65130=LINE('',#456386,#102121); #65131=LINE('',#456388,#102122); #65132=LINE('',#456389,#102123); #65133=LINE('',#456392,#102124); #65134=LINE('',#456394,#102125); #65135=LINE('',#456395,#102126); #65136=LINE('',#456398,#102127); #65137=LINE('',#456400,#102128); #65138=LINE('',#456401,#102129); #65139=LINE('',#456404,#102130); #65140=LINE('',#456406,#102131); #65141=LINE('',#456407,#102132); #65142=LINE('',#456410,#102133); #65143=LINE('',#456412,#102134); #65144=LINE('',#456413,#102135); #65145=LINE('',#456416,#102136); #65146=LINE('',#456418,#102137); #65147=LINE('',#456419,#102138); #65148=LINE('',#456422,#102139); #65149=LINE('',#456424,#102140); #65150=LINE('',#456425,#102141); #65151=LINE('',#456428,#102142); #65152=LINE('',#456430,#102143); #65153=LINE('',#456431,#102144); #65154=LINE('',#456434,#102145); #65155=LINE('',#456436,#102146); #65156=LINE('',#456437,#102147); #65157=LINE('',#456440,#102148); #65158=LINE('',#456442,#102149); #65159=LINE('',#456443,#102150); #65160=LINE('',#456446,#102151); #65161=LINE('',#456448,#102152); #65162=LINE('',#456449,#102153); #65163=LINE('',#456452,#102154); #65164=LINE('',#456454,#102155); #65165=LINE('',#456455,#102156); #65166=LINE('',#456458,#102157); #65167=LINE('',#456460,#102158); #65168=LINE('',#456461,#102159); #65169=LINE('',#456464,#102160); #65170=LINE('',#456466,#102161); #65171=LINE('',#456467,#102162); #65172=LINE('',#456470,#102163); #65173=LINE('',#456472,#102164); #65174=LINE('',#456473,#102165); #65175=LINE('',#456476,#102166); #65176=LINE('',#456478,#102167); #65177=LINE('',#456479,#102168); #65178=LINE('',#456482,#102169); #65179=LINE('',#456484,#102170); #65180=LINE('',#456485,#102171); #65181=LINE('',#456488,#102172); #65182=LINE('',#456490,#102173); #65183=LINE('',#456491,#102174); #65184=LINE('',#456494,#102175); #65185=LINE('',#456496,#102176); #65186=LINE('',#456497,#102177); #65187=LINE('',#456500,#102178); #65188=LINE('',#456502,#102179); #65189=LINE('',#456503,#102180); #65190=LINE('',#456506,#102181); #65191=LINE('',#456508,#102182); #65192=LINE('',#456509,#102183); #65193=LINE('',#456512,#102184); #65194=LINE('',#456514,#102185); #65195=LINE('',#456515,#102186); #65196=LINE('',#456518,#102187); #65197=LINE('',#456520,#102188); #65198=LINE('',#456521,#102189); #65199=LINE('',#456524,#102190); #65200=LINE('',#456526,#102191); #65201=LINE('',#456527,#102192); #65202=LINE('',#456530,#102193); #65203=LINE('',#456532,#102194); #65204=LINE('',#456533,#102195); #65205=LINE('',#456536,#102196); #65206=LINE('',#456538,#102197); #65207=LINE('',#456539,#102198); #65208=LINE('',#456542,#102199); #65209=LINE('',#456544,#102200); #65210=LINE('',#456545,#102201); #65211=LINE('',#456548,#102202); #65212=LINE('',#456550,#102203); #65213=LINE('',#456551,#102204); #65214=LINE('',#456554,#102205); #65215=LINE('',#456556,#102206); #65216=LINE('',#456557,#102207); #65217=LINE('',#456560,#102208); #65218=LINE('',#456562,#102209); #65219=LINE('',#456563,#102210); #65220=LINE('',#456566,#102211); #65221=LINE('',#456568,#102212); #65222=LINE('',#456569,#102213); #65223=LINE('',#456572,#102214); #65224=LINE('',#456574,#102215); #65225=LINE('',#456575,#102216); #65226=LINE('',#456578,#102217); #65227=LINE('',#456580,#102218); #65228=LINE('',#456581,#102219); #65229=LINE('',#456584,#102220); #65230=LINE('',#456586,#102221); #65231=LINE('',#456587,#102222); #65232=LINE('',#456590,#102223); #65233=LINE('',#456592,#102224); #65234=LINE('',#456593,#102225); #65235=LINE('',#456596,#102226); #65236=LINE('',#456598,#102227); #65237=LINE('',#456599,#102228); #65238=LINE('',#456602,#102229); #65239=LINE('',#456604,#102230); #65240=LINE('',#456605,#102231); #65241=LINE('',#456608,#102232); #65242=LINE('',#456610,#102233); #65243=LINE('',#456611,#102234); #65244=LINE('',#456614,#102235); #65245=LINE('',#456616,#102236); #65246=LINE('',#456617,#102237); #65247=LINE('',#456620,#102238); #65248=LINE('',#456622,#102239); #65249=LINE('',#456623,#102240); #65250=LINE('',#456626,#102241); #65251=LINE('',#456628,#102242); #65252=LINE('',#456629,#102243); #65253=LINE('',#456632,#102244); #65254=LINE('',#456634,#102245); #65255=LINE('',#456635,#102246); #65256=LINE('',#456638,#102247); #65257=LINE('',#456640,#102248); #65258=LINE('',#456641,#102249); #65259=LINE('',#456644,#102250); #65260=LINE('',#456646,#102251); #65261=LINE('',#456647,#102252); #65262=LINE('',#456650,#102253); #65263=LINE('',#456652,#102254); #65264=LINE('',#456653,#102255); #65265=LINE('',#456656,#102256); #65266=LINE('',#456658,#102257); #65267=LINE('',#456659,#102258); #65268=LINE('',#456662,#102259); #65269=LINE('',#456664,#102260); #65270=LINE('',#456665,#102261); #65271=LINE('',#456668,#102262); #65272=LINE('',#456670,#102263); #65273=LINE('',#456671,#102264); #65274=LINE('',#456674,#102265); #65275=LINE('',#456676,#102266); #65276=LINE('',#456677,#102267); #65277=LINE('',#456680,#102268); #65278=LINE('',#456682,#102269); #65279=LINE('',#456683,#102270); #65280=LINE('',#456686,#102271); #65281=LINE('',#456688,#102272); #65282=LINE('',#456689,#102273); #65283=LINE('',#456692,#102274); #65284=LINE('',#456694,#102275); #65285=LINE('',#456695,#102276); #65286=LINE('',#456698,#102277); #65287=LINE('',#456700,#102278); #65288=LINE('',#456701,#102279); #65289=LINE('',#456704,#102280); #65290=LINE('',#456706,#102281); #65291=LINE('',#456707,#102282); #65292=LINE('',#456710,#102283); #65293=LINE('',#456712,#102284); #65294=LINE('',#456713,#102285); #65295=LINE('',#456716,#102286); #65296=LINE('',#456718,#102287); #65297=LINE('',#456719,#102288); #65298=LINE('',#456722,#102289); #65299=LINE('',#456724,#102290); #65300=LINE('',#456725,#102291); #65301=LINE('',#456728,#102292); #65302=LINE('',#456730,#102293); #65303=LINE('',#456731,#102294); #65304=LINE('',#456734,#102295); #65305=LINE('',#456736,#102296); #65306=LINE('',#456737,#102297); #65307=LINE('',#456740,#102298); #65308=LINE('',#456742,#102299); #65309=LINE('',#456743,#102300); #65310=LINE('',#456746,#102301); #65311=LINE('',#456748,#102302); #65312=LINE('',#456749,#102303); #65313=LINE('',#456752,#102304); #65314=LINE('',#456754,#102305); #65315=LINE('',#456755,#102306); #65316=LINE('',#456758,#102307); #65317=LINE('',#456760,#102308); #65318=LINE('',#456761,#102309); #65319=LINE('',#456764,#102310); #65320=LINE('',#456766,#102311); #65321=LINE('',#456767,#102312); #65322=LINE('',#456770,#102313); #65323=LINE('',#456772,#102314); #65324=LINE('',#456773,#102315); #65325=LINE('',#456776,#102316); #65326=LINE('',#456778,#102317); #65327=LINE('',#456779,#102318); #65328=LINE('',#456782,#102319); #65329=LINE('',#456784,#102320); #65330=LINE('',#456785,#102321); #65331=LINE('',#456788,#102322); #65332=LINE('',#456790,#102323); #65333=LINE('',#456791,#102324); #65334=LINE('',#456794,#102325); #65335=LINE('',#456796,#102326); #65336=LINE('',#456797,#102327); #65337=LINE('',#456800,#102328); #65338=LINE('',#456802,#102329); #65339=LINE('',#456803,#102330); #65340=LINE('',#456806,#102331); #65341=LINE('',#456808,#102332); #65342=LINE('',#456809,#102333); #65343=LINE('',#456812,#102334); #65344=LINE('',#456814,#102335); #65345=LINE('',#456815,#102336); #65346=LINE('',#456818,#102337); #65347=LINE('',#456820,#102338); #65348=LINE('',#456821,#102339); #65349=LINE('',#456824,#102340); #65350=LINE('',#456826,#102341); #65351=LINE('',#456827,#102342); #65352=LINE('',#456830,#102343); #65353=LINE('',#456832,#102344); #65354=LINE('',#456833,#102345); #65355=LINE('',#456836,#102346); #65356=LINE('',#456838,#102347); #65357=LINE('',#456839,#102348); #65358=LINE('',#456842,#102349); #65359=LINE('',#456844,#102350); #65360=LINE('',#456845,#102351); #65361=LINE('',#456848,#102352); #65362=LINE('',#456850,#102353); #65363=LINE('',#456851,#102354); #65364=LINE('',#456854,#102355); #65365=LINE('',#456856,#102356); #65366=LINE('',#456857,#102357); #65367=LINE('',#456860,#102358); #65368=LINE('',#456862,#102359); #65369=LINE('',#456863,#102360); #65370=LINE('',#456866,#102361); #65371=LINE('',#456868,#102362); #65372=LINE('',#456869,#102363); #65373=LINE('',#456872,#102364); #65374=LINE('',#456874,#102365); #65375=LINE('',#456875,#102366); #65376=LINE('',#456878,#102367); #65377=LINE('',#456880,#102368); #65378=LINE('',#456881,#102369); #65379=LINE('',#456884,#102370); #65380=LINE('',#456886,#102371); #65381=LINE('',#456887,#102372); #65382=LINE('',#456890,#102373); #65383=LINE('',#456892,#102374); #65384=LINE('',#456893,#102375); #65385=LINE('',#456896,#102376); #65386=LINE('',#456898,#102377); #65387=LINE('',#456899,#102378); #65388=LINE('',#456902,#102379); #65389=LINE('',#456904,#102380); #65390=LINE('',#456905,#102381); #65391=LINE('',#456908,#102382); #65392=LINE('',#456910,#102383); #65393=LINE('',#456911,#102384); #65394=LINE('',#456914,#102385); #65395=LINE('',#456916,#102386); #65396=LINE('',#456917,#102387); #65397=LINE('',#456920,#102388); #65398=LINE('',#456922,#102389); #65399=LINE('',#456923,#102390); #65400=LINE('',#456926,#102391); #65401=LINE('',#456928,#102392); #65402=LINE('',#456929,#102393); #65403=LINE('',#456932,#102394); #65404=LINE('',#456934,#102395); #65405=LINE('',#456935,#102396); #65406=LINE('',#456938,#102397); #65407=LINE('',#456940,#102398); #65408=LINE('',#456941,#102399); #65409=LINE('',#456944,#102400); #65410=LINE('',#456946,#102401); #65411=LINE('',#456947,#102402); #65412=LINE('',#456950,#102403); #65413=LINE('',#456952,#102404); #65414=LINE('',#456953,#102405); #65415=LINE('',#456956,#102406); #65416=LINE('',#456958,#102407); #65417=LINE('',#456959,#102408); #65418=LINE('',#456962,#102409); #65419=LINE('',#456964,#102410); #65420=LINE('',#456965,#102411); #65421=LINE('',#456968,#102412); #65422=LINE('',#456970,#102413); #65423=LINE('',#456971,#102414); #65424=LINE('',#456974,#102415); #65425=LINE('',#456976,#102416); #65426=LINE('',#456977,#102417); #65427=LINE('',#456980,#102418); #65428=LINE('',#456982,#102419); #65429=LINE('',#456983,#102420); #65430=LINE('',#456986,#102421); #65431=LINE('',#456988,#102422); #65432=LINE('',#456989,#102423); #65433=LINE('',#456992,#102424); #65434=LINE('',#456994,#102425); #65435=LINE('',#456995,#102426); #65436=LINE('',#456998,#102427); #65437=LINE('',#457000,#102428); #65438=LINE('',#457001,#102429); #65439=LINE('',#457004,#102430); #65440=LINE('',#457006,#102431); #65441=LINE('',#457007,#102432); #65442=LINE('',#457010,#102433); #65443=LINE('',#457012,#102434); #65444=LINE('',#457013,#102435); #65445=LINE('',#457016,#102436); #65446=LINE('',#457018,#102437); #65447=LINE('',#457019,#102438); #65448=LINE('',#457022,#102439); #65449=LINE('',#457024,#102440); #65450=LINE('',#457025,#102441); #65451=LINE('',#457028,#102442); #65452=LINE('',#457030,#102443); #65453=LINE('',#457031,#102444); #65454=LINE('',#457034,#102445); #65455=LINE('',#457036,#102446); #65456=LINE('',#457037,#102447); #65457=LINE('',#457040,#102448); #65458=LINE('',#457042,#102449); #65459=LINE('',#457043,#102450); #65460=LINE('',#457046,#102451); #65461=LINE('',#457048,#102452); #65462=LINE('',#457049,#102453); #65463=LINE('',#457052,#102454); #65464=LINE('',#457054,#102455); #65465=LINE('',#457055,#102456); #65466=LINE('',#457058,#102457); #65467=LINE('',#457060,#102458); #65468=LINE('',#457061,#102459); #65469=LINE('',#457064,#102460); #65470=LINE('',#457066,#102461); #65471=LINE('',#457067,#102462); #65472=LINE('',#457070,#102463); #65473=LINE('',#457072,#102464); #65474=LINE('',#457073,#102465); #65475=LINE('',#457076,#102466); #65476=LINE('',#457078,#102467); #65477=LINE('',#457079,#102468); #65478=LINE('',#457082,#102469); #65479=LINE('',#457084,#102470); #65480=LINE('',#457085,#102471); #65481=LINE('',#457088,#102472); #65482=LINE('',#457090,#102473); #65483=LINE('',#457091,#102474); #65484=LINE('',#457094,#102475); #65485=LINE('',#457096,#102476); #65486=LINE('',#457097,#102477); #65487=LINE('',#457100,#102478); #65488=LINE('',#457102,#102479); #65489=LINE('',#457103,#102480); #65490=LINE('',#457106,#102481); #65491=LINE('',#457108,#102482); #65492=LINE('',#457109,#102483); #65493=LINE('',#457112,#102484); #65494=LINE('',#457114,#102485); #65495=LINE('',#457115,#102486); #65496=LINE('',#457118,#102487); #65497=LINE('',#457120,#102488); #65498=LINE('',#457121,#102489); #65499=LINE('',#457124,#102490); #65500=LINE('',#457126,#102491); #65501=LINE('',#457127,#102492); #65502=LINE('',#457130,#102493); #65503=LINE('',#457132,#102494); #65504=LINE('',#457133,#102495); #65505=LINE('',#457136,#102496); #65506=LINE('',#457138,#102497); #65507=LINE('',#457139,#102498); #65508=LINE('',#457142,#102499); #65509=LINE('',#457144,#102500); #65510=LINE('',#457145,#102501); #65511=LINE('',#457148,#102502); #65512=LINE('',#457150,#102503); #65513=LINE('',#457151,#102504); #65514=LINE('',#457154,#102505); #65515=LINE('',#457156,#102506); #65516=LINE('',#457157,#102507); #65517=LINE('',#457160,#102508); #65518=LINE('',#457162,#102509); #65519=LINE('',#457163,#102510); #65520=LINE('',#457166,#102511); #65521=LINE('',#457168,#102512); #65522=LINE('',#457169,#102513); #65523=LINE('',#457172,#102514); #65524=LINE('',#457174,#102515); #65525=LINE('',#457175,#102516); #65526=LINE('',#457178,#102517); #65527=LINE('',#457180,#102518); #65528=LINE('',#457181,#102519); #65529=LINE('',#457184,#102520); #65530=LINE('',#457186,#102521); #65531=LINE('',#457187,#102522); #65532=LINE('',#457190,#102523); #65533=LINE('',#457192,#102524); #65534=LINE('',#457193,#102525); #65535=LINE('',#457196,#102526); #65536=LINE('',#457198,#102527); #65537=LINE('',#457199,#102528); #65538=LINE('',#457202,#102529); #65539=LINE('',#457204,#102530); #65540=LINE('',#457205,#102531); #65541=LINE('',#457208,#102532); #65542=LINE('',#457210,#102533); #65543=LINE('',#457211,#102534); #65544=LINE('',#457214,#102535); #65545=LINE('',#457216,#102536); #65546=LINE('',#457217,#102537); #65547=LINE('',#457220,#102538); #65548=LINE('',#457222,#102539); #65549=LINE('',#457223,#102540); #65550=LINE('',#457226,#102541); #65551=LINE('',#457228,#102542); #65552=LINE('',#457229,#102543); #65553=LINE('',#457232,#102544); #65554=LINE('',#457234,#102545); #65555=LINE('',#457235,#102546); #65556=LINE('',#457238,#102547); #65557=LINE('',#457240,#102548); #65558=LINE('',#457241,#102549); #65559=LINE('',#457244,#102550); #65560=LINE('',#457246,#102551); #65561=LINE('',#457247,#102552); #65562=LINE('',#457250,#102553); #65563=LINE('',#457252,#102554); #65564=LINE('',#457253,#102555); #65565=LINE('',#457256,#102556); #65566=LINE('',#457258,#102557); #65567=LINE('',#457259,#102558); #65568=LINE('',#457262,#102559); #65569=LINE('',#457264,#102560); #65570=LINE('',#457265,#102561); #65571=LINE('',#457268,#102562); #65572=LINE('',#457270,#102563); #65573=LINE('',#457271,#102564); #65574=LINE('',#457274,#102565); #65575=LINE('',#457276,#102566); #65576=LINE('',#457277,#102567); #65577=LINE('',#457280,#102568); #65578=LINE('',#457282,#102569); #65579=LINE('',#457283,#102570); #65580=LINE('',#457286,#102571); #65581=LINE('',#457288,#102572); #65582=LINE('',#457289,#102573); #65583=LINE('',#457292,#102574); #65584=LINE('',#457294,#102575); #65585=LINE('',#457295,#102576); #65586=LINE('',#457298,#102577); #65587=LINE('',#457300,#102578); #65588=LINE('',#457301,#102579); #65589=LINE('',#457304,#102580); #65590=LINE('',#457306,#102581); #65591=LINE('',#457307,#102582); #65592=LINE('',#457310,#102583); #65593=LINE('',#457312,#102584); #65594=LINE('',#457313,#102585); #65595=LINE('',#457316,#102586); #65596=LINE('',#457318,#102587); #65597=LINE('',#457319,#102588); #65598=LINE('',#457322,#102589); #65599=LINE('',#457324,#102590); #65600=LINE('',#457325,#102591); #65601=LINE('',#457328,#102592); #65602=LINE('',#457330,#102593); #65603=LINE('',#457331,#102594); #65604=LINE('',#457334,#102595); #65605=LINE('',#457336,#102596); #65606=LINE('',#457337,#102597); #65607=LINE('',#457340,#102598); #65608=LINE('',#457342,#102599); #65609=LINE('',#457343,#102600); #65610=LINE('',#457346,#102601); #65611=LINE('',#457348,#102602); #65612=LINE('',#457349,#102603); #65613=LINE('',#457352,#102604); #65614=LINE('',#457354,#102605); #65615=LINE('',#457355,#102606); #65616=LINE('',#457358,#102607); #65617=LINE('',#457360,#102608); #65618=LINE('',#457361,#102609); #65619=LINE('',#457364,#102610); #65620=LINE('',#457366,#102611); #65621=LINE('',#457367,#102612); #65622=LINE('',#457370,#102613); #65623=LINE('',#457372,#102614); #65624=LINE('',#457373,#102615); #65625=LINE('',#457376,#102616); #65626=LINE('',#457378,#102617); #65627=LINE('',#457379,#102618); #65628=LINE('',#457382,#102619); #65629=LINE('',#457384,#102620); #65630=LINE('',#457385,#102621); #65631=LINE('',#457388,#102622); #65632=LINE('',#457390,#102623); #65633=LINE('',#457391,#102624); #65634=LINE('',#457394,#102625); #65635=LINE('',#457396,#102626); #65636=LINE('',#457397,#102627); #65637=LINE('',#457400,#102628); #65638=LINE('',#457402,#102629); #65639=LINE('',#457403,#102630); #65640=LINE('',#457406,#102631); #65641=LINE('',#457408,#102632); #65642=LINE('',#457409,#102633); #65643=LINE('',#457412,#102634); #65644=LINE('',#457414,#102635); #65645=LINE('',#457415,#102636); #65646=LINE('',#457418,#102637); #65647=LINE('',#457420,#102638); #65648=LINE('',#457421,#102639); #65649=LINE('',#457424,#102640); #65650=LINE('',#457426,#102641); #65651=LINE('',#457427,#102642); #65652=LINE('',#457430,#102643); #65653=LINE('',#457432,#102644); #65654=LINE('',#457433,#102645); #65655=LINE('',#457436,#102646); #65656=LINE('',#457438,#102647); #65657=LINE('',#457439,#102648); #65658=LINE('',#457442,#102649); #65659=LINE('',#457444,#102650); #65660=LINE('',#457445,#102651); #65661=LINE('',#457448,#102652); #65662=LINE('',#457450,#102653); #65663=LINE('',#457451,#102654); #65664=LINE('',#457454,#102655); #65665=LINE('',#457456,#102656); #65666=LINE('',#457457,#102657); #65667=LINE('',#457460,#102658); #65668=LINE('',#457462,#102659); #65669=LINE('',#457463,#102660); #65670=LINE('',#457466,#102661); #65671=LINE('',#457468,#102662); #65672=LINE('',#457469,#102663); #65673=LINE('',#457472,#102664); #65674=LINE('',#457474,#102665); #65675=LINE('',#457475,#102666); #65676=LINE('',#457478,#102667); #65677=LINE('',#457480,#102668); #65678=LINE('',#457481,#102669); #65679=LINE('',#457484,#102670); #65680=LINE('',#457486,#102671); #65681=LINE('',#457487,#102672); #65682=LINE('',#457490,#102673); #65683=LINE('',#457492,#102674); #65684=LINE('',#457493,#102675); #65685=LINE('',#457496,#102676); #65686=LINE('',#457498,#102677); #65687=LINE('',#457499,#102678); #65688=LINE('',#457502,#102679); #65689=LINE('',#457504,#102680); #65690=LINE('',#457505,#102681); #65691=LINE('',#457508,#102682); #65692=LINE('',#457510,#102683); #65693=LINE('',#457511,#102684); #65694=LINE('',#457514,#102685); #65695=LINE('',#457516,#102686); #65696=LINE('',#457517,#102687); #65697=LINE('',#457520,#102688); #65698=LINE('',#457522,#102689); #65699=LINE('',#457523,#102690); #65700=LINE('',#457526,#102691); #65701=LINE('',#457528,#102692); #65702=LINE('',#457529,#102693); #65703=LINE('',#457532,#102694); #65704=LINE('',#457534,#102695); #65705=LINE('',#457535,#102696); #65706=LINE('',#457538,#102697); #65707=LINE('',#457540,#102698); #65708=LINE('',#457541,#102699); #65709=LINE('',#457544,#102700); #65710=LINE('',#457546,#102701); #65711=LINE('',#457547,#102702); #65712=LINE('',#457550,#102703); #65713=LINE('',#457552,#102704); #65714=LINE('',#457553,#102705); #65715=LINE('',#457556,#102706); #65716=LINE('',#457558,#102707); #65717=LINE('',#457559,#102708); #65718=LINE('',#457562,#102709); #65719=LINE('',#457564,#102710); #65720=LINE('',#457565,#102711); #65721=LINE('',#457568,#102712); #65722=LINE('',#457570,#102713); #65723=LINE('',#457571,#102714); #65724=LINE('',#457574,#102715); #65725=LINE('',#457576,#102716); #65726=LINE('',#457577,#102717); #65727=LINE('',#457580,#102718); #65728=LINE('',#457582,#102719); #65729=LINE('',#457583,#102720); #65730=LINE('',#457586,#102721); #65731=LINE('',#457588,#102722); #65732=LINE('',#457589,#102723); #65733=LINE('',#457592,#102724); #65734=LINE('',#457594,#102725); #65735=LINE('',#457595,#102726); #65736=LINE('',#457598,#102727); #65737=LINE('',#457600,#102728); #65738=LINE('',#457601,#102729); #65739=LINE('',#457604,#102730); #65740=LINE('',#457606,#102731); #65741=LINE('',#457607,#102732); #65742=LINE('',#457610,#102733); #65743=LINE('',#457612,#102734); #65744=LINE('',#457613,#102735); #65745=LINE('',#457616,#102736); #65746=LINE('',#457618,#102737); #65747=LINE('',#457619,#102738); #65748=LINE('',#457622,#102739); #65749=LINE('',#457624,#102740); #65750=LINE('',#457625,#102741); #65751=LINE('',#457628,#102742); #65752=LINE('',#457630,#102743); #65753=LINE('',#457631,#102744); #65754=LINE('',#457634,#102745); #65755=LINE('',#457636,#102746); #65756=LINE('',#457637,#102747); #65757=LINE('',#457640,#102748); #65758=LINE('',#457642,#102749); #65759=LINE('',#457643,#102750); #65760=LINE('',#457646,#102751); #65761=LINE('',#457648,#102752); #65762=LINE('',#457649,#102753); #65763=LINE('',#457652,#102754); #65764=LINE('',#457654,#102755); #65765=LINE('',#457655,#102756); #65766=LINE('',#457658,#102757); #65767=LINE('',#457660,#102758); #65768=LINE('',#457661,#102759); #65769=LINE('',#457664,#102760); #65770=LINE('',#457666,#102761); #65771=LINE('',#457667,#102762); #65772=LINE('',#457670,#102763); #65773=LINE('',#457672,#102764); #65774=LINE('',#457673,#102765); #65775=LINE('',#457676,#102766); #65776=LINE('',#457678,#102767); #65777=LINE('',#457679,#102768); #65778=LINE('',#457682,#102769); #65779=LINE('',#457684,#102770); #65780=LINE('',#457685,#102771); #65781=LINE('',#457688,#102772); #65782=LINE('',#457690,#102773); #65783=LINE('',#457691,#102774); #65784=LINE('',#457694,#102775); #65785=LINE('',#457696,#102776); #65786=LINE('',#457697,#102777); #65787=LINE('',#457700,#102778); #65788=LINE('',#457702,#102779); #65789=LINE('',#457703,#102780); #65790=LINE('',#457706,#102781); #65791=LINE('',#457708,#102782); #65792=LINE('',#457709,#102783); #65793=LINE('',#457712,#102784); #65794=LINE('',#457714,#102785); #65795=LINE('',#457715,#102786); #65796=LINE('',#457718,#102787); #65797=LINE('',#457720,#102788); #65798=LINE('',#457721,#102789); #65799=LINE('',#457724,#102790); #65800=LINE('',#457726,#102791); #65801=LINE('',#457727,#102792); #65802=LINE('',#457730,#102793); #65803=LINE('',#457732,#102794); #65804=LINE('',#457733,#102795); #65805=LINE('',#457736,#102796); #65806=LINE('',#457738,#102797); #65807=LINE('',#457739,#102798); #65808=LINE('',#457742,#102799); #65809=LINE('',#457744,#102800); #65810=LINE('',#457745,#102801); #65811=LINE('',#457748,#102802); #65812=LINE('',#457750,#102803); #65813=LINE('',#457751,#102804); #65814=LINE('',#457754,#102805); #65815=LINE('',#457756,#102806); #65816=LINE('',#457757,#102807); #65817=LINE('',#457760,#102808); #65818=LINE('',#457762,#102809); #65819=LINE('',#457763,#102810); #65820=LINE('',#457766,#102811); #65821=LINE('',#457768,#102812); #65822=LINE('',#457769,#102813); #65823=LINE('',#457772,#102814); #65824=LINE('',#457774,#102815); #65825=LINE('',#457775,#102816); #65826=LINE('',#457778,#102817); #65827=LINE('',#457780,#102818); #65828=LINE('',#457781,#102819); #65829=LINE('',#457784,#102820); #65830=LINE('',#457786,#102821); #65831=LINE('',#457787,#102822); #65832=LINE('',#457790,#102823); #65833=LINE('',#457792,#102824); #65834=LINE('',#457793,#102825); #65835=LINE('',#457796,#102826); #65836=LINE('',#457798,#102827); #65837=LINE('',#457799,#102828); #65838=LINE('',#457802,#102829); #65839=LINE('',#457804,#102830); #65840=LINE('',#457805,#102831); #65841=LINE('',#457808,#102832); #65842=LINE('',#457810,#102833); #65843=LINE('',#457811,#102834); #65844=LINE('',#457814,#102835); #65845=LINE('',#457816,#102836); #65846=LINE('',#457817,#102837); #65847=LINE('',#457820,#102838); #65848=LINE('',#457822,#102839); #65849=LINE('',#457823,#102840); #65850=LINE('',#457826,#102841); #65851=LINE('',#457828,#102842); #65852=LINE('',#457829,#102843); #65853=LINE('',#457832,#102844); #65854=LINE('',#457834,#102845); #65855=LINE('',#457835,#102846); #65856=LINE('',#457838,#102847); #65857=LINE('',#457840,#102848); #65858=LINE('',#457841,#102849); #65859=LINE('',#457844,#102850); #65860=LINE('',#457846,#102851); #65861=LINE('',#457847,#102852); #65862=LINE('',#457850,#102853); #65863=LINE('',#457852,#102854); #65864=LINE('',#457853,#102855); #65865=LINE('',#457856,#102856); #65866=LINE('',#457858,#102857); #65867=LINE('',#457859,#102858); #65868=LINE('',#457862,#102859); #65869=LINE('',#457864,#102860); #65870=LINE('',#457865,#102861); #65871=LINE('',#457868,#102862); #65872=LINE('',#457870,#102863); #65873=LINE('',#457871,#102864); #65874=LINE('',#457874,#102865); #65875=LINE('',#457876,#102866); #65876=LINE('',#457877,#102867); #65877=LINE('',#457880,#102868); #65878=LINE('',#457882,#102869); #65879=LINE('',#457883,#102870); #65880=LINE('',#457886,#102871); #65881=LINE('',#457888,#102872); #65882=LINE('',#457889,#102873); #65883=LINE('',#457892,#102874); #65884=LINE('',#457894,#102875); #65885=LINE('',#457895,#102876); #65886=LINE('',#457898,#102877); #65887=LINE('',#457900,#102878); #65888=LINE('',#457901,#102879); #65889=LINE('',#457904,#102880); #65890=LINE('',#457906,#102881); #65891=LINE('',#457907,#102882); #65892=LINE('',#457910,#102883); #65893=LINE('',#457912,#102884); #65894=LINE('',#457913,#102885); #65895=LINE('',#457916,#102886); #65896=LINE('',#457918,#102887); #65897=LINE('',#457919,#102888); #65898=LINE('',#457922,#102889); #65899=LINE('',#457924,#102890); #65900=LINE('',#457925,#102891); #65901=LINE('',#457928,#102892); #65902=LINE('',#457930,#102893); #65903=LINE('',#457931,#102894); #65904=LINE('',#457934,#102895); #65905=LINE('',#457936,#102896); #65906=LINE('',#457937,#102897); #65907=LINE('',#457940,#102898); #65908=LINE('',#457942,#102899); #65909=LINE('',#457943,#102900); #65910=LINE('',#457946,#102901); #65911=LINE('',#457948,#102902); #65912=LINE('',#457949,#102903); #65913=LINE('',#457952,#102904); #65914=LINE('',#457954,#102905); #65915=LINE('',#457955,#102906); #65916=LINE('',#457958,#102907); #65917=LINE('',#457960,#102908); #65918=LINE('',#457961,#102909); #65919=LINE('',#457964,#102910); #65920=LINE('',#457966,#102911); #65921=LINE('',#457967,#102912); #65922=LINE('',#457970,#102913); #65923=LINE('',#457972,#102914); #65924=LINE('',#457973,#102915); #65925=LINE('',#457976,#102916); #65926=LINE('',#457978,#102917); #65927=LINE('',#457979,#102918); #65928=LINE('',#457982,#102919); #65929=LINE('',#457984,#102920); #65930=LINE('',#457985,#102921); #65931=LINE('',#457988,#102922); #65932=LINE('',#457990,#102923); #65933=LINE('',#457991,#102924); #65934=LINE('',#457994,#102925); #65935=LINE('',#457996,#102926); #65936=LINE('',#457997,#102927); #65937=LINE('',#458000,#102928); #65938=LINE('',#458002,#102929); #65939=LINE('',#458003,#102930); #65940=LINE('',#458006,#102931); #65941=LINE('',#458008,#102932); #65942=LINE('',#458009,#102933); #65943=LINE('',#458012,#102934); #65944=LINE('',#458014,#102935); #65945=LINE('',#458015,#102936); #65946=LINE('',#458018,#102937); #65947=LINE('',#458020,#102938); #65948=LINE('',#458021,#102939); #65949=LINE('',#458024,#102940); #65950=LINE('',#458026,#102941); #65951=LINE('',#458027,#102942); #65952=LINE('',#458030,#102943); #65953=LINE('',#458032,#102944); #65954=LINE('',#458033,#102945); #65955=LINE('',#458036,#102946); #65956=LINE('',#458038,#102947); #65957=LINE('',#458039,#102948); #65958=LINE('',#458042,#102949); #65959=LINE('',#458044,#102950); #65960=LINE('',#458045,#102951); #65961=LINE('',#458048,#102952); #65962=LINE('',#458050,#102953); #65963=LINE('',#458051,#102954); #65964=LINE('',#458054,#102955); #65965=LINE('',#458056,#102956); #65966=LINE('',#458057,#102957); #65967=LINE('',#458060,#102958); #65968=LINE('',#458062,#102959); #65969=LINE('',#458063,#102960); #65970=LINE('',#458066,#102961); #65971=LINE('',#458068,#102962); #65972=LINE('',#458069,#102963); #65973=LINE('',#458072,#102964); #65974=LINE('',#458074,#102965); #65975=LINE('',#458075,#102966); #65976=LINE('',#458078,#102967); #65977=LINE('',#458080,#102968); #65978=LINE('',#458081,#102969); #65979=LINE('',#458084,#102970); #65980=LINE('',#458086,#102971); #65981=LINE('',#458087,#102972); #65982=LINE('',#458090,#102973); #65983=LINE('',#458092,#102974); #65984=LINE('',#458093,#102975); #65985=LINE('',#458096,#102976); #65986=LINE('',#458098,#102977); #65987=LINE('',#458099,#102978); #65988=LINE('',#458102,#102979); #65989=LINE('',#458104,#102980); #65990=LINE('',#458105,#102981); #65991=LINE('',#458108,#102982); #65992=LINE('',#458110,#102983); #65993=LINE('',#458111,#102984); #65994=LINE('',#458114,#102985); #65995=LINE('',#458116,#102986); #65996=LINE('',#458117,#102987); #65997=LINE('',#458120,#102988); #65998=LINE('',#458122,#102989); #65999=LINE('',#458123,#102990); #66000=LINE('',#458126,#102991); #66001=LINE('',#458128,#102992); #66002=LINE('',#458129,#102993); #66003=LINE('',#458132,#102994); #66004=LINE('',#458134,#102995); #66005=LINE('',#458135,#102996); #66006=LINE('',#458138,#102997); #66007=LINE('',#458140,#102998); #66008=LINE('',#458141,#102999); #66009=LINE('',#458144,#103000); #66010=LINE('',#458146,#103001); #66011=LINE('',#458147,#103002); #66012=LINE('',#458150,#103003); #66013=LINE('',#458152,#103004); #66014=LINE('',#458153,#103005); #66015=LINE('',#458156,#103006); #66016=LINE('',#458158,#103007); #66017=LINE('',#458159,#103008); #66018=LINE('',#458162,#103009); #66019=LINE('',#458164,#103010); #66020=LINE('',#458165,#103011); #66021=LINE('',#458168,#103012); #66022=LINE('',#458170,#103013); #66023=LINE('',#458171,#103014); #66024=LINE('',#458174,#103015); #66025=LINE('',#458176,#103016); #66026=LINE('',#458177,#103017); #66027=LINE('',#458180,#103018); #66028=LINE('',#458182,#103019); #66029=LINE('',#458183,#103020); #66030=LINE('',#458186,#103021); #66031=LINE('',#458188,#103022); #66032=LINE('',#458189,#103023); #66033=LINE('',#458192,#103024); #66034=LINE('',#458194,#103025); #66035=LINE('',#458195,#103026); #66036=LINE('',#458198,#103027); #66037=LINE('',#458200,#103028); #66038=LINE('',#458201,#103029); #66039=LINE('',#458204,#103030); #66040=LINE('',#458206,#103031); #66041=LINE('',#458207,#103032); #66042=LINE('',#458210,#103033); #66043=LINE('',#458212,#103034); #66044=LINE('',#458213,#103035); #66045=LINE('',#458216,#103036); #66046=LINE('',#458218,#103037); #66047=LINE('',#458219,#103038); #66048=LINE('',#458222,#103039); #66049=LINE('',#458224,#103040); #66050=LINE('',#458225,#103041); #66051=LINE('',#458228,#103042); #66052=LINE('',#458230,#103043); #66053=LINE('',#458231,#103044); #66054=LINE('',#458234,#103045); #66055=LINE('',#458236,#103046); #66056=LINE('',#458237,#103047); #66057=LINE('',#458240,#103048); #66058=LINE('',#458242,#103049); #66059=LINE('',#458243,#103050); #66060=LINE('',#458246,#103051); #66061=LINE('',#458248,#103052); #66062=LINE('',#458249,#103053); #66063=LINE('',#458252,#103054); #66064=LINE('',#458254,#103055); #66065=LINE('',#458255,#103056); #66066=LINE('',#458258,#103057); #66067=LINE('',#458260,#103058); #66068=LINE('',#458261,#103059); #66069=LINE('',#458264,#103060); #66070=LINE('',#458266,#103061); #66071=LINE('',#458267,#103062); #66072=LINE('',#458270,#103063); #66073=LINE('',#458272,#103064); #66074=LINE('',#458273,#103065); #66075=LINE('',#458276,#103066); #66076=LINE('',#458278,#103067); #66077=LINE('',#458279,#103068); #66078=LINE('',#458282,#103069); #66079=LINE('',#458284,#103070); #66080=LINE('',#458285,#103071); #66081=LINE('',#458288,#103072); #66082=LINE('',#458290,#103073); #66083=LINE('',#458291,#103074); #66084=LINE('',#458294,#103075); #66085=LINE('',#458296,#103076); #66086=LINE('',#458297,#103077); #66087=LINE('',#458300,#103078); #66088=LINE('',#458302,#103079); #66089=LINE('',#458303,#103080); #66090=LINE('',#458306,#103081); #66091=LINE('',#458308,#103082); #66092=LINE('',#458309,#103083); #66093=LINE('',#458312,#103084); #66094=LINE('',#458314,#103085); #66095=LINE('',#458315,#103086); #66096=LINE('',#458318,#103087); #66097=LINE('',#458320,#103088); #66098=LINE('',#458321,#103089); #66099=LINE('',#458324,#103090); #66100=LINE('',#458326,#103091); #66101=LINE('',#458327,#103092); #66102=LINE('',#458330,#103093); #66103=LINE('',#458332,#103094); #66104=LINE('',#458333,#103095); #66105=LINE('',#458336,#103096); #66106=LINE('',#458338,#103097); #66107=LINE('',#458339,#103098); #66108=LINE('',#458342,#103099); #66109=LINE('',#458344,#103100); #66110=LINE('',#458345,#103101); #66111=LINE('',#458348,#103102); #66112=LINE('',#458350,#103103); #66113=LINE('',#458351,#103104); #66114=LINE('',#458354,#103105); #66115=LINE('',#458356,#103106); #66116=LINE('',#458357,#103107); #66117=LINE('',#458360,#103108); #66118=LINE('',#458362,#103109); #66119=LINE('',#458363,#103110); #66120=LINE('',#458366,#103111); #66121=LINE('',#458368,#103112); #66122=LINE('',#458369,#103113); #66123=LINE('',#458372,#103114); #66124=LINE('',#458374,#103115); #66125=LINE('',#458375,#103116); #66126=LINE('',#458378,#103117); #66127=LINE('',#458380,#103118); #66128=LINE('',#458381,#103119); #66129=LINE('',#458384,#103120); #66130=LINE('',#458386,#103121); #66131=LINE('',#458387,#103122); #66132=LINE('',#458390,#103123); #66133=LINE('',#458392,#103124); #66134=LINE('',#458393,#103125); #66135=LINE('',#458396,#103126); #66136=LINE('',#458398,#103127); #66137=LINE('',#458399,#103128); #66138=LINE('',#458402,#103129); #66139=LINE('',#458404,#103130); #66140=LINE('',#458405,#103131); #66141=LINE('',#458408,#103132); #66142=LINE('',#458410,#103133); #66143=LINE('',#458411,#103134); #66144=LINE('',#458414,#103135); #66145=LINE('',#458416,#103136); #66146=LINE('',#458417,#103137); #66147=LINE('',#458420,#103138); #66148=LINE('',#458422,#103139); #66149=LINE('',#458423,#103140); #66150=LINE('',#458426,#103141); #66151=LINE('',#458428,#103142); #66152=LINE('',#458429,#103143); #66153=LINE('',#458432,#103144); #66154=LINE('',#458434,#103145); #66155=LINE('',#458435,#103146); #66156=LINE('',#458438,#103147); #66157=LINE('',#458440,#103148); #66158=LINE('',#458441,#103149); #66159=LINE('',#458444,#103150); #66160=LINE('',#458446,#103151); #66161=LINE('',#458447,#103152); #66162=LINE('',#458450,#103153); #66163=LINE('',#458452,#103154); #66164=LINE('',#458453,#103155); #66165=LINE('',#458456,#103156); #66166=LINE('',#458458,#103157); #66167=LINE('',#458459,#103158); #66168=LINE('',#458462,#103159); #66169=LINE('',#458464,#103160); #66170=LINE('',#458465,#103161); #66171=LINE('',#458468,#103162); #66172=LINE('',#458470,#103163); #66173=LINE('',#458471,#103164); #66174=LINE('',#458474,#103165); #66175=LINE('',#458476,#103166); #66176=LINE('',#458477,#103167); #66177=LINE('',#458480,#103168); #66178=LINE('',#458482,#103169); #66179=LINE('',#458483,#103170); #66180=LINE('',#458486,#103171); #66181=LINE('',#458488,#103172); #66182=LINE('',#458489,#103173); #66183=LINE('',#458492,#103174); #66184=LINE('',#458494,#103175); #66185=LINE('',#458495,#103176); #66186=LINE('',#458498,#103177); #66187=LINE('',#458500,#103178); #66188=LINE('',#458501,#103179); #66189=LINE('',#458504,#103180); #66190=LINE('',#458506,#103181); #66191=LINE('',#458507,#103182); #66192=LINE('',#458510,#103183); #66193=LINE('',#458512,#103184); #66194=LINE('',#458513,#103185); #66195=LINE('',#458516,#103186); #66196=LINE('',#458518,#103187); #66197=LINE('',#458519,#103188); #66198=LINE('',#458522,#103189); #66199=LINE('',#458524,#103190); #66200=LINE('',#458525,#103191); #66201=LINE('',#458528,#103192); #66202=LINE('',#458530,#103193); #66203=LINE('',#458531,#103194); #66204=LINE('',#458534,#103195); #66205=LINE('',#458536,#103196); #66206=LINE('',#458537,#103197); #66207=LINE('',#458540,#103198); #66208=LINE('',#458542,#103199); #66209=LINE('',#458543,#103200); #66210=LINE('',#458546,#103201); #66211=LINE('',#458548,#103202); #66212=LINE('',#458549,#103203); #66213=LINE('',#458552,#103204); #66214=LINE('',#458554,#103205); #66215=LINE('',#458555,#103206); #66216=LINE('',#458558,#103207); #66217=LINE('',#458560,#103208); #66218=LINE('',#458561,#103209); #66219=LINE('',#458564,#103210); #66220=LINE('',#458566,#103211); #66221=LINE('',#458567,#103212); #66222=LINE('',#458570,#103213); #66223=LINE('',#458572,#103214); #66224=LINE('',#458573,#103215); #66225=LINE('',#458576,#103216); #66226=LINE('',#458578,#103217); #66227=LINE('',#458579,#103218); #66228=LINE('',#458582,#103219); #66229=LINE('',#458584,#103220); #66230=LINE('',#458585,#103221); #66231=LINE('',#458588,#103222); #66232=LINE('',#458590,#103223); #66233=LINE('',#458591,#103224); #66234=LINE('',#458594,#103225); #66235=LINE('',#458596,#103226); #66236=LINE('',#458597,#103227); #66237=LINE('',#458600,#103228); #66238=LINE('',#458602,#103229); #66239=LINE('',#458603,#103230); #66240=LINE('',#458606,#103231); #66241=LINE('',#458608,#103232); #66242=LINE('',#458609,#103233); #66243=LINE('',#458612,#103234); #66244=LINE('',#458614,#103235); #66245=LINE('',#458615,#103236); #66246=LINE('',#458618,#103237); #66247=LINE('',#458620,#103238); #66248=LINE('',#458621,#103239); #66249=LINE('',#458624,#103240); #66250=LINE('',#458626,#103241); #66251=LINE('',#458627,#103242); #66252=LINE('',#458630,#103243); #66253=LINE('',#458632,#103244); #66254=LINE('',#458633,#103245); #66255=LINE('',#458636,#103246); #66256=LINE('',#458638,#103247); #66257=LINE('',#458639,#103248); #66258=LINE('',#458642,#103249); #66259=LINE('',#458644,#103250); #66260=LINE('',#458645,#103251); #66261=LINE('',#458648,#103252); #66262=LINE('',#458650,#103253); #66263=LINE('',#458651,#103254); #66264=LINE('',#458654,#103255); #66265=LINE('',#458656,#103256); #66266=LINE('',#458657,#103257); #66267=LINE('',#458660,#103258); #66268=LINE('',#458662,#103259); #66269=LINE('',#458663,#103260); #66270=LINE('',#458666,#103261); #66271=LINE('',#458668,#103262); #66272=LINE('',#458669,#103263); #66273=LINE('',#458672,#103264); #66274=LINE('',#458674,#103265); #66275=LINE('',#458675,#103266); #66276=LINE('',#458678,#103267); #66277=LINE('',#458680,#103268); #66278=LINE('',#458681,#103269); #66279=LINE('',#458684,#103270); #66280=LINE('',#458686,#103271); #66281=LINE('',#458687,#103272); #66282=LINE('',#458690,#103273); #66283=LINE('',#458692,#103274); #66284=LINE('',#458693,#103275); #66285=LINE('',#458696,#103276); #66286=LINE('',#458698,#103277); #66287=LINE('',#458699,#103278); #66288=LINE('',#458702,#103279); #66289=LINE('',#458704,#103280); #66290=LINE('',#458705,#103281); #66291=LINE('',#458708,#103282); #66292=LINE('',#458710,#103283); #66293=LINE('',#458711,#103284); #66294=LINE('',#458714,#103285); #66295=LINE('',#458716,#103286); #66296=LINE('',#458717,#103287); #66297=LINE('',#458720,#103288); #66298=LINE('',#458722,#103289); #66299=LINE('',#458723,#103290); #66300=LINE('',#458726,#103291); #66301=LINE('',#458728,#103292); #66302=LINE('',#458729,#103293); #66303=LINE('',#458732,#103294); #66304=LINE('',#458734,#103295); #66305=LINE('',#458735,#103296); #66306=LINE('',#458738,#103297); #66307=LINE('',#458740,#103298); #66308=LINE('',#458741,#103299); #66309=LINE('',#458744,#103300); #66310=LINE('',#458746,#103301); #66311=LINE('',#458747,#103302); #66312=LINE('',#458750,#103303); #66313=LINE('',#458752,#103304); #66314=LINE('',#458753,#103305); #66315=LINE('',#458756,#103306); #66316=LINE('',#458758,#103307); #66317=LINE('',#458759,#103308); #66318=LINE('',#458762,#103309); #66319=LINE('',#458764,#103310); #66320=LINE('',#458765,#103311); #66321=LINE('',#458768,#103312); #66322=LINE('',#458770,#103313); #66323=LINE('',#458771,#103314); #66324=LINE('',#458774,#103315); #66325=LINE('',#458776,#103316); #66326=LINE('',#458777,#103317); #66327=LINE('',#458780,#103318); #66328=LINE('',#458782,#103319); #66329=LINE('',#458783,#103320); #66330=LINE('',#458786,#103321); #66331=LINE('',#458788,#103322); #66332=LINE('',#458789,#103323); #66333=LINE('',#458792,#103324); #66334=LINE('',#458794,#103325); #66335=LINE('',#458795,#103326); #66336=LINE('',#458798,#103327); #66337=LINE('',#458800,#103328); #66338=LINE('',#458801,#103329); #66339=LINE('',#458804,#103330); #66340=LINE('',#458806,#103331); #66341=LINE('',#458807,#103332); #66342=LINE('',#458810,#103333); #66343=LINE('',#458812,#103334); #66344=LINE('',#458813,#103335); #66345=LINE('',#458816,#103336); #66346=LINE('',#458818,#103337); #66347=LINE('',#458819,#103338); #66348=LINE('',#458822,#103339); #66349=LINE('',#458824,#103340); #66350=LINE('',#458825,#103341); #66351=LINE('',#458828,#103342); #66352=LINE('',#458830,#103343); #66353=LINE('',#458831,#103344); #66354=LINE('',#458834,#103345); #66355=LINE('',#458836,#103346); #66356=LINE('',#458837,#103347); #66357=LINE('',#458840,#103348); #66358=LINE('',#458842,#103349); #66359=LINE('',#458843,#103350); #66360=LINE('',#458846,#103351); #66361=LINE('',#458848,#103352); #66362=LINE('',#458849,#103353); #66363=LINE('',#458852,#103354); #66364=LINE('',#458854,#103355); #66365=LINE('',#458855,#103356); #66366=LINE('',#458858,#103357); #66367=LINE('',#458860,#103358); #66368=LINE('',#458861,#103359); #66369=LINE('',#458864,#103360); #66370=LINE('',#458866,#103361); #66371=LINE('',#458867,#103362); #66372=LINE('',#458870,#103363); #66373=LINE('',#458872,#103364); #66374=LINE('',#458873,#103365); #66375=LINE('',#458876,#103366); #66376=LINE('',#458878,#103367); #66377=LINE('',#458879,#103368); #66378=LINE('',#458882,#103369); #66379=LINE('',#458884,#103370); #66380=LINE('',#458885,#103371); #66381=LINE('',#458888,#103372); #66382=LINE('',#458890,#103373); #66383=LINE('',#458891,#103374); #66384=LINE('',#458894,#103375); #66385=LINE('',#458896,#103376); #66386=LINE('',#458897,#103377); #66387=LINE('',#458900,#103378); #66388=LINE('',#458902,#103379); #66389=LINE('',#458903,#103380); #66390=LINE('',#458906,#103381); #66391=LINE('',#458908,#103382); #66392=LINE('',#458909,#103383); #66393=LINE('',#458912,#103384); #66394=LINE('',#458914,#103385); #66395=LINE('',#458915,#103386); #66396=LINE('',#458918,#103387); #66397=LINE('',#458920,#103388); #66398=LINE('',#458921,#103389); #66399=LINE('',#458924,#103390); #66400=LINE('',#458926,#103391); #66401=LINE('',#458927,#103392); #66402=LINE('',#458930,#103393); #66403=LINE('',#458932,#103394); #66404=LINE('',#458933,#103395); #66405=LINE('',#458936,#103396); #66406=LINE('',#458938,#103397); #66407=LINE('',#458939,#103398); #66408=LINE('',#458942,#103399); #66409=LINE('',#458944,#103400); #66410=LINE('',#458945,#103401); #66411=LINE('',#458948,#103402); #66412=LINE('',#458950,#103403); #66413=LINE('',#458951,#103404); #66414=LINE('',#458954,#103405); #66415=LINE('',#458956,#103406); #66416=LINE('',#458957,#103407); #66417=LINE('',#458960,#103408); #66418=LINE('',#458962,#103409); #66419=LINE('',#458963,#103410); #66420=LINE('',#458966,#103411); #66421=LINE('',#458968,#103412); #66422=LINE('',#458969,#103413); #66423=LINE('',#458972,#103414); #66424=LINE('',#458974,#103415); #66425=LINE('',#458975,#103416); #66426=LINE('',#458978,#103417); #66427=LINE('',#458980,#103418); #66428=LINE('',#458981,#103419); #66429=LINE('',#458984,#103420); #66430=LINE('',#458986,#103421); #66431=LINE('',#458987,#103422); #66432=LINE('',#458990,#103423); #66433=LINE('',#458992,#103424); #66434=LINE('',#458993,#103425); #66435=LINE('',#458996,#103426); #66436=LINE('',#458998,#103427); #66437=LINE('',#458999,#103428); #66438=LINE('',#459002,#103429); #66439=LINE('',#459004,#103430); #66440=LINE('',#459005,#103431); #66441=LINE('',#459008,#103432); #66442=LINE('',#459010,#103433); #66443=LINE('',#459011,#103434); #66444=LINE('',#459014,#103435); #66445=LINE('',#459016,#103436); #66446=LINE('',#459017,#103437); #66447=LINE('',#459020,#103438); #66448=LINE('',#459022,#103439); #66449=LINE('',#459023,#103440); #66450=LINE('',#459026,#103441); #66451=LINE('',#459028,#103442); #66452=LINE('',#459029,#103443); #66453=LINE('',#459032,#103444); #66454=LINE('',#459034,#103445); #66455=LINE('',#459035,#103446); #66456=LINE('',#459038,#103447); #66457=LINE('',#459040,#103448); #66458=LINE('',#459041,#103449); #66459=LINE('',#459044,#103450); #66460=LINE('',#459046,#103451); #66461=LINE('',#459047,#103452); #66462=LINE('',#459050,#103453); #66463=LINE('',#459052,#103454); #66464=LINE('',#459053,#103455); #66465=LINE('',#459056,#103456); #66466=LINE('',#459058,#103457); #66467=LINE('',#459059,#103458); #66468=LINE('',#459062,#103459); #66469=LINE('',#459064,#103460); #66470=LINE('',#459065,#103461); #66471=LINE('',#459068,#103462); #66472=LINE('',#459070,#103463); #66473=LINE('',#459071,#103464); #66474=LINE('',#459074,#103465); #66475=LINE('',#459076,#103466); #66476=LINE('',#459077,#103467); #66477=LINE('',#459080,#103468); #66478=LINE('',#459082,#103469); #66479=LINE('',#459083,#103470); #66480=LINE('',#459086,#103471); #66481=LINE('',#459088,#103472); #66482=LINE('',#459089,#103473); #66483=LINE('',#459092,#103474); #66484=LINE('',#459094,#103475); #66485=LINE('',#459095,#103476); #66486=LINE('',#459098,#103477); #66487=LINE('',#459100,#103478); #66488=LINE('',#459101,#103479); #66489=LINE('',#459104,#103480); #66490=LINE('',#459106,#103481); #66491=LINE('',#459107,#103482); #66492=LINE('',#459110,#103483); #66493=LINE('',#459112,#103484); #66494=LINE('',#459113,#103485); #66495=LINE('',#459116,#103486); #66496=LINE('',#459118,#103487); #66497=LINE('',#459119,#103488); #66498=LINE('',#459122,#103489); #66499=LINE('',#459124,#103490); #66500=LINE('',#459125,#103491); #66501=LINE('',#459128,#103492); #66502=LINE('',#459130,#103493); #66503=LINE('',#459131,#103494); #66504=LINE('',#459134,#103495); #66505=LINE('',#459136,#103496); #66506=LINE('',#459137,#103497); #66507=LINE('',#459140,#103498); #66508=LINE('',#459142,#103499); #66509=LINE('',#459143,#103500); #66510=LINE('',#459146,#103501); #66511=LINE('',#459148,#103502); #66512=LINE('',#459149,#103503); #66513=LINE('',#459152,#103504); #66514=LINE('',#459154,#103505); #66515=LINE('',#459155,#103506); #66516=LINE('',#459158,#103507); #66517=LINE('',#459160,#103508); #66518=LINE('',#459161,#103509); #66519=LINE('',#459164,#103510); #66520=LINE('',#459166,#103511); #66521=LINE('',#459167,#103512); #66522=LINE('',#459170,#103513); #66523=LINE('',#459172,#103514); #66524=LINE('',#459173,#103515); #66525=LINE('',#459176,#103516); #66526=LINE('',#459178,#103517); #66527=LINE('',#459179,#103518); #66528=LINE('',#459182,#103519); #66529=LINE('',#459184,#103520); #66530=LINE('',#459185,#103521); #66531=LINE('',#459188,#103522); #66532=LINE('',#459190,#103523); #66533=LINE('',#459191,#103524); #66534=LINE('',#459194,#103525); #66535=LINE('',#459196,#103526); #66536=LINE('',#459197,#103527); #66537=LINE('',#459200,#103528); #66538=LINE('',#459202,#103529); #66539=LINE('',#459203,#103530); #66540=LINE('',#459206,#103531); #66541=LINE('',#459208,#103532); #66542=LINE('',#459209,#103533); #66543=LINE('',#459212,#103534); #66544=LINE('',#459214,#103535); #66545=LINE('',#459215,#103536); #66546=LINE('',#459218,#103537); #66547=LINE('',#459220,#103538); #66548=LINE('',#459221,#103539); #66549=LINE('',#459224,#103540); #66550=LINE('',#459226,#103541); #66551=LINE('',#459227,#103542); #66552=LINE('',#459230,#103543); #66553=LINE('',#459232,#103544); #66554=LINE('',#459233,#103545); #66555=LINE('',#459236,#103546); #66556=LINE('',#459238,#103547); #66557=LINE('',#459239,#103548); #66558=LINE('',#459242,#103549); #66559=LINE('',#459244,#103550); #66560=LINE('',#459245,#103551); #66561=LINE('',#459248,#103552); #66562=LINE('',#459250,#103553); #66563=LINE('',#459251,#103554); #66564=LINE('',#459254,#103555); #66565=LINE('',#459256,#103556); #66566=LINE('',#459257,#103557); #66567=LINE('',#459260,#103558); #66568=LINE('',#459262,#103559); #66569=LINE('',#459263,#103560); #66570=LINE('',#459266,#103561); #66571=LINE('',#459268,#103562); #66572=LINE('',#459269,#103563); #66573=LINE('',#459272,#103564); #66574=LINE('',#459274,#103565); #66575=LINE('',#459275,#103566); #66576=LINE('',#459278,#103567); #66577=LINE('',#459280,#103568); #66578=LINE('',#459281,#103569); #66579=LINE('',#459284,#103570); #66580=LINE('',#459286,#103571); #66581=LINE('',#459287,#103572); #66582=LINE('',#459290,#103573); #66583=LINE('',#459292,#103574); #66584=LINE('',#459293,#103575); #66585=LINE('',#459296,#103576); #66586=LINE('',#459298,#103577); #66587=LINE('',#459299,#103578); #66588=LINE('',#459302,#103579); #66589=LINE('',#459304,#103580); #66590=LINE('',#459305,#103581); #66591=LINE('',#459308,#103582); #66592=LINE('',#459310,#103583); #66593=LINE('',#459311,#103584); #66594=LINE('',#459314,#103585); #66595=LINE('',#459316,#103586); #66596=LINE('',#459317,#103587); #66597=LINE('',#459320,#103588); #66598=LINE('',#459322,#103589); #66599=LINE('',#459323,#103590); #66600=LINE('',#459326,#103591); #66601=LINE('',#459328,#103592); #66602=LINE('',#459329,#103593); #66603=LINE('',#459332,#103594); #66604=LINE('',#459334,#103595); #66605=LINE('',#459335,#103596); #66606=LINE('',#459338,#103597); #66607=LINE('',#459340,#103598); #66608=LINE('',#459341,#103599); #66609=LINE('',#459344,#103600); #66610=LINE('',#459346,#103601); #66611=LINE('',#459347,#103602); #66612=LINE('',#459350,#103603); #66613=LINE('',#459352,#103604); #66614=LINE('',#459353,#103605); #66615=LINE('',#459356,#103606); #66616=LINE('',#459358,#103607); #66617=LINE('',#459359,#103608); #66618=LINE('',#459362,#103609); #66619=LINE('',#459364,#103610); #66620=LINE('',#459365,#103611); #66621=LINE('',#459368,#103612); #66622=LINE('',#459370,#103613); #66623=LINE('',#459371,#103614); #66624=LINE('',#459374,#103615); #66625=LINE('',#459376,#103616); #66626=LINE('',#459377,#103617); #66627=LINE('',#459380,#103618); #66628=LINE('',#459382,#103619); #66629=LINE('',#459383,#103620); #66630=LINE('',#459386,#103621); #66631=LINE('',#459388,#103622); #66632=LINE('',#459389,#103623); #66633=LINE('',#459392,#103624); #66634=LINE('',#459394,#103625); #66635=LINE('',#459395,#103626); #66636=LINE('',#459398,#103627); #66637=LINE('',#459400,#103628); #66638=LINE('',#459401,#103629); #66639=LINE('',#459404,#103630); #66640=LINE('',#459406,#103631); #66641=LINE('',#459407,#103632); #66642=LINE('',#459410,#103633); #66643=LINE('',#459412,#103634); #66644=LINE('',#459413,#103635); #66645=LINE('',#459416,#103636); #66646=LINE('',#459418,#103637); #66647=LINE('',#459419,#103638); #66648=LINE('',#459422,#103639); #66649=LINE('',#459424,#103640); #66650=LINE('',#459425,#103641); #66651=LINE('',#459428,#103642); #66652=LINE('',#459430,#103643); #66653=LINE('',#459431,#103644); #66654=LINE('',#459434,#103645); #66655=LINE('',#459436,#103646); #66656=LINE('',#459437,#103647); #66657=LINE('',#459440,#103648); #66658=LINE('',#459442,#103649); #66659=LINE('',#459443,#103650); #66660=LINE('',#459446,#103651); #66661=LINE('',#459448,#103652); #66662=LINE('',#459449,#103653); #66663=LINE('',#459452,#103654); #66664=LINE('',#459454,#103655); #66665=LINE('',#459455,#103656); #66666=LINE('',#459458,#103657); #66667=LINE('',#459460,#103658); #66668=LINE('',#459461,#103659); #66669=LINE('',#459464,#103660); #66670=LINE('',#459466,#103661); #66671=LINE('',#459467,#103662); #66672=LINE('',#459470,#103663); #66673=LINE('',#459472,#103664); #66674=LINE('',#459473,#103665); #66675=LINE('',#459476,#103666); #66676=LINE('',#459478,#103667); #66677=LINE('',#459479,#103668); #66678=LINE('',#459482,#103669); #66679=LINE('',#459484,#103670); #66680=LINE('',#459485,#103671); #66681=LINE('',#459488,#103672); #66682=LINE('',#459490,#103673); #66683=LINE('',#459491,#103674); #66684=LINE('',#459494,#103675); #66685=LINE('',#459496,#103676); #66686=LINE('',#459497,#103677); #66687=LINE('',#459500,#103678); #66688=LINE('',#459502,#103679); #66689=LINE('',#459503,#103680); #66690=LINE('',#459506,#103681); #66691=LINE('',#459508,#103682); #66692=LINE('',#459509,#103683); #66693=LINE('',#459512,#103684); #66694=LINE('',#459514,#103685); #66695=LINE('',#459515,#103686); #66696=LINE('',#459518,#103687); #66697=LINE('',#459520,#103688); #66698=LINE('',#459521,#103689); #66699=LINE('',#459524,#103690); #66700=LINE('',#459526,#103691); #66701=LINE('',#459527,#103692); #66702=LINE('',#459530,#103693); #66703=LINE('',#459532,#103694); #66704=LINE('',#459533,#103695); #66705=LINE('',#459536,#103696); #66706=LINE('',#459538,#103697); #66707=LINE('',#459539,#103698); #66708=LINE('',#459542,#103699); #66709=LINE('',#459544,#103700); #66710=LINE('',#459545,#103701); #66711=LINE('',#459548,#103702); #66712=LINE('',#459550,#103703); #66713=LINE('',#459551,#103704); #66714=LINE('',#459554,#103705); #66715=LINE('',#459556,#103706); #66716=LINE('',#459557,#103707); #66717=LINE('',#459560,#103708); #66718=LINE('',#459562,#103709); #66719=LINE('',#459563,#103710); #66720=LINE('',#459566,#103711); #66721=LINE('',#459568,#103712); #66722=LINE('',#459569,#103713); #66723=LINE('',#459572,#103714); #66724=LINE('',#459574,#103715); #66725=LINE('',#459575,#103716); #66726=LINE('',#459578,#103717); #66727=LINE('',#459580,#103718); #66728=LINE('',#459581,#103719); #66729=LINE('',#459584,#103720); #66730=LINE('',#459586,#103721); #66731=LINE('',#459587,#103722); #66732=LINE('',#459590,#103723); #66733=LINE('',#459592,#103724); #66734=LINE('',#459593,#103725); #66735=LINE('',#459596,#103726); #66736=LINE('',#459598,#103727); #66737=LINE('',#459599,#103728); #66738=LINE('',#459602,#103729); #66739=LINE('',#459604,#103730); #66740=LINE('',#459605,#103731); #66741=LINE('',#459608,#103732); #66742=LINE('',#459610,#103733); #66743=LINE('',#459611,#103734); #66744=LINE('',#459614,#103735); #66745=LINE('',#459616,#103736); #66746=LINE('',#459617,#103737); #66747=LINE('',#459620,#103738); #66748=LINE('',#459622,#103739); #66749=LINE('',#459623,#103740); #66750=LINE('',#459626,#103741); #66751=LINE('',#459628,#103742); #66752=LINE('',#459629,#103743); #66753=LINE('',#459632,#103744); #66754=LINE('',#459634,#103745); #66755=LINE('',#459635,#103746); #66756=LINE('',#459638,#103747); #66757=LINE('',#459640,#103748); #66758=LINE('',#459641,#103749); #66759=LINE('',#459644,#103750); #66760=LINE('',#459646,#103751); #66761=LINE('',#459647,#103752); #66762=LINE('',#459650,#103753); #66763=LINE('',#459652,#103754); #66764=LINE('',#459653,#103755); #66765=LINE('',#459656,#103756); #66766=LINE('',#459658,#103757); #66767=LINE('',#459659,#103758); #66768=LINE('',#459662,#103759); #66769=LINE('',#459664,#103760); #66770=LINE('',#459665,#103761); #66771=LINE('',#459668,#103762); #66772=LINE('',#459670,#103763); #66773=LINE('',#459671,#103764); #66774=LINE('',#459674,#103765); #66775=LINE('',#459676,#103766); #66776=LINE('',#459677,#103767); #66777=LINE('',#459680,#103768); #66778=LINE('',#459682,#103769); #66779=LINE('',#459683,#103770); #66780=LINE('',#459686,#103771); #66781=LINE('',#459688,#103772); #66782=LINE('',#459689,#103773); #66783=LINE('',#459692,#103774); #66784=LINE('',#459694,#103775); #66785=LINE('',#459695,#103776); #66786=LINE('',#459698,#103777); #66787=LINE('',#459700,#103778); #66788=LINE('',#459701,#103779); #66789=LINE('',#459704,#103780); #66790=LINE('',#459706,#103781); #66791=LINE('',#459707,#103782); #66792=LINE('',#459710,#103783); #66793=LINE('',#459712,#103784); #66794=LINE('',#459713,#103785); #66795=LINE('',#459716,#103786); #66796=LINE('',#459718,#103787); #66797=LINE('',#459719,#103788); #66798=LINE('',#459722,#103789); #66799=LINE('',#459724,#103790); #66800=LINE('',#459725,#103791); #66801=LINE('',#459728,#103792); #66802=LINE('',#459730,#103793); #66803=LINE('',#459731,#103794); #66804=LINE('',#459734,#103795); #66805=LINE('',#459736,#103796); #66806=LINE('',#459737,#103797); #66807=LINE('',#459740,#103798); #66808=LINE('',#459742,#103799); #66809=LINE('',#459743,#103800); #66810=LINE('',#459746,#103801); #66811=LINE('',#459748,#103802); #66812=LINE('',#459749,#103803); #66813=LINE('',#459752,#103804); #66814=LINE('',#459754,#103805); #66815=LINE('',#459755,#103806); #66816=LINE('',#459758,#103807); #66817=LINE('',#459760,#103808); #66818=LINE('',#459761,#103809); #66819=LINE('',#459764,#103810); #66820=LINE('',#459766,#103811); #66821=LINE('',#459767,#103812); #66822=LINE('',#459770,#103813); #66823=LINE('',#459772,#103814); #66824=LINE('',#459773,#103815); #66825=LINE('',#459776,#103816); #66826=LINE('',#459778,#103817); #66827=LINE('',#459779,#103818); #66828=LINE('',#459782,#103819); #66829=LINE('',#459784,#103820); #66830=LINE('',#459785,#103821); #66831=LINE('',#459788,#103822); #66832=LINE('',#459790,#103823); #66833=LINE('',#459791,#103824); #66834=LINE('',#459794,#103825); #66835=LINE('',#459796,#103826); #66836=LINE('',#459797,#103827); #66837=LINE('',#459800,#103828); #66838=LINE('',#459802,#103829); #66839=LINE('',#459803,#103830); #66840=LINE('',#459806,#103831); #66841=LINE('',#459808,#103832); #66842=LINE('',#459809,#103833); #66843=LINE('',#459812,#103834); #66844=LINE('',#459814,#103835); #66845=LINE('',#459815,#103836); #66846=LINE('',#459818,#103837); #66847=LINE('',#459820,#103838); #66848=LINE('',#459821,#103839); #66849=LINE('',#459824,#103840); #66850=LINE('',#459826,#103841); #66851=LINE('',#459827,#103842); #66852=LINE('',#459830,#103843); #66853=LINE('',#459832,#103844); #66854=LINE('',#459833,#103845); #66855=LINE('',#459835,#103846); #66856=LINE('',#459836,#103847); #66857=LINE('',#459840,#103848); #66858=LINE('',#459842,#103849); #66859=LINE('',#459844,#103850); #66860=LINE('',#459845,#103851); #66861=LINE('',#459848,#103852); #66862=LINE('',#459850,#103853); #66863=LINE('',#459851,#103854); #66864=LINE('',#459854,#103855); #66865=LINE('',#459856,#103856); #66866=LINE('',#459857,#103857); #66867=LINE('',#459860,#103858); #66868=LINE('',#459862,#103859); #66869=LINE('',#459863,#103860); #66870=LINE('',#459866,#103861); #66871=LINE('',#459868,#103862); #66872=LINE('',#459869,#103863); #66873=LINE('',#459872,#103864); #66874=LINE('',#459874,#103865); #66875=LINE('',#459875,#103866); #66876=LINE('',#459878,#103867); #66877=LINE('',#459880,#103868); #66878=LINE('',#459881,#103869); #66879=LINE('',#459884,#103870); #66880=LINE('',#459886,#103871); #66881=LINE('',#459887,#103872); #66882=LINE('',#459890,#103873); #66883=LINE('',#459892,#103874); #66884=LINE('',#459893,#103875); #66885=LINE('',#459896,#103876); #66886=LINE('',#459898,#103877); #66887=LINE('',#459899,#103878); #66888=LINE('',#459902,#103879); #66889=LINE('',#459904,#103880); #66890=LINE('',#459905,#103881); #66891=LINE('',#459908,#103882); #66892=LINE('',#459910,#103883); #66893=LINE('',#459911,#103884); #66894=LINE('',#459914,#103885); #66895=LINE('',#459916,#103886); #66896=LINE('',#459917,#103887); #66897=LINE('',#459920,#103888); #66898=LINE('',#459922,#103889); #66899=LINE('',#459923,#103890); #66900=LINE('',#459926,#103891); #66901=LINE('',#459928,#103892); #66902=LINE('',#459929,#103893); #66903=LINE('',#459932,#103894); #66904=LINE('',#459934,#103895); #66905=LINE('',#459935,#103896); #66906=LINE('',#459938,#103897); #66907=LINE('',#459940,#103898); #66908=LINE('',#459941,#103899); #66909=LINE('',#459944,#103900); #66910=LINE('',#459946,#103901); #66911=LINE('',#459947,#103902); #66912=LINE('',#459950,#103903); #66913=LINE('',#459952,#103904); #66914=LINE('',#459953,#103905); #66915=LINE('',#459956,#103906); #66916=LINE('',#459958,#103907); #66917=LINE('',#459959,#103908); #66918=LINE('',#459962,#103909); #66919=LINE('',#459964,#103910); #66920=LINE('',#459965,#103911); #66921=LINE('',#459968,#103912); #66922=LINE('',#459970,#103913); #66923=LINE('',#459971,#103914); #66924=LINE('',#459974,#103915); #66925=LINE('',#459976,#103916); #66926=LINE('',#459977,#103917); #66927=LINE('',#459980,#103918); #66928=LINE('',#459982,#103919); #66929=LINE('',#459983,#103920); #66930=LINE('',#459986,#103921); #66931=LINE('',#459988,#103922); #66932=LINE('',#459989,#103923); #66933=LINE('',#459992,#103924); #66934=LINE('',#459994,#103925); #66935=LINE('',#459995,#103926); #66936=LINE('',#459998,#103927); #66937=LINE('',#460000,#103928); #66938=LINE('',#460001,#103929); #66939=LINE('',#460004,#103930); #66940=LINE('',#460006,#103931); #66941=LINE('',#460007,#103932); #66942=LINE('',#460010,#103933); #66943=LINE('',#460012,#103934); #66944=LINE('',#460013,#103935); #66945=LINE('',#460016,#103936); #66946=LINE('',#460018,#103937); #66947=LINE('',#460019,#103938); #66948=LINE('',#460022,#103939); #66949=LINE('',#460024,#103940); #66950=LINE('',#460025,#103941); #66951=LINE('',#460028,#103942); #66952=LINE('',#460030,#103943); #66953=LINE('',#460031,#103944); #66954=LINE('',#460034,#103945); #66955=LINE('',#460036,#103946); #66956=LINE('',#460037,#103947); #66957=LINE('',#460040,#103948); #66958=LINE('',#460042,#103949); #66959=LINE('',#460043,#103950); #66960=LINE('',#460046,#103951); #66961=LINE('',#460048,#103952); #66962=LINE('',#460049,#103953); #66963=LINE('',#460052,#103954); #66964=LINE('',#460054,#103955); #66965=LINE('',#460055,#103956); #66966=LINE('',#460058,#103957); #66967=LINE('',#460060,#103958); #66968=LINE('',#460061,#103959); #66969=LINE('',#460064,#103960); #66970=LINE('',#460066,#103961); #66971=LINE('',#460067,#103962); #66972=LINE('',#460070,#103963); #66973=LINE('',#460072,#103964); #66974=LINE('',#460073,#103965); #66975=LINE('',#460076,#103966); #66976=LINE('',#460078,#103967); #66977=LINE('',#460079,#103968); #66978=LINE('',#460082,#103969); #66979=LINE('',#460084,#103970); #66980=LINE('',#460085,#103971); #66981=LINE('',#460088,#103972); #66982=LINE('',#460090,#103973); #66983=LINE('',#460091,#103974); #66984=LINE('',#460094,#103975); #66985=LINE('',#460096,#103976); #66986=LINE('',#460097,#103977); #66987=LINE('',#460100,#103978); #66988=LINE('',#460102,#103979); #66989=LINE('',#460103,#103980); #66990=LINE('',#460106,#103981); #66991=LINE('',#460108,#103982); #66992=LINE('',#460109,#103983); #66993=LINE('',#460112,#103984); #66994=LINE('',#460114,#103985); #66995=LINE('',#460115,#103986); #66996=LINE('',#460118,#103987); #66997=LINE('',#460120,#103988); #66998=LINE('',#460121,#103989); #66999=LINE('',#460124,#103990); #67000=LINE('',#460126,#103991); #67001=LINE('',#460127,#103992); #67002=LINE('',#460130,#103993); #67003=LINE('',#460132,#103994); #67004=LINE('',#460133,#103995); #67005=LINE('',#460136,#103996); #67006=LINE('',#460138,#103997); #67007=LINE('',#460139,#103998); #67008=LINE('',#460142,#103999); #67009=LINE('',#460144,#104000); #67010=LINE('',#460145,#104001); #67011=LINE('',#460148,#104002); #67012=LINE('',#460150,#104003); #67013=LINE('',#460151,#104004); #67014=LINE('',#460154,#104005); #67015=LINE('',#460156,#104006); #67016=LINE('',#460157,#104007); #67017=LINE('',#460160,#104008); #67018=LINE('',#460162,#104009); #67019=LINE('',#460163,#104010); #67020=LINE('',#460166,#104011); #67021=LINE('',#460168,#104012); #67022=LINE('',#460169,#104013); #67023=LINE('',#460172,#104014); #67024=LINE('',#460174,#104015); #67025=LINE('',#460175,#104016); #67026=LINE('',#460178,#104017); #67027=LINE('',#460180,#104018); #67028=LINE('',#460181,#104019); #67029=LINE('',#460184,#104020); #67030=LINE('',#460186,#104021); #67031=LINE('',#460187,#104022); #67032=LINE('',#460190,#104023); #67033=LINE('',#460192,#104024); #67034=LINE('',#460193,#104025); #67035=LINE('',#460196,#104026); #67036=LINE('',#460198,#104027); #67037=LINE('',#460199,#104028); #67038=LINE('',#460202,#104029); #67039=LINE('',#460204,#104030); #67040=LINE('',#460205,#104031); #67041=LINE('',#460208,#104032); #67042=LINE('',#460210,#104033); #67043=LINE('',#460211,#104034); #67044=LINE('',#460214,#104035); #67045=LINE('',#460216,#104036); #67046=LINE('',#460217,#104037); #67047=LINE('',#460220,#104038); #67048=LINE('',#460222,#104039); #67049=LINE('',#460223,#104040); #67050=LINE('',#460226,#104041); #67051=LINE('',#460228,#104042); #67052=LINE('',#460229,#104043); #67053=LINE('',#460232,#104044); #67054=LINE('',#460234,#104045); #67055=LINE('',#460235,#104046); #67056=LINE('',#460238,#104047); #67057=LINE('',#460240,#104048); #67058=LINE('',#460241,#104049); #67059=LINE('',#460244,#104050); #67060=LINE('',#460246,#104051); #67061=LINE('',#460247,#104052); #67062=LINE('',#460250,#104053); #67063=LINE('',#460252,#104054); #67064=LINE('',#460253,#104055); #67065=LINE('',#460256,#104056); #67066=LINE('',#460258,#104057); #67067=LINE('',#460259,#104058); #67068=LINE('',#460262,#104059); #67069=LINE('',#460264,#104060); #67070=LINE('',#460265,#104061); #67071=LINE('',#460268,#104062); #67072=LINE('',#460270,#104063); #67073=LINE('',#460271,#104064); #67074=LINE('',#460274,#104065); #67075=LINE('',#460276,#104066); #67076=LINE('',#460277,#104067); #67077=LINE('',#460280,#104068); #67078=LINE('',#460282,#104069); #67079=LINE('',#460283,#104070); #67080=LINE('',#460286,#104071); #67081=LINE('',#460288,#104072); #67082=LINE('',#460289,#104073); #67083=LINE('',#460292,#104074); #67084=LINE('',#460294,#104075); #67085=LINE('',#460295,#104076); #67086=LINE('',#460298,#104077); #67087=LINE('',#460300,#104078); #67088=LINE('',#460301,#104079); #67089=LINE('',#460304,#104080); #67090=LINE('',#460306,#104081); #67091=LINE('',#460307,#104082); #67092=LINE('',#460310,#104083); #67093=LINE('',#460312,#104084); #67094=LINE('',#460313,#104085); #67095=LINE('',#460316,#104086); #67096=LINE('',#460318,#104087); #67097=LINE('',#460319,#104088); #67098=LINE('',#460322,#104089); #67099=LINE('',#460324,#104090); #67100=LINE('',#460325,#104091); #67101=LINE('',#460328,#104092); #67102=LINE('',#460330,#104093); #67103=LINE('',#460331,#104094); #67104=LINE('',#460334,#104095); #67105=LINE('',#460336,#104096); #67106=LINE('',#460337,#104097); #67107=LINE('',#460340,#104098); #67108=LINE('',#460342,#104099); #67109=LINE('',#460343,#104100); #67110=LINE('',#460346,#104101); #67111=LINE('',#460348,#104102); #67112=LINE('',#460349,#104103); #67113=LINE('',#460352,#104104); #67114=LINE('',#460354,#104105); #67115=LINE('',#460355,#104106); #67116=LINE('',#460358,#104107); #67117=LINE('',#460360,#104108); #67118=LINE('',#460361,#104109); #67119=LINE('',#460364,#104110); #67120=LINE('',#460366,#104111); #67121=LINE('',#460367,#104112); #67122=LINE('',#460370,#104113); #67123=LINE('',#460372,#104114); #67124=LINE('',#460373,#104115); #67125=LINE('',#460376,#104116); #67126=LINE('',#460378,#104117); #67127=LINE('',#460379,#104118); #67128=LINE('',#460382,#104119); #67129=LINE('',#460384,#104120); #67130=LINE('',#460385,#104121); #67131=LINE('',#460388,#104122); #67132=LINE('',#460390,#104123); #67133=LINE('',#460391,#104124); #67134=LINE('',#460394,#104125); #67135=LINE('',#460396,#104126); #67136=LINE('',#460397,#104127); #67137=LINE('',#460400,#104128); #67138=LINE('',#460402,#104129); #67139=LINE('',#460403,#104130); #67140=LINE('',#460406,#104131); #67141=LINE('',#460408,#104132); #67142=LINE('',#460409,#104133); #67143=LINE('',#460412,#104134); #67144=LINE('',#460414,#104135); #67145=LINE('',#460415,#104136); #67146=LINE('',#460418,#104137); #67147=LINE('',#460420,#104138); #67148=LINE('',#460421,#104139); #67149=LINE('',#460424,#104140); #67150=LINE('',#460426,#104141); #67151=LINE('',#460427,#104142); #67152=LINE('',#460430,#104143); #67153=LINE('',#460432,#104144); #67154=LINE('',#460433,#104145); #67155=LINE('',#460436,#104146); #67156=LINE('',#460438,#104147); #67157=LINE('',#460439,#104148); #67158=LINE('',#460442,#104149); #67159=LINE('',#460444,#104150); #67160=LINE('',#460445,#104151); #67161=LINE('',#460448,#104152); #67162=LINE('',#460450,#104153); #67163=LINE('',#460451,#104154); #67164=LINE('',#460454,#104155); #67165=LINE('',#460456,#104156); #67166=LINE('',#460457,#104157); #67167=LINE('',#460460,#104158); #67168=LINE('',#460462,#104159); #67169=LINE('',#460463,#104160); #67170=LINE('',#460466,#104161); #67171=LINE('',#460468,#104162); #67172=LINE('',#460469,#104163); #67173=LINE('',#460472,#104164); #67174=LINE('',#460474,#104165); #67175=LINE('',#460475,#104166); #67176=LINE('',#460478,#104167); #67177=LINE('',#460480,#104168); #67178=LINE('',#460481,#104169); #67179=LINE('',#460484,#104170); #67180=LINE('',#460486,#104171); #67181=LINE('',#460487,#104172); #67182=LINE('',#460490,#104173); #67183=LINE('',#460492,#104174); #67184=LINE('',#460493,#104175); #67185=LINE('',#460496,#104176); #67186=LINE('',#460498,#104177); #67187=LINE('',#460499,#104178); #67188=LINE('',#460502,#104179); #67189=LINE('',#460504,#104180); #67190=LINE('',#460505,#104181); #67191=LINE('',#460508,#104182); #67192=LINE('',#460510,#104183); #67193=LINE('',#460511,#104184); #67194=LINE('',#460514,#104185); #67195=LINE('',#460516,#104186); #67196=LINE('',#460517,#104187); #67197=LINE('',#460520,#104188); #67198=LINE('',#460522,#104189); #67199=LINE('',#460523,#104190); #67200=LINE('',#460526,#104191); #67201=LINE('',#460528,#104192); #67202=LINE('',#460529,#104193); #67203=LINE('',#460532,#104194); #67204=LINE('',#460534,#104195); #67205=LINE('',#460535,#104196); #67206=LINE('',#460538,#104197); #67207=LINE('',#460540,#104198); #67208=LINE('',#460541,#104199); #67209=LINE('',#460544,#104200); #67210=LINE('',#460546,#104201); #67211=LINE('',#460547,#104202); #67212=LINE('',#460549,#104203); #67213=LINE('',#460550,#104204); #67214=LINE('',#460554,#104205); #67215=LINE('',#460556,#104206); #67216=LINE('',#460558,#104207); #67217=LINE('',#460559,#104208); #67218=LINE('',#460562,#104209); #67219=LINE('',#460564,#104210); #67220=LINE('',#460565,#104211); #67221=LINE('',#460568,#104212); #67222=LINE('',#460570,#104213); #67223=LINE('',#460571,#104214); #67224=LINE('',#460574,#104215); #67225=LINE('',#460576,#104216); #67226=LINE('',#460577,#104217); #67227=LINE('',#460580,#104218); #67228=LINE('',#460582,#104219); #67229=LINE('',#460583,#104220); #67230=LINE('',#460586,#104221); #67231=LINE('',#460588,#104222); #67232=LINE('',#460589,#104223); #67233=LINE('',#460592,#104224); #67234=LINE('',#460594,#104225); #67235=LINE('',#460595,#104226); #67236=LINE('',#460597,#104227); #67237=LINE('',#460598,#104228); #67238=LINE('',#460602,#104229); #67239=LINE('',#460604,#104230); #67240=LINE('',#460606,#104231); #67241=LINE('',#460607,#104232); #67242=LINE('',#460610,#104233); #67243=LINE('',#460612,#104234); #67244=LINE('',#460613,#104235); #67245=LINE('',#460616,#104236); #67246=LINE('',#460618,#104237); #67247=LINE('',#460619,#104238); #67248=LINE('',#460622,#104239); #67249=LINE('',#460624,#104240); #67250=LINE('',#460625,#104241); #67251=LINE('',#460628,#104242); #67252=LINE('',#460630,#104243); #67253=LINE('',#460631,#104244); #67254=LINE('',#460634,#104245); #67255=LINE('',#460636,#104246); #67256=LINE('',#460637,#104247); #67257=LINE('',#460640,#104248); #67258=LINE('',#460642,#104249); #67259=LINE('',#460643,#104250); #67260=LINE('',#460646,#104251); #67261=LINE('',#460648,#104252); #67262=LINE('',#460649,#104253); #67263=LINE('',#460652,#104254); #67264=LINE('',#460654,#104255); #67265=LINE('',#460655,#104256); #67266=LINE('',#460658,#104257); #67267=LINE('',#460660,#104258); #67268=LINE('',#460661,#104259); #67269=LINE('',#460664,#104260); #67270=LINE('',#460666,#104261); #67271=LINE('',#460667,#104262); #67272=LINE('',#460670,#104263); #67273=LINE('',#460672,#104264); #67274=LINE('',#460673,#104265); #67275=LINE('',#460676,#104266); #67276=LINE('',#460678,#104267); #67277=LINE('',#460679,#104268); #67278=LINE('',#460682,#104269); #67279=LINE('',#460684,#104270); #67280=LINE('',#460685,#104271); #67281=LINE('',#460688,#104272); #67282=LINE('',#460690,#104273); #67283=LINE('',#460691,#104274); #67284=LINE('',#460694,#104275); #67285=LINE('',#460696,#104276); #67286=LINE('',#460697,#104277); #67287=LINE('',#460700,#104278); #67288=LINE('',#460702,#104279); #67289=LINE('',#460703,#104280); #67290=LINE('',#460706,#104281); #67291=LINE('',#460708,#104282); #67292=LINE('',#460709,#104283); #67293=LINE('',#460712,#104284); #67294=LINE('',#460714,#104285); #67295=LINE('',#460715,#104286); #67296=LINE('',#460718,#104287); #67297=LINE('',#460720,#104288); #67298=LINE('',#460721,#104289); #67299=LINE('',#460724,#104290); #67300=LINE('',#460726,#104291); #67301=LINE('',#460727,#104292); #67302=LINE('',#460730,#104293); #67303=LINE('',#460732,#104294); #67304=LINE('',#460733,#104295); #67305=LINE('',#460736,#104296); #67306=LINE('',#460738,#104297); #67307=LINE('',#460739,#104298); #67308=LINE('',#460742,#104299); #67309=LINE('',#460744,#104300); #67310=LINE('',#460745,#104301); #67311=LINE('',#460748,#104302); #67312=LINE('',#460750,#104303); #67313=LINE('',#460751,#104304); #67314=LINE('',#460754,#104305); #67315=LINE('',#460756,#104306); #67316=LINE('',#460757,#104307); #67317=LINE('',#460760,#104308); #67318=LINE('',#460762,#104309); #67319=LINE('',#460763,#104310); #67320=LINE('',#460766,#104311); #67321=LINE('',#460768,#104312); #67322=LINE('',#460769,#104313); #67323=LINE('',#460772,#104314); #67324=LINE('',#460774,#104315); #67325=LINE('',#460775,#104316); #67326=LINE('',#460778,#104317); #67327=LINE('',#460780,#104318); #67328=LINE('',#460781,#104319); #67329=LINE('',#460784,#104320); #67330=LINE('',#460786,#104321); #67331=LINE('',#460787,#104322); #67332=LINE('',#460790,#104323); #67333=LINE('',#460792,#104324); #67334=LINE('',#460793,#104325); #67335=LINE('',#460796,#104326); #67336=LINE('',#460798,#104327); #67337=LINE('',#460799,#104328); #67338=LINE('',#460802,#104329); #67339=LINE('',#460804,#104330); #67340=LINE('',#460805,#104331); #67341=LINE('',#460808,#104332); #67342=LINE('',#460810,#104333); #67343=LINE('',#460811,#104334); #67344=LINE('',#460814,#104335); #67345=LINE('',#460816,#104336); #67346=LINE('',#460817,#104337); #67347=LINE('',#460820,#104338); #67348=LINE('',#460822,#104339); #67349=LINE('',#460823,#104340); #67350=LINE('',#460826,#104341); #67351=LINE('',#460828,#104342); #67352=LINE('',#460829,#104343); #67353=LINE('',#460832,#104344); #67354=LINE('',#460834,#104345); #67355=LINE('',#460835,#104346); #67356=LINE('',#460838,#104347); #67357=LINE('',#460840,#104348); #67358=LINE('',#460841,#104349); #67359=LINE('',#460844,#104350); #67360=LINE('',#460846,#104351); #67361=LINE('',#460847,#104352); #67362=LINE('',#460850,#104353); #67363=LINE('',#460852,#104354); #67364=LINE('',#460853,#104355); #67365=LINE('',#460856,#104356); #67366=LINE('',#460858,#104357); #67367=LINE('',#460859,#104358); #67368=LINE('',#460862,#104359); #67369=LINE('',#460864,#104360); #67370=LINE('',#460865,#104361); #67371=LINE('',#460868,#104362); #67372=LINE('',#460870,#104363); #67373=LINE('',#460871,#104364); #67374=LINE('',#460874,#104365); #67375=LINE('',#460876,#104366); #67376=LINE('',#460877,#104367); #67377=LINE('',#460880,#104368); #67378=LINE('',#460882,#104369); #67379=LINE('',#460883,#104370); #67380=LINE('',#460886,#104371); #67381=LINE('',#460888,#104372); #67382=LINE('',#460889,#104373); #67383=LINE('',#460892,#104374); #67384=LINE('',#460894,#104375); #67385=LINE('',#460895,#104376); #67386=LINE('',#460898,#104377); #67387=LINE('',#460900,#104378); #67388=LINE('',#460901,#104379); #67389=LINE('',#460904,#104380); #67390=LINE('',#460906,#104381); #67391=LINE('',#460907,#104382); #67392=LINE('',#460910,#104383); #67393=LINE('',#460912,#104384); #67394=LINE('',#460913,#104385); #67395=LINE('',#460916,#104386); #67396=LINE('',#460918,#104387); #67397=LINE('',#460919,#104388); #67398=LINE('',#460922,#104389); #67399=LINE('',#460924,#104390); #67400=LINE('',#460925,#104391); #67401=LINE('',#460928,#104392); #67402=LINE('',#460930,#104393); #67403=LINE('',#460931,#104394); #67404=LINE('',#460934,#104395); #67405=LINE('',#460936,#104396); #67406=LINE('',#460937,#104397); #67407=LINE('',#460940,#104398); #67408=LINE('',#460942,#104399); #67409=LINE('',#460943,#104400); #67410=LINE('',#460946,#104401); #67411=LINE('',#460948,#104402); #67412=LINE('',#460949,#104403); #67413=LINE('',#460952,#104404); #67414=LINE('',#460954,#104405); #67415=LINE('',#460955,#104406); #67416=LINE('',#460958,#104407); #67417=LINE('',#460960,#104408); #67418=LINE('',#460961,#104409); #67419=LINE('',#460964,#104410); #67420=LINE('',#460966,#104411); #67421=LINE('',#460967,#104412); #67422=LINE('',#460970,#104413); #67423=LINE('',#460972,#104414); #67424=LINE('',#460973,#104415); #67425=LINE('',#460976,#104416); #67426=LINE('',#460978,#104417); #67427=LINE('',#460979,#104418); #67428=LINE('',#460982,#104419); #67429=LINE('',#460984,#104420); #67430=LINE('',#460985,#104421); #67431=LINE('',#460988,#104422); #67432=LINE('',#460990,#104423); #67433=LINE('',#460991,#104424); #67434=LINE('',#460994,#104425); #67435=LINE('',#460996,#104426); #67436=LINE('',#460997,#104427); #67437=LINE('',#461000,#104428); #67438=LINE('',#461002,#104429); #67439=LINE('',#461003,#104430); #67440=LINE('',#461006,#104431); #67441=LINE('',#461008,#104432); #67442=LINE('',#461009,#104433); #67443=LINE('',#461012,#104434); #67444=LINE('',#461014,#104435); #67445=LINE('',#461015,#104436); #67446=LINE('',#461018,#104437); #67447=LINE('',#461020,#104438); #67448=LINE('',#461021,#104439); #67449=LINE('',#461024,#104440); #67450=LINE('',#461026,#104441); #67451=LINE('',#461027,#104442); #67452=LINE('',#461030,#104443); #67453=LINE('',#461032,#104444); #67454=LINE('',#461033,#104445); #67455=LINE('',#461036,#104446); #67456=LINE('',#461038,#104447); #67457=LINE('',#461039,#104448); #67458=LINE('',#461042,#104449); #67459=LINE('',#461044,#104450); #67460=LINE('',#461045,#104451); #67461=LINE('',#461048,#104452); #67462=LINE('',#461050,#104453); #67463=LINE('',#461051,#104454); #67464=LINE('',#461054,#104455); #67465=LINE('',#461056,#104456); #67466=LINE('',#461057,#104457); #67467=LINE('',#461060,#104458); #67468=LINE('',#461062,#104459); #67469=LINE('',#461063,#104460); #67470=LINE('',#461066,#104461); #67471=LINE('',#461068,#104462); #67472=LINE('',#461069,#104463); #67473=LINE('',#461072,#104464); #67474=LINE('',#461074,#104465); #67475=LINE('',#461075,#104466); #67476=LINE('',#461078,#104467); #67477=LINE('',#461080,#104468); #67478=LINE('',#461081,#104469); #67479=LINE('',#461084,#104470); #67480=LINE('',#461086,#104471); #67481=LINE('',#461087,#104472); #67482=LINE('',#461090,#104473); #67483=LINE('',#461092,#104474); #67484=LINE('',#461093,#104475); #67485=LINE('',#461096,#104476); #67486=LINE('',#461098,#104477); #67487=LINE('',#461099,#104478); #67488=LINE('',#461102,#104479); #67489=LINE('',#461104,#104480); #67490=LINE('',#461105,#104481); #67491=LINE('',#461108,#104482); #67492=LINE('',#461110,#104483); #67493=LINE('',#461111,#104484); #67494=LINE('',#461114,#104485); #67495=LINE('',#461116,#104486); #67496=LINE('',#461117,#104487); #67497=LINE('',#461120,#104488); #67498=LINE('',#461122,#104489); #67499=LINE('',#461123,#104490); #67500=LINE('',#461126,#104491); #67501=LINE('',#461128,#104492); #67502=LINE('',#461129,#104493); #67503=LINE('',#461132,#104494); #67504=LINE('',#461134,#104495); #67505=LINE('',#461135,#104496); #67506=LINE('',#461138,#104497); #67507=LINE('',#461140,#104498); #67508=LINE('',#461141,#104499); #67509=LINE('',#461144,#104500); #67510=LINE('',#461146,#104501); #67511=LINE('',#461147,#104502); #67512=LINE('',#461150,#104503); #67513=LINE('',#461152,#104504); #67514=LINE('',#461153,#104505); #67515=LINE('',#461156,#104506); #67516=LINE('',#461158,#104507); #67517=LINE('',#461159,#104508); #67518=LINE('',#461162,#104509); #67519=LINE('',#461164,#104510); #67520=LINE('',#461165,#104511); #67521=LINE('',#461168,#104512); #67522=LINE('',#461170,#104513); #67523=LINE('',#461171,#104514); #67524=LINE('',#461174,#104515); #67525=LINE('',#461176,#104516); #67526=LINE('',#461177,#104517); #67527=LINE('',#461180,#104518); #67528=LINE('',#461182,#104519); #67529=LINE('',#461183,#104520); #67530=LINE('',#461186,#104521); #67531=LINE('',#461188,#104522); #67532=LINE('',#461189,#104523); #67533=LINE('',#461192,#104524); #67534=LINE('',#461194,#104525); #67535=LINE('',#461195,#104526); #67536=LINE('',#461198,#104527); #67537=LINE('',#461200,#104528); #67538=LINE('',#461201,#104529); #67539=LINE('',#461204,#104530); #67540=LINE('',#461206,#104531); #67541=LINE('',#461207,#104532); #67542=LINE('',#461210,#104533); #67543=LINE('',#461212,#104534); #67544=LINE('',#461213,#104535); #67545=LINE('',#461216,#104536); #67546=LINE('',#461218,#104537); #67547=LINE('',#461219,#104538); #67548=LINE('',#461222,#104539); #67549=LINE('',#461224,#104540); #67550=LINE('',#461225,#104541); #67551=LINE('',#461228,#104542); #67552=LINE('',#461230,#104543); #67553=LINE('',#461231,#104544); #67554=LINE('',#461234,#104545); #67555=LINE('',#461236,#104546); #67556=LINE('',#461237,#104547); #67557=LINE('',#461240,#104548); #67558=LINE('',#461242,#104549); #67559=LINE('',#461243,#104550); #67560=LINE('',#461246,#104551); #67561=LINE('',#461248,#104552); #67562=LINE('',#461249,#104553); #67563=LINE('',#461252,#104554); #67564=LINE('',#461254,#104555); #67565=LINE('',#461255,#104556); #67566=LINE('',#461258,#104557); #67567=LINE('',#461260,#104558); #67568=LINE('',#461261,#104559); #67569=LINE('',#461264,#104560); #67570=LINE('',#461266,#104561); #67571=LINE('',#461267,#104562); #67572=LINE('',#461270,#104563); #67573=LINE('',#461272,#104564); #67574=LINE('',#461273,#104565); #67575=LINE('',#461276,#104566); #67576=LINE('',#461278,#104567); #67577=LINE('',#461279,#104568); #67578=LINE('',#461282,#104569); #67579=LINE('',#461284,#104570); #67580=LINE('',#461285,#104571); #67581=LINE('',#461288,#104572); #67582=LINE('',#461290,#104573); #67583=LINE('',#461291,#104574); #67584=LINE('',#461294,#104575); #67585=LINE('',#461296,#104576); #67586=LINE('',#461297,#104577); #67587=LINE('',#461300,#104578); #67588=LINE('',#461302,#104579); #67589=LINE('',#461303,#104580); #67590=LINE('',#461306,#104581); #67591=LINE('',#461308,#104582); #67592=LINE('',#461309,#104583); #67593=LINE('',#461312,#104584); #67594=LINE('',#461314,#104585); #67595=LINE('',#461315,#104586); #67596=LINE('',#461317,#104587); #67597=LINE('',#461318,#104588); #67598=LINE('',#461324,#104589); #67599=LINE('',#461326,#104590); #67600=LINE('',#461328,#104591); #67601=LINE('',#461329,#104592); #67602=LINE('',#461332,#104593); #67603=LINE('',#461334,#104594); #67604=LINE('',#461335,#104595); #67605=LINE('',#461338,#104596); #67606=LINE('',#461340,#104597); #67607=LINE('',#461341,#104598); #67608=LINE('',#461344,#104599); #67609=LINE('',#461346,#104600); #67610=LINE('',#461347,#104601); #67611=LINE('',#461350,#104602); #67612=LINE('',#461352,#104603); #67613=LINE('',#461353,#104604); #67614=LINE('',#461356,#104605); #67615=LINE('',#461358,#104606); #67616=LINE('',#461359,#104607); #67617=LINE('',#461362,#104608); #67618=LINE('',#461364,#104609); #67619=LINE('',#461365,#104610); #67620=LINE('',#461368,#104611); #67621=LINE('',#461370,#104612); #67622=LINE('',#461371,#104613); #67623=LINE('',#461374,#104614); #67624=LINE('',#461376,#104615); #67625=LINE('',#461377,#104616); #67626=LINE('',#461380,#104617); #67627=LINE('',#461382,#104618); #67628=LINE('',#461383,#104619); #67629=LINE('',#461386,#104620); #67630=LINE('',#461388,#104621); #67631=LINE('',#461389,#104622); #67632=LINE('',#461392,#104623); #67633=LINE('',#461394,#104624); #67634=LINE('',#461395,#104625); #67635=LINE('',#461398,#104626); #67636=LINE('',#461400,#104627); #67637=LINE('',#461401,#104628); #67638=LINE('',#461404,#104629); #67639=LINE('',#461406,#104630); #67640=LINE('',#461407,#104631); #67641=LINE('',#461410,#104632); #67642=LINE('',#461412,#104633); #67643=LINE('',#461413,#104634); #67644=LINE('',#461416,#104635); #67645=LINE('',#461418,#104636); #67646=LINE('',#461419,#104637); #67647=LINE('',#461422,#104638); #67648=LINE('',#461424,#104639); #67649=LINE('',#461425,#104640); #67650=LINE('',#461428,#104641); #67651=LINE('',#461430,#104642); #67652=LINE('',#461431,#104643); #67653=LINE('',#461434,#104644); #67654=LINE('',#461436,#104645); #67655=LINE('',#461437,#104646); #67656=LINE('',#461440,#104647); #67657=LINE('',#461442,#104648); #67658=LINE('',#461443,#104649); #67659=LINE('',#461445,#104650); #67660=LINE('',#461446,#104651); #67661=LINE('',#461452,#104652); #67662=LINE('',#461454,#104653); #67663=LINE('',#461456,#104654); #67664=LINE('',#461457,#104655); #67665=LINE('',#461460,#104656); #67666=LINE('',#461462,#104657); #67667=LINE('',#461463,#104658); #67668=LINE('',#461466,#104659); #67669=LINE('',#461468,#104660); #67670=LINE('',#461469,#104661); #67671=LINE('',#461472,#104662); #67672=LINE('',#461474,#104663); #67673=LINE('',#461475,#104664); #67674=LINE('',#461478,#104665); #67675=LINE('',#461480,#104666); #67676=LINE('',#461481,#104667); #67677=LINE('',#461484,#104668); #67678=LINE('',#461486,#104669); #67679=LINE('',#461487,#104670); #67680=LINE('',#461490,#104671); #67681=LINE('',#461492,#104672); #67682=LINE('',#461493,#104673); #67683=LINE('',#461496,#104674); #67684=LINE('',#461498,#104675); #67685=LINE('',#461499,#104676); #67686=LINE('',#461502,#104677); #67687=LINE('',#461504,#104678); #67688=LINE('',#461505,#104679); #67689=LINE('',#461508,#104680); #67690=LINE('',#461510,#104681); #67691=LINE('',#461511,#104682); #67692=LINE('',#461514,#104683); #67693=LINE('',#461516,#104684); #67694=LINE('',#461517,#104685); #67695=LINE('',#461520,#104686); #67696=LINE('',#461522,#104687); #67697=LINE('',#461523,#104688); #67698=LINE('',#461526,#104689); #67699=LINE('',#461528,#104690); #67700=LINE('',#461529,#104691); #67701=LINE('',#461532,#104692); #67702=LINE('',#461534,#104693); #67703=LINE('',#461535,#104694); #67704=LINE('',#461538,#104695); #67705=LINE('',#461540,#104696); #67706=LINE('',#461541,#104697); #67707=LINE('',#461544,#104698); #67708=LINE('',#461546,#104699); #67709=LINE('',#461547,#104700); #67710=LINE('',#461550,#104701); #67711=LINE('',#461552,#104702); #67712=LINE('',#461553,#104703); #67713=LINE('',#461556,#104704); #67714=LINE('',#461558,#104705); #67715=LINE('',#461559,#104706); #67716=LINE('',#461562,#104707); #67717=LINE('',#461564,#104708); #67718=LINE('',#461565,#104709); #67719=LINE('',#461568,#104710); #67720=LINE('',#461570,#104711); #67721=LINE('',#461571,#104712); #67722=LINE('',#461574,#104713); #67723=LINE('',#461576,#104714); #67724=LINE('',#461577,#104715); #67725=LINE('',#461580,#104716); #67726=LINE('',#461582,#104717); #67727=LINE('',#461583,#104718); #67728=LINE('',#461586,#104719); #67729=LINE('',#461588,#104720); #67730=LINE('',#461589,#104721); #67731=LINE('',#461592,#104722); #67732=LINE('',#461594,#104723); #67733=LINE('',#461595,#104724); #67734=LINE('',#461598,#104725); #67735=LINE('',#461600,#104726); #67736=LINE('',#461601,#104727); #67737=LINE('',#461603,#104728); #67738=LINE('',#461604,#104729); #67739=LINE('',#461610,#104730); #67740=LINE('',#461612,#104731); #67741=LINE('',#461614,#104732); #67742=LINE('',#461615,#104733); #67743=LINE('',#461618,#104734); #67744=LINE('',#461620,#104735); #67745=LINE('',#461621,#104736); #67746=LINE('',#461624,#104737); #67747=LINE('',#461626,#104738); #67748=LINE('',#461627,#104739); #67749=LINE('',#461630,#104740); #67750=LINE('',#461632,#104741); #67751=LINE('',#461633,#104742); #67752=LINE('',#461636,#104743); #67753=LINE('',#461638,#104744); #67754=LINE('',#461639,#104745); #67755=LINE('',#461642,#104746); #67756=LINE('',#461644,#104747); #67757=LINE('',#461645,#104748); #67758=LINE('',#461648,#104749); #67759=LINE('',#461650,#104750); #67760=LINE('',#461651,#104751); #67761=LINE('',#461654,#104752); #67762=LINE('',#461656,#104753); #67763=LINE('',#461657,#104754); #67764=LINE('',#461660,#104755); #67765=LINE('',#461662,#104756); #67766=LINE('',#461663,#104757); #67767=LINE('',#461666,#104758); #67768=LINE('',#461668,#104759); #67769=LINE('',#461669,#104760); #67770=LINE('',#461672,#104761); #67771=LINE('',#461674,#104762); #67772=LINE('',#461675,#104763); #67773=LINE('',#461678,#104764); #67774=LINE('',#461680,#104765); #67775=LINE('',#461681,#104766); #67776=LINE('',#461684,#104767); #67777=LINE('',#461686,#104768); #67778=LINE('',#461687,#104769); #67779=LINE('',#461690,#104770); #67780=LINE('',#461692,#104771); #67781=LINE('',#461693,#104772); #67782=LINE('',#461696,#104773); #67783=LINE('',#461698,#104774); #67784=LINE('',#461699,#104775); #67785=LINE('',#461702,#104776); #67786=LINE('',#461704,#104777); #67787=LINE('',#461705,#104778); #67788=LINE('',#461708,#104779); #67789=LINE('',#461710,#104780); #67790=LINE('',#461711,#104781); #67791=LINE('',#461714,#104782); #67792=LINE('',#461716,#104783); #67793=LINE('',#461717,#104784); #67794=LINE('',#461720,#104785); #67795=LINE('',#461722,#104786); #67796=LINE('',#461723,#104787); #67797=LINE('',#461725,#104788); #67798=LINE('',#461726,#104789); #67799=LINE('',#461732,#104790); #67800=LINE('',#461734,#104791); #67801=LINE('',#461736,#104792); #67802=LINE('',#461737,#104793); #67803=LINE('',#461740,#104794); #67804=LINE('',#461742,#104795); #67805=LINE('',#461743,#104796); #67806=LINE('',#461746,#104797); #67807=LINE('',#461748,#104798); #67808=LINE('',#461749,#104799); #67809=LINE('',#461752,#104800); #67810=LINE('',#461754,#104801); #67811=LINE('',#461755,#104802); #67812=LINE('',#461758,#104803); #67813=LINE('',#461760,#104804); #67814=LINE('',#461761,#104805); #67815=LINE('',#461764,#104806); #67816=LINE('',#461766,#104807); #67817=LINE('',#461767,#104808); #67818=LINE('',#461770,#104809); #67819=LINE('',#461772,#104810); #67820=LINE('',#461773,#104811); #67821=LINE('',#461776,#104812); #67822=LINE('',#461778,#104813); #67823=LINE('',#461779,#104814); #67824=LINE('',#461782,#104815); #67825=LINE('',#461784,#104816); #67826=LINE('',#461785,#104817); #67827=LINE('',#461788,#104818); #67828=LINE('',#461790,#104819); #67829=LINE('',#461791,#104820); #67830=LINE('',#461794,#104821); #67831=LINE('',#461796,#104822); #67832=LINE('',#461797,#104823); #67833=LINE('',#461800,#104824); #67834=LINE('',#461802,#104825); #67835=LINE('',#461803,#104826); #67836=LINE('',#461806,#104827); #67837=LINE('',#461808,#104828); #67838=LINE('',#461809,#104829); #67839=LINE('',#461812,#104830); #67840=LINE('',#461814,#104831); #67841=LINE('',#461815,#104832); #67842=LINE('',#461818,#104833); #67843=LINE('',#461820,#104834); #67844=LINE('',#461821,#104835); #67845=LINE('',#461824,#104836); #67846=LINE('',#461826,#104837); #67847=LINE('',#461827,#104838); #67848=LINE('',#461830,#104839); #67849=LINE('',#461832,#104840); #67850=LINE('',#461833,#104841); #67851=LINE('',#461836,#104842); #67852=LINE('',#461838,#104843); #67853=LINE('',#461839,#104844); #67854=LINE('',#461842,#104845); #67855=LINE('',#461844,#104846); #67856=LINE('',#461845,#104847); #67857=LINE('',#461848,#104848); #67858=LINE('',#461850,#104849); #67859=LINE('',#461851,#104850); #67860=LINE('',#461854,#104851); #67861=LINE('',#461856,#104852); #67862=LINE('',#461857,#104853); #67863=LINE('',#461860,#104854); #67864=LINE('',#461862,#104855); #67865=LINE('',#461863,#104856); #67866=LINE('',#461865,#104857); #67867=LINE('',#461866,#104858); #67868=LINE('',#461872,#104859); #67869=LINE('',#461874,#104860); #67870=LINE('',#461876,#104861); #67871=LINE('',#461877,#104862); #67872=LINE('',#461880,#104863); #67873=LINE('',#461882,#104864); #67874=LINE('',#461883,#104865); #67875=LINE('',#461886,#104866); #67876=LINE('',#461888,#104867); #67877=LINE('',#461889,#104868); #67878=LINE('',#461892,#104869); #67879=LINE('',#461894,#104870); #67880=LINE('',#461895,#104871); #67881=LINE('',#461898,#104872); #67882=LINE('',#461900,#104873); #67883=LINE('',#461901,#104874); #67884=LINE('',#461904,#104875); #67885=LINE('',#461906,#104876); #67886=LINE('',#461907,#104877); #67887=LINE('',#461910,#104878); #67888=LINE('',#461912,#104879); #67889=LINE('',#461913,#104880); #67890=LINE('',#461916,#104881); #67891=LINE('',#461918,#104882); #67892=LINE('',#461919,#104883); #67893=LINE('',#461922,#104884); #67894=LINE('',#461924,#104885); #67895=LINE('',#461925,#104886); #67896=LINE('',#461928,#104887); #67897=LINE('',#461930,#104888); #67898=LINE('',#461931,#104889); #67899=LINE('',#461934,#104890); #67900=LINE('',#461936,#104891); #67901=LINE('',#461937,#104892); #67902=LINE('',#461940,#104893); #67903=LINE('',#461942,#104894); #67904=LINE('',#461943,#104895); #67905=LINE('',#461946,#104896); #67906=LINE('',#461948,#104897); #67907=LINE('',#461949,#104898); #67908=LINE('',#461952,#104899); #67909=LINE('',#461954,#104900); #67910=LINE('',#461955,#104901); #67911=LINE('',#461958,#104902); #67912=LINE('',#461960,#104903); #67913=LINE('',#461961,#104904); #67914=LINE('',#461964,#104905); #67915=LINE('',#461966,#104906); #67916=LINE('',#461967,#104907); #67917=LINE('',#461970,#104908); #67918=LINE('',#461972,#104909); #67919=LINE('',#461973,#104910); #67920=LINE('',#461976,#104911); #67921=LINE('',#461978,#104912); #67922=LINE('',#461979,#104913); #67923=LINE('',#461982,#104914); #67924=LINE('',#461984,#104915); #67925=LINE('',#461985,#104916); #67926=LINE('',#461988,#104917); #67927=LINE('',#461990,#104918); #67928=LINE('',#461991,#104919); #67929=LINE('',#461993,#104920); #67930=LINE('',#461994,#104921); #67931=LINE('',#462000,#104922); #67932=LINE('',#462002,#104923); #67933=LINE('',#462004,#104924); #67934=LINE('',#462005,#104925); #67935=LINE('',#462008,#104926); #67936=LINE('',#462010,#104927); #67937=LINE('',#462011,#104928); #67938=LINE('',#462014,#104929); #67939=LINE('',#462016,#104930); #67940=LINE('',#462017,#104931); #67941=LINE('',#462020,#104932); #67942=LINE('',#462022,#104933); #67943=LINE('',#462023,#104934); #67944=LINE('',#462026,#104935); #67945=LINE('',#462028,#104936); #67946=LINE('',#462029,#104937); #67947=LINE('',#462032,#104938); #67948=LINE('',#462034,#104939); #67949=LINE('',#462035,#104940); #67950=LINE('',#462038,#104941); #67951=LINE('',#462040,#104942); #67952=LINE('',#462041,#104943); #67953=LINE('',#462044,#104944); #67954=LINE('',#462046,#104945); #67955=LINE('',#462047,#104946); #67956=LINE('',#462050,#104947); #67957=LINE('',#462052,#104948); #67958=LINE('',#462053,#104949); #67959=LINE('',#462056,#104950); #67960=LINE('',#462058,#104951); #67961=LINE('',#462059,#104952); #67962=LINE('',#462062,#104953); #67963=LINE('',#462064,#104954); #67964=LINE('',#462065,#104955); #67965=LINE('',#462068,#104956); #67966=LINE('',#462070,#104957); #67967=LINE('',#462071,#104958); #67968=LINE('',#462074,#104959); #67969=LINE('',#462076,#104960); #67970=LINE('',#462077,#104961); #67971=LINE('',#462080,#104962); #67972=LINE('',#462082,#104963); #67973=LINE('',#462083,#104964); #67974=LINE('',#462086,#104965); #67975=LINE('',#462088,#104966); #67976=LINE('',#462089,#104967); #67977=LINE('',#462092,#104968); #67978=LINE('',#462094,#104969); #67979=LINE('',#462095,#104970); #67980=LINE('',#462098,#104971); #67981=LINE('',#462100,#104972); #67982=LINE('',#462101,#104973); #67983=LINE('',#462104,#104974); #67984=LINE('',#462106,#104975); #67985=LINE('',#462107,#104976); #67986=LINE('',#462110,#104977); #67987=LINE('',#462112,#104978); #67988=LINE('',#462113,#104979); #67989=LINE('',#462116,#104980); #67990=LINE('',#462118,#104981); #67991=LINE('',#462119,#104982); #67992=LINE('',#462122,#104983); #67993=LINE('',#462124,#104984); #67994=LINE('',#462125,#104985); #67995=LINE('',#462128,#104986); #67996=LINE('',#462130,#104987); #67997=LINE('',#462131,#104988); #67998=LINE('',#462134,#104989); #67999=LINE('',#462136,#104990); #68000=LINE('',#462137,#104991); #68001=LINE('',#462140,#104992); #68002=LINE('',#462142,#104993); #68003=LINE('',#462143,#104994); #68004=LINE('',#462146,#104995); #68005=LINE('',#462148,#104996); #68006=LINE('',#462149,#104997); #68007=LINE('',#462152,#104998); #68008=LINE('',#462154,#104999); #68009=LINE('',#462155,#105000); #68010=LINE('',#462158,#105001); #68011=LINE('',#462160,#105002); #68012=LINE('',#462161,#105003); #68013=LINE('',#462164,#105004); #68014=LINE('',#462166,#105005); #68015=LINE('',#462167,#105006); #68016=LINE('',#462170,#105007); #68017=LINE('',#462172,#105008); #68018=LINE('',#462173,#105009); #68019=LINE('',#462175,#105010); #68020=LINE('',#462176,#105011); #68021=LINE('',#462182,#105012); #68022=LINE('',#462184,#105013); #68023=LINE('',#462186,#105014); #68024=LINE('',#462187,#105015); #68025=LINE('',#462190,#105016); #68026=LINE('',#462192,#105017); #68027=LINE('',#462193,#105018); #68028=LINE('',#462196,#105019); #68029=LINE('',#462198,#105020); #68030=LINE('',#462199,#105021); #68031=LINE('',#462202,#105022); #68032=LINE('',#462204,#105023); #68033=LINE('',#462205,#105024); #68034=LINE('',#462208,#105025); #68035=LINE('',#462210,#105026); #68036=LINE('',#462211,#105027); #68037=LINE('',#462214,#105028); #68038=LINE('',#462216,#105029); #68039=LINE('',#462217,#105030); #68040=LINE('',#462220,#105031); #68041=LINE('',#462222,#105032); #68042=LINE('',#462223,#105033); #68043=LINE('',#462226,#105034); #68044=LINE('',#462228,#105035); #68045=LINE('',#462229,#105036); #68046=LINE('',#462232,#105037); #68047=LINE('',#462234,#105038); #68048=LINE('',#462235,#105039); #68049=LINE('',#462238,#105040); #68050=LINE('',#462240,#105041); #68051=LINE('',#462241,#105042); #68052=LINE('',#462244,#105043); #68053=LINE('',#462246,#105044); #68054=LINE('',#462247,#105045); #68055=LINE('',#462250,#105046); #68056=LINE('',#462252,#105047); #68057=LINE('',#462253,#105048); #68058=LINE('',#462256,#105049); #68059=LINE('',#462258,#105050); #68060=LINE('',#462259,#105051); #68061=LINE('',#462262,#105052); #68062=LINE('',#462264,#105053); #68063=LINE('',#462265,#105054); #68064=LINE('',#462268,#105055); #68065=LINE('',#462270,#105056); #68066=LINE('',#462271,#105057); #68067=LINE('',#462274,#105058); #68068=LINE('',#462276,#105059); #68069=LINE('',#462277,#105060); #68070=LINE('',#462280,#105061); #68071=LINE('',#462282,#105062); #68072=LINE('',#462283,#105063); #68073=LINE('',#462286,#105064); #68074=LINE('',#462288,#105065); #68075=LINE('',#462289,#105066); #68076=LINE('',#462292,#105067); #68077=LINE('',#462294,#105068); #68078=LINE('',#462295,#105069); #68079=LINE('',#462297,#105070); #68080=LINE('',#462298,#105071); #68081=LINE('',#462304,#105072); #68082=LINE('',#462306,#105073); #68083=LINE('',#462308,#105074); #68084=LINE('',#462309,#105075); #68085=LINE('',#462312,#105076); #68086=LINE('',#462314,#105077); #68087=LINE('',#462315,#105078); #68088=LINE('',#462318,#105079); #68089=LINE('',#462320,#105080); #68090=LINE('',#462321,#105081); #68091=LINE('',#462324,#105082); #68092=LINE('',#462326,#105083); #68093=LINE('',#462327,#105084); #68094=LINE('',#462330,#105085); #68095=LINE('',#462332,#105086); #68096=LINE('',#462333,#105087); #68097=LINE('',#462336,#105088); #68098=LINE('',#462338,#105089); #68099=LINE('',#462339,#105090); #68100=LINE('',#462342,#105091); #68101=LINE('',#462344,#105092); #68102=LINE('',#462345,#105093); #68103=LINE('',#462348,#105094); #68104=LINE('',#462350,#105095); #68105=LINE('',#462351,#105096); #68106=LINE('',#462354,#105097); #68107=LINE('',#462356,#105098); #68108=LINE('',#462357,#105099); #68109=LINE('',#462360,#105100); #68110=LINE('',#462362,#105101); #68111=LINE('',#462363,#105102); #68112=LINE('',#462366,#105103); #68113=LINE('',#462368,#105104); #68114=LINE('',#462369,#105105); #68115=LINE('',#462372,#105106); #68116=LINE('',#462374,#105107); #68117=LINE('',#462375,#105108); #68118=LINE('',#462378,#105109); #68119=LINE('',#462380,#105110); #68120=LINE('',#462381,#105111); #68121=LINE('',#462384,#105112); #68122=LINE('',#462386,#105113); #68123=LINE('',#462387,#105114); #68124=LINE('',#462390,#105115); #68125=LINE('',#462392,#105116); #68126=LINE('',#462393,#105117); #68127=LINE('',#462396,#105118); #68128=LINE('',#462398,#105119); #68129=LINE('',#462399,#105120); #68130=LINE('',#462402,#105121); #68131=LINE('',#462404,#105122); #68132=LINE('',#462405,#105123); #68133=LINE('',#462408,#105124); #68134=LINE('',#462410,#105125); #68135=LINE('',#462411,#105126); #68136=LINE('',#462414,#105127); #68137=LINE('',#462416,#105128); #68138=LINE('',#462417,#105129); #68139=LINE('',#462420,#105130); #68140=LINE('',#462422,#105131); #68141=LINE('',#462423,#105132); #68142=LINE('',#462426,#105133); #68143=LINE('',#462428,#105134); #68144=LINE('',#462429,#105135); #68145=LINE('',#462432,#105136); #68146=LINE('',#462434,#105137); #68147=LINE('',#462435,#105138); #68148=LINE('',#462438,#105139); #68149=LINE('',#462440,#105140); #68150=LINE('',#462441,#105141); #68151=LINE('',#462444,#105142); #68152=LINE('',#462446,#105143); #68153=LINE('',#462447,#105144); #68154=LINE('',#462450,#105145); #68155=LINE('',#462452,#105146); #68156=LINE('',#462453,#105147); #68157=LINE('',#462456,#105148); #68158=LINE('',#462458,#105149); #68159=LINE('',#462459,#105150); #68160=LINE('',#462462,#105151); #68161=LINE('',#462464,#105152); #68162=LINE('',#462465,#105153); #68163=LINE('',#462468,#105154); #68164=LINE('',#462470,#105155); #68165=LINE('',#462471,#105156); #68166=LINE('',#462474,#105157); #68167=LINE('',#462476,#105158); #68168=LINE('',#462477,#105159); #68169=LINE('',#462480,#105160); #68170=LINE('',#462482,#105161); #68171=LINE('',#462483,#105162); #68172=LINE('',#462486,#105163); #68173=LINE('',#462488,#105164); #68174=LINE('',#462489,#105165); #68175=LINE('',#462492,#105166); #68176=LINE('',#462494,#105167); #68177=LINE('',#462495,#105168); #68178=LINE('',#462497,#105169); #68179=LINE('',#462498,#105170); #68180=LINE('',#462505,#105171); #68181=LINE('',#462511,#105172); #68182=LINE('',#462517,#105173); #68183=LINE('',#462522,#105174); #68184=LINE('',#462524,#105175); #68185=LINE('',#462526,#105176); #68186=LINE('',#462527,#105177); #68187=LINE('',#462530,#105178); #68188=LINE('',#462532,#105179); #68189=LINE('',#462533,#105180); #68190=LINE('',#462536,#105181); #68191=LINE('',#462538,#105182); #68192=LINE('',#462539,#105183); #68193=LINE('',#462542,#105184); #68194=LINE('',#462544,#105185); #68195=LINE('',#462545,#105186); #68196=LINE('',#462548,#105187); #68197=LINE('',#462550,#105188); #68198=LINE('',#462551,#105189); #68199=LINE('',#462554,#105190); #68200=LINE('',#462556,#105191); #68201=LINE('',#462557,#105192); #68202=LINE('',#462560,#105193); #68203=LINE('',#462562,#105194); #68204=LINE('',#462563,#105195); #68205=LINE('',#462566,#105196); #68206=LINE('',#462568,#105197); #68207=LINE('',#462569,#105198); #68208=LINE('',#462572,#105199); #68209=LINE('',#462574,#105200); #68210=LINE('',#462575,#105201); #68211=LINE('',#462578,#105202); #68212=LINE('',#462580,#105203); #68213=LINE('',#462581,#105204); #68214=LINE('',#462584,#105205); #68215=LINE('',#462586,#105206); #68216=LINE('',#462587,#105207); #68217=LINE('',#462590,#105208); #68218=LINE('',#462592,#105209); #68219=LINE('',#462593,#105210); #68220=LINE('',#462596,#105211); #68221=LINE('',#462598,#105212); #68222=LINE('',#462599,#105213); #68223=LINE('',#462602,#105214); #68224=LINE('',#462604,#105215); #68225=LINE('',#462605,#105216); #68226=LINE('',#462608,#105217); #68227=LINE('',#462610,#105218); #68228=LINE('',#462611,#105219); #68229=LINE('',#462614,#105220); #68230=LINE('',#462616,#105221); #68231=LINE('',#462617,#105222); #68232=LINE('',#462620,#105223); #68233=LINE('',#462622,#105224); #68234=LINE('',#462623,#105225); #68235=LINE('',#462626,#105226); #68236=LINE('',#462628,#105227); #68237=LINE('',#462629,#105228); #68238=LINE('',#462632,#105229); #68239=LINE('',#462634,#105230); #68240=LINE('',#462635,#105231); #68241=LINE('',#462638,#105232); #68242=LINE('',#462640,#105233); #68243=LINE('',#462641,#105234); #68244=LINE('',#462644,#105235); #68245=LINE('',#462646,#105236); #68246=LINE('',#462647,#105237); #68247=LINE('',#462650,#105238); #68248=LINE('',#462652,#105239); #68249=LINE('',#462653,#105240); #68250=LINE('',#462656,#105241); #68251=LINE('',#462658,#105242); #68252=LINE('',#462659,#105243); #68253=LINE('',#462662,#105244); #68254=LINE('',#462664,#105245); #68255=LINE('',#462665,#105246); #68256=LINE('',#462668,#105247); #68257=LINE('',#462670,#105248); #68258=LINE('',#462671,#105249); #68259=LINE('',#462674,#105250); #68260=LINE('',#462676,#105251); #68261=LINE('',#462677,#105252); #68262=LINE('',#462680,#105253); #68263=LINE('',#462682,#105254); #68264=LINE('',#462683,#105255); #68265=LINE('',#462686,#105256); #68266=LINE('',#462688,#105257); #68267=LINE('',#462689,#105258); #68268=LINE('',#462692,#105259); #68269=LINE('',#462694,#105260); #68270=LINE('',#462695,#105261); #68271=LINE('',#462698,#105262); #68272=LINE('',#462700,#105263); #68273=LINE('',#462701,#105264); #68274=LINE('',#462704,#105265); #68275=LINE('',#462706,#105266); #68276=LINE('',#462707,#105267); #68277=LINE('',#462710,#105268); #68278=LINE('',#462712,#105269); #68279=LINE('',#462713,#105270); #68280=LINE('',#462716,#105271); #68281=LINE('',#462718,#105272); #68282=LINE('',#462719,#105273); #68283=LINE('',#462722,#105274); #68284=LINE('',#462724,#105275); #68285=LINE('',#462725,#105276); #68286=LINE('',#462728,#105277); #68287=LINE('',#462730,#105278); #68288=LINE('',#462731,#105279); #68289=LINE('',#462734,#105280); #68290=LINE('',#462736,#105281); #68291=LINE('',#462737,#105282); #68292=LINE('',#462740,#105283); #68293=LINE('',#462742,#105284); #68294=LINE('',#462743,#105285); #68295=LINE('',#462746,#105286); #68296=LINE('',#462748,#105287); #68297=LINE('',#462749,#105288); #68298=LINE('',#462752,#105289); #68299=LINE('',#462754,#105290); #68300=LINE('',#462755,#105291); #68301=LINE('',#462758,#105292); #68302=LINE('',#462760,#105293); #68303=LINE('',#462761,#105294); #68304=LINE('',#462764,#105295); #68305=LINE('',#462766,#105296); #68306=LINE('',#462767,#105297); #68307=LINE('',#462770,#105298); #68308=LINE('',#462772,#105299); #68309=LINE('',#462773,#105300); #68310=LINE('',#462776,#105301); #68311=LINE('',#462778,#105302); #68312=LINE('',#462779,#105303); #68313=LINE('',#462782,#105304); #68314=LINE('',#462784,#105305); #68315=LINE('',#462785,#105306); #68316=LINE('',#462788,#105307); #68317=LINE('',#462790,#105308); #68318=LINE('',#462791,#105309); #68319=LINE('',#462794,#105310); #68320=LINE('',#462796,#105311); #68321=LINE('',#462797,#105312); #68322=LINE('',#462800,#105313); #68323=LINE('',#462802,#105314); #68324=LINE('',#462803,#105315); #68325=LINE('',#462806,#105316); #68326=LINE('',#462808,#105317); #68327=LINE('',#462809,#105318); #68328=LINE('',#462812,#105319); #68329=LINE('',#462814,#105320); #68330=LINE('',#462815,#105321); #68331=LINE('',#462818,#105322); #68332=LINE('',#462820,#105323); #68333=LINE('',#462821,#105324); #68334=LINE('',#462824,#105325); #68335=LINE('',#462826,#105326); #68336=LINE('',#462827,#105327); #68337=LINE('',#462830,#105328); #68338=LINE('',#462832,#105329); #68339=LINE('',#462833,#105330); #68340=LINE('',#462836,#105331); #68341=LINE('',#462838,#105332); #68342=LINE('',#462839,#105333); #68343=LINE('',#462842,#105334); #68344=LINE('',#462844,#105335); #68345=LINE('',#462845,#105336); #68346=LINE('',#462848,#105337); #68347=LINE('',#462850,#105338); #68348=LINE('',#462851,#105339); #68349=LINE('',#462854,#105340); #68350=LINE('',#462856,#105341); #68351=LINE('',#462857,#105342); #68352=LINE('',#462860,#105343); #68353=LINE('',#462862,#105344); #68354=LINE('',#462863,#105345); #68355=LINE('',#462866,#105346); #68356=LINE('',#462868,#105347); #68357=LINE('',#462869,#105348); #68358=LINE('',#462872,#105349); #68359=LINE('',#462874,#105350); #68360=LINE('',#462875,#105351); #68361=LINE('',#462878,#105352); #68362=LINE('',#462880,#105353); #68363=LINE('',#462881,#105354); #68364=LINE('',#462884,#105355); #68365=LINE('',#462886,#105356); #68366=LINE('',#462887,#105357); #68367=LINE('',#462890,#105358); #68368=LINE('',#462892,#105359); #68369=LINE('',#462893,#105360); #68370=LINE('',#462896,#105361); #68371=LINE('',#462898,#105362); #68372=LINE('',#462899,#105363); #68373=LINE('',#462902,#105364); #68374=LINE('',#462904,#105365); #68375=LINE('',#462905,#105366); #68376=LINE('',#462908,#105367); #68377=LINE('',#462910,#105368); #68378=LINE('',#462911,#105369); #68379=LINE('',#462914,#105370); #68380=LINE('',#462916,#105371); #68381=LINE('',#462917,#105372); #68382=LINE('',#462920,#105373); #68383=LINE('',#462922,#105374); #68384=LINE('',#462923,#105375); #68385=LINE('',#462926,#105376); #68386=LINE('',#462928,#105377); #68387=LINE('',#462929,#105378); #68388=LINE('',#462932,#105379); #68389=LINE('',#462934,#105380); #68390=LINE('',#462935,#105381); #68391=LINE('',#462938,#105382); #68392=LINE('',#462940,#105383); #68393=LINE('',#462941,#105384); #68394=LINE('',#462944,#105385); #68395=LINE('',#462946,#105386); #68396=LINE('',#462947,#105387); #68397=LINE('',#462950,#105388); #68398=LINE('',#462952,#105389); #68399=LINE('',#462953,#105390); #68400=LINE('',#462956,#105391); #68401=LINE('',#462958,#105392); #68402=LINE('',#462959,#105393); #68403=LINE('',#462962,#105394); #68404=LINE('',#462964,#105395); #68405=LINE('',#462965,#105396); #68406=LINE('',#462968,#105397); #68407=LINE('',#462970,#105398); #68408=LINE('',#462971,#105399); #68409=LINE('',#462974,#105400); #68410=LINE('',#462976,#105401); #68411=LINE('',#462977,#105402); #68412=LINE('',#462980,#105403); #68413=LINE('',#462982,#105404); #68414=LINE('',#462983,#105405); #68415=LINE('',#462986,#105406); #68416=LINE('',#462988,#105407); #68417=LINE('',#462989,#105408); #68418=LINE('',#462992,#105409); #68419=LINE('',#462994,#105410); #68420=LINE('',#462995,#105411); #68421=LINE('',#462998,#105412); #68422=LINE('',#463000,#105413); #68423=LINE('',#463001,#105414); #68424=LINE('',#463004,#105415); #68425=LINE('',#463006,#105416); #68426=LINE('',#463007,#105417); #68427=LINE('',#463010,#105418); #68428=LINE('',#463012,#105419); #68429=LINE('',#463013,#105420); #68430=LINE('',#463016,#105421); #68431=LINE('',#463018,#105422); #68432=LINE('',#463019,#105423); #68433=LINE('',#463022,#105424); #68434=LINE('',#463024,#105425); #68435=LINE('',#463025,#105426); #68436=LINE('',#463028,#105427); #68437=LINE('',#463030,#105428); #68438=LINE('',#463031,#105429); #68439=LINE('',#463034,#105430); #68440=LINE('',#463036,#105431); #68441=LINE('',#463037,#105432); #68442=LINE('',#463039,#105433); #68443=LINE('',#463040,#105434); #68444=LINE('',#463046,#105435); #68445=LINE('',#463048,#105436); #68446=LINE('',#463050,#105437); #68447=LINE('',#463051,#105438); #68448=LINE('',#463054,#105439); #68449=LINE('',#463056,#105440); #68450=LINE('',#463057,#105441); #68451=LINE('',#463060,#105442); #68452=LINE('',#463062,#105443); #68453=LINE('',#463063,#105444); #68454=LINE('',#463066,#105445); #68455=LINE('',#463068,#105446); #68456=LINE('',#463069,#105447); #68457=LINE('',#463072,#105448); #68458=LINE('',#463074,#105449); #68459=LINE('',#463075,#105450); #68460=LINE('',#463078,#105451); #68461=LINE('',#463080,#105452); #68462=LINE('',#463081,#105453); #68463=LINE('',#463084,#105454); #68464=LINE('',#463086,#105455); #68465=LINE('',#463087,#105456); #68466=LINE('',#463090,#105457); #68467=LINE('',#463092,#105458); #68468=LINE('',#463093,#105459); #68469=LINE('',#463096,#105460); #68470=LINE('',#463098,#105461); #68471=LINE('',#463099,#105462); #68472=LINE('',#463102,#105463); #68473=LINE('',#463104,#105464); #68474=LINE('',#463105,#105465); #68475=LINE('',#463108,#105466); #68476=LINE('',#463110,#105467); #68477=LINE('',#463111,#105468); #68478=LINE('',#463114,#105469); #68479=LINE('',#463116,#105470); #68480=LINE('',#463117,#105471); #68481=LINE('',#463120,#105472); #68482=LINE('',#463122,#105473); #68483=LINE('',#463123,#105474); #68484=LINE('',#463126,#105475); #68485=LINE('',#463128,#105476); #68486=LINE('',#463129,#105477); #68487=LINE('',#463132,#105478); #68488=LINE('',#463134,#105479); #68489=LINE('',#463135,#105480); #68490=LINE('',#463138,#105481); #68491=LINE('',#463140,#105482); #68492=LINE('',#463141,#105483); #68493=LINE('',#463144,#105484); #68494=LINE('',#463146,#105485); #68495=LINE('',#463147,#105486); #68496=LINE('',#463150,#105487); #68497=LINE('',#463152,#105488); #68498=LINE('',#463153,#105489); #68499=LINE('',#463156,#105490); #68500=LINE('',#463158,#105491); #68501=LINE('',#463159,#105492); #68502=LINE('',#463162,#105493); #68503=LINE('',#463164,#105494); #68504=LINE('',#463165,#105495); #68505=LINE('',#463168,#105496); #68506=LINE('',#463170,#105497); #68507=LINE('',#463171,#105498); #68508=LINE('',#463174,#105499); #68509=LINE('',#463176,#105500); #68510=LINE('',#463177,#105501); #68511=LINE('',#463180,#105502); #68512=LINE('',#463182,#105503); #68513=LINE('',#463183,#105504); #68514=LINE('',#463186,#105505); #68515=LINE('',#463188,#105506); #68516=LINE('',#463189,#105507); #68517=LINE('',#463192,#105508); #68518=LINE('',#463194,#105509); #68519=LINE('',#463195,#105510); #68520=LINE('',#463198,#105511); #68521=LINE('',#463200,#105512); #68522=LINE('',#463201,#105513); #68523=LINE('',#463204,#105514); #68524=LINE('',#463206,#105515); #68525=LINE('',#463207,#105516); #68526=LINE('',#463210,#105517); #68527=LINE('',#463212,#105518); #68528=LINE('',#463213,#105519); #68529=LINE('',#463215,#105520); #68530=LINE('',#463216,#105521); #68531=LINE('',#463222,#105522); #68532=LINE('',#463224,#105523); #68533=LINE('',#463226,#105524); #68534=LINE('',#463227,#105525); #68535=LINE('',#463230,#105526); #68536=LINE('',#463232,#105527); #68537=LINE('',#463233,#105528); #68538=LINE('',#463236,#105529); #68539=LINE('',#463238,#105530); #68540=LINE('',#463239,#105531); #68541=LINE('',#463242,#105532); #68542=LINE('',#463244,#105533); #68543=LINE('',#463245,#105534); #68544=LINE('',#463248,#105535); #68545=LINE('',#463250,#105536); #68546=LINE('',#463251,#105537); #68547=LINE('',#463254,#105538); #68548=LINE('',#463256,#105539); #68549=LINE('',#463257,#105540); #68550=LINE('',#463260,#105541); #68551=LINE('',#463262,#105542); #68552=LINE('',#463263,#105543); #68553=LINE('',#463266,#105544); #68554=LINE('',#463268,#105545); #68555=LINE('',#463269,#105546); #68556=LINE('',#463272,#105547); #68557=LINE('',#463274,#105548); #68558=LINE('',#463275,#105549); #68559=LINE('',#463278,#105550); #68560=LINE('',#463280,#105551); #68561=LINE('',#463281,#105552); #68562=LINE('',#463284,#105553); #68563=LINE('',#463286,#105554); #68564=LINE('',#463287,#105555); #68565=LINE('',#463290,#105556); #68566=LINE('',#463292,#105557); #68567=LINE('',#463293,#105558); #68568=LINE('',#463296,#105559); #68569=LINE('',#463298,#105560); #68570=LINE('',#463299,#105561); #68571=LINE('',#463302,#105562); #68572=LINE('',#463304,#105563); #68573=LINE('',#463305,#105564); #68574=LINE('',#463308,#105565); #68575=LINE('',#463310,#105566); #68576=LINE('',#463311,#105567); #68577=LINE('',#463314,#105568); #68578=LINE('',#463316,#105569); #68579=LINE('',#463317,#105570); #68580=LINE('',#463320,#105571); #68581=LINE('',#463322,#105572); #68582=LINE('',#463323,#105573); #68583=LINE('',#463326,#105574); #68584=LINE('',#463328,#105575); #68585=LINE('',#463329,#105576); #68586=LINE('',#463331,#105577); #68587=LINE('',#463332,#105578); #68588=LINE('',#463339,#105579); #68589=LINE('',#463345,#105580); #68590=LINE('',#463351,#105581); #68591=LINE('',#463357,#105582); #68592=LINE('',#463363,#105583); #68593=LINE('',#463369,#105584); #68594=LINE('',#463375,#105585); #68595=LINE('',#463381,#105586); #68596=LINE('',#463387,#105587); #68597=LINE('',#463393,#105588); #68598=LINE('',#463399,#105589); #68599=LINE('',#463405,#105590); #68600=LINE('',#463411,#105591); #68601=LINE('',#463417,#105592); #68602=LINE('',#463423,#105593); #68603=LINE('',#463429,#105594); #68604=LINE('',#463435,#105595); #68605=LINE('',#463441,#105596); #68606=LINE('',#463447,#105597); #68607=LINE('',#463453,#105598); #68608=LINE('',#463459,#105599); #68609=LINE('',#463465,#105600); #68610=LINE('',#463471,#105601); #68611=LINE('',#463477,#105602); #68612=LINE('',#463483,#105603); #68613=LINE('',#463489,#105604); #68614=LINE('',#463495,#105605); #68615=LINE('',#463501,#105606); #68616=LINE('',#463507,#105607); #68617=LINE('',#463513,#105608); #68618=LINE('',#463519,#105609); #68619=LINE('',#463525,#105610); #68620=LINE('',#463531,#105611); #68621=LINE('',#463537,#105612); #68622=LINE('',#463543,#105613); #68623=LINE('',#463549,#105614); #68624=LINE('',#463555,#105615); #68625=LINE('',#463561,#105616); #68626=LINE('',#463567,#105617); #68627=LINE('',#463573,#105618); #68628=LINE('',#463579,#105619); #68629=LINE('',#463585,#105620); #68630=LINE('',#463591,#105621); #68631=LINE('',#463597,#105622); #68632=LINE('',#463603,#105623); #68633=LINE('',#463609,#105624); #68634=LINE('',#463615,#105625); #68635=LINE('',#463621,#105626); #68636=LINE('',#463627,#105627); #68637=LINE('',#463632,#105628); #68638=LINE('',#463634,#105629); #68639=LINE('',#463636,#105630); #68640=LINE('',#463637,#105631); #68641=LINE('',#463640,#105632); #68642=LINE('',#463642,#105633); #68643=LINE('',#463643,#105634); #68644=LINE('',#463646,#105635); #68645=LINE('',#463648,#105636); #68646=LINE('',#463649,#105637); #68647=LINE('',#463652,#105638); #68648=LINE('',#463654,#105639); #68649=LINE('',#463655,#105640); #68650=LINE('',#463658,#105641); #68651=LINE('',#463660,#105642); #68652=LINE('',#463661,#105643); #68653=LINE('',#463664,#105644); #68654=LINE('',#463666,#105645); #68655=LINE('',#463667,#105646); #68656=LINE('',#463670,#105647); #68657=LINE('',#463672,#105648); #68658=LINE('',#463673,#105649); #68659=LINE('',#463676,#105650); #68660=LINE('',#463678,#105651); #68661=LINE('',#463679,#105652); #68662=LINE('',#463682,#105653); #68663=LINE('',#463684,#105654); #68664=LINE('',#463685,#105655); #68665=LINE('',#463688,#105656); #68666=LINE('',#463690,#105657); #68667=LINE('',#463691,#105658); #68668=LINE('',#463694,#105659); #68669=LINE('',#463696,#105660); #68670=LINE('',#463697,#105661); #68671=LINE('',#463700,#105662); #68672=LINE('',#463702,#105663); #68673=LINE('',#463703,#105664); #68674=LINE('',#463706,#105665); #68675=LINE('',#463708,#105666); #68676=LINE('',#463709,#105667); #68677=LINE('',#463712,#105668); #68678=LINE('',#463714,#105669); #68679=LINE('',#463715,#105670); #68680=LINE('',#463718,#105671); #68681=LINE('',#463720,#105672); #68682=LINE('',#463721,#105673); #68683=LINE('',#463724,#105674); #68684=LINE('',#463726,#105675); #68685=LINE('',#463727,#105676); #68686=LINE('',#463730,#105677); #68687=LINE('',#463732,#105678); #68688=LINE('',#463733,#105679); #68689=LINE('',#463736,#105680); #68690=LINE('',#463738,#105681); #68691=LINE('',#463739,#105682); #68692=LINE('',#463742,#105683); #68693=LINE('',#463744,#105684); #68694=LINE('',#463745,#105685); #68695=LINE('',#463748,#105686); #68696=LINE('',#463750,#105687); #68697=LINE('',#463751,#105688); #68698=LINE('',#463754,#105689); #68699=LINE('',#463756,#105690); #68700=LINE('',#463757,#105691); #68701=LINE('',#463760,#105692); #68702=LINE('',#463762,#105693); #68703=LINE('',#463763,#105694); #68704=LINE('',#463766,#105695); #68705=LINE('',#463768,#105696); #68706=LINE('',#463769,#105697); #68707=LINE('',#463772,#105698); #68708=LINE('',#463774,#105699); #68709=LINE('',#463775,#105700); #68710=LINE('',#463778,#105701); #68711=LINE('',#463780,#105702); #68712=LINE('',#463781,#105703); #68713=LINE('',#463784,#105704); #68714=LINE('',#463786,#105705); #68715=LINE('',#463787,#105706); #68716=LINE('',#463790,#105707); #68717=LINE('',#463792,#105708); #68718=LINE('',#463793,#105709); #68719=LINE('',#463796,#105710); #68720=LINE('',#463798,#105711); #68721=LINE('',#463799,#105712); #68722=LINE('',#463802,#105713); #68723=LINE('',#463804,#105714); #68724=LINE('',#463805,#105715); #68725=LINE('',#463808,#105716); #68726=LINE('',#463810,#105717); #68727=LINE('',#463811,#105718); #68728=LINE('',#463814,#105719); #68729=LINE('',#463816,#105720); #68730=LINE('',#463817,#105721); #68731=LINE('',#463820,#105722); #68732=LINE('',#463822,#105723); #68733=LINE('',#463823,#105724); #68734=LINE('',#463826,#105725); #68735=LINE('',#463828,#105726); #68736=LINE('',#463829,#105727); #68737=LINE('',#463832,#105728); #68738=LINE('',#463834,#105729); #68739=LINE('',#463835,#105730); #68740=LINE('',#463838,#105731); #68741=LINE('',#463840,#105732); #68742=LINE('',#463841,#105733); #68743=LINE('',#463844,#105734); #68744=LINE('',#463846,#105735); #68745=LINE('',#463847,#105736); #68746=LINE('',#463850,#105737); #68747=LINE('',#463852,#105738); #68748=LINE('',#463853,#105739); #68749=LINE('',#463856,#105740); #68750=LINE('',#463858,#105741); #68751=LINE('',#463859,#105742); #68752=LINE('',#463862,#105743); #68753=LINE('',#463864,#105744); #68754=LINE('',#463865,#105745); #68755=LINE('',#463868,#105746); #68756=LINE('',#463870,#105747); #68757=LINE('',#463871,#105748); #68758=LINE('',#463874,#105749); #68759=LINE('',#463876,#105750); #68760=LINE('',#463877,#105751); #68761=LINE('',#463880,#105752); #68762=LINE('',#463882,#105753); #68763=LINE('',#463883,#105754); #68764=LINE('',#463886,#105755); #68765=LINE('',#463888,#105756); #68766=LINE('',#463889,#105757); #68767=LINE('',#463892,#105758); #68768=LINE('',#463894,#105759); #68769=LINE('',#463895,#105760); #68770=LINE('',#463898,#105761); #68771=LINE('',#463900,#105762); #68772=LINE('',#463901,#105763); #68773=LINE('',#463904,#105764); #68774=LINE('',#463906,#105765); #68775=LINE('',#463907,#105766); #68776=LINE('',#463910,#105767); #68777=LINE('',#463912,#105768); #68778=LINE('',#463913,#105769); #68779=LINE('',#463916,#105770); #68780=LINE('',#463918,#105771); #68781=LINE('',#463919,#105772); #68782=LINE('',#463922,#105773); #68783=LINE('',#463924,#105774); #68784=LINE('',#463925,#105775); #68785=LINE('',#463928,#105776); #68786=LINE('',#463930,#105777); #68787=LINE('',#463931,#105778); #68788=LINE('',#463934,#105779); #68789=LINE('',#463936,#105780); #68790=LINE('',#463937,#105781); #68791=LINE('',#463940,#105782); #68792=LINE('',#463942,#105783); #68793=LINE('',#463943,#105784); #68794=LINE('',#463946,#105785); #68795=LINE('',#463948,#105786); #68796=LINE('',#463949,#105787); #68797=LINE('',#463952,#105788); #68798=LINE('',#463954,#105789); #68799=LINE('',#463955,#105790); #68800=LINE('',#463958,#105791); #68801=LINE('',#463960,#105792); #68802=LINE('',#463961,#105793); #68803=LINE('',#463964,#105794); #68804=LINE('',#463966,#105795); #68805=LINE('',#463967,#105796); #68806=LINE('',#463970,#105797); #68807=LINE('',#463972,#105798); #68808=LINE('',#463973,#105799); #68809=LINE('',#463976,#105800); #68810=LINE('',#463978,#105801); #68811=LINE('',#463979,#105802); #68812=LINE('',#463982,#105803); #68813=LINE('',#463984,#105804); #68814=LINE('',#463985,#105805); #68815=LINE('',#463988,#105806); #68816=LINE('',#463990,#105807); #68817=LINE('',#463991,#105808); #68818=LINE('',#463994,#105809); #68819=LINE('',#463996,#105810); #68820=LINE('',#463997,#105811); #68821=LINE('',#464000,#105812); #68822=LINE('',#464002,#105813); #68823=LINE('',#464003,#105814); #68824=LINE('',#464006,#105815); #68825=LINE('',#464008,#105816); #68826=LINE('',#464009,#105817); #68827=LINE('',#464012,#105818); #68828=LINE('',#464014,#105819); #68829=LINE('',#464015,#105820); #68830=LINE('',#464018,#105821); #68831=LINE('',#464020,#105822); #68832=LINE('',#464021,#105823); #68833=LINE('',#464024,#105824); #68834=LINE('',#464026,#105825); #68835=LINE('',#464027,#105826); #68836=LINE('',#464030,#105827); #68837=LINE('',#464032,#105828); #68838=LINE('',#464033,#105829); #68839=LINE('',#464036,#105830); #68840=LINE('',#464038,#105831); #68841=LINE('',#464039,#105832); #68842=LINE('',#464042,#105833); #68843=LINE('',#464044,#105834); #68844=LINE('',#464045,#105835); #68845=LINE('',#464048,#105836); #68846=LINE('',#464050,#105837); #68847=LINE('',#464051,#105838); #68848=LINE('',#464054,#105839); #68849=LINE('',#464056,#105840); #68850=LINE('',#464057,#105841); #68851=LINE('',#464060,#105842); #68852=LINE('',#464062,#105843); #68853=LINE('',#464063,#105844); #68854=LINE('',#464066,#105845); #68855=LINE('',#464068,#105846); #68856=LINE('',#464069,#105847); #68857=LINE('',#464072,#105848); #68858=LINE('',#464074,#105849); #68859=LINE('',#464075,#105850); #68860=LINE('',#464078,#105851); #68861=LINE('',#464080,#105852); #68862=LINE('',#464081,#105853); #68863=LINE('',#464084,#105854); #68864=LINE('',#464086,#105855); #68865=LINE('',#464087,#105856); #68866=LINE('',#464090,#105857); #68867=LINE('',#464092,#105858); #68868=LINE('',#464093,#105859); #68869=LINE('',#464096,#105860); #68870=LINE('',#464098,#105861); #68871=LINE('',#464099,#105862); #68872=LINE('',#464102,#105863); #68873=LINE('',#464104,#105864); #68874=LINE('',#464105,#105865); #68875=LINE('',#464108,#105866); #68876=LINE('',#464110,#105867); #68877=LINE('',#464111,#105868); #68878=LINE('',#464114,#105869); #68879=LINE('',#464116,#105870); #68880=LINE('',#464117,#105871); #68881=LINE('',#464120,#105872); #68882=LINE('',#464122,#105873); #68883=LINE('',#464123,#105874); #68884=LINE('',#464126,#105875); #68885=LINE('',#464128,#105876); #68886=LINE('',#464129,#105877); #68887=LINE('',#464132,#105878); #68888=LINE('',#464134,#105879); #68889=LINE('',#464135,#105880); #68890=LINE('',#464138,#105881); #68891=LINE('',#464140,#105882); #68892=LINE('',#464141,#105883); #68893=LINE('',#464144,#105884); #68894=LINE('',#464146,#105885); #68895=LINE('',#464147,#105886); #68896=LINE('',#464150,#105887); #68897=LINE('',#464152,#105888); #68898=LINE('',#464153,#105889); #68899=LINE('',#464156,#105890); #68900=LINE('',#464158,#105891); #68901=LINE('',#464159,#105892); #68902=LINE('',#464162,#105893); #68903=LINE('',#464164,#105894); #68904=LINE('',#464165,#105895); #68905=LINE('',#464168,#105896); #68906=LINE('',#464170,#105897); #68907=LINE('',#464171,#105898); #68908=LINE('',#464174,#105899); #68909=LINE('',#464176,#105900); #68910=LINE('',#464177,#105901); #68911=LINE('',#464180,#105902); #68912=LINE('',#464182,#105903); #68913=LINE('',#464183,#105904); #68914=LINE('',#464186,#105905); #68915=LINE('',#464188,#105906); #68916=LINE('',#464189,#105907); #68917=LINE('',#464192,#105908); #68918=LINE('',#464194,#105909); #68919=LINE('',#464195,#105910); #68920=LINE('',#464198,#105911); #68921=LINE('',#464200,#105912); #68922=LINE('',#464201,#105913); #68923=LINE('',#464204,#105914); #68924=LINE('',#464206,#105915); #68925=LINE('',#464207,#105916); #68926=LINE('',#464210,#105917); #68927=LINE('',#464212,#105918); #68928=LINE('',#464213,#105919); #68929=LINE('',#464216,#105920); #68930=LINE('',#464218,#105921); #68931=LINE('',#464219,#105922); #68932=LINE('',#464222,#105923); #68933=LINE('',#464224,#105924); #68934=LINE('',#464225,#105925); #68935=LINE('',#464228,#105926); #68936=LINE('',#464230,#105927); #68937=LINE('',#464231,#105928); #68938=LINE('',#464234,#105929); #68939=LINE('',#464236,#105930); #68940=LINE('',#464237,#105931); #68941=LINE('',#464240,#105932); #68942=LINE('',#464242,#105933); #68943=LINE('',#464243,#105934); #68944=LINE('',#464246,#105935); #68945=LINE('',#464248,#105936); #68946=LINE('',#464249,#105937); #68947=LINE('',#464252,#105938); #68948=LINE('',#464254,#105939); #68949=LINE('',#464255,#105940); #68950=LINE('',#464258,#105941); #68951=LINE('',#464260,#105942); #68952=LINE('',#464261,#105943); #68953=LINE('',#464264,#105944); #68954=LINE('',#464266,#105945); #68955=LINE('',#464267,#105946); #68956=LINE('',#464270,#105947); #68957=LINE('',#464272,#105948); #68958=LINE('',#464273,#105949); #68959=LINE('',#464276,#105950); #68960=LINE('',#464278,#105951); #68961=LINE('',#464279,#105952); #68962=LINE('',#464282,#105953); #68963=LINE('',#464284,#105954); #68964=LINE('',#464285,#105955); #68965=LINE('',#464288,#105956); #68966=LINE('',#464290,#105957); #68967=LINE('',#464291,#105958); #68968=LINE('',#464294,#105959); #68969=LINE('',#464296,#105960); #68970=LINE('',#464297,#105961); #68971=LINE('',#464300,#105962); #68972=LINE('',#464302,#105963); #68973=LINE('',#464303,#105964); #68974=LINE('',#464306,#105965); #68975=LINE('',#464308,#105966); #68976=LINE('',#464309,#105967); #68977=LINE('',#464312,#105968); #68978=LINE('',#464314,#105969); #68979=LINE('',#464315,#105970); #68980=LINE('',#464318,#105971); #68981=LINE('',#464320,#105972); #68982=LINE('',#464321,#105973); #68983=LINE('',#464324,#105974); #68984=LINE('',#464326,#105975); #68985=LINE('',#464327,#105976); #68986=LINE('',#464330,#105977); #68987=LINE('',#464332,#105978); #68988=LINE('',#464333,#105979); #68989=LINE('',#464336,#105980); #68990=LINE('',#464338,#105981); #68991=LINE('',#464339,#105982); #68992=LINE('',#464342,#105983); #68993=LINE('',#464344,#105984); #68994=LINE('',#464345,#105985); #68995=LINE('',#464348,#105986); #68996=LINE('',#464350,#105987); #68997=LINE('',#464351,#105988); #68998=LINE('',#464354,#105989); #68999=LINE('',#464356,#105990); #69000=LINE('',#464357,#105991); #69001=LINE('',#464360,#105992); #69002=LINE('',#464362,#105993); #69003=LINE('',#464363,#105994); #69004=LINE('',#464366,#105995); #69005=LINE('',#464368,#105996); #69006=LINE('',#464369,#105997); #69007=LINE('',#464372,#105998); #69008=LINE('',#464374,#105999); #69009=LINE('',#464375,#106000); #69010=LINE('',#464378,#106001); #69011=LINE('',#464380,#106002); #69012=LINE('',#464381,#106003); #69013=LINE('',#464384,#106004); #69014=LINE('',#464386,#106005); #69015=LINE('',#464387,#106006); #69016=LINE('',#464390,#106007); #69017=LINE('',#464392,#106008); #69018=LINE('',#464393,#106009); #69019=LINE('',#464396,#106010); #69020=LINE('',#464398,#106011); #69021=LINE('',#464399,#106012); #69022=LINE('',#464402,#106013); #69023=LINE('',#464404,#106014); #69024=LINE('',#464405,#106015); #69025=LINE('',#464408,#106016); #69026=LINE('',#464410,#106017); #69027=LINE('',#464411,#106018); #69028=LINE('',#464414,#106019); #69029=LINE('',#464416,#106020); #69030=LINE('',#464417,#106021); #69031=LINE('',#464420,#106022); #69032=LINE('',#464422,#106023); #69033=LINE('',#464423,#106024); #69034=LINE('',#464426,#106025); #69035=LINE('',#464428,#106026); #69036=LINE('',#464429,#106027); #69037=LINE('',#464432,#106028); #69038=LINE('',#464434,#106029); #69039=LINE('',#464435,#106030); #69040=LINE('',#464438,#106031); #69041=LINE('',#464440,#106032); #69042=LINE('',#464441,#106033); #69043=LINE('',#464444,#106034); #69044=LINE('',#464446,#106035); #69045=LINE('',#464447,#106036); #69046=LINE('',#464450,#106037); #69047=LINE('',#464452,#106038); #69048=LINE('',#464453,#106039); #69049=LINE('',#464456,#106040); #69050=LINE('',#464458,#106041); #69051=LINE('',#464459,#106042); #69052=LINE('',#464462,#106043); #69053=LINE('',#464464,#106044); #69054=LINE('',#464465,#106045); #69055=LINE('',#464468,#106046); #69056=LINE('',#464470,#106047); #69057=LINE('',#464471,#106048); #69058=LINE('',#464474,#106049); #69059=LINE('',#464476,#106050); #69060=LINE('',#464477,#106051); #69061=LINE('',#464480,#106052); #69062=LINE('',#464482,#106053); #69063=LINE('',#464483,#106054); #69064=LINE('',#464486,#106055); #69065=LINE('',#464488,#106056); #69066=LINE('',#464489,#106057); #69067=LINE('',#464492,#106058); #69068=LINE('',#464494,#106059); #69069=LINE('',#464495,#106060); #69070=LINE('',#464498,#106061); #69071=LINE('',#464500,#106062); #69072=LINE('',#464501,#106063); #69073=LINE('',#464504,#106064); #69074=LINE('',#464506,#106065); #69075=LINE('',#464507,#106066); #69076=LINE('',#464510,#106067); #69077=LINE('',#464512,#106068); #69078=LINE('',#464513,#106069); #69079=LINE('',#464516,#106070); #69080=LINE('',#464518,#106071); #69081=LINE('',#464519,#106072); #69082=LINE('',#464522,#106073); #69083=LINE('',#464524,#106074); #69084=LINE('',#464525,#106075); #69085=LINE('',#464528,#106076); #69086=LINE('',#464530,#106077); #69087=LINE('',#464531,#106078); #69088=LINE('',#464534,#106079); #69089=LINE('',#464536,#106080); #69090=LINE('',#464537,#106081); #69091=LINE('',#464540,#106082); #69092=LINE('',#464542,#106083); #69093=LINE('',#464543,#106084); #69094=LINE('',#464546,#106085); #69095=LINE('',#464548,#106086); #69096=LINE('',#464549,#106087); #69097=LINE('',#464552,#106088); #69098=LINE('',#464554,#106089); #69099=LINE('',#464555,#106090); #69100=LINE('',#464558,#106091); #69101=LINE('',#464560,#106092); #69102=LINE('',#464561,#106093); #69103=LINE('',#464564,#106094); #69104=LINE('',#464566,#106095); #69105=LINE('',#464567,#106096); #69106=LINE('',#464570,#106097); #69107=LINE('',#464572,#106098); #69108=LINE('',#464573,#106099); #69109=LINE('',#464576,#106100); #69110=LINE('',#464578,#106101); #69111=LINE('',#464579,#106102); #69112=LINE('',#464582,#106103); #69113=LINE('',#464584,#106104); #69114=LINE('',#464585,#106105); #69115=LINE('',#464588,#106106); #69116=LINE('',#464590,#106107); #69117=LINE('',#464591,#106108); #69118=LINE('',#464594,#106109); #69119=LINE('',#464596,#106110); #69120=LINE('',#464597,#106111); #69121=LINE('',#464600,#106112); #69122=LINE('',#464602,#106113); #69123=LINE('',#464603,#106114); #69124=LINE('',#464606,#106115); #69125=LINE('',#464608,#106116); #69126=LINE('',#464609,#106117); #69127=LINE('',#464612,#106118); #69128=LINE('',#464614,#106119); #69129=LINE('',#464615,#106120); #69130=LINE('',#464618,#106121); #69131=LINE('',#464620,#106122); #69132=LINE('',#464621,#106123); #69133=LINE('',#464624,#106124); #69134=LINE('',#464626,#106125); #69135=LINE('',#464627,#106126); #69136=LINE('',#464630,#106127); #69137=LINE('',#464632,#106128); #69138=LINE('',#464633,#106129); #69139=LINE('',#464636,#106130); #69140=LINE('',#464638,#106131); #69141=LINE('',#464639,#106132); #69142=LINE('',#464642,#106133); #69143=LINE('',#464644,#106134); #69144=LINE('',#464645,#106135); #69145=LINE('',#464648,#106136); #69146=LINE('',#464650,#106137); #69147=LINE('',#464651,#106138); #69148=LINE('',#464654,#106139); #69149=LINE('',#464656,#106140); #69150=LINE('',#464657,#106141); #69151=LINE('',#464660,#106142); #69152=LINE('',#464662,#106143); #69153=LINE('',#464663,#106144); #69154=LINE('',#464666,#106145); #69155=LINE('',#464668,#106146); #69156=LINE('',#464669,#106147); #69157=LINE('',#464672,#106148); #69158=LINE('',#464674,#106149); #69159=LINE('',#464675,#106150); #69160=LINE('',#464678,#106151); #69161=LINE('',#464680,#106152); #69162=LINE('',#464681,#106153); #69163=LINE('',#464684,#106154); #69164=LINE('',#464686,#106155); #69165=LINE('',#464687,#106156); #69166=LINE('',#464690,#106157); #69167=LINE('',#464692,#106158); #69168=LINE('',#464693,#106159); #69169=LINE('',#464696,#106160); #69170=LINE('',#464698,#106161); #69171=LINE('',#464699,#106162); #69172=LINE('',#464702,#106163); #69173=LINE('',#464704,#106164); #69174=LINE('',#464705,#106165); #69175=LINE('',#464708,#106166); #69176=LINE('',#464710,#106167); #69177=LINE('',#464711,#106168); #69178=LINE('',#464714,#106169); #69179=LINE('',#464716,#106170); #69180=LINE('',#464717,#106171); #69181=LINE('',#464720,#106172); #69182=LINE('',#464722,#106173); #69183=LINE('',#464723,#106174); #69184=LINE('',#464726,#106175); #69185=LINE('',#464728,#106176); #69186=LINE('',#464729,#106177); #69187=LINE('',#464732,#106178); #69188=LINE('',#464734,#106179); #69189=LINE('',#464735,#106180); #69190=LINE('',#464738,#106181); #69191=LINE('',#464740,#106182); #69192=LINE('',#464741,#106183); #69193=LINE('',#464744,#106184); #69194=LINE('',#464746,#106185); #69195=LINE('',#464747,#106186); #69196=LINE('',#464750,#106187); #69197=LINE('',#464752,#106188); #69198=LINE('',#464753,#106189); #69199=LINE('',#464756,#106190); #69200=LINE('',#464758,#106191); #69201=LINE('',#464759,#106192); #69202=LINE('',#464762,#106193); #69203=LINE('',#464764,#106194); #69204=LINE('',#464765,#106195); #69205=LINE('',#464768,#106196); #69206=LINE('',#464770,#106197); #69207=LINE('',#464771,#106198); #69208=LINE('',#464774,#106199); #69209=LINE('',#464776,#106200); #69210=LINE('',#464777,#106201); #69211=LINE('',#464780,#106202); #69212=LINE('',#464782,#106203); #69213=LINE('',#464783,#106204); #69214=LINE('',#464786,#106205); #69215=LINE('',#464788,#106206); #69216=LINE('',#464789,#106207); #69217=LINE('',#464792,#106208); #69218=LINE('',#464794,#106209); #69219=LINE('',#464795,#106210); #69220=LINE('',#464798,#106211); #69221=LINE('',#464800,#106212); #69222=LINE('',#464801,#106213); #69223=LINE('',#464804,#106214); #69224=LINE('',#464806,#106215); #69225=LINE('',#464807,#106216); #69226=LINE('',#464810,#106217); #69227=LINE('',#464812,#106218); #69228=LINE('',#464813,#106219); #69229=LINE('',#464816,#106220); #69230=LINE('',#464818,#106221); #69231=LINE('',#464819,#106222); #69232=LINE('',#464822,#106223); #69233=LINE('',#464824,#106224); #69234=LINE('',#464825,#106225); #69235=LINE('',#464828,#106226); #69236=LINE('',#464830,#106227); #69237=LINE('',#464831,#106228); #69238=LINE('',#464834,#106229); #69239=LINE('',#464836,#106230); #69240=LINE('',#464837,#106231); #69241=LINE('',#464840,#106232); #69242=LINE('',#464842,#106233); #69243=LINE('',#464843,#106234); #69244=LINE('',#464846,#106235); #69245=LINE('',#464848,#106236); #69246=LINE('',#464849,#106237); #69247=LINE('',#464852,#106238); #69248=LINE('',#464854,#106239); #69249=LINE('',#464855,#106240); #69250=LINE('',#464858,#106241); #69251=LINE('',#464860,#106242); #69252=LINE('',#464861,#106243); #69253=LINE('',#464864,#106244); #69254=LINE('',#464866,#106245); #69255=LINE('',#464867,#106246); #69256=LINE('',#464870,#106247); #69257=LINE('',#464872,#106248); #69258=LINE('',#464873,#106249); #69259=LINE('',#464876,#106250); #69260=LINE('',#464878,#106251); #69261=LINE('',#464879,#106252); #69262=LINE('',#464882,#106253); #69263=LINE('',#464884,#106254); #69264=LINE('',#464885,#106255); #69265=LINE('',#464888,#106256); #69266=LINE('',#464890,#106257); #69267=LINE('',#464891,#106258); #69268=LINE('',#464894,#106259); #69269=LINE('',#464896,#106260); #69270=LINE('',#464897,#106261); #69271=LINE('',#464900,#106262); #69272=LINE('',#464902,#106263); #69273=LINE('',#464903,#106264); #69274=LINE('',#464906,#106265); #69275=LINE('',#464908,#106266); #69276=LINE('',#464909,#106267); #69277=LINE('',#464912,#106268); #69278=LINE('',#464914,#106269); #69279=LINE('',#464915,#106270); #69280=LINE('',#464918,#106271); #69281=LINE('',#464920,#106272); #69282=LINE('',#464921,#106273); #69283=LINE('',#464924,#106274); #69284=LINE('',#464926,#106275); #69285=LINE('',#464927,#106276); #69286=LINE('',#464930,#106277); #69287=LINE('',#464932,#106278); #69288=LINE('',#464933,#106279); #69289=LINE('',#464936,#106280); #69290=LINE('',#464938,#106281); #69291=LINE('',#464939,#106282); #69292=LINE('',#464942,#106283); #69293=LINE('',#464944,#106284); #69294=LINE('',#464945,#106285); #69295=LINE('',#464948,#106286); #69296=LINE('',#464950,#106287); #69297=LINE('',#464951,#106288); #69298=LINE('',#464954,#106289); #69299=LINE('',#464956,#106290); #69300=LINE('',#464957,#106291); #69301=LINE('',#464960,#106292); #69302=LINE('',#464962,#106293); #69303=LINE('',#464963,#106294); #69304=LINE('',#464966,#106295); #69305=LINE('',#464968,#106296); #69306=LINE('',#464969,#106297); #69307=LINE('',#464972,#106298); #69308=LINE('',#464974,#106299); #69309=LINE('',#464975,#106300); #69310=LINE('',#464978,#106301); #69311=LINE('',#464980,#106302); #69312=LINE('',#464981,#106303); #69313=LINE('',#464984,#106304); #69314=LINE('',#464986,#106305); #69315=LINE('',#464987,#106306); #69316=LINE('',#464990,#106307); #69317=LINE('',#464992,#106308); #69318=LINE('',#464993,#106309); #69319=LINE('',#464996,#106310); #69320=LINE('',#464998,#106311); #69321=LINE('',#464999,#106312); #69322=LINE('',#465002,#106313); #69323=LINE('',#465004,#106314); #69324=LINE('',#465005,#106315); #69325=LINE('',#465008,#106316); #69326=LINE('',#465010,#106317); #69327=LINE('',#465011,#106318); #69328=LINE('',#465014,#106319); #69329=LINE('',#465016,#106320); #69330=LINE('',#465017,#106321); #69331=LINE('',#465020,#106322); #69332=LINE('',#465022,#106323); #69333=LINE('',#465023,#106324); #69334=LINE('',#465026,#106325); #69335=LINE('',#465028,#106326); #69336=LINE('',#465029,#106327); #69337=LINE('',#465032,#106328); #69338=LINE('',#465034,#106329); #69339=LINE('',#465035,#106330); #69340=LINE('',#465038,#106331); #69341=LINE('',#465040,#106332); #69342=LINE('',#465041,#106333); #69343=LINE('',#465044,#106334); #69344=LINE('',#465046,#106335); #69345=LINE('',#465047,#106336); #69346=LINE('',#465050,#106337); #69347=LINE('',#465052,#106338); #69348=LINE('',#465053,#106339); #69349=LINE('',#465056,#106340); #69350=LINE('',#465058,#106341); #69351=LINE('',#465059,#106342); #69352=LINE('',#465062,#106343); #69353=LINE('',#465064,#106344); #69354=LINE('',#465065,#106345); #69355=LINE('',#465068,#106346); #69356=LINE('',#465070,#106347); #69357=LINE('',#465071,#106348); #69358=LINE('',#465074,#106349); #69359=LINE('',#465076,#106350); #69360=LINE('',#465077,#106351); #69361=LINE('',#465080,#106352); #69362=LINE('',#465082,#106353); #69363=LINE('',#465083,#106354); #69364=LINE('',#465086,#106355); #69365=LINE('',#465088,#106356); #69366=LINE('',#465089,#106357); #69367=LINE('',#465092,#106358); #69368=LINE('',#465094,#106359); #69369=LINE('',#465095,#106360); #69370=LINE('',#465098,#106361); #69371=LINE('',#465100,#106362); #69372=LINE('',#465101,#106363); #69373=LINE('',#465104,#106364); #69374=LINE('',#465106,#106365); #69375=LINE('',#465107,#106366); #69376=LINE('',#465110,#106367); #69377=LINE('',#465112,#106368); #69378=LINE('',#465113,#106369); #69379=LINE('',#465116,#106370); #69380=LINE('',#465118,#106371); #69381=LINE('',#465119,#106372); #69382=LINE('',#465122,#106373); #69383=LINE('',#465124,#106374); #69384=LINE('',#465125,#106375); #69385=LINE('',#465128,#106376); #69386=LINE('',#465130,#106377); #69387=LINE('',#465131,#106378); #69388=LINE('',#465134,#106379); #69389=LINE('',#465136,#106380); #69390=LINE('',#465137,#106381); #69391=LINE('',#465140,#106382); #69392=LINE('',#465142,#106383); #69393=LINE('',#465143,#106384); #69394=LINE('',#465146,#106385); #69395=LINE('',#465148,#106386); #69396=LINE('',#465149,#106387); #69397=LINE('',#465152,#106388); #69398=LINE('',#465154,#106389); #69399=LINE('',#465155,#106390); #69400=LINE('',#465158,#106391); #69401=LINE('',#465160,#106392); #69402=LINE('',#465161,#106393); #69403=LINE('',#465164,#106394); #69404=LINE('',#465166,#106395); #69405=LINE('',#465167,#106396); #69406=LINE('',#465170,#106397); #69407=LINE('',#465172,#106398); #69408=LINE('',#465173,#106399); #69409=LINE('',#465176,#106400); #69410=LINE('',#465178,#106401); #69411=LINE('',#465179,#106402); #69412=LINE('',#465182,#106403); #69413=LINE('',#465184,#106404); #69414=LINE('',#465185,#106405); #69415=LINE('',#465188,#106406); #69416=LINE('',#465190,#106407); #69417=LINE('',#465191,#106408); #69418=LINE('',#465194,#106409); #69419=LINE('',#465196,#106410); #69420=LINE('',#465197,#106411); #69421=LINE('',#465200,#106412); #69422=LINE('',#465202,#106413); #69423=LINE('',#465203,#106414); #69424=LINE('',#465206,#106415); #69425=LINE('',#465208,#106416); #69426=LINE('',#465209,#106417); #69427=LINE('',#465212,#106418); #69428=LINE('',#465214,#106419); #69429=LINE('',#465215,#106420); #69430=LINE('',#465218,#106421); #69431=LINE('',#465220,#106422); #69432=LINE('',#465221,#106423); #69433=LINE('',#465224,#106424); #69434=LINE('',#465226,#106425); #69435=LINE('',#465227,#106426); #69436=LINE('',#465230,#106427); #69437=LINE('',#465232,#106428); #69438=LINE('',#465233,#106429); #69439=LINE('',#465236,#106430); #69440=LINE('',#465238,#106431); #69441=LINE('',#465239,#106432); #69442=LINE('',#465242,#106433); #69443=LINE('',#465244,#106434); #69444=LINE('',#465245,#106435); #69445=LINE('',#465248,#106436); #69446=LINE('',#465250,#106437); #69447=LINE('',#465251,#106438); #69448=LINE('',#465254,#106439); #69449=LINE('',#465256,#106440); #69450=LINE('',#465257,#106441); #69451=LINE('',#465260,#106442); #69452=LINE('',#465262,#106443); #69453=LINE('',#465263,#106444); #69454=LINE('',#465266,#106445); #69455=LINE('',#465268,#106446); #69456=LINE('',#465269,#106447); #69457=LINE('',#465272,#106448); #69458=LINE('',#465274,#106449); #69459=LINE('',#465275,#106450); #69460=LINE('',#465278,#106451); #69461=LINE('',#465280,#106452); #69462=LINE('',#465281,#106453); #69463=LINE('',#465284,#106454); #69464=LINE('',#465286,#106455); #69465=LINE('',#465287,#106456); #69466=LINE('',#465290,#106457); #69467=LINE('',#465292,#106458); #69468=LINE('',#465293,#106459); #69469=LINE('',#465296,#106460); #69470=LINE('',#465298,#106461); #69471=LINE('',#465299,#106462); #69472=LINE('',#465302,#106463); #69473=LINE('',#465304,#106464); #69474=LINE('',#465305,#106465); #69475=LINE('',#465308,#106466); #69476=LINE('',#465310,#106467); #69477=LINE('',#465311,#106468); #69478=LINE('',#465314,#106469); #69479=LINE('',#465316,#106470); #69480=LINE('',#465317,#106471); #69481=LINE('',#465320,#106472); #69482=LINE('',#465322,#106473); #69483=LINE('',#465323,#106474); #69484=LINE('',#465326,#106475); #69485=LINE('',#465328,#106476); #69486=LINE('',#465329,#106477); #69487=LINE('',#465332,#106478); #69488=LINE('',#465334,#106479); #69489=LINE('',#465335,#106480); #69490=LINE('',#465338,#106481); #69491=LINE('',#465340,#106482); #69492=LINE('',#465341,#106483); #69493=LINE('',#465344,#106484); #69494=LINE('',#465346,#106485); #69495=LINE('',#465347,#106486); #69496=LINE('',#465350,#106487); #69497=LINE('',#465352,#106488); #69498=LINE('',#465353,#106489); #69499=LINE('',#465356,#106490); #69500=LINE('',#465358,#106491); #69501=LINE('',#465359,#106492); #69502=LINE('',#465362,#106493); #69503=LINE('',#465364,#106494); #69504=LINE('',#465365,#106495); #69505=LINE('',#465368,#106496); #69506=LINE('',#465370,#106497); #69507=LINE('',#465371,#106498); #69508=LINE('',#465374,#106499); #69509=LINE('',#465376,#106500); #69510=LINE('',#465377,#106501); #69511=LINE('',#465380,#106502); #69512=LINE('',#465382,#106503); #69513=LINE('',#465383,#106504); #69514=LINE('',#465386,#106505); #69515=LINE('',#465388,#106506); #69516=LINE('',#465389,#106507); #69517=LINE('',#465392,#106508); #69518=LINE('',#465394,#106509); #69519=LINE('',#465395,#106510); #69520=LINE('',#465398,#106511); #69521=LINE('',#465400,#106512); #69522=LINE('',#465401,#106513); #69523=LINE('',#465403,#106514); #69524=LINE('',#465404,#106515); #69525=LINE('',#465410,#106516); #69526=LINE('',#465412,#106517); #69527=LINE('',#465414,#106518); #69528=LINE('',#465415,#106519); #69529=LINE('',#465418,#106520); #69530=LINE('',#465420,#106521); #69531=LINE('',#465421,#106522); #69532=LINE('',#465424,#106523); #69533=LINE('',#465426,#106524); #69534=LINE('',#465427,#106525); #69535=LINE('',#465430,#106526); #69536=LINE('',#465432,#106527); #69537=LINE('',#465433,#106528); #69538=LINE('',#465436,#106529); #69539=LINE('',#465438,#106530); #69540=LINE('',#465439,#106531); #69541=LINE('',#465442,#106532); #69542=LINE('',#465444,#106533); #69543=LINE('',#465445,#106534); #69544=LINE('',#465448,#106535); #69545=LINE('',#465450,#106536); #69546=LINE('',#465451,#106537); #69547=LINE('',#465454,#106538); #69548=LINE('',#465456,#106539); #69549=LINE('',#465457,#106540); #69550=LINE('',#465460,#106541); #69551=LINE('',#465462,#106542); #69552=LINE('',#465463,#106543); #69553=LINE('',#465466,#106544); #69554=LINE('',#465468,#106545); #69555=LINE('',#465469,#106546); #69556=LINE('',#465472,#106547); #69557=LINE('',#465474,#106548); #69558=LINE('',#465475,#106549); #69559=LINE('',#465478,#106550); #69560=LINE('',#465480,#106551); #69561=LINE('',#465481,#106552); #69562=LINE('',#465484,#106553); #69563=LINE('',#465486,#106554); #69564=LINE('',#465487,#106555); #69565=LINE('',#465490,#106556); #69566=LINE('',#465492,#106557); #69567=LINE('',#465493,#106558); #69568=LINE('',#465496,#106559); #69569=LINE('',#465498,#106560); #69570=LINE('',#465499,#106561); #69571=LINE('',#465502,#106562); #69572=LINE('',#465504,#106563); #69573=LINE('',#465505,#106564); #69574=LINE('',#465508,#106565); #69575=LINE('',#465510,#106566); #69576=LINE('',#465511,#106567); #69577=LINE('',#465514,#106568); #69578=LINE('',#465516,#106569); #69579=LINE('',#465517,#106570); #69580=LINE('',#465520,#106571); #69581=LINE('',#465522,#106572); #69582=LINE('',#465523,#106573); #69583=LINE('',#465526,#106574); #69584=LINE('',#465528,#106575); #69585=LINE('',#465529,#106576); #69586=LINE('',#465531,#106577); #69587=LINE('',#465532,#106578); #69588=LINE('',#465538,#106579); #69589=LINE('',#465540,#106580); #69590=LINE('',#465542,#106581); #69591=LINE('',#465543,#106582); #69592=LINE('',#465546,#106583); #69593=LINE('',#465548,#106584); #69594=LINE('',#465549,#106585); #69595=LINE('',#465552,#106586); #69596=LINE('',#465554,#106587); #69597=LINE('',#465555,#106588); #69598=LINE('',#465558,#106589); #69599=LINE('',#465560,#106590); #69600=LINE('',#465561,#106591); #69601=LINE('',#465564,#106592); #69602=LINE('',#465566,#106593); #69603=LINE('',#465567,#106594); #69604=LINE('',#465570,#106595); #69605=LINE('',#465572,#106596); #69606=LINE('',#465573,#106597); #69607=LINE('',#465576,#106598); #69608=LINE('',#465578,#106599); #69609=LINE('',#465579,#106600); #69610=LINE('',#465582,#106601); #69611=LINE('',#465584,#106602); #69612=LINE('',#465585,#106603); #69613=LINE('',#465588,#106604); #69614=LINE('',#465590,#106605); #69615=LINE('',#465591,#106606); #69616=LINE('',#465594,#106607); #69617=LINE('',#465596,#106608); #69618=LINE('',#465597,#106609); #69619=LINE('',#465600,#106610); #69620=LINE('',#465602,#106611); #69621=LINE('',#465603,#106612); #69622=LINE('',#465606,#106613); #69623=LINE('',#465608,#106614); #69624=LINE('',#465609,#106615); #69625=LINE('',#465612,#106616); #69626=LINE('',#465614,#106617); #69627=LINE('',#465615,#106618); #69628=LINE('',#465618,#106619); #69629=LINE('',#465620,#106620); #69630=LINE('',#465621,#106621); #69631=LINE('',#465624,#106622); #69632=LINE('',#465626,#106623); #69633=LINE('',#465627,#106624); #69634=LINE('',#465630,#106625); #69635=LINE('',#465632,#106626); #69636=LINE('',#465633,#106627); #69637=LINE('',#465636,#106628); #69638=LINE('',#465638,#106629); #69639=LINE('',#465639,#106630); #69640=LINE('',#465642,#106631); #69641=LINE('',#465644,#106632); #69642=LINE('',#465645,#106633); #69643=LINE('',#465648,#106634); #69644=LINE('',#465650,#106635); #69645=LINE('',#465651,#106636); #69646=LINE('',#465654,#106637); #69647=LINE('',#465656,#106638); #69648=LINE('',#465657,#106639); #69649=LINE('',#465659,#106640); #69650=LINE('',#465660,#106641); #69651=LINE('',#465666,#106642); #69652=LINE('',#465668,#106643); #69653=LINE('',#465670,#106644); #69654=LINE('',#465671,#106645); #69655=LINE('',#465674,#106646); #69656=LINE('',#465676,#106647); #69657=LINE('',#465677,#106648); #69658=LINE('',#465680,#106649); #69659=LINE('',#465682,#106650); #69660=LINE('',#465683,#106651); #69661=LINE('',#465686,#106652); #69662=LINE('',#465688,#106653); #69663=LINE('',#465689,#106654); #69664=LINE('',#465692,#106655); #69665=LINE('',#465694,#106656); #69666=LINE('',#465695,#106657); #69667=LINE('',#465698,#106658); #69668=LINE('',#465700,#106659); #69669=LINE('',#465701,#106660); #69670=LINE('',#465704,#106661); #69671=LINE('',#465706,#106662); #69672=LINE('',#465707,#106663); #69673=LINE('',#465710,#106664); #69674=LINE('',#465712,#106665); #69675=LINE('',#465713,#106666); #69676=LINE('',#465716,#106667); #69677=LINE('',#465718,#106668); #69678=LINE('',#465719,#106669); #69679=LINE('',#465722,#106670); #69680=LINE('',#465724,#106671); #69681=LINE('',#465725,#106672); #69682=LINE('',#465728,#106673); #69683=LINE('',#465730,#106674); #69684=LINE('',#465731,#106675); #69685=LINE('',#465734,#106676); #69686=LINE('',#465736,#106677); #69687=LINE('',#465737,#106678); #69688=LINE('',#465740,#106679); #69689=LINE('',#465742,#106680); #69690=LINE('',#465743,#106681); #69691=LINE('',#465746,#106682); #69692=LINE('',#465748,#106683); #69693=LINE('',#465749,#106684); #69694=LINE('',#465752,#106685); #69695=LINE('',#465754,#106686); #69696=LINE('',#465755,#106687); #69697=LINE('',#465758,#106688); #69698=LINE('',#465760,#106689); #69699=LINE('',#465761,#106690); #69700=LINE('',#465764,#106691); #69701=LINE('',#465766,#106692); #69702=LINE('',#465767,#106693); #69703=LINE('',#465770,#106694); #69704=LINE('',#465772,#106695); #69705=LINE('',#465773,#106696); #69706=LINE('',#465775,#106697); #69707=LINE('',#465776,#106698); #69708=LINE('',#465782,#106699); #69709=LINE('',#465784,#106700); #69710=LINE('',#465786,#106701); #69711=LINE('',#465787,#106702); #69712=LINE('',#465790,#106703); #69713=LINE('',#465792,#106704); #69714=LINE('',#465793,#106705); #69715=LINE('',#465796,#106706); #69716=LINE('',#465798,#106707); #69717=LINE('',#465799,#106708); #69718=LINE('',#465802,#106709); #69719=LINE('',#465804,#106710); #69720=LINE('',#465805,#106711); #69721=LINE('',#465808,#106712); #69722=LINE('',#465810,#106713); #69723=LINE('',#465811,#106714); #69724=LINE('',#465814,#106715); #69725=LINE('',#465816,#106716); #69726=LINE('',#465817,#106717); #69727=LINE('',#465820,#106718); #69728=LINE('',#465822,#106719); #69729=LINE('',#465823,#106720); #69730=LINE('',#465826,#106721); #69731=LINE('',#465828,#106722); #69732=LINE('',#465829,#106723); #69733=LINE('',#465832,#106724); #69734=LINE('',#465834,#106725); #69735=LINE('',#465835,#106726); #69736=LINE('',#465838,#106727); #69737=LINE('',#465840,#106728); #69738=LINE('',#465841,#106729); #69739=LINE('',#465844,#106730); #69740=LINE('',#465846,#106731); #69741=LINE('',#465847,#106732); #69742=LINE('',#465850,#106733); #69743=LINE('',#465852,#106734); #69744=LINE('',#465853,#106735); #69745=LINE('',#465856,#106736); #69746=LINE('',#465858,#106737); #69747=LINE('',#465859,#106738); #69748=LINE('',#465862,#106739); #69749=LINE('',#465864,#106740); #69750=LINE('',#465865,#106741); #69751=LINE('',#465868,#106742); #69752=LINE('',#465870,#106743); #69753=LINE('',#465871,#106744); #69754=LINE('',#465874,#106745); #69755=LINE('',#465876,#106746); #69756=LINE('',#465877,#106747); #69757=LINE('',#465880,#106748); #69758=LINE('',#465882,#106749); #69759=LINE('',#465883,#106750); #69760=LINE('',#465886,#106751); #69761=LINE('',#465888,#106752); #69762=LINE('',#465889,#106753); #69763=LINE('',#465891,#106754); #69764=LINE('',#465892,#106755); #69765=LINE('',#465898,#106756); #69766=LINE('',#465900,#106757); #69767=LINE('',#465902,#106758); #69768=LINE('',#465903,#106759); #69769=LINE('',#465906,#106760); #69770=LINE('',#465908,#106761); #69771=LINE('',#465909,#106762); #69772=LINE('',#465912,#106763); #69773=LINE('',#465914,#106764); #69774=LINE('',#465915,#106765); #69775=LINE('',#465918,#106766); #69776=LINE('',#465920,#106767); #69777=LINE('',#465921,#106768); #69778=LINE('',#465924,#106769); #69779=LINE('',#465926,#106770); #69780=LINE('',#465927,#106771); #69781=LINE('',#465930,#106772); #69782=LINE('',#465932,#106773); #69783=LINE('',#465933,#106774); #69784=LINE('',#465936,#106775); #69785=LINE('',#465938,#106776); #69786=LINE('',#465939,#106777); #69787=LINE('',#465942,#106778); #69788=LINE('',#465944,#106779); #69789=LINE('',#465945,#106780); #69790=LINE('',#465948,#106781); #69791=LINE('',#465950,#106782); #69792=LINE('',#465951,#106783); #69793=LINE('',#465954,#106784); #69794=LINE('',#465956,#106785); #69795=LINE('',#465957,#106786); #69796=LINE('',#465960,#106787); #69797=LINE('',#465962,#106788); #69798=LINE('',#465963,#106789); #69799=LINE('',#465966,#106790); #69800=LINE('',#465968,#106791); #69801=LINE('',#465969,#106792); #69802=LINE('',#465972,#106793); #69803=LINE('',#465974,#106794); #69804=LINE('',#465975,#106795); #69805=LINE('',#465978,#106796); #69806=LINE('',#465980,#106797); #69807=LINE('',#465981,#106798); #69808=LINE('',#465984,#106799); #69809=LINE('',#465986,#106800); #69810=LINE('',#465987,#106801); #69811=LINE('',#465990,#106802); #69812=LINE('',#465992,#106803); #69813=LINE('',#465993,#106804); #69814=LINE('',#465996,#106805); #69815=LINE('',#465998,#106806); #69816=LINE('',#465999,#106807); #69817=LINE('',#466002,#106808); #69818=LINE('',#466004,#106809); #69819=LINE('',#466005,#106810); #69820=LINE('',#466007,#106811); #69821=LINE('',#466008,#106812); #69822=LINE('',#466014,#106813); #69823=LINE('',#466016,#106814); #69824=LINE('',#466018,#106815); #69825=LINE('',#466019,#106816); #69826=LINE('',#466022,#106817); #69827=LINE('',#466024,#106818); #69828=LINE('',#466025,#106819); #69829=LINE('',#466028,#106820); #69830=LINE('',#466030,#106821); #69831=LINE('',#466031,#106822); #69832=LINE('',#466034,#106823); #69833=LINE('',#466036,#106824); #69834=LINE('',#466037,#106825); #69835=LINE('',#466040,#106826); #69836=LINE('',#466042,#106827); #69837=LINE('',#466043,#106828); #69838=LINE('',#466046,#106829); #69839=LINE('',#466048,#106830); #69840=LINE('',#466049,#106831); #69841=LINE('',#466052,#106832); #69842=LINE('',#466054,#106833); #69843=LINE('',#466055,#106834); #69844=LINE('',#466058,#106835); #69845=LINE('',#466060,#106836); #69846=LINE('',#466061,#106837); #69847=LINE('',#466064,#106838); #69848=LINE('',#466066,#106839); #69849=LINE('',#466067,#106840); #69850=LINE('',#466070,#106841); #69851=LINE('',#466072,#106842); #69852=LINE('',#466073,#106843); #69853=LINE('',#466076,#106844); #69854=LINE('',#466078,#106845); #69855=LINE('',#466079,#106846); #69856=LINE('',#466082,#106847); #69857=LINE('',#466084,#106848); #69858=LINE('',#466085,#106849); #69859=LINE('',#466088,#106850); #69860=LINE('',#466090,#106851); #69861=LINE('',#466091,#106852); #69862=LINE('',#466094,#106853); #69863=LINE('',#466096,#106854); #69864=LINE('',#466097,#106855); #69865=LINE('',#466100,#106856); #69866=LINE('',#466102,#106857); #69867=LINE('',#466103,#106858); #69868=LINE('',#466106,#106859); #69869=LINE('',#466108,#106860); #69870=LINE('',#466109,#106861); #69871=LINE('',#466112,#106862); #69872=LINE('',#466114,#106863); #69873=LINE('',#466115,#106864); #69874=LINE('',#466118,#106865); #69875=LINE('',#466120,#106866); #69876=LINE('',#466121,#106867); #69877=LINE('',#466124,#106868); #69878=LINE('',#466126,#106869); #69879=LINE('',#466127,#106870); #69880=LINE('',#466130,#106871); #69881=LINE('',#466132,#106872); #69882=LINE('',#466133,#106873); #69883=LINE('',#466136,#106874); #69884=LINE('',#466138,#106875); #69885=LINE('',#466139,#106876); #69886=LINE('',#466142,#106877); #69887=LINE('',#466144,#106878); #69888=LINE('',#466145,#106879); #69889=LINE('',#466148,#106880); #69890=LINE('',#466150,#106881); #69891=LINE('',#466151,#106882); #69892=LINE('',#466154,#106883); #69893=LINE('',#466156,#106884); #69894=LINE('',#466157,#106885); #69895=LINE('',#466160,#106886); #69896=LINE('',#466162,#106887); #69897=LINE('',#466163,#106888); #69898=LINE('',#466166,#106889); #69899=LINE('',#466168,#106890); #69900=LINE('',#466169,#106891); #69901=LINE('',#466172,#106892); #69902=LINE('',#466174,#106893); #69903=LINE('',#466175,#106894); #69904=LINE('',#466178,#106895); #69905=LINE('',#466180,#106896); #69906=LINE('',#466181,#106897); #69907=LINE('',#466184,#106898); #69908=LINE('',#466186,#106899); #69909=LINE('',#466187,#106900); #69910=LINE('',#466190,#106901); #69911=LINE('',#466192,#106902); #69912=LINE('',#466193,#106903); #69913=LINE('',#466196,#106904); #69914=LINE('',#466198,#106905); #69915=LINE('',#466199,#106906); #69916=LINE('',#466202,#106907); #69917=LINE('',#466204,#106908); #69918=LINE('',#466205,#106909); #69919=LINE('',#466208,#106910); #69920=LINE('',#466210,#106911); #69921=LINE('',#466211,#106912); #69922=LINE('',#466214,#106913); #69923=LINE('',#466216,#106914); #69924=LINE('',#466217,#106915); #69925=LINE('',#466220,#106916); #69926=LINE('',#466222,#106917); #69927=LINE('',#466223,#106918); #69928=LINE('',#466226,#106919); #69929=LINE('',#466228,#106920); #69930=LINE('',#466229,#106921); #69931=LINE('',#466231,#106922); #69932=LINE('',#466232,#106923); #69933=LINE('',#466238,#106924); #69934=LINE('',#466240,#106925); #69935=LINE('',#466242,#106926); #69936=LINE('',#466243,#106927); #69937=LINE('',#466246,#106928); #69938=LINE('',#466248,#106929); #69939=LINE('',#466249,#106930); #69940=LINE('',#466252,#106931); #69941=LINE('',#466254,#106932); #69942=LINE('',#466255,#106933); #69943=LINE('',#466258,#106934); #69944=LINE('',#466260,#106935); #69945=LINE('',#466261,#106936); #69946=LINE('',#466264,#106937); #69947=LINE('',#466266,#106938); #69948=LINE('',#466267,#106939); #69949=LINE('',#466270,#106940); #69950=LINE('',#466272,#106941); #69951=LINE('',#466273,#106942); #69952=LINE('',#466276,#106943); #69953=LINE('',#466278,#106944); #69954=LINE('',#466279,#106945); #69955=LINE('',#466282,#106946); #69956=LINE('',#466284,#106947); #69957=LINE('',#466285,#106948); #69958=LINE('',#466288,#106949); #69959=LINE('',#466290,#106950); #69960=LINE('',#466291,#106951); #69961=LINE('',#466294,#106952); #69962=LINE('',#466296,#106953); #69963=LINE('',#466297,#106954); #69964=LINE('',#466300,#106955); #69965=LINE('',#466302,#106956); #69966=LINE('',#466303,#106957); #69967=LINE('',#466306,#106958); #69968=LINE('',#466308,#106959); #69969=LINE('',#466309,#106960); #69970=LINE('',#466312,#106961); #69971=LINE('',#466314,#106962); #69972=LINE('',#466315,#106963); #69973=LINE('',#466318,#106964); #69974=LINE('',#466320,#106965); #69975=LINE('',#466321,#106966); #69976=LINE('',#466324,#106967); #69977=LINE('',#466326,#106968); #69978=LINE('',#466327,#106969); #69979=LINE('',#466330,#106970); #69980=LINE('',#466332,#106971); #69981=LINE('',#466333,#106972); #69982=LINE('',#466336,#106973); #69983=LINE('',#466338,#106974); #69984=LINE('',#466339,#106975); #69985=LINE('',#466342,#106976); #69986=LINE('',#466344,#106977); #69987=LINE('',#466345,#106978); #69988=LINE('',#466348,#106979); #69989=LINE('',#466350,#106980); #69990=LINE('',#466351,#106981); #69991=LINE('',#466353,#106982); #69992=LINE('',#466354,#106983); #69993=LINE('',#466360,#106984); #69994=LINE('',#466362,#106985); #69995=LINE('',#466364,#106986); #69996=LINE('',#466365,#106987); #69997=LINE('',#466368,#106988); #69998=LINE('',#466370,#106989); #69999=LINE('',#466371,#106990); #70000=LINE('',#466374,#106991); #70001=LINE('',#466376,#106992); #70002=LINE('',#466377,#106993); #70003=LINE('',#466380,#106994); #70004=LINE('',#466382,#106995); #70005=LINE('',#466383,#106996); #70006=LINE('',#466386,#106997); #70007=LINE('',#466388,#106998); #70008=LINE('',#466389,#106999); #70009=LINE('',#466392,#107000); #70010=LINE('',#466394,#107001); #70011=LINE('',#466395,#107002); #70012=LINE('',#466398,#107003); #70013=LINE('',#466400,#107004); #70014=LINE('',#466401,#107005); #70015=LINE('',#466404,#107006); #70016=LINE('',#466406,#107007); #70017=LINE('',#466407,#107008); #70018=LINE('',#466410,#107009); #70019=LINE('',#466412,#107010); #70020=LINE('',#466413,#107011); #70021=LINE('',#466416,#107012); #70022=LINE('',#466418,#107013); #70023=LINE('',#466419,#107014); #70024=LINE('',#466422,#107015); #70025=LINE('',#466424,#107016); #70026=LINE('',#466425,#107017); #70027=LINE('',#466428,#107018); #70028=LINE('',#466430,#107019); #70029=LINE('',#466431,#107020); #70030=LINE('',#466434,#107021); #70031=LINE('',#466436,#107022); #70032=LINE('',#466437,#107023); #70033=LINE('',#466440,#107024); #70034=LINE('',#466442,#107025); #70035=LINE('',#466443,#107026); #70036=LINE('',#466446,#107027); #70037=LINE('',#466448,#107028); #70038=LINE('',#466449,#107029); #70039=LINE('',#466452,#107030); #70040=LINE('',#466454,#107031); #70041=LINE('',#466455,#107032); #70042=LINE('',#466458,#107033); #70043=LINE('',#466460,#107034); #70044=LINE('',#466461,#107035); #70045=LINE('',#466464,#107036); #70046=LINE('',#466466,#107037); #70047=LINE('',#466467,#107038); #70048=LINE('',#466470,#107039); #70049=LINE('',#466472,#107040); #70050=LINE('',#466473,#107041); #70051=LINE('',#466476,#107042); #70052=LINE('',#466478,#107043); #70053=LINE('',#466479,#107044); #70054=LINE('',#466482,#107045); #70055=LINE('',#466484,#107046); #70056=LINE('',#466485,#107047); #70057=LINE('',#466488,#107048); #70058=LINE('',#466490,#107049); #70059=LINE('',#466491,#107050); #70060=LINE('',#466494,#107051); #70061=LINE('',#466496,#107052); #70062=LINE('',#466497,#107053); #70063=LINE('',#466500,#107054); #70064=LINE('',#466502,#107055); #70065=LINE('',#466503,#107056); #70066=LINE('',#466506,#107057); #70067=LINE('',#466508,#107058); #70068=LINE('',#466509,#107059); #70069=LINE('',#466512,#107060); #70070=LINE('',#466514,#107061); #70071=LINE('',#466515,#107062); #70072=LINE('',#466518,#107063); #70073=LINE('',#466520,#107064); #70074=LINE('',#466521,#107065); #70075=LINE('',#466524,#107066); #70076=LINE('',#466526,#107067); #70077=LINE('',#466527,#107068); #70078=LINE('',#466530,#107069); #70079=LINE('',#466532,#107070); #70080=LINE('',#466533,#107071); #70081=LINE('',#466536,#107072); #70082=LINE('',#466538,#107073); #70083=LINE('',#466539,#107074); #70084=LINE('',#466542,#107075); #70085=LINE('',#466544,#107076); #70086=LINE('',#466545,#107077); #70087=LINE('',#466548,#107078); #70088=LINE('',#466550,#107079); #70089=LINE('',#466551,#107080); #70090=LINE('',#466554,#107081); #70091=LINE('',#466556,#107082); #70092=LINE('',#466557,#107083); #70093=LINE('',#466560,#107084); #70094=LINE('',#466562,#107085); #70095=LINE('',#466563,#107086); #70096=LINE('',#466566,#107087); #70097=LINE('',#466568,#107088); #70098=LINE('',#466569,#107089); #70099=LINE('',#466572,#107090); #70100=LINE('',#466574,#107091); #70101=LINE('',#466575,#107092); #70102=LINE('',#466578,#107093); #70103=LINE('',#466580,#107094); #70104=LINE('',#466581,#107095); #70105=LINE('',#466584,#107096); #70106=LINE('',#466586,#107097); #70107=LINE('',#466587,#107098); #70108=LINE('',#466590,#107099); #70109=LINE('',#466592,#107100); #70110=LINE('',#466593,#107101); #70111=LINE('',#466596,#107102); #70112=LINE('',#466598,#107103); #70113=LINE('',#466599,#107104); #70114=LINE('',#466602,#107105); #70115=LINE('',#466604,#107106); #70116=LINE('',#466605,#107107); #70117=LINE('',#466608,#107108); #70118=LINE('',#466610,#107109); #70119=LINE('',#466611,#107110); #70120=LINE('',#466614,#107111); #70121=LINE('',#466616,#107112); #70122=LINE('',#466617,#107113); #70123=LINE('',#466620,#107114); #70124=LINE('',#466622,#107115); #70125=LINE('',#466623,#107116); #70126=LINE('',#466626,#107117); #70127=LINE('',#466628,#107118); #70128=LINE('',#466629,#107119); #70129=LINE('',#466632,#107120); #70130=LINE('',#466634,#107121); #70131=LINE('',#466635,#107122); #70132=LINE('',#466638,#107123); #70133=LINE('',#466640,#107124); #70134=LINE('',#466641,#107125); #70135=LINE('',#466643,#107126); #70136=LINE('',#466644,#107127); #70137=LINE('',#466650,#107128); #70138=LINE('',#466652,#107129); #70139=LINE('',#466654,#107130); #70140=LINE('',#466655,#107131); #70141=LINE('',#466658,#107132); #70142=LINE('',#466660,#107133); #70143=LINE('',#466661,#107134); #70144=LINE('',#466664,#107135); #70145=LINE('',#466666,#107136); #70146=LINE('',#466667,#107137); #70147=LINE('',#466670,#107138); #70148=LINE('',#466672,#107139); #70149=LINE('',#466673,#107140); #70150=LINE('',#466676,#107141); #70151=LINE('',#466678,#107142); #70152=LINE('',#466679,#107143); #70153=LINE('',#466682,#107144); #70154=LINE('',#466684,#107145); #70155=LINE('',#466685,#107146); #70156=LINE('',#466688,#107147); #70157=LINE('',#466690,#107148); #70158=LINE('',#466691,#107149); #70159=LINE('',#466694,#107150); #70160=LINE('',#466696,#107151); #70161=LINE('',#466697,#107152); #70162=LINE('',#466700,#107153); #70163=LINE('',#466702,#107154); #70164=LINE('',#466703,#107155); #70165=LINE('',#466706,#107156); #70166=LINE('',#466708,#107157); #70167=LINE('',#466709,#107158); #70168=LINE('',#466712,#107159); #70169=LINE('',#466714,#107160); #70170=LINE('',#466715,#107161); #70171=LINE('',#466718,#107162); #70172=LINE('',#466720,#107163); #70173=LINE('',#466721,#107164); #70174=LINE('',#466724,#107165); #70175=LINE('',#466726,#107166); #70176=LINE('',#466727,#107167); #70177=LINE('',#466730,#107168); #70178=LINE('',#466732,#107169); #70179=LINE('',#466733,#107170); #70180=LINE('',#466736,#107171); #70181=LINE('',#466738,#107172); #70182=LINE('',#466739,#107173); #70183=LINE('',#466742,#107174); #70184=LINE('',#466744,#107175); #70185=LINE('',#466745,#107176); #70186=LINE('',#466748,#107177); #70187=LINE('',#466750,#107178); #70188=LINE('',#466751,#107179); #70189=LINE('',#466754,#107180); #70190=LINE('',#466756,#107181); #70191=LINE('',#466757,#107182); #70192=LINE('',#466760,#107183); #70193=LINE('',#466762,#107184); #70194=LINE('',#466763,#107185); #70195=LINE('',#466765,#107186); #70196=LINE('',#466766,#107187); #70197=LINE('',#466772,#107188); #70198=LINE('',#466774,#107189); #70199=LINE('',#466776,#107190); #70200=LINE('',#466777,#107191); #70201=LINE('',#466780,#107192); #70202=LINE('',#466782,#107193); #70203=LINE('',#466783,#107194); #70204=LINE('',#466786,#107195); #70205=LINE('',#466788,#107196); #70206=LINE('',#466789,#107197); #70207=LINE('',#466792,#107198); #70208=LINE('',#466794,#107199); #70209=LINE('',#466795,#107200); #70210=LINE('',#466798,#107201); #70211=LINE('',#466800,#107202); #70212=LINE('',#466801,#107203); #70213=LINE('',#466804,#107204); #70214=LINE('',#466806,#107205); #70215=LINE('',#466807,#107206); #70216=LINE('',#466810,#107207); #70217=LINE('',#466812,#107208); #70218=LINE('',#466813,#107209); #70219=LINE('',#466816,#107210); #70220=LINE('',#466818,#107211); #70221=LINE('',#466819,#107212); #70222=LINE('',#466822,#107213); #70223=LINE('',#466824,#107214); #70224=LINE('',#466825,#107215); #70225=LINE('',#466828,#107216); #70226=LINE('',#466830,#107217); #70227=LINE('',#466831,#107218); #70228=LINE('',#466834,#107219); #70229=LINE('',#466836,#107220); #70230=LINE('',#466837,#107221); #70231=LINE('',#466840,#107222); #70232=LINE('',#466842,#107223); #70233=LINE('',#466843,#107224); #70234=LINE('',#466846,#107225); #70235=LINE('',#466848,#107226); #70236=LINE('',#466849,#107227); #70237=LINE('',#466852,#107228); #70238=LINE('',#466854,#107229); #70239=LINE('',#466855,#107230); #70240=LINE('',#466858,#107231); #70241=LINE('',#466860,#107232); #70242=LINE('',#466861,#107233); #70243=LINE('',#466864,#107234); #70244=LINE('',#466866,#107235); #70245=LINE('',#466867,#107236); #70246=LINE('',#466870,#107237); #70247=LINE('',#466872,#107238); #70248=LINE('',#466873,#107239); #70249=LINE('',#466876,#107240); #70250=LINE('',#466878,#107241); #70251=LINE('',#466879,#107242); #70252=LINE('',#466882,#107243); #70253=LINE('',#466884,#107244); #70254=LINE('',#466885,#107245); #70255=LINE('',#466888,#107246); #70256=LINE('',#466890,#107247); #70257=LINE('',#466891,#107248); #70258=LINE('',#466894,#107249); #70259=LINE('',#466896,#107250); #70260=LINE('',#466897,#107251); #70261=LINE('',#466900,#107252); #70262=LINE('',#466902,#107253); #70263=LINE('',#466903,#107254); #70264=LINE('',#466906,#107255); #70265=LINE('',#466908,#107256); #70266=LINE('',#466909,#107257); #70267=LINE('',#466912,#107258); #70268=LINE('',#466914,#107259); #70269=LINE('',#466915,#107260); #70270=LINE('',#466918,#107261); #70271=LINE('',#466920,#107262); #70272=LINE('',#466921,#107263); #70273=LINE('',#466924,#107264); #70274=LINE('',#466926,#107265); #70275=LINE('',#466927,#107266); #70276=LINE('',#466930,#107267); #70277=LINE('',#466932,#107268); #70278=LINE('',#466933,#107269); #70279=LINE('',#466936,#107270); #70280=LINE('',#466938,#107271); #70281=LINE('',#466939,#107272); #70282=LINE('',#466942,#107273); #70283=LINE('',#466944,#107274); #70284=LINE('',#466945,#107275); #70285=LINE('',#466948,#107276); #70286=LINE('',#466950,#107277); #70287=LINE('',#466951,#107278); #70288=LINE('',#466953,#107279); #70289=LINE('',#466954,#107280); #70290=LINE('',#466960,#107281); #70291=LINE('',#466962,#107282); #70292=LINE('',#466964,#107283); #70293=LINE('',#466965,#107284); #70294=LINE('',#466968,#107285); #70295=LINE('',#466970,#107286); #70296=LINE('',#466971,#107287); #70297=LINE('',#466974,#107288); #70298=LINE('',#466976,#107289); #70299=LINE('',#466977,#107290); #70300=LINE('',#466980,#107291); #70301=LINE('',#466982,#107292); #70302=LINE('',#466983,#107293); #70303=LINE('',#466986,#107294); #70304=LINE('',#466988,#107295); #70305=LINE('',#466989,#107296); #70306=LINE('',#466992,#107297); #70307=LINE('',#466994,#107298); #70308=LINE('',#466995,#107299); #70309=LINE('',#466998,#107300); #70310=LINE('',#467000,#107301); #70311=LINE('',#467001,#107302); #70312=LINE('',#467004,#107303); #70313=LINE('',#467006,#107304); #70314=LINE('',#467007,#107305); #70315=LINE('',#467010,#107306); #70316=LINE('',#467012,#107307); #70317=LINE('',#467013,#107308); #70318=LINE('',#467016,#107309); #70319=LINE('',#467018,#107310); #70320=LINE('',#467019,#107311); #70321=LINE('',#467022,#107312); #70322=LINE('',#467024,#107313); #70323=LINE('',#467025,#107314); #70324=LINE('',#467028,#107315); #70325=LINE('',#467030,#107316); #70326=LINE('',#467031,#107317); #70327=LINE('',#467034,#107318); #70328=LINE('',#467036,#107319); #70329=LINE('',#467037,#107320); #70330=LINE('',#467040,#107321); #70331=LINE('',#467042,#107322); #70332=LINE('',#467043,#107323); #70333=LINE('',#467046,#107324); #70334=LINE('',#467048,#107325); #70335=LINE('',#467049,#107326); #70336=LINE('',#467052,#107327); #70337=LINE('',#467054,#107328); #70338=LINE('',#467055,#107329); #70339=LINE('',#467058,#107330); #70340=LINE('',#467060,#107331); #70341=LINE('',#467061,#107332); #70342=LINE('',#467064,#107333); #70343=LINE('',#467066,#107334); #70344=LINE('',#467067,#107335); #70345=LINE('',#467070,#107336); #70346=LINE('',#467072,#107337); #70347=LINE('',#467073,#107338); #70348=LINE('',#467075,#107339); #70349=LINE('',#467076,#107340); #70350=LINE('',#467082,#107341); #70351=LINE('',#467084,#107342); #70352=LINE('',#467086,#107343); #70353=LINE('',#467087,#107344); #70354=LINE('',#467090,#107345); #70355=LINE('',#467092,#107346); #70356=LINE('',#467093,#107347); #70357=LINE('',#467096,#107348); #70358=LINE('',#467098,#107349); #70359=LINE('',#467099,#107350); #70360=LINE('',#467102,#107351); #70361=LINE('',#467104,#107352); #70362=LINE('',#467105,#107353); #70363=LINE('',#467108,#107354); #70364=LINE('',#467110,#107355); #70365=LINE('',#467111,#107356); #70366=LINE('',#467114,#107357); #70367=LINE('',#467116,#107358); #70368=LINE('',#467117,#107359); #70369=LINE('',#467120,#107360); #70370=LINE('',#467122,#107361); #70371=LINE('',#467123,#107362); #70372=LINE('',#467126,#107363); #70373=LINE('',#467128,#107364); #70374=LINE('',#467129,#107365); #70375=LINE('',#467132,#107366); #70376=LINE('',#467134,#107367); #70377=LINE('',#467135,#107368); #70378=LINE('',#467138,#107369); #70379=LINE('',#467140,#107370); #70380=LINE('',#467141,#107371); #70381=LINE('',#467144,#107372); #70382=LINE('',#467146,#107373); #70383=LINE('',#467147,#107374); #70384=LINE('',#467150,#107375); #70385=LINE('',#467152,#107376); #70386=LINE('',#467153,#107377); #70387=LINE('',#467156,#107378); #70388=LINE('',#467158,#107379); #70389=LINE('',#467159,#107380); #70390=LINE('',#467162,#107381); #70391=LINE('',#467164,#107382); #70392=LINE('',#467165,#107383); #70393=LINE('',#467168,#107384); #70394=LINE('',#467170,#107385); #70395=LINE('',#467171,#107386); #70396=LINE('',#467174,#107387); #70397=LINE('',#467176,#107388); #70398=LINE('',#467177,#107389); #70399=LINE('',#467180,#107390); #70400=LINE('',#467182,#107391); #70401=LINE('',#467183,#107392); #70402=LINE('',#467186,#107393); #70403=LINE('',#467188,#107394); #70404=LINE('',#467189,#107395); #70405=LINE('',#467192,#107396); #70406=LINE('',#467194,#107397); #70407=LINE('',#467195,#107398); #70408=LINE('',#467198,#107399); #70409=LINE('',#467200,#107400); #70410=LINE('',#467201,#107401); #70411=LINE('',#467204,#107402); #70412=LINE('',#467206,#107403); #70413=LINE('',#467207,#107404); #70414=LINE('',#467210,#107405); #70415=LINE('',#467212,#107406); #70416=LINE('',#467213,#107407); #70417=LINE('',#467216,#107408); #70418=LINE('',#467218,#107409); #70419=LINE('',#467219,#107410); #70420=LINE('',#467222,#107411); #70421=LINE('',#467224,#107412); #70422=LINE('',#467225,#107413); #70423=LINE('',#467228,#107414); #70424=LINE('',#467230,#107415); #70425=LINE('',#467231,#107416); #70426=LINE('',#467234,#107417); #70427=LINE('',#467236,#107418); #70428=LINE('',#467237,#107419); #70429=LINE('',#467240,#107420); #70430=LINE('',#467242,#107421); #70431=LINE('',#467243,#107422); #70432=LINE('',#467246,#107423); #70433=LINE('',#467248,#107424); #70434=LINE('',#467249,#107425); #70435=LINE('',#467252,#107426); #70436=LINE('',#467254,#107427); #70437=LINE('',#467255,#107428); #70438=LINE('',#467258,#107429); #70439=LINE('',#467260,#107430); #70440=LINE('',#467261,#107431); #70441=LINE('',#467263,#107432); #70442=LINE('',#467264,#107433); #70443=LINE('',#467270,#107434); #70444=LINE('',#467272,#107435); #70445=LINE('',#467274,#107436); #70446=LINE('',#467275,#107437); #70447=LINE('',#467278,#107438); #70448=LINE('',#467280,#107439); #70449=LINE('',#467281,#107440); #70450=LINE('',#467284,#107441); #70451=LINE('',#467286,#107442); #70452=LINE('',#467287,#107443); #70453=LINE('',#467290,#107444); #70454=LINE('',#467292,#107445); #70455=LINE('',#467293,#107446); #70456=LINE('',#467296,#107447); #70457=LINE('',#467298,#107448); #70458=LINE('',#467299,#107449); #70459=LINE('',#467302,#107450); #70460=LINE('',#467304,#107451); #70461=LINE('',#467305,#107452); #70462=LINE('',#467308,#107453); #70463=LINE('',#467310,#107454); #70464=LINE('',#467311,#107455); #70465=LINE('',#467314,#107456); #70466=LINE('',#467316,#107457); #70467=LINE('',#467317,#107458); #70468=LINE('',#467320,#107459); #70469=LINE('',#467322,#107460); #70470=LINE('',#467323,#107461); #70471=LINE('',#467326,#107462); #70472=LINE('',#467328,#107463); #70473=LINE('',#467329,#107464); #70474=LINE('',#467332,#107465); #70475=LINE('',#467334,#107466); #70476=LINE('',#467335,#107467); #70477=LINE('',#467338,#107468); #70478=LINE('',#467340,#107469); #70479=LINE('',#467341,#107470); #70480=LINE('',#467344,#107471); #70481=LINE('',#467346,#107472); #70482=LINE('',#467347,#107473); #70483=LINE('',#467350,#107474); #70484=LINE('',#467352,#107475); #70485=LINE('',#467353,#107476); #70486=LINE('',#467356,#107477); #70487=LINE('',#467358,#107478); #70488=LINE('',#467359,#107479); #70489=LINE('',#467362,#107480); #70490=LINE('',#467364,#107481); #70491=LINE('',#467365,#107482); #70492=LINE('',#467368,#107483); #70493=LINE('',#467370,#107484); #70494=LINE('',#467371,#107485); #70495=LINE('',#467374,#107486); #70496=LINE('',#467376,#107487); #70497=LINE('',#467377,#107488); #70498=LINE('',#467380,#107489); #70499=LINE('',#467382,#107490); #70500=LINE('',#467383,#107491); #70501=LINE('',#467386,#107492); #70502=LINE('',#467388,#107493); #70503=LINE('',#467389,#107494); #70504=LINE('',#467391,#107495); #70505=LINE('',#467392,#107496); #70506=LINE('',#467398,#107497); #70507=LINE('',#467400,#107498); #70508=LINE('',#467402,#107499); #70509=LINE('',#467403,#107500); #70510=LINE('',#467406,#107501); #70511=LINE('',#467408,#107502); #70512=LINE('',#467409,#107503); #70513=LINE('',#467412,#107504); #70514=LINE('',#467414,#107505); #70515=LINE('',#467415,#107506); #70516=LINE('',#467418,#107507); #70517=LINE('',#467420,#107508); #70518=LINE('',#467421,#107509); #70519=LINE('',#467424,#107510); #70520=LINE('',#467426,#107511); #70521=LINE('',#467427,#107512); #70522=LINE('',#467430,#107513); #70523=LINE('',#467432,#107514); #70524=LINE('',#467433,#107515); #70525=LINE('',#467436,#107516); #70526=LINE('',#467438,#107517); #70527=LINE('',#467439,#107518); #70528=LINE('',#467442,#107519); #70529=LINE('',#467444,#107520); #70530=LINE('',#467445,#107521); #70531=LINE('',#467448,#107522); #70532=LINE('',#467450,#107523); #70533=LINE('',#467451,#107524); #70534=LINE('',#467454,#107525); #70535=LINE('',#467456,#107526); #70536=LINE('',#467457,#107527); #70537=LINE('',#467460,#107528); #70538=LINE('',#467462,#107529); #70539=LINE('',#467463,#107530); #70540=LINE('',#467466,#107531); #70541=LINE('',#467468,#107532); #70542=LINE('',#467469,#107533); #70543=LINE('',#467472,#107534); #70544=LINE('',#467474,#107535); #70545=LINE('',#467475,#107536); #70546=LINE('',#467478,#107537); #70547=LINE('',#467480,#107538); #70548=LINE('',#467481,#107539); #70549=LINE('',#467484,#107540); #70550=LINE('',#467486,#107541); #70551=LINE('',#467487,#107542); #70552=LINE('',#467490,#107543); #70553=LINE('',#467492,#107544); #70554=LINE('',#467493,#107545); #70555=LINE('',#467496,#107546); #70556=LINE('',#467498,#107547); #70557=LINE('',#467499,#107548); #70558=LINE('',#467502,#107549); #70559=LINE('',#467504,#107550); #70560=LINE('',#467505,#107551); #70561=LINE('',#467508,#107552); #70562=LINE('',#467510,#107553); #70563=LINE('',#467511,#107554); #70564=LINE('',#467514,#107555); #70565=LINE('',#467516,#107556); #70566=LINE('',#467517,#107557); #70567=LINE('',#467520,#107558); #70568=LINE('',#467522,#107559); #70569=LINE('',#467523,#107560); #70570=LINE('',#467526,#107561); #70571=LINE('',#467528,#107562); #70572=LINE('',#467529,#107563); #70573=LINE('',#467532,#107564); #70574=LINE('',#467534,#107565); #70575=LINE('',#467535,#107566); #70576=LINE('',#467538,#107567); #70577=LINE('',#467540,#107568); #70578=LINE('',#467541,#107569); #70579=LINE('',#467544,#107570); #70580=LINE('',#467546,#107571); #70581=LINE('',#467547,#107572); #70582=LINE('',#467550,#107573); #70583=LINE('',#467552,#107574); #70584=LINE('',#467553,#107575); #70585=LINE('',#467556,#107576); #70586=LINE('',#467558,#107577); #70587=LINE('',#467559,#107578); #70588=LINE('',#467562,#107579); #70589=LINE('',#467564,#107580); #70590=LINE('',#467565,#107581); #70591=LINE('',#467568,#107582); #70592=LINE('',#467570,#107583); #70593=LINE('',#467571,#107584); #70594=LINE('',#467574,#107585); #70595=LINE('',#467576,#107586); #70596=LINE('',#467577,#107587); #70597=LINE('',#467580,#107588); #70598=LINE('',#467582,#107589); #70599=LINE('',#467583,#107590); #70600=LINE('',#467586,#107591); #70601=LINE('',#467588,#107592); #70602=LINE('',#467589,#107593); #70603=LINE('',#467592,#107594); #70604=LINE('',#467594,#107595); #70605=LINE('',#467595,#107596); #70606=LINE('',#467598,#107597); #70607=LINE('',#467600,#107598); #70608=LINE('',#467601,#107599); #70609=LINE('',#467604,#107600); #70610=LINE('',#467606,#107601); #70611=LINE('',#467607,#107602); #70612=LINE('',#467610,#107603); #70613=LINE('',#467612,#107604); #70614=LINE('',#467613,#107605); #70615=LINE('',#467616,#107606); #70616=LINE('',#467618,#107607); #70617=LINE('',#467619,#107608); #70618=LINE('',#467622,#107609); #70619=LINE('',#467624,#107610); #70620=LINE('',#467625,#107611); #70621=LINE('',#467628,#107612); #70622=LINE('',#467630,#107613); #70623=LINE('',#467631,#107614); #70624=LINE('',#467633,#107615); #70625=LINE('',#467634,#107616); #70626=LINE('',#467640,#107617); #70627=LINE('',#467642,#107618); #70628=LINE('',#467644,#107619); #70629=LINE('',#467645,#107620); #70630=LINE('',#467648,#107621); #70631=LINE('',#467650,#107622); #70632=LINE('',#467651,#107623); #70633=LINE('',#467654,#107624); #70634=LINE('',#467656,#107625); #70635=LINE('',#467657,#107626); #70636=LINE('',#467660,#107627); #70637=LINE('',#467662,#107628); #70638=LINE('',#467663,#107629); #70639=LINE('',#467666,#107630); #70640=LINE('',#467668,#107631); #70641=LINE('',#467669,#107632); #70642=LINE('',#467672,#107633); #70643=LINE('',#467674,#107634); #70644=LINE('',#467675,#107635); #70645=LINE('',#467678,#107636); #70646=LINE('',#467680,#107637); #70647=LINE('',#467681,#107638); #70648=LINE('',#467684,#107639); #70649=LINE('',#467686,#107640); #70650=LINE('',#467687,#107641); #70651=LINE('',#467690,#107642); #70652=LINE('',#467692,#107643); #70653=LINE('',#467693,#107644); #70654=LINE('',#467696,#107645); #70655=LINE('',#467698,#107646); #70656=LINE('',#467699,#107647); #70657=LINE('',#467702,#107648); #70658=LINE('',#467704,#107649); #70659=LINE('',#467705,#107650); #70660=LINE('',#467708,#107651); #70661=LINE('',#467710,#107652); #70662=LINE('',#467711,#107653); #70663=LINE('',#467714,#107654); #70664=LINE('',#467716,#107655); #70665=LINE('',#467717,#107656); #70666=LINE('',#467720,#107657); #70667=LINE('',#467722,#107658); #70668=LINE('',#467723,#107659); #70669=LINE('',#467726,#107660); #70670=LINE('',#467728,#107661); #70671=LINE('',#467729,#107662); #70672=LINE('',#467732,#107663); #70673=LINE('',#467734,#107664); #70674=LINE('',#467735,#107665); #70675=LINE('',#467738,#107666); #70676=LINE('',#467740,#107667); #70677=LINE('',#467741,#107668); #70678=LINE('',#467744,#107669); #70679=LINE('',#467746,#107670); #70680=LINE('',#467747,#107671); #70681=LINE('',#467750,#107672); #70682=LINE('',#467752,#107673); #70683=LINE('',#467753,#107674); #70684=LINE('',#467755,#107675); #70685=LINE('',#467756,#107676); #70686=LINE('',#467762,#107677); #70687=LINE('',#467764,#107678); #70688=LINE('',#467766,#107679); #70689=LINE('',#467767,#107680); #70690=LINE('',#467770,#107681); #70691=LINE('',#467772,#107682); #70692=LINE('',#467773,#107683); #70693=LINE('',#467776,#107684); #70694=LINE('',#467778,#107685); #70695=LINE('',#467779,#107686); #70696=LINE('',#467782,#107687); #70697=LINE('',#467784,#107688); #70698=LINE('',#467785,#107689); #70699=LINE('',#467788,#107690); #70700=LINE('',#467790,#107691); #70701=LINE('',#467791,#107692); #70702=LINE('',#467794,#107693); #70703=LINE('',#467796,#107694); #70704=LINE('',#467797,#107695); #70705=LINE('',#467800,#107696); #70706=LINE('',#467802,#107697); #70707=LINE('',#467803,#107698); #70708=LINE('',#467806,#107699); #70709=LINE('',#467808,#107700); #70710=LINE('',#467809,#107701); #70711=LINE('',#467812,#107702); #70712=LINE('',#467814,#107703); #70713=LINE('',#467815,#107704); #70714=LINE('',#467818,#107705); #70715=LINE('',#467820,#107706); #70716=LINE('',#467821,#107707); #70717=LINE('',#467824,#107708); #70718=LINE('',#467826,#107709); #70719=LINE('',#467827,#107710); #70720=LINE('',#467830,#107711); #70721=LINE('',#467832,#107712); #70722=LINE('',#467833,#107713); #70723=LINE('',#467836,#107714); #70724=LINE('',#467838,#107715); #70725=LINE('',#467839,#107716); #70726=LINE('',#467842,#107717); #70727=LINE('',#467844,#107718); #70728=LINE('',#467845,#107719); #70729=LINE('',#467848,#107720); #70730=LINE('',#467850,#107721); #70731=LINE('',#467851,#107722); #70732=LINE('',#467854,#107723); #70733=LINE('',#467856,#107724); #70734=LINE('',#467857,#107725); #70735=LINE('',#467860,#107726); #70736=LINE('',#467862,#107727); #70737=LINE('',#467863,#107728); #70738=LINE('',#467866,#107729); #70739=LINE('',#467868,#107730); #70740=LINE('',#467869,#107731); #70741=LINE('',#467872,#107732); #70742=LINE('',#467874,#107733); #70743=LINE('',#467875,#107734); #70744=LINE('',#467877,#107735); #70745=LINE('',#467878,#107736); #70746=LINE('',#467884,#107737); #70747=LINE('',#467886,#107738); #70748=LINE('',#467888,#107739); #70749=LINE('',#467889,#107740); #70750=LINE('',#467892,#107741); #70751=LINE('',#467894,#107742); #70752=LINE('',#467895,#107743); #70753=LINE('',#467898,#107744); #70754=LINE('',#467900,#107745); #70755=LINE('',#467901,#107746); #70756=LINE('',#467904,#107747); #70757=LINE('',#467906,#107748); #70758=LINE('',#467907,#107749); #70759=LINE('',#467910,#107750); #70760=LINE('',#467912,#107751); #70761=LINE('',#467913,#107752); #70762=LINE('',#467916,#107753); #70763=LINE('',#467918,#107754); #70764=LINE('',#467919,#107755); #70765=LINE('',#467922,#107756); #70766=LINE('',#467924,#107757); #70767=LINE('',#467925,#107758); #70768=LINE('',#467928,#107759); #70769=LINE('',#467930,#107760); #70770=LINE('',#467931,#107761); #70771=LINE('',#467934,#107762); #70772=LINE('',#467936,#107763); #70773=LINE('',#467937,#107764); #70774=LINE('',#467940,#107765); #70775=LINE('',#467942,#107766); #70776=LINE('',#467943,#107767); #70777=LINE('',#467946,#107768); #70778=LINE('',#467948,#107769); #70779=LINE('',#467949,#107770); #70780=LINE('',#467952,#107771); #70781=LINE('',#467954,#107772); #70782=LINE('',#467955,#107773); #70783=LINE('',#467958,#107774); #70784=LINE('',#467960,#107775); #70785=LINE('',#467961,#107776); #70786=LINE('',#467964,#107777); #70787=LINE('',#467966,#107778); #70788=LINE('',#467967,#107779); #70789=LINE('',#467970,#107780); #70790=LINE('',#467972,#107781); #70791=LINE('',#467973,#107782); #70792=LINE('',#467976,#107783); #70793=LINE('',#467978,#107784); #70794=LINE('',#467979,#107785); #70795=LINE('',#467982,#107786); #70796=LINE('',#467984,#107787); #70797=LINE('',#467985,#107788); #70798=LINE('',#467988,#107789); #70799=LINE('',#467990,#107790); #70800=LINE('',#467991,#107791); #70801=LINE('',#467994,#107792); #70802=LINE('',#467996,#107793); #70803=LINE('',#467997,#107794); #70804=LINE('',#467999,#107795); #70805=LINE('',#468000,#107796); #70806=LINE('',#468006,#107797); #70807=LINE('',#468008,#107798); #70808=LINE('',#468010,#107799); #70809=LINE('',#468011,#107800); #70810=LINE('',#468014,#107801); #70811=LINE('',#468016,#107802); #70812=LINE('',#468017,#107803); #70813=LINE('',#468020,#107804); #70814=LINE('',#468022,#107805); #70815=LINE('',#468023,#107806); #70816=LINE('',#468026,#107807); #70817=LINE('',#468028,#107808); #70818=LINE('',#468029,#107809); #70819=LINE('',#468032,#107810); #70820=LINE('',#468034,#107811); #70821=LINE('',#468035,#107812); #70822=LINE('',#468038,#107813); #70823=LINE('',#468040,#107814); #70824=LINE('',#468041,#107815); #70825=LINE('',#468044,#107816); #70826=LINE('',#468046,#107817); #70827=LINE('',#468047,#107818); #70828=LINE('',#468050,#107819); #70829=LINE('',#468052,#107820); #70830=LINE('',#468053,#107821); #70831=LINE('',#468056,#107822); #70832=LINE('',#468058,#107823); #70833=LINE('',#468059,#107824); #70834=LINE('',#468062,#107825); #70835=LINE('',#468064,#107826); #70836=LINE('',#468065,#107827); #70837=LINE('',#468068,#107828); #70838=LINE('',#468070,#107829); #70839=LINE('',#468071,#107830); #70840=LINE('',#468074,#107831); #70841=LINE('',#468076,#107832); #70842=LINE('',#468077,#107833); #70843=LINE('',#468080,#107834); #70844=LINE('',#468082,#107835); #70845=LINE('',#468083,#107836); #70846=LINE('',#468086,#107837); #70847=LINE('',#468088,#107838); #70848=LINE('',#468089,#107839); #70849=LINE('',#468092,#107840); #70850=LINE('',#468094,#107841); #70851=LINE('',#468095,#107842); #70852=LINE('',#468098,#107843); #70853=LINE('',#468100,#107844); #70854=LINE('',#468101,#107845); #70855=LINE('',#468104,#107846); #70856=LINE('',#468106,#107847); #70857=LINE('',#468107,#107848); #70858=LINE('',#468110,#107849); #70859=LINE('',#468112,#107850); #70860=LINE('',#468113,#107851); #70861=LINE('',#468116,#107852); #70862=LINE('',#468118,#107853); #70863=LINE('',#468119,#107854); #70864=LINE('',#468122,#107855); #70865=LINE('',#468124,#107856); #70866=LINE('',#468125,#107857); #70867=LINE('',#468128,#107858); #70868=LINE('',#468130,#107859); #70869=LINE('',#468131,#107860); #70870=LINE('',#468134,#107861); #70871=LINE('',#468136,#107862); #70872=LINE('',#468137,#107863); #70873=LINE('',#468140,#107864); #70874=LINE('',#468142,#107865); #70875=LINE('',#468143,#107866); #70876=LINE('',#468146,#107867); #70877=LINE('',#468148,#107868); #70878=LINE('',#468149,#107869); #70879=LINE('',#468152,#107870); #70880=LINE('',#468154,#107871); #70881=LINE('',#468155,#107872); #70882=LINE('',#468158,#107873); #70883=LINE('',#468160,#107874); #70884=LINE('',#468161,#107875); #70885=LINE('',#468164,#107876); #70886=LINE('',#468166,#107877); #70887=LINE('',#468167,#107878); #70888=LINE('',#468170,#107879); #70889=LINE('',#468172,#107880); #70890=LINE('',#468173,#107881); #70891=LINE('',#468176,#107882); #70892=LINE('',#468178,#107883); #70893=LINE('',#468179,#107884); #70894=LINE('',#468182,#107885); #70895=LINE('',#468184,#107886); #70896=LINE('',#468185,#107887); #70897=LINE('',#468188,#107888); #70898=LINE('',#468190,#107889); #70899=LINE('',#468191,#107890); #70900=LINE('',#468194,#107891); #70901=LINE('',#468196,#107892); #70902=LINE('',#468197,#107893); #70903=LINE('',#468200,#107894); #70904=LINE('',#468202,#107895); #70905=LINE('',#468203,#107896); #70906=LINE('',#468206,#107897); #70907=LINE('',#468208,#107898); #70908=LINE('',#468209,#107899); #70909=LINE('',#468212,#107900); #70910=LINE('',#468214,#107901); #70911=LINE('',#468215,#107902); #70912=LINE('',#468218,#107903); #70913=LINE('',#468220,#107904); #70914=LINE('',#468221,#107905); #70915=LINE('',#468224,#107906); #70916=LINE('',#468226,#107907); #70917=LINE('',#468227,#107908); #70918=LINE('',#468230,#107909); #70919=LINE('',#468232,#107910); #70920=LINE('',#468233,#107911); #70921=LINE('',#468236,#107912); #70922=LINE('',#468238,#107913); #70923=LINE('',#468239,#107914); #70924=LINE('',#468241,#107915); #70925=LINE('',#468242,#107916); #70926=LINE('',#468249,#107917); #70927=LINE('',#468254,#107918); #70928=LINE('',#468256,#107919); #70929=LINE('',#468258,#107920); #70930=LINE('',#468259,#107921); #70931=LINE('',#468265,#107922); #70932=LINE('',#468268,#107923); #70933=LINE('',#468270,#107924); #70934=LINE('',#468271,#107925); #70935=LINE('',#468278,#107926); #70936=LINE('',#468280,#107927); #70937=LINE('',#468282,#107928); #70938=LINE('',#468283,#107929); #70939=LINE('',#468286,#107930); #70940=LINE('',#468288,#107931); #70941=LINE('',#468289,#107932); #70942=LINE('',#468292,#107933); #70943=LINE('',#468294,#107934); #70944=LINE('',#468295,#107935); #70945=LINE('',#468298,#107936); #70946=LINE('',#468300,#107937); #70947=LINE('',#468301,#107938); #70948=LINE('',#468304,#107939); #70949=LINE('',#468306,#107940); #70950=LINE('',#468307,#107941); #70951=LINE('',#468310,#107942); #70952=LINE('',#468312,#107943); #70953=LINE('',#468313,#107944); #70954=LINE('',#468316,#107945); #70955=LINE('',#468318,#107946); #70956=LINE('',#468319,#107947); #70957=LINE('',#468322,#107948); #70958=LINE('',#468324,#107949); #70959=LINE('',#468325,#107950); #70960=LINE('',#468328,#107951); #70961=LINE('',#468330,#107952); #70962=LINE('',#468331,#107953); #70963=LINE('',#468334,#107954); #70964=LINE('',#468336,#107955); #70965=LINE('',#468337,#107956); #70966=LINE('',#468340,#107957); #70967=LINE('',#468342,#107958); #70968=LINE('',#468343,#107959); #70969=LINE('',#468346,#107960); #70970=LINE('',#468348,#107961); #70971=LINE('',#468349,#107962); #70972=LINE('',#468352,#107963); #70973=LINE('',#468354,#107964); #70974=LINE('',#468355,#107965); #70975=LINE('',#468358,#107966); #70976=LINE('',#468360,#107967); #70977=LINE('',#468361,#107968); #70978=LINE('',#468364,#107969); #70979=LINE('',#468366,#107970); #70980=LINE('',#468367,#107971); #70981=LINE('',#468370,#107972); #70982=LINE('',#468372,#107973); #70983=LINE('',#468373,#107974); #70984=LINE('',#468376,#107975); #70985=LINE('',#468378,#107976); #70986=LINE('',#468379,#107977); #70987=LINE('',#468382,#107978); #70988=LINE('',#468384,#107979); #70989=LINE('',#468385,#107980); #70990=LINE('',#468388,#107981); #70991=LINE('',#468390,#107982); #70992=LINE('',#468391,#107983); #70993=LINE('',#468394,#107984); #70994=LINE('',#468396,#107985); #70995=LINE('',#468397,#107986); #70996=LINE('',#468400,#107987); #70997=LINE('',#468402,#107988); #70998=LINE('',#468403,#107989); #70999=LINE('',#468406,#107990); #71000=LINE('',#468408,#107991); #71001=LINE('',#468409,#107992); #71002=LINE('',#468412,#107993); #71003=LINE('',#468414,#107994); #71004=LINE('',#468415,#107995); #71005=LINE('',#468418,#107996); #71006=LINE('',#468420,#107997); #71007=LINE('',#468421,#107998); #71008=LINE('',#468424,#107999); #71009=LINE('',#468426,#108000); #71010=LINE('',#468427,#108001); #71011=LINE('',#468430,#108002); #71012=LINE('',#468432,#108003); #71013=LINE('',#468433,#108004); #71014=LINE('',#468436,#108005); #71015=LINE('',#468438,#108006); #71016=LINE('',#468439,#108007); #71017=LINE('',#468442,#108008); #71018=LINE('',#468444,#108009); #71019=LINE('',#468445,#108010); #71020=LINE('',#468448,#108011); #71021=LINE('',#468450,#108012); #71022=LINE('',#468451,#108013); #71023=LINE('',#468454,#108014); #71024=LINE('',#468456,#108015); #71025=LINE('',#468457,#108016); #71026=LINE('',#468460,#108017); #71027=LINE('',#468462,#108018); #71028=LINE('',#468463,#108019); #71029=LINE('',#468466,#108020); #71030=LINE('',#468468,#108021); #71031=LINE('',#468469,#108022); #71032=LINE('',#468472,#108023); #71033=LINE('',#468474,#108024); #71034=LINE('',#468475,#108025); #71035=LINE('',#468478,#108026); #71036=LINE('',#468480,#108027); #71037=LINE('',#468481,#108028); #71038=LINE('',#468484,#108029); #71039=LINE('',#468486,#108030); #71040=LINE('',#468487,#108031); #71041=LINE('',#468490,#108032); #71042=LINE('',#468492,#108033); #71043=LINE('',#468493,#108034); #71044=LINE('',#468496,#108035); #71045=LINE('',#468498,#108036); #71046=LINE('',#468499,#108037); #71047=LINE('',#468502,#108038); #71048=LINE('',#468504,#108039); #71049=LINE('',#468505,#108040); #71050=LINE('',#468508,#108041); #71051=LINE('',#468510,#108042); #71052=LINE('',#468511,#108043); #71053=LINE('',#468514,#108044); #71054=LINE('',#468516,#108045); #71055=LINE('',#468517,#108046); #71056=LINE('',#468520,#108047); #71057=LINE('',#468522,#108048); #71058=LINE('',#468523,#108049); #71059=LINE('',#468526,#108050); #71060=LINE('',#468528,#108051); #71061=LINE('',#468529,#108052); #71062=LINE('',#468532,#108053); #71063=LINE('',#468534,#108054); #71064=LINE('',#468535,#108055); #71065=LINE('',#468538,#108056); #71066=LINE('',#468540,#108057); #71067=LINE('',#468541,#108058); #71068=LINE('',#468544,#108059); #71069=LINE('',#468546,#108060); #71070=LINE('',#468547,#108061); #71071=LINE('',#468550,#108062); #71072=LINE('',#468552,#108063); #71073=LINE('',#468553,#108064); #71074=LINE('',#468556,#108065); #71075=LINE('',#468558,#108066); #71076=LINE('',#468559,#108067); #71077=LINE('',#468562,#108068); #71078=LINE('',#468564,#108069); #71079=LINE('',#468565,#108070); #71080=LINE('',#468568,#108071); #71081=LINE('',#468570,#108072); #71082=LINE('',#468571,#108073); #71083=LINE('',#468574,#108074); #71084=LINE('',#468576,#108075); #71085=LINE('',#468577,#108076); #71086=LINE('',#468580,#108077); #71087=LINE('',#468582,#108078); #71088=LINE('',#468583,#108079); #71089=LINE('',#468586,#108080); #71090=LINE('',#468588,#108081); #71091=LINE('',#468589,#108082); #71092=LINE('',#468592,#108083); #71093=LINE('',#468594,#108084); #71094=LINE('',#468595,#108085); #71095=LINE('',#468598,#108086); #71096=LINE('',#468600,#108087); #71097=LINE('',#468601,#108088); #71098=LINE('',#468604,#108089); #71099=LINE('',#468606,#108090); #71100=LINE('',#468607,#108091); #71101=LINE('',#468610,#108092); #71102=LINE('',#468612,#108093); #71103=LINE('',#468613,#108094); #71104=LINE('',#468616,#108095); #71105=LINE('',#468618,#108096); #71106=LINE('',#468619,#108097); #71107=LINE('',#468622,#108098); #71108=LINE('',#468624,#108099); #71109=LINE('',#468625,#108100); #71110=LINE('',#468628,#108101); #71111=LINE('',#468630,#108102); #71112=LINE('',#468631,#108103); #71113=LINE('',#468634,#108104); #71114=LINE('',#468636,#108105); #71115=LINE('',#468637,#108106); #71116=LINE('',#468640,#108107); #71117=LINE('',#468642,#108108); #71118=LINE('',#468643,#108109); #71119=LINE('',#468646,#108110); #71120=LINE('',#468648,#108111); #71121=LINE('',#468649,#108112); #71122=LINE('',#468652,#108113); #71123=LINE('',#468654,#108114); #71124=LINE('',#468655,#108115); #71125=LINE('',#468658,#108116); #71126=LINE('',#468660,#108117); #71127=LINE('',#468661,#108118); #71128=LINE('',#468664,#108119); #71129=LINE('',#468666,#108120); #71130=LINE('',#468667,#108121); #71131=LINE('',#468670,#108122); #71132=LINE('',#468672,#108123); #71133=LINE('',#468673,#108124); #71134=LINE('',#468676,#108125); #71135=LINE('',#468678,#108126); #71136=LINE('',#468679,#108127); #71137=LINE('',#468682,#108128); #71138=LINE('',#468684,#108129); #71139=LINE('',#468685,#108130); #71140=LINE('',#468688,#108131); #71141=LINE('',#468690,#108132); #71142=LINE('',#468691,#108133); #71143=LINE('',#468694,#108134); #71144=LINE('',#468696,#108135); #71145=LINE('',#468697,#108136); #71146=LINE('',#468700,#108137); #71147=LINE('',#468702,#108138); #71148=LINE('',#468703,#108139); #71149=LINE('',#468706,#108140); #71150=LINE('',#468708,#108141); #71151=LINE('',#468709,#108142); #71152=LINE('',#468712,#108143); #71153=LINE('',#468714,#108144); #71154=LINE('',#468715,#108145); #71155=LINE('',#468718,#108146); #71156=LINE('',#468720,#108147); #71157=LINE('',#468721,#108148); #71158=LINE('',#468724,#108149); #71159=LINE('',#468726,#108150); #71160=LINE('',#468727,#108151); #71161=LINE('',#468730,#108152); #71162=LINE('',#468732,#108153); #71163=LINE('',#468733,#108154); #71164=LINE('',#468736,#108155); #71165=LINE('',#468738,#108156); #71166=LINE('',#468739,#108157); #71167=LINE('',#468742,#108158); #71168=LINE('',#468744,#108159); #71169=LINE('',#468745,#108160); #71170=LINE('',#468748,#108161); #71171=LINE('',#468750,#108162); #71172=LINE('',#468751,#108163); #71173=LINE('',#468754,#108164); #71174=LINE('',#468756,#108165); #71175=LINE('',#468757,#108166); #71176=LINE('',#468760,#108167); #71177=LINE('',#468762,#108168); #71178=LINE('',#468763,#108169); #71179=LINE('',#468766,#108170); #71180=LINE('',#468768,#108171); #71181=LINE('',#468769,#108172); #71182=LINE('',#468772,#108173); #71183=LINE('',#468774,#108174); #71184=LINE('',#468775,#108175); #71185=LINE('',#468778,#108176); #71186=LINE('',#468780,#108177); #71187=LINE('',#468781,#108178); #71188=LINE('',#468784,#108179); #71189=LINE('',#468786,#108180); #71190=LINE('',#468787,#108181); #71191=LINE('',#468790,#108182); #71192=LINE('',#468792,#108183); #71193=LINE('',#468793,#108184); #71194=LINE('',#468796,#108185); #71195=LINE('',#468798,#108186); #71196=LINE('',#468799,#108187); #71197=LINE('',#468802,#108188); #71198=LINE('',#468804,#108189); #71199=LINE('',#468805,#108190); #71200=LINE('',#468808,#108191); #71201=LINE('',#468810,#108192); #71202=LINE('',#468811,#108193); #71203=LINE('',#468814,#108194); #71204=LINE('',#468816,#108195); #71205=LINE('',#468817,#108196); #71206=LINE('',#468820,#108197); #71207=LINE('',#468822,#108198); #71208=LINE('',#468823,#108199); #71209=LINE('',#468826,#108200); #71210=LINE('',#468828,#108201); #71211=LINE('',#468829,#108202); #71212=LINE('',#468831,#108203); #71213=LINE('',#468832,#108204); #71214=LINE('',#468838,#108205); #71215=LINE('',#468840,#108206); #71216=LINE('',#468842,#108207); #71217=LINE('',#468843,#108208); #71218=LINE('',#468846,#108209); #71219=LINE('',#468848,#108210); #71220=LINE('',#468849,#108211); #71221=LINE('',#468852,#108212); #71222=LINE('',#468854,#108213); #71223=LINE('',#468855,#108214); #71224=LINE('',#468858,#108215); #71225=LINE('',#468860,#108216); #71226=LINE('',#468861,#108217); #71227=LINE('',#468864,#108218); #71228=LINE('',#468866,#108219); #71229=LINE('',#468867,#108220); #71230=LINE('',#468870,#108221); #71231=LINE('',#468872,#108222); #71232=LINE('',#468873,#108223); #71233=LINE('',#468876,#108224); #71234=LINE('',#468878,#108225); #71235=LINE('',#468879,#108226); #71236=LINE('',#468882,#108227); #71237=LINE('',#468884,#108228); #71238=LINE('',#468885,#108229); #71239=LINE('',#468888,#108230); #71240=LINE('',#468890,#108231); #71241=LINE('',#468891,#108232); #71242=LINE('',#468894,#108233); #71243=LINE('',#468896,#108234); #71244=LINE('',#468897,#108235); #71245=LINE('',#468900,#108236); #71246=LINE('',#468902,#108237); #71247=LINE('',#468903,#108238); #71248=LINE('',#468906,#108239); #71249=LINE('',#468908,#108240); #71250=LINE('',#468909,#108241); #71251=LINE('',#468912,#108242); #71252=LINE('',#468914,#108243); #71253=LINE('',#468915,#108244); #71254=LINE('',#468918,#108245); #71255=LINE('',#468920,#108246); #71256=LINE('',#468921,#108247); #71257=LINE('',#468924,#108248); #71258=LINE('',#468926,#108249); #71259=LINE('',#468927,#108250); #71260=LINE('',#468930,#108251); #71261=LINE('',#468932,#108252); #71262=LINE('',#468933,#108253); #71263=LINE('',#468936,#108254); #71264=LINE('',#468938,#108255); #71265=LINE('',#468939,#108256); #71266=LINE('',#468942,#108257); #71267=LINE('',#468944,#108258); #71268=LINE('',#468945,#108259); #71269=LINE('',#468948,#108260); #71270=LINE('',#468950,#108261); #71271=LINE('',#468951,#108262); #71272=LINE('',#468953,#108263); #71273=LINE('',#468954,#108264); #71274=LINE('',#468961,#108265); #71275=LINE('',#468967,#108266); #71276=LINE('',#468973,#108267); #71277=LINE('',#468979,#108268); #71278=LINE('',#468985,#108269); #71279=LINE('',#468991,#108270); #71280=LINE('',#468997,#108271); #71281=LINE('',#469003,#108272); #71282=LINE('',#469009,#108273); #71283=LINE('',#469015,#108274); #71284=LINE('',#469021,#108275); #71285=LINE('',#469027,#108276); #71286=LINE('',#469033,#108277); #71287=LINE('',#469039,#108278); #71288=LINE('',#469045,#108279); #71289=LINE('',#469050,#108280); #71290=LINE('',#469052,#108281); #71291=LINE('',#469054,#108282); #71292=LINE('',#469055,#108283); #71293=LINE('',#469058,#108284); #71294=LINE('',#469060,#108285); #71295=LINE('',#469061,#108286); #71296=LINE('',#469064,#108287); #71297=LINE('',#469066,#108288); #71298=LINE('',#469067,#108289); #71299=LINE('',#469070,#108290); #71300=LINE('',#469072,#108291); #71301=LINE('',#469073,#108292); #71302=LINE('',#469076,#108293); #71303=LINE('',#469078,#108294); #71304=LINE('',#469079,#108295); #71305=LINE('',#469082,#108296); #71306=LINE('',#469084,#108297); #71307=LINE('',#469085,#108298); #71308=LINE('',#469088,#108299); #71309=LINE('',#469090,#108300); #71310=LINE('',#469091,#108301); #71311=LINE('',#469094,#108302); #71312=LINE('',#469096,#108303); #71313=LINE('',#469097,#108304); #71314=LINE('',#469100,#108305); #71315=LINE('',#469102,#108306); #71316=LINE('',#469103,#108307); #71317=LINE('',#469106,#108308); #71318=LINE('',#469108,#108309); #71319=LINE('',#469109,#108310); #71320=LINE('',#469112,#108311); #71321=LINE('',#469114,#108312); #71322=LINE('',#469115,#108313); #71323=LINE('',#469118,#108314); #71324=LINE('',#469120,#108315); #71325=LINE('',#469121,#108316); #71326=LINE('',#469124,#108317); #71327=LINE('',#469126,#108318); #71328=LINE('',#469127,#108319); #71329=LINE('',#469130,#108320); #71330=LINE('',#469132,#108321); #71331=LINE('',#469133,#108322); #71332=LINE('',#469136,#108323); #71333=LINE('',#469138,#108324); #71334=LINE('',#469139,#108325); #71335=LINE('',#469142,#108326); #71336=LINE('',#469144,#108327); #71337=LINE('',#469145,#108328); #71338=LINE('',#469148,#108329); #71339=LINE('',#469150,#108330); #71340=LINE('',#469151,#108331); #71341=LINE('',#469154,#108332); #71342=LINE('',#469156,#108333); #71343=LINE('',#469157,#108334); #71344=LINE('',#469160,#108335); #71345=LINE('',#469162,#108336); #71346=LINE('',#469163,#108337); #71347=LINE('',#469166,#108338); #71348=LINE('',#469168,#108339); #71349=LINE('',#469169,#108340); #71350=LINE('',#469172,#108341); #71351=LINE('',#469174,#108342); #71352=LINE('',#469175,#108343); #71353=LINE('',#469178,#108344); #71354=LINE('',#469180,#108345); #71355=LINE('',#469181,#108346); #71356=LINE('',#469184,#108347); #71357=LINE('',#469186,#108348); #71358=LINE('',#469187,#108349); #71359=LINE('',#469190,#108350); #71360=LINE('',#469192,#108351); #71361=LINE('',#469193,#108352); #71362=LINE('',#469196,#108353); #71363=LINE('',#469198,#108354); #71364=LINE('',#469199,#108355); #71365=LINE('',#469202,#108356); #71366=LINE('',#469204,#108357); #71367=LINE('',#469205,#108358); #71368=LINE('',#469208,#108359); #71369=LINE('',#469210,#108360); #71370=LINE('',#469211,#108361); #71371=LINE('',#469214,#108362); #71372=LINE('',#469216,#108363); #71373=LINE('',#469217,#108364); #71374=LINE('',#469220,#108365); #71375=LINE('',#469222,#108366); #71376=LINE('',#469223,#108367); #71377=LINE('',#469226,#108368); #71378=LINE('',#469228,#108369); #71379=LINE('',#469229,#108370); #71380=LINE('',#469232,#108371); #71381=LINE('',#469234,#108372); #71382=LINE('',#469235,#108373); #71383=LINE('',#469238,#108374); #71384=LINE('',#469240,#108375); #71385=LINE('',#469241,#108376); #71386=LINE('',#469244,#108377); #71387=LINE('',#469246,#108378); #71388=LINE('',#469247,#108379); #71389=LINE('',#469250,#108380); #71390=LINE('',#469252,#108381); #71391=LINE('',#469253,#108382); #71392=LINE('',#469256,#108383); #71393=LINE('',#469258,#108384); #71394=LINE('',#469259,#108385); #71395=LINE('',#469262,#108386); #71396=LINE('',#469264,#108387); #71397=LINE('',#469265,#108388); #71398=LINE('',#469268,#108389); #71399=LINE('',#469270,#108390); #71400=LINE('',#469271,#108391); #71401=LINE('',#469274,#108392); #71402=LINE('',#469276,#108393); #71403=LINE('',#469277,#108394); #71404=LINE('',#469280,#108395); #71405=LINE('',#469282,#108396); #71406=LINE('',#469283,#108397); #71407=LINE('',#469286,#108398); #71408=LINE('',#469288,#108399); #71409=LINE('',#469289,#108400); #71410=LINE('',#469291,#108401); #71411=LINE('',#469292,#108402); #71412=LINE('',#469299,#108403); #71413=LINE('',#469304,#108404); #71414=LINE('',#469306,#108405); #71415=LINE('',#469308,#108406); #71416=LINE('',#469309,#108407); #71417=LINE('',#469312,#108408); #71418=LINE('',#469314,#108409); #71419=LINE('',#469315,#108410); #71420=LINE('',#469318,#108411); #71421=LINE('',#469320,#108412); #71422=LINE('',#469321,#108413); #71423=LINE('',#469324,#108414); #71424=LINE('',#469326,#108415); #71425=LINE('',#469327,#108416); #71426=LINE('',#469330,#108417); #71427=LINE('',#469332,#108418); #71428=LINE('',#469333,#108419); #71429=LINE('',#469339,#108420); #71430=LINE('',#469342,#108421); #71431=LINE('',#469344,#108422); #71432=LINE('',#469345,#108423); #71433=LINE('',#469348,#108424); #71434=LINE('',#469350,#108425); #71435=LINE('',#469351,#108426); #71436=LINE('',#469357,#108427); #71437=LINE('',#469360,#108428); #71438=LINE('',#469362,#108429); #71439=LINE('',#469363,#108430); #71440=LINE('',#469366,#108431); #71441=LINE('',#469368,#108432); #71442=LINE('',#469369,#108433); #71443=LINE('',#469372,#108434); #71444=LINE('',#469374,#108435); #71445=LINE('',#469375,#108436); #71446=LINE('',#469378,#108437); #71447=LINE('',#469380,#108438); #71448=LINE('',#469381,#108439); #71449=LINE('',#469384,#108440); #71450=LINE('',#469386,#108441); #71451=LINE('',#469387,#108442); #71452=LINE('',#469390,#108443); #71453=LINE('',#469392,#108444); #71454=LINE('',#469393,#108445); #71455=LINE('',#469396,#108446); #71456=LINE('',#469398,#108447); #71457=LINE('',#469399,#108448); #71458=LINE('',#469402,#108449); #71459=LINE('',#469404,#108450); #71460=LINE('',#469405,#108451); #71461=LINE('',#469408,#108452); #71462=LINE('',#469410,#108453); #71463=LINE('',#469411,#108454); #71464=LINE('',#469414,#108455); #71465=LINE('',#469416,#108456); #71466=LINE('',#469417,#108457); #71467=LINE('',#469420,#108458); #71468=LINE('',#469422,#108459); #71469=LINE('',#469423,#108460); #71470=LINE('',#469426,#108461); #71471=LINE('',#469428,#108462); #71472=LINE('',#469429,#108463); #71473=LINE('',#469432,#108464); #71474=LINE('',#469434,#108465); #71475=LINE('',#469435,#108466); #71476=LINE('',#469438,#108467); #71477=LINE('',#469440,#108468); #71478=LINE('',#469441,#108469); #71479=LINE('',#469444,#108470); #71480=LINE('',#469446,#108471); #71481=LINE('',#469447,#108472); #71482=LINE('',#469450,#108473); #71483=LINE('',#469452,#108474); #71484=LINE('',#469453,#108475); #71485=LINE('',#469459,#108476); #71486=LINE('',#469462,#108477); #71487=LINE('',#469464,#108478); #71488=LINE('',#469465,#108479); #71489=LINE('',#469471,#108480); #71490=LINE('',#469474,#108481); #71491=LINE('',#469476,#108482); #71492=LINE('',#469477,#108483); #71493=LINE('',#469483,#108484); #71494=LINE('',#469486,#108485); #71495=LINE('',#469488,#108486); #71496=LINE('',#469489,#108487); #71497=LINE('',#469492,#108488); #71498=LINE('',#469494,#108489); #71499=LINE('',#469495,#108490); #71500=LINE('',#469498,#108491); #71501=LINE('',#469500,#108492); #71502=LINE('',#469501,#108493); #71503=LINE('',#469507,#108494); #71504=LINE('',#469510,#108495); #71505=LINE('',#469512,#108496); #71506=LINE('',#469513,#108497); #71507=LINE('',#469519,#108498); #71508=LINE('',#469522,#108499); #71509=LINE('',#469524,#108500); #71510=LINE('',#469525,#108501); #71511=LINE('',#469528,#108502); #71512=LINE('',#469530,#108503); #71513=LINE('',#469531,#108504); #71514=LINE('',#469537,#108505); #71515=LINE('',#469540,#108506); #71516=LINE('',#469542,#108507); #71517=LINE('',#469543,#108508); #71518=LINE('',#469546,#108509); #71519=LINE('',#469548,#108510); #71520=LINE('',#469549,#108511); #71521=LINE('',#469551,#108512); #71522=LINE('',#469552,#108513); #71523=LINE('',#469559,#108514); #71524=LINE('',#469565,#108515); #71525=LINE('',#469571,#108516); #71526=LINE('',#469577,#108517); #71527=LINE('',#469583,#108518); #71528=LINE('',#469589,#108519); #71529=LINE('',#469595,#108520); #71530=LINE('',#469601,#108521); #71531=LINE('',#469607,#108522); #71532=LINE('',#469613,#108523); #71533=LINE('',#469619,#108524); #71534=LINE('',#469625,#108525); #71535=LINE('',#469631,#108526); #71536=LINE('',#469637,#108527); #71537=LINE('',#469643,#108528); #71538=LINE('',#469648,#108529); #71539=LINE('',#469650,#108530); #71540=LINE('',#469652,#108531); #71541=LINE('',#469653,#108532); #71542=LINE('',#469656,#108533); #71543=LINE('',#469658,#108534); #71544=LINE('',#469659,#108535); #71545=LINE('',#469662,#108536); #71546=LINE('',#469664,#108537); #71547=LINE('',#469665,#108538); #71548=LINE('',#469668,#108539); #71549=LINE('',#469670,#108540); #71550=LINE('',#469671,#108541); #71551=LINE('',#469674,#108542); #71552=LINE('',#469676,#108543); #71553=LINE('',#469677,#108544); #71554=LINE('',#469680,#108545); #71555=LINE('',#469682,#108546); #71556=LINE('',#469683,#108547); #71557=LINE('',#469686,#108548); #71558=LINE('',#469688,#108549); #71559=LINE('',#469689,#108550); #71560=LINE('',#469692,#108551); #71561=LINE('',#469694,#108552); #71562=LINE('',#469695,#108553); #71563=LINE('',#469698,#108554); #71564=LINE('',#469700,#108555); #71565=LINE('',#469701,#108556); #71566=LINE('',#469704,#108557); #71567=LINE('',#469706,#108558); #71568=LINE('',#469707,#108559); #71569=LINE('',#469710,#108560); #71570=LINE('',#469712,#108561); #71571=LINE('',#469713,#108562); #71572=LINE('',#469716,#108563); #71573=LINE('',#469718,#108564); #71574=LINE('',#469719,#108565); #71575=LINE('',#469722,#108566); #71576=LINE('',#469724,#108567); #71577=LINE('',#469725,#108568); #71578=LINE('',#469728,#108569); #71579=LINE('',#469730,#108570); #71580=LINE('',#469731,#108571); #71581=LINE('',#469734,#108572); #71582=LINE('',#469736,#108573); #71583=LINE('',#469737,#108574); #71584=LINE('',#469740,#108575); #71585=LINE('',#469742,#108576); #71586=LINE('',#469743,#108577); #71587=LINE('',#469746,#108578); #71588=LINE('',#469748,#108579); #71589=LINE('',#469749,#108580); #71590=LINE('',#469752,#108581); #71591=LINE('',#469754,#108582); #71592=LINE('',#469755,#108583); #71593=LINE('',#469758,#108584); #71594=LINE('',#469760,#108585); #71595=LINE('',#469761,#108586); #71596=LINE('',#469764,#108587); #71597=LINE('',#469766,#108588); #71598=LINE('',#469767,#108589); #71599=LINE('',#469770,#108590); #71600=LINE('',#469772,#108591); #71601=LINE('',#469773,#108592); #71602=LINE('',#469776,#108593); #71603=LINE('',#469778,#108594); #71604=LINE('',#469779,#108595); #71605=LINE('',#469782,#108596); #71606=LINE('',#469784,#108597); #71607=LINE('',#469785,#108598); #71608=LINE('',#469788,#108599); #71609=LINE('',#469790,#108600); #71610=LINE('',#469791,#108601); #71611=LINE('',#469794,#108602); #71612=LINE('',#469796,#108603); #71613=LINE('',#469797,#108604); #71614=LINE('',#469800,#108605); #71615=LINE('',#469802,#108606); #71616=LINE('',#469803,#108607); #71617=LINE('',#469806,#108608); #71618=LINE('',#469808,#108609); #71619=LINE('',#469809,#108610); #71620=LINE('',#469812,#108611); #71621=LINE('',#469814,#108612); #71622=LINE('',#469815,#108613); #71623=LINE('',#469818,#108614); #71624=LINE('',#469820,#108615); #71625=LINE('',#469821,#108616); #71626=LINE('',#469824,#108617); #71627=LINE('',#469826,#108618); #71628=LINE('',#469827,#108619); #71629=LINE('',#469830,#108620); #71630=LINE('',#469832,#108621); #71631=LINE('',#469833,#108622); #71632=LINE('',#469836,#108623); #71633=LINE('',#469838,#108624); #71634=LINE('',#469839,#108625); #71635=LINE('',#469842,#108626); #71636=LINE('',#469844,#108627); #71637=LINE('',#469845,#108628); #71638=LINE('',#469848,#108629); #71639=LINE('',#469850,#108630); #71640=LINE('',#469851,#108631); #71641=LINE('',#469854,#108632); #71642=LINE('',#469856,#108633); #71643=LINE('',#469857,#108634); #71644=LINE('',#469860,#108635); #71645=LINE('',#469862,#108636); #71646=LINE('',#469863,#108637); #71647=LINE('',#469866,#108638); #71648=LINE('',#469868,#108639); #71649=LINE('',#469869,#108640); #71650=LINE('',#469872,#108641); #71651=LINE('',#469874,#108642); #71652=LINE('',#469875,#108643); #71653=LINE('',#469878,#108644); #71654=LINE('',#469880,#108645); #71655=LINE('',#469881,#108646); #71656=LINE('',#469884,#108647); #71657=LINE('',#469886,#108648); #71658=LINE('',#469887,#108649); #71659=LINE('',#469890,#108650); #71660=LINE('',#469892,#108651); #71661=LINE('',#469893,#108652); #71662=LINE('',#469896,#108653); #71663=LINE('',#469898,#108654); #71664=LINE('',#469899,#108655); #71665=LINE('',#469902,#108656); #71666=LINE('',#469904,#108657); #71667=LINE('',#469905,#108658); #71668=LINE('',#469908,#108659); #71669=LINE('',#469910,#108660); #71670=LINE('',#469911,#108661); #71671=LINE('',#469914,#108662); #71672=LINE('',#469916,#108663); #71673=LINE('',#469917,#108664); #71674=LINE('',#469920,#108665); #71675=LINE('',#469922,#108666); #71676=LINE('',#469923,#108667); #71677=LINE('',#469926,#108668); #71678=LINE('',#469928,#108669); #71679=LINE('',#469929,#108670); #71680=LINE('',#469932,#108671); #71681=LINE('',#469934,#108672); #71682=LINE('',#469935,#108673); #71683=LINE('',#469937,#108674); #71684=LINE('',#469938,#108675); #71685=LINE('',#469945,#108676); #71686=LINE('',#469950,#108677); #71687=LINE('',#469952,#108678); #71688=LINE('',#469954,#108679); #71689=LINE('',#469955,#108680); #71690=LINE('',#469958,#108681); #71691=LINE('',#469960,#108682); #71692=LINE('',#469961,#108683); #71693=LINE('',#469964,#108684); #71694=LINE('',#469966,#108685); #71695=LINE('',#469967,#108686); #71696=LINE('',#469970,#108687); #71697=LINE('',#469972,#108688); #71698=LINE('',#469973,#108689); #71699=LINE('',#469976,#108690); #71700=LINE('',#469978,#108691); #71701=LINE('',#469979,#108692); #71702=LINE('',#469982,#108693); #71703=LINE('',#469984,#108694); #71704=LINE('',#469985,#108695); #71705=LINE('',#469991,#108696); #71706=LINE('',#469994,#108697); #71707=LINE('',#469996,#108698); #71708=LINE('',#469997,#108699); #71709=LINE('',#470000,#108700); #71710=LINE('',#470002,#108701); #71711=LINE('',#470003,#108702); #71712=LINE('',#470009,#108703); #71713=LINE('',#470012,#108704); #71714=LINE('',#470014,#108705); #71715=LINE('',#470015,#108706); #71716=LINE('',#470021,#108707); #71717=LINE('',#470024,#108708); #71718=LINE('',#470026,#108709); #71719=LINE('',#470027,#108710); #71720=LINE('',#470030,#108711); #71721=LINE('',#470032,#108712); #71722=LINE('',#470033,#108713); #71723=LINE('',#470036,#108714); #71724=LINE('',#470038,#108715); #71725=LINE('',#470039,#108716); #71726=LINE('',#470045,#108717); #71727=LINE('',#470048,#108718); #71728=LINE('',#470050,#108719); #71729=LINE('',#470051,#108720); #71730=LINE('',#470057,#108721); #71731=LINE('',#470060,#108722); #71732=LINE('',#470062,#108723); #71733=LINE('',#470063,#108724); #71734=LINE('',#470069,#108725); #71735=LINE('',#470072,#108726); #71736=LINE('',#470074,#108727); #71737=LINE('',#470075,#108728); #71738=LINE('',#470078,#108729); #71739=LINE('',#470080,#108730); #71740=LINE('',#470081,#108731); #71741=LINE('',#470084,#108732); #71742=LINE('',#470086,#108733); #71743=LINE('',#470087,#108734); #71744=LINE('',#470090,#108735); #71745=LINE('',#470092,#108736); #71746=LINE('',#470093,#108737); #71747=LINE('',#470096,#108738); #71748=LINE('',#470098,#108739); #71749=LINE('',#470099,#108740); #71750=LINE('',#470102,#108741); #71751=LINE('',#470104,#108742); #71752=LINE('',#470105,#108743); #71753=LINE('',#470108,#108744); #71754=LINE('',#470110,#108745); #71755=LINE('',#470111,#108746); #71756=LINE('',#470114,#108747); #71757=LINE('',#470116,#108748); #71758=LINE('',#470117,#108749); #71759=LINE('',#470120,#108750); #71760=LINE('',#470122,#108751); #71761=LINE('',#470123,#108752); #71762=LINE('',#470126,#108753); #71763=LINE('',#470128,#108754); #71764=LINE('',#470129,#108755); #71765=LINE('',#470132,#108756); #71766=LINE('',#470134,#108757); #71767=LINE('',#470135,#108758); #71768=LINE('',#470138,#108759); #71769=LINE('',#470140,#108760); #71770=LINE('',#470141,#108761); #71771=LINE('',#470144,#108762); #71772=LINE('',#470146,#108763); #71773=LINE('',#470147,#108764); #71774=LINE('',#470150,#108765); #71775=LINE('',#470152,#108766); #71776=LINE('',#470153,#108767); #71777=LINE('',#470156,#108768); #71778=LINE('',#470158,#108769); #71779=LINE('',#470159,#108770); #71780=LINE('',#470162,#108771); #71781=LINE('',#470164,#108772); #71782=LINE('',#470165,#108773); #71783=LINE('',#470171,#108774); #71784=LINE('',#470174,#108775); #71785=LINE('',#470176,#108776); #71786=LINE('',#470177,#108777); #71787=LINE('',#470180,#108778); #71788=LINE('',#470182,#108779); #71789=LINE('',#470183,#108780); #71790=LINE('',#470189,#108781); #71791=LINE('',#470192,#108782); #71792=LINE('',#470194,#108783); #71793=LINE('',#470195,#108784); #71794=LINE('',#470197,#108785); #71795=LINE('',#470198,#108786); #71796=LINE('',#470205,#108787); #71797=LINE('',#470211,#108788); #71798=LINE('',#470217,#108789); #71799=LINE('',#470223,#108790); #71800=LINE('',#470229,#108791); #71801=LINE('',#470235,#108792); #71802=LINE('',#470241,#108793); #71803=LINE('',#470247,#108794); #71804=LINE('',#470253,#108795); #71805=LINE('',#470259,#108796); #71806=LINE('',#470265,#108797); #71807=LINE('',#470271,#108798); #71808=LINE('',#470277,#108799); #71809=LINE('',#470283,#108800); #71810=LINE('',#470289,#108801); #71811=LINE('',#470295,#108802); #71812=LINE('',#470301,#108803); #71813=LINE('',#470307,#108804); #71814=LINE('',#470313,#108805); #71815=LINE('',#470319,#108806); #71816=LINE('',#470325,#108807); #71817=LINE('',#470331,#108808); #71818=LINE('',#470337,#108809); #71819=LINE('',#470343,#108810); #71820=LINE('',#470349,#108811); #71821=LINE('',#470355,#108812); #71822=LINE('',#470361,#108813); #71823=LINE('',#470367,#108814); #71824=LINE('',#470373,#108815); #71825=LINE('',#470379,#108816); #71826=LINE('',#470385,#108817); #71827=LINE('',#470390,#108818); #71828=LINE('',#470392,#108819); #71829=LINE('',#470394,#108820); #71830=LINE('',#470395,#108821); #71831=LINE('',#470401,#108822); #71832=LINE('',#470404,#108823); #71833=LINE('',#470406,#108824); #71834=LINE('',#470407,#108825); #71835=LINE('',#470410,#108826); #71836=LINE('',#470412,#108827); #71837=LINE('',#470413,#108828); #71838=LINE('',#470416,#108829); #71839=LINE('',#470418,#108830); #71840=LINE('',#470419,#108831); #71841=LINE('',#470425,#108832); #71842=LINE('',#470428,#108833); #71843=LINE('',#470430,#108834); #71844=LINE('',#470431,#108835); #71845=LINE('',#470437,#108836); #71846=LINE('',#470440,#108837); #71847=LINE('',#470442,#108838); #71848=LINE('',#470443,#108839); #71849=LINE('',#470446,#108840); #71850=LINE('',#470448,#108841); #71851=LINE('',#470449,#108842); #71852=LINE('',#470452,#108843); #71853=LINE('',#470454,#108844); #71854=LINE('',#470455,#108845); #71855=LINE('',#470458,#108846); #71856=LINE('',#470460,#108847); #71857=LINE('',#470461,#108848); #71858=LINE('',#470464,#108849); #71859=LINE('',#470466,#108850); #71860=LINE('',#470467,#108851); #71861=LINE('',#470470,#108852); #71862=LINE('',#470472,#108853); #71863=LINE('',#470473,#108854); #71864=LINE('',#470476,#108855); #71865=LINE('',#470478,#108856); #71866=LINE('',#470479,#108857); #71867=LINE('',#470482,#108858); #71868=LINE('',#470484,#108859); #71869=LINE('',#470485,#108860); #71870=LINE('',#470488,#108861); #71871=LINE('',#470490,#108862); #71872=LINE('',#470491,#108863); #71873=LINE('',#470494,#108864); #71874=LINE('',#470496,#108865); #71875=LINE('',#470497,#108866); #71876=LINE('',#470500,#108867); #71877=LINE('',#470502,#108868); #71878=LINE('',#470503,#108869); #71879=LINE('',#470506,#108870); #71880=LINE('',#470508,#108871); #71881=LINE('',#470509,#108872); #71882=LINE('',#470512,#108873); #71883=LINE('',#470514,#108874); #71884=LINE('',#470515,#108875); #71885=LINE('',#470518,#108876); #71886=LINE('',#470520,#108877); #71887=LINE('',#470521,#108878); #71888=LINE('',#470524,#108879); #71889=LINE('',#470526,#108880); #71890=LINE('',#470527,#108881); #71891=LINE('',#470530,#108882); #71892=LINE('',#470532,#108883); #71893=LINE('',#470533,#108884); #71894=LINE('',#470536,#108885); #71895=LINE('',#470538,#108886); #71896=LINE('',#470539,#108887); #71897=LINE('',#470542,#108888); #71898=LINE('',#470544,#108889); #71899=LINE('',#470545,#108890); #71900=LINE('',#470548,#108891); #71901=LINE('',#470550,#108892); #71902=LINE('',#470551,#108893); #71903=LINE('',#470554,#108894); #71904=LINE('',#470556,#108895); #71905=LINE('',#470557,#108896); #71906=LINE('',#470560,#108897); #71907=LINE('',#470562,#108898); #71908=LINE('',#470563,#108899); #71909=LINE('',#470566,#108900); #71910=LINE('',#470568,#108901); #71911=LINE('',#470569,#108902); #71912=LINE('',#470572,#108903); #71913=LINE('',#470574,#108904); #71914=LINE('',#470575,#108905); #71915=LINE('',#470578,#108906); #71916=LINE('',#470580,#108907); #71917=LINE('',#470581,#108908); #71918=LINE('',#470584,#108909); #71919=LINE('',#470586,#108910); #71920=LINE('',#470587,#108911); #71921=LINE('',#470590,#108912); #71922=LINE('',#470592,#108913); #71923=LINE('',#470593,#108914); #71924=LINE('',#470596,#108915); #71925=LINE('',#470598,#108916); #71926=LINE('',#470599,#108917); #71927=LINE('',#470602,#108918); #71928=LINE('',#470604,#108919); #71929=LINE('',#470605,#108920); #71930=LINE('',#470608,#108921); #71931=LINE('',#470610,#108922); #71932=LINE('',#470611,#108923); #71933=LINE('',#470614,#108924); #71934=LINE('',#470616,#108925); #71935=LINE('',#470617,#108926); #71936=LINE('',#470620,#108927); #71937=LINE('',#470622,#108928); #71938=LINE('',#470623,#108929); #71939=LINE('',#470626,#108930); #71940=LINE('',#470628,#108931); #71941=LINE('',#470629,#108932); #71942=LINE('',#470632,#108933); #71943=LINE('',#470634,#108934); #71944=LINE('',#470635,#108935); #71945=LINE('',#470638,#108936); #71946=LINE('',#470640,#108937); #71947=LINE('',#470641,#108938); #71948=LINE('',#470644,#108939); #71949=LINE('',#470646,#108940); #71950=LINE('',#470647,#108941); #71951=LINE('',#470650,#108942); #71952=LINE('',#470652,#108943); #71953=LINE('',#470653,#108944); #71954=LINE('',#470656,#108945); #71955=LINE('',#470658,#108946); #71956=LINE('',#470659,#108947); #71957=LINE('',#470662,#108948); #71958=LINE('',#470664,#108949); #71959=LINE('',#470665,#108950); #71960=LINE('',#470668,#108951); #71961=LINE('',#470670,#108952); #71962=LINE('',#470671,#108953); #71963=LINE('',#470674,#108954); #71964=LINE('',#470676,#108955); #71965=LINE('',#470677,#108956); #71966=LINE('',#470680,#108957); #71967=LINE('',#470682,#108958); #71968=LINE('',#470683,#108959); #71969=LINE('',#470686,#108960); #71970=LINE('',#470688,#108961); #71971=LINE('',#470689,#108962); #71972=LINE('',#470692,#108963); #71973=LINE('',#470694,#108964); #71974=LINE('',#470695,#108965); #71975=LINE('',#470698,#108966); #71976=LINE('',#470700,#108967); #71977=LINE('',#470701,#108968); #71978=LINE('',#470704,#108969); #71979=LINE('',#470706,#108970); #71980=LINE('',#470707,#108971); #71981=LINE('',#470710,#108972); #71982=LINE('',#470712,#108973); #71983=LINE('',#470713,#108974); #71984=LINE('',#470716,#108975); #71985=LINE('',#470718,#108976); #71986=LINE('',#470719,#108977); #71987=LINE('',#470722,#108978); #71988=LINE('',#470724,#108979); #71989=LINE('',#470725,#108980); #71990=LINE('',#470728,#108981); #71991=LINE('',#470730,#108982); #71992=LINE('',#470731,#108983); #71993=LINE('',#470734,#108984); #71994=LINE('',#470736,#108985); #71995=LINE('',#470737,#108986); #71996=LINE('',#470740,#108987); #71997=LINE('',#470742,#108988); #71998=LINE('',#470743,#108989); #71999=LINE('',#470746,#108990); #72000=LINE('',#470748,#108991); #72001=LINE('',#470749,#108992); #72002=LINE('',#470752,#108993); #72003=LINE('',#470754,#108994); #72004=LINE('',#470755,#108995); #72005=LINE('',#470758,#108996); #72006=LINE('',#470760,#108997); #72007=LINE('',#470761,#108998); #72008=LINE('',#470764,#108999); #72009=LINE('',#470766,#109000); #72010=LINE('',#470767,#109001); #72011=LINE('',#470770,#109002); #72012=LINE('',#470772,#109003); #72013=LINE('',#470773,#109004); #72014=LINE('',#470776,#109005); #72015=LINE('',#470778,#109006); #72016=LINE('',#470779,#109007); #72017=LINE('',#470782,#109008); #72018=LINE('',#470784,#109009); #72019=LINE('',#470785,#109010); #72020=LINE('',#470788,#109011); #72021=LINE('',#470790,#109012); #72022=LINE('',#470791,#109013); #72023=LINE('',#470794,#109014); #72024=LINE('',#470796,#109015); #72025=LINE('',#470797,#109016); #72026=LINE('',#470800,#109017); #72027=LINE('',#470802,#109018); #72028=LINE('',#470803,#109019); #72029=LINE('',#470806,#109020); #72030=LINE('',#470808,#109021); #72031=LINE('',#470809,#109022); #72032=LINE('',#470812,#109023); #72033=LINE('',#470814,#109024); #72034=LINE('',#470815,#109025); #72035=LINE('',#470818,#109026); #72036=LINE('',#470820,#109027); #72037=LINE('',#470821,#109028); #72038=LINE('',#470824,#109029); #72039=LINE('',#470826,#109030); #72040=LINE('',#470827,#109031); #72041=LINE('',#470830,#109032); #72042=LINE('',#470832,#109033); #72043=LINE('',#470833,#109034); #72044=LINE('',#470836,#109035); #72045=LINE('',#470838,#109036); #72046=LINE('',#470839,#109037); #72047=LINE('',#470842,#109038); #72048=LINE('',#470844,#109039); #72049=LINE('',#470845,#109040); #72050=LINE('',#470848,#109041); #72051=LINE('',#470850,#109042); #72052=LINE('',#470851,#109043); #72053=LINE('',#470854,#109044); #72054=LINE('',#470856,#109045); #72055=LINE('',#470857,#109046); #72056=LINE('',#470860,#109047); #72057=LINE('',#470862,#109048); #72058=LINE('',#470863,#109049); #72059=LINE('',#470866,#109050); #72060=LINE('',#470868,#109051); #72061=LINE('',#470869,#109052); #72062=LINE('',#470875,#109053); #72063=LINE('',#470878,#109054); #72064=LINE('',#470880,#109055); #72065=LINE('',#470881,#109056); #72066=LINE('',#470887,#109057); #72067=LINE('',#470890,#109058); #72068=LINE('',#470892,#109059); #72069=LINE('',#470893,#109060); #72070=LINE('',#470903,#109061); #72071=LINE('',#470908,#109062); #72072=LINE('',#470910,#109063); #72073=LINE('',#470912,#109064); #72074=LINE('',#470913,#109065); #72075=LINE('',#470916,#109066); #72076=LINE('',#470918,#109067); #72077=LINE('',#470919,#109068); #72078=LINE('',#470922,#109069); #72079=LINE('',#470924,#109070); #72080=LINE('',#470925,#109071); #72081=LINE('',#470928,#109072); #72082=LINE('',#470930,#109073); #72083=LINE('',#470931,#109074); #72084=LINE('',#470934,#109075); #72085=LINE('',#470936,#109076); #72086=LINE('',#470937,#109077); #72087=LINE('',#470940,#109078); #72088=LINE('',#470942,#109079); #72089=LINE('',#470943,#109080); #72090=LINE('',#470949,#109081); #72091=LINE('',#470952,#109082); #72092=LINE('',#470954,#109083); #72093=LINE('',#470955,#109084); #72094=LINE('',#470958,#109085); #72095=LINE('',#470960,#109086); #72096=LINE('',#470961,#109087); #72097=LINE('',#470967,#109088); #72098=LINE('',#470970,#109089); #72099=LINE('',#470972,#109090); #72100=LINE('',#470973,#109091); #72101=LINE('',#470979,#109092); #72102=LINE('',#470985,#109093); #72103=LINE('',#470988,#109094); #72104=LINE('',#470990,#109095); #72105=LINE('',#470991,#109096); #72106=LINE('',#470994,#109097); #72107=LINE('',#470996,#109098); #72108=LINE('',#470997,#109099); #72109=LINE('',#471003,#109100); #72110=LINE('',#471006,#109101); #72111=LINE('',#471008,#109102); #72112=LINE('',#471009,#109103); #72113=LINE('',#471012,#109104); #72114=LINE('',#471014,#109105); #72115=LINE('',#471015,#109106); #72116=LINE('',#471021,#109107); #72117=LINE('',#471024,#109108); #72118=LINE('',#471026,#109109); #72119=LINE('',#471027,#109110); #72120=LINE('',#471029,#109111); #72121=LINE('',#471030,#109112); #72122=LINE('',#471037,#109113); #72123=LINE('',#471043,#109114); #72124=LINE('',#471049,#109115); #72125=LINE('',#471055,#109116); #72126=LINE('',#471061,#109117); #72127=LINE('',#471067,#109118); #72128=LINE('',#471073,#109119); #72129=LINE('',#471079,#109120); #72130=LINE('',#471085,#109121); #72131=LINE('',#471091,#109122); #72132=LINE('',#471097,#109123); #72133=LINE('',#471102,#109124); #72134=LINE('',#471104,#109125); #72135=LINE('',#471106,#109126); #72136=LINE('',#471107,#109127); #72137=LINE('',#471110,#109128); #72138=LINE('',#471112,#109129); #72139=LINE('',#471113,#109130); #72140=LINE('',#471116,#109131); #72141=LINE('',#471118,#109132); #72142=LINE('',#471119,#109133); #72143=LINE('',#471122,#109134); #72144=LINE('',#471124,#109135); #72145=LINE('',#471125,#109136); #72146=LINE('',#471128,#109137); #72147=LINE('',#471130,#109138); #72148=LINE('',#471131,#109139); #72149=LINE('',#471134,#109140); #72150=LINE('',#471136,#109141); #72151=LINE('',#471137,#109142); #72152=LINE('',#471140,#109143); #72153=LINE('',#471142,#109144); #72154=LINE('',#471143,#109145); #72155=LINE('',#471146,#109146); #72156=LINE('',#471148,#109147); #72157=LINE('',#471149,#109148); #72158=LINE('',#471152,#109149); #72159=LINE('',#471154,#109150); #72160=LINE('',#471155,#109151); #72161=LINE('',#471158,#109152); #72162=LINE('',#471160,#109153); #72163=LINE('',#471161,#109154); #72164=LINE('',#471164,#109155); #72165=LINE('',#471166,#109156); #72166=LINE('',#471167,#109157); #72167=LINE('',#471170,#109158); #72168=LINE('',#471172,#109159); #72169=LINE('',#471173,#109160); #72170=LINE('',#471176,#109161); #72171=LINE('',#471178,#109162); #72172=LINE('',#471179,#109163); #72173=LINE('',#471182,#109164); #72174=LINE('',#471184,#109165); #72175=LINE('',#471185,#109166); #72176=LINE('',#471188,#109167); #72177=LINE('',#471190,#109168); #72178=LINE('',#471191,#109169); #72179=LINE('',#471194,#109170); #72180=LINE('',#471196,#109171); #72181=LINE('',#471197,#109172); #72182=LINE('',#471200,#109173); #72183=LINE('',#471202,#109174); #72184=LINE('',#471203,#109175); #72185=LINE('',#471206,#109176); #72186=LINE('',#471208,#109177); #72187=LINE('',#471209,#109178); #72188=LINE('',#471212,#109179); #72189=LINE('',#471214,#109180); #72190=LINE('',#471215,#109181); #72191=LINE('',#471218,#109182); #72192=LINE('',#471220,#109183); #72193=LINE('',#471221,#109184); #72194=LINE('',#471224,#109185); #72195=LINE('',#471226,#109186); #72196=LINE('',#471227,#109187); #72197=LINE('',#471230,#109188); #72198=LINE('',#471232,#109189); #72199=LINE('',#471233,#109190); #72200=LINE('',#471236,#109191); #72201=LINE('',#471238,#109192); #72202=LINE('',#471239,#109193); #72203=LINE('',#471242,#109194); #72204=LINE('',#471244,#109195); #72205=LINE('',#471245,#109196); #72206=LINE('',#471251,#109197); #72207=LINE('',#471254,#109198); #72208=LINE('',#471256,#109199); #72209=LINE('',#471257,#109200); #72210=LINE('',#471260,#109201); #72211=LINE('',#471262,#109202); #72212=LINE('',#471263,#109203); #72213=LINE('',#471269,#109204); #72214=LINE('',#471272,#109205); #72215=LINE('',#471274,#109206); #72216=LINE('',#471275,#109207); #72217=LINE('',#471278,#109208); #72218=LINE('',#471280,#109209); #72219=LINE('',#471281,#109210); #72220=LINE('',#471284,#109211); #72221=LINE('',#471286,#109212); #72222=LINE('',#471287,#109213); #72223=LINE('',#471290,#109214); #72224=LINE('',#471292,#109215); #72225=LINE('',#471293,#109216); #72226=LINE('',#471296,#109217); #72227=LINE('',#471298,#109218); #72228=LINE('',#471299,#109219); #72229=LINE('',#471302,#109220); #72230=LINE('',#471304,#109221); #72231=LINE('',#471305,#109222); #72232=LINE('',#471308,#109223); #72233=LINE('',#471310,#109224); #72234=LINE('',#471311,#109225); #72235=LINE('',#471314,#109226); #72236=LINE('',#471316,#109227); #72237=LINE('',#471317,#109228); #72238=LINE('',#471323,#109229); #72239=LINE('',#471326,#109230); #72240=LINE('',#471328,#109231); #72241=LINE('',#471329,#109232); #72242=LINE('',#471335,#109233); #72243=LINE('',#471338,#109234); #72244=LINE('',#471340,#109235); #72245=LINE('',#471341,#109236); #72246=LINE('',#471344,#109237); #72247=LINE('',#471346,#109238); #72248=LINE('',#471347,#109239); #72249=LINE('',#471350,#109240); #72250=LINE('',#471352,#109241); #72251=LINE('',#471353,#109242); #72252=LINE('',#471356,#109243); #72253=LINE('',#471358,#109244); #72254=LINE('',#471359,#109245); #72255=LINE('',#471362,#109246); #72256=LINE('',#471364,#109247); #72257=LINE('',#471365,#109248); #72258=LINE('',#471368,#109249); #72259=LINE('',#471370,#109250); #72260=LINE('',#471371,#109251); #72261=LINE('',#471374,#109252); #72262=LINE('',#471376,#109253); #72263=LINE('',#471377,#109254); #72264=LINE('',#471380,#109255); #72265=LINE('',#471382,#109256); #72266=LINE('',#471383,#109257); #72267=LINE('',#471386,#109258); #72268=LINE('',#471388,#109259); #72269=LINE('',#471389,#109260); #72270=LINE('',#471392,#109261); #72271=LINE('',#471394,#109262); #72272=LINE('',#471395,#109263); #72273=LINE('',#471398,#109264); #72274=LINE('',#471400,#109265); #72275=LINE('',#471401,#109266); #72276=LINE('',#471404,#109267); #72277=LINE('',#471406,#109268); #72278=LINE('',#471407,#109269); #72279=LINE('',#471410,#109270); #72280=LINE('',#471412,#109271); #72281=LINE('',#471413,#109272); #72282=LINE('',#471416,#109273); #72283=LINE('',#471418,#109274); #72284=LINE('',#471419,#109275); #72285=LINE('',#471422,#109276); #72286=LINE('',#471424,#109277); #72287=LINE('',#471425,#109278); #72288=LINE('',#471431,#109279); #72289=LINE('',#471434,#109280); #72290=LINE('',#471436,#109281); #72291=LINE('',#471437,#109282); #72292=LINE('',#471443,#109283); #72293=LINE('',#471446,#109284); #72294=LINE('',#471448,#109285); #72295=LINE('',#471449,#109286); #72296=LINE('',#471452,#109287); #72297=LINE('',#471454,#109288); #72298=LINE('',#471455,#109289); #72299=LINE('',#471458,#109290); #72300=LINE('',#471460,#109291); #72301=LINE('',#471461,#109292); #72302=LINE('',#471464,#109293); #72303=LINE('',#471466,#109294); #72304=LINE('',#471467,#109295); #72305=LINE('',#471470,#109296); #72306=LINE('',#471472,#109297); #72307=LINE('',#471473,#109298); #72308=LINE('',#471476,#109299); #72309=LINE('',#471478,#109300); #72310=LINE('',#471479,#109301); #72311=LINE('',#471482,#109302); #72312=LINE('',#471484,#109303); #72313=LINE('',#471485,#109304); #72314=LINE('',#471488,#109305); #72315=LINE('',#471490,#109306); #72316=LINE('',#471491,#109307); #72317=LINE('',#471494,#109308); #72318=LINE('',#471496,#109309); #72319=LINE('',#471497,#109310); #72320=LINE('',#471500,#109311); #72321=LINE('',#471502,#109312); #72322=LINE('',#471503,#109313); #72323=LINE('',#471506,#109314); #72324=LINE('',#471508,#109315); #72325=LINE('',#471509,#109316); #72326=LINE('',#471512,#109317); #72327=LINE('',#471514,#109318); #72328=LINE('',#471515,#109319); #72329=LINE('',#471518,#109320); #72330=LINE('',#471520,#109321); #72331=LINE('',#471521,#109322); #72332=LINE('',#471524,#109323); #72333=LINE('',#471526,#109324); #72334=LINE('',#471527,#109325); #72335=LINE('',#471530,#109326); #72336=LINE('',#471532,#109327); #72337=LINE('',#471533,#109328); #72338=LINE('',#471536,#109329); #72339=LINE('',#471538,#109330); #72340=LINE('',#471539,#109331); #72341=LINE('',#471542,#109332); #72342=LINE('',#471544,#109333); #72343=LINE('',#471545,#109334); #72344=LINE('',#471548,#109335); #72345=LINE('',#471550,#109336); #72346=LINE('',#471551,#109337); #72347=LINE('',#471554,#109338); #72348=LINE('',#471556,#109339); #72349=LINE('',#471557,#109340); #72350=LINE('',#471560,#109341); #72351=LINE('',#471562,#109342); #72352=LINE('',#471563,#109343); #72353=LINE('',#471566,#109344); #72354=LINE('',#471568,#109345); #72355=LINE('',#471569,#109346); #72356=LINE('',#471572,#109347); #72357=LINE('',#471574,#109348); #72358=LINE('',#471575,#109349); #72359=LINE('',#471578,#109350); #72360=LINE('',#471580,#109351); #72361=LINE('',#471581,#109352); #72362=LINE('',#471584,#109353); #72363=LINE('',#471586,#109354); #72364=LINE('',#471587,#109355); #72365=LINE('',#471590,#109356); #72366=LINE('',#471592,#109357); #72367=LINE('',#471593,#109358); #72368=LINE('',#471596,#109359); #72369=LINE('',#471598,#109360); #72370=LINE('',#471599,#109361); #72371=LINE('',#471602,#109362); #72372=LINE('',#471604,#109363); #72373=LINE('',#471605,#109364); #72374=LINE('',#471608,#109365); #72375=LINE('',#471610,#109366); #72376=LINE('',#471611,#109367); #72377=LINE('',#471614,#109368); #72378=LINE('',#471616,#109369); #72379=LINE('',#471617,#109370); #72380=LINE('',#471620,#109371); #72381=LINE('',#471622,#109372); #72382=LINE('',#471623,#109373); #72383=LINE('',#471626,#109374); #72384=LINE('',#471628,#109375); #72385=LINE('',#471629,#109376); #72386=LINE('',#471632,#109377); #72387=LINE('',#471634,#109378); #72388=LINE('',#471635,#109379); #72389=LINE('',#471638,#109380); #72390=LINE('',#471640,#109381); #72391=LINE('',#471641,#109382); #72392=LINE('',#471644,#109383); #72393=LINE('',#471646,#109384); #72394=LINE('',#471647,#109385); #72395=LINE('',#471650,#109386); #72396=LINE('',#471652,#109387); #72397=LINE('',#471653,#109388); #72398=LINE('',#471656,#109389); #72399=LINE('',#471658,#109390); #72400=LINE('',#471659,#109391); #72401=LINE('',#471662,#109392); #72402=LINE('',#471664,#109393); #72403=LINE('',#471665,#109394); #72404=LINE('',#471668,#109395); #72405=LINE('',#471670,#109396); #72406=LINE('',#471671,#109397); #72407=LINE('',#471674,#109398); #72408=LINE('',#471676,#109399); #72409=LINE('',#471677,#109400); #72410=LINE('',#471680,#109401); #72411=LINE('',#471682,#109402); #72412=LINE('',#471683,#109403); #72413=LINE('',#471686,#109404); #72414=LINE('',#471688,#109405); #72415=LINE('',#471689,#109406); #72416=LINE('',#471692,#109407); #72417=LINE('',#471694,#109408); #72418=LINE('',#471695,#109409); #72419=LINE('',#471698,#109410); #72420=LINE('',#471700,#109411); #72421=LINE('',#471701,#109412); #72422=LINE('',#471704,#109413); #72423=LINE('',#471706,#109414); #72424=LINE('',#471707,#109415); #72425=LINE('',#471710,#109416); #72426=LINE('',#471712,#109417); #72427=LINE('',#471713,#109418); #72428=LINE('',#471716,#109419); #72429=LINE('',#471718,#109420); #72430=LINE('',#471719,#109421); #72431=LINE('',#471722,#109422); #72432=LINE('',#471724,#109423); #72433=LINE('',#471725,#109424); #72434=LINE('',#471728,#109425); #72435=LINE('',#471730,#109426); #72436=LINE('',#471731,#109427); #72437=LINE('',#471734,#109428); #72438=LINE('',#471736,#109429); #72439=LINE('',#471737,#109430); #72440=LINE('',#471740,#109431); #72441=LINE('',#471742,#109432); #72442=LINE('',#471743,#109433); #72443=LINE('',#471746,#109434); #72444=LINE('',#471748,#109435); #72445=LINE('',#471749,#109436); #72446=LINE('',#471752,#109437); #72447=LINE('',#471754,#109438); #72448=LINE('',#471755,#109439); #72449=LINE('',#471758,#109440); #72450=LINE('',#471760,#109441); #72451=LINE('',#471761,#109442); #72452=LINE('',#471764,#109443); #72453=LINE('',#471766,#109444); #72454=LINE('',#471767,#109445); #72455=LINE('',#471770,#109446); #72456=LINE('',#471772,#109447); #72457=LINE('',#471773,#109448); #72458=LINE('',#471776,#109449); #72459=LINE('',#471778,#109450); #72460=LINE('',#471779,#109451); #72461=LINE('',#471782,#109452); #72462=LINE('',#471784,#109453); #72463=LINE('',#471785,#109454); #72464=LINE('',#471788,#109455); #72465=LINE('',#471790,#109456); #72466=LINE('',#471791,#109457); #72467=LINE('',#471794,#109458); #72468=LINE('',#471796,#109459); #72469=LINE('',#471797,#109460); #72470=LINE('',#471800,#109461); #72471=LINE('',#471802,#109462); #72472=LINE('',#471803,#109463); #72473=LINE('',#471806,#109464); #72474=LINE('',#471808,#109465); #72475=LINE('',#471809,#109466); #72476=LINE('',#471812,#109467); #72477=LINE('',#471814,#109468); #72478=LINE('',#471815,#109469); #72479=LINE('',#471818,#109470); #72480=LINE('',#471820,#109471); #72481=LINE('',#471821,#109472); #72482=LINE('',#471824,#109473); #72483=LINE('',#471826,#109474); #72484=LINE('',#471827,#109475); #72485=LINE('',#471830,#109476); #72486=LINE('',#471832,#109477); #72487=LINE('',#471833,#109478); #72488=LINE('',#471836,#109479); #72489=LINE('',#471838,#109480); #72490=LINE('',#471839,#109481); #72491=LINE('',#471841,#109482); #72492=LINE('',#471842,#109483); #72493=LINE('',#471849,#109484); #72494=LINE('',#471855,#109485); #72495=LINE('',#471861,#109486); #72496=LINE('',#471867,#109487); #72497=LINE('',#471873,#109488); #72498=LINE('',#471879,#109489); #72499=LINE('',#471885,#109490); #72500=LINE('',#471891,#109491); #72501=LINE('',#471897,#109492); #72502=LINE('',#471903,#109493); #72503=LINE('',#471909,#109494); #72504=LINE('',#471915,#109495); #72505=LINE('',#471921,#109496); #72506=LINE('',#471927,#109497); #72507=LINE('',#471933,#109498); #72508=LINE('',#471939,#109499); #72509=LINE('',#471945,#109500); #72510=LINE('',#471951,#109501); #72511=LINE('',#471957,#109502); #72512=LINE('',#471963,#109503); #72513=LINE('',#471969,#109504); #72514=LINE('',#471975,#109505); #72515=LINE('',#471981,#109506); #72516=LINE('',#471987,#109507); #72517=LINE('',#471993,#109508); #72518=LINE('',#471999,#109509); #72519=LINE('',#472005,#109510); #72520=LINE('',#472011,#109511); #72521=LINE('',#472017,#109512); #72522=LINE('',#472023,#109513); #72523=LINE('',#472029,#109514); #72524=LINE('',#472034,#109515); #72525=LINE('',#472036,#109516); #72526=LINE('',#472038,#109517); #72527=LINE('',#472039,#109518); #72528=LINE('',#472045,#109519); #72529=LINE('',#472048,#109520); #72530=LINE('',#472050,#109521); #72531=LINE('',#472051,#109522); #72532=LINE('',#472057,#109523); #72533=LINE('',#472060,#109524); #72534=LINE('',#472062,#109525); #72535=LINE('',#472063,#109526); #72536=LINE('',#472069,#109527); #72537=LINE('',#472072,#109528); #72538=LINE('',#472074,#109529); #72539=LINE('',#472075,#109530); #72540=LINE('',#472078,#109531); #72541=LINE('',#472080,#109532); #72542=LINE('',#472081,#109533); #72543=LINE('',#472084,#109534); #72544=LINE('',#472086,#109535); #72545=LINE('',#472087,#109536); #72546=LINE('',#472090,#109537); #72547=LINE('',#472092,#109538); #72548=LINE('',#472093,#109539); #72549=LINE('',#472096,#109540); #72550=LINE('',#472098,#109541); #72551=LINE('',#472099,#109542); #72552=LINE('',#472102,#109543); #72553=LINE('',#472104,#109544); #72554=LINE('',#472105,#109545); #72555=LINE('',#472108,#109546); #72556=LINE('',#472110,#109547); #72557=LINE('',#472111,#109548); #72558=LINE('',#472114,#109549); #72559=LINE('',#472116,#109550); #72560=LINE('',#472117,#109551); #72561=LINE('',#472120,#109552); #72562=LINE('',#472122,#109553); #72563=LINE('',#472123,#109554); #72564=LINE('',#472126,#109555); #72565=LINE('',#472128,#109556); #72566=LINE('',#472129,#109557); #72567=LINE('',#472132,#109558); #72568=LINE('',#472134,#109559); #72569=LINE('',#472135,#109560); #72570=LINE('',#472138,#109561); #72571=LINE('',#472140,#109562); #72572=LINE('',#472141,#109563); #72573=LINE('',#472144,#109564); #72574=LINE('',#472146,#109565); #72575=LINE('',#472147,#109566); #72576=LINE('',#472150,#109567); #72577=LINE('',#472152,#109568); #72578=LINE('',#472153,#109569); #72579=LINE('',#472156,#109570); #72580=LINE('',#472158,#109571); #72581=LINE('',#472159,#109572); #72582=LINE('',#472162,#109573); #72583=LINE('',#472164,#109574); #72584=LINE('',#472165,#109575); #72585=LINE('',#472168,#109576); #72586=LINE('',#472170,#109577); #72587=LINE('',#472171,#109578); #72588=LINE('',#472174,#109579); #72589=LINE('',#472176,#109580); #72590=LINE('',#472177,#109581); #72591=LINE('',#472180,#109582); #72592=LINE('',#472182,#109583); #72593=LINE('',#472183,#109584); #72594=LINE('',#472186,#109585); #72595=LINE('',#472188,#109586); #72596=LINE('',#472189,#109587); #72597=LINE('',#472192,#109588); #72598=LINE('',#472194,#109589); #72599=LINE('',#472195,#109590); #72600=LINE('',#472198,#109591); #72601=LINE('',#472200,#109592); #72602=LINE('',#472201,#109593); #72603=LINE('',#472204,#109594); #72604=LINE('',#472206,#109595); #72605=LINE('',#472207,#109596); #72606=LINE('',#472210,#109597); #72607=LINE('',#472212,#109598); #72608=LINE('',#472213,#109599); #72609=LINE('',#472216,#109600); #72610=LINE('',#472218,#109601); #72611=LINE('',#472219,#109602); #72612=LINE('',#472222,#109603); #72613=LINE('',#472224,#109604); #72614=LINE('',#472225,#109605); #72615=LINE('',#472228,#109606); #72616=LINE('',#472230,#109607); #72617=LINE('',#472231,#109608); #72618=LINE('',#472234,#109609); #72619=LINE('',#472236,#109610); #72620=LINE('',#472237,#109611); #72621=LINE('',#472240,#109612); #72622=LINE('',#472242,#109613); #72623=LINE('',#472243,#109614); #72624=LINE('',#472246,#109615); #72625=LINE('',#472248,#109616); #72626=LINE('',#472249,#109617); #72627=LINE('',#472252,#109618); #72628=LINE('',#472254,#109619); #72629=LINE('',#472255,#109620); #72630=LINE('',#472258,#109621); #72631=LINE('',#472260,#109622); #72632=LINE('',#472261,#109623); #72633=LINE('',#472264,#109624); #72634=LINE('',#472266,#109625); #72635=LINE('',#472267,#109626); #72636=LINE('',#472270,#109627); #72637=LINE('',#472272,#109628); #72638=LINE('',#472273,#109629); #72639=LINE('',#472276,#109630); #72640=LINE('',#472278,#109631); #72641=LINE('',#472279,#109632); #72642=LINE('',#472282,#109633); #72643=LINE('',#472284,#109634); #72644=LINE('',#472285,#109635); #72645=LINE('',#472288,#109636); #72646=LINE('',#472290,#109637); #72647=LINE('',#472291,#109638); #72648=LINE('',#472294,#109639); #72649=LINE('',#472296,#109640); #72650=LINE('',#472297,#109641); #72651=LINE('',#472300,#109642); #72652=LINE('',#472302,#109643); #72653=LINE('',#472303,#109644); #72654=LINE('',#472306,#109645); #72655=LINE('',#472308,#109646); #72656=LINE('',#472309,#109647); #72657=LINE('',#472312,#109648); #72658=LINE('',#472314,#109649); #72659=LINE('',#472315,#109650); #72660=LINE('',#472318,#109651); #72661=LINE('',#472320,#109652); #72662=LINE('',#472321,#109653); #72663=LINE('',#472324,#109654); #72664=LINE('',#472326,#109655); #72665=LINE('',#472327,#109656); #72666=LINE('',#472330,#109657); #72667=LINE('',#472332,#109658); #72668=LINE('',#472333,#109659); #72669=LINE('',#472336,#109660); #72670=LINE('',#472338,#109661); #72671=LINE('',#472339,#109662); #72672=LINE('',#472342,#109663); #72673=LINE('',#472344,#109664); #72674=LINE('',#472345,#109665); #72675=LINE('',#472348,#109666); #72676=LINE('',#472350,#109667); #72677=LINE('',#472351,#109668); #72678=LINE('',#472354,#109669); #72679=LINE('',#472356,#109670); #72680=LINE('',#472357,#109671); #72681=LINE('',#472360,#109672); #72682=LINE('',#472362,#109673); #72683=LINE('',#472363,#109674); #72684=LINE('',#472366,#109675); #72685=LINE('',#472368,#109676); #72686=LINE('',#472369,#109677); #72687=LINE('',#472372,#109678); #72688=LINE('',#472374,#109679); #72689=LINE('',#472375,#109680); #72690=LINE('',#472378,#109681); #72691=LINE('',#472380,#109682); #72692=LINE('',#472381,#109683); #72693=LINE('',#472384,#109684); #72694=LINE('',#472386,#109685); #72695=LINE('',#472387,#109686); #72696=LINE('',#472390,#109687); #72697=LINE('',#472392,#109688); #72698=LINE('',#472393,#109689); #72699=LINE('',#472396,#109690); #72700=LINE('',#472398,#109691); #72701=LINE('',#472399,#109692); #72702=LINE('',#472402,#109693); #72703=LINE('',#472404,#109694); #72704=LINE('',#472405,#109695); #72705=LINE('',#472408,#109696); #72706=LINE('',#472410,#109697); #72707=LINE('',#472411,#109698); #72708=LINE('',#472414,#109699); #72709=LINE('',#472416,#109700); #72710=LINE('',#472417,#109701); #72711=LINE('',#472420,#109702); #72712=LINE('',#472422,#109703); #72713=LINE('',#472423,#109704); #72714=LINE('',#472426,#109705); #72715=LINE('',#472428,#109706); #72716=LINE('',#472429,#109707); #72717=LINE('',#472432,#109708); #72718=LINE('',#472434,#109709); #72719=LINE('',#472435,#109710); #72720=LINE('',#472438,#109711); #72721=LINE('',#472440,#109712); #72722=LINE('',#472441,#109713); #72723=LINE('',#472444,#109714); #72724=LINE('',#472446,#109715); #72725=LINE('',#472447,#109716); #72726=LINE('',#472450,#109717); #72727=LINE('',#472452,#109718); #72728=LINE('',#472453,#109719); #72729=LINE('',#472456,#109720); #72730=LINE('',#472458,#109721); #72731=LINE('',#472459,#109722); #72732=LINE('',#472462,#109723); #72733=LINE('',#472464,#109724); #72734=LINE('',#472465,#109725); #72735=LINE('',#472468,#109726); #72736=LINE('',#472470,#109727); #72737=LINE('',#472471,#109728); #72738=LINE('',#472477,#109729); #72739=LINE('',#472480,#109730); #72740=LINE('',#472482,#109731); #72741=LINE('',#472483,#109732); #72742=LINE('',#472489,#109733); #72743=LINE('',#472492,#109734); #72744=LINE('',#472494,#109735); #72745=LINE('',#472495,#109736); #72746=LINE('',#472498,#109737); #72747=LINE('',#472500,#109738); #72748=LINE('',#472501,#109739); #72749=LINE('',#472504,#109740); #72750=LINE('',#472506,#109741); #72751=LINE('',#472507,#109742); #72752=LINE('',#472517,#109743); #72753=LINE('',#472522,#109744); #72754=LINE('',#472524,#109745); #72755=LINE('',#472526,#109746); #72756=LINE('',#472527,#109747); #72757=LINE('',#472530,#109748); #72758=LINE('',#472532,#109749); #72759=LINE('',#472533,#109750); #72760=LINE('',#472536,#109751); #72761=LINE('',#472538,#109752); #72762=LINE('',#472539,#109753); #72763=LINE('',#472542,#109754); #72764=LINE('',#472544,#109755); #72765=LINE('',#472545,#109756); #72766=LINE('',#472548,#109757); #72767=LINE('',#472550,#109758); #72768=LINE('',#472551,#109759); #72769=LINE('',#472557,#109760); #72770=LINE('',#472560,#109761); #72771=LINE('',#472562,#109762); #72772=LINE('',#472563,#109763); #72773=LINE('',#472566,#109764); #72774=LINE('',#472568,#109765); #72775=LINE('',#472569,#109766); #72776=LINE('',#472575,#109767); #72777=LINE('',#472578,#109768); #72778=LINE('',#472580,#109769); #72779=LINE('',#472581,#109770); #72780=LINE('',#472584,#109771); #72781=LINE('',#472586,#109772); #72782=LINE('',#472587,#109773); #72783=LINE('',#472593,#109774); #72784=LINE('',#472599,#109775); #72785=LINE('',#472602,#109776); #72786=LINE('',#472604,#109777); #72787=LINE('',#472605,#109778); #72788=LINE('',#472611,#109779); #72789=LINE('',#472614,#109780); #72790=LINE('',#472616,#109781); #72791=LINE('',#472617,#109782); #72792=LINE('',#472620,#109783); #72793=LINE('',#472622,#109784); #72794=LINE('',#472623,#109785); #72795=LINE('',#472629,#109786); #72796=LINE('',#472632,#109787); #72797=LINE('',#472634,#109788); #72798=LINE('',#472635,#109789); #72799=LINE('',#472638,#109790); #72800=LINE('',#472640,#109791); #72801=LINE('',#472641,#109792); #72802=LINE('',#472643,#109793); #72803=LINE('',#472644,#109794); #72804=LINE('',#472651,#109795); #72805=LINE('',#472657,#109796); #72806=LINE('',#472663,#109797); #72807=LINE('',#472669,#109798); #72808=LINE('',#472675,#109799); #72809=LINE('',#472681,#109800); #72810=LINE('',#472687,#109801); #72811=LINE('',#472693,#109802); #72812=LINE('',#472699,#109803); #72813=LINE('',#472705,#109804); #72814=LINE('',#472711,#109805); #72815=LINE('',#472717,#109806); #72816=LINE('',#472723,#109807); #72817=LINE('',#472729,#109808); #72818=LINE('',#472735,#109809); #72819=LINE('',#472741,#109810); #72820=LINE('',#472746,#109811); #72821=LINE('',#472748,#109812); #72822=LINE('',#472750,#109813); #72823=LINE('',#472751,#109814); #72824=LINE('',#472754,#109815); #72825=LINE('',#472756,#109816); #72826=LINE('',#472757,#109817); #72827=LINE('',#472760,#109818); #72828=LINE('',#472762,#109819); #72829=LINE('',#472763,#109820); #72830=LINE('',#472766,#109821); #72831=LINE('',#472768,#109822); #72832=LINE('',#472769,#109823); #72833=LINE('',#472772,#109824); #72834=LINE('',#472774,#109825); #72835=LINE('',#472775,#109826); #72836=LINE('',#472778,#109827); #72837=LINE('',#472780,#109828); #72838=LINE('',#472781,#109829); #72839=LINE('',#472784,#109830); #72840=LINE('',#472786,#109831); #72841=LINE('',#472787,#109832); #72842=LINE('',#472790,#109833); #72843=LINE('',#472792,#109834); #72844=LINE('',#472793,#109835); #72845=LINE('',#472796,#109836); #72846=LINE('',#472798,#109837); #72847=LINE('',#472799,#109838); #72848=LINE('',#472802,#109839); #72849=LINE('',#472804,#109840); #72850=LINE('',#472805,#109841); #72851=LINE('',#472808,#109842); #72852=LINE('',#472810,#109843); #72853=LINE('',#472811,#109844); #72854=LINE('',#472814,#109845); #72855=LINE('',#472816,#109846); #72856=LINE('',#472817,#109847); #72857=LINE('',#472820,#109848); #72858=LINE('',#472822,#109849); #72859=LINE('',#472823,#109850); #72860=LINE('',#472826,#109851); #72861=LINE('',#472828,#109852); #72862=LINE('',#472829,#109853); #72863=LINE('',#472832,#109854); #72864=LINE('',#472834,#109855); #72865=LINE('',#472835,#109856); #72866=LINE('',#472838,#109857); #72867=LINE('',#472840,#109858); #72868=LINE('',#472841,#109859); #72869=LINE('',#472844,#109860); #72870=LINE('',#472846,#109861); #72871=LINE('',#472847,#109862); #72872=LINE('',#472850,#109863); #72873=LINE('',#472852,#109864); #72874=LINE('',#472853,#109865); #72875=LINE('',#472856,#109866); #72876=LINE('',#472858,#109867); #72877=LINE('',#472859,#109868); #72878=LINE('',#472862,#109869); #72879=LINE('',#472864,#109870); #72880=LINE('',#472865,#109871); #72881=LINE('',#472868,#109872); #72882=LINE('',#472870,#109873); #72883=LINE('',#472871,#109874); #72884=LINE('',#472874,#109875); #72885=LINE('',#472876,#109876); #72886=LINE('',#472877,#109877); #72887=LINE('',#472880,#109878); #72888=LINE('',#472882,#109879); #72889=LINE('',#472883,#109880); #72890=LINE('',#472885,#109881); #72891=LINE('',#472886,#109882); #72892=LINE('',#472890,#109883); #72893=LINE('',#472892,#109884); #72894=LINE('',#472894,#109885); #72895=LINE('',#472895,#109886); #72896=LINE('',#472898,#109887); #72897=LINE('',#472900,#109888); #72898=LINE('',#472901,#109889); #72899=LINE('',#472904,#109890); #72900=LINE('',#472906,#109891); #72901=LINE('',#472907,#109892); #72902=LINE('',#472910,#109893); #72903=LINE('',#472912,#109894); #72904=LINE('',#472913,#109895); #72905=LINE('',#472916,#109896); #72906=LINE('',#472918,#109897); #72907=LINE('',#472919,#109898); #72908=LINE('',#472922,#109899); #72909=LINE('',#472924,#109900); #72910=LINE('',#472925,#109901); #72911=LINE('',#472928,#109902); #72912=LINE('',#472930,#109903); #72913=LINE('',#472931,#109904); #72914=LINE('',#472934,#109905); #72915=LINE('',#472936,#109906); #72916=LINE('',#472937,#109907); #72917=LINE('',#472940,#109908); #72918=LINE('',#472942,#109909); #72919=LINE('',#472943,#109910); #72920=LINE('',#472946,#109911); #72921=LINE('',#472948,#109912); #72922=LINE('',#472949,#109913); #72923=LINE('',#472952,#109914); #72924=LINE('',#472954,#109915); #72925=LINE('',#472955,#109916); #72926=LINE('',#472958,#109917); #72927=LINE('',#472960,#109918); #72928=LINE('',#472961,#109919); #72929=LINE('',#472964,#109920); #72930=LINE('',#472966,#109921); #72931=LINE('',#472967,#109922); #72932=LINE('',#472970,#109923); #72933=LINE('',#472972,#109924); #72934=LINE('',#472973,#109925); #72935=LINE('',#472976,#109926); #72936=LINE('',#472978,#109927); #72937=LINE('',#472979,#109928); #72938=LINE('',#472982,#109929); #72939=LINE('',#472984,#109930); #72940=LINE('',#472985,#109931); #72941=LINE('',#472988,#109932); #72942=LINE('',#472990,#109933); #72943=LINE('',#472991,#109934); #72944=LINE('',#472994,#109935); #72945=LINE('',#472996,#109936); #72946=LINE('',#472997,#109937); #72947=LINE('',#473000,#109938); #72948=LINE('',#473002,#109939); #72949=LINE('',#473003,#109940); #72950=LINE('',#473006,#109941); #72951=LINE('',#473008,#109942); #72952=LINE('',#473009,#109943); #72953=LINE('',#473012,#109944); #72954=LINE('',#473014,#109945); #72955=LINE('',#473015,#109946); #72956=LINE('',#473018,#109947); #72957=LINE('',#473020,#109948); #72958=LINE('',#473021,#109949); #72959=LINE('',#473024,#109950); #72960=LINE('',#473026,#109951); #72961=LINE('',#473027,#109952); #72962=LINE('',#473030,#109953); #72963=LINE('',#473032,#109954); #72964=LINE('',#473033,#109955); #72965=LINE('',#473036,#109956); #72966=LINE('',#473038,#109957); #72967=LINE('',#473039,#109958); #72968=LINE('',#473042,#109959); #72969=LINE('',#473044,#109960); #72970=LINE('',#473045,#109961); #72971=LINE('',#473048,#109962); #72972=LINE('',#473050,#109963); #72973=LINE('',#473051,#109964); #72974=LINE('',#473054,#109965); #72975=LINE('',#473056,#109966); #72976=LINE('',#473057,#109967); #72977=LINE('',#473060,#109968); #72978=LINE('',#473062,#109969); #72979=LINE('',#473063,#109970); #72980=LINE('',#473066,#109971); #72981=LINE('',#473068,#109972); #72982=LINE('',#473069,#109973); #72983=LINE('',#473072,#109974); #72984=LINE('',#473074,#109975); #72985=LINE('',#473075,#109976); #72986=LINE('',#473078,#109977); #72987=LINE('',#473080,#109978); #72988=LINE('',#473081,#109979); #72989=LINE('',#473084,#109980); #72990=LINE('',#473086,#109981); #72991=LINE('',#473087,#109982); #72992=LINE('',#473090,#109983); #72993=LINE('',#473092,#109984); #72994=LINE('',#473093,#109985); #72995=LINE('',#473096,#109986); #72996=LINE('',#473098,#109987); #72997=LINE('',#473099,#109988); #72998=LINE('',#473102,#109989); #72999=LINE('',#473104,#109990); #73000=LINE('',#473105,#109991); #73001=LINE('',#473108,#109992); #73002=LINE('',#473110,#109993); #73003=LINE('',#473111,#109994); #73004=LINE('',#473114,#109995); #73005=LINE('',#473116,#109996); #73006=LINE('',#473117,#109997); #73007=LINE('',#473120,#109998); #73008=LINE('',#473122,#109999); #73009=LINE('',#473123,#110000); #73010=LINE('',#473126,#110001); #73011=LINE('',#473128,#110002); #73012=LINE('',#473129,#110003); #73013=LINE('',#473132,#110004); #73014=LINE('',#473134,#110005); #73015=LINE('',#473135,#110006); #73016=LINE('',#473138,#110007); #73017=LINE('',#473140,#110008); #73018=LINE('',#473141,#110009); #73019=LINE('',#473144,#110010); #73020=LINE('',#473146,#110011); #73021=LINE('',#473147,#110012); #73022=LINE('',#473150,#110013); #73023=LINE('',#473152,#110014); #73024=LINE('',#473153,#110015); #73025=LINE('',#473156,#110016); #73026=LINE('',#473158,#110017); #73027=LINE('',#473159,#110018); #73028=LINE('',#473162,#110019); #73029=LINE('',#473164,#110020); #73030=LINE('',#473165,#110021); #73031=LINE('',#473168,#110022); #73032=LINE('',#473170,#110023); #73033=LINE('',#473171,#110024); #73034=LINE('',#473174,#110025); #73035=LINE('',#473176,#110026); #73036=LINE('',#473177,#110027); #73037=LINE('',#473180,#110028); #73038=LINE('',#473182,#110029); #73039=LINE('',#473183,#110030); #73040=LINE('',#473186,#110031); #73041=LINE('',#473188,#110032); #73042=LINE('',#473189,#110033); #73043=LINE('',#473192,#110034); #73044=LINE('',#473194,#110035); #73045=LINE('',#473195,#110036); #73046=LINE('',#473198,#110037); #73047=LINE('',#473200,#110038); #73048=LINE('',#473201,#110039); #73049=LINE('',#473204,#110040); #73050=LINE('',#473206,#110041); #73051=LINE('',#473207,#110042); #73052=LINE('',#473210,#110043); #73053=LINE('',#473212,#110044); #73054=LINE('',#473213,#110045); #73055=LINE('',#473216,#110046); #73056=LINE('',#473218,#110047); #73057=LINE('',#473219,#110048); #73058=LINE('',#473222,#110049); #73059=LINE('',#473224,#110050); #73060=LINE('',#473225,#110051); #73061=LINE('',#473228,#110052); #73062=LINE('',#473230,#110053); #73063=LINE('',#473231,#110054); #73064=LINE('',#473234,#110055); #73065=LINE('',#473236,#110056); #73066=LINE('',#473237,#110057); #73067=LINE('',#473240,#110058); #73068=LINE('',#473242,#110059); #73069=LINE('',#473243,#110060); #73070=LINE('',#473246,#110061); #73071=LINE('',#473248,#110062); #73072=LINE('',#473249,#110063); #73073=LINE('',#473252,#110064); #73074=LINE('',#473254,#110065); #73075=LINE('',#473255,#110066); #73076=LINE('',#473258,#110067); #73077=LINE('',#473260,#110068); #73078=LINE('',#473261,#110069); #73079=LINE('',#473264,#110070); #73080=LINE('',#473266,#110071); #73081=LINE('',#473267,#110072); #73082=LINE('',#473270,#110073); #73083=LINE('',#473272,#110074); #73084=LINE('',#473273,#110075); #73085=LINE('',#473276,#110076); #73086=LINE('',#473278,#110077); #73087=LINE('',#473279,#110078); #73088=LINE('',#473282,#110079); #73089=LINE('',#473284,#110080); #73090=LINE('',#473285,#110081); #73091=LINE('',#473288,#110082); #73092=LINE('',#473290,#110083); #73093=LINE('',#473291,#110084); #73094=LINE('',#473294,#110085); #73095=LINE('',#473296,#110086); #73096=LINE('',#473297,#110087); #73097=LINE('',#473300,#110088); #73098=LINE('',#473302,#110089); #73099=LINE('',#473303,#110090); #73100=LINE('',#473306,#110091); #73101=LINE('',#473308,#110092); #73102=LINE('',#473309,#110093); #73103=LINE('',#473312,#110094); #73104=LINE('',#473314,#110095); #73105=LINE('',#473315,#110096); #73106=LINE('',#473318,#110097); #73107=LINE('',#473320,#110098); #73108=LINE('',#473321,#110099); #73109=LINE('',#473324,#110100); #73110=LINE('',#473326,#110101); #73111=LINE('',#473327,#110102); #73112=LINE('',#473330,#110103); #73113=LINE('',#473332,#110104); #73114=LINE('',#473333,#110105); #73115=LINE('',#473336,#110106); #73116=LINE('',#473338,#110107); #73117=LINE('',#473339,#110108); #73118=LINE('',#473342,#110109); #73119=LINE('',#473344,#110110); #73120=LINE('',#473345,#110111); #73121=LINE('',#473348,#110112); #73122=LINE('',#473350,#110113); #73123=LINE('',#473351,#110114); #73124=LINE('',#473354,#110115); #73125=LINE('',#473356,#110116); #73126=LINE('',#473357,#110117); #73127=LINE('',#473360,#110118); #73128=LINE('',#473362,#110119); #73129=LINE('',#473363,#110120); #73130=LINE('',#473366,#110121); #73131=LINE('',#473368,#110122); #73132=LINE('',#473369,#110123); #73133=LINE('',#473372,#110124); #73134=LINE('',#473374,#110125); #73135=LINE('',#473375,#110126); #73136=LINE('',#473378,#110127); #73137=LINE('',#473380,#110128); #73138=LINE('',#473381,#110129); #73139=LINE('',#473384,#110130); #73140=LINE('',#473386,#110131); #73141=LINE('',#473387,#110132); #73142=LINE('',#473390,#110133); #73143=LINE('',#473392,#110134); #73144=LINE('',#473393,#110135); #73145=LINE('',#473396,#110136); #73146=LINE('',#473398,#110137); #73147=LINE('',#473399,#110138); #73148=LINE('',#473402,#110139); #73149=LINE('',#473404,#110140); #73150=LINE('',#473405,#110141); #73151=LINE('',#473408,#110142); #73152=LINE('',#473410,#110143); #73153=LINE('',#473411,#110144); #73154=LINE('',#473414,#110145); #73155=LINE('',#473416,#110146); #73156=LINE('',#473417,#110147); #73157=LINE('',#473420,#110148); #73158=LINE('',#473422,#110149); #73159=LINE('',#473423,#110150); #73160=LINE('',#473426,#110151); #73161=LINE('',#473428,#110152); #73162=LINE('',#473429,#110153); #73163=LINE('',#473432,#110154); #73164=LINE('',#473434,#110155); #73165=LINE('',#473435,#110156); #73166=LINE('',#473438,#110157); #73167=LINE('',#473440,#110158); #73168=LINE('',#473441,#110159); #73169=LINE('',#473444,#110160); #73170=LINE('',#473446,#110161); #73171=LINE('',#473447,#110162); #73172=LINE('',#473450,#110163); #73173=LINE('',#473452,#110164); #73174=LINE('',#473453,#110165); #73175=LINE('',#473456,#110166); #73176=LINE('',#473458,#110167); #73177=LINE('',#473459,#110168); #73178=LINE('',#473462,#110169); #73179=LINE('',#473464,#110170); #73180=LINE('',#473465,#110171); #73181=LINE('',#473468,#110172); #73182=LINE('',#473470,#110173); #73183=LINE('',#473471,#110174); #73184=LINE('',#473474,#110175); #73185=LINE('',#473476,#110176); #73186=LINE('',#473477,#110177); #73187=LINE('',#473480,#110178); #73188=LINE('',#473482,#110179); #73189=LINE('',#473483,#110180); #73190=LINE('',#473486,#110181); #73191=LINE('',#473488,#110182); #73192=LINE('',#473489,#110183); #73193=LINE('',#473492,#110184); #73194=LINE('',#473494,#110185); #73195=LINE('',#473495,#110186); #73196=LINE('',#473498,#110187); #73197=LINE('',#473500,#110188); #73198=LINE('',#473501,#110189); #73199=LINE('',#473504,#110190); #73200=LINE('',#473506,#110191); #73201=LINE('',#473507,#110192); #73202=LINE('',#473510,#110193); #73203=LINE('',#473512,#110194); #73204=LINE('',#473513,#110195); #73205=LINE('',#473516,#110196); #73206=LINE('',#473518,#110197); #73207=LINE('',#473519,#110198); #73208=LINE('',#473522,#110199); #73209=LINE('',#473524,#110200); #73210=LINE('',#473525,#110201); #73211=LINE('',#473528,#110202); #73212=LINE('',#473530,#110203); #73213=LINE('',#473531,#110204); #73214=LINE('',#473534,#110205); #73215=LINE('',#473536,#110206); #73216=LINE('',#473537,#110207); #73217=LINE('',#473540,#110208); #73218=LINE('',#473542,#110209); #73219=LINE('',#473543,#110210); #73220=LINE('',#473546,#110211); #73221=LINE('',#473548,#110212); #73222=LINE('',#473549,#110213); #73223=LINE('',#473552,#110214); #73224=LINE('',#473554,#110215); #73225=LINE('',#473555,#110216); #73226=LINE('',#473558,#110217); #73227=LINE('',#473560,#110218); #73228=LINE('',#473561,#110219); #73229=LINE('',#473564,#110220); #73230=LINE('',#473566,#110221); #73231=LINE('',#473567,#110222); #73232=LINE('',#473570,#110223); #73233=LINE('',#473572,#110224); #73234=LINE('',#473573,#110225); #73235=LINE('',#473576,#110226); #73236=LINE('',#473578,#110227); #73237=LINE('',#473579,#110228); #73238=LINE('',#473582,#110229); #73239=LINE('',#473584,#110230); #73240=LINE('',#473585,#110231); #73241=LINE('',#473588,#110232); #73242=LINE('',#473590,#110233); #73243=LINE('',#473591,#110234); #73244=LINE('',#473594,#110235); #73245=LINE('',#473596,#110236); #73246=LINE('',#473597,#110237); #73247=LINE('',#473600,#110238); #73248=LINE('',#473602,#110239); #73249=LINE('',#473603,#110240); #73250=LINE('',#473606,#110241); #73251=LINE('',#473608,#110242); #73252=LINE('',#473609,#110243); #73253=LINE('',#473612,#110244); #73254=LINE('',#473614,#110245); #73255=LINE('',#473615,#110246); #73256=LINE('',#473618,#110247); #73257=LINE('',#473620,#110248); #73258=LINE('',#473621,#110249); #73259=LINE('',#473624,#110250); #73260=LINE('',#473626,#110251); #73261=LINE('',#473627,#110252); #73262=LINE('',#473630,#110253); #73263=LINE('',#473632,#110254); #73264=LINE('',#473633,#110255); #73265=LINE('',#473636,#110256); #73266=LINE('',#473638,#110257); #73267=LINE('',#473639,#110258); #73268=LINE('',#473642,#110259); #73269=LINE('',#473644,#110260); #73270=LINE('',#473645,#110261); #73271=LINE('',#473648,#110262); #73272=LINE('',#473650,#110263); #73273=LINE('',#473651,#110264); #73274=LINE('',#473654,#110265); #73275=LINE('',#473656,#110266); #73276=LINE('',#473657,#110267); #73277=LINE('',#473660,#110268); #73278=LINE('',#473662,#110269); #73279=LINE('',#473663,#110270); #73280=LINE('',#473666,#110271); #73281=LINE('',#473668,#110272); #73282=LINE('',#473669,#110273); #73283=LINE('',#473672,#110274); #73284=LINE('',#473674,#110275); #73285=LINE('',#473675,#110276); #73286=LINE('',#473678,#110277); #73287=LINE('',#473680,#110278); #73288=LINE('',#473681,#110279); #73289=LINE('',#473684,#110280); #73290=LINE('',#473686,#110281); #73291=LINE('',#473687,#110282); #73292=LINE('',#473690,#110283); #73293=LINE('',#473692,#110284); #73294=LINE('',#473693,#110285); #73295=LINE('',#473696,#110286); #73296=LINE('',#473698,#110287); #73297=LINE('',#473699,#110288); #73298=LINE('',#473702,#110289); #73299=LINE('',#473704,#110290); #73300=LINE('',#473705,#110291); #73301=LINE('',#473708,#110292); #73302=LINE('',#473710,#110293); #73303=LINE('',#473711,#110294); #73304=LINE('',#473714,#110295); #73305=LINE('',#473716,#110296); #73306=LINE('',#473717,#110297); #73307=LINE('',#473720,#110298); #73308=LINE('',#473722,#110299); #73309=LINE('',#473723,#110300); #73310=LINE('',#473726,#110301); #73311=LINE('',#473728,#110302); #73312=LINE('',#473729,#110303); #73313=LINE('',#473732,#110304); #73314=LINE('',#473734,#110305); #73315=LINE('',#473735,#110306); #73316=LINE('',#473738,#110307); #73317=LINE('',#473740,#110308); #73318=LINE('',#473741,#110309); #73319=LINE('',#473744,#110310); #73320=LINE('',#473746,#110311); #73321=LINE('',#473747,#110312); #73322=LINE('',#473750,#110313); #73323=LINE('',#473752,#110314); #73324=LINE('',#473753,#110315); #73325=LINE('',#473756,#110316); #73326=LINE('',#473758,#110317); #73327=LINE('',#473759,#110318); #73328=LINE('',#473762,#110319); #73329=LINE('',#473764,#110320); #73330=LINE('',#473765,#110321); #73331=LINE('',#473768,#110322); #73332=LINE('',#473770,#110323); #73333=LINE('',#473771,#110324); #73334=LINE('',#473774,#110325); #73335=LINE('',#473776,#110326); #73336=LINE('',#473777,#110327); #73337=LINE('',#473780,#110328); #73338=LINE('',#473782,#110329); #73339=LINE('',#473783,#110330); #73340=LINE('',#473786,#110331); #73341=LINE('',#473788,#110332); #73342=LINE('',#473789,#110333); #73343=LINE('',#473792,#110334); #73344=LINE('',#473794,#110335); #73345=LINE('',#473795,#110336); #73346=LINE('',#473798,#110337); #73347=LINE('',#473800,#110338); #73348=LINE('',#473801,#110339); #73349=LINE('',#473804,#110340); #73350=LINE('',#473806,#110341); #73351=LINE('',#473807,#110342); #73352=LINE('',#473810,#110343); #73353=LINE('',#473812,#110344); #73354=LINE('',#473813,#110345); #73355=LINE('',#473816,#110346); #73356=LINE('',#473818,#110347); #73357=LINE('',#473819,#110348); #73358=LINE('',#473822,#110349); #73359=LINE('',#473824,#110350); #73360=LINE('',#473825,#110351); #73361=LINE('',#473828,#110352); #73362=LINE('',#473830,#110353); #73363=LINE('',#473831,#110354); #73364=LINE('',#473834,#110355); #73365=LINE('',#473836,#110356); #73366=LINE('',#473837,#110357); #73367=LINE('',#473840,#110358); #73368=LINE('',#473842,#110359); #73369=LINE('',#473843,#110360); #73370=LINE('',#473846,#110361); #73371=LINE('',#473848,#110362); #73372=LINE('',#473849,#110363); #73373=LINE('',#473852,#110364); #73374=LINE('',#473854,#110365); #73375=LINE('',#473855,#110366); #73376=LINE('',#473858,#110367); #73377=LINE('',#473860,#110368); #73378=LINE('',#473861,#110369); #73379=LINE('',#473864,#110370); #73380=LINE('',#473866,#110371); #73381=LINE('',#473867,#110372); #73382=LINE('',#473870,#110373); #73383=LINE('',#473872,#110374); #73384=LINE('',#473873,#110375); #73385=LINE('',#473876,#110376); #73386=LINE('',#473878,#110377); #73387=LINE('',#473879,#110378); #73388=LINE('',#473882,#110379); #73389=LINE('',#473884,#110380); #73390=LINE('',#473885,#110381); #73391=LINE('',#473888,#110382); #73392=LINE('',#473890,#110383); #73393=LINE('',#473891,#110384); #73394=LINE('',#473894,#110385); #73395=LINE('',#473896,#110386); #73396=LINE('',#473897,#110387); #73397=LINE('',#473900,#110388); #73398=LINE('',#473902,#110389); #73399=LINE('',#473903,#110390); #73400=LINE('',#473906,#110391); #73401=LINE('',#473908,#110392); #73402=LINE('',#473909,#110393); #73403=LINE('',#473912,#110394); #73404=LINE('',#473914,#110395); #73405=LINE('',#473915,#110396); #73406=LINE('',#473918,#110397); #73407=LINE('',#473920,#110398); #73408=LINE('',#473921,#110399); #73409=LINE('',#473924,#110400); #73410=LINE('',#473926,#110401); #73411=LINE('',#473927,#110402); #73412=LINE('',#473930,#110403); #73413=LINE('',#473932,#110404); #73414=LINE('',#473933,#110405); #73415=LINE('',#473936,#110406); #73416=LINE('',#473938,#110407); #73417=LINE('',#473939,#110408); #73418=LINE('',#473942,#110409); #73419=LINE('',#473944,#110410); #73420=LINE('',#473945,#110411); #73421=LINE('',#473948,#110412); #73422=LINE('',#473950,#110413); #73423=LINE('',#473951,#110414); #73424=LINE('',#473954,#110415); #73425=LINE('',#473956,#110416); #73426=LINE('',#473957,#110417); #73427=LINE('',#473960,#110418); #73428=LINE('',#473962,#110419); #73429=LINE('',#473963,#110420); #73430=LINE('',#473966,#110421); #73431=LINE('',#473968,#110422); #73432=LINE('',#473969,#110423); #73433=LINE('',#473972,#110424); #73434=LINE('',#473974,#110425); #73435=LINE('',#473975,#110426); #73436=LINE('',#473978,#110427); #73437=LINE('',#473980,#110428); #73438=LINE('',#473981,#110429); #73439=LINE('',#473984,#110430); #73440=LINE('',#473986,#110431); #73441=LINE('',#473987,#110432); #73442=LINE('',#473990,#110433); #73443=LINE('',#473992,#110434); #73444=LINE('',#473993,#110435); #73445=LINE('',#473996,#110436); #73446=LINE('',#473998,#110437); #73447=LINE('',#473999,#110438); #73448=LINE('',#474002,#110439); #73449=LINE('',#474004,#110440); #73450=LINE('',#474005,#110441); #73451=LINE('',#474008,#110442); #73452=LINE('',#474010,#110443); #73453=LINE('',#474011,#110444); #73454=LINE('',#474014,#110445); #73455=LINE('',#474016,#110446); #73456=LINE('',#474017,#110447); #73457=LINE('',#474020,#110448); #73458=LINE('',#474022,#110449); #73459=LINE('',#474023,#110450); #73460=LINE('',#474026,#110451); #73461=LINE('',#474028,#110452); #73462=LINE('',#474029,#110453); #73463=LINE('',#474032,#110454); #73464=LINE('',#474034,#110455); #73465=LINE('',#474035,#110456); #73466=LINE('',#474038,#110457); #73467=LINE('',#474040,#110458); #73468=LINE('',#474041,#110459); #73469=LINE('',#474044,#110460); #73470=LINE('',#474046,#110461); #73471=LINE('',#474047,#110462); #73472=LINE('',#474050,#110463); #73473=LINE('',#474052,#110464); #73474=LINE('',#474053,#110465); #73475=LINE('',#474056,#110466); #73476=LINE('',#474058,#110467); #73477=LINE('',#474059,#110468); #73478=LINE('',#474062,#110469); #73479=LINE('',#474064,#110470); #73480=LINE('',#474065,#110471); #73481=LINE('',#474068,#110472); #73482=LINE('',#474070,#110473); #73483=LINE('',#474071,#110474); #73484=LINE('',#474074,#110475); #73485=LINE('',#474076,#110476); #73486=LINE('',#474077,#110477); #73487=LINE('',#474080,#110478); #73488=LINE('',#474082,#110479); #73489=LINE('',#474083,#110480); #73490=LINE('',#474089,#110481); #73491=LINE('',#474092,#110482); #73492=LINE('',#474094,#110483); #73493=LINE('',#474095,#110484); #73494=LINE('',#474101,#110485); #73495=LINE('',#474104,#110486); #73496=LINE('',#474106,#110487); #73497=LINE('',#474107,#110488); #73498=LINE('',#474110,#110489); #73499=LINE('',#474112,#110490); #73500=LINE('',#474113,#110491); #73501=LINE('',#474116,#110492); #73502=LINE('',#474118,#110493); #73503=LINE('',#474119,#110494); #73504=LINE('',#474122,#110495); #73505=LINE('',#474124,#110496); #73506=LINE('',#474125,#110497); #73507=LINE('',#474128,#110498); #73508=LINE('',#474130,#110499); #73509=LINE('',#474131,#110500); #73510=LINE('',#474134,#110501); #73511=LINE('',#474136,#110502); #73512=LINE('',#474137,#110503); #73513=LINE('',#474140,#110504); #73514=LINE('',#474142,#110505); #73515=LINE('',#474143,#110506); #73516=LINE('',#474146,#110507); #73517=LINE('',#474148,#110508); #73518=LINE('',#474149,#110509); #73519=LINE('',#474155,#110510); #73520=LINE('',#474158,#110511); #73521=LINE('',#474160,#110512); #73522=LINE('',#474161,#110513); #73523=LINE('',#474164,#110514); #73524=LINE('',#474166,#110515); #73525=LINE('',#474167,#110516); #73526=LINE('',#474173,#110517); #73527=LINE('',#474176,#110518); #73528=LINE('',#474178,#110519); #73529=LINE('',#474179,#110520); #73530=LINE('',#474182,#110521); #73531=LINE('',#474184,#110522); #73532=LINE('',#474185,#110523); #73533=LINE('',#474188,#110524); #73534=LINE('',#474190,#110525); #73535=LINE('',#474191,#110526); #73536=LINE('',#474194,#110527); #73537=LINE('',#474196,#110528); #73538=LINE('',#474197,#110529); #73539=LINE('',#474200,#110530); #73540=LINE('',#474202,#110531); #73541=LINE('',#474203,#110532); #73542=LINE('',#474206,#110533); #73543=LINE('',#474208,#110534); #73544=LINE('',#474209,#110535); #73545=LINE('',#474212,#110536); #73546=LINE('',#474214,#110537); #73547=LINE('',#474215,#110538); #73548=LINE('',#474218,#110539); #73549=LINE('',#474220,#110540); #73550=LINE('',#474221,#110541); #73551=LINE('',#474224,#110542); #73552=LINE('',#474226,#110543); #73553=LINE('',#474227,#110544); #73554=LINE('',#474230,#110545); #73555=LINE('',#474232,#110546); #73556=LINE('',#474233,#110547); #73557=LINE('',#474236,#110548); #73558=LINE('',#474238,#110549); #73559=LINE('',#474239,#110550); #73560=LINE('',#474242,#110551); #73561=LINE('',#474244,#110552); #73562=LINE('',#474245,#110553); #73563=LINE('',#474248,#110554); #73564=LINE('',#474250,#110555); #73565=LINE('',#474251,#110556); #73566=LINE('',#474254,#110557); #73567=LINE('',#474256,#110558); #73568=LINE('',#474257,#110559); #73569=LINE('',#474260,#110560); #73570=LINE('',#474262,#110561); #73571=LINE('',#474263,#110562); #73572=LINE('',#474266,#110563); #73573=LINE('',#474268,#110564); #73574=LINE('',#474269,#110565); #73575=LINE('',#474272,#110566); #73576=LINE('',#474274,#110567); #73577=LINE('',#474275,#110568); #73578=LINE('',#474278,#110569); #73579=LINE('',#474280,#110570); #73580=LINE('',#474281,#110571); #73581=LINE('',#474284,#110572); #73582=LINE('',#474286,#110573); #73583=LINE('',#474287,#110574); #73584=LINE('',#474290,#110575); #73585=LINE('',#474292,#110576); #73586=LINE('',#474293,#110577); #73587=LINE('',#474296,#110578); #73588=LINE('',#474298,#110579); #73589=LINE('',#474299,#110580); #73590=LINE('',#474302,#110581); #73591=LINE('',#474304,#110582); #73592=LINE('',#474305,#110583); #73593=LINE('',#474308,#110584); #73594=LINE('',#474310,#110585); #73595=LINE('',#474311,#110586); #73596=LINE('',#474314,#110587); #73597=LINE('',#474316,#110588); #73598=LINE('',#474317,#110589); #73599=LINE('',#474320,#110590); #73600=LINE('',#474322,#110591); #73601=LINE('',#474323,#110592); #73602=LINE('',#474326,#110593); #73603=LINE('',#474328,#110594); #73604=LINE('',#474329,#110595); #73605=LINE('',#474332,#110596); #73606=LINE('',#474334,#110597); #73607=LINE('',#474335,#110598); #73608=LINE('',#474338,#110599); #73609=LINE('',#474340,#110600); #73610=LINE('',#474341,#110601); #73611=LINE('',#474344,#110602); #73612=LINE('',#474346,#110603); #73613=LINE('',#474347,#110604); #73614=LINE('',#474350,#110605); #73615=LINE('',#474352,#110606); #73616=LINE('',#474353,#110607); #73617=LINE('',#474356,#110608); #73618=LINE('',#474358,#110609); #73619=LINE('',#474359,#110610); #73620=LINE('',#474362,#110611); #73621=LINE('',#474364,#110612); #73622=LINE('',#474365,#110613); #73623=LINE('',#474368,#110614); #73624=LINE('',#474370,#110615); #73625=LINE('',#474371,#110616); #73626=LINE('',#474374,#110617); #73627=LINE('',#474376,#110618); #73628=LINE('',#474377,#110619); #73629=LINE('',#474380,#110620); #73630=LINE('',#474382,#110621); #73631=LINE('',#474383,#110622); #73632=LINE('',#474386,#110623); #73633=LINE('',#474388,#110624); #73634=LINE('',#474389,#110625); #73635=LINE('',#474392,#110626); #73636=LINE('',#474394,#110627); #73637=LINE('',#474395,#110628); #73638=LINE('',#474398,#110629); #73639=LINE('',#474400,#110630); #73640=LINE('',#474401,#110631); #73641=LINE('',#474404,#110632); #73642=LINE('',#474406,#110633); #73643=LINE('',#474407,#110634); #73644=LINE('',#474410,#110635); #73645=LINE('',#474412,#110636); #73646=LINE('',#474413,#110637); #73647=LINE('',#474416,#110638); #73648=LINE('',#474418,#110639); #73649=LINE('',#474419,#110640); #73650=LINE('',#474422,#110641); #73651=LINE('',#474424,#110642); #73652=LINE('',#474425,#110643); #73653=LINE('',#474428,#110644); #73654=LINE('',#474430,#110645); #73655=LINE('',#474431,#110646); #73656=LINE('',#474434,#110647); #73657=LINE('',#474436,#110648); #73658=LINE('',#474437,#110649); #73659=LINE('',#474440,#110650); #73660=LINE('',#474442,#110651); #73661=LINE('',#474443,#110652); #73662=LINE('',#474446,#110653); #73663=LINE('',#474448,#110654); #73664=LINE('',#474449,#110655); #73665=LINE('',#474452,#110656); #73666=LINE('',#474454,#110657); #73667=LINE('',#474455,#110658); #73668=LINE('',#474458,#110659); #73669=LINE('',#474460,#110660); #73670=LINE('',#474461,#110661); #73671=LINE('',#474464,#110662); #73672=LINE('',#474466,#110663); #73673=LINE('',#474467,#110664); #73674=LINE('',#474470,#110665); #73675=LINE('',#474472,#110666); #73676=LINE('',#474473,#110667); #73677=LINE('',#474476,#110668); #73678=LINE('',#474478,#110669); #73679=LINE('',#474479,#110670); #73680=LINE('',#474482,#110671); #73681=LINE('',#474484,#110672); #73682=LINE('',#474485,#110673); #73683=LINE('',#474488,#110674); #73684=LINE('',#474490,#110675); #73685=LINE('',#474491,#110676); #73686=LINE('',#474494,#110677); #73687=LINE('',#474496,#110678); #73688=LINE('',#474497,#110679); #73689=LINE('',#474500,#110680); #73690=LINE('',#474502,#110681); #73691=LINE('',#474503,#110682); #73692=LINE('',#474506,#110683); #73693=LINE('',#474508,#110684); #73694=LINE('',#474509,#110685); #73695=LINE('',#474512,#110686); #73696=LINE('',#474514,#110687); #73697=LINE('',#474515,#110688); #73698=LINE('',#474518,#110689); #73699=LINE('',#474520,#110690); #73700=LINE('',#474521,#110691); #73701=LINE('',#474524,#110692); #73702=LINE('',#474526,#110693); #73703=LINE('',#474527,#110694); #73704=LINE('',#474530,#110695); #73705=LINE('',#474532,#110696); #73706=LINE('',#474533,#110697); #73707=LINE('',#474536,#110698); #73708=LINE('',#474538,#110699); #73709=LINE('',#474539,#110700); #73710=LINE('',#474542,#110701); #73711=LINE('',#474544,#110702); #73712=LINE('',#474545,#110703); #73713=LINE('',#474548,#110704); #73714=LINE('',#474550,#110705); #73715=LINE('',#474551,#110706); #73716=LINE('',#474554,#110707); #73717=LINE('',#474556,#110708); #73718=LINE('',#474557,#110709); #73719=LINE('',#474560,#110710); #73720=LINE('',#474562,#110711); #73721=LINE('',#474563,#110712); #73722=LINE('',#474566,#110713); #73723=LINE('',#474568,#110714); #73724=LINE('',#474569,#110715); #73725=LINE('',#474572,#110716); #73726=LINE('',#474574,#110717); #73727=LINE('',#474575,#110718); #73728=LINE('',#474578,#110719); #73729=LINE('',#474580,#110720); #73730=LINE('',#474581,#110721); #73731=LINE('',#474584,#110722); #73732=LINE('',#474586,#110723); #73733=LINE('',#474587,#110724); #73734=LINE('',#474590,#110725); #73735=LINE('',#474592,#110726); #73736=LINE('',#474593,#110727); #73737=LINE('',#474596,#110728); #73738=LINE('',#474598,#110729); #73739=LINE('',#474599,#110730); #73740=LINE('',#474602,#110731); #73741=LINE('',#474604,#110732); #73742=LINE('',#474605,#110733); #73743=LINE('',#474608,#110734); #73744=LINE('',#474610,#110735); #73745=LINE('',#474611,#110736); #73746=LINE('',#474614,#110737); #73747=LINE('',#474616,#110738); #73748=LINE('',#474617,#110739); #73749=LINE('',#474620,#110740); #73750=LINE('',#474622,#110741); #73751=LINE('',#474623,#110742); #73752=LINE('',#474626,#110743); #73753=LINE('',#474628,#110744); #73754=LINE('',#474629,#110745); #73755=LINE('',#474632,#110746); #73756=LINE('',#474634,#110747); #73757=LINE('',#474635,#110748); #73758=LINE('',#474638,#110749); #73759=LINE('',#474640,#110750); #73760=LINE('',#474641,#110751); #73761=LINE('',#474644,#110752); #73762=LINE('',#474646,#110753); #73763=LINE('',#474647,#110754); #73764=LINE('',#474650,#110755); #73765=LINE('',#474652,#110756); #73766=LINE('',#474653,#110757); #73767=LINE('',#474656,#110758); #73768=LINE('',#474658,#110759); #73769=LINE('',#474659,#110760); #73770=LINE('',#474662,#110761); #73771=LINE('',#474664,#110762); #73772=LINE('',#474665,#110763); #73773=LINE('',#474668,#110764); #73774=LINE('',#474670,#110765); #73775=LINE('',#474671,#110766); #73776=LINE('',#474673,#110767); #73777=LINE('',#474674,#110768); #73778=LINE('',#474680,#110769); #73779=LINE('',#474682,#110770); #73780=LINE('',#474684,#110771); #73781=LINE('',#474685,#110772); #73782=LINE('',#474688,#110773); #73783=LINE('',#474690,#110774); #73784=LINE('',#474691,#110775); #73785=LINE('',#474694,#110776); #73786=LINE('',#474696,#110777); #73787=LINE('',#474697,#110778); #73788=LINE('',#474700,#110779); #73789=LINE('',#474702,#110780); #73790=LINE('',#474703,#110781); #73791=LINE('',#474706,#110782); #73792=LINE('',#474708,#110783); #73793=LINE('',#474709,#110784); #73794=LINE('',#474712,#110785); #73795=LINE('',#474714,#110786); #73796=LINE('',#474715,#110787); #73797=LINE('',#474718,#110788); #73798=LINE('',#474720,#110789); #73799=LINE('',#474721,#110790); #73800=LINE('',#474724,#110791); #73801=LINE('',#474726,#110792); #73802=LINE('',#474727,#110793); #73803=LINE('',#474730,#110794); #73804=LINE('',#474732,#110795); #73805=LINE('',#474733,#110796); #73806=LINE('',#474736,#110797); #73807=LINE('',#474738,#110798); #73808=LINE('',#474739,#110799); #73809=LINE('',#474742,#110800); #73810=LINE('',#474744,#110801); #73811=LINE('',#474745,#110802); #73812=LINE('',#474748,#110803); #73813=LINE('',#474750,#110804); #73814=LINE('',#474751,#110805); #73815=LINE('',#474754,#110806); #73816=LINE('',#474756,#110807); #73817=LINE('',#474757,#110808); #73818=LINE('',#474760,#110809); #73819=LINE('',#474762,#110810); #73820=LINE('',#474763,#110811); #73821=LINE('',#474766,#110812); #73822=LINE('',#474768,#110813); #73823=LINE('',#474769,#110814); #73824=LINE('',#474772,#110815); #73825=LINE('',#474774,#110816); #73826=LINE('',#474775,#110817); #73827=LINE('',#474778,#110818); #73828=LINE('',#474780,#110819); #73829=LINE('',#474781,#110820); #73830=LINE('',#474784,#110821); #73831=LINE('',#474786,#110822); #73832=LINE('',#474787,#110823); #73833=LINE('',#474790,#110824); #73834=LINE('',#474792,#110825); #73835=LINE('',#474793,#110826); #73836=LINE('',#474795,#110827); #73837=LINE('',#474796,#110828); #73838=LINE('',#474802,#110829); #73839=LINE('',#474804,#110830); #73840=LINE('',#474806,#110831); #73841=LINE('',#474807,#110832); #73842=LINE('',#474810,#110833); #73843=LINE('',#474812,#110834); #73844=LINE('',#474813,#110835); #73845=LINE('',#474816,#110836); #73846=LINE('',#474818,#110837); #73847=LINE('',#474819,#110838); #73848=LINE('',#474822,#110839); #73849=LINE('',#474824,#110840); #73850=LINE('',#474825,#110841); #73851=LINE('',#474828,#110842); #73852=LINE('',#474830,#110843); #73853=LINE('',#474831,#110844); #73854=LINE('',#474834,#110845); #73855=LINE('',#474836,#110846); #73856=LINE('',#474837,#110847); #73857=LINE('',#474840,#110848); #73858=LINE('',#474842,#110849); #73859=LINE('',#474843,#110850); #73860=LINE('',#474846,#110851); #73861=LINE('',#474848,#110852); #73862=LINE('',#474849,#110853); #73863=LINE('',#474852,#110854); #73864=LINE('',#474854,#110855); #73865=LINE('',#474855,#110856); #73866=LINE('',#474858,#110857); #73867=LINE('',#474860,#110858); #73868=LINE('',#474861,#110859); #73869=LINE('',#474864,#110860); #73870=LINE('',#474866,#110861); #73871=LINE('',#474867,#110862); #73872=LINE('',#474870,#110863); #73873=LINE('',#474872,#110864); #73874=LINE('',#474873,#110865); #73875=LINE('',#474876,#110866); #73876=LINE('',#474878,#110867); #73877=LINE('',#474879,#110868); #73878=LINE('',#474882,#110869); #73879=LINE('',#474884,#110870); #73880=LINE('',#474885,#110871); #73881=LINE('',#474888,#110872); #73882=LINE('',#474890,#110873); #73883=LINE('',#474891,#110874); #73884=LINE('',#474894,#110875); #73885=LINE('',#474896,#110876); #73886=LINE('',#474897,#110877); #73887=LINE('',#474900,#110878); #73888=LINE('',#474902,#110879); #73889=LINE('',#474903,#110880); #73890=LINE('',#474906,#110881); #73891=LINE('',#474908,#110882); #73892=LINE('',#474909,#110883); #73893=LINE('',#474912,#110884); #73894=LINE('',#474914,#110885); #73895=LINE('',#474915,#110886); #73896=LINE('',#474917,#110887); #73897=LINE('',#474918,#110888); #73898=LINE('',#474924,#110889); #73899=LINE('',#474926,#110890); #73900=LINE('',#474928,#110891); #73901=LINE('',#474929,#110892); #73902=LINE('',#474932,#110893); #73903=LINE('',#474934,#110894); #73904=LINE('',#474935,#110895); #73905=LINE('',#474938,#110896); #73906=LINE('',#474940,#110897); #73907=LINE('',#474941,#110898); #73908=LINE('',#474944,#110899); #73909=LINE('',#474946,#110900); #73910=LINE('',#474947,#110901); #73911=LINE('',#474950,#110902); #73912=LINE('',#474952,#110903); #73913=LINE('',#474953,#110904); #73914=LINE('',#474956,#110905); #73915=LINE('',#474958,#110906); #73916=LINE('',#474959,#110907); #73917=LINE('',#474962,#110908); #73918=LINE('',#474964,#110909); #73919=LINE('',#474965,#110910); #73920=LINE('',#474968,#110911); #73921=LINE('',#474970,#110912); #73922=LINE('',#474971,#110913); #73923=LINE('',#474974,#110914); #73924=LINE('',#474976,#110915); #73925=LINE('',#474977,#110916); #73926=LINE('',#474980,#110917); #73927=LINE('',#474982,#110918); #73928=LINE('',#474983,#110919); #73929=LINE('',#474986,#110920); #73930=LINE('',#474988,#110921); #73931=LINE('',#474989,#110922); #73932=LINE('',#474992,#110923); #73933=LINE('',#474994,#110924); #73934=LINE('',#474995,#110925); #73935=LINE('',#474998,#110926); #73936=LINE('',#475000,#110927); #73937=LINE('',#475001,#110928); #73938=LINE('',#475004,#110929); #73939=LINE('',#475006,#110930); #73940=LINE('',#475007,#110931); #73941=LINE('',#475010,#110932); #73942=LINE('',#475012,#110933); #73943=LINE('',#475013,#110934); #73944=LINE('',#475016,#110935); #73945=LINE('',#475018,#110936); #73946=LINE('',#475019,#110937); #73947=LINE('',#475022,#110938); #73948=LINE('',#475024,#110939); #73949=LINE('',#475025,#110940); #73950=LINE('',#475028,#110941); #73951=LINE('',#475030,#110942); #73952=LINE('',#475031,#110943); #73953=LINE('',#475034,#110944); #73954=LINE('',#475036,#110945); #73955=LINE('',#475037,#110946); #73956=LINE('',#475039,#110947); #73957=LINE('',#475040,#110948); #73958=LINE('',#475046,#110949); #73959=LINE('',#475048,#110950); #73960=LINE('',#475050,#110951); #73961=LINE('',#475051,#110952); #73962=LINE('',#475054,#110953); #73963=LINE('',#475056,#110954); #73964=LINE('',#475057,#110955); #73965=LINE('',#475060,#110956); #73966=LINE('',#475062,#110957); #73967=LINE('',#475063,#110958); #73968=LINE('',#475066,#110959); #73969=LINE('',#475068,#110960); #73970=LINE('',#475069,#110961); #73971=LINE('',#475072,#110962); #73972=LINE('',#475074,#110963); #73973=LINE('',#475075,#110964); #73974=LINE('',#475078,#110965); #73975=LINE('',#475080,#110966); #73976=LINE('',#475081,#110967); #73977=LINE('',#475084,#110968); #73978=LINE('',#475086,#110969); #73979=LINE('',#475087,#110970); #73980=LINE('',#475090,#110971); #73981=LINE('',#475092,#110972); #73982=LINE('',#475093,#110973); #73983=LINE('',#475096,#110974); #73984=LINE('',#475098,#110975); #73985=LINE('',#475099,#110976); #73986=LINE('',#475102,#110977); #73987=LINE('',#475104,#110978); #73988=LINE('',#475105,#110979); #73989=LINE('',#475108,#110980); #73990=LINE('',#475110,#110981); #73991=LINE('',#475111,#110982); #73992=LINE('',#475114,#110983); #73993=LINE('',#475116,#110984); #73994=LINE('',#475117,#110985); #73995=LINE('',#475120,#110986); #73996=LINE('',#475122,#110987); #73997=LINE('',#475123,#110988); #73998=LINE('',#475126,#110989); #73999=LINE('',#475128,#110990); #74000=LINE('',#475129,#110991); #74001=LINE('',#475132,#110992); #74002=LINE('',#475134,#110993); #74003=LINE('',#475135,#110994); #74004=LINE('',#475138,#110995); #74005=LINE('',#475140,#110996); #74006=LINE('',#475141,#110997); #74007=LINE('',#475144,#110998); #74008=LINE('',#475146,#110999); #74009=LINE('',#475147,#111000); #74010=LINE('',#475150,#111001); #74011=LINE('',#475152,#111002); #74012=LINE('',#475153,#111003); #74013=LINE('',#475156,#111004); #74014=LINE('',#475158,#111005); #74015=LINE('',#475159,#111006); #74016=LINE('',#475162,#111007); #74017=LINE('',#475164,#111008); #74018=LINE('',#475165,#111009); #74019=LINE('',#475168,#111010); #74020=LINE('',#475170,#111011); #74021=LINE('',#475171,#111012); #74022=LINE('',#475174,#111013); #74023=LINE('',#475176,#111014); #74024=LINE('',#475177,#111015); #74025=LINE('',#475179,#111016); #74026=LINE('',#475180,#111017); #74027=LINE('',#475186,#111018); #74028=LINE('',#475188,#111019); #74029=LINE('',#475190,#111020); #74030=LINE('',#475191,#111021); #74031=LINE('',#475194,#111022); #74032=LINE('',#475196,#111023); #74033=LINE('',#475197,#111024); #74034=LINE('',#475200,#111025); #74035=LINE('',#475202,#111026); #74036=LINE('',#475203,#111027); #74037=LINE('',#475206,#111028); #74038=LINE('',#475208,#111029); #74039=LINE('',#475209,#111030); #74040=LINE('',#475212,#111031); #74041=LINE('',#475214,#111032); #74042=LINE('',#475215,#111033); #74043=LINE('',#475218,#111034); #74044=LINE('',#475220,#111035); #74045=LINE('',#475221,#111036); #74046=LINE('',#475224,#111037); #74047=LINE('',#475226,#111038); #74048=LINE('',#475227,#111039); #74049=LINE('',#475230,#111040); #74050=LINE('',#475232,#111041); #74051=LINE('',#475233,#111042); #74052=LINE('',#475236,#111043); #74053=LINE('',#475238,#111044); #74054=LINE('',#475239,#111045); #74055=LINE('',#475242,#111046); #74056=LINE('',#475244,#111047); #74057=LINE('',#475245,#111048); #74058=LINE('',#475248,#111049); #74059=LINE('',#475250,#111050); #74060=LINE('',#475251,#111051); #74061=LINE('',#475254,#111052); #74062=LINE('',#475256,#111053); #74063=LINE('',#475257,#111054); #74064=LINE('',#475260,#111055); #74065=LINE('',#475262,#111056); #74066=LINE('',#475263,#111057); #74067=LINE('',#475266,#111058); #74068=LINE('',#475268,#111059); #74069=LINE('',#475269,#111060); #74070=LINE('',#475272,#111061); #74071=LINE('',#475274,#111062); #74072=LINE('',#475275,#111063); #74073=LINE('',#475278,#111064); #74074=LINE('',#475280,#111065); #74075=LINE('',#475281,#111066); #74076=LINE('',#475284,#111067); #74077=LINE('',#475286,#111068); #74078=LINE('',#475287,#111069); #74079=LINE('',#475290,#111070); #74080=LINE('',#475292,#111071); #74081=LINE('',#475293,#111072); #74082=LINE('',#475296,#111073); #74083=LINE('',#475298,#111074); #74084=LINE('',#475299,#111075); #74085=LINE('',#475301,#111076); #74086=LINE('',#475302,#111077); #74087=LINE('',#475308,#111078); #74088=LINE('',#475310,#111079); #74089=LINE('',#475312,#111080); #74090=LINE('',#475313,#111081); #74091=LINE('',#475316,#111082); #74092=LINE('',#475318,#111083); #74093=LINE('',#475319,#111084); #74094=LINE('',#475322,#111085); #74095=LINE('',#475324,#111086); #74096=LINE('',#475325,#111087); #74097=LINE('',#475328,#111088); #74098=LINE('',#475330,#111089); #74099=LINE('',#475331,#111090); #74100=LINE('',#475334,#111091); #74101=LINE('',#475336,#111092); #74102=LINE('',#475337,#111093); #74103=LINE('',#475340,#111094); #74104=LINE('',#475342,#111095); #74105=LINE('',#475343,#111096); #74106=LINE('',#475346,#111097); #74107=LINE('',#475348,#111098); #74108=LINE('',#475349,#111099); #74109=LINE('',#475352,#111100); #74110=LINE('',#475354,#111101); #74111=LINE('',#475355,#111102); #74112=LINE('',#475358,#111103); #74113=LINE('',#475360,#111104); #74114=LINE('',#475361,#111105); #74115=LINE('',#475364,#111106); #74116=LINE('',#475366,#111107); #74117=LINE('',#475367,#111108); #74118=LINE('',#475370,#111109); #74119=LINE('',#475372,#111110); #74120=LINE('',#475373,#111111); #74121=LINE('',#475376,#111112); #74122=LINE('',#475378,#111113); #74123=LINE('',#475379,#111114); #74124=LINE('',#475382,#111115); #74125=LINE('',#475384,#111116); #74126=LINE('',#475385,#111117); #74127=LINE('',#475388,#111118); #74128=LINE('',#475390,#111119); #74129=LINE('',#475391,#111120); #74130=LINE('',#475394,#111121); #74131=LINE('',#475396,#111122); #74132=LINE('',#475397,#111123); #74133=LINE('',#475400,#111124); #74134=LINE('',#475402,#111125); #74135=LINE('',#475403,#111126); #74136=LINE('',#475406,#111127); #74137=LINE('',#475408,#111128); #74138=LINE('',#475409,#111129); #74139=LINE('',#475412,#111130); #74140=LINE('',#475414,#111131); #74141=LINE('',#475415,#111132); #74142=LINE('',#475418,#111133); #74143=LINE('',#475420,#111134); #74144=LINE('',#475421,#111135); #74145=LINE('',#475424,#111136); #74146=LINE('',#475426,#111137); #74147=LINE('',#475427,#111138); #74148=LINE('',#475429,#111139); #74149=LINE('',#475430,#111140); #74150=LINE('',#475437,#111141); #74151=LINE('',#475442,#111142); #74152=LINE('',#475444,#111143); #74153=LINE('',#475446,#111144); #74154=LINE('',#475447,#111145); #74155=LINE('',#475453,#111146); #74156=LINE('',#475463,#111147); #74157=LINE('',#475468,#111148); #74158=LINE('',#475470,#111149); #74159=LINE('',#475472,#111150); #74160=LINE('',#475473,#111151); #74161=LINE('',#475479,#111152); #74162=LINE('',#475489,#111153); #74163=LINE('',#475494,#111154); #74164=LINE('',#475496,#111155); #74165=LINE('',#475498,#111156); #74166=LINE('',#475499,#111157); #74167=LINE('',#475505,#111158); #74168=LINE('',#475515,#111159); #74169=LINE('',#475520,#111160); #74170=LINE('',#475522,#111161); #74171=LINE('',#475524,#111162); #74172=LINE('',#475525,#111163); #74173=LINE('',#475531,#111164); #74174=LINE('',#475541,#111165); #74175=LINE('',#475546,#111166); #74176=LINE('',#475548,#111167); #74177=LINE('',#475550,#111168); #74178=LINE('',#475551,#111169); #74179=LINE('',#475554,#111170); #74180=LINE('',#475556,#111171); #74181=LINE('',#475557,#111172); #74182=LINE('',#475560,#111173); #74183=LINE('',#475562,#111174); #74184=LINE('',#475563,#111175); #74185=LINE('',#475565,#111176); #74186=LINE('',#475566,#111177); #74187=LINE('',#475573,#111178); #74188=LINE('',#475578,#111179); #74189=LINE('',#475580,#111180); #74190=LINE('',#475582,#111181); #74191=LINE('',#475583,#111182); #74192=LINE('',#475589,#111183); #74193=LINE('',#475599,#111184); #74194=LINE('',#475604,#111185); #74195=LINE('',#475606,#111186); #74196=LINE('',#475608,#111187); #74197=LINE('',#475609,#111188); #74198=LINE('',#475615,#111189); #74199=LINE('',#475625,#111190); #74200=LINE('',#475630,#111191); #74201=LINE('',#475632,#111192); #74202=LINE('',#475634,#111193); #74203=LINE('',#475635,#111194); #74204=LINE('',#475641,#111195); #74205=LINE('',#475651,#111196); #74206=LINE('',#475656,#111197); #74207=LINE('',#475658,#111198); #74208=LINE('',#475660,#111199); #74209=LINE('',#475661,#111200); #74210=LINE('',#475667,#111201); #74211=LINE('',#475676,#111202); #74212=LINE('',#475678,#111203); #74213=LINE('',#475680,#111204); #74214=LINE('',#475681,#111205); #74215=LINE('',#475687,#111206); #74216=LINE('',#475690,#111207); #74217=LINE('',#475692,#111208); #74218=LINE('',#475693,#111209); #74219=LINE('',#475700,#111210); #74220=LINE('',#475702,#111211); #74221=LINE('',#475704,#111212); #74222=LINE('',#475705,#111213); #74223=LINE('',#475708,#111214); #74224=LINE('',#475710,#111215); #74225=LINE('',#475711,#111216); #74226=LINE('',#475714,#111217); #74227=LINE('',#475716,#111218); #74228=LINE('',#475717,#111219); #74229=LINE('',#475720,#111220); #74230=LINE('',#475722,#111221); #74231=LINE('',#475723,#111222); #74232=LINE('',#475726,#111223); #74233=LINE('',#475728,#111224); #74234=LINE('',#475729,#111225); #74235=LINE('',#475732,#111226); #74236=LINE('',#475734,#111227); #74237=LINE('',#475735,#111228); #74238=LINE('',#475738,#111229); #74239=LINE('',#475740,#111230); #74240=LINE('',#475741,#111231); #74241=LINE('',#475744,#111232); #74242=LINE('',#475746,#111233); #74243=LINE('',#475747,#111234); #74244=LINE('',#475750,#111235); #74245=LINE('',#475752,#111236); #74246=LINE('',#475753,#111237); #74247=LINE('',#475756,#111238); #74248=LINE('',#475758,#111239); #74249=LINE('',#475759,#111240); #74250=LINE('',#475762,#111241); #74251=LINE('',#475764,#111242); #74252=LINE('',#475765,#111243); #74253=LINE('',#475768,#111244); #74254=LINE('',#475770,#111245); #74255=LINE('',#475771,#111246); #74256=LINE('',#475774,#111247); #74257=LINE('',#475776,#111248); #74258=LINE('',#475777,#111249); #74259=LINE('',#475780,#111250); #74260=LINE('',#475782,#111251); #74261=LINE('',#475783,#111252); #74262=LINE('',#475786,#111253); #74263=LINE('',#475788,#111254); #74264=LINE('',#475789,#111255); #74265=LINE('',#475792,#111256); #74266=LINE('',#475794,#111257); #74267=LINE('',#475795,#111258); #74268=LINE('',#475798,#111259); #74269=LINE('',#475800,#111260); #74270=LINE('',#475801,#111261); #74271=LINE('',#475804,#111262); #74272=LINE('',#475806,#111263); #74273=LINE('',#475807,#111264); #74274=LINE('',#475810,#111265); #74275=LINE('',#475812,#111266); #74276=LINE('',#475813,#111267); #74277=LINE('',#475816,#111268); #74278=LINE('',#475818,#111269); #74279=LINE('',#475819,#111270); #74280=LINE('',#475822,#111271); #74281=LINE('',#475824,#111272); #74282=LINE('',#475825,#111273); #74283=LINE('',#475828,#111274); #74284=LINE('',#475830,#111275); #74285=LINE('',#475831,#111276); #74286=LINE('',#475834,#111277); #74287=LINE('',#475836,#111278); #74288=LINE('',#475837,#111279); #74289=LINE('',#475840,#111280); #74290=LINE('',#475842,#111281); #74291=LINE('',#475843,#111282); #74292=LINE('',#475846,#111283); #74293=LINE('',#475848,#111284); #74294=LINE('',#475849,#111285); #74295=LINE('',#475852,#111286); #74296=LINE('',#475854,#111287); #74297=LINE('',#475855,#111288); #74298=LINE('',#475858,#111289); #74299=LINE('',#475860,#111290); #74300=LINE('',#475861,#111291); #74301=LINE('',#475864,#111292); #74302=LINE('',#475866,#111293); #74303=LINE('',#475867,#111294); #74304=LINE('',#475870,#111295); #74305=LINE('',#475872,#111296); #74306=LINE('',#475873,#111297); #74307=LINE('',#475876,#111298); #74308=LINE('',#475878,#111299); #74309=LINE('',#475879,#111300); #74310=LINE('',#475882,#111301); #74311=LINE('',#475884,#111302); #74312=LINE('',#475885,#111303); #74313=LINE('',#475888,#111304); #74314=LINE('',#475890,#111305); #74315=LINE('',#475891,#111306); #74316=LINE('',#475894,#111307); #74317=LINE('',#475896,#111308); #74318=LINE('',#475897,#111309); #74319=LINE('',#475900,#111310); #74320=LINE('',#475902,#111311); #74321=LINE('',#475903,#111312); #74322=LINE('',#475906,#111313); #74323=LINE('',#475908,#111314); #74324=LINE('',#475909,#111315); #74325=LINE('',#475912,#111316); #74326=LINE('',#475914,#111317); #74327=LINE('',#475915,#111318); #74328=LINE('',#475918,#111319); #74329=LINE('',#475920,#111320); #74330=LINE('',#475921,#111321); #74331=LINE('',#475924,#111322); #74332=LINE('',#475926,#111323); #74333=LINE('',#475927,#111324); #74334=LINE('',#475930,#111325); #74335=LINE('',#475932,#111326); #74336=LINE('',#475933,#111327); #74337=LINE('',#475936,#111328); #74338=LINE('',#475938,#111329); #74339=LINE('',#475939,#111330); #74340=LINE('',#475942,#111331); #74341=LINE('',#475944,#111332); #74342=LINE('',#475945,#111333); #74343=LINE('',#475948,#111334); #74344=LINE('',#475950,#111335); #74345=LINE('',#475951,#111336); #74346=LINE('',#475954,#111337); #74347=LINE('',#475956,#111338); #74348=LINE('',#475957,#111339); #74349=LINE('',#475960,#111340); #74350=LINE('',#475962,#111341); #74351=LINE('',#475963,#111342); #74352=LINE('',#475966,#111343); #74353=LINE('',#475968,#111344); #74354=LINE('',#475969,#111345); #74355=LINE('',#475972,#111346); #74356=LINE('',#475974,#111347); #74357=LINE('',#475975,#111348); #74358=LINE('',#475978,#111349); #74359=LINE('',#475980,#111350); #74360=LINE('',#475981,#111351); #74361=LINE('',#475984,#111352); #74362=LINE('',#475986,#111353); #74363=LINE('',#475987,#111354); #74364=LINE('',#475990,#111355); #74365=LINE('',#475992,#111356); #74366=LINE('',#475993,#111357); #74367=LINE('',#475996,#111358); #74368=LINE('',#475998,#111359); #74369=LINE('',#475999,#111360); #74370=LINE('',#476002,#111361); #74371=LINE('',#476004,#111362); #74372=LINE('',#476005,#111363); #74373=LINE('',#476008,#111364); #74374=LINE('',#476010,#111365); #74375=LINE('',#476011,#111366); #74376=LINE('',#476014,#111367); #74377=LINE('',#476016,#111368); #74378=LINE('',#476017,#111369); #74379=LINE('',#476020,#111370); #74380=LINE('',#476022,#111371); #74381=LINE('',#476023,#111372); #74382=LINE('',#476026,#111373); #74383=LINE('',#476028,#111374); #74384=LINE('',#476029,#111375); #74385=LINE('',#476031,#111376); #74386=LINE('',#476032,#111377); #74387=LINE('',#476038,#111378); #74388=LINE('',#476040,#111379); #74389=LINE('',#476042,#111380); #74390=LINE('',#476043,#111381); #74391=LINE('',#476049,#111382); #74392=LINE('',#476052,#111383); #74393=LINE('',#476054,#111384); #74394=LINE('',#476055,#111385); #74395=LINE('',#476062,#111386); #74396=LINE('',#476064,#111387); #74397=LINE('',#476066,#111388); #74398=LINE('',#476067,#111389); #74399=LINE('',#476070,#111390); #74400=LINE('',#476072,#111391); #74401=LINE('',#476073,#111392); #74402=LINE('',#476076,#111393); #74403=LINE('',#476078,#111394); #74404=LINE('',#476079,#111395); #74405=LINE('',#476082,#111396); #74406=LINE('',#476084,#111397); #74407=LINE('',#476085,#111398); #74408=LINE('',#476088,#111399); #74409=LINE('',#476090,#111400); #74410=LINE('',#476091,#111401); #74411=LINE('',#476094,#111402); #74412=LINE('',#476096,#111403); #74413=LINE('',#476097,#111404); #74414=LINE('',#476100,#111405); #74415=LINE('',#476102,#111406); #74416=LINE('',#476103,#111407); #74417=LINE('',#476106,#111408); #74418=LINE('',#476108,#111409); #74419=LINE('',#476109,#111410); #74420=LINE('',#476112,#111411); #74421=LINE('',#476114,#111412); #74422=LINE('',#476115,#111413); #74423=LINE('',#476118,#111414); #74424=LINE('',#476120,#111415); #74425=LINE('',#476121,#111416); #74426=LINE('',#476124,#111417); #74427=LINE('',#476126,#111418); #74428=LINE('',#476127,#111419); #74429=LINE('',#476130,#111420); #74430=LINE('',#476132,#111421); #74431=LINE('',#476133,#111422); #74432=LINE('',#476136,#111423); #74433=LINE('',#476138,#111424); #74434=LINE('',#476139,#111425); #74435=LINE('',#476142,#111426); #74436=LINE('',#476144,#111427); #74437=LINE('',#476145,#111428); #74438=LINE('',#476148,#111429); #74439=LINE('',#476150,#111430); #74440=LINE('',#476151,#111431); #74441=LINE('',#476154,#111432); #74442=LINE('',#476156,#111433); #74443=LINE('',#476157,#111434); #74444=LINE('',#476160,#111435); #74445=LINE('',#476162,#111436); #74446=LINE('',#476163,#111437); #74447=LINE('',#476166,#111438); #74448=LINE('',#476168,#111439); #74449=LINE('',#476169,#111440); #74450=LINE('',#476172,#111441); #74451=LINE('',#476174,#111442); #74452=LINE('',#476175,#111443); #74453=LINE('',#476178,#111444); #74454=LINE('',#476180,#111445); #74455=LINE('',#476181,#111446); #74456=LINE('',#476184,#111447); #74457=LINE('',#476186,#111448); #74458=LINE('',#476187,#111449); #74459=LINE('',#476190,#111450); #74460=LINE('',#476192,#111451); #74461=LINE('',#476193,#111452); #74462=LINE('',#476196,#111453); #74463=LINE('',#476198,#111454); #74464=LINE('',#476199,#111455); #74465=LINE('',#476202,#111456); #74466=LINE('',#476204,#111457); #74467=LINE('',#476205,#111458); #74468=LINE('',#476208,#111459); #74469=LINE('',#476210,#111460); #74470=LINE('',#476211,#111461); #74471=LINE('',#476214,#111462); #74472=LINE('',#476216,#111463); #74473=LINE('',#476217,#111464); #74474=LINE('',#476220,#111465); #74475=LINE('',#476222,#111466); #74476=LINE('',#476223,#111467); #74477=LINE('',#476226,#111468); #74478=LINE('',#476228,#111469); #74479=LINE('',#476229,#111470); #74480=LINE('',#476232,#111471); #74481=LINE('',#476234,#111472); #74482=LINE('',#476235,#111473); #74483=LINE('',#476238,#111474); #74484=LINE('',#476240,#111475); #74485=LINE('',#476241,#111476); #74486=LINE('',#476244,#111477); #74487=LINE('',#476246,#111478); #74488=LINE('',#476247,#111479); #74489=LINE('',#476250,#111480); #74490=LINE('',#476252,#111481); #74491=LINE('',#476253,#111482); #74492=LINE('',#476256,#111483); #74493=LINE('',#476258,#111484); #74494=LINE('',#476259,#111485); #74495=LINE('',#476262,#111486); #74496=LINE('',#476264,#111487); #74497=LINE('',#476265,#111488); #74498=LINE('',#476268,#111489); #74499=LINE('',#476270,#111490); #74500=LINE('',#476271,#111491); #74501=LINE('',#476274,#111492); #74502=LINE('',#476276,#111493); #74503=LINE('',#476277,#111494); #74504=LINE('',#476280,#111495); #74505=LINE('',#476282,#111496); #74506=LINE('',#476283,#111497); #74507=LINE('',#476286,#111498); #74508=LINE('',#476288,#111499); #74509=LINE('',#476289,#111500); #74510=LINE('',#476292,#111501); #74511=LINE('',#476294,#111502); #74512=LINE('',#476295,#111503); #74513=LINE('',#476298,#111504); #74514=LINE('',#476300,#111505); #74515=LINE('',#476301,#111506); #74516=LINE('',#476304,#111507); #74517=LINE('',#476306,#111508); #74518=LINE('',#476307,#111509); #74519=LINE('',#476310,#111510); #74520=LINE('',#476312,#111511); #74521=LINE('',#476313,#111512); #74522=LINE('',#476316,#111513); #74523=LINE('',#476318,#111514); #74524=LINE('',#476319,#111515); #74525=LINE('',#476322,#111516); #74526=LINE('',#476324,#111517); #74527=LINE('',#476325,#111518); #74528=LINE('',#476328,#111519); #74529=LINE('',#476330,#111520); #74530=LINE('',#476331,#111521); #74531=LINE('',#476334,#111522); #74532=LINE('',#476336,#111523); #74533=LINE('',#476337,#111524); #74534=LINE('',#476340,#111525); #74535=LINE('',#476342,#111526); #74536=LINE('',#476343,#111527); #74537=LINE('',#476346,#111528); #74538=LINE('',#476348,#111529); #74539=LINE('',#476349,#111530); #74540=LINE('',#476352,#111531); #74541=LINE('',#476354,#111532); #74542=LINE('',#476355,#111533); #74543=LINE('',#476358,#111534); #74544=LINE('',#476360,#111535); #74545=LINE('',#476361,#111536); #74546=LINE('',#476364,#111537); #74547=LINE('',#476366,#111538); #74548=LINE('',#476367,#111539); #74549=LINE('',#476370,#111540); #74550=LINE('',#476372,#111541); #74551=LINE('',#476373,#111542); #74552=LINE('',#476376,#111543); #74553=LINE('',#476378,#111544); #74554=LINE('',#476379,#111545); #74555=LINE('',#476382,#111546); #74556=LINE('',#476384,#111547); #74557=LINE('',#476385,#111548); #74558=LINE('',#476388,#111549); #74559=LINE('',#476390,#111550); #74560=LINE('',#476391,#111551); #74561=LINE('',#476394,#111552); #74562=LINE('',#476396,#111553); #74563=LINE('',#476397,#111554); #74564=LINE('',#476400,#111555); #74565=LINE('',#476402,#111556); #74566=LINE('',#476403,#111557); #74567=LINE('',#476406,#111558); #74568=LINE('',#476408,#111559); #74569=LINE('',#476409,#111560); #74570=LINE('',#476412,#111561); #74571=LINE('',#476414,#111562); #74572=LINE('',#476415,#111563); #74573=LINE('',#476418,#111564); #74574=LINE('',#476420,#111565); #74575=LINE('',#476421,#111566); #74576=LINE('',#476424,#111567); #74577=LINE('',#476426,#111568); #74578=LINE('',#476427,#111569); #74579=LINE('',#476430,#111570); #74580=LINE('',#476432,#111571); #74581=LINE('',#476433,#111572); #74582=LINE('',#476436,#111573); #74583=LINE('',#476438,#111574); #74584=LINE('',#476439,#111575); #74585=LINE('',#476442,#111576); #74586=LINE('',#476444,#111577); #74587=LINE('',#476445,#111578); #74588=LINE('',#476448,#111579); #74589=LINE('',#476450,#111580); #74590=LINE('',#476451,#111581); #74591=LINE('',#476454,#111582); #74592=LINE('',#476456,#111583); #74593=LINE('',#476457,#111584); #74594=LINE('',#476460,#111585); #74595=LINE('',#476462,#111586); #74596=LINE('',#476463,#111587); #74597=LINE('',#476466,#111588); #74598=LINE('',#476468,#111589); #74599=LINE('',#476469,#111590); #74600=LINE('',#476472,#111591); #74601=LINE('',#476474,#111592); #74602=LINE('',#476475,#111593); #74603=LINE('',#476478,#111594); #74604=LINE('',#476480,#111595); #74605=LINE('',#476481,#111596); #74606=LINE('',#476484,#111597); #74607=LINE('',#476486,#111598); #74608=LINE('',#476487,#111599); #74609=LINE('',#476489,#111600); #74610=LINE('',#476490,#111601); #74611=LINE('',#476496,#111602); #74612=LINE('',#476498,#111603); #74613=LINE('',#476500,#111604); #74614=LINE('',#476501,#111605); #74615=LINE('',#476507,#111606); #74616=LINE('',#476510,#111607); #74617=LINE('',#476512,#111608); #74618=LINE('',#476513,#111609); #74619=LINE('',#476520,#111610); #74620=LINE('',#476522,#111611); #74621=LINE('',#476524,#111612); #74622=LINE('',#476525,#111613); #74623=LINE('',#476528,#111614); #74624=LINE('',#476530,#111615); #74625=LINE('',#476531,#111616); #74626=LINE('',#476534,#111617); #74627=LINE('',#476536,#111618); #74628=LINE('',#476537,#111619); #74629=LINE('',#476540,#111620); #74630=LINE('',#476542,#111621); #74631=LINE('',#476543,#111622); #74632=LINE('',#476546,#111623); #74633=LINE('',#476548,#111624); #74634=LINE('',#476549,#111625); #74635=LINE('',#476552,#111626); #74636=LINE('',#476554,#111627); #74637=LINE('',#476555,#111628); #74638=LINE('',#476558,#111629); #74639=LINE('',#476560,#111630); #74640=LINE('',#476561,#111631); #74641=LINE('',#476564,#111632); #74642=LINE('',#476566,#111633); #74643=LINE('',#476567,#111634); #74644=LINE('',#476570,#111635); #74645=LINE('',#476572,#111636); #74646=LINE('',#476573,#111637); #74647=LINE('',#476576,#111638); #74648=LINE('',#476578,#111639); #74649=LINE('',#476579,#111640); #74650=LINE('',#476582,#111641); #74651=LINE('',#476584,#111642); #74652=LINE('',#476585,#111643); #74653=LINE('',#476588,#111644); #74654=LINE('',#476590,#111645); #74655=LINE('',#476591,#111646); #74656=LINE('',#476594,#111647); #74657=LINE('',#476596,#111648); #74658=LINE('',#476597,#111649); #74659=LINE('',#476600,#111650); #74660=LINE('',#476602,#111651); #74661=LINE('',#476603,#111652); #74662=LINE('',#476606,#111653); #74663=LINE('',#476608,#111654); #74664=LINE('',#476609,#111655); #74665=LINE('',#476612,#111656); #74666=LINE('',#476614,#111657); #74667=LINE('',#476615,#111658); #74668=LINE('',#476618,#111659); #74669=LINE('',#476620,#111660); #74670=LINE('',#476621,#111661); #74671=LINE('',#476624,#111662); #74672=LINE('',#476626,#111663); #74673=LINE('',#476627,#111664); #74674=LINE('',#476630,#111665); #74675=LINE('',#476632,#111666); #74676=LINE('',#476633,#111667); #74677=LINE('',#476636,#111668); #74678=LINE('',#476638,#111669); #74679=LINE('',#476639,#111670); #74680=LINE('',#476642,#111671); #74681=LINE('',#476644,#111672); #74682=LINE('',#476645,#111673); #74683=LINE('',#476648,#111674); #74684=LINE('',#476650,#111675); #74685=LINE('',#476651,#111676); #74686=LINE('',#476654,#111677); #74687=LINE('',#476656,#111678); #74688=LINE('',#476657,#111679); #74689=LINE('',#476660,#111680); #74690=LINE('',#476662,#111681); #74691=LINE('',#476663,#111682); #74692=LINE('',#476666,#111683); #74693=LINE('',#476668,#111684); #74694=LINE('',#476669,#111685); #74695=LINE('',#476672,#111686); #74696=LINE('',#476674,#111687); #74697=LINE('',#476675,#111688); #74698=LINE('',#476678,#111689); #74699=LINE('',#476680,#111690); #74700=LINE('',#476681,#111691); #74701=LINE('',#476684,#111692); #74702=LINE('',#476686,#111693); #74703=LINE('',#476687,#111694); #74704=LINE('',#476690,#111695); #74705=LINE('',#476692,#111696); #74706=LINE('',#476693,#111697); #74707=LINE('',#476696,#111698); #74708=LINE('',#476698,#111699); #74709=LINE('',#476699,#111700); #74710=LINE('',#476702,#111701); #74711=LINE('',#476704,#111702); #74712=LINE('',#476705,#111703); #74713=LINE('',#476708,#111704); #74714=LINE('',#476710,#111705); #74715=LINE('',#476711,#111706); #74716=LINE('',#476714,#111707); #74717=LINE('',#476716,#111708); #74718=LINE('',#476717,#111709); #74719=LINE('',#476720,#111710); #74720=LINE('',#476722,#111711); #74721=LINE('',#476723,#111712); #74722=LINE('',#476726,#111713); #74723=LINE('',#476728,#111714); #74724=LINE('',#476729,#111715); #74725=LINE('',#476732,#111716); #74726=LINE('',#476734,#111717); #74727=LINE('',#476735,#111718); #74728=LINE('',#476738,#111719); #74729=LINE('',#476740,#111720); #74730=LINE('',#476741,#111721); #74731=LINE('',#476744,#111722); #74732=LINE('',#476746,#111723); #74733=LINE('',#476747,#111724); #74734=LINE('',#476750,#111725); #74735=LINE('',#476752,#111726); #74736=LINE('',#476753,#111727); #74737=LINE('',#476756,#111728); #74738=LINE('',#476758,#111729); #74739=LINE('',#476759,#111730); #74740=LINE('',#476762,#111731); #74741=LINE('',#476764,#111732); #74742=LINE('',#476765,#111733); #74743=LINE('',#476768,#111734); #74744=LINE('',#476770,#111735); #74745=LINE('',#476771,#111736); #74746=LINE('',#476774,#111737); #74747=LINE('',#476776,#111738); #74748=LINE('',#476777,#111739); #74749=LINE('',#476780,#111740); #74750=LINE('',#476782,#111741); #74751=LINE('',#476783,#111742); #74752=LINE('',#476786,#111743); #74753=LINE('',#476788,#111744); #74754=LINE('',#476789,#111745); #74755=LINE('',#476792,#111746); #74756=LINE('',#476794,#111747); #74757=LINE('',#476795,#111748); #74758=LINE('',#476798,#111749); #74759=LINE('',#476800,#111750); #74760=LINE('',#476801,#111751); #74761=LINE('',#476804,#111752); #74762=LINE('',#476806,#111753); #74763=LINE('',#476807,#111754); #74764=LINE('',#476810,#111755); #74765=LINE('',#476812,#111756); #74766=LINE('',#476813,#111757); #74767=LINE('',#476816,#111758); #74768=LINE('',#476818,#111759); #74769=LINE('',#476819,#111760); #74770=LINE('',#476822,#111761); #74771=LINE('',#476824,#111762); #74772=LINE('',#476825,#111763); #74773=LINE('',#476828,#111764); #74774=LINE('',#476830,#111765); #74775=LINE('',#476831,#111766); #74776=LINE('',#476834,#111767); #74777=LINE('',#476836,#111768); #74778=LINE('',#476837,#111769); #74779=LINE('',#476840,#111770); #74780=LINE('',#476842,#111771); #74781=LINE('',#476843,#111772); #74782=LINE('',#476846,#111773); #74783=LINE('',#476848,#111774); #74784=LINE('',#476849,#111775); #74785=LINE('',#476851,#111776); #74786=LINE('',#476852,#111777); #74787=LINE('',#476859,#111778); #74788=LINE('',#476864,#111779); #74789=LINE('',#476866,#111780); #74790=LINE('',#476868,#111781); #74791=LINE('',#476869,#111782); #74792=LINE('',#476872,#111783); #74793=LINE('',#476874,#111784); #74794=LINE('',#476875,#111785); #74795=LINE('',#476878,#111786); #74796=LINE('',#476880,#111787); #74797=LINE('',#476881,#111788); #74798=LINE('',#476883,#111789); #74799=LINE('',#476884,#111790); #74800=LINE('',#476890,#111791); #74801=LINE('',#476892,#111792); #74802=LINE('',#476894,#111793); #74803=LINE('',#476895,#111794); #74804=LINE('',#476901,#111795); #74805=LINE('',#476904,#111796); #74806=LINE('',#476906,#111797); #74807=LINE('',#476907,#111798); #74808=LINE('',#476914,#111799); #74809=LINE('',#476916,#111800); #74810=LINE('',#476918,#111801); #74811=LINE('',#476919,#111802); #74812=LINE('',#476922,#111803); #74813=LINE('',#476924,#111804); #74814=LINE('',#476925,#111805); #74815=LINE('',#476928,#111806); #74816=LINE('',#476930,#111807); #74817=LINE('',#476931,#111808); #74818=LINE('',#476934,#111809); #74819=LINE('',#476936,#111810); #74820=LINE('',#476937,#111811); #74821=LINE('',#476940,#111812); #74822=LINE('',#476942,#111813); #74823=LINE('',#476943,#111814); #74824=LINE('',#476946,#111815); #74825=LINE('',#476948,#111816); #74826=LINE('',#476949,#111817); #74827=LINE('',#476952,#111818); #74828=LINE('',#476954,#111819); #74829=LINE('',#476955,#111820); #74830=LINE('',#476958,#111821); #74831=LINE('',#476960,#111822); #74832=LINE('',#476961,#111823); #74833=LINE('',#476964,#111824); #74834=LINE('',#476966,#111825); #74835=LINE('',#476967,#111826); #74836=LINE('',#476970,#111827); #74837=LINE('',#476972,#111828); #74838=LINE('',#476973,#111829); #74839=LINE('',#476976,#111830); #74840=LINE('',#476978,#111831); #74841=LINE('',#476979,#111832); #74842=LINE('',#476982,#111833); #74843=LINE('',#476984,#111834); #74844=LINE('',#476985,#111835); #74845=LINE('',#476988,#111836); #74846=LINE('',#476990,#111837); #74847=LINE('',#476991,#111838); #74848=LINE('',#476994,#111839); #74849=LINE('',#476996,#111840); #74850=LINE('',#476997,#111841); #74851=LINE('',#477000,#111842); #74852=LINE('',#477002,#111843); #74853=LINE('',#477003,#111844); #74854=LINE('',#477006,#111845); #74855=LINE('',#477008,#111846); #74856=LINE('',#477009,#111847); #74857=LINE('',#477012,#111848); #74858=LINE('',#477014,#111849); #74859=LINE('',#477015,#111850); #74860=LINE('',#477018,#111851); #74861=LINE('',#477020,#111852); #74862=LINE('',#477021,#111853); #74863=LINE('',#477024,#111854); #74864=LINE('',#477026,#111855); #74865=LINE('',#477027,#111856); #74866=LINE('',#477030,#111857); #74867=LINE('',#477032,#111858); #74868=LINE('',#477033,#111859); #74869=LINE('',#477036,#111860); #74870=LINE('',#477038,#111861); #74871=LINE('',#477039,#111862); #74872=LINE('',#477042,#111863); #74873=LINE('',#477044,#111864); #74874=LINE('',#477045,#111865); #74875=LINE('',#477048,#111866); #74876=LINE('',#477050,#111867); #74877=LINE('',#477051,#111868); #74878=LINE('',#477054,#111869); #74879=LINE('',#477056,#111870); #74880=LINE('',#477057,#111871); #74881=LINE('',#477060,#111872); #74882=LINE('',#477062,#111873); #74883=LINE('',#477063,#111874); #74884=LINE('',#477066,#111875); #74885=LINE('',#477068,#111876); #74886=LINE('',#477069,#111877); #74887=LINE('',#477072,#111878); #74888=LINE('',#477074,#111879); #74889=LINE('',#477075,#111880); #74890=LINE('',#477078,#111881); #74891=LINE('',#477080,#111882); #74892=LINE('',#477081,#111883); #74893=LINE('',#477084,#111884); #74894=LINE('',#477086,#111885); #74895=LINE('',#477087,#111886); #74896=LINE('',#477090,#111887); #74897=LINE('',#477092,#111888); #74898=LINE('',#477093,#111889); #74899=LINE('',#477096,#111890); #74900=LINE('',#477098,#111891); #74901=LINE('',#477099,#111892); #74902=LINE('',#477102,#111893); #74903=LINE('',#477104,#111894); #74904=LINE('',#477105,#111895); #74905=LINE('',#477108,#111896); #74906=LINE('',#477110,#111897); #74907=LINE('',#477111,#111898); #74908=LINE('',#477114,#111899); #74909=LINE('',#477116,#111900); #74910=LINE('',#477117,#111901); #74911=LINE('',#477120,#111902); #74912=LINE('',#477122,#111903); #74913=LINE('',#477123,#111904); #74914=LINE('',#477126,#111905); #74915=LINE('',#477128,#111906); #74916=LINE('',#477129,#111907); #74917=LINE('',#477132,#111908); #74918=LINE('',#477134,#111909); #74919=LINE('',#477135,#111910); #74920=LINE('',#477138,#111911); #74921=LINE('',#477140,#111912); #74922=LINE('',#477141,#111913); #74923=LINE('',#477144,#111914); #74924=LINE('',#477146,#111915); #74925=LINE('',#477147,#111916); #74926=LINE('',#477150,#111917); #74927=LINE('',#477152,#111918); #74928=LINE('',#477153,#111919); #74929=LINE('',#477156,#111920); #74930=LINE('',#477158,#111921); #74931=LINE('',#477159,#111922); #74932=LINE('',#477162,#111923); #74933=LINE('',#477164,#111924); #74934=LINE('',#477165,#111925); #74935=LINE('',#477168,#111926); #74936=LINE('',#477170,#111927); #74937=LINE('',#477171,#111928); #74938=LINE('',#477174,#111929); #74939=LINE('',#477176,#111930); #74940=LINE('',#477177,#111931); #74941=LINE('',#477180,#111932); #74942=LINE('',#477182,#111933); #74943=LINE('',#477183,#111934); #74944=LINE('',#477186,#111935); #74945=LINE('',#477188,#111936); #74946=LINE('',#477189,#111937); #74947=LINE('',#477192,#111938); #74948=LINE('',#477194,#111939); #74949=LINE('',#477195,#111940); #74950=LINE('',#477198,#111941); #74951=LINE('',#477200,#111942); #74952=LINE('',#477201,#111943); #74953=LINE('',#477204,#111944); #74954=LINE('',#477206,#111945); #74955=LINE('',#477207,#111946); #74956=LINE('',#477210,#111947); #74957=LINE('',#477212,#111948); #74958=LINE('',#477213,#111949); #74959=LINE('',#477216,#111950); #74960=LINE('',#477218,#111951); #74961=LINE('',#477219,#111952); #74962=LINE('',#477222,#111953); #74963=LINE('',#477224,#111954); #74964=LINE('',#477225,#111955); #74965=LINE('',#477228,#111956); #74966=LINE('',#477230,#111957); #74967=LINE('',#477231,#111958); #74968=LINE('',#477234,#111959); #74969=LINE('',#477236,#111960); #74970=LINE('',#477237,#111961); #74971=LINE('',#477240,#111962); #74972=LINE('',#477242,#111963); #74973=LINE('',#477243,#111964); #74974=LINE('',#477245,#111965); #74975=LINE('',#477246,#111966); #74976=LINE('',#477252,#111967); #74977=LINE('',#477254,#111968); #74978=LINE('',#477256,#111969); #74979=LINE('',#477257,#111970); #74980=LINE('',#477263,#111971); #74981=LINE('',#477266,#111972); #74982=LINE('',#477268,#111973); #74983=LINE('',#477269,#111974); #74984=LINE('',#477276,#111975); #74985=LINE('',#477278,#111976); #74986=LINE('',#477280,#111977); #74987=LINE('',#477281,#111978); #74988=LINE('',#477284,#111979); #74989=LINE('',#477286,#111980); #74990=LINE('',#477287,#111981); #74991=LINE('',#477290,#111982); #74992=LINE('',#477292,#111983); #74993=LINE('',#477293,#111984); #74994=LINE('',#477296,#111985); #74995=LINE('',#477298,#111986); #74996=LINE('',#477299,#111987); #74997=LINE('',#477302,#111988); #74998=LINE('',#477304,#111989); #74999=LINE('',#477305,#111990); #75000=LINE('',#477308,#111991); #75001=LINE('',#477310,#111992); #75002=LINE('',#477311,#111993); #75003=LINE('',#477314,#111994); #75004=LINE('',#477316,#111995); #75005=LINE('',#477317,#111996); #75006=LINE('',#477320,#111997); #75007=LINE('',#477322,#111998); #75008=LINE('',#477323,#111999); #75009=LINE('',#477326,#112000); #75010=LINE('',#477328,#112001); #75011=LINE('',#477329,#112002); #75012=LINE('',#477332,#112003); #75013=LINE('',#477334,#112004); #75014=LINE('',#477335,#112005); #75015=LINE('',#477338,#112006); #75016=LINE('',#477340,#112007); #75017=LINE('',#477341,#112008); #75018=LINE('',#477344,#112009); #75019=LINE('',#477346,#112010); #75020=LINE('',#477347,#112011); #75021=LINE('',#477350,#112012); #75022=LINE('',#477352,#112013); #75023=LINE('',#477353,#112014); #75024=LINE('',#477356,#112015); #75025=LINE('',#477358,#112016); #75026=LINE('',#477359,#112017); #75027=LINE('',#477362,#112018); #75028=LINE('',#477364,#112019); #75029=LINE('',#477365,#112020); #75030=LINE('',#477368,#112021); #75031=LINE('',#477370,#112022); #75032=LINE('',#477371,#112023); #75033=LINE('',#477374,#112024); #75034=LINE('',#477376,#112025); #75035=LINE('',#477377,#112026); #75036=LINE('',#477380,#112027); #75037=LINE('',#477382,#112028); #75038=LINE('',#477383,#112029); #75039=LINE('',#477386,#112030); #75040=LINE('',#477388,#112031); #75041=LINE('',#477389,#112032); #75042=LINE('',#477392,#112033); #75043=LINE('',#477394,#112034); #75044=LINE('',#477395,#112035); #75045=LINE('',#477398,#112036); #75046=LINE('',#477400,#112037); #75047=LINE('',#477401,#112038); #75048=LINE('',#477404,#112039); #75049=LINE('',#477406,#112040); #75050=LINE('',#477407,#112041); #75051=LINE('',#477410,#112042); #75052=LINE('',#477412,#112043); #75053=LINE('',#477413,#112044); #75054=LINE('',#477416,#112045); #75055=LINE('',#477418,#112046); #75056=LINE('',#477419,#112047); #75057=LINE('',#477422,#112048); #75058=LINE('',#477424,#112049); #75059=LINE('',#477425,#112050); #75060=LINE('',#477428,#112051); #75061=LINE('',#477430,#112052); #75062=LINE('',#477431,#112053); #75063=LINE('',#477434,#112054); #75064=LINE('',#477436,#112055); #75065=LINE('',#477437,#112056); #75066=LINE('',#477440,#112057); #75067=LINE('',#477442,#112058); #75068=LINE('',#477443,#112059); #75069=LINE('',#477446,#112060); #75070=LINE('',#477448,#112061); #75071=LINE('',#477449,#112062); #75072=LINE('',#477452,#112063); #75073=LINE('',#477454,#112064); #75074=LINE('',#477455,#112065); #75075=LINE('',#477458,#112066); #75076=LINE('',#477460,#112067); #75077=LINE('',#477461,#112068); #75078=LINE('',#477464,#112069); #75079=LINE('',#477466,#112070); #75080=LINE('',#477467,#112071); #75081=LINE('',#477470,#112072); #75082=LINE('',#477472,#112073); #75083=LINE('',#477473,#112074); #75084=LINE('',#477476,#112075); #75085=LINE('',#477478,#112076); #75086=LINE('',#477479,#112077); #75087=LINE('',#477482,#112078); #75088=LINE('',#477484,#112079); #75089=LINE('',#477485,#112080); #75090=LINE('',#477488,#112081); #75091=LINE('',#477490,#112082); #75092=LINE('',#477491,#112083); #75093=LINE('',#477494,#112084); #75094=LINE('',#477496,#112085); #75095=LINE('',#477497,#112086); #75096=LINE('',#477500,#112087); #75097=LINE('',#477502,#112088); #75098=LINE('',#477503,#112089); #75099=LINE('',#477506,#112090); #75100=LINE('',#477508,#112091); #75101=LINE('',#477509,#112092); #75102=LINE('',#477512,#112093); #75103=LINE('',#477514,#112094); #75104=LINE('',#477515,#112095); #75105=LINE('',#477518,#112096); #75106=LINE('',#477520,#112097); #75107=LINE('',#477521,#112098); #75108=LINE('',#477524,#112099); #75109=LINE('',#477526,#112100); #75110=LINE('',#477527,#112101); #75111=LINE('',#477530,#112102); #75112=LINE('',#477532,#112103); #75113=LINE('',#477533,#112104); #75114=LINE('',#477536,#112105); #75115=LINE('',#477538,#112106); #75116=LINE('',#477539,#112107); #75117=LINE('',#477542,#112108); #75118=LINE('',#477544,#112109); #75119=LINE('',#477545,#112110); #75120=LINE('',#477548,#112111); #75121=LINE('',#477550,#112112); #75122=LINE('',#477551,#112113); #75123=LINE('',#477554,#112114); #75124=LINE('',#477556,#112115); #75125=LINE('',#477557,#112116); #75126=LINE('',#477560,#112117); #75127=LINE('',#477562,#112118); #75128=LINE('',#477563,#112119); #75129=LINE('',#477566,#112120); #75130=LINE('',#477568,#112121); #75131=LINE('',#477569,#112122); #75132=LINE('',#477572,#112123); #75133=LINE('',#477574,#112124); #75134=LINE('',#477575,#112125); #75135=LINE('',#477578,#112126); #75136=LINE('',#477580,#112127); #75137=LINE('',#477581,#112128); #75138=LINE('',#477584,#112129); #75139=LINE('',#477586,#112130); #75140=LINE('',#477587,#112131); #75141=LINE('',#477590,#112132); #75142=LINE('',#477592,#112133); #75143=LINE('',#477593,#112134); #75144=LINE('',#477596,#112135); #75145=LINE('',#477598,#112136); #75146=LINE('',#477599,#112137); #75147=LINE('',#477602,#112138); #75148=LINE('',#477604,#112139); #75149=LINE('',#477605,#112140); #75150=LINE('',#477608,#112141); #75151=LINE('',#477610,#112142); #75152=LINE('',#477611,#112143); #75153=LINE('',#477614,#112144); #75154=LINE('',#477616,#112145); #75155=LINE('',#477617,#112146); #75156=LINE('',#477620,#112147); #75157=LINE('',#477622,#112148); #75158=LINE('',#477623,#112149); #75159=LINE('',#477626,#112150); #75160=LINE('',#477628,#112151); #75161=LINE('',#477629,#112152); #75162=LINE('',#477632,#112153); #75163=LINE('',#477634,#112154); #75164=LINE('',#477635,#112155); #75165=LINE('',#477638,#112156); #75166=LINE('',#477640,#112157); #75167=LINE('',#477641,#112158); #75168=LINE('',#477644,#112159); #75169=LINE('',#477646,#112160); #75170=LINE('',#477647,#112161); #75171=LINE('',#477650,#112162); #75172=LINE('',#477652,#112163); #75173=LINE('',#477653,#112164); #75174=LINE('',#477656,#112165); #75175=LINE('',#477658,#112166); #75176=LINE('',#477659,#112167); #75177=LINE('',#477662,#112168); #75178=LINE('',#477664,#112169); #75179=LINE('',#477665,#112170); #75180=LINE('',#477668,#112171); #75181=LINE('',#477670,#112172); #75182=LINE('',#477671,#112173); #75183=LINE('',#477674,#112174); #75184=LINE('',#477676,#112175); #75185=LINE('',#477677,#112176); #75186=LINE('',#477680,#112177); #75187=LINE('',#477682,#112178); #75188=LINE('',#477683,#112179); #75189=LINE('',#477686,#112180); #75190=LINE('',#477688,#112181); #75191=LINE('',#477689,#112182); #75192=LINE('',#477692,#112183); #75193=LINE('',#477694,#112184); #75194=LINE('',#477695,#112185); #75195=LINE('',#477698,#112186); #75196=LINE('',#477700,#112187); #75197=LINE('',#477701,#112188); #75198=LINE('',#477703,#112189); #75199=LINE('',#477704,#112190); #75200=LINE('',#477711,#112191); #75201=LINE('',#477716,#112192); #75202=LINE('',#477718,#112193); #75203=LINE('',#477720,#112194); #75204=LINE('',#477721,#112195); #75205=LINE('',#477727,#112196); #75206=LINE('',#477737,#112197); #75207=LINE('',#477742,#112198); #75208=LINE('',#477744,#112199); #75209=LINE('',#477746,#112200); #75210=LINE('',#477747,#112201); #75211=LINE('',#477753,#112202); #75212=LINE('',#477762,#112203); #75213=LINE('',#477764,#112204); #75214=LINE('',#477766,#112205); #75215=LINE('',#477767,#112206); #75216=LINE('',#477773,#112207); #75217=LINE('',#477776,#112208); #75218=LINE('',#477778,#112209); #75219=LINE('',#477779,#112210); #75220=LINE('',#477786,#112211); #75221=LINE('',#477788,#112212); #75222=LINE('',#477790,#112213); #75223=LINE('',#477791,#112214); #75224=LINE('',#477794,#112215); #75225=LINE('',#477796,#112216); #75226=LINE('',#477797,#112217); #75227=LINE('',#477800,#112218); #75228=LINE('',#477802,#112219); #75229=LINE('',#477803,#112220); #75230=LINE('',#477806,#112221); #75231=LINE('',#477808,#112222); #75232=LINE('',#477809,#112223); #75233=LINE('',#477812,#112224); #75234=LINE('',#477814,#112225); #75235=LINE('',#477815,#112226); #75236=LINE('',#477818,#112227); #75237=LINE('',#477820,#112228); #75238=LINE('',#477821,#112229); #75239=LINE('',#477824,#112230); #75240=LINE('',#477826,#112231); #75241=LINE('',#477827,#112232); #75242=LINE('',#477830,#112233); #75243=LINE('',#477832,#112234); #75244=LINE('',#477833,#112235); #75245=LINE('',#477836,#112236); #75246=LINE('',#477838,#112237); #75247=LINE('',#477839,#112238); #75248=LINE('',#477842,#112239); #75249=LINE('',#477844,#112240); #75250=LINE('',#477845,#112241); #75251=LINE('',#477848,#112242); #75252=LINE('',#477850,#112243); #75253=LINE('',#477851,#112244); #75254=LINE('',#477854,#112245); #75255=LINE('',#477856,#112246); #75256=LINE('',#477857,#112247); #75257=LINE('',#477860,#112248); #75258=LINE('',#477862,#112249); #75259=LINE('',#477863,#112250); #75260=LINE('',#477866,#112251); #75261=LINE('',#477868,#112252); #75262=LINE('',#477869,#112253); #75263=LINE('',#477872,#112254); #75264=LINE('',#477874,#112255); #75265=LINE('',#477875,#112256); #75266=LINE('',#477878,#112257); #75267=LINE('',#477880,#112258); #75268=LINE('',#477881,#112259); #75269=LINE('',#477884,#112260); #75270=LINE('',#477886,#112261); #75271=LINE('',#477887,#112262); #75272=LINE('',#477890,#112263); #75273=LINE('',#477892,#112264); #75274=LINE('',#477893,#112265); #75275=LINE('',#477896,#112266); #75276=LINE('',#477898,#112267); #75277=LINE('',#477899,#112268); #75278=LINE('',#477902,#112269); #75279=LINE('',#477904,#112270); #75280=LINE('',#477905,#112271); #75281=LINE('',#477908,#112272); #75282=LINE('',#477910,#112273); #75283=LINE('',#477911,#112274); #75284=LINE('',#477914,#112275); #75285=LINE('',#477916,#112276); #75286=LINE('',#477917,#112277); #75287=LINE('',#477920,#112278); #75288=LINE('',#477922,#112279); #75289=LINE('',#477923,#112280); #75290=LINE('',#477926,#112281); #75291=LINE('',#477928,#112282); #75292=LINE('',#477929,#112283); #75293=LINE('',#477932,#112284); #75294=LINE('',#477934,#112285); #75295=LINE('',#477935,#112286); #75296=LINE('',#477938,#112287); #75297=LINE('',#477940,#112288); #75298=LINE('',#477941,#112289); #75299=LINE('',#477944,#112290); #75300=LINE('',#477946,#112291); #75301=LINE('',#477947,#112292); #75302=LINE('',#477950,#112293); #75303=LINE('',#477952,#112294); #75304=LINE('',#477953,#112295); #75305=LINE('',#477956,#112296); #75306=LINE('',#477958,#112297); #75307=LINE('',#477959,#112298); #75308=LINE('',#477962,#112299); #75309=LINE('',#477964,#112300); #75310=LINE('',#477965,#112301); #75311=LINE('',#477968,#112302); #75312=LINE('',#477970,#112303); #75313=LINE('',#477971,#112304); #75314=LINE('',#477974,#112305); #75315=LINE('',#477976,#112306); #75316=LINE('',#477977,#112307); #75317=LINE('',#477980,#112308); #75318=LINE('',#477982,#112309); #75319=LINE('',#477983,#112310); #75320=LINE('',#477986,#112311); #75321=LINE('',#477988,#112312); #75322=LINE('',#477989,#112313); #75323=LINE('',#477992,#112314); #75324=LINE('',#477994,#112315); #75325=LINE('',#477995,#112316); #75326=LINE('',#477998,#112317); #75327=LINE('',#478000,#112318); #75328=LINE('',#478001,#112319); #75329=LINE('',#478004,#112320); #75330=LINE('',#478006,#112321); #75331=LINE('',#478007,#112322); #75332=LINE('',#478010,#112323); #75333=LINE('',#478012,#112324); #75334=LINE('',#478013,#112325); #75335=LINE('',#478016,#112326); #75336=LINE('',#478018,#112327); #75337=LINE('',#478019,#112328); #75338=LINE('',#478022,#112329); #75339=LINE('',#478024,#112330); #75340=LINE('',#478025,#112331); #75341=LINE('',#478028,#112332); #75342=LINE('',#478030,#112333); #75343=LINE('',#478031,#112334); #75344=LINE('',#478034,#112335); #75345=LINE('',#478036,#112336); #75346=LINE('',#478037,#112337); #75347=LINE('',#478040,#112338); #75348=LINE('',#478042,#112339); #75349=LINE('',#478043,#112340); #75350=LINE('',#478046,#112341); #75351=LINE('',#478048,#112342); #75352=LINE('',#478049,#112343); #75353=LINE('',#478052,#112344); #75354=LINE('',#478054,#112345); #75355=LINE('',#478055,#112346); #75356=LINE('',#478058,#112347); #75357=LINE('',#478060,#112348); #75358=LINE('',#478061,#112349); #75359=LINE('',#478064,#112350); #75360=LINE('',#478066,#112351); #75361=LINE('',#478067,#112352); #75362=LINE('',#478070,#112353); #75363=LINE('',#478072,#112354); #75364=LINE('',#478073,#112355); #75365=LINE('',#478076,#112356); #75366=LINE('',#478078,#112357); #75367=LINE('',#478079,#112358); #75368=LINE('',#478082,#112359); #75369=LINE('',#478084,#112360); #75370=LINE('',#478085,#112361); #75371=LINE('',#478088,#112362); #75372=LINE('',#478090,#112363); #75373=LINE('',#478091,#112364); #75374=LINE('',#478094,#112365); #75375=LINE('',#478096,#112366); #75376=LINE('',#478097,#112367); #75377=LINE('',#478100,#112368); #75378=LINE('',#478102,#112369); #75379=LINE('',#478103,#112370); #75380=LINE('',#478106,#112371); #75381=LINE('',#478108,#112372); #75382=LINE('',#478109,#112373); #75383=LINE('',#478112,#112374); #75384=LINE('',#478114,#112375); #75385=LINE('',#478115,#112376); #75386=LINE('',#478117,#112377); #75387=LINE('',#478118,#112378); #75388=LINE('',#478125,#112379); #75389=LINE('',#478130,#112380); #75390=LINE('',#478132,#112381); #75391=LINE('',#478134,#112382); #75392=LINE('',#478135,#112383); #75393=LINE('',#478141,#112384); #75394=LINE('',#478151,#112385); #75395=LINE('',#478156,#112386); #75396=LINE('',#478158,#112387); #75397=LINE('',#478160,#112388); #75398=LINE('',#478161,#112389); #75399=LINE('',#478167,#112390); #75400=LINE('',#478177,#112391); #75401=LINE('',#478182,#112392); #75402=LINE('',#478184,#112393); #75403=LINE('',#478186,#112394); #75404=LINE('',#478187,#112395); #75405=LINE('',#478193,#112396); #75406=LINE('',#478203,#112397); #75407=LINE('',#478208,#112398); #75408=LINE('',#478210,#112399); #75409=LINE('',#478212,#112400); #75410=LINE('',#478213,#112401); #75411=LINE('',#478216,#112402); #75412=LINE('',#478218,#112403); #75413=LINE('',#478219,#112404); #75414=LINE('',#478222,#112405); #75415=LINE('',#478224,#112406); #75416=LINE('',#478225,#112407); #75417=LINE('',#478227,#112408); #75418=LINE('',#478228,#112409); #75419=LINE('',#478235,#112410); #75420=LINE('',#478240,#112411); #75421=LINE('',#478242,#112412); #75422=LINE('',#478244,#112413); #75423=LINE('',#478245,#112414); #75424=LINE('',#478251,#112415); #75425=LINE('',#478260,#112416); #75426=LINE('',#478262,#112417); #75427=LINE('',#478264,#112418); #75428=LINE('',#478265,#112419); #75429=LINE('',#478268,#112420); #75430=LINE('',#478270,#112421); #75431=LINE('',#478271,#112422); #75432=LINE('',#478274,#112423); #75433=LINE('',#478276,#112424); #75434=LINE('',#478277,#112425); #75435=LINE('',#478279,#112426); #75436=LINE('',#478280,#112427); #75437=LINE('',#478286,#112428); #75438=LINE('',#478288,#112429); #75439=LINE('',#478290,#112430); #75440=LINE('',#478291,#112431); #75441=LINE('',#478294,#112432); #75442=LINE('',#478296,#112433); #75443=LINE('',#478297,#112434); #75444=LINE('',#478300,#112435); #75445=LINE('',#478302,#112436); #75446=LINE('',#478303,#112437); #75447=LINE('',#478305,#112438); #75448=LINE('',#478306,#112439); #75449=LINE('',#478312,#112440); #75450=LINE('',#478314,#112441); #75451=LINE('',#478316,#112442); #75452=LINE('',#478317,#112443); #75453=LINE('',#478320,#112444); #75454=LINE('',#478322,#112445); #75455=LINE('',#478323,#112446); #75456=LINE('',#478326,#112447); #75457=LINE('',#478328,#112448); #75458=LINE('',#478329,#112449); #75459=LINE('',#478331,#112450); #75460=LINE('',#478332,#112451); #75461=LINE('',#478338,#112452); #75462=LINE('',#478340,#112453); #75463=LINE('',#478342,#112454); #75464=LINE('',#478343,#112455); #75465=LINE('',#478346,#112456); #75466=LINE('',#478348,#112457); #75467=LINE('',#478349,#112458); #75468=LINE('',#478352,#112459); #75469=LINE('',#478354,#112460); #75470=LINE('',#478355,#112461); #75471=LINE('',#478357,#112462); #75472=LINE('',#478358,#112463); #75473=LINE('',#478364,#112464); #75474=LINE('',#478366,#112465); #75475=LINE('',#478368,#112466); #75476=LINE('',#478369,#112467); #75477=LINE('',#478372,#112468); #75478=LINE('',#478374,#112469); #75479=LINE('',#478375,#112470); #75480=LINE('',#478378,#112471); #75481=LINE('',#478380,#112472); #75482=LINE('',#478381,#112473); #75483=LINE('',#478383,#112474); #75484=LINE('',#478384,#112475); #75485=LINE('',#478390,#112476); #75486=LINE('',#478392,#112477); #75487=LINE('',#478394,#112478); #75488=LINE('',#478395,#112479); #75489=LINE('',#478398,#112480); #75490=LINE('',#478400,#112481); #75491=LINE('',#478401,#112482); #75492=LINE('',#478404,#112483); #75493=LINE('',#478406,#112484); #75494=LINE('',#478407,#112485); #75495=LINE('',#478409,#112486); #75496=LINE('',#478410,#112487); #75497=LINE('',#478416,#112488); #75498=LINE('',#478418,#112489); #75499=LINE('',#478420,#112490); #75500=LINE('',#478421,#112491); #75501=LINE('',#478424,#112492); #75502=LINE('',#478426,#112493); #75503=LINE('',#478427,#112494); #75504=LINE('',#478430,#112495); #75505=LINE('',#478432,#112496); #75506=LINE('',#478433,#112497); #75507=LINE('',#478435,#112498); #75508=LINE('',#478436,#112499); #75509=LINE('',#478442,#112500); #75510=LINE('',#478444,#112501); #75511=LINE('',#478446,#112502); #75512=LINE('',#478447,#112503); #75513=LINE('',#478450,#112504); #75514=LINE('',#478452,#112505); #75515=LINE('',#478453,#112506); #75516=LINE('',#478456,#112507); #75517=LINE('',#478458,#112508); #75518=LINE('',#478459,#112509); #75519=LINE('',#478461,#112510); #75520=LINE('',#478462,#112511); #75521=LINE('',#478468,#112512); #75522=LINE('',#478470,#112513); #75523=LINE('',#478472,#112514); #75524=LINE('',#478473,#112515); #75525=LINE('',#478476,#112516); #75526=LINE('',#478478,#112517); #75527=LINE('',#478479,#112518); #75528=LINE('',#478482,#112519); #75529=LINE('',#478484,#112520); #75530=LINE('',#478485,#112521); #75531=LINE('',#478487,#112522); #75532=LINE('',#478488,#112523); #75533=LINE('',#478494,#112524); #75534=LINE('',#478496,#112525); #75535=LINE('',#478498,#112526); #75536=LINE('',#478499,#112527); #75537=LINE('',#478502,#112528); #75538=LINE('',#478504,#112529); #75539=LINE('',#478505,#112530); #75540=LINE('',#478508,#112531); #75541=LINE('',#478510,#112532); #75542=LINE('',#478511,#112533); #75543=LINE('',#478513,#112534); #75544=LINE('',#478514,#112535); #75545=LINE('',#478520,#112536); #75546=LINE('',#478522,#112537); #75547=LINE('',#478524,#112538); #75548=LINE('',#478525,#112539); #75549=LINE('',#478528,#112540); #75550=LINE('',#478530,#112541); #75551=LINE('',#478531,#112542); #75552=LINE('',#478534,#112543); #75553=LINE('',#478536,#112544); #75554=LINE('',#478537,#112545); #75555=LINE('',#478539,#112546); #75556=LINE('',#478540,#112547); #75557=LINE('',#478546,#112548); #75558=LINE('',#478548,#112549); #75559=LINE('',#478550,#112550); #75560=LINE('',#478551,#112551); #75561=LINE('',#478554,#112552); #75562=LINE('',#478556,#112553); #75563=LINE('',#478557,#112554); #75564=LINE('',#478560,#112555); #75565=LINE('',#478562,#112556); #75566=LINE('',#478563,#112557); #75567=LINE('',#478565,#112558); #75568=LINE('',#478566,#112559); #75569=LINE('',#478572,#112560); #75570=LINE('',#478574,#112561); #75571=LINE('',#478576,#112562); #75572=LINE('',#478577,#112563); #75573=LINE('',#478580,#112564); #75574=LINE('',#478582,#112565); #75575=LINE('',#478583,#112566); #75576=LINE('',#478586,#112567); #75577=LINE('',#478588,#112568); #75578=LINE('',#478589,#112569); #75579=LINE('',#478591,#112570); #75580=LINE('',#478592,#112571); #75581=LINE('',#478599,#112572); #75582=LINE('',#478605,#112573); #75583=LINE('',#478611,#112574); #75584=LINE('',#478617,#112575); #75585=LINE('',#478623,#112576); #75586=LINE('',#478629,#112577); #75587=LINE('',#478634,#112578); #75588=LINE('',#478636,#112579); #75589=LINE('',#478638,#112580); #75590=LINE('',#478639,#112581); #75591=LINE('',#478642,#112582); #75592=LINE('',#478644,#112583); #75593=LINE('',#478645,#112584); #75594=LINE('',#478648,#112585); #75595=LINE('',#478650,#112586); #75596=LINE('',#478651,#112587); #75597=LINE('',#478653,#112588); #75598=LINE('',#478654,#112589); #75599=LINE('',#478660,#112590); #75600=LINE('',#478662,#112591); #75601=LINE('',#478664,#112592); #75602=LINE('',#478665,#112593); #75603=LINE('',#478668,#112594); #75604=LINE('',#478670,#112595); #75605=LINE('',#478671,#112596); #75606=LINE('',#478674,#112597); #75607=LINE('',#478676,#112598); #75608=LINE('',#478677,#112599); #75609=LINE('',#478679,#112600); #75610=LINE('',#478680,#112601); #75611=LINE('',#478686,#112602); #75612=LINE('',#478688,#112603); #75613=LINE('',#478690,#112604); #75614=LINE('',#478691,#112605); #75615=LINE('',#478694,#112606); #75616=LINE('',#478696,#112607); #75617=LINE('',#478697,#112608); #75618=LINE('',#478700,#112609); #75619=LINE('',#478702,#112610); #75620=LINE('',#478703,#112611); #75621=LINE('',#478705,#112612); #75622=LINE('',#478706,#112613); #75623=LINE('',#478712,#112614); #75624=LINE('',#478714,#112615); #75625=LINE('',#478716,#112616); #75626=LINE('',#478717,#112617); #75627=LINE('',#478720,#112618); #75628=LINE('',#478722,#112619); #75629=LINE('',#478723,#112620); #75630=LINE('',#478726,#112621); #75631=LINE('',#478728,#112622); #75632=LINE('',#478729,#112623); #75633=LINE('',#478731,#112624); #75634=LINE('',#478732,#112625); #75635=LINE('',#478738,#112626); #75636=LINE('',#478740,#112627); #75637=LINE('',#478742,#112628); #75638=LINE('',#478743,#112629); #75639=LINE('',#478746,#112630); #75640=LINE('',#478748,#112631); #75641=LINE('',#478749,#112632); #75642=LINE('',#478752,#112633); #75643=LINE('',#478754,#112634); #75644=LINE('',#478755,#112635); #75645=LINE('',#478757,#112636); #75646=LINE('',#478758,#112637); #75647=LINE('',#478764,#112638); #75648=LINE('',#478766,#112639); #75649=LINE('',#478768,#112640); #75650=LINE('',#478769,#112641); #75651=LINE('',#478772,#112642); #75652=LINE('',#478774,#112643); #75653=LINE('',#478775,#112644); #75654=LINE('',#478778,#112645); #75655=LINE('',#478780,#112646); #75656=LINE('',#478781,#112647); #75657=LINE('',#478783,#112648); #75658=LINE('',#478784,#112649); #75659=LINE('',#478790,#112650); #75660=LINE('',#478792,#112651); #75661=LINE('',#478794,#112652); #75662=LINE('',#478795,#112653); #75663=LINE('',#478798,#112654); #75664=LINE('',#478800,#112655); #75665=LINE('',#478801,#112656); #75666=LINE('',#478804,#112657); #75667=LINE('',#478806,#112658); #75668=LINE('',#478807,#112659); #75669=LINE('',#478809,#112660); #75670=LINE('',#478810,#112661); #75671=LINE('',#478816,#112662); #75672=LINE('',#478818,#112663); #75673=LINE('',#478820,#112664); #75674=LINE('',#478821,#112665); #75675=LINE('',#478824,#112666); #75676=LINE('',#478826,#112667); #75677=LINE('',#478827,#112668); #75678=LINE('',#478830,#112669); #75679=LINE('',#478832,#112670); #75680=LINE('',#478833,#112671); #75681=LINE('',#478835,#112672); #75682=LINE('',#478836,#112673); #75683=LINE('',#478842,#112674); #75684=LINE('',#478844,#112675); #75685=LINE('',#478846,#112676); #75686=LINE('',#478847,#112677); #75687=LINE('',#478850,#112678); #75688=LINE('',#478852,#112679); #75689=LINE('',#478853,#112680); #75690=LINE('',#478856,#112681); #75691=LINE('',#478858,#112682); #75692=LINE('',#478859,#112683); #75693=LINE('',#478861,#112684); #75694=LINE('',#478862,#112685); #75695=LINE('',#478868,#112686); #75696=LINE('',#478870,#112687); #75697=LINE('',#478872,#112688); #75698=LINE('',#478873,#112689); #75699=LINE('',#478876,#112690); #75700=LINE('',#478878,#112691); #75701=LINE('',#478879,#112692); #75702=LINE('',#478882,#112693); #75703=LINE('',#478884,#112694); #75704=LINE('',#478885,#112695); #75705=LINE('',#478887,#112696); #75706=LINE('',#478888,#112697); #75707=LINE('',#478894,#112698); #75708=LINE('',#478896,#112699); #75709=LINE('',#478898,#112700); #75710=LINE('',#478899,#112701); #75711=LINE('',#478902,#112702); #75712=LINE('',#478904,#112703); #75713=LINE('',#478905,#112704); #75714=LINE('',#478908,#112705); #75715=LINE('',#478910,#112706); #75716=LINE('',#478911,#112707); #75717=LINE('',#478913,#112708); #75718=LINE('',#478914,#112709); #75719=LINE('',#478920,#112710); #75720=LINE('',#478922,#112711); #75721=LINE('',#478924,#112712); #75722=LINE('',#478925,#112713); #75723=LINE('',#478928,#112714); #75724=LINE('',#478930,#112715); #75725=LINE('',#478931,#112716); #75726=LINE('',#478934,#112717); #75727=LINE('',#478936,#112718); #75728=LINE('',#478937,#112719); #75729=LINE('',#478939,#112720); #75730=LINE('',#478940,#112721); #75731=LINE('',#478946,#112722); #75732=LINE('',#478948,#112723); #75733=LINE('',#478950,#112724); #75734=LINE('',#478951,#112725); #75735=LINE('',#478954,#112726); #75736=LINE('',#478956,#112727); #75737=LINE('',#478957,#112728); #75738=LINE('',#478960,#112729); #75739=LINE('',#478962,#112730); #75740=LINE('',#478963,#112731); #75741=LINE('',#478965,#112732); #75742=LINE('',#478966,#112733); #75743=LINE('',#478972,#112734); #75744=LINE('',#478974,#112735); #75745=LINE('',#478976,#112736); #75746=LINE('',#478977,#112737); #75747=LINE('',#478980,#112738); #75748=LINE('',#478982,#112739); #75749=LINE('',#478983,#112740); #75750=LINE('',#478986,#112741); #75751=LINE('',#478988,#112742); #75752=LINE('',#478989,#112743); #75753=LINE('',#478991,#112744); #75754=LINE('',#478992,#112745); #75755=LINE('',#478998,#112746); #75756=LINE('',#479000,#112747); #75757=LINE('',#479002,#112748); #75758=LINE('',#479003,#112749); #75759=LINE('',#479006,#112750); #75760=LINE('',#479008,#112751); #75761=LINE('',#479009,#112752); #75762=LINE('',#479012,#112753); #75763=LINE('',#479014,#112754); #75764=LINE('',#479015,#112755); #75765=LINE('',#479017,#112756); #75766=LINE('',#479018,#112757); #75767=LINE('',#479024,#112758); #75768=LINE('',#479026,#112759); #75769=LINE('',#479028,#112760); #75770=LINE('',#479029,#112761); #75771=LINE('',#479032,#112762); #75772=LINE('',#479034,#112763); #75773=LINE('',#479035,#112764); #75774=LINE('',#479038,#112765); #75775=LINE('',#479040,#112766); #75776=LINE('',#479041,#112767); #75777=LINE('',#479043,#112768); #75778=LINE('',#479044,#112769); #75779=LINE('',#479050,#112770); #75780=LINE('',#479052,#112771); #75781=LINE('',#479054,#112772); #75782=LINE('',#479055,#112773); #75783=LINE('',#479058,#112774); #75784=LINE('',#479060,#112775); #75785=LINE('',#479061,#112776); #75786=LINE('',#479064,#112777); #75787=LINE('',#479066,#112778); #75788=LINE('',#479067,#112779); #75789=LINE('',#479069,#112780); #75790=LINE('',#479070,#112781); #75791=LINE('',#479076,#112782); #75792=LINE('',#479078,#112783); #75793=LINE('',#479080,#112784); #75794=LINE('',#479081,#112785); #75795=LINE('',#479084,#112786); #75796=LINE('',#479086,#112787); #75797=LINE('',#479087,#112788); #75798=LINE('',#479090,#112789); #75799=LINE('',#479092,#112790); #75800=LINE('',#479093,#112791); #75801=LINE('',#479095,#112792); #75802=LINE('',#479096,#112793); #75803=LINE('',#479102,#112794); #75804=LINE('',#479104,#112795); #75805=LINE('',#479106,#112796); #75806=LINE('',#479107,#112797); #75807=LINE('',#479110,#112798); #75808=LINE('',#479112,#112799); #75809=LINE('',#479113,#112800); #75810=LINE('',#479116,#112801); #75811=LINE('',#479118,#112802); #75812=LINE('',#479119,#112803); #75813=LINE('',#479121,#112804); #75814=LINE('',#479122,#112805); #75815=LINE('',#479128,#112806); #75816=LINE('',#479130,#112807); #75817=LINE('',#479132,#112808); #75818=LINE('',#479133,#112809); #75819=LINE('',#479136,#112810); #75820=LINE('',#479138,#112811); #75821=LINE('',#479139,#112812); #75822=LINE('',#479142,#112813); #75823=LINE('',#479144,#112814); #75824=LINE('',#479145,#112815); #75825=LINE('',#479147,#112816); #75826=LINE('',#479148,#112817); #75827=LINE('',#479154,#112818); #75828=LINE('',#479156,#112819); #75829=LINE('',#479158,#112820); #75830=LINE('',#479159,#112821); #75831=LINE('',#479162,#112822); #75832=LINE('',#479164,#112823); #75833=LINE('',#479165,#112824); #75834=LINE('',#479168,#112825); #75835=LINE('',#479170,#112826); #75836=LINE('',#479171,#112827); #75837=LINE('',#479173,#112828); #75838=LINE('',#479174,#112829); #75839=LINE('',#479180,#112830); #75840=LINE('',#479182,#112831); #75841=LINE('',#479184,#112832); #75842=LINE('',#479185,#112833); #75843=LINE('',#479188,#112834); #75844=LINE('',#479190,#112835); #75845=LINE('',#479191,#112836); #75846=LINE('',#479194,#112837); #75847=LINE('',#479196,#112838); #75848=LINE('',#479197,#112839); #75849=LINE('',#479199,#112840); #75850=LINE('',#479200,#112841); #75851=LINE('',#479206,#112842); #75852=LINE('',#479208,#112843); #75853=LINE('',#479210,#112844); #75854=LINE('',#479211,#112845); #75855=LINE('',#479214,#112846); #75856=LINE('',#479216,#112847); #75857=LINE('',#479217,#112848); #75858=LINE('',#479220,#112849); #75859=LINE('',#479222,#112850); #75860=LINE('',#479223,#112851); #75861=LINE('',#479225,#112852); #75862=LINE('',#479226,#112853); #75863=LINE('',#479232,#112854); #75864=LINE('',#479234,#112855); #75865=LINE('',#479236,#112856); #75866=LINE('',#479237,#112857); #75867=LINE('',#479240,#112858); #75868=LINE('',#479242,#112859); #75869=LINE('',#479243,#112860); #75870=LINE('',#479246,#112861); #75871=LINE('',#479248,#112862); #75872=LINE('',#479249,#112863); #75873=LINE('',#479251,#112864); #75874=LINE('',#479252,#112865); #75875=LINE('',#479258,#112866); #75876=LINE('',#479260,#112867); #75877=LINE('',#479262,#112868); #75878=LINE('',#479263,#112869); #75879=LINE('',#479266,#112870); #75880=LINE('',#479268,#112871); #75881=LINE('',#479269,#112872); #75882=LINE('',#479272,#112873); #75883=LINE('',#479274,#112874); #75884=LINE('',#479275,#112875); #75885=LINE('',#479277,#112876); #75886=LINE('',#479278,#112877); #75887=LINE('',#479284,#112878); #75888=LINE('',#479286,#112879); #75889=LINE('',#479288,#112880); #75890=LINE('',#479289,#112881); #75891=LINE('',#479292,#112882); #75892=LINE('',#479294,#112883); #75893=LINE('',#479295,#112884); #75894=LINE('',#479298,#112885); #75895=LINE('',#479300,#112886); #75896=LINE('',#479301,#112887); #75897=LINE('',#479303,#112888); #75898=LINE('',#479304,#112889); #75899=LINE('',#479310,#112890); #75900=LINE('',#479312,#112891); #75901=LINE('',#479314,#112892); #75902=LINE('',#479315,#112893); #75903=LINE('',#479318,#112894); #75904=LINE('',#479320,#112895); #75905=LINE('',#479321,#112896); #75906=LINE('',#479324,#112897); #75907=LINE('',#479326,#112898); #75908=LINE('',#479327,#112899); #75909=LINE('',#479329,#112900); #75910=LINE('',#479330,#112901); #75911=LINE('',#479337,#112902); #75912=LINE('',#479343,#112903); #75913=LINE('',#479349,#112904); #75914=LINE('',#479355,#112905); #75915=LINE('',#479361,#112906); #75916=LINE('',#479367,#112907); #75917=LINE('',#479372,#112908); #75918=LINE('',#479374,#112909); #75919=LINE('',#479376,#112910); #75920=LINE('',#479377,#112911); #75921=LINE('',#479380,#112912); #75922=LINE('',#479382,#112913); #75923=LINE('',#479383,#112914); #75924=LINE('',#479386,#112915); #75925=LINE('',#479388,#112916); #75926=LINE('',#479389,#112917); #75927=LINE('',#479391,#112918); #75928=LINE('',#479392,#112919); #75929=LINE('',#479398,#112920); #75930=LINE('',#479400,#112921); #75931=LINE('',#479402,#112922); #75932=LINE('',#479403,#112923); #75933=LINE('',#479406,#112924); #75934=LINE('',#479408,#112925); #75935=LINE('',#479409,#112926); #75936=LINE('',#479412,#112927); #75937=LINE('',#479414,#112928); #75938=LINE('',#479415,#112929); #75939=LINE('',#479417,#112930); #75940=LINE('',#479418,#112931); #75941=LINE('',#479424,#112932); #75942=LINE('',#479426,#112933); #75943=LINE('',#479428,#112934); #75944=LINE('',#479429,#112935); #75945=LINE('',#479432,#112936); #75946=LINE('',#479434,#112937); #75947=LINE('',#479435,#112938); #75948=LINE('',#479438,#112939); #75949=LINE('',#479440,#112940); #75950=LINE('',#479441,#112941); #75951=LINE('',#479443,#112942); #75952=LINE('',#479444,#112943); #75953=LINE('',#479450,#112944); #75954=LINE('',#479452,#112945); #75955=LINE('',#479454,#112946); #75956=LINE('',#479455,#112947); #75957=LINE('',#479458,#112948); #75958=LINE('',#479460,#112949); #75959=LINE('',#479461,#112950); #75960=LINE('',#479464,#112951); #75961=LINE('',#479466,#112952); #75962=LINE('',#479467,#112953); #75963=LINE('',#479469,#112954); #75964=LINE('',#479470,#112955); #75965=LINE('',#479476,#112956); #75966=LINE('',#479478,#112957); #75967=LINE('',#479480,#112958); #75968=LINE('',#479481,#112959); #75969=LINE('',#479484,#112960); #75970=LINE('',#479486,#112961); #75971=LINE('',#479487,#112962); #75972=LINE('',#479490,#112963); #75973=LINE('',#479492,#112964); #75974=LINE('',#479493,#112965); #75975=LINE('',#479495,#112966); #75976=LINE('',#479496,#112967); #75977=LINE('',#479502,#112968); #75978=LINE('',#479504,#112969); #75979=LINE('',#479506,#112970); #75980=LINE('',#479507,#112971); #75981=LINE('',#479510,#112972); #75982=LINE('',#479512,#112973); #75983=LINE('',#479513,#112974); #75984=LINE('',#479516,#112975); #75985=LINE('',#479518,#112976); #75986=LINE('',#479519,#112977); #75987=LINE('',#479521,#112978); #75988=LINE('',#479522,#112979); #75989=LINE('',#479528,#112980); #75990=LINE('',#479530,#112981); #75991=LINE('',#479532,#112982); #75992=LINE('',#479533,#112983); #75993=LINE('',#479536,#112984); #75994=LINE('',#479538,#112985); #75995=LINE('',#479539,#112986); #75996=LINE('',#479542,#112987); #75997=LINE('',#479544,#112988); #75998=LINE('',#479545,#112989); #75999=LINE('',#479547,#112990); #76000=LINE('',#479548,#112991); #76001=LINE('',#479555,#112992); #76002=LINE('',#479561,#112993); #76003=LINE('',#479567,#112994); #76004=LINE('',#479573,#112995); #76005=LINE('',#479579,#112996); #76006=LINE('',#479585,#112997); #76007=LINE('',#479590,#112998); #76008=LINE('',#479592,#112999); #76009=LINE('',#479594,#113000); #76010=LINE('',#479595,#113001); #76011=LINE('',#479598,#113002); #76012=LINE('',#479600,#113003); #76013=LINE('',#479601,#113004); #76014=LINE('',#479604,#113005); #76015=LINE('',#479606,#113006); #76016=LINE('',#479607,#113007); #76017=LINE('',#479609,#113008); #76018=LINE('',#479610,#113009); #76019=LINE('',#479616,#113010); #76020=LINE('',#479618,#113011); #76021=LINE('',#479620,#113012); #76022=LINE('',#479621,#113013); #76023=LINE('',#479624,#113014); #76024=LINE('',#479626,#113015); #76025=LINE('',#479627,#113016); #76026=LINE('',#479630,#113017); #76027=LINE('',#479632,#113018); #76028=LINE('',#479633,#113019); #76029=LINE('',#479635,#113020); #76030=LINE('',#479636,#113021); #76031=LINE('',#479642,#113022); #76032=LINE('',#479644,#113023); #76033=LINE('',#479646,#113024); #76034=LINE('',#479647,#113025); #76035=LINE('',#479650,#113026); #76036=LINE('',#479652,#113027); #76037=LINE('',#479653,#113028); #76038=LINE('',#479656,#113029); #76039=LINE('',#479658,#113030); #76040=LINE('',#479659,#113031); #76041=LINE('',#479661,#113032); #76042=LINE('',#479662,#113033); #76043=LINE('',#479669,#113034); #76044=LINE('',#479675,#113035); #76045=LINE('',#479681,#113036); #76046=LINE('',#479687,#113037); #76047=LINE('',#479693,#113038); #76048=LINE('',#479699,#113039); #76049=LINE('',#479705,#113040); #76050=LINE('',#479711,#113041); #76051=LINE('',#479717,#113042); #76052=LINE('',#479723,#113043); #76053=LINE('',#479729,#113044); #76054=LINE('',#479735,#113045); #76055=LINE('',#479741,#113046); #76056=LINE('',#479747,#113047); #76057=LINE('',#479753,#113048); #76058=LINE('',#479759,#113049); #76059=LINE('',#479765,#113050); #76060=LINE('',#479771,#113051); #76061=LINE('',#479777,#113052); #76062=LINE('',#479783,#113053); #76063=LINE('',#479789,#113054); #76064=LINE('',#479795,#113055); #76065=LINE('',#479801,#113056); #76066=LINE('',#479807,#113057); #76067=LINE('',#479813,#113058); #76068=LINE('',#479819,#113059); #76069=LINE('',#479825,#113060); #76070=LINE('',#479831,#113061); #76071=LINE('',#479837,#113062); #76072=LINE('',#479843,#113063); #76073=LINE('',#479849,#113064); #76074=LINE('',#479855,#113065); #76075=LINE('',#479861,#113066); #76076=LINE('',#479867,#113067); #76077=LINE('',#479873,#113068); #76078=LINE('',#479879,#113069); #76079=LINE('',#479885,#113070); #76080=LINE('',#479891,#113071); #76081=LINE('',#479897,#113072); #76082=LINE('',#479903,#113073); #76083=LINE('',#479909,#113074); #76084=LINE('',#479915,#113075); #76085=LINE('',#479921,#113076); #76086=LINE('',#479927,#113077); #76087=LINE('',#479933,#113078); #76088=LINE('',#479939,#113079); #76089=LINE('',#479945,#113080); #76090=LINE('',#479951,#113081); #76091=LINE('',#479957,#113082); #76092=LINE('',#479962,#113083); #76093=LINE('',#479964,#113084); #76094=LINE('',#479966,#113085); #76095=LINE('',#479967,#113086); #76096=LINE('',#479970,#113087); #76097=LINE('',#479972,#113088); #76098=LINE('',#479973,#113089); #76099=LINE('',#479976,#113090); #76100=LINE('',#479978,#113091); #76101=LINE('',#479979,#113092); #76102=LINE('',#479981,#113093); #76103=LINE('',#479982,#113094); #76104=LINE('',#479988,#113095); #76105=LINE('',#479990,#113096); #76106=LINE('',#479992,#113097); #76107=LINE('',#479993,#113098); #76108=LINE('',#479996,#113099); #76109=LINE('',#479998,#113100); #76110=LINE('',#479999,#113101); #76111=LINE('',#480002,#113102); #76112=LINE('',#480004,#113103); #76113=LINE('',#480005,#113104); #76114=LINE('',#480007,#113105); #76115=LINE('',#480008,#113106); #76116=LINE('',#480014,#113107); #76117=LINE('',#480016,#113108); #76118=LINE('',#480018,#113109); #76119=LINE('',#480019,#113110); #76120=LINE('',#480022,#113111); #76121=LINE('',#480024,#113112); #76122=LINE('',#480025,#113113); #76123=LINE('',#480028,#113114); #76124=LINE('',#480030,#113115); #76125=LINE('',#480031,#113116); #76126=LINE('',#480033,#113117); #76127=LINE('',#480034,#113118); #76128=LINE('',#480040,#113119); #76129=LINE('',#480042,#113120); #76130=LINE('',#480044,#113121); #76131=LINE('',#480045,#113122); #76132=LINE('',#480048,#113123); #76133=LINE('',#480050,#113124); #76134=LINE('',#480051,#113125); #76135=LINE('',#480054,#113126); #76136=LINE('',#480056,#113127); #76137=LINE('',#480057,#113128); #76138=LINE('',#480059,#113129); #76139=LINE('',#480060,#113130); #76140=LINE('',#480066,#113131); #76141=LINE('',#480068,#113132); #76142=LINE('',#480070,#113133); #76143=LINE('',#480071,#113134); #76144=LINE('',#480074,#113135); #76145=LINE('',#480076,#113136); #76146=LINE('',#480077,#113137); #76147=LINE('',#480080,#113138); #76148=LINE('',#480082,#113139); #76149=LINE('',#480083,#113140); #76150=LINE('',#480085,#113141); #76151=LINE('',#480086,#113142); #76152=LINE('',#480092,#113143); #76153=LINE('',#480094,#113144); #76154=LINE('',#480096,#113145); #76155=LINE('',#480097,#113146); #76156=LINE('',#480100,#113147); #76157=LINE('',#480102,#113148); #76158=LINE('',#480103,#113149); #76159=LINE('',#480106,#113150); #76160=LINE('',#480108,#113151); #76161=LINE('',#480109,#113152); #76162=LINE('',#480111,#113153); #76163=LINE('',#480112,#113154); #76164=LINE('',#480118,#113155); #76165=LINE('',#480120,#113156); #76166=LINE('',#480122,#113157); #76167=LINE('',#480123,#113158); #76168=LINE('',#480126,#113159); #76169=LINE('',#480128,#113160); #76170=LINE('',#480129,#113161); #76171=LINE('',#480132,#113162); #76172=LINE('',#480134,#113163); #76173=LINE('',#480135,#113164); #76174=LINE('',#480137,#113165); #76175=LINE('',#480138,#113166); #76176=LINE('',#480144,#113167); #76177=LINE('',#480146,#113168); #76178=LINE('',#480148,#113169); #76179=LINE('',#480149,#113170); #76180=LINE('',#480152,#113171); #76181=LINE('',#480154,#113172); #76182=LINE('',#480155,#113173); #76183=LINE('',#480158,#113174); #76184=LINE('',#480160,#113175); #76185=LINE('',#480161,#113176); #76186=LINE('',#480163,#113177); #76187=LINE('',#480164,#113178); #76188=LINE('',#480170,#113179); #76189=LINE('',#480172,#113180); #76190=LINE('',#480174,#113181); #76191=LINE('',#480175,#113182); #76192=LINE('',#480178,#113183); #76193=LINE('',#480180,#113184); #76194=LINE('',#480181,#113185); #76195=LINE('',#480184,#113186); #76196=LINE('',#480186,#113187); #76197=LINE('',#480187,#113188); #76198=LINE('',#480189,#113189); #76199=LINE('',#480190,#113190); #76200=LINE('',#480196,#113191); #76201=LINE('',#480198,#113192); #76202=LINE('',#480200,#113193); #76203=LINE('',#480201,#113194); #76204=LINE('',#480204,#113195); #76205=LINE('',#480206,#113196); #76206=LINE('',#480207,#113197); #76207=LINE('',#480210,#113198); #76208=LINE('',#480212,#113199); #76209=LINE('',#480213,#113200); #76210=LINE('',#480215,#113201); #76211=LINE('',#480216,#113202); #76212=LINE('',#480222,#113203); #76213=LINE('',#480224,#113204); #76214=LINE('',#480226,#113205); #76215=LINE('',#480227,#113206); #76216=LINE('',#480230,#113207); #76217=LINE('',#480232,#113208); #76218=LINE('',#480233,#113209); #76219=LINE('',#480236,#113210); #76220=LINE('',#480238,#113211); #76221=LINE('',#480239,#113212); #76222=LINE('',#480241,#113213); #76223=LINE('',#480242,#113214); #76224=LINE('',#480248,#113215); #76225=LINE('',#480250,#113216); #76226=LINE('',#480252,#113217); #76227=LINE('',#480253,#113218); #76228=LINE('',#480256,#113219); #76229=LINE('',#480258,#113220); #76230=LINE('',#480259,#113221); #76231=LINE('',#480262,#113222); #76232=LINE('',#480264,#113223); #76233=LINE('',#480265,#113224); #76234=LINE('',#480267,#113225); #76235=LINE('',#480268,#113226); #76236=LINE('',#480274,#113227); #76237=LINE('',#480276,#113228); #76238=LINE('',#480278,#113229); #76239=LINE('',#480279,#113230); #76240=LINE('',#480282,#113231); #76241=LINE('',#480284,#113232); #76242=LINE('',#480285,#113233); #76243=LINE('',#480288,#113234); #76244=LINE('',#480290,#113235); #76245=LINE('',#480291,#113236); #76246=LINE('',#480293,#113237); #76247=LINE('',#480294,#113238); #76248=LINE('',#480300,#113239); #76249=LINE('',#480302,#113240); #76250=LINE('',#480304,#113241); #76251=LINE('',#480305,#113242); #76252=LINE('',#480308,#113243); #76253=LINE('',#480310,#113244); #76254=LINE('',#480311,#113245); #76255=LINE('',#480314,#113246); #76256=LINE('',#480316,#113247); #76257=LINE('',#480317,#113248); #76258=LINE('',#480319,#113249); #76259=LINE('',#480320,#113250); #76260=LINE('',#480326,#113251); #76261=LINE('',#480328,#113252); #76262=LINE('',#480330,#113253); #76263=LINE('',#480331,#113254); #76264=LINE('',#480334,#113255); #76265=LINE('',#480336,#113256); #76266=LINE('',#480337,#113257); #76267=LINE('',#480340,#113258); #76268=LINE('',#480342,#113259); #76269=LINE('',#480343,#113260); #76270=LINE('',#480345,#113261); #76271=LINE('',#480346,#113262); #76272=LINE('',#480352,#113263); #76273=LINE('',#480354,#113264); #76274=LINE('',#480356,#113265); #76275=LINE('',#480357,#113266); #76276=LINE('',#480360,#113267); #76277=LINE('',#480362,#113268); #76278=LINE('',#480363,#113269); #76279=LINE('',#480366,#113270); #76280=LINE('',#480368,#113271); #76281=LINE('',#480369,#113272); #76282=LINE('',#480371,#113273); #76283=LINE('',#480372,#113274); #76284=LINE('',#480378,#113275); #76285=LINE('',#480380,#113276); #76286=LINE('',#480382,#113277); #76287=LINE('',#480383,#113278); #76288=LINE('',#480386,#113279); #76289=LINE('',#480388,#113280); #76290=LINE('',#480389,#113281); #76291=LINE('',#480392,#113282); #76292=LINE('',#480394,#113283); #76293=LINE('',#480395,#113284); #76294=LINE('',#480397,#113285); #76295=LINE('',#480398,#113286); #76296=LINE('',#480404,#113287); #76297=LINE('',#480406,#113288); #76298=LINE('',#480408,#113289); #76299=LINE('',#480409,#113290); #76300=LINE('',#480412,#113291); #76301=LINE('',#480414,#113292); #76302=LINE('',#480415,#113293); #76303=LINE('',#480418,#113294); #76304=LINE('',#480420,#113295); #76305=LINE('',#480421,#113296); #76306=LINE('',#480423,#113297); #76307=LINE('',#480424,#113298); #76308=LINE('',#480430,#113299); #76309=LINE('',#480432,#113300); #76310=LINE('',#480434,#113301); #76311=LINE('',#480435,#113302); #76312=LINE('',#480438,#113303); #76313=LINE('',#480440,#113304); #76314=LINE('',#480441,#113305); #76315=LINE('',#480444,#113306); #76316=LINE('',#480446,#113307); #76317=LINE('',#480447,#113308); #76318=LINE('',#480449,#113309); #76319=LINE('',#480450,#113310); #76320=LINE('',#480457,#113311); #76321=LINE('',#480462,#113312); #76322=LINE('',#480464,#113313); #76323=LINE('',#480466,#113314); #76324=LINE('',#480467,#113315); #76325=LINE('',#480470,#113316); #76326=LINE('',#480472,#113317); #76327=LINE('',#480473,#113318); #76328=LINE('',#480476,#113319); #76329=LINE('',#480478,#113320); #76330=LINE('',#480479,#113321); #76331=LINE('',#480481,#113322); #76332=LINE('',#480482,#113323); #76333=LINE('',#480488,#113324); #76334=LINE('',#480490,#113325); #76335=LINE('',#480492,#113326); #76336=LINE('',#480493,#113327); #76337=LINE('',#480496,#113328); #76338=LINE('',#480498,#113329); #76339=LINE('',#480499,#113330); #76340=LINE('',#480502,#113331); #76341=LINE('',#480504,#113332); #76342=LINE('',#480505,#113333); #76343=LINE('',#480507,#113334); #76344=LINE('',#480508,#113335); #76345=LINE('',#480514,#113336); #76346=LINE('',#480516,#113337); #76347=LINE('',#480518,#113338); #76348=LINE('',#480519,#113339); #76349=LINE('',#480522,#113340); #76350=LINE('',#480524,#113341); #76351=LINE('',#480525,#113342); #76352=LINE('',#480528,#113343); #76353=LINE('',#480530,#113344); #76354=LINE('',#480531,#113345); #76355=LINE('',#480533,#113346); #76356=LINE('',#480534,#113347); #76357=LINE('',#480541,#113348); #76358=LINE('',#480546,#113349); #76359=LINE('',#480548,#113350); #76360=LINE('',#480550,#113351); #76361=LINE('',#480551,#113352); #76362=LINE('',#480554,#113353); #76363=LINE('',#480556,#113354); #76364=LINE('',#480557,#113355); #76365=LINE('',#480560,#113356); #76366=LINE('',#480562,#113357); #76367=LINE('',#480563,#113358); #76368=LINE('',#480565,#113359); #76369=LINE('',#480566,#113360); #76370=LINE('',#480572,#113361); #76371=LINE('',#480574,#113362); #76372=LINE('',#480576,#113363); #76373=LINE('',#480577,#113364); #76374=LINE('',#480580,#113365); #76375=LINE('',#480582,#113366); #76376=LINE('',#480583,#113367); #76377=LINE('',#480586,#113368); #76378=LINE('',#480588,#113369); #76379=LINE('',#480589,#113370); #76380=LINE('',#480591,#113371); #76381=LINE('',#480592,#113372); #76382=LINE('',#480598,#113373); #76383=LINE('',#480600,#113374); #76384=LINE('',#480602,#113375); #76385=LINE('',#480603,#113376); #76386=LINE('',#480606,#113377); #76387=LINE('',#480608,#113378); #76388=LINE('',#480609,#113379); #76389=LINE('',#480612,#113380); #76390=LINE('',#480614,#113381); #76391=LINE('',#480615,#113382); #76392=LINE('',#480617,#113383); #76393=LINE('',#480618,#113384); #76394=LINE('',#480624,#113385); #76395=LINE('',#480626,#113386); #76396=LINE('',#480628,#113387); #76397=LINE('',#480629,#113388); #76398=LINE('',#480632,#113389); #76399=LINE('',#480634,#113390); #76400=LINE('',#480635,#113391); #76401=LINE('',#480638,#113392); #76402=LINE('',#480640,#113393); #76403=LINE('',#480641,#113394); #76404=LINE('',#480643,#113395); #76405=LINE('',#480644,#113396); #76406=LINE('',#480650,#113397); #76407=LINE('',#480652,#113398); #76408=LINE('',#480654,#113399); #76409=LINE('',#480655,#113400); #76410=LINE('',#480658,#113401); #76411=LINE('',#480660,#113402); #76412=LINE('',#480661,#113403); #76413=LINE('',#480664,#113404); #76414=LINE('',#480666,#113405); #76415=LINE('',#480667,#113406); #76416=LINE('',#480669,#113407); #76417=LINE('',#480670,#113408); #76418=LINE('',#480676,#113409); #76419=LINE('',#480678,#113410); #76420=LINE('',#480680,#113411); #76421=LINE('',#480681,#113412); #76422=LINE('',#480684,#113413); #76423=LINE('',#480686,#113414); #76424=LINE('',#480687,#113415); #76425=LINE('',#480690,#113416); #76426=LINE('',#480692,#113417); #76427=LINE('',#480693,#113418); #76428=LINE('',#480695,#113419); #76429=LINE('',#480696,#113420); #76430=LINE('',#480702,#113421); #76431=LINE('',#480704,#113422); #76432=LINE('',#480706,#113423); #76433=LINE('',#480707,#113424); #76434=LINE('',#480710,#113425); #76435=LINE('',#480712,#113426); #76436=LINE('',#480713,#113427); #76437=LINE('',#480716,#113428); #76438=LINE('',#480718,#113429); #76439=LINE('',#480719,#113430); #76440=LINE('',#480721,#113431); #76441=LINE('',#480722,#113432); #76442=LINE('',#480728,#113433); #76443=LINE('',#480730,#113434); #76444=LINE('',#480732,#113435); #76445=LINE('',#480733,#113436); #76446=LINE('',#480736,#113437); #76447=LINE('',#480738,#113438); #76448=LINE('',#480739,#113439); #76449=LINE('',#480742,#113440); #76450=LINE('',#480744,#113441); #76451=LINE('',#480745,#113442); #76452=LINE('',#480747,#113443); #76453=LINE('',#480748,#113444); #76454=LINE('',#480754,#113445); #76455=LINE('',#480756,#113446); #76456=LINE('',#480758,#113447); #76457=LINE('',#480759,#113448); #76458=LINE('',#480762,#113449); #76459=LINE('',#480764,#113450); #76460=LINE('',#480765,#113451); #76461=LINE('',#480768,#113452); #76462=LINE('',#480770,#113453); #76463=LINE('',#480771,#113454); #76464=LINE('',#480773,#113455); #76465=LINE('',#480774,#113456); #76466=LINE('',#480780,#113457); #76467=LINE('',#480782,#113458); #76468=LINE('',#480784,#113459); #76469=LINE('',#480785,#113460); #76470=LINE('',#480788,#113461); #76471=LINE('',#480790,#113462); #76472=LINE('',#480791,#113463); #76473=LINE('',#480794,#113464); #76474=LINE('',#480796,#113465); #76475=LINE('',#480797,#113466); #76476=LINE('',#480799,#113467); #76477=LINE('',#480800,#113468); #76478=LINE('',#480806,#113469); #76479=LINE('',#480808,#113470); #76480=LINE('',#480810,#113471); #76481=LINE('',#480811,#113472); #76482=LINE('',#480814,#113473); #76483=LINE('',#480816,#113474); #76484=LINE('',#480817,#113475); #76485=LINE('',#480820,#113476); #76486=LINE('',#480822,#113477); #76487=LINE('',#480823,#113478); #76488=LINE('',#480825,#113479); #76489=LINE('',#480826,#113480); #76490=LINE('',#480832,#113481); #76491=LINE('',#480834,#113482); #76492=LINE('',#480836,#113483); #76493=LINE('',#480837,#113484); #76494=LINE('',#480840,#113485); #76495=LINE('',#480842,#113486); #76496=LINE('',#480843,#113487); #76497=LINE('',#480846,#113488); #76498=LINE('',#480848,#113489); #76499=LINE('',#480849,#113490); #76500=LINE('',#480851,#113491); #76501=LINE('',#480852,#113492); #76502=LINE('',#480858,#113493); #76503=LINE('',#480862,#113494); #76504=LINE('',#480867,#113495); #76505=LINE('',#480871,#113496); #76506=LINE('',#480877,#113497); #76507=LINE('',#480882,#113498); #76508=LINE('',#480886,#113499); #76509=LINE('',#480891,#113500); #76510=LINE('',#480895,#113501); #76511=LINE('',#480900,#113502); #76512=LINE('',#480904,#113503); #76513=LINE('',#480909,#113504); #76514=LINE('',#480913,#113505); #76515=LINE('',#480918,#113506); #76516=LINE('',#480922,#113507); #76517=LINE('',#480927,#113508); #76518=LINE('',#480931,#113509); #76519=LINE('',#480935,#113510); #76520=LINE('',#480937,#113511); #76521=LINE('',#480938,#113512); #76522=LINE('',#480940,#113513); #76523=LINE('',#480941,#113514); #76524=LINE('',#480944,#113515); #76525=LINE('',#480946,#113516); #76526=LINE('',#480947,#113517); #76527=LINE('',#480949,#113518); #76528=LINE('',#480950,#113519); #76529=LINE('',#480952,#113520); #76530=LINE('',#480953,#113521); #76531=LINE('',#480955,#113522); #76532=LINE('',#480956,#113523); #76533=LINE('',#480958,#113524); #76534=LINE('',#480959,#113525); #76535=LINE('',#480961,#113526); #76536=LINE('',#480962,#113527); #76537=LINE('',#480964,#113528); #76538=LINE('',#480965,#113529); #76539=LINE('',#480967,#113530); #76540=LINE('',#480968,#113531); #76541=LINE('',#480975,#113532); #76542=LINE('',#480980,#113533); #76543=LINE('',#480982,#113534); #76544=LINE('',#480984,#113535); #76545=LINE('',#480985,#113536); #76546=LINE('',#480988,#113537); #76547=LINE('',#480990,#113538); #76548=LINE('',#480991,#113539); #76549=LINE('',#480994,#113540); #76550=LINE('',#480996,#113541); #76551=LINE('',#480997,#113542); #76552=LINE('',#480999,#113543); #76553=LINE('',#481000,#113544); #76554=LINE('',#481006,#113545); #76555=LINE('',#481008,#113546); #76556=LINE('',#481010,#113547); #76557=LINE('',#481011,#113548); #76558=LINE('',#481014,#113549); #76559=LINE('',#481016,#113550); #76560=LINE('',#481017,#113551); #76561=LINE('',#481020,#113552); #76562=LINE('',#481022,#113553); #76563=LINE('',#481023,#113554); #76564=LINE('',#481025,#113555); #76565=LINE('',#481026,#113556); #76566=LINE('',#481032,#113557); #76567=LINE('',#481034,#113558); #76568=LINE('',#481036,#113559); #76569=LINE('',#481037,#113560); #76570=LINE('',#481040,#113561); #76571=LINE('',#481042,#113562); #76572=LINE('',#481043,#113563); #76573=LINE('',#481046,#113564); #76574=LINE('',#481048,#113565); #76575=LINE('',#481049,#113566); #76576=LINE('',#481051,#113567); #76577=LINE('',#481052,#113568); #76578=LINE('',#481058,#113569); #76579=LINE('',#481060,#113570); #76580=LINE('',#481062,#113571); #76581=LINE('',#481063,#113572); #76582=LINE('',#481066,#113573); #76583=LINE('',#481068,#113574); #76584=LINE('',#481069,#113575); #76585=LINE('',#481072,#113576); #76586=LINE('',#481074,#113577); #76587=LINE('',#481075,#113578); #76588=LINE('',#481077,#113579); #76589=LINE('',#481078,#113580); #76590=LINE('',#481084,#113581); #76591=LINE('',#481086,#113582); #76592=LINE('',#481088,#113583); #76593=LINE('',#481089,#113584); #76594=LINE('',#481092,#113585); #76595=LINE('',#481094,#113586); #76596=LINE('',#481095,#113587); #76597=LINE('',#481098,#113588); #76598=LINE('',#481100,#113589); #76599=LINE('',#481101,#113590); #76600=LINE('',#481103,#113591); #76601=LINE('',#481104,#113592); #76602=LINE('',#481110,#113593); #76603=LINE('',#481112,#113594); #76604=LINE('',#481114,#113595); #76605=LINE('',#481115,#113596); #76606=LINE('',#481118,#113597); #76607=LINE('',#481120,#113598); #76608=LINE('',#481121,#113599); #76609=LINE('',#481124,#113600); #76610=LINE('',#481126,#113601); #76611=LINE('',#481127,#113602); #76612=LINE('',#481129,#113603); #76613=LINE('',#481130,#113604); #76614=LINE('',#481137,#113605); #76615=LINE('',#481142,#113606); #76616=LINE('',#481144,#113607); #76617=LINE('',#481146,#113608); #76618=LINE('',#481147,#113609); #76619=LINE('',#481150,#113610); #76620=LINE('',#481152,#113611); #76621=LINE('',#481153,#113612); #76622=LINE('',#481156,#113613); #76623=LINE('',#481158,#113614); #76624=LINE('',#481159,#113615); #76625=LINE('',#481161,#113616); #76626=LINE('',#481162,#113617); #76627=LINE('',#481169,#113618); #76628=LINE('',#481174,#113619); #76629=LINE('',#481176,#113620); #76630=LINE('',#481178,#113621); #76631=LINE('',#481179,#113622); #76632=LINE('',#481182,#113623); #76633=LINE('',#481184,#113624); #76634=LINE('',#481185,#113625); #76635=LINE('',#481188,#113626); #76636=LINE('',#481190,#113627); #76637=LINE('',#481191,#113628); #76638=LINE('',#481193,#113629); #76639=LINE('',#481194,#113630); #76640=LINE('',#481200,#113631); #76641=LINE('',#481202,#113632); #76642=LINE('',#481204,#113633); #76643=LINE('',#481205,#113634); #76644=LINE('',#481208,#113635); #76645=LINE('',#481210,#113636); #76646=LINE('',#481211,#113637); #76647=LINE('',#481214,#113638); #76648=LINE('',#481216,#113639); #76649=LINE('',#481217,#113640); #76650=LINE('',#481219,#113641); #76651=LINE('',#481220,#113642); #76652=LINE('',#481226,#113643); #76653=LINE('',#481228,#113644); #76654=LINE('',#481230,#113645); #76655=LINE('',#481231,#113646); #76656=LINE('',#481234,#113647); #76657=LINE('',#481236,#113648); #76658=LINE('',#481237,#113649); #76659=LINE('',#481240,#113650); #76660=LINE('',#481242,#113651); #76661=LINE('',#481243,#113652); #76662=LINE('',#481245,#113653); #76663=LINE('',#481246,#113654); #76664=LINE('',#481252,#113655); #76665=LINE('',#481254,#113656); #76666=LINE('',#481256,#113657); #76667=LINE('',#481257,#113658); #76668=LINE('',#481260,#113659); #76669=LINE('',#481262,#113660); #76670=LINE('',#481263,#113661); #76671=LINE('',#481266,#113662); #76672=LINE('',#481268,#113663); #76673=LINE('',#481269,#113664); #76674=LINE('',#481271,#113665); #76675=LINE('',#481272,#113666); #76676=LINE('',#481278,#113667); #76677=LINE('',#481280,#113668); #76678=LINE('',#481282,#113669); #76679=LINE('',#481283,#113670); #76680=LINE('',#481286,#113671); #76681=LINE('',#481288,#113672); #76682=LINE('',#481289,#113673); #76683=LINE('',#481292,#113674); #76684=LINE('',#481294,#113675); #76685=LINE('',#481295,#113676); #76686=LINE('',#481297,#113677); #76687=LINE('',#481298,#113678); #76688=LINE('',#481304,#113679); #76689=LINE('',#481306,#113680); #76690=LINE('',#481308,#113681); #76691=LINE('',#481309,#113682); #76692=LINE('',#481312,#113683); #76693=LINE('',#481314,#113684); #76694=LINE('',#481315,#113685); #76695=LINE('',#481318,#113686); #76696=LINE('',#481320,#113687); #76697=LINE('',#481321,#113688); #76698=LINE('',#481323,#113689); #76699=LINE('',#481324,#113690); #76700=LINE('',#481330,#113691); #76701=LINE('',#481332,#113692); #76702=LINE('',#481334,#113693); #76703=LINE('',#481335,#113694); #76704=LINE('',#481338,#113695); #76705=LINE('',#481340,#113696); #76706=LINE('',#481341,#113697); #76707=LINE('',#481344,#113698); #76708=LINE('',#481346,#113699); #76709=LINE('',#481347,#113700); #76710=LINE('',#481349,#113701); #76711=LINE('',#481350,#113702); #76712=LINE('',#481356,#113703); #76713=LINE('',#481358,#113704); #76714=LINE('',#481360,#113705); #76715=LINE('',#481361,#113706); #76716=LINE('',#481364,#113707); #76717=LINE('',#481366,#113708); #76718=LINE('',#481367,#113709); #76719=LINE('',#481370,#113710); #76720=LINE('',#481372,#113711); #76721=LINE('',#481373,#113712); #76722=LINE('',#481375,#113713); #76723=LINE('',#481376,#113714); #76724=LINE('',#481382,#113715); #76725=LINE('',#481384,#113716); #76726=LINE('',#481386,#113717); #76727=LINE('',#481387,#113718); #76728=LINE('',#481390,#113719); #76729=LINE('',#481392,#113720); #76730=LINE('',#481393,#113721); #76731=LINE('',#481396,#113722); #76732=LINE('',#481398,#113723); #76733=LINE('',#481399,#113724); #76734=LINE('',#481401,#113725); #76735=LINE('',#481402,#113726); #76736=LINE('',#481408,#113727); #76737=LINE('',#481410,#113728); #76738=LINE('',#481412,#113729); #76739=LINE('',#481413,#113730); #76740=LINE('',#481416,#113731); #76741=LINE('',#481418,#113732); #76742=LINE('',#481419,#113733); #76743=LINE('',#481422,#113734); #76744=LINE('',#481424,#113735); #76745=LINE('',#481425,#113736); #76746=LINE('',#481427,#113737); #76747=LINE('',#481428,#113738); #76748=LINE('',#481434,#113739); #76749=LINE('',#481436,#113740); #76750=LINE('',#481438,#113741); #76751=LINE('',#481439,#113742); #76752=LINE('',#481442,#113743); #76753=LINE('',#481444,#113744); #76754=LINE('',#481445,#113745); #76755=LINE('',#481448,#113746); #76756=LINE('',#481450,#113747); #76757=LINE('',#481451,#113748); #76758=LINE('',#481453,#113749); #76759=LINE('',#481454,#113750); #76760=LINE('',#481460,#113751); #76761=LINE('',#481462,#113752); #76762=LINE('',#481464,#113753); #76763=LINE('',#481465,#113754); #76764=LINE('',#481468,#113755); #76765=LINE('',#481470,#113756); #76766=LINE('',#481471,#113757); #76767=LINE('',#481474,#113758); #76768=LINE('',#481476,#113759); #76769=LINE('',#481477,#113760); #76770=LINE('',#481479,#113761); #76771=LINE('',#481480,#113762); #76772=LINE('',#481487,#113763); #76773=LINE('',#481493,#113764); #76774=LINE('',#481499,#113765); #76775=LINE('',#481505,#113766); #76776=LINE('',#481511,#113767); #76777=LINE('',#481517,#113768); #76778=LINE('',#481522,#113769); #76779=LINE('',#481524,#113770); #76780=LINE('',#481526,#113771); #76781=LINE('',#481527,#113772); #76782=LINE('',#481530,#113773); #76783=LINE('',#481532,#113774); #76784=LINE('',#481533,#113775); #76785=LINE('',#481536,#113776); #76786=LINE('',#481538,#113777); #76787=LINE('',#481539,#113778); #76788=LINE('',#481541,#113779); #76789=LINE('',#481542,#113780); #76790=LINE('',#481548,#113781); #76791=LINE('',#481550,#113782); #76792=LINE('',#481552,#113783); #76793=LINE('',#481553,#113784); #76794=LINE('',#481556,#113785); #76795=LINE('',#481558,#113786); #76796=LINE('',#481559,#113787); #76797=LINE('',#481562,#113788); #76798=LINE('',#481564,#113789); #76799=LINE('',#481565,#113790); #76800=LINE('',#481567,#113791); #76801=LINE('',#481568,#113792); #76802=LINE('',#481574,#113793); #76803=LINE('',#481576,#113794); #76804=LINE('',#481578,#113795); #76805=LINE('',#481579,#113796); #76806=LINE('',#481582,#113797); #76807=LINE('',#481584,#113798); #76808=LINE('',#481585,#113799); #76809=LINE('',#481588,#113800); #76810=LINE('',#481590,#113801); #76811=LINE('',#481591,#113802); #76812=LINE('',#481593,#113803); #76813=LINE('',#481594,#113804); #76814=LINE('',#481600,#113805); #76815=LINE('',#481602,#113806); #76816=LINE('',#481604,#113807); #76817=LINE('',#481605,#113808); #76818=LINE('',#481608,#113809); #76819=LINE('',#481610,#113810); #76820=LINE('',#481611,#113811); #76821=LINE('',#481614,#113812); #76822=LINE('',#481616,#113813); #76823=LINE('',#481617,#113814); #76824=LINE('',#481619,#113815); #76825=LINE('',#481620,#113816); #76826=LINE('',#481626,#113817); #76827=LINE('',#481630,#113818); #76828=LINE('',#481636,#113819); #76829=LINE('',#481641,#113820); #76830=LINE('',#481645,#113821); #76831=LINE('',#481650,#113822); #76832=LINE('',#481654,#113823); #76833=LINE('',#481659,#113824); #76834=LINE('',#481663,#113825); #76835=LINE('',#481669,#113826); #76836=LINE('',#481673,#113827); #76837=LINE('',#481675,#113828); #76838=LINE('',#481676,#113829); #76839=LINE('',#481679,#113830); #76840=LINE('',#481681,#113831); #76841=LINE('',#481682,#113832); #76842=LINE('',#481684,#113833); #76843=LINE('',#481685,#113834); #76844=LINE('',#481688,#113835); #76845=LINE('',#481690,#113836); #76846=LINE('',#481691,#113837); #76847=LINE('',#481694,#113838); #76848=LINE('',#481696,#113839); #76849=LINE('',#481697,#113840); #76850=LINE('',#481699,#113841); #76851=LINE('',#481700,#113842); #76852=LINE('',#481702,#113843); #76853=LINE('',#481703,#113844); #76854=LINE('',#481705,#113845); #76855=LINE('',#481707,#113846); #76856=LINE('',#481712,#113847); #76857=LINE('',#481714,#113848); #76858=LINE('',#481716,#113849); #76859=LINE('',#481717,#113850); #76860=LINE('',#481720,#113851); #76861=LINE('',#481722,#113852); #76862=LINE('',#481723,#113853); #76863=LINE('',#481726,#113854); #76864=LINE('',#481728,#113855); #76865=LINE('',#481729,#113856); #76866=LINE('',#481731,#113857); #76867=LINE('',#481732,#113858); #76868=LINE('',#481738,#113859); #76869=LINE('',#481740,#113860); #76870=LINE('',#481742,#113861); #76871=LINE('',#481743,#113862); #76872=LINE('',#481746,#113863); #76873=LINE('',#481748,#113864); #76874=LINE('',#481749,#113865); #76875=LINE('',#481752,#113866); #76876=LINE('',#481754,#113867); #76877=LINE('',#481755,#113868); #76878=LINE('',#481757,#113869); #76879=LINE('',#481758,#113870); #76880=LINE('',#481764,#113871); #76881=LINE('',#481766,#113872); #76882=LINE('',#481768,#113873); #76883=LINE('',#481769,#113874); #76884=LINE('',#481772,#113875); #76885=LINE('',#481774,#113876); #76886=LINE('',#481775,#113877); #76887=LINE('',#481778,#113878); #76888=LINE('',#481780,#113879); #76889=LINE('',#481781,#113880); #76890=LINE('',#481783,#113881); #76891=LINE('',#481784,#113882); #76892=LINE('',#481790,#113883); #76893=LINE('',#481792,#113884); #76894=LINE('',#481794,#113885); #76895=LINE('',#481795,#113886); #76896=LINE('',#481798,#113887); #76897=LINE('',#481800,#113888); #76898=LINE('',#481801,#113889); #76899=LINE('',#481804,#113890); #76900=LINE('',#481806,#113891); #76901=LINE('',#481807,#113892); #76902=LINE('',#481809,#113893); #76903=LINE('',#481810,#113894); #76904=LINE('',#481816,#113895); #76905=LINE('',#481818,#113896); #76906=LINE('',#481820,#113897); #76907=LINE('',#481821,#113898); #76908=LINE('',#481824,#113899); #76909=LINE('',#481826,#113900); #76910=LINE('',#481827,#113901); #76911=LINE('',#481830,#113902); #76912=LINE('',#481832,#113903); #76913=LINE('',#481833,#113904); #76914=LINE('',#481835,#113905); #76915=LINE('',#481836,#113906); #76916=LINE('',#481842,#113907); #76917=LINE('',#481844,#113908); #76918=LINE('',#481846,#113909); #76919=LINE('',#481847,#113910); #76920=LINE('',#481850,#113911); #76921=LINE('',#481852,#113912); #76922=LINE('',#481853,#113913); #76923=LINE('',#481856,#113914); #76924=LINE('',#481858,#113915); #76925=LINE('',#481859,#113916); #76926=LINE('',#481861,#113917); #76927=LINE('',#481862,#113918); #76928=LINE('',#481868,#113919); #76929=LINE('',#481870,#113920); #76930=LINE('',#481872,#113921); #76931=LINE('',#481873,#113922); #76932=LINE('',#481876,#113923); #76933=LINE('',#481878,#113924); #76934=LINE('',#481879,#113925); #76935=LINE('',#481882,#113926); #76936=LINE('',#481884,#113927); #76937=LINE('',#481885,#113928); #76938=LINE('',#481887,#113929); #76939=LINE('',#481888,#113930); #76940=LINE('',#481894,#113931); #76941=LINE('',#481896,#113932); #76942=LINE('',#481898,#113933); #76943=LINE('',#481899,#113934); #76944=LINE('',#481902,#113935); #76945=LINE('',#481904,#113936); #76946=LINE('',#481905,#113937); #76947=LINE('',#481908,#113938); #76948=LINE('',#481910,#113939); #76949=LINE('',#481911,#113940); #76950=LINE('',#481913,#113941); #76951=LINE('',#481914,#113942); #76952=LINE('',#481920,#113943); #76953=LINE('',#481922,#113944); #76954=LINE('',#481924,#113945); #76955=LINE('',#481925,#113946); #76956=LINE('',#481928,#113947); #76957=LINE('',#481930,#113948); #76958=LINE('',#481931,#113949); #76959=LINE('',#481934,#113950); #76960=LINE('',#481936,#113951); #76961=LINE('',#481937,#113952); #76962=LINE('',#481939,#113953); #76963=LINE('',#481940,#113954); #76964=LINE('',#481946,#113955); #76965=LINE('',#481948,#113956); #76966=LINE('',#481950,#113957); #76967=LINE('',#481951,#113958); #76968=LINE('',#481954,#113959); #76969=LINE('',#481956,#113960); #76970=LINE('',#481957,#113961); #76971=LINE('',#481960,#113962); #76972=LINE('',#481962,#113963); #76973=LINE('',#481963,#113964); #76974=LINE('',#481965,#113965); #76975=LINE('',#481966,#113966); #76976=LINE('',#481972,#113967); #76977=LINE('',#481974,#113968); #76978=LINE('',#481976,#113969); #76979=LINE('',#481977,#113970); #76980=LINE('',#481980,#113971); #76981=LINE('',#481982,#113972); #76982=LINE('',#481983,#113973); #76983=LINE('',#481986,#113974); #76984=LINE('',#481988,#113975); #76985=LINE('',#481989,#113976); #76986=LINE('',#481991,#113977); #76987=LINE('',#481992,#113978); #76988=LINE('',#481998,#113979); #76989=LINE('',#482000,#113980); #76990=LINE('',#482002,#113981); #76991=LINE('',#482003,#113982); #76992=LINE('',#482006,#113983); #76993=LINE('',#482008,#113984); #76994=LINE('',#482009,#113985); #76995=LINE('',#482012,#113986); #76996=LINE('',#482014,#113987); #76997=LINE('',#482015,#113988); #76998=LINE('',#482017,#113989); #76999=LINE('',#482018,#113990); #77000=LINE('',#482024,#113991); #77001=LINE('',#482026,#113992); #77002=LINE('',#482028,#113993); #77003=LINE('',#482029,#113994); #77004=LINE('',#482032,#113995); #77005=LINE('',#482034,#113996); #77006=LINE('',#482035,#113997); #77007=LINE('',#482038,#113998); #77008=LINE('',#482040,#113999); #77009=LINE('',#482041,#114000); #77010=LINE('',#482043,#114001); #77011=LINE('',#482044,#114002); #77012=LINE('',#482050,#114003); #77013=LINE('',#482052,#114004); #77014=LINE('',#482054,#114005); #77015=LINE('',#482055,#114006); #77016=LINE('',#482058,#114007); #77017=LINE('',#482060,#114008); #77018=LINE('',#482061,#114009); #77019=LINE('',#482064,#114010); #77020=LINE('',#482066,#114011); #77021=LINE('',#482067,#114012); #77022=LINE('',#482069,#114013); #77023=LINE('',#482070,#114014); #77024=LINE('',#482076,#114015); #77025=LINE('',#482078,#114016); #77026=LINE('',#482080,#114017); #77027=LINE('',#482081,#114018); #77028=LINE('',#482084,#114019); #77029=LINE('',#482086,#114020); #77030=LINE('',#482087,#114021); #77031=LINE('',#482090,#114022); #77032=LINE('',#482092,#114023); #77033=LINE('',#482093,#114024); #77034=LINE('',#482095,#114025); #77035=LINE('',#482096,#114026); #77036=LINE('',#482102,#114027); #77037=LINE('',#482104,#114028); #77038=LINE('',#482106,#114029); #77039=LINE('',#482107,#114030); #77040=LINE('',#482110,#114031); #77041=LINE('',#482112,#114032); #77042=LINE('',#482113,#114033); #77043=LINE('',#482116,#114034); #77044=LINE('',#482118,#114035); #77045=LINE('',#482119,#114036); #77046=LINE('',#482121,#114037); #77047=LINE('',#482122,#114038); #77048=LINE('',#482128,#114039); #77049=LINE('',#482130,#114040); #77050=LINE('',#482132,#114041); #77051=LINE('',#482133,#114042); #77052=LINE('',#482136,#114043); #77053=LINE('',#482138,#114044); #77054=LINE('',#482139,#114045); #77055=LINE('',#482142,#114046); #77056=LINE('',#482144,#114047); #77057=LINE('',#482145,#114048); #77058=LINE('',#482147,#114049); #77059=LINE('',#482148,#114050); #77060=LINE('',#482154,#114051); #77061=LINE('',#482156,#114052); #77062=LINE('',#482158,#114053); #77063=LINE('',#482159,#114054); #77064=LINE('',#482162,#114055); #77065=LINE('',#482164,#114056); #77066=LINE('',#482165,#114057); #77067=LINE('',#482168,#114058); #77068=LINE('',#482170,#114059); #77069=LINE('',#482171,#114060); #77070=LINE('',#482173,#114061); #77071=LINE('',#482174,#114062); #77072=LINE('',#482180,#114063); #77073=LINE('',#482182,#114064); #77074=LINE('',#482184,#114065); #77075=LINE('',#482185,#114066); #77076=LINE('',#482188,#114067); #77077=LINE('',#482190,#114068); #77078=LINE('',#482191,#114069); #77079=LINE('',#482194,#114070); #77080=LINE('',#482196,#114071); #77081=LINE('',#482197,#114072); #77082=LINE('',#482199,#114073); #77083=LINE('',#482200,#114074); #77084=LINE('',#482206,#114075); #77085=LINE('',#482208,#114076); #77086=LINE('',#482210,#114077); #77087=LINE('',#482211,#114078); #77088=LINE('',#482214,#114079); #77089=LINE('',#482216,#114080); #77090=LINE('',#482217,#114081); #77091=LINE('',#482220,#114082); #77092=LINE('',#482222,#114083); #77093=LINE('',#482223,#114084); #77094=LINE('',#482225,#114085); #77095=LINE('',#482226,#114086); #77096=LINE('',#482232,#114087); #77097=LINE('',#482234,#114088); #77098=LINE('',#482236,#114089); #77099=LINE('',#482237,#114090); #77100=LINE('',#482240,#114091); #77101=LINE('',#482242,#114092); #77102=LINE('',#482243,#114093); #77103=LINE('',#482246,#114094); #77104=LINE('',#482248,#114095); #77105=LINE('',#482249,#114096); #77106=LINE('',#482251,#114097); #77107=LINE('',#482252,#114098); #77108=LINE('',#482260,#114099); #77109=LINE('',#482265,#114100); #77110=LINE('',#482267,#114101); #77111=LINE('',#482269,#114102); #77112=LINE('',#482270,#114103); #77113=LINE('',#482276,#114104); #77114=LINE('',#482285,#114105); #77115=LINE('',#482287,#114106); #77116=LINE('',#482289,#114107); #77117=LINE('',#482290,#114108); #77118=LINE('',#482293,#114109); #77119=LINE('',#482295,#114110); #77120=LINE('',#482296,#114111); #77121=LINE('',#482299,#114112); #77122=LINE('',#482301,#114113); #77123=LINE('',#482302,#114114); #77124=LINE('',#482304,#114115); #77125=LINE('',#482305,#114116); #77126=LINE('',#482311,#114117); #77127=LINE('',#482313,#114118); #77128=LINE('',#482315,#114119); #77129=LINE('',#482316,#114120); #77130=LINE('',#482319,#114121); #77131=LINE('',#482321,#114122); #77132=LINE('',#482322,#114123); #77133=LINE('',#482325,#114124); #77134=LINE('',#482327,#114125); #77135=LINE('',#482328,#114126); #77136=LINE('',#482330,#114127); #77137=LINE('',#482331,#114128); #77138=LINE('',#482338,#114129); #77139=LINE('',#482344,#114130); #77140=LINE('',#482349,#114131); #77141=LINE('',#482351,#114132); #77142=LINE('',#482353,#114133); #77143=LINE('',#482354,#114134); #77144=LINE('',#482357,#114135); #77145=LINE('',#482359,#114136); #77146=LINE('',#482360,#114137); #77147=LINE('',#482363,#114138); #77148=LINE('',#482365,#114139); #77149=LINE('',#482366,#114140); #77150=LINE('',#482368,#114141); #77151=LINE('',#482369,#114142); #77152=LINE('',#482375,#114143); #77153=LINE('',#482377,#114144); #77154=LINE('',#482379,#114145); #77155=LINE('',#482380,#114146); #77156=LINE('',#482383,#114147); #77157=LINE('',#482385,#114148); #77158=LINE('',#482386,#114149); #77159=LINE('',#482389,#114150); #77160=LINE('',#482391,#114151); #77161=LINE('',#482392,#114152); #77162=LINE('',#482394,#114153); #77163=LINE('',#482395,#114154); #77164=LINE('',#482402,#114155); #77165=LINE('',#482408,#114156); #77166=LINE('',#482413,#114157); #77167=LINE('',#482415,#114158); #77168=LINE('',#482417,#114159); #77169=LINE('',#482418,#114160); #77170=LINE('',#482421,#114161); #77171=LINE('',#482423,#114162); #77172=LINE('',#482424,#114163); #77173=LINE('',#482427,#114164); #77174=LINE('',#482429,#114165); #77175=LINE('',#482430,#114166); #77176=LINE('',#482432,#114167); #77177=LINE('',#482433,#114168); #77178=LINE('',#482439,#114169); #77179=LINE('',#482441,#114170); #77180=LINE('',#482443,#114171); #77181=LINE('',#482444,#114172); #77182=LINE('',#482447,#114173); #77183=LINE('',#482449,#114174); #77184=LINE('',#482450,#114175); #77185=LINE('',#482453,#114176); #77186=LINE('',#482455,#114177); #77187=LINE('',#482456,#114178); #77188=LINE('',#482458,#114179); #77189=LINE('',#482459,#114180); #77190=LINE('',#482466,#114181); #77191=LINE('',#482472,#114182); #77192=LINE('',#482477,#114183); #77193=LINE('',#482479,#114184); #77194=LINE('',#482481,#114185); #77195=LINE('',#482482,#114186); #77196=LINE('',#482485,#114187); #77197=LINE('',#482487,#114188); #77198=LINE('',#482488,#114189); #77199=LINE('',#482491,#114190); #77200=LINE('',#482493,#114191); #77201=LINE('',#482494,#114192); #77202=LINE('',#482496,#114193); #77203=LINE('',#482497,#114194); #77204=LINE('',#482503,#114195); #77205=LINE('',#482505,#114196); #77206=LINE('',#482507,#114197); #77207=LINE('',#482508,#114198); #77208=LINE('',#482511,#114199); #77209=LINE('',#482513,#114200); #77210=LINE('',#482514,#114201); #77211=LINE('',#482517,#114202); #77212=LINE('',#482519,#114203); #77213=LINE('',#482520,#114204); #77214=LINE('',#482522,#114205); #77215=LINE('',#482523,#114206); #77216=LINE('',#482530,#114207); #77217=LINE('',#482536,#114208); #77218=LINE('',#482541,#114209); #77219=LINE('',#482543,#114210); #77220=LINE('',#482545,#114211); #77221=LINE('',#482546,#114212); #77222=LINE('',#482549,#114213); #77223=LINE('',#482551,#114214); #77224=LINE('',#482552,#114215); #77225=LINE('',#482555,#114216); #77226=LINE('',#482557,#114217); #77227=LINE('',#482558,#114218); #77228=LINE('',#482560,#114219); #77229=LINE('',#482561,#114220); #77230=LINE('',#482567,#114221); #77231=LINE('',#482569,#114222); #77232=LINE('',#482571,#114223); #77233=LINE('',#482572,#114224); #77234=LINE('',#482575,#114225); #77235=LINE('',#482577,#114226); #77236=LINE('',#482578,#114227); #77237=LINE('',#482581,#114228); #77238=LINE('',#482583,#114229); #77239=LINE('',#482584,#114230); #77240=LINE('',#482586,#114231); #77241=LINE('',#482587,#114232); #77242=LINE('',#482593,#114233); #77243=LINE('',#482595,#114234); #77244=LINE('',#482597,#114235); #77245=LINE('',#482598,#114236); #77246=LINE('',#482601,#114237); #77247=LINE('',#482603,#114238); #77248=LINE('',#482604,#114239); #77249=LINE('',#482607,#114240); #77250=LINE('',#482609,#114241); #77251=LINE('',#482610,#114242); #77252=LINE('',#482613,#114243); #77253=LINE('',#482615,#114244); #77254=LINE('',#482616,#114245); #77255=LINE('',#482619,#114246); #77256=LINE('',#482621,#114247); #77257=LINE('',#482622,#114248); #77258=LINE('',#482625,#114249); #77259=LINE('',#482627,#114250); #77260=LINE('',#482628,#114251); #77261=LINE('',#482634,#114252); #77262=LINE('',#482637,#114253); #77263=LINE('',#482639,#114254); #77264=LINE('',#482640,#114255); #77265=LINE('',#482643,#114256); #77266=LINE('',#482645,#114257); #77267=LINE('',#482646,#114258); #77268=LINE('',#482649,#114259); #77269=LINE('',#482651,#114260); #77270=LINE('',#482652,#114261); #77271=LINE('',#482655,#114262); #77272=LINE('',#482657,#114263); #77273=LINE('',#482658,#114264); #77274=LINE('',#482661,#114265); #77275=LINE('',#482663,#114266); #77276=LINE('',#482664,#114267); #77277=LINE('',#482670,#114268); #77278=LINE('',#482673,#114269); #77279=LINE('',#482675,#114270); #77280=LINE('',#482676,#114271); #77281=LINE('',#482679,#114272); #77282=LINE('',#482681,#114273); #77283=LINE('',#482682,#114274); #77284=LINE('',#482688,#114275); #77285=LINE('',#482691,#114276); #77286=LINE('',#482693,#114277); #77287=LINE('',#482694,#114278); #77288=LINE('',#482697,#114279); #77289=LINE('',#482699,#114280); #77290=LINE('',#482700,#114281); #77291=LINE('',#482703,#114282); #77292=LINE('',#482705,#114283); #77293=LINE('',#482706,#114284); #77294=LINE('',#482709,#114285); #77295=LINE('',#482711,#114286); #77296=LINE('',#482712,#114287); #77297=LINE('',#482715,#114288); #77298=LINE('',#482717,#114289); #77299=LINE('',#482718,#114290); #77300=LINE('',#482721,#114291); #77301=LINE('',#482723,#114292); #77302=LINE('',#482724,#114293); #77303=LINE('',#482727,#114294); #77304=LINE('',#482729,#114295); #77305=LINE('',#482730,#114296); #77306=LINE('',#482736,#114297); #77307=LINE('',#482739,#114298); #77308=LINE('',#482741,#114299); #77309=LINE('',#482742,#114300); #77310=LINE('',#482748,#114301); #77311=LINE('',#482751,#114302); #77312=LINE('',#482753,#114303); #77313=LINE('',#482754,#114304); #77314=LINE('',#482757,#114305); #77315=LINE('',#482759,#114306); #77316=LINE('',#482760,#114307); #77317=LINE('',#482763,#114308); #77318=LINE('',#482765,#114309); #77319=LINE('',#482766,#114310); #77320=LINE('',#482769,#114311); #77321=LINE('',#482771,#114312); #77322=LINE('',#482772,#114313); #77323=LINE('',#482775,#114314); #77324=LINE('',#482777,#114315); #77325=LINE('',#482778,#114316); #77326=LINE('',#482781,#114317); #77327=LINE('',#482783,#114318); #77328=LINE('',#482784,#114319); #77329=LINE('',#482787,#114320); #77330=LINE('',#482789,#114321); #77331=LINE('',#482790,#114322); #77332=LINE('',#482793,#114323); #77333=LINE('',#482795,#114324); #77334=LINE('',#482796,#114325); #77335=LINE('',#482802,#114326); #77336=LINE('',#482805,#114327); #77337=LINE('',#482807,#114328); #77338=LINE('',#482808,#114329); #77339=LINE('',#482814,#114330); #77340=LINE('',#482817,#114331); #77341=LINE('',#482819,#114332); #77342=LINE('',#482820,#114333); #77343=LINE('',#482823,#114334); #77344=LINE('',#482825,#114335); #77345=LINE('',#482826,#114336); #77346=LINE('',#482829,#114337); #77347=LINE('',#482831,#114338); #77348=LINE('',#482832,#114339); #77349=LINE('',#482838,#114340); #77350=LINE('',#482841,#114341); #77351=LINE('',#482843,#114342); #77352=LINE('',#482844,#114343); #77353=LINE('',#482850,#114344); #77354=LINE('',#482853,#114345); #77355=LINE('',#482855,#114346); #77356=LINE('',#482856,#114347); #77357=LINE('',#482859,#114348); #77358=LINE('',#482861,#114349); #77359=LINE('',#482862,#114350); #77360=LINE('',#482865,#114351); #77361=LINE('',#482867,#114352); #77362=LINE('',#482868,#114353); #77363=LINE('',#482871,#114354); #77364=LINE('',#482873,#114355); #77365=LINE('',#482874,#114356); #77366=LINE('',#482877,#114357); #77367=LINE('',#482879,#114358); #77368=LINE('',#482880,#114359); #77369=LINE('',#482886,#114360); #77370=LINE('',#482889,#114361); #77371=LINE('',#482891,#114362); #77372=LINE('',#482892,#114363); #77373=LINE('',#482895,#114364); #77374=LINE('',#482897,#114365); #77375=LINE('',#482898,#114366); #77376=LINE('',#482901,#114367); #77377=LINE('',#482903,#114368); #77378=LINE('',#482904,#114369); #77379=LINE('',#482907,#114370); #77380=LINE('',#482909,#114371); #77381=LINE('',#482910,#114372); #77382=LINE('',#482913,#114373); #77383=LINE('',#482915,#114374); #77384=LINE('',#482916,#114375); #77385=LINE('',#482919,#114376); #77386=LINE('',#482921,#114377); #77387=LINE('',#482922,#114378); #77388=LINE('',#482928,#114379); #77389=LINE('',#482931,#114380); #77390=LINE('',#482933,#114381); #77391=LINE('',#482934,#114382); #77392=LINE('',#482936,#114383); #77393=LINE('',#482937,#114384); #77394=LINE('',#482944,#114385); #77395=LINE('',#482950,#114386); #77396=LINE('',#482955,#114387); #77397=LINE('',#482957,#114388); #77398=LINE('',#482959,#114389); #77399=LINE('',#482960,#114390); #77400=LINE('',#482966,#114391); #77401=LINE('',#482972,#114392); #77402=LINE('',#482975,#114393); #77403=LINE('',#482977,#114394); #77404=LINE('',#482978,#114395); #77405=LINE('',#482984,#114396); #77406=LINE('',#482990,#114397); #77407=LINE('',#482993,#114398); #77408=LINE('',#482995,#114399); #77409=LINE('',#482996,#114400); #77410=LINE('',#483006,#114401); #77411=LINE('',#483011,#114402); #77412=LINE('',#483013,#114403); #77413=LINE('',#483015,#114404); #77414=LINE('',#483016,#114405); #77415=LINE('',#483022,#114406); #77416=LINE('',#483025,#114407); #77417=LINE('',#483027,#114408); #77418=LINE('',#483028,#114409); #77419=LINE('',#483031,#114410); #77420=LINE('',#483033,#114411); #77421=LINE('',#483034,#114412); #77422=LINE('',#483037,#114413); #77423=LINE('',#483039,#114414); #77424=LINE('',#483040,#114415); #77425=LINE('',#483043,#114416); #77426=LINE('',#483045,#114417); #77427=LINE('',#483046,#114418); #77428=LINE('',#483049,#114419); #77429=LINE('',#483051,#114420); #77430=LINE('',#483052,#114421); #77431=LINE('',#483055,#114422); #77432=LINE('',#483057,#114423); #77433=LINE('',#483058,#114424); #77434=LINE('',#483061,#114425); #77435=LINE('',#483063,#114426); #77436=LINE('',#483064,#114427); #77437=LINE('',#483074,#114428); #77438=LINE('',#483079,#114429); #77439=LINE('',#483081,#114430); #77440=LINE('',#483083,#114431); #77441=LINE('',#483084,#114432); #77442=LINE('',#483087,#114433); #77443=LINE('',#483089,#114434); #77444=LINE('',#483090,#114435); #77445=LINE('',#483093,#114436); #77446=LINE('',#483095,#114437); #77447=LINE('',#483096,#114438); #77448=LINE('',#483099,#114439); #77449=LINE('',#483101,#114440); #77450=LINE('',#483102,#114441); #77451=LINE('',#483105,#114442); #77452=LINE('',#483107,#114443); #77453=LINE('',#483108,#114444); #77454=LINE('',#483114,#114445); #77455=LINE('',#483117,#114446); #77456=LINE('',#483119,#114447); #77457=LINE('',#483120,#114448); #77458=LINE('',#483126,#114449); #77459=LINE('',#483132,#114450); #77460=LINE('',#483138,#114451); #77461=LINE('',#483141,#114452); #77462=LINE('',#483143,#114453); #77463=LINE('',#483144,#114454); #77464=LINE('',#483147,#114455); #77465=LINE('',#483149,#114456); #77466=LINE('',#483150,#114457); #77467=LINE('',#483152,#114458); #77468=LINE('',#483153,#114459); #77469=LINE('',#483160,#114460); #77470=LINE('',#483166,#114461); #77471=LINE('',#483171,#114462); #77472=LINE('',#483173,#114463); #77473=LINE('',#483175,#114464); #77474=LINE('',#483176,#114465); #77475=LINE('',#483182,#114466); #77476=LINE('',#483188,#114467); #77477=LINE('',#483191,#114468); #77478=LINE('',#483193,#114469); #77479=LINE('',#483194,#114470); #77480=LINE('',#483197,#114471); #77481=LINE('',#483199,#114472); #77482=LINE('',#483200,#114473); #77483=LINE('',#483203,#114474); #77484=LINE('',#483205,#114475); #77485=LINE('',#483206,#114476); #77486=LINE('',#483209,#114477); #77487=LINE('',#483211,#114478); #77488=LINE('',#483212,#114479); #77489=LINE('',#483215,#114480); #77490=LINE('',#483217,#114481); #77491=LINE('',#483218,#114482); #77492=LINE('',#483224,#114483); #77493=LINE('',#483227,#114484); #77494=LINE('',#483229,#114485); #77495=LINE('',#483230,#114486); #77496=LINE('',#483233,#114487); #77497=LINE('',#483235,#114488); #77498=LINE('',#483236,#114489); #77499=LINE('',#483242,#114490); #77500=LINE('',#483245,#114491); #77501=LINE('',#483247,#114492); #77502=LINE('',#483248,#114493); #77503=LINE('',#483254,#114494); #77504=LINE('',#483257,#114495); #77505=LINE('',#483259,#114496); #77506=LINE('',#483260,#114497); #77507=LINE('',#483263,#114498); #77508=LINE('',#483265,#114499); #77509=LINE('',#483266,#114500); #77510=LINE('',#483269,#114501); #77511=LINE('',#483271,#114502); #77512=LINE('',#483272,#114503); #77513=LINE('',#483278,#114504); #77514=LINE('',#483281,#114505); #77515=LINE('',#483283,#114506); #77516=LINE('',#483284,#114507); #77517=LINE('',#483290,#114508); #77518=LINE('',#483293,#114509); #77519=LINE('',#483295,#114510); #77520=LINE('',#483296,#114511); #77521=LINE('',#483302,#114512); #77522=LINE('',#483308,#114513); #77523=LINE('',#483311,#114514); #77524=LINE('',#483313,#114515); #77525=LINE('',#483314,#114516); #77526=LINE('',#483317,#114517); #77527=LINE('',#483319,#114518); #77528=LINE('',#483320,#114519); #77529=LINE('',#483323,#114520); #77530=LINE('',#483325,#114521); #77531=LINE('',#483326,#114522); #77532=LINE('',#483332,#114523); #77533=LINE('',#483335,#114524); #77534=LINE('',#483337,#114525); #77535=LINE('',#483338,#114526); #77536=LINE('',#483344,#114527); #77537=LINE('',#483347,#114528); #77538=LINE('',#483349,#114529); #77539=LINE('',#483350,#114530); #77540=LINE('',#483353,#114531); #77541=LINE('',#483355,#114532); #77542=LINE('',#483356,#114533); #77543=LINE('',#483359,#114534); #77544=LINE('',#483361,#114535); #77545=LINE('',#483362,#114536); #77546=LINE('',#483368,#114537); #77547=LINE('',#483371,#114538); #77548=LINE('',#483373,#114539); #77549=LINE('',#483374,#114540); #77550=LINE('',#483377,#114541); #77551=LINE('',#483379,#114542); #77552=LINE('',#483380,#114543); #77553=LINE('',#483386,#114544); #77554=LINE('',#483389,#114545); #77555=LINE('',#483391,#114546); #77556=LINE('',#483392,#114547); #77557=LINE('',#483398,#114548); #77558=LINE('',#483401,#114549); #77559=LINE('',#483403,#114550); #77560=LINE('',#483404,#114551); #77561=LINE('',#483410,#114552); #77562=LINE('',#483413,#114553); #77563=LINE('',#483415,#114554); #77564=LINE('',#483416,#114555); #77565=LINE('',#483422,#114556); #77566=LINE('',#483425,#114557); #77567=LINE('',#483427,#114558); #77568=LINE('',#483428,#114559); #77569=LINE('',#483438,#114560); #77570=LINE('',#483444,#114561); #77571=LINE('',#483449,#114562); #77572=LINE('',#483451,#114563); #77573=LINE('',#483453,#114564); #77574=LINE('',#483454,#114565); #77575=LINE('',#483460,#114566); #77576=LINE('',#483466,#114567); #77577=LINE('',#483469,#114568); #77578=LINE('',#483471,#114569); #77579=LINE('',#483472,#114570); #77580=LINE('',#483475,#114571); #77581=LINE('',#483477,#114572); #77582=LINE('',#483478,#114573); #77583=LINE('',#483484,#114574); #77584=LINE('',#483490,#114575); #77585=LINE('',#483493,#114576); #77586=LINE('',#483495,#114577); #77587=LINE('',#483496,#114578); #77588=LINE('',#483502,#114579); #77589=LINE('',#483505,#114580); #77590=LINE('',#483507,#114581); #77591=LINE('',#483508,#114582); #77592=LINE('',#483518,#114583); #77593=LINE('',#483523,#114584); #77594=LINE('',#483525,#114585); #77595=LINE('',#483527,#114586); #77596=LINE('',#483528,#114587); #77597=LINE('',#483531,#114588); #77598=LINE('',#483533,#114589); #77599=LINE('',#483534,#114590); #77600=LINE('',#483537,#114591); #77601=LINE('',#483539,#114592); #77602=LINE('',#483540,#114593); #77603=LINE('',#483543,#114594); #77604=LINE('',#483545,#114595); #77605=LINE('',#483546,#114596); #77606=LINE('',#483549,#114597); #77607=LINE('',#483551,#114598); #77608=LINE('',#483552,#114599); #77609=LINE('',#483558,#114600); #77610=LINE('',#483561,#114601); #77611=LINE('',#483563,#114602); #77612=LINE('',#483564,#114603); #77613=LINE('',#483570,#114604); #77614=LINE('',#483576,#114605); #77615=LINE('',#483582,#114606); #77616=LINE('',#483585,#114607); #77617=LINE('',#483587,#114608); #77618=LINE('',#483588,#114609); #77619=LINE('',#483594,#114610); #77620=LINE('',#483597,#114611); #77621=LINE('',#483599,#114612); #77622=LINE('',#483600,#114613); #77623=LINE('',#483603,#114614); #77624=LINE('',#483605,#114615); #77625=LINE('',#483606,#114616); #77626=LINE('',#483609,#114617); #77627=LINE('',#483611,#114618); #77628=LINE('',#483612,#114619); #77629=LINE('',#483615,#114620); #77630=LINE('',#483617,#114621); #77631=LINE('',#483618,#114622); #77632=LINE('',#483621,#114623); #77633=LINE('',#483623,#114624); #77634=LINE('',#483624,#114625); #77635=LINE('',#483627,#114626); #77636=LINE('',#483629,#114627); #77637=LINE('',#483630,#114628); #77638=LINE('',#483633,#114629); #77639=LINE('',#483635,#114630); #77640=LINE('',#483636,#114631); #77641=LINE('',#483639,#114632); #77642=LINE('',#483641,#114633); #77643=LINE('',#483642,#114634); #77644=LINE('',#483648,#114635); #77645=LINE('',#483651,#114636); #77646=LINE('',#483653,#114637); #77647=LINE('',#483654,#114638); #77648=LINE('',#483657,#114639); #77649=LINE('',#483659,#114640); #77650=LINE('',#483660,#114641); #77651=LINE('',#483662,#114642); #77652=LINE('',#483663,#114643); #77653=LINE('',#483670,#114644); #77654=LINE('',#483675,#114645); #77655=LINE('',#483677,#114646); #77656=LINE('',#483679,#114647); #77657=LINE('',#483680,#114648); #77658=LINE('',#483686,#114649); #77659=LINE('',#483692,#114650); #77660=LINE('',#483695,#114651); #77661=LINE('',#483697,#114652); #77662=LINE('',#483698,#114653); #77663=LINE('',#483704,#114654); #77664=LINE('',#483707,#114655); #77665=LINE('',#483709,#114656); #77666=LINE('',#483710,#114657); #77667=LINE('',#483713,#114658); #77668=LINE('',#483715,#114659); #77669=LINE('',#483716,#114660); #77670=LINE('',#483719,#114661); #77671=LINE('',#483721,#114662); #77672=LINE('',#483722,#114663); #77673=LINE('',#483725,#114664); #77674=LINE('',#483727,#114665); #77675=LINE('',#483728,#114666); #77676=LINE('',#483731,#114667); #77677=LINE('',#483733,#114668); #77678=LINE('',#483734,#114669); #77679=LINE('',#483737,#114670); #77680=LINE('',#483739,#114671); #77681=LINE('',#483740,#114672); #77682=LINE('',#483743,#114673); #77683=LINE('',#483745,#114674); #77684=LINE('',#483746,#114675); #77685=LINE('',#483749,#114676); #77686=LINE('',#483751,#114677); #77687=LINE('',#483752,#114678); #77688=LINE('',#483758,#114679); #77689=LINE('',#483761,#114680); #77690=LINE('',#483763,#114681); #77691=LINE('',#483764,#114682); #77692=LINE('',#483767,#114683); #77693=LINE('',#483769,#114684); #77694=LINE('',#483770,#114685); #77695=LINE('',#483773,#114686); #77696=LINE('',#483775,#114687); #77697=LINE('',#483776,#114688); #77698=LINE('',#483779,#114689); #77699=LINE('',#483781,#114690); #77700=LINE('',#483782,#114691); #77701=LINE('',#483785,#114692); #77702=LINE('',#483787,#114693); #77703=LINE('',#483788,#114694); #77704=LINE('',#483791,#114695); #77705=LINE('',#483793,#114696); #77706=LINE('',#483794,#114697); #77707=LINE('',#483797,#114698); #77708=LINE('',#483799,#114699); #77709=LINE('',#483800,#114700); #77710=LINE('',#483803,#114701); #77711=LINE('',#483805,#114702); #77712=LINE('',#483806,#114703); #77713=LINE('',#483812,#114704); #77714=LINE('',#483815,#114705); #77715=LINE('',#483817,#114706); #77716=LINE('',#483818,#114707); #77717=LINE('',#483824,#114708); #77718=LINE('',#483834,#114709); #77719=LINE('',#483840,#114710); #77720=LINE('',#483845,#114711); #77721=LINE('',#483847,#114712); #77722=LINE('',#483849,#114713); #77723=LINE('',#483850,#114714); #77724=LINE('',#483853,#114715); #77725=LINE('',#483855,#114716); #77726=LINE('',#483856,#114717); #77727=LINE('',#483862,#114718); #77728=LINE('',#483865,#114719); #77729=LINE('',#483867,#114720); #77730=LINE('',#483868,#114721); #77731=LINE('',#483871,#114722); #77732=LINE('',#483873,#114723); #77733=LINE('',#483874,#114724); #77734=LINE('',#483880,#114725); #77735=LINE('',#483886,#114726); #77736=LINE('',#483889,#114727); #77737=LINE('',#483891,#114728); #77738=LINE('',#483892,#114729); #77739=LINE('',#483898,#114730); #77740=LINE('',#483901,#114731); #77741=LINE('',#483903,#114732); #77742=LINE('',#483904,#114733); #77743=LINE('',#483907,#114734); #77744=LINE('',#483909,#114735); #77745=LINE('',#483910,#114736); #77746=LINE('',#483913,#114737); #77747=LINE('',#483915,#114738); #77748=LINE('',#483916,#114739); #77749=LINE('',#483922,#114740); #77750=LINE('',#483925,#114741); #77751=LINE('',#483927,#114742); #77752=LINE('',#483928,#114743); #77753=LINE('',#483931,#114744); #77754=LINE('',#483933,#114745); #77755=LINE('',#483934,#114746); #77756=LINE('',#483937,#114747); #77757=LINE('',#483939,#114748); #77758=LINE('',#483940,#114749); #77759=LINE('',#483943,#114750); #77760=LINE('',#483945,#114751); #77761=LINE('',#483946,#114752); #77762=LINE('',#483949,#114753); #77763=LINE('',#483951,#114754); #77764=LINE('',#483952,#114755); #77765=LINE('',#483958,#114756); #77766=LINE('',#483961,#114757); #77767=LINE('',#483963,#114758); #77768=LINE('',#483964,#114759); #77769=LINE('',#483967,#114760); #77770=LINE('',#483969,#114761); #77771=LINE('',#483970,#114762); #77772=LINE('',#483976,#114763); #77773=LINE('',#483979,#114764); #77774=LINE('',#483981,#114765); #77775=LINE('',#483982,#114766); #77776=LINE('',#483988,#114767); #77777=LINE('',#483991,#114768); #77778=LINE('',#483993,#114769); #77779=LINE('',#483994,#114770); #77780=LINE('',#483997,#114771); #77781=LINE('',#483999,#114772); #77782=LINE('',#484000,#114773); #77783=LINE('',#484003,#114774); #77784=LINE('',#484005,#114775); #77785=LINE('',#484006,#114776); #77786=LINE('',#484012,#114777); #77787=LINE('',#484015,#114778); #77788=LINE('',#484017,#114779); #77789=LINE('',#484018,#114780); #77790=LINE('',#484024,#114781); #77791=LINE('',#484027,#114782); #77792=LINE('',#484029,#114783); #77793=LINE('',#484030,#114784); #77794=LINE('',#484036,#114785); #77795=LINE('',#484039,#114786); #77796=LINE('',#484041,#114787); #77797=LINE('',#484042,#114788); #77798=LINE('',#484045,#114789); #77799=LINE('',#484047,#114790); #77800=LINE('',#484048,#114791); #77801=LINE('',#484054,#114792); #77802=LINE('',#484060,#114793); #77803=LINE('',#484063,#114794); #77804=LINE('',#484065,#114795); #77805=LINE('',#484066,#114796); #77806=LINE('',#484072,#114797); #77807=LINE('',#484075,#114798); #77808=LINE('',#484077,#114799); #77809=LINE('',#484078,#114800); #77810=LINE('',#484081,#114801); #77811=LINE('',#484083,#114802); #77812=LINE('',#484084,#114803); #77813=LINE('',#484087,#114804); #77814=LINE('',#484089,#114805); #77815=LINE('',#484090,#114806); #77816=LINE('',#484093,#114807); #77817=LINE('',#484095,#114808); #77818=LINE('',#484096,#114809); #77819=LINE('',#484102,#114810); #77820=LINE('',#484105,#114811); #77821=LINE('',#484107,#114812); #77822=LINE('',#484108,#114813); #77823=LINE('',#484114,#114814); #77824=LINE('',#484117,#114815); #77825=LINE('',#484119,#114816); #77826=LINE('',#484120,#114817); #77827=LINE('',#484123,#114818); #77828=LINE('',#484125,#114819); #77829=LINE('',#484126,#114820); #77830=LINE('',#484129,#114821); #77831=LINE('',#484131,#114822); #77832=LINE('',#484132,#114823); #77833=LINE('',#484138,#114824); #77834=LINE('',#484141,#114825); #77835=LINE('',#484143,#114826); #77836=LINE('',#484144,#114827); #77837=LINE('',#484147,#114828); #77838=LINE('',#484149,#114829); #77839=LINE('',#484150,#114830); #77840=LINE('',#484156,#114831); #77841=LINE('',#484159,#114832); #77842=LINE('',#484161,#114833); #77843=LINE('',#484162,#114834); #77844=LINE('',#484168,#114835); #77845=LINE('',#484171,#114836); #77846=LINE('',#484173,#114837); #77847=LINE('',#484174,#114838); #77848=LINE('',#484180,#114839); #77849=LINE('',#484183,#114840); #77850=LINE('',#484185,#114841); #77851=LINE('',#484186,#114842); #77852=LINE('',#484192,#114843); #77853=LINE('',#484195,#114844); #77854=LINE('',#484197,#114845); #77855=LINE('',#484198,#114846); #77856=LINE('',#484201,#114847); #77857=LINE('',#484203,#114848); #77858=LINE('',#484204,#114849); #77859=LINE('',#484214,#114850); #77860=LINE('',#484220,#114851); #77861=LINE('',#484225,#114852); #77862=LINE('',#484227,#114853); #77863=LINE('',#484229,#114854); #77864=LINE('',#484230,#114855); #77865=LINE('',#484236,#114856); #77866=LINE('',#484242,#114857); #77867=LINE('',#484245,#114858); #77868=LINE('',#484247,#114859); #77869=LINE('',#484248,#114860); #77870=LINE('',#484254,#114861); #77871=LINE('',#484260,#114862); #77872=LINE('',#484263,#114863); #77873=LINE('',#484265,#114864); #77874=LINE('',#484266,#114865); #77875=LINE('',#484276,#114866); #77876=LINE('',#484282,#114867); #77877=LINE('',#484287,#114868); #77878=LINE('',#484289,#114869); #77879=LINE('',#484291,#114870); #77880=LINE('',#484292,#114871); #77881=LINE('',#484298,#114872); #77882=LINE('',#484304,#114873); #77883=LINE('',#484307,#114874); #77884=LINE('',#484309,#114875); #77885=LINE('',#484310,#114876); #77886=LINE('',#484316,#114877); #77887=LINE('',#484322,#114878); #77888=LINE('',#484325,#114879); #77889=LINE('',#484327,#114880); #77890=LINE('',#484328,#114881); #77891=LINE('',#484338,#114882); #77892=LINE('',#484344,#114883); #77893=LINE('',#484349,#114884); #77894=LINE('',#484351,#114885); #77895=LINE('',#484353,#114886); #77896=LINE('',#484354,#114887); #77897=LINE('',#484360,#114888); #77898=LINE('',#484366,#114889); #77899=LINE('',#484369,#114890); #77900=LINE('',#484371,#114891); #77901=LINE('',#484372,#114892); #77902=LINE('',#484378,#114893); #77903=LINE('',#484384,#114894); #77904=LINE('',#484387,#114895); #77905=LINE('',#484389,#114896); #77906=LINE('',#484390,#114897); #77907=LINE('',#484400,#114898); #77908=LINE('',#484406,#114899); #77909=LINE('',#484411,#114900); #77910=LINE('',#484413,#114901); #77911=LINE('',#484415,#114902); #77912=LINE('',#484416,#114903); #77913=LINE('',#484422,#114904); #77914=LINE('',#484425,#114905); #77915=LINE('',#484427,#114906); #77916=LINE('',#484428,#114907); #77917=LINE('',#484431,#114908); #77918=LINE('',#484433,#114909); #77919=LINE('',#484434,#114910); #77920=LINE('',#484437,#114911); #77921=LINE('',#484439,#114912); #77922=LINE('',#484440,#114913); #77923=LINE('',#484446,#114914); #77924=LINE('',#484452,#114915); #77925=LINE('',#484455,#114916); #77926=LINE('',#484457,#114917); #77927=LINE('',#484458,#114918); #77928=LINE('',#484464,#114919); #77929=LINE('',#484467,#114920); #77930=LINE('',#484469,#114921); #77931=LINE('',#484470,#114922); #77932=LINE('',#484476,#114923); #77933=LINE('',#484479,#114924); #77934=LINE('',#484481,#114925); #77935=LINE('',#484482,#114926); #77936=LINE('',#484492,#114927); #77937=LINE('',#484497,#114928); #77938=LINE('',#484499,#114929); #77939=LINE('',#484501,#114930); #77940=LINE('',#484502,#114931); #77941=LINE('',#484505,#114932); #77942=LINE('',#484507,#114933); #77943=LINE('',#484508,#114934); #77944=LINE('',#484511,#114935); #77945=LINE('',#484513,#114936); #77946=LINE('',#484514,#114937); #77947=LINE('',#484516,#114938); #77948=LINE('',#484517,#114939); #77949=LINE('',#484524,#114940); #77950=LINE('',#484529,#114941); #77951=LINE('',#484531,#114942); #77952=LINE('',#484533,#114943); #77953=LINE('',#484534,#114944); #77954=LINE('',#484537,#114945); #77955=LINE('',#484539,#114946); #77956=LINE('',#484540,#114947); #77957=LINE('',#484543,#114948); #77958=LINE('',#484545,#114949); #77959=LINE('',#484546,#114950); #77960=LINE('',#484548,#114951); #77961=LINE('',#484549,#114952); #77962=LINE('',#484556,#114953); #77963=LINE('',#484561,#114954); #77964=LINE('',#484563,#114955); #77965=LINE('',#484565,#114956); #77966=LINE('',#484566,#114957); #77967=LINE('',#484569,#114958); #77968=LINE('',#484571,#114959); #77969=LINE('',#484572,#114960); #77970=LINE('',#484575,#114961); #77971=LINE('',#484577,#114962); #77972=LINE('',#484578,#114963); #77973=LINE('',#484580,#114964); #77974=LINE('',#484581,#114965); #77975=LINE('',#484588,#114966); #77976=LINE('',#484593,#114967); #77977=LINE('',#484595,#114968); #77978=LINE('',#484597,#114969); #77979=LINE('',#484598,#114970); #77980=LINE('',#484604,#114971); #77981=LINE('',#484607,#114972); #77982=LINE('',#484609,#114973); #77983=LINE('',#484610,#114974); #77984=LINE('',#484613,#114975); #77985=LINE('',#484615,#114976); #77986=LINE('',#484616,#114977); #77987=LINE('',#484619,#114978); #77988=LINE('',#484621,#114979); #77989=LINE('',#484622,#114980); #77990=LINE('',#484625,#114981); #77991=LINE('',#484627,#114982); #77992=LINE('',#484628,#114983); #77993=LINE('',#484631,#114984); #77994=LINE('',#484633,#114985); #77995=LINE('',#484634,#114986); #77996=LINE('',#484637,#114987); #77997=LINE('',#484639,#114988); #77998=LINE('',#484640,#114989); #77999=LINE('',#484643,#114990); #78000=LINE('',#484645,#114991); #78001=LINE('',#484646,#114992); #78002=LINE('',#484656,#114993); #78003=LINE('',#484662,#114994); #78004=LINE('',#484667,#114995); #78005=LINE('',#484669,#114996); #78006=LINE('',#484671,#114997); #78007=LINE('',#484672,#114998); #78008=LINE('',#484675,#114999); #78009=LINE('',#484677,#115000); #78010=LINE('',#484678,#115001); #78011=LINE('',#484684,#115002); #78012=LINE('',#484687,#115003); #78013=LINE('',#484689,#115004); #78014=LINE('',#484690,#115005); #78015=LINE('',#484693,#115006); #78016=LINE('',#484695,#115007); #78017=LINE('',#484696,#115008); #78018=LINE('',#484702,#115009); #78019=LINE('',#484705,#115010); #78020=LINE('',#484707,#115011); #78021=LINE('',#484708,#115012); #78022=LINE('',#484711,#115013); #78023=LINE('',#484713,#115014); #78024=LINE('',#484714,#115015); #78025=LINE('',#484720,#115016); #78026=LINE('',#484726,#115017); #78027=LINE('',#484729,#115018); #78028=LINE('',#484731,#115019); #78029=LINE('',#484732,#115020); #78030=LINE('',#484738,#115021); #78031=LINE('',#484741,#115022); #78032=LINE('',#484743,#115023); #78033=LINE('',#484744,#115024); #78034=LINE('',#484747,#115025); #78035=LINE('',#484749,#115026); #78036=LINE('',#484750,#115027); #78037=LINE('',#484756,#115028); #78038=LINE('',#484759,#115029); #78039=LINE('',#484761,#115030); #78040=LINE('',#484762,#115031); #78041=LINE('',#484765,#115032); #78042=LINE('',#484767,#115033); #78043=LINE('',#484768,#115034); #78044=LINE('',#484771,#115035); #78045=LINE('',#484773,#115036); #78046=LINE('',#484774,#115037); #78047=LINE('',#484777,#115038); #78048=LINE('',#484779,#115039); #78049=LINE('',#484780,#115040); #78050=LINE('',#484783,#115041); #78051=LINE('',#484785,#115042); #78052=LINE('',#484786,#115043); #78053=LINE('',#484789,#115044); #78054=LINE('',#484791,#115045); #78055=LINE('',#484792,#115046); #78056=LINE('',#484798,#115047); #78057=LINE('',#484801,#115048); #78058=LINE('',#484803,#115049); #78059=LINE('',#484804,#115050); #78060=LINE('',#484807,#115051); #78061=LINE('',#484809,#115052); #78062=LINE('',#484810,#115053); #78063=LINE('',#484816,#115054); #78064=LINE('',#484819,#115055); #78065=LINE('',#484821,#115056); #78066=LINE('',#484822,#115057); #78067=LINE('',#484828,#115058); #78068=LINE('',#484831,#115059); #78069=LINE('',#484833,#115060); #78070=LINE('',#484834,#115061); #78071=LINE('',#484837,#115062); #78072=LINE('',#484839,#115063); #78073=LINE('',#484840,#115064); #78074=LINE('',#484843,#115065); #78075=LINE('',#484845,#115066); #78076=LINE('',#484846,#115067); #78077=LINE('',#484852,#115068); #78078=LINE('',#484855,#115069); #78079=LINE('',#484857,#115070); #78080=LINE('',#484858,#115071); #78081=LINE('',#484864,#115072); #78082=LINE('',#484867,#115073); #78083=LINE('',#484869,#115074); #78084=LINE('',#484870,#115075); #78085=LINE('',#484873,#115076); #78086=LINE('',#484875,#115077); #78087=LINE('',#484876,#115078); #78088=LINE('',#484882,#115079); #78089=LINE('',#484885,#115080); #78090=LINE('',#484887,#115081); #78091=LINE('',#484888,#115082); #78092=LINE('',#484894,#115083); #78093=LINE('',#484900,#115084); #78094=LINE('',#484903,#115085); #78095=LINE('',#484905,#115086); #78096=LINE('',#484906,#115087); #78097=LINE('',#484909,#115088); #78098=LINE('',#484911,#115089); #78099=LINE('',#484912,#115090); #78100=LINE('',#484918,#115091); #78101=LINE('',#484921,#115092); #78102=LINE('',#484923,#115093); #78103=LINE('',#484924,#115094); #78104=LINE('',#484927,#115095); #78105=LINE('',#484929,#115096); #78106=LINE('',#484930,#115097); #78107=LINE('',#484933,#115098); #78108=LINE('',#484935,#115099); #78109=LINE('',#484936,#115100); #78110=LINE('',#484942,#115101); #78111=LINE('',#484945,#115102); #78112=LINE('',#484947,#115103); #78113=LINE('',#484948,#115104); #78114=LINE('',#484954,#115105); #78115=LINE('',#484957,#115106); #78116=LINE('',#484959,#115107); #78117=LINE('',#484960,#115108); #78118=LINE('',#484963,#115109); #78119=LINE('',#484965,#115110); #78120=LINE('',#484966,#115111); #78121=LINE('',#484969,#115112); #78122=LINE('',#484971,#115113); #78123=LINE('',#484972,#115114); #78124=LINE('',#484978,#115115); #78125=LINE('',#484981,#115116); #78126=LINE('',#484983,#115117); #78127=LINE('',#484984,#115118); #78128=LINE('',#484987,#115119); #78129=LINE('',#484989,#115120); #78130=LINE('',#484990,#115121); #78131=LINE('',#484996,#115122); #78132=LINE('',#484999,#115123); #78133=LINE('',#485001,#115124); #78134=LINE('',#485002,#115125); #78135=LINE('',#485008,#115126); #78136=LINE('',#485011,#115127); #78137=LINE('',#485013,#115128); #78138=LINE('',#485014,#115129); #78139=LINE('',#485020,#115130); #78140=LINE('',#485023,#115131); #78141=LINE('',#485025,#115132); #78142=LINE('',#485026,#115133); #78143=LINE('',#485036,#115134); #78144=LINE('',#485042,#115135); #78145=LINE('',#485047,#115136); #78146=LINE('',#485049,#115137); #78147=LINE('',#485051,#115138); #78148=LINE('',#485052,#115139); #78149=LINE('',#485058,#115140); #78150=LINE('',#485061,#115141); #78151=LINE('',#485063,#115142); #78152=LINE('',#485064,#115143); #78153=LINE('',#485070,#115144); #78154=LINE('',#485076,#115145); #78155=LINE('',#485079,#115146); #78156=LINE('',#485081,#115147); #78157=LINE('',#485082,#115148); #78158=LINE('',#485092,#115149); #78159=LINE('',#485097,#115150); #78160=LINE('',#485099,#115151); #78161=LINE('',#485101,#115152); #78162=LINE('',#485102,#115153); #78163=LINE('',#485108,#115154); #78164=LINE('',#485111,#115155); #78165=LINE('',#485113,#115156); #78166=LINE('',#485114,#115157); #78167=LINE('',#485117,#115158); #78168=LINE('',#485119,#115159); #78169=LINE('',#485120,#115160); #78170=LINE('',#485123,#115161); #78171=LINE('',#485125,#115162); #78172=LINE('',#485126,#115163); #78173=LINE('',#485129,#115164); #78174=LINE('',#485131,#115165); #78175=LINE('',#485132,#115166); #78176=LINE('',#485135,#115167); #78177=LINE('',#485137,#115168); #78178=LINE('',#485138,#115169); #78179=LINE('',#485141,#115170); #78180=LINE('',#485143,#115171); #78181=LINE('',#485144,#115172); #78182=LINE('',#485147,#115173); #78183=LINE('',#485149,#115174); #78184=LINE('',#485150,#115175); #78185=LINE('',#485160,#115176); #78186=LINE('',#485165,#115177); #78187=LINE('',#485167,#115178); #78188=LINE('',#485169,#115179); #78189=LINE('',#485170,#115180); #78190=LINE('',#485176,#115181); #78191=LINE('',#485179,#115182); #78192=LINE('',#485181,#115183); #78193=LINE('',#485182,#115184); #78194=LINE('',#485185,#115185); #78195=LINE('',#485187,#115186); #78196=LINE('',#485188,#115187); #78197=LINE('',#485191,#115188); #78198=LINE('',#485193,#115189); #78199=LINE('',#485194,#115190); #78200=LINE('',#485197,#115191); #78201=LINE('',#485199,#115192); #78202=LINE('',#485200,#115193); #78203=LINE('',#485203,#115194); #78204=LINE('',#485205,#115195); #78205=LINE('',#485206,#115196); #78206=LINE('',#485209,#115197); #78207=LINE('',#485211,#115198); #78208=LINE('',#485212,#115199); #78209=LINE('',#485215,#115200); #78210=LINE('',#485217,#115201); #78211=LINE('',#485218,#115202); #78212=LINE('',#485228,#115203); #78213=LINE('',#485233,#115204); #78214=LINE('',#485235,#115205); #78215=LINE('',#485237,#115206); #78216=LINE('',#485238,#115207); #78217=LINE('',#485244,#115208); #78218=LINE('',#485247,#115209); #78219=LINE('',#485249,#115210); #78220=LINE('',#485250,#115211); #78221=LINE('',#485256,#115212); #78222=LINE('',#485259,#115213); #78223=LINE('',#485261,#115214); #78224=LINE('',#485262,#115215); #78225=LINE('',#485268,#115216); #78226=LINE('',#485271,#115217); #78227=LINE('',#485273,#115218); #78228=LINE('',#485274,#115219); #78229=LINE('',#485277,#115220); #78230=LINE('',#485279,#115221); #78231=LINE('',#485280,#115222); #78232=LINE('',#485283,#115223); #78233=LINE('',#485285,#115224); #78234=LINE('',#485286,#115225); #78235=LINE('',#485289,#115226); #78236=LINE('',#485291,#115227); #78237=LINE('',#485292,#115228); #78238=LINE('',#485295,#115229); #78239=LINE('',#485297,#115230); #78240=LINE('',#485298,#115231); #78241=LINE('',#485301,#115232); #78242=LINE('',#485303,#115233); #78243=LINE('',#485304,#115234); #78244=LINE('',#485307,#115235); #78245=LINE('',#485309,#115236); #78246=LINE('',#485310,#115237); #78247=LINE('',#485313,#115238); #78248=LINE('',#485315,#115239); #78249=LINE('',#485316,#115240); #78250=LINE('',#485319,#115241); #78251=LINE('',#485321,#115242); #78252=LINE('',#485322,#115243); #78253=LINE('',#485328,#115244); #78254=LINE('',#485338,#115245); #78255=LINE('',#485343,#115246); #78256=LINE('',#485345,#115247); #78257=LINE('',#485347,#115248); #78258=LINE('',#485348,#115249); #78259=LINE('',#485351,#115250); #78260=LINE('',#485353,#115251); #78261=LINE('',#485354,#115252); #78262=LINE('',#485357,#115253); #78263=LINE('',#485359,#115254); #78264=LINE('',#485360,#115255); #78265=LINE('',#485363,#115256); #78266=LINE('',#485365,#115257); #78267=LINE('',#485366,#115258); #78268=LINE('',#485369,#115259); #78269=LINE('',#485371,#115260); #78270=LINE('',#485372,#115261); #78271=LINE('',#485375,#115262); #78272=LINE('',#485377,#115263); #78273=LINE('',#485378,#115264); #78274=LINE('',#485381,#115265); #78275=LINE('',#485383,#115266); #78276=LINE('',#485384,#115267); #78277=LINE('',#485387,#115268); #78278=LINE('',#485389,#115269); #78279=LINE('',#485390,#115270); #78280=LINE('',#485396,#115271); #78281=LINE('',#485402,#115272); #78282=LINE('',#485405,#115273); #78283=LINE('',#485407,#115274); #78284=LINE('',#485408,#115275); #78285=LINE('',#485414,#115276); #78286=LINE('',#485417,#115277); #78287=LINE('',#485419,#115278); #78288=LINE('',#485420,#115279); #78289=LINE('',#485426,#115280); #78290=LINE('',#485428,#115281); #78291=LINE('',#485429,#115282); #78292=LINE('',#485436,#115283); #78293=LINE('',#485441,#115284); #78294=LINE('',#485443,#115285); #78295=LINE('',#485445,#115286); #78296=LINE('',#485446,#115287); #78297=LINE('',#485452,#115288); #78298=LINE('',#485462,#115289); #78299=LINE('',#485467,#115290); #78300=LINE('',#485469,#115291); #78301=LINE('',#485471,#115292); #78302=LINE('',#485472,#115293); #78303=LINE('',#485475,#115294); #78304=LINE('',#485477,#115295); #78305=LINE('',#485478,#115296); #78306=LINE('',#485481,#115297); #78307=LINE('',#485483,#115298); #78308=LINE('',#485484,#115299); #78309=LINE('',#485487,#115300); #78310=LINE('',#485489,#115301); #78311=LINE('',#485490,#115302); #78312=LINE('',#485493,#115303); #78313=LINE('',#485495,#115304); #78314=LINE('',#485496,#115305); #78315=LINE('',#485499,#115306); #78316=LINE('',#485501,#115307); #78317=LINE('',#485502,#115308); #78318=LINE('',#485505,#115309); #78319=LINE('',#485507,#115310); #78320=LINE('',#485508,#115311); #78321=LINE('',#485514,#115312); #78322=LINE('',#485517,#115313); #78323=LINE('',#485519,#115314); #78324=LINE('',#485520,#115315); #78325=LINE('',#485523,#115316); #78326=LINE('',#485525,#115317); #78327=LINE('',#485526,#115318); #78328=LINE('',#485532,#115319); #78329=LINE('',#485538,#115320); #78330=LINE('',#485541,#115321); #78331=LINE('',#485543,#115322); #78332=LINE('',#485544,#115323); #78333=LINE('',#485550,#115324); #78334=LINE('',#485553,#115325); #78335=LINE('',#485555,#115326); #78336=LINE('',#485556,#115327); #78337=LINE('',#485559,#115328); #78338=LINE('',#485561,#115329); #78339=LINE('',#485562,#115330); #78340=LINE('',#485568,#115331); #78341=LINE('',#485571,#115332); #78342=LINE('',#485573,#115333); #78343=LINE('',#485574,#115334); #78344=LINE('',#485580,#115335); #78345=LINE('',#485583,#115336); #78346=LINE('',#485585,#115337); #78347=LINE('',#485586,#115338); #78348=LINE('',#485588,#115339); #78349=LINE('',#485589,#115340); #78350=LINE('',#485596,#115341); #78351=LINE('',#485601,#115342); #78352=LINE('',#485603,#115343); #78353=LINE('',#485605,#115344); #78354=LINE('',#485606,#115345); #78355=LINE('',#485609,#115346); #78356=LINE('',#485611,#115347); #78357=LINE('',#485612,#115348); #78358=LINE('',#485615,#115349); #78359=LINE('',#485617,#115350); #78360=LINE('',#485618,#115351); #78361=LINE('',#485621,#115352); #78362=LINE('',#485623,#115353); #78363=LINE('',#485624,#115354); #78364=LINE('',#485630,#115355); #78365=LINE('',#485633,#115356); #78366=LINE('',#485635,#115357); #78367=LINE('',#485636,#115358); #78368=LINE('',#485642,#115359); #78369=LINE('',#485645,#115360); #78370=LINE('',#485647,#115361); #78371=LINE('',#485648,#115362); #78372=LINE('',#485654,#115363); #78373=LINE('',#485660,#115364); #78374=LINE('',#485663,#115365); #78375=LINE('',#485665,#115366); #78376=LINE('',#485666,#115367); #78377=LINE('',#485669,#115368); #78378=LINE('',#485671,#115369); #78379=LINE('',#485672,#115370); #78380=LINE('',#485675,#115371); #78381=LINE('',#485677,#115372); #78382=LINE('',#485678,#115373); #78383=LINE('',#485681,#115374); #78384=LINE('',#485683,#115375); #78385=LINE('',#485684,#115376); #78386=LINE('',#485686,#115377); #78387=LINE('',#485687,#115378); #78388=LINE('',#485693,#115379); #78389=LINE('',#485695,#115380); #78390=LINE('',#485697,#115381); #78391=LINE('',#485698,#115382); #78392=LINE('',#485701,#115383); #78393=LINE('',#485703,#115384); #78394=LINE('',#485704,#115385); #78395=LINE('',#485707,#115386); #78396=LINE('',#485709,#115387); #78397=LINE('',#485710,#115388); #78398=LINE('',#485713,#115389); #78399=LINE('',#485715,#115390); #78400=LINE('',#485716,#115391); #78401=LINE('',#485719,#115392); #78402=LINE('',#485721,#115393); #78403=LINE('',#485722,#115394); #78404=LINE('',#485728,#115395); #78405=LINE('',#485731,#115396); #78406=LINE('',#485733,#115397); #78407=LINE('',#485734,#115398); #78408=LINE('',#485737,#115399); #78409=LINE('',#485739,#115400); #78410=LINE('',#485740,#115401); #78411=LINE('',#485743,#115402); #78412=LINE('',#485745,#115403); #78413=LINE('',#485746,#115404); #78414=LINE('',#485749,#115405); #78415=LINE('',#485751,#115406); #78416=LINE('',#485752,#115407); #78417=LINE('',#485755,#115408); #78418=LINE('',#485757,#115409); #78419=LINE('',#485758,#115410); #78420=LINE('',#485761,#115411); #78421=LINE('',#485763,#115412); #78422=LINE('',#485764,#115413); #78423=LINE('',#485767,#115414); #78424=LINE('',#485769,#115415); #78425=LINE('',#485770,#115416); #78426=LINE('',#485772,#115417); #78427=LINE('',#485773,#115418); #78428=LINE('',#485779,#115419); #78429=LINE('',#485781,#115420); #78430=LINE('',#485783,#115421); #78431=LINE('',#485784,#115422); #78432=LINE('',#485787,#115423); #78433=LINE('',#485789,#115424); #78434=LINE('',#485790,#115425); #78435=LINE('',#485793,#115426); #78436=LINE('',#485795,#115427); #78437=LINE('',#485796,#115428); #78438=LINE('',#485799,#115429); #78439=LINE('',#485801,#115430); #78440=LINE('',#485802,#115431); #78441=LINE('',#485805,#115432); #78442=LINE('',#485807,#115433); #78443=LINE('',#485808,#115434); #78444=LINE('',#485811,#115435); #78445=LINE('',#485813,#115436); #78446=LINE('',#485814,#115437); #78447=LINE('',#485817,#115438); #78448=LINE('',#485819,#115439); #78449=LINE('',#485820,#115440); #78450=LINE('',#485823,#115441); #78451=LINE('',#485825,#115442); #78452=LINE('',#485826,#115443); #78453=LINE('',#485829,#115444); #78454=LINE('',#485831,#115445); #78455=LINE('',#485832,#115446); #78456=LINE('',#485835,#115447); #78457=LINE('',#485837,#115448); #78458=LINE('',#485838,#115449); #78459=LINE('',#485841,#115450); #78460=LINE('',#485843,#115451); #78461=LINE('',#485844,#115452); #78462=LINE('',#485850,#115453); #78463=LINE('',#485853,#115454); #78464=LINE('',#485855,#115455); #78465=LINE('',#485856,#115456); #78466=LINE('',#485858,#115457); #78467=LINE('',#485859,#115458); #78468=LINE('',#485866,#115459); #78469=LINE('',#485871,#115460); #78470=LINE('',#485873,#115461); #78471=LINE('',#485875,#115462); #78472=LINE('',#485876,#115463); #78473=LINE('',#485879,#115464); #78474=LINE('',#485881,#115465); #78475=LINE('',#485882,#115466); #78476=LINE('',#485888,#115467); #78477=LINE('',#485891,#115468); #78478=LINE('',#485893,#115469); #78479=LINE('',#485894,#115470); #78480=LINE('',#485900,#115471); #78481=LINE('',#485903,#115472); #78482=LINE('',#485905,#115473); #78483=LINE('',#485906,#115474); #78484=LINE('',#485909,#115475); #78485=LINE('',#485911,#115476); #78486=LINE('',#485912,#115477); #78487=LINE('',#485918,#115478); #78488=LINE('',#485921,#115479); #78489=LINE('',#485923,#115480); #78490=LINE('',#485924,#115481); #78491=LINE('',#485927,#115482); #78492=LINE('',#485929,#115483); #78493=LINE('',#485930,#115484); #78494=LINE('',#485933,#115485); #78495=LINE('',#485935,#115486); #78496=LINE('',#485936,#115487); #78497=LINE('',#485939,#115488); #78498=LINE('',#485941,#115489); #78499=LINE('',#485942,#115490); #78500=LINE('',#485945,#115491); #78501=LINE('',#485947,#115492); #78502=LINE('',#485948,#115493); #78503=LINE('',#485951,#115494); #78504=LINE('',#485953,#115495); #78505=LINE('',#485954,#115496); #78506=LINE('',#485957,#115497); #78507=LINE('',#485959,#115498); #78508=LINE('',#485960,#115499); #78509=LINE('',#485963,#115500); #78510=LINE('',#485965,#115501); #78511=LINE('',#485966,#115502); #78512=LINE('',#485972,#115503); #78513=LINE('',#485975,#115504); #78514=LINE('',#485977,#115505); #78515=LINE('',#485978,#115506); #78516=LINE('',#485981,#115507); #78517=LINE('',#485983,#115508); #78518=LINE('',#485984,#115509); #78519=LINE('',#485987,#115510); #78520=LINE('',#485989,#115511); #78521=LINE('',#485990,#115512); #78522=LINE('',#485993,#115513); #78523=LINE('',#485995,#115514); #78524=LINE('',#485996,#115515); #78525=LINE('',#486002,#115516); #78526=LINE('',#486005,#115517); #78527=LINE('',#486007,#115518); #78528=LINE('',#486008,#115519); #78529=LINE('',#486011,#115520); #78530=LINE('',#486013,#115521); #78531=LINE('',#486014,#115522); #78532=LINE('',#486017,#115523); #78533=LINE('',#486019,#115524); #78534=LINE('',#486020,#115525); #78535=LINE('',#486023,#115526); #78536=LINE('',#486025,#115527); #78537=LINE('',#486026,#115528); #78538=LINE('',#486029,#115529); #78539=LINE('',#486031,#115530); #78540=LINE('',#486032,#115531); #78541=LINE('',#486035,#115532); #78542=LINE('',#486037,#115533); #78543=LINE('',#486038,#115534); #78544=LINE('',#486041,#115535); #78545=LINE('',#486043,#115536); #78546=LINE('',#486044,#115537); #78547=LINE('',#486047,#115538); #78548=LINE('',#486049,#115539); #78549=LINE('',#486050,#115540); #78550=LINE('',#486053,#115541); #78551=LINE('',#486055,#115542); #78552=LINE('',#486056,#115543); #78553=LINE('',#486059,#115544); #78554=LINE('',#486061,#115545); #78555=LINE('',#486062,#115546); #78556=LINE('',#486065,#115547); #78557=LINE('',#486067,#115548); #78558=LINE('',#486068,#115549); #78559=LINE('',#486071,#115550); #78560=LINE('',#486073,#115551); #78561=LINE('',#486074,#115552); #78562=LINE('',#486077,#115553); #78563=LINE('',#486079,#115554); #78564=LINE('',#486080,#115555); #78565=LINE('',#486083,#115556); #78566=LINE('',#486085,#115557); #78567=LINE('',#486086,#115558); #78568=LINE('',#486089,#115559); #78569=LINE('',#486091,#115560); #78570=LINE('',#486092,#115561); #78571=LINE('',#486095,#115562); #78572=LINE('',#486097,#115563); #78573=LINE('',#486098,#115564); #78574=LINE('',#486108,#115565); #78575=LINE('',#486114,#115566); #78576=LINE('',#486119,#115567); #78577=LINE('',#486121,#115568); #78578=LINE('',#486123,#115569); #78579=LINE('',#486124,#115570); #78580=LINE('',#486130,#115571); #78581=LINE('',#486133,#115572); #78582=LINE('',#486135,#115573); #78583=LINE('',#486136,#115574); #78584=LINE('',#486142,#115575); #78585=LINE('',#486148,#115576); #78586=LINE('',#486151,#115577); #78587=LINE('',#486153,#115578); #78588=LINE('',#486154,#115579); #78589=LINE('',#486164,#115580); #78590=LINE('',#486169,#115581); #78591=LINE('',#486171,#115582); #78592=LINE('',#486173,#115583); #78593=LINE('',#486174,#115584); #78594=LINE('',#486177,#115585); #78595=LINE('',#486179,#115586); #78596=LINE('',#486180,#115587); #78597=LINE('',#486183,#115588); #78598=LINE('',#486185,#115589); #78599=LINE('',#486186,#115590); #78600=LINE('',#486188,#115591); #78601=LINE('',#486189,#115592); #78602=LINE('',#486196,#115593); #78603=LINE('',#486202,#115594); #78604=LINE('',#486207,#115595); #78605=LINE('',#486209,#115596); #78606=LINE('',#486211,#115597); #78607=LINE('',#486212,#115598); #78608=LINE('',#486218,#115599); #78609=LINE('',#486221,#115600); #78610=LINE('',#486223,#115601); #78611=LINE('',#486224,#115602); #78612=LINE('',#486230,#115603); #78613=LINE('',#486233,#115604); #78614=LINE('',#486235,#115605); #78615=LINE('',#486236,#115606); #78616=LINE('',#486242,#115607); #78617=LINE('',#486245,#115608); #78618=LINE('',#486247,#115609); #78619=LINE('',#486248,#115610); #78620=LINE('',#486254,#115611); #78621=LINE('',#486257,#115612); #78622=LINE('',#486259,#115613); #78623=LINE('',#486260,#115614); #78624=LINE('',#486266,#115615); #78625=LINE('',#486269,#115616); #78626=LINE('',#486271,#115617); #78627=LINE('',#486272,#115618); #78628=LINE('',#486278,#115619); #78629=LINE('',#486281,#115620); #78630=LINE('',#486283,#115621); #78631=LINE('',#486284,#115622); #78632=LINE('',#486290,#115623); #78633=LINE('',#486296,#115624); #78634=LINE('',#486299,#115625); #78635=LINE('',#486301,#115626); #78636=LINE('',#486302,#115627); #78637=LINE('',#486305,#115628); #78638=LINE('',#486307,#115629); #78639=LINE('',#486308,#115630); #78640=LINE('',#486311,#115631); #78641=LINE('',#486313,#115632); #78642=LINE('',#486314,#115633); #78643=LINE('',#486317,#115634); #78644=LINE('',#486319,#115635); #78645=LINE('',#486320,#115636); #78646=LINE('',#486323,#115637); #78647=LINE('',#486325,#115638); #78648=LINE('',#486326,#115639); #78649=LINE('',#486329,#115640); #78650=LINE('',#486331,#115641); #78651=LINE('',#486332,#115642); #78652=LINE('',#486338,#115643); #78653=LINE('',#486348,#115644); #78654=LINE('',#486354,#115645); #78655=LINE('',#486359,#115646); #78656=LINE('',#486361,#115647); #78657=LINE('',#486363,#115648); #78658=LINE('',#486364,#115649); #78659=LINE('',#486370,#115650); #78660=LINE('',#486373,#115651); #78661=LINE('',#486375,#115652); #78662=LINE('',#486376,#115653); #78663=LINE('',#486382,#115654); #78664=LINE('',#486388,#115655); #78665=LINE('',#486391,#115656); #78666=LINE('',#486393,#115657); #78667=LINE('',#486394,#115658); #78668=LINE('',#486397,#115659); #78669=LINE('',#486399,#115660); #78670=LINE('',#486400,#115661); #78671=LINE('',#486410,#115662); #78672=LINE('',#486415,#115663); #78673=LINE('',#486417,#115664); #78674=LINE('',#486419,#115665); #78675=LINE('',#486420,#115666); #78676=LINE('',#486423,#115667); #78677=LINE('',#486425,#115668); #78678=LINE('',#486426,#115669); #78679=LINE('',#486429,#115670); #78680=LINE('',#486431,#115671); #78681=LINE('',#486432,#115672); #78682=LINE('',#486434,#115673); #78683=LINE('',#486435,#115674); #78684=LINE('',#486442,#115675); #78685=LINE('',#486448,#115676); #78686=LINE('',#486454,#115677); #78687=LINE('',#486460,#115678); #78688=LINE('',#486465,#115679); #78689=LINE('',#486467,#115680); #78690=LINE('',#486469,#115681); #78691=LINE('',#486470,#115682); #78692=LINE('',#486473,#115683); #78693=LINE('',#486475,#115684); #78694=LINE('',#486476,#115685); #78695=LINE('',#486479,#115686); #78696=LINE('',#486481,#115687); #78697=LINE('',#486482,#115688); #78698=LINE('',#486485,#115689); #78699=LINE('',#486487,#115690); #78700=LINE('',#486488,#115691); #78701=LINE('',#486491,#115692); #78702=LINE('',#486493,#115693); #78703=LINE('',#486494,#115694); #78704=LINE('',#486497,#115695); #78705=LINE('',#486499,#115696); #78706=LINE('',#486500,#115697); #78707=LINE('',#486503,#115698); #78708=LINE('',#486505,#115699); #78709=LINE('',#486506,#115700); #78710=LINE('',#486509,#115701); #78711=LINE('',#486511,#115702); #78712=LINE('',#486512,#115703); #78713=LINE('',#486515,#115704); #78714=LINE('',#486517,#115705); #78715=LINE('',#486518,#115706); #78716=LINE('',#486521,#115707); #78717=LINE('',#486523,#115708); #78718=LINE('',#486524,#115709); #78719=LINE('',#486527,#115710); #78720=LINE('',#486529,#115711); #78721=LINE('',#486530,#115712); #78722=LINE('',#486533,#115713); #78723=LINE('',#486535,#115714); #78724=LINE('',#486536,#115715); #78725=LINE('',#486539,#115716); #78726=LINE('',#486541,#115717); #78727=LINE('',#486542,#115718); #78728=LINE('',#486545,#115719); #78729=LINE('',#486547,#115720); #78730=LINE('',#486548,#115721); #78731=LINE('',#486551,#115722); #78732=LINE('',#486553,#115723); #78733=LINE('',#486554,#115724); #78734=LINE('',#486556,#115725); #78735=LINE('',#486557,#115726); #78736=LINE('',#486561,#115727); #78737=LINE('',#486563,#115728); #78738=LINE('',#486565,#115729); #78739=LINE('',#486566,#115730); #78740=LINE('',#486569,#115731); #78741=LINE('',#486571,#115732); #78742=LINE('',#486572,#115733); #78743=LINE('',#486575,#115734); #78744=LINE('',#486577,#115735); #78745=LINE('',#486578,#115736); #78746=LINE('',#486581,#115737); #78747=LINE('',#486583,#115738); #78748=LINE('',#486584,#115739); #78749=LINE('',#486587,#115740); #78750=LINE('',#486589,#115741); #78751=LINE('',#486590,#115742); #78752=LINE('',#486593,#115743); #78753=LINE('',#486595,#115744); #78754=LINE('',#486596,#115745); #78755=LINE('',#486599,#115746); #78756=LINE('',#486601,#115747); #78757=LINE('',#486602,#115748); #78758=LINE('',#486605,#115749); #78759=LINE('',#486607,#115750); #78760=LINE('',#486608,#115751); #78761=LINE('',#486611,#115752); #78762=LINE('',#486613,#115753); #78763=LINE('',#486614,#115754); #78764=LINE('',#486617,#115755); #78765=LINE('',#486619,#115756); #78766=LINE('',#486620,#115757); #78767=LINE('',#486623,#115758); #78768=LINE('',#486625,#115759); #78769=LINE('',#486626,#115760); #78770=LINE('',#486629,#115761); #78771=LINE('',#486631,#115762); #78772=LINE('',#486632,#115763); #78773=LINE('',#486635,#115764); #78774=LINE('',#486637,#115765); #78775=LINE('',#486638,#115766); #78776=LINE('',#486641,#115767); #78777=LINE('',#486643,#115768); #78778=LINE('',#486644,#115769); #78779=LINE('',#486647,#115770); #78780=LINE('',#486649,#115771); #78781=LINE('',#486650,#115772); #78782=LINE('',#486653,#115773); #78783=LINE('',#486655,#115774); #78784=LINE('',#486656,#115775); #78785=LINE('',#486659,#115776); #78786=LINE('',#486661,#115777); #78787=LINE('',#486662,#115778); #78788=LINE('',#486665,#115779); #78789=LINE('',#486667,#115780); #78790=LINE('',#486668,#115781); #78791=LINE('',#486671,#115782); #78792=LINE('',#486673,#115783); #78793=LINE('',#486674,#115784); #78794=LINE('',#486677,#115785); #78795=LINE('',#486679,#115786); #78796=LINE('',#486680,#115787); #78797=LINE('',#486683,#115788); #78798=LINE('',#486685,#115789); #78799=LINE('',#486686,#115790); #78800=LINE('',#486689,#115791); #78801=LINE('',#486691,#115792); #78802=LINE('',#486692,#115793); #78803=LINE('',#486695,#115794); #78804=LINE('',#486697,#115795); #78805=LINE('',#486698,#115796); #78806=LINE('',#486701,#115797); #78807=LINE('',#486703,#115798); #78808=LINE('',#486704,#115799); #78809=LINE('',#486707,#115800); #78810=LINE('',#486709,#115801); #78811=LINE('',#486710,#115802); #78812=LINE('',#486713,#115803); #78813=LINE('',#486715,#115804); #78814=LINE('',#486716,#115805); #78815=LINE('',#486719,#115806); #78816=LINE('',#486721,#115807); #78817=LINE('',#486722,#115808); #78818=LINE('',#486725,#115809); #78819=LINE('',#486727,#115810); #78820=LINE('',#486728,#115811); #78821=LINE('',#486731,#115812); #78822=LINE('',#486733,#115813); #78823=LINE('',#486734,#115814); #78824=LINE('',#486737,#115815); #78825=LINE('',#486739,#115816); #78826=LINE('',#486740,#115817); #78827=LINE('',#486743,#115818); #78828=LINE('',#486745,#115819); #78829=LINE('',#486746,#115820); #78830=LINE('',#486749,#115821); #78831=LINE('',#486751,#115822); #78832=LINE('',#486752,#115823); #78833=LINE('',#486755,#115824); #78834=LINE('',#486757,#115825); #78835=LINE('',#486758,#115826); #78836=LINE('',#486761,#115827); #78837=LINE('',#486763,#115828); #78838=LINE('',#486764,#115829); #78839=LINE('',#486767,#115830); #78840=LINE('',#486769,#115831); #78841=LINE('',#486770,#115832); #78842=LINE('',#486773,#115833); #78843=LINE('',#486775,#115834); #78844=LINE('',#486776,#115835); #78845=LINE('',#486779,#115836); #78846=LINE('',#486781,#115837); #78847=LINE('',#486782,#115838); #78848=LINE('',#486785,#115839); #78849=LINE('',#486787,#115840); #78850=LINE('',#486788,#115841); #78851=LINE('',#486791,#115842); #78852=LINE('',#486793,#115843); #78853=LINE('',#486794,#115844); #78854=LINE('',#486797,#115845); #78855=LINE('',#486799,#115846); #78856=LINE('',#486800,#115847); #78857=LINE('',#486803,#115848); #78858=LINE('',#486805,#115849); #78859=LINE('',#486806,#115850); #78860=LINE('',#486809,#115851); #78861=LINE('',#486811,#115852); #78862=LINE('',#486812,#115853); #78863=LINE('',#486815,#115854); #78864=LINE('',#486817,#115855); #78865=LINE('',#486818,#115856); #78866=LINE('',#486821,#115857); #78867=LINE('',#486823,#115858); #78868=LINE('',#486824,#115859); #78869=LINE('',#486827,#115860); #78870=LINE('',#486829,#115861); #78871=LINE('',#486830,#115862); #78872=LINE('',#486833,#115863); #78873=LINE('',#486835,#115864); #78874=LINE('',#486836,#115865); #78875=LINE('',#486839,#115866); #78876=LINE('',#486841,#115867); #78877=LINE('',#486842,#115868); #78878=LINE('',#486845,#115869); #78879=LINE('',#486847,#115870); #78880=LINE('',#486848,#115871); #78881=LINE('',#486851,#115872); #78882=LINE('',#486853,#115873); #78883=LINE('',#486854,#115874); #78884=LINE('',#486857,#115875); #78885=LINE('',#486859,#115876); #78886=LINE('',#486860,#115877); #78887=LINE('',#486863,#115878); #78888=LINE('',#486865,#115879); #78889=LINE('',#486866,#115880); #78890=LINE('',#486869,#115881); #78891=LINE('',#486871,#115882); #78892=LINE('',#486872,#115883); #78893=LINE('',#486875,#115884); #78894=LINE('',#486877,#115885); #78895=LINE('',#486878,#115886); #78896=LINE('',#486881,#115887); #78897=LINE('',#486883,#115888); #78898=LINE('',#486884,#115889); #78899=LINE('',#486890,#115890); #78900=LINE('',#486893,#115891); #78901=LINE('',#486895,#115892); #78902=LINE('',#486896,#115893); #78903=LINE('',#486899,#115894); #78904=LINE('',#486901,#115895); #78905=LINE('',#486902,#115896); #78906=LINE('',#486905,#115897); #78907=LINE('',#486907,#115898); #78908=LINE('',#486908,#115899); #78909=LINE('',#486914,#115900); #78910=LINE('',#486920,#115901); #78911=LINE('',#486923,#115902); #78912=LINE('',#486925,#115903); #78913=LINE('',#486926,#115904); #78914=LINE('',#486932,#115905); #78915=LINE('',#486935,#115906); #78916=LINE('',#486937,#115907); #78917=LINE('',#486938,#115908); #78918=LINE('',#486944,#115909); #78919=LINE('',#486947,#115910); #78920=LINE('',#486949,#115911); #78921=LINE('',#486950,#115912); #78922=LINE('',#486956,#115913); #78923=LINE('',#486959,#115914); #78924=LINE('',#486961,#115915); #78925=LINE('',#486962,#115916); #78926=LINE('',#486965,#115917); #78927=LINE('',#486967,#115918); #78928=LINE('',#486968,#115919); #78929=LINE('',#486971,#115920); #78930=LINE('',#486973,#115921); #78931=LINE('',#486974,#115922); #78932=LINE('',#486977,#115923); #78933=LINE('',#486979,#115924); #78934=LINE('',#486980,#115925); #78935=LINE('',#486983,#115926); #78936=LINE('',#486985,#115927); #78937=LINE('',#486986,#115928); #78938=LINE('',#486989,#115929); #78939=LINE('',#486991,#115930); #78940=LINE('',#486992,#115931); #78941=LINE('',#486995,#115932); #78942=LINE('',#486997,#115933); #78943=LINE('',#486998,#115934); #78944=LINE('',#487000,#115935); #78945=LINE('',#487001,#115936); #78946=LINE('',#487008,#115937); #78947=LINE('',#487013,#115938); #78948=LINE('',#487015,#115939); #78949=LINE('',#487017,#115940); #78950=LINE('',#487018,#115941); #78951=LINE('',#487021,#115942); #78952=LINE('',#487023,#115943); #78953=LINE('',#487024,#115944); #78954=LINE('',#487030,#115945); #78955=LINE('',#487033,#115946); #78956=LINE('',#487035,#115947); #78957=LINE('',#487036,#115948); #78958=LINE('',#487039,#115949); #78959=LINE('',#487041,#115950); #78960=LINE('',#487042,#115951); #78961=LINE('',#487045,#115952); #78962=LINE('',#487047,#115953); #78963=LINE('',#487048,#115954); #78964=LINE('',#487051,#115955); #78965=LINE('',#487053,#115956); #78966=LINE('',#487054,#115957); #78967=LINE('',#487057,#115958); #78968=LINE('',#487059,#115959); #78969=LINE('',#487060,#115960); #78970=LINE('',#487063,#115961); #78971=LINE('',#487065,#115962); #78972=LINE('',#487066,#115963); #78973=LINE('',#487069,#115964); #78974=LINE('',#487071,#115965); #78975=LINE('',#487072,#115966); #78976=LINE('',#487075,#115967); #78977=LINE('',#487077,#115968); #78978=LINE('',#487078,#115969); #78979=LINE('',#487081,#115970); #78980=LINE('',#487083,#115971); #78981=LINE('',#487084,#115972); #78982=LINE('',#487087,#115973); #78983=LINE('',#487089,#115974); #78984=LINE('',#487090,#115975); #78985=LINE('',#487093,#115976); #78986=LINE('',#487095,#115977); #78987=LINE('',#487096,#115978); #78988=LINE('',#487099,#115979); #78989=LINE('',#487101,#115980); #78990=LINE('',#487102,#115981); #78991=LINE('',#487105,#115982); #78992=LINE('',#487107,#115983); #78993=LINE('',#487108,#115984); #78994=LINE('',#487111,#115985); #78995=LINE('',#487113,#115986); #78996=LINE('',#487114,#115987); #78997=LINE('',#487117,#115988); #78998=LINE('',#487119,#115989); #78999=LINE('',#487120,#115990); #79000=LINE('',#487123,#115991); #79001=LINE('',#487125,#115992); #79002=LINE('',#487126,#115993); #79003=LINE('',#487129,#115994); #79004=LINE('',#487131,#115995); #79005=LINE('',#487132,#115996); #79006=LINE('',#487135,#115997); #79007=LINE('',#487137,#115998); #79008=LINE('',#487138,#115999); #79009=LINE('',#487141,#116000); #79010=LINE('',#487143,#116001); #79011=LINE('',#487144,#116002); #79012=LINE('',#487147,#116003); #79013=LINE('',#487149,#116004); #79014=LINE('',#487150,#116005); #79015=LINE('',#487156,#116006); #79016=LINE('',#487159,#116007); #79017=LINE('',#487161,#116008); #79018=LINE('',#487162,#116009); #79019=LINE('',#487165,#116010); #79020=LINE('',#487167,#116011); #79021=LINE('',#487168,#116012); #79022=LINE('',#487171,#116013); #79023=LINE('',#487173,#116014); #79024=LINE('',#487174,#116015); #79025=LINE('',#487180,#116016); #79026=LINE('',#487183,#116017); #79027=LINE('',#487185,#116018); #79028=LINE('',#487186,#116019); #79029=LINE('',#487189,#116020); #79030=LINE('',#487191,#116021); #79031=LINE('',#487192,#116022); #79032=LINE('',#487198,#116023); #79033=LINE('',#487201,#116024); #79034=LINE('',#487203,#116025); #79035=LINE('',#487204,#116026); #79036=LINE('',#487210,#116027); #79037=LINE('',#487216,#116028); #79038=LINE('',#487219,#116029); #79039=LINE('',#487221,#116030); #79040=LINE('',#487222,#116031); #79041=LINE('',#487225,#116032); #79042=LINE('',#487227,#116033); #79043=LINE('',#487228,#116034); #79044=LINE('',#487234,#116035); #79045=LINE('',#487237,#116036); #79046=LINE('',#487239,#116037); #79047=LINE('',#487240,#116038); #79048=LINE('',#487243,#116039); #79049=LINE('',#487245,#116040); #79050=LINE('',#487246,#116041); #79051=LINE('',#487252,#116042); #79052=LINE('',#487255,#116043); #79053=LINE('',#487257,#116044); #79054=LINE('',#487258,#116045); #79055=LINE('',#487264,#116046); #79056=LINE('',#487267,#116047); #79057=LINE('',#487269,#116048); #79058=LINE('',#487270,#116049); #79059=LINE('',#487273,#116050); #79060=LINE('',#487275,#116051); #79061=LINE('',#487276,#116052); #79062=LINE('',#487279,#116053); #79063=LINE('',#487281,#116054); #79064=LINE('',#487282,#116055); #79065=LINE('',#487285,#116056); #79066=LINE('',#487287,#116057); #79067=LINE('',#487288,#116058); #79068=LINE('',#487291,#116059); #79069=LINE('',#487293,#116060); #79070=LINE('',#487294,#116061); #79071=LINE('',#487304,#116062); #79072=LINE('',#487310,#116063); #79073=LINE('',#487316,#116064); #79074=LINE('',#487322,#116065); #79075=LINE('',#487328,#116066); #79076=LINE('',#487334,#116067); #79077=LINE('',#487340,#116068); #79078=LINE('',#487346,#116069); #79079=LINE('',#487352,#116070); #79080=LINE('',#487358,#116071); #79081=LINE('',#487364,#116072); #79082=LINE('',#487370,#116073); #79083=LINE('',#487375,#116074); #79084=LINE('',#487377,#116075); #79085=LINE('',#487379,#116076); #79086=LINE('',#487380,#116077); #79087=LINE('',#487383,#116078); #79088=LINE('',#487385,#116079); #79089=LINE('',#487386,#116080); #79090=LINE('',#487389,#116081); #79091=LINE('',#487391,#116082); #79092=LINE('',#487392,#116083); #79093=LINE('',#487395,#116084); #79094=LINE('',#487397,#116085); #79095=LINE('',#487398,#116086); #79096=LINE('',#487401,#116087); #79097=LINE('',#487403,#116088); #79098=LINE('',#487404,#116089); #79099=LINE('',#487407,#116090); #79100=LINE('',#487409,#116091); #79101=LINE('',#487410,#116092); #79102=LINE('',#487413,#116093); #79103=LINE('',#487415,#116094); #79104=LINE('',#487416,#116095); #79105=LINE('',#487419,#116096); #79106=LINE('',#487421,#116097); #79107=LINE('',#487422,#116098); #79108=LINE('',#487425,#116099); #79109=LINE('',#487427,#116100); #79110=LINE('',#487428,#116101); #79111=LINE('',#487431,#116102); #79112=LINE('',#487433,#116103); #79113=LINE('',#487434,#116104); #79114=LINE('',#487437,#116105); #79115=LINE('',#487439,#116106); #79116=LINE('',#487440,#116107); #79117=LINE('',#487443,#116108); #79118=LINE('',#487445,#116109); #79119=LINE('',#487446,#116110); #79120=LINE('',#487449,#116111); #79121=LINE('',#487451,#116112); #79122=LINE('',#487452,#116113); #79123=LINE('',#487455,#116114); #79124=LINE('',#487457,#116115); #79125=LINE('',#487458,#116116); #79126=LINE('',#487461,#116117); #79127=LINE('',#487463,#116118); #79128=LINE('',#487464,#116119); #79129=LINE('',#487467,#116120); #79130=LINE('',#487469,#116121); #79131=LINE('',#487470,#116122); #79132=LINE('',#487473,#116123); #79133=LINE('',#487475,#116124); #79134=LINE('',#487476,#116125); #79135=LINE('',#487479,#116126); #79136=LINE('',#487481,#116127); #79137=LINE('',#487482,#116128); #79138=LINE('',#487485,#116129); #79139=LINE('',#487487,#116130); #79140=LINE('',#487488,#116131); #79141=LINE('',#487491,#116132); #79142=LINE('',#487493,#116133); #79143=LINE('',#487494,#116134); #79144=LINE('',#487497,#116135); #79145=LINE('',#487499,#116136); #79146=LINE('',#487500,#116137); #79147=LINE('',#487503,#116138); #79148=LINE('',#487505,#116139); #79149=LINE('',#487506,#116140); #79150=LINE('',#487509,#116141); #79151=LINE('',#487511,#116142); #79152=LINE('',#487512,#116143); #79153=LINE('',#487515,#116144); #79154=LINE('',#487517,#116145); #79155=LINE('',#487518,#116146); #79156=LINE('',#487521,#116147); #79157=LINE('',#487523,#116148); #79158=LINE('',#487524,#116149); #79159=LINE('',#487527,#116150); #79160=LINE('',#487529,#116151); #79161=LINE('',#487530,#116152); #79162=LINE('',#487533,#116153); #79163=LINE('',#487535,#116154); #79164=LINE('',#487536,#116155); #79165=LINE('',#487539,#116156); #79166=LINE('',#487541,#116157); #79167=LINE('',#487542,#116158); #79168=LINE('',#487545,#116159); #79169=LINE('',#487547,#116160); #79170=LINE('',#487548,#116161); #79171=LINE('',#487551,#116162); #79172=LINE('',#487553,#116163); #79173=LINE('',#487554,#116164); #79174=LINE('',#487557,#116165); #79175=LINE('',#487559,#116166); #79176=LINE('',#487560,#116167); #79177=LINE('',#487563,#116168); #79178=LINE('',#487565,#116169); #79179=LINE('',#487566,#116170); #79180=LINE('',#487569,#116171); #79181=LINE('',#487571,#116172); #79182=LINE('',#487572,#116173); #79183=LINE('',#487575,#116174); #79184=LINE('',#487577,#116175); #79185=LINE('',#487578,#116176); #79186=LINE('',#487581,#116177); #79187=LINE('',#487583,#116178); #79188=LINE('',#487584,#116179); #79189=LINE('',#487587,#116180); #79190=LINE('',#487589,#116181); #79191=LINE('',#487590,#116182); #79192=LINE('',#487593,#116183); #79193=LINE('',#487595,#116184); #79194=LINE('',#487596,#116185); #79195=LINE('',#487599,#116186); #79196=LINE('',#487601,#116187); #79197=LINE('',#487602,#116188); #79198=LINE('',#487605,#116189); #79199=LINE('',#487607,#116190); #79200=LINE('',#487608,#116191); #79201=LINE('',#487611,#116192); #79202=LINE('',#487613,#116193); #79203=LINE('',#487614,#116194); #79204=LINE('',#487617,#116195); #79205=LINE('',#487619,#116196); #79206=LINE('',#487620,#116197); #79207=LINE('',#487623,#116198); #79208=LINE('',#487625,#116199); #79209=LINE('',#487626,#116200); #79210=LINE('',#487629,#116201); #79211=LINE('',#487631,#116202); #79212=LINE('',#487632,#116203); #79213=LINE('',#487635,#116204); #79214=LINE('',#487637,#116205); #79215=LINE('',#487638,#116206); #79216=LINE('',#487641,#116207); #79217=LINE('',#487643,#116208); #79218=LINE('',#487644,#116209); #79219=LINE('',#487647,#116210); #79220=LINE('',#487649,#116211); #79221=LINE('',#487650,#116212); #79222=LINE('',#487653,#116213); #79223=LINE('',#487655,#116214); #79224=LINE('',#487656,#116215); #79225=LINE('',#487659,#116216); #79226=LINE('',#487661,#116217); #79227=LINE('',#487662,#116218); #79228=LINE('',#487665,#116219); #79229=LINE('',#487667,#116220); #79230=LINE('',#487668,#116221); #79231=LINE('',#487671,#116222); #79232=LINE('',#487673,#116223); #79233=LINE('',#487674,#116224); #79234=LINE('',#487677,#116225); #79235=LINE('',#487679,#116226); #79236=LINE('',#487680,#116227); #79237=LINE('',#487683,#116228); #79238=LINE('',#487685,#116229); #79239=LINE('',#487686,#116230); #79240=LINE('',#487689,#116231); #79241=LINE('',#487691,#116232); #79242=LINE('',#487692,#116233); #79243=LINE('',#487695,#116234); #79244=LINE('',#487697,#116235); #79245=LINE('',#487698,#116236); #79246=LINE('',#487701,#116237); #79247=LINE('',#487703,#116238); #79248=LINE('',#487704,#116239); #79249=LINE('',#487707,#116240); #79250=LINE('',#487709,#116241); #79251=LINE('',#487710,#116242); #79252=LINE('',#487713,#116243); #79253=LINE('',#487715,#116244); #79254=LINE('',#487716,#116245); #79255=LINE('',#487719,#116246); #79256=LINE('',#487721,#116247); #79257=LINE('',#487722,#116248); #79258=LINE('',#487725,#116249); #79259=LINE('',#487727,#116250); #79260=LINE('',#487728,#116251); #79261=LINE('',#487731,#116252); #79262=LINE('',#487733,#116253); #79263=LINE('',#487734,#116254); #79264=LINE('',#487737,#116255); #79265=LINE('',#487739,#116256); #79266=LINE('',#487740,#116257); #79267=LINE('',#487743,#116258); #79268=LINE('',#487745,#116259); #79269=LINE('',#487746,#116260); #79270=LINE('',#487749,#116261); #79271=LINE('',#487751,#116262); #79272=LINE('',#487752,#116263); #79273=LINE('',#487755,#116264); #79274=LINE('',#487757,#116265); #79275=LINE('',#487758,#116266); #79276=LINE('',#487761,#116267); #79277=LINE('',#487763,#116268); #79278=LINE('',#487764,#116269); #79279=LINE('',#487767,#116270); #79280=LINE('',#487769,#116271); #79281=LINE('',#487770,#116272); #79282=LINE('',#487773,#116273); #79283=LINE('',#487775,#116274); #79284=LINE('',#487776,#116275); #79285=LINE('',#487779,#116276); #79286=LINE('',#487781,#116277); #79287=LINE('',#487782,#116278); #79288=LINE('',#487785,#116279); #79289=LINE('',#487787,#116280); #79290=LINE('',#487788,#116281); #79291=LINE('',#487791,#116282); #79292=LINE('',#487793,#116283); #79293=LINE('',#487794,#116284); #79294=LINE('',#487797,#116285); #79295=LINE('',#487799,#116286); #79296=LINE('',#487800,#116287); #79297=LINE('',#487803,#116288); #79298=LINE('',#487805,#116289); #79299=LINE('',#487806,#116290); #79300=LINE('',#487809,#116291); #79301=LINE('',#487811,#116292); #79302=LINE('',#487812,#116293); #79303=LINE('',#487815,#116294); #79304=LINE('',#487817,#116295); #79305=LINE('',#487818,#116296); #79306=LINE('',#487821,#116297); #79307=LINE('',#487823,#116298); #79308=LINE('',#487824,#116299); #79309=LINE('',#487827,#116300); #79310=LINE('',#487829,#116301); #79311=LINE('',#487830,#116302); #79312=LINE('',#487833,#116303); #79313=LINE('',#487835,#116304); #79314=LINE('',#487836,#116305); #79315=LINE('',#487839,#116306); #79316=LINE('',#487841,#116307); #79317=LINE('',#487842,#116308); #79318=LINE('',#487845,#116309); #79319=LINE('',#487847,#116310); #79320=LINE('',#487848,#116311); #79321=LINE('',#487851,#116312); #79322=LINE('',#487853,#116313); #79323=LINE('',#487854,#116314); #79324=LINE('',#487857,#116315); #79325=LINE('',#487859,#116316); #79326=LINE('',#487860,#116317); #79327=LINE('',#487863,#116318); #79328=LINE('',#487865,#116319); #79329=LINE('',#487866,#116320); #79330=LINE('',#487869,#116321); #79331=LINE('',#487871,#116322); #79332=LINE('',#487872,#116323); #79333=LINE('',#487875,#116324); #79334=LINE('',#487877,#116325); #79335=LINE('',#487878,#116326); #79336=LINE('',#487881,#116327); #79337=LINE('',#487883,#116328); #79338=LINE('',#487884,#116329); #79339=LINE('',#487887,#116330); #79340=LINE('',#487889,#116331); #79341=LINE('',#487890,#116332); #79342=LINE('',#487893,#116333); #79343=LINE('',#487895,#116334); #79344=LINE('',#487896,#116335); #79345=LINE('',#487899,#116336); #79346=LINE('',#487901,#116337); #79347=LINE('',#487902,#116338); #79348=LINE('',#487905,#116339); #79349=LINE('',#487907,#116340); #79350=LINE('',#487908,#116341); #79351=LINE('',#487911,#116342); #79352=LINE('',#487913,#116343); #79353=LINE('',#487914,#116344); #79354=LINE('',#487917,#116345); #79355=LINE('',#487919,#116346); #79356=LINE('',#487920,#116347); #79357=LINE('',#487923,#116348); #79358=LINE('',#487925,#116349); #79359=LINE('',#487926,#116350); #79360=LINE('',#487929,#116351); #79361=LINE('',#487931,#116352); #79362=LINE('',#487932,#116353); #79363=LINE('',#487935,#116354); #79364=LINE('',#487937,#116355); #79365=LINE('',#487938,#116356); #79366=LINE('',#487941,#116357); #79367=LINE('',#487943,#116358); #79368=LINE('',#487944,#116359); #79369=LINE('',#487947,#116360); #79370=LINE('',#487949,#116361); #79371=LINE('',#487950,#116362); #79372=LINE('',#487952,#116363); #79373=LINE('',#487953,#116364); #79374=LINE('',#487959,#116365); #79375=LINE('',#487961,#116366); #79376=LINE('',#487963,#116367); #79377=LINE('',#487964,#116368); #79378=LINE('',#487967,#116369); #79379=LINE('',#487969,#116370); #79380=LINE('',#487970,#116371); #79381=LINE('',#487973,#116372); #79382=LINE('',#487975,#116373); #79383=LINE('',#487976,#116374); #79384=LINE('',#487979,#116375); #79385=LINE('',#487981,#116376); #79386=LINE('',#487982,#116377); #79387=LINE('',#487985,#116378); #79388=LINE('',#487987,#116379); #79389=LINE('',#487988,#116380); #79390=LINE('',#487991,#116381); #79391=LINE('',#487993,#116382); #79392=LINE('',#487994,#116383); #79393=LINE('',#487997,#116384); #79394=LINE('',#487999,#116385); #79395=LINE('',#488000,#116386); #79396=LINE('',#488003,#116387); #79397=LINE('',#488005,#116388); #79398=LINE('',#488006,#116389); #79399=LINE('',#488009,#116390); #79400=LINE('',#488011,#116391); #79401=LINE('',#488012,#116392); #79402=LINE('',#488015,#116393); #79403=LINE('',#488017,#116394); #79404=LINE('',#488018,#116395); #79405=LINE('',#488021,#116396); #79406=LINE('',#488023,#116397); #79407=LINE('',#488024,#116398); #79408=LINE('',#488027,#116399); #79409=LINE('',#488029,#116400); #79410=LINE('',#488030,#116401); #79411=LINE('',#488033,#116402); #79412=LINE('',#488035,#116403); #79413=LINE('',#488036,#116404); #79414=LINE('',#488039,#116405); #79415=LINE('',#488041,#116406); #79416=LINE('',#488042,#116407); #79417=LINE('',#488045,#116408); #79418=LINE('',#488047,#116409); #79419=LINE('',#488048,#116410); #79420=LINE('',#488051,#116411); #79421=LINE('',#488053,#116412); #79422=LINE('',#488054,#116413); #79423=LINE('',#488057,#116414); #79424=LINE('',#488059,#116415); #79425=LINE('',#488060,#116416); #79426=LINE('',#488063,#116417); #79427=LINE('',#488065,#116418); #79428=LINE('',#488066,#116419); #79429=LINE('',#488069,#116420); #79430=LINE('',#488071,#116421); #79431=LINE('',#488072,#116422); #79432=LINE('',#488075,#116423); #79433=LINE('',#488077,#116424); #79434=LINE('',#488078,#116425); #79435=LINE('',#488080,#116426); #79436=LINE('',#488081,#116427); #79437=LINE('',#488087,#116428); #79438=LINE('',#488089,#116429); #79439=LINE('',#488091,#116430); #79440=LINE('',#488092,#116431); #79441=LINE('',#488095,#116432); #79442=LINE('',#488097,#116433); #79443=LINE('',#488098,#116434); #79444=LINE('',#488101,#116435); #79445=LINE('',#488103,#116436); #79446=LINE('',#488104,#116437); #79447=LINE('',#488107,#116438); #79448=LINE('',#488109,#116439); #79449=LINE('',#488110,#116440); #79450=LINE('',#488113,#116441); #79451=LINE('',#488115,#116442); #79452=LINE('',#488116,#116443); #79453=LINE('',#488119,#116444); #79454=LINE('',#488121,#116445); #79455=LINE('',#488122,#116446); #79456=LINE('',#488125,#116447); #79457=LINE('',#488127,#116448); #79458=LINE('',#488128,#116449); #79459=LINE('',#488131,#116450); #79460=LINE('',#488133,#116451); #79461=LINE('',#488134,#116452); #79462=LINE('',#488137,#116453); #79463=LINE('',#488139,#116454); #79464=LINE('',#488140,#116455); #79465=LINE('',#488143,#116456); #79466=LINE('',#488145,#116457); #79467=LINE('',#488146,#116458); #79468=LINE('',#488149,#116459); #79469=LINE('',#488151,#116460); #79470=LINE('',#488152,#116461); #79471=LINE('',#488155,#116462); #79472=LINE('',#488157,#116463); #79473=LINE('',#488158,#116464); #79474=LINE('',#488161,#116465); #79475=LINE('',#488163,#116466); #79476=LINE('',#488164,#116467); #79477=LINE('',#488167,#116468); #79478=LINE('',#488169,#116469); #79479=LINE('',#488170,#116470); #79480=LINE('',#488173,#116471); #79481=LINE('',#488175,#116472); #79482=LINE('',#488176,#116473); #79483=LINE('',#488179,#116474); #79484=LINE('',#488181,#116475); #79485=LINE('',#488182,#116476); #79486=LINE('',#488185,#116477); #79487=LINE('',#488187,#116478); #79488=LINE('',#488188,#116479); #79489=LINE('',#488191,#116480); #79490=LINE('',#488193,#116481); #79491=LINE('',#488194,#116482); #79492=LINE('',#488197,#116483); #79493=LINE('',#488199,#116484); #79494=LINE('',#488200,#116485); #79495=LINE('',#488202,#116486); #79496=LINE('',#488203,#116487); #79497=LINE('',#488209,#116488); #79498=LINE('',#488211,#116489); #79499=LINE('',#488213,#116490); #79500=LINE('',#488214,#116491); #79501=LINE('',#488217,#116492); #79502=LINE('',#488219,#116493); #79503=LINE('',#488220,#116494); #79504=LINE('',#488223,#116495); #79505=LINE('',#488225,#116496); #79506=LINE('',#488226,#116497); #79507=LINE('',#488229,#116498); #79508=LINE('',#488231,#116499); #79509=LINE('',#488232,#116500); #79510=LINE('',#488235,#116501); #79511=LINE('',#488237,#116502); #79512=LINE('',#488238,#116503); #79513=LINE('',#488241,#116504); #79514=LINE('',#488243,#116505); #79515=LINE('',#488244,#116506); #79516=LINE('',#488247,#116507); #79517=LINE('',#488249,#116508); #79518=LINE('',#488250,#116509); #79519=LINE('',#488253,#116510); #79520=LINE('',#488255,#116511); #79521=LINE('',#488256,#116512); #79522=LINE('',#488259,#116513); #79523=LINE('',#488261,#116514); #79524=LINE('',#488262,#116515); #79525=LINE('',#488265,#116516); #79526=LINE('',#488267,#116517); #79527=LINE('',#488268,#116518); #79528=LINE('',#488271,#116519); #79529=LINE('',#488273,#116520); #79530=LINE('',#488274,#116521); #79531=LINE('',#488277,#116522); #79532=LINE('',#488279,#116523); #79533=LINE('',#488280,#116524); #79534=LINE('',#488283,#116525); #79535=LINE('',#488285,#116526); #79536=LINE('',#488286,#116527); #79537=LINE('',#488289,#116528); #79538=LINE('',#488291,#116529); #79539=LINE('',#488292,#116530); #79540=LINE('',#488295,#116531); #79541=LINE('',#488297,#116532); #79542=LINE('',#488298,#116533); #79543=LINE('',#488301,#116534); #79544=LINE('',#488303,#116535); #79545=LINE('',#488304,#116536); #79546=LINE('',#488307,#116537); #79547=LINE('',#488309,#116538); #79548=LINE('',#488310,#116539); #79549=LINE('',#488313,#116540); #79550=LINE('',#488315,#116541); #79551=LINE('',#488316,#116542); #79552=LINE('',#488319,#116543); #79553=LINE('',#488321,#116544); #79554=LINE('',#488322,#116545); #79555=LINE('',#488325,#116546); #79556=LINE('',#488327,#116547); #79557=LINE('',#488328,#116548); #79558=LINE('',#488331,#116549); #79559=LINE('',#488333,#116550); #79560=LINE('',#488334,#116551); #79561=LINE('',#488337,#116552); #79562=LINE('',#488339,#116553); #79563=LINE('',#488340,#116554); #79564=LINE('',#488343,#116555); #79565=LINE('',#488345,#116556); #79566=LINE('',#488346,#116557); #79567=LINE('',#488348,#116558); #79568=LINE('',#488349,#116559); #79569=LINE('',#488355,#116560); #79570=LINE('',#488357,#116561); #79571=LINE('',#488359,#116562); #79572=LINE('',#488360,#116563); #79573=LINE('',#488363,#116564); #79574=LINE('',#488365,#116565); #79575=LINE('',#488366,#116566); #79576=LINE('',#488369,#116567); #79577=LINE('',#488371,#116568); #79578=LINE('',#488372,#116569); #79579=LINE('',#488375,#116570); #79580=LINE('',#488377,#116571); #79581=LINE('',#488378,#116572); #79582=LINE('',#488381,#116573); #79583=LINE('',#488383,#116574); #79584=LINE('',#488384,#116575); #79585=LINE('',#488387,#116576); #79586=LINE('',#488389,#116577); #79587=LINE('',#488390,#116578); #79588=LINE('',#488393,#116579); #79589=LINE('',#488395,#116580); #79590=LINE('',#488396,#116581); #79591=LINE('',#488399,#116582); #79592=LINE('',#488401,#116583); #79593=LINE('',#488402,#116584); #79594=LINE('',#488405,#116585); #79595=LINE('',#488407,#116586); #79596=LINE('',#488408,#116587); #79597=LINE('',#488411,#116588); #79598=LINE('',#488413,#116589); #79599=LINE('',#488414,#116590); #79600=LINE('',#488417,#116591); #79601=LINE('',#488419,#116592); #79602=LINE('',#488420,#116593); #79603=LINE('',#488423,#116594); #79604=LINE('',#488425,#116595); #79605=LINE('',#488426,#116596); #79606=LINE('',#488429,#116597); #79607=LINE('',#488431,#116598); #79608=LINE('',#488432,#116599); #79609=LINE('',#488435,#116600); #79610=LINE('',#488437,#116601); #79611=LINE('',#488438,#116602); #79612=LINE('',#488441,#116603); #79613=LINE('',#488443,#116604); #79614=LINE('',#488444,#116605); #79615=LINE('',#488447,#116606); #79616=LINE('',#488449,#116607); #79617=LINE('',#488450,#116608); #79618=LINE('',#488453,#116609); #79619=LINE('',#488455,#116610); #79620=LINE('',#488456,#116611); #79621=LINE('',#488459,#116612); #79622=LINE('',#488461,#116613); #79623=LINE('',#488462,#116614); #79624=LINE('',#488465,#116615); #79625=LINE('',#488467,#116616); #79626=LINE('',#488468,#116617); #79627=LINE('',#488471,#116618); #79628=LINE('',#488473,#116619); #79629=LINE('',#488474,#116620); #79630=LINE('',#488476,#116621); #79631=LINE('',#488477,#116622); #79632=LINE('',#488483,#116623); #79633=LINE('',#488485,#116624); #79634=LINE('',#488487,#116625); #79635=LINE('',#488488,#116626); #79636=LINE('',#488491,#116627); #79637=LINE('',#488493,#116628); #79638=LINE('',#488494,#116629); #79639=LINE('',#488497,#116630); #79640=LINE('',#488499,#116631); #79641=LINE('',#488500,#116632); #79642=LINE('',#488503,#116633); #79643=LINE('',#488505,#116634); #79644=LINE('',#488506,#116635); #79645=LINE('',#488509,#116636); #79646=LINE('',#488511,#116637); #79647=LINE('',#488512,#116638); #79648=LINE('',#488515,#116639); #79649=LINE('',#488517,#116640); #79650=LINE('',#488518,#116641); #79651=LINE('',#488521,#116642); #79652=LINE('',#488523,#116643); #79653=LINE('',#488524,#116644); #79654=LINE('',#488527,#116645); #79655=LINE('',#488529,#116646); #79656=LINE('',#488530,#116647); #79657=LINE('',#488533,#116648); #79658=LINE('',#488535,#116649); #79659=LINE('',#488536,#116650); #79660=LINE('',#488539,#116651); #79661=LINE('',#488541,#116652); #79662=LINE('',#488542,#116653); #79663=LINE('',#488545,#116654); #79664=LINE('',#488547,#116655); #79665=LINE('',#488548,#116656); #79666=LINE('',#488551,#116657); #79667=LINE('',#488553,#116658); #79668=LINE('',#488554,#116659); #79669=LINE('',#488557,#116660); #79670=LINE('',#488559,#116661); #79671=LINE('',#488560,#116662); #79672=LINE('',#488563,#116663); #79673=LINE('',#488565,#116664); #79674=LINE('',#488566,#116665); #79675=LINE('',#488569,#116666); #79676=LINE('',#488571,#116667); #79677=LINE('',#488572,#116668); #79678=LINE('',#488575,#116669); #79679=LINE('',#488577,#116670); #79680=LINE('',#488578,#116671); #79681=LINE('',#488581,#116672); #79682=LINE('',#488583,#116673); #79683=LINE('',#488584,#116674); #79684=LINE('',#488587,#116675); #79685=LINE('',#488589,#116676); #79686=LINE('',#488590,#116677); #79687=LINE('',#488593,#116678); #79688=LINE('',#488595,#116679); #79689=LINE('',#488596,#116680); #79690=LINE('',#488599,#116681); #79691=LINE('',#488601,#116682); #79692=LINE('',#488602,#116683); #79693=LINE('',#488605,#116684); #79694=LINE('',#488607,#116685); #79695=LINE('',#488608,#116686); #79696=LINE('',#488611,#116687); #79697=LINE('',#488613,#116688); #79698=LINE('',#488614,#116689); #79699=LINE('',#488617,#116690); #79700=LINE('',#488619,#116691); #79701=LINE('',#488620,#116692); #79702=LINE('',#488623,#116693); #79703=LINE('',#488625,#116694); #79704=LINE('',#488626,#116695); #79705=LINE('',#488629,#116696); #79706=LINE('',#488631,#116697); #79707=LINE('',#488632,#116698); #79708=LINE('',#488635,#116699); #79709=LINE('',#488637,#116700); #79710=LINE('',#488638,#116701); #79711=LINE('',#488641,#116702); #79712=LINE('',#488643,#116703); #79713=LINE('',#488644,#116704); #79714=LINE('',#488647,#116705); #79715=LINE('',#488649,#116706); #79716=LINE('',#488650,#116707); #79717=LINE('',#488653,#116708); #79718=LINE('',#488655,#116709); #79719=LINE('',#488656,#116710); #79720=LINE('',#488659,#116711); #79721=LINE('',#488661,#116712); #79722=LINE('',#488662,#116713); #79723=LINE('',#488665,#116714); #79724=LINE('',#488667,#116715); #79725=LINE('',#488668,#116716); #79726=LINE('',#488671,#116717); #79727=LINE('',#488673,#116718); #79728=LINE('',#488674,#116719); #79729=LINE('',#488677,#116720); #79730=LINE('',#488679,#116721); #79731=LINE('',#488680,#116722); #79732=LINE('',#488683,#116723); #79733=LINE('',#488685,#116724); #79734=LINE('',#488686,#116725); #79735=LINE('',#488688,#116726); #79736=LINE('',#488689,#116727); #79737=LINE('',#488695,#116728); #79738=LINE('',#488697,#116729); #79739=LINE('',#488699,#116730); #79740=LINE('',#488700,#116731); #79741=LINE('',#488703,#116732); #79742=LINE('',#488705,#116733); #79743=LINE('',#488706,#116734); #79744=LINE('',#488709,#116735); #79745=LINE('',#488711,#116736); #79746=LINE('',#488712,#116737); #79747=LINE('',#488715,#116738); #79748=LINE('',#488717,#116739); #79749=LINE('',#488718,#116740); #79750=LINE('',#488721,#116741); #79751=LINE('',#488723,#116742); #79752=LINE('',#488724,#116743); #79753=LINE('',#488727,#116744); #79754=LINE('',#488729,#116745); #79755=LINE('',#488730,#116746); #79756=LINE('',#488733,#116747); #79757=LINE('',#488735,#116748); #79758=LINE('',#488736,#116749); #79759=LINE('',#488739,#116750); #79760=LINE('',#488741,#116751); #79761=LINE('',#488742,#116752); #79762=LINE('',#488745,#116753); #79763=LINE('',#488747,#116754); #79764=LINE('',#488748,#116755); #79765=LINE('',#488751,#116756); #79766=LINE('',#488753,#116757); #79767=LINE('',#488754,#116758); #79768=LINE('',#488757,#116759); #79769=LINE('',#488759,#116760); #79770=LINE('',#488760,#116761); #79771=LINE('',#488763,#116762); #79772=LINE('',#488765,#116763); #79773=LINE('',#488766,#116764); #79774=LINE('',#488769,#116765); #79775=LINE('',#488771,#116766); #79776=LINE('',#488772,#116767); #79777=LINE('',#488775,#116768); #79778=LINE('',#488777,#116769); #79779=LINE('',#488778,#116770); #79780=LINE('',#488781,#116771); #79781=LINE('',#488783,#116772); #79782=LINE('',#488784,#116773); #79783=LINE('',#488787,#116774); #79784=LINE('',#488789,#116775); #79785=LINE('',#488790,#116776); #79786=LINE('',#488793,#116777); #79787=LINE('',#488795,#116778); #79788=LINE('',#488796,#116779); #79789=LINE('',#488799,#116780); #79790=LINE('',#488801,#116781); #79791=LINE('',#488802,#116782); #79792=LINE('',#488805,#116783); #79793=LINE('',#488807,#116784); #79794=LINE('',#488808,#116785); #79795=LINE('',#488811,#116786); #79796=LINE('',#488813,#116787); #79797=LINE('',#488814,#116788); #79798=LINE('',#488816,#116789); #79799=LINE('',#488817,#116790); #79800=LINE('',#488823,#116791); #79801=LINE('',#488825,#116792); #79802=LINE('',#488827,#116793); #79803=LINE('',#488828,#116794); #79804=LINE('',#488831,#116795); #79805=LINE('',#488833,#116796); #79806=LINE('',#488834,#116797); #79807=LINE('',#488837,#116798); #79808=LINE('',#488839,#116799); #79809=LINE('',#488840,#116800); #79810=LINE('',#488843,#116801); #79811=LINE('',#488845,#116802); #79812=LINE('',#488846,#116803); #79813=LINE('',#488849,#116804); #79814=LINE('',#488851,#116805); #79815=LINE('',#488852,#116806); #79816=LINE('',#488855,#116807); #79817=LINE('',#488857,#116808); #79818=LINE('',#488858,#116809); #79819=LINE('',#488861,#116810); #79820=LINE('',#488863,#116811); #79821=LINE('',#488864,#116812); #79822=LINE('',#488867,#116813); #79823=LINE('',#488869,#116814); #79824=LINE('',#488870,#116815); #79825=LINE('',#488873,#116816); #79826=LINE('',#488875,#116817); #79827=LINE('',#488876,#116818); #79828=LINE('',#488879,#116819); #79829=LINE('',#488881,#116820); #79830=LINE('',#488882,#116821); #79831=LINE('',#488885,#116822); #79832=LINE('',#488887,#116823); #79833=LINE('',#488888,#116824); #79834=LINE('',#488891,#116825); #79835=LINE('',#488893,#116826); #79836=LINE('',#488894,#116827); #79837=LINE('',#488897,#116828); #79838=LINE('',#488899,#116829); #79839=LINE('',#488900,#116830); #79840=LINE('',#488903,#116831); #79841=LINE('',#488905,#116832); #79842=LINE('',#488906,#116833); #79843=LINE('',#488909,#116834); #79844=LINE('',#488911,#116835); #79845=LINE('',#488912,#116836); #79846=LINE('',#488915,#116837); #79847=LINE('',#488917,#116838); #79848=LINE('',#488918,#116839); #79849=LINE('',#488921,#116840); #79850=LINE('',#488923,#116841); #79851=LINE('',#488924,#116842); #79852=LINE('',#488927,#116843); #79853=LINE('',#488929,#116844); #79854=LINE('',#488930,#116845); #79855=LINE('',#488933,#116846); #79856=LINE('',#488935,#116847); #79857=LINE('',#488936,#116848); #79858=LINE('',#488939,#116849); #79859=LINE('',#488941,#116850); #79860=LINE('',#488942,#116851); #79861=LINE('',#488945,#116852); #79862=LINE('',#488947,#116853); #79863=LINE('',#488948,#116854); #79864=LINE('',#488951,#116855); #79865=LINE('',#488953,#116856); #79866=LINE('',#488954,#116857); #79867=LINE('',#488957,#116858); #79868=LINE('',#488959,#116859); #79869=LINE('',#488960,#116860); #79870=LINE('',#488963,#116861); #79871=LINE('',#488965,#116862); #79872=LINE('',#488966,#116863); #79873=LINE('',#488969,#116864); #79874=LINE('',#488971,#116865); #79875=LINE('',#488972,#116866); #79876=LINE('',#488975,#116867); #79877=LINE('',#488977,#116868); #79878=LINE('',#488978,#116869); #79879=LINE('',#488981,#116870); #79880=LINE('',#488983,#116871); #79881=LINE('',#488984,#116872); #79882=LINE('',#488987,#116873); #79883=LINE('',#488989,#116874); #79884=LINE('',#488990,#116875); #79885=LINE('',#488993,#116876); #79886=LINE('',#488995,#116877); #79887=LINE('',#488996,#116878); #79888=LINE('',#488999,#116879); #79889=LINE('',#489001,#116880); #79890=LINE('',#489002,#116881); #79891=LINE('',#489004,#116882); #79892=LINE('',#489005,#116883); #79893=LINE('',#489011,#116884); #79894=LINE('',#489013,#116885); #79895=LINE('',#489015,#116886); #79896=LINE('',#489016,#116887); #79897=LINE('',#489019,#116888); #79898=LINE('',#489021,#116889); #79899=LINE('',#489022,#116890); #79900=LINE('',#489025,#116891); #79901=LINE('',#489027,#116892); #79902=LINE('',#489028,#116893); #79903=LINE('',#489031,#116894); #79904=LINE('',#489033,#116895); #79905=LINE('',#489034,#116896); #79906=LINE('',#489037,#116897); #79907=LINE('',#489039,#116898); #79908=LINE('',#489040,#116899); #79909=LINE('',#489043,#116900); #79910=LINE('',#489045,#116901); #79911=LINE('',#489046,#116902); #79912=LINE('',#489049,#116903); #79913=LINE('',#489051,#116904); #79914=LINE('',#489052,#116905); #79915=LINE('',#489055,#116906); #79916=LINE('',#489057,#116907); #79917=LINE('',#489058,#116908); #79918=LINE('',#489061,#116909); #79919=LINE('',#489063,#116910); #79920=LINE('',#489064,#116911); #79921=LINE('',#489067,#116912); #79922=LINE('',#489069,#116913); #79923=LINE('',#489070,#116914); #79924=LINE('',#489073,#116915); #79925=LINE('',#489075,#116916); #79926=LINE('',#489076,#116917); #79927=LINE('',#489079,#116918); #79928=LINE('',#489081,#116919); #79929=LINE('',#489082,#116920); #79930=LINE('',#489085,#116921); #79931=LINE('',#489087,#116922); #79932=LINE('',#489088,#116923); #79933=LINE('',#489091,#116924); #79934=LINE('',#489093,#116925); #79935=LINE('',#489094,#116926); #79936=LINE('',#489097,#116927); #79937=LINE('',#489099,#116928); #79938=LINE('',#489100,#116929); #79939=LINE('',#489103,#116930); #79940=LINE('',#489105,#116931); #79941=LINE('',#489106,#116932); #79942=LINE('',#489109,#116933); #79943=LINE('',#489111,#116934); #79944=LINE('',#489112,#116935); #79945=LINE('',#489115,#116936); #79946=LINE('',#489117,#116937); #79947=LINE('',#489118,#116938); #79948=LINE('',#489121,#116939); #79949=LINE('',#489123,#116940); #79950=LINE('',#489124,#116941); #79951=LINE('',#489127,#116942); #79952=LINE('',#489129,#116943); #79953=LINE('',#489130,#116944); #79954=LINE('',#489133,#116945); #79955=LINE('',#489135,#116946); #79956=LINE('',#489136,#116947); #79957=LINE('',#489138,#116948); #79958=LINE('',#489139,#116949); #79959=LINE('',#489145,#116950); #79960=LINE('',#489147,#116951); #79961=LINE('',#489149,#116952); #79962=LINE('',#489150,#116953); #79963=LINE('',#489153,#116954); #79964=LINE('',#489155,#116955); #79965=LINE('',#489156,#116956); #79966=LINE('',#489159,#116957); #79967=LINE('',#489161,#116958); #79968=LINE('',#489162,#116959); #79969=LINE('',#489165,#116960); #79970=LINE('',#489167,#116961); #79971=LINE('',#489168,#116962); #79972=LINE('',#489171,#116963); #79973=LINE('',#489173,#116964); #79974=LINE('',#489174,#116965); #79975=LINE('',#489177,#116966); #79976=LINE('',#489179,#116967); #79977=LINE('',#489180,#116968); #79978=LINE('',#489183,#116969); #79979=LINE('',#489185,#116970); #79980=LINE('',#489186,#116971); #79981=LINE('',#489189,#116972); #79982=LINE('',#489191,#116973); #79983=LINE('',#489192,#116974); #79984=LINE('',#489195,#116975); #79985=LINE('',#489197,#116976); #79986=LINE('',#489198,#116977); #79987=LINE('',#489201,#116978); #79988=LINE('',#489203,#116979); #79989=LINE('',#489204,#116980); #79990=LINE('',#489207,#116981); #79991=LINE('',#489209,#116982); #79992=LINE('',#489210,#116983); #79993=LINE('',#489213,#116984); #79994=LINE('',#489215,#116985); #79995=LINE('',#489216,#116986); #79996=LINE('',#489219,#116987); #79997=LINE('',#489221,#116988); #79998=LINE('',#489222,#116989); #79999=LINE('',#489225,#116990); #80000=LINE('',#489227,#116991); #80001=LINE('',#489228,#116992); #80002=LINE('',#489231,#116993); #80003=LINE('',#489233,#116994); #80004=LINE('',#489234,#116995); #80005=LINE('',#489237,#116996); #80006=LINE('',#489239,#116997); #80007=LINE('',#489240,#116998); #80008=LINE('',#489243,#116999); #80009=LINE('',#489245,#117000); #80010=LINE('',#489246,#117001); #80011=LINE('',#489249,#117002); #80012=LINE('',#489251,#117003); #80013=LINE('',#489252,#117004); #80014=LINE('',#489255,#117005); #80015=LINE('',#489257,#117006); #80016=LINE('',#489258,#117007); #80017=LINE('',#489260,#117008); #80018=LINE('',#489261,#117009); #80019=LINE('',#489268,#117010); #80020=LINE('',#489274,#117011); #80021=LINE('',#489280,#117012); #80022=LINE('',#489286,#117013); #80023=LINE('',#489292,#117014); #80024=LINE('',#489298,#117015); #80025=LINE('',#489304,#117016); #80026=LINE('',#489310,#117017); #80027=LINE('',#489316,#117018); #80028=LINE('',#489322,#117019); #80029=LINE('',#489328,#117020); #80030=LINE('',#489334,#117021); #80031=LINE('',#489340,#117022); #80032=LINE('',#489346,#117023); #80033=LINE('',#489352,#117024); #80034=LINE('',#489358,#117025); #80035=LINE('',#489364,#117026); #80036=LINE('',#489370,#117027); #80037=LINE('',#489376,#117028); #80038=LINE('',#489382,#117029); #80039=LINE('',#489388,#117030); #80040=LINE('',#489394,#117031); #80041=LINE('',#489400,#117032); #80042=LINE('',#489406,#117033); #80043=LINE('',#489412,#117034); #80044=LINE('',#489418,#117035); #80045=LINE('',#489424,#117036); #80046=LINE('',#489430,#117037); #80047=LINE('',#489436,#117038); #80048=LINE('',#489442,#117039); #80049=LINE('',#489448,#117040); #80050=LINE('',#489454,#117041); #80051=LINE('',#489460,#117042); #80052=LINE('',#489466,#117043); #80053=LINE('',#489472,#117044); #80054=LINE('',#489478,#117045); #80055=LINE('',#489484,#117046); #80056=LINE('',#489490,#117047); #80057=LINE('',#489496,#117048); #80058=LINE('',#489502,#117049); #80059=LINE('',#489508,#117050); #80060=LINE('',#489514,#117051); #80061=LINE('',#489520,#117052); #80062=LINE('',#489526,#117053); #80063=LINE('',#489532,#117054); #80064=LINE('',#489538,#117055); #80065=LINE('',#489544,#117056); #80066=LINE('',#489550,#117057); #80067=LINE('',#489556,#117058); #80068=LINE('',#489562,#117059); #80069=LINE('',#489568,#117060); #80070=LINE('',#489574,#117061); #80071=LINE('',#489580,#117062); #80072=LINE('',#489586,#117063); #80073=LINE('',#489592,#117064); #80074=LINE('',#489598,#117065); #80075=LINE('',#489604,#117066); #80076=LINE('',#489610,#117067); #80077=LINE('',#489616,#117068); #80078=LINE('',#489622,#117069); #80079=LINE('',#489628,#117070); #80080=LINE('',#489634,#117071); #80081=LINE('',#489640,#117072); #80082=LINE('',#489646,#117073); #80083=LINE('',#489651,#117074); #80084=LINE('',#489653,#117075); #80085=LINE('',#489655,#117076); #80086=LINE('',#489656,#117077); #80087=LINE('',#489662,#117078); #80088=LINE('',#489665,#117079); #80089=LINE('',#489667,#117080); #80090=LINE('',#489668,#117081); #80091=LINE('',#489675,#117082); #80092=LINE('',#489677,#117083); #80093=LINE('',#489679,#117084); #80094=LINE('',#489680,#117085); #80095=LINE('',#489686,#117086); #80096=LINE('',#489689,#117087); #80097=LINE('',#489691,#117088); #80098=LINE('',#489692,#117089); #80099=LINE('',#489700,#117090); #80100=LINE('',#489706,#117091); #80101=LINE('',#489711,#117092); #80102=LINE('',#489713,#117093); #80103=LINE('',#489715,#117094); #80104=LINE('',#489716,#117095); #80105=LINE('',#489722,#117096); #80106=LINE('',#489725,#117097); #80107=LINE('',#489727,#117098); #80108=LINE('',#489728,#117099); #80109=LINE('',#489736,#117100); #80110=LINE('',#489742,#117101); #80111=LINE('',#489748,#117102); #80112=LINE('',#489754,#117103); #80113=LINE('',#489760,#117104); #80114=LINE('',#489765,#117105); #80115=LINE('',#489767,#117106); #80116=LINE('',#489769,#117107); #80117=LINE('',#489770,#117108); #80118=LINE('',#489776,#117109); #80119=LINE('',#489779,#117110); #80120=LINE('',#489781,#117111); #80121=LINE('',#489782,#117112); #80122=LINE('',#489790,#117113); #80123=LINE('',#489795,#117114); #80124=LINE('',#489797,#117115); #80125=LINE('',#489799,#117116); #80126=LINE('',#489800,#117117); #80127=LINE('',#489806,#117118); #80128=LINE('',#489809,#117119); #80129=LINE('',#489811,#117120); #80130=LINE('',#489812,#117121); #80131=LINE('',#489819,#117122); #80132=LINE('',#489821,#117123); #80133=LINE('',#489823,#117124); #80134=LINE('',#489824,#117125); #80135=LINE('',#489830,#117126); #80136=LINE('',#489833,#117127); #80137=LINE('',#489835,#117128); #80138=LINE('',#489836,#117129); #80139=LINE('',#489844,#117130); #80140=LINE('',#489850,#117131); #80141=LINE('',#489856,#117132); #80142=LINE('',#489862,#117133); #80143=LINE('',#489868,#117134); #80144=LINE('',#489874,#117135); #80145=LINE('',#489880,#117136); #80146=LINE('',#489886,#117137); #80147=LINE('',#489892,#117138); #80148=LINE('',#489898,#117139); #80149=LINE('',#489904,#117140); #80150=LINE('',#489910,#117141); #80151=LINE('',#489916,#117142); #80152=LINE('',#489922,#117143); #80153=LINE('',#489928,#117144); #80154=LINE('',#489934,#117145); #80155=LINE('',#489940,#117146); #80156=LINE('',#489946,#117147); #80157=LINE('',#489952,#117148); #80158=LINE('',#489958,#117149); #80159=LINE('',#489964,#117150); #80160=LINE('',#489970,#117151); #80161=LINE('',#489976,#117152); #80162=LINE('',#489982,#117153); #80163=LINE('',#489988,#117154); #80164=LINE('',#489994,#117155); #80165=LINE('',#490000,#117156); #80166=LINE('',#490006,#117157); #80167=LINE('',#490012,#117158); #80168=LINE('',#490018,#117159); #80169=LINE('',#490024,#117160); #80170=LINE('',#490030,#117161); #80171=LINE('',#490036,#117162); #80172=LINE('',#490042,#117163); #80173=LINE('',#490048,#117164); #80174=LINE('',#490054,#117165); #80175=LINE('',#490060,#117166); #80176=LINE('',#490066,#117167); #80177=LINE('',#490072,#117168); #80178=LINE('',#490078,#117169); #80179=LINE('',#490084,#117170); #80180=LINE('',#490090,#117171); #80181=LINE('',#490096,#117172); #80182=LINE('',#490102,#117173); #80183=LINE('',#490108,#117174); #80184=LINE('',#490114,#117175); #80185=LINE('',#490120,#117176); #80186=LINE('',#490126,#117177); #80187=LINE('',#490132,#117178); #80188=LINE('',#490138,#117179); #80189=LINE('',#490144,#117180); #80190=LINE('',#490150,#117181); #80191=LINE('',#490155,#117182); #80192=LINE('',#490157,#117183); #80193=LINE('',#490159,#117184); #80194=LINE('',#490160,#117185); #80195=LINE('',#490163,#117186); #80196=LINE('',#490165,#117187); #80197=LINE('',#490166,#117188); #80198=LINE('',#490169,#117189); #80199=LINE('',#490171,#117190); #80200=LINE('',#490172,#117191); #80201=LINE('',#490175,#117192); #80202=LINE('',#490177,#117193); #80203=LINE('',#490178,#117194); #80204=LINE('',#490181,#117195); #80205=LINE('',#490183,#117196); #80206=LINE('',#490184,#117197); #80207=LINE('',#490187,#117198); #80208=LINE('',#490189,#117199); #80209=LINE('',#490190,#117200); #80210=LINE('',#490193,#117201); #80211=LINE('',#490195,#117202); #80212=LINE('',#490196,#117203); #80213=LINE('',#490199,#117204); #80214=LINE('',#490201,#117205); #80215=LINE('',#490202,#117206); #80216=LINE('',#490205,#117207); #80217=LINE('',#490207,#117208); #80218=LINE('',#490208,#117209); #80219=LINE('',#490211,#117210); #80220=LINE('',#490213,#117211); #80221=LINE('',#490214,#117212); #80222=LINE('',#490217,#117213); #80223=LINE('',#490219,#117214); #80224=LINE('',#490220,#117215); #80225=LINE('',#490223,#117216); #80226=LINE('',#490225,#117217); #80227=LINE('',#490226,#117218); #80228=LINE('',#490229,#117219); #80229=LINE('',#490231,#117220); #80230=LINE('',#490232,#117221); #80231=LINE('',#490235,#117222); #80232=LINE('',#490237,#117223); #80233=LINE('',#490238,#117224); #80234=LINE('',#490241,#117225); #80235=LINE('',#490243,#117226); #80236=LINE('',#490244,#117227); #80237=LINE('',#490247,#117228); #80238=LINE('',#490249,#117229); #80239=LINE('',#490250,#117230); #80240=LINE('',#490253,#117231); #80241=LINE('',#490255,#117232); #80242=LINE('',#490256,#117233); #80243=LINE('',#490259,#117234); #80244=LINE('',#490261,#117235); #80245=LINE('',#490262,#117236); #80246=LINE('',#490265,#117237); #80247=LINE('',#490267,#117238); #80248=LINE('',#490268,#117239); #80249=LINE('',#490271,#117240); #80250=LINE('',#490273,#117241); #80251=LINE('',#490274,#117242); #80252=LINE('',#490277,#117243); #80253=LINE('',#490279,#117244); #80254=LINE('',#490280,#117245); #80255=LINE('',#490283,#117246); #80256=LINE('',#490285,#117247); #80257=LINE('',#490286,#117248); #80258=LINE('',#490289,#117249); #80259=LINE('',#490291,#117250); #80260=LINE('',#490292,#117251); #80261=LINE('',#490295,#117252); #80262=LINE('',#490297,#117253); #80263=LINE('',#490298,#117254); #80264=LINE('',#490301,#117255); #80265=LINE('',#490303,#117256); #80266=LINE('',#490304,#117257); #80267=LINE('',#490307,#117258); #80268=LINE('',#490309,#117259); #80269=LINE('',#490310,#117260); #80270=LINE('',#490313,#117261); #80271=LINE('',#490315,#117262); #80272=LINE('',#490316,#117263); #80273=LINE('',#490319,#117264); #80274=LINE('',#490321,#117265); #80275=LINE('',#490322,#117266); #80276=LINE('',#490325,#117267); #80277=LINE('',#490327,#117268); #80278=LINE('',#490328,#117269); #80279=LINE('',#490331,#117270); #80280=LINE('',#490333,#117271); #80281=LINE('',#490334,#117272); #80282=LINE('',#490337,#117273); #80283=LINE('',#490339,#117274); #80284=LINE('',#490340,#117275); #80285=LINE('',#490343,#117276); #80286=LINE('',#490345,#117277); #80287=LINE('',#490346,#117278); #80288=LINE('',#490349,#117279); #80289=LINE('',#490351,#117280); #80290=LINE('',#490352,#117281); #80291=LINE('',#490355,#117282); #80292=LINE('',#490357,#117283); #80293=LINE('',#490358,#117284); #80294=LINE('',#490361,#117285); #80295=LINE('',#490363,#117286); #80296=LINE('',#490364,#117287); #80297=LINE('',#490367,#117288); #80298=LINE('',#490369,#117289); #80299=LINE('',#490370,#117290); #80300=LINE('',#490373,#117291); #80301=LINE('',#490375,#117292); #80302=LINE('',#490376,#117293); #80303=LINE('',#490379,#117294); #80304=LINE('',#490381,#117295); #80305=LINE('',#490382,#117296); #80306=LINE('',#490385,#117297); #80307=LINE('',#490387,#117298); #80308=LINE('',#490388,#117299); #80309=LINE('',#490391,#117300); #80310=LINE('',#490393,#117301); #80311=LINE('',#490394,#117302); #80312=LINE('',#490397,#117303); #80313=LINE('',#490399,#117304); #80314=LINE('',#490400,#117305); #80315=LINE('',#490403,#117306); #80316=LINE('',#490405,#117307); #80317=LINE('',#490406,#117308); #80318=LINE('',#490409,#117309); #80319=LINE('',#490411,#117310); #80320=LINE('',#490412,#117311); #80321=LINE('',#490415,#117312); #80322=LINE('',#490417,#117313); #80323=LINE('',#490418,#117314); #80324=LINE('',#490421,#117315); #80325=LINE('',#490423,#117316); #80326=LINE('',#490424,#117317); #80327=LINE('',#490427,#117318); #80328=LINE('',#490429,#117319); #80329=LINE('',#490430,#117320); #80330=LINE('',#490433,#117321); #80331=LINE('',#490435,#117322); #80332=LINE('',#490436,#117323); #80333=LINE('',#490439,#117324); #80334=LINE('',#490441,#117325); #80335=LINE('',#490442,#117326); #80336=LINE('',#490445,#117327); #80337=LINE('',#490447,#117328); #80338=LINE('',#490448,#117329); #80339=LINE('',#490451,#117330); #80340=LINE('',#490453,#117331); #80341=LINE('',#490454,#117332); #80342=LINE('',#490457,#117333); #80343=LINE('',#490459,#117334); #80344=LINE('',#490460,#117335); #80345=LINE('',#490463,#117336); #80346=LINE('',#490465,#117337); #80347=LINE('',#490466,#117338); #80348=LINE('',#490469,#117339); #80349=LINE('',#490471,#117340); #80350=LINE('',#490472,#117341); #80351=LINE('',#490475,#117342); #80352=LINE('',#490477,#117343); #80353=LINE('',#490478,#117344); #80354=LINE('',#490481,#117345); #80355=LINE('',#490483,#117346); #80356=LINE('',#490484,#117347); #80357=LINE('',#490487,#117348); #80358=LINE('',#490489,#117349); #80359=LINE('',#490490,#117350); #80360=LINE('',#490493,#117351); #80361=LINE('',#490495,#117352); #80362=LINE('',#490496,#117353); #80363=LINE('',#490499,#117354); #80364=LINE('',#490501,#117355); #80365=LINE('',#490502,#117356); #80366=LINE('',#490505,#117357); #80367=LINE('',#490507,#117358); #80368=LINE('',#490508,#117359); #80369=LINE('',#490511,#117360); #80370=LINE('',#490513,#117361); #80371=LINE('',#490514,#117362); #80372=LINE('',#490517,#117363); #80373=LINE('',#490519,#117364); #80374=LINE('',#490520,#117365); #80375=LINE('',#490523,#117366); #80376=LINE('',#490525,#117367); #80377=LINE('',#490526,#117368); #80378=LINE('',#490529,#117369); #80379=LINE('',#490531,#117370); #80380=LINE('',#490532,#117371); #80381=LINE('',#490535,#117372); #80382=LINE('',#490537,#117373); #80383=LINE('',#490538,#117374); #80384=LINE('',#490541,#117375); #80385=LINE('',#490543,#117376); #80386=LINE('',#490544,#117377); #80387=LINE('',#490547,#117378); #80388=LINE('',#490549,#117379); #80389=LINE('',#490550,#117380); #80390=LINE('',#490553,#117381); #80391=LINE('',#490555,#117382); #80392=LINE('',#490556,#117383); #80393=LINE('',#490559,#117384); #80394=LINE('',#490561,#117385); #80395=LINE('',#490562,#117386); #80396=LINE('',#490565,#117387); #80397=LINE('',#490567,#117388); #80398=LINE('',#490568,#117389); #80399=LINE('',#490571,#117390); #80400=LINE('',#490573,#117391); #80401=LINE('',#490574,#117392); #80402=LINE('',#490577,#117393); #80403=LINE('',#490579,#117394); #80404=LINE('',#490580,#117395); #80405=LINE('',#490583,#117396); #80406=LINE('',#490585,#117397); #80407=LINE('',#490586,#117398); #80408=LINE('',#490589,#117399); #80409=LINE('',#490591,#117400); #80410=LINE('',#490592,#117401); #80411=LINE('',#490595,#117402); #80412=LINE('',#490597,#117403); #80413=LINE('',#490598,#117404); #80414=LINE('',#490601,#117405); #80415=LINE('',#490603,#117406); #80416=LINE('',#490604,#117407); #80417=LINE('',#490607,#117408); #80418=LINE('',#490609,#117409); #80419=LINE('',#490610,#117410); #80420=LINE('',#490613,#117411); #80421=LINE('',#490615,#117412); #80422=LINE('',#490616,#117413); #80423=LINE('',#490619,#117414); #80424=LINE('',#490621,#117415); #80425=LINE('',#490622,#117416); #80426=LINE('',#490625,#117417); #80427=LINE('',#490627,#117418); #80428=LINE('',#490628,#117419); #80429=LINE('',#490631,#117420); #80430=LINE('',#490633,#117421); #80431=LINE('',#490634,#117422); #80432=LINE('',#490637,#117423); #80433=LINE('',#490639,#117424); #80434=LINE('',#490640,#117425); #80435=LINE('',#490643,#117426); #80436=LINE('',#490645,#117427); #80437=LINE('',#490646,#117428); #80438=LINE('',#490649,#117429); #80439=LINE('',#490651,#117430); #80440=LINE('',#490652,#117431); #80441=LINE('',#490655,#117432); #80442=LINE('',#490657,#117433); #80443=LINE('',#490658,#117434); #80444=LINE('',#490661,#117435); #80445=LINE('',#490663,#117436); #80446=LINE('',#490664,#117437); #80447=LINE('',#490667,#117438); #80448=LINE('',#490669,#117439); #80449=LINE('',#490670,#117440); #80450=LINE('',#490673,#117441); #80451=LINE('',#490675,#117442); #80452=LINE('',#490676,#117443); #80453=LINE('',#490679,#117444); #80454=LINE('',#490681,#117445); #80455=LINE('',#490682,#117446); #80456=LINE('',#490685,#117447); #80457=LINE('',#490687,#117448); #80458=LINE('',#490688,#117449); #80459=LINE('',#490691,#117450); #80460=LINE('',#490693,#117451); #80461=LINE('',#490694,#117452); #80462=LINE('',#490697,#117453); #80463=LINE('',#490699,#117454); #80464=LINE('',#490700,#117455); #80465=LINE('',#490703,#117456); #80466=LINE('',#490705,#117457); #80467=LINE('',#490706,#117458); #80468=LINE('',#490709,#117459); #80469=LINE('',#490711,#117460); #80470=LINE('',#490712,#117461); #80471=LINE('',#490715,#117462); #80472=LINE('',#490717,#117463); #80473=LINE('',#490718,#117464); #80474=LINE('',#490721,#117465); #80475=LINE('',#490723,#117466); #80476=LINE('',#490724,#117467); #80477=LINE('',#490727,#117468); #80478=LINE('',#490729,#117469); #80479=LINE('',#490730,#117470); #80480=LINE('',#490733,#117471); #80481=LINE('',#490735,#117472); #80482=LINE('',#490736,#117473); #80483=LINE('',#490739,#117474); #80484=LINE('',#490741,#117475); #80485=LINE('',#490742,#117476); #80486=LINE('',#490745,#117477); #80487=LINE('',#490747,#117478); #80488=LINE('',#490748,#117479); #80489=LINE('',#490751,#117480); #80490=LINE('',#490753,#117481); #80491=LINE('',#490754,#117482); #80492=LINE('',#490757,#117483); #80493=LINE('',#490759,#117484); #80494=LINE('',#490760,#117485); #80495=LINE('',#490763,#117486); #80496=LINE('',#490765,#117487); #80497=LINE('',#490766,#117488); #80498=LINE('',#490769,#117489); #80499=LINE('',#490771,#117490); #80500=LINE('',#490772,#117491); #80501=LINE('',#490775,#117492); #80502=LINE('',#490777,#117493); #80503=LINE('',#490778,#117494); #80504=LINE('',#490781,#117495); #80505=LINE('',#490783,#117496); #80506=LINE('',#490784,#117497); #80507=LINE('',#490787,#117498); #80508=LINE('',#490789,#117499); #80509=LINE('',#490790,#117500); #80510=LINE('',#490793,#117501); #80511=LINE('',#490795,#117502); #80512=LINE('',#490796,#117503); #80513=LINE('',#490799,#117504); #80514=LINE('',#490801,#117505); #80515=LINE('',#490802,#117506); #80516=LINE('',#490805,#117507); #80517=LINE('',#490807,#117508); #80518=LINE('',#490808,#117509); #80519=LINE('',#490811,#117510); #80520=LINE('',#490813,#117511); #80521=LINE('',#490814,#117512); #80522=LINE('',#490817,#117513); #80523=LINE('',#490819,#117514); #80524=LINE('',#490820,#117515); #80525=LINE('',#490823,#117516); #80526=LINE('',#490825,#117517); #80527=LINE('',#490826,#117518); #80528=LINE('',#490829,#117519); #80529=LINE('',#490831,#117520); #80530=LINE('',#490832,#117521); #80531=LINE('',#490835,#117522); #80532=LINE('',#490837,#117523); #80533=LINE('',#490838,#117524); #80534=LINE('',#490841,#117525); #80535=LINE('',#490843,#117526); #80536=LINE('',#490844,#117527); #80537=LINE('',#490847,#117528); #80538=LINE('',#490849,#117529); #80539=LINE('',#490850,#117530); #80540=LINE('',#490853,#117531); #80541=LINE('',#490855,#117532); #80542=LINE('',#490856,#117533); #80543=LINE('',#490859,#117534); #80544=LINE('',#490861,#117535); #80545=LINE('',#490862,#117536); #80546=LINE('',#490865,#117537); #80547=LINE('',#490867,#117538); #80548=LINE('',#490868,#117539); #80549=LINE('',#490871,#117540); #80550=LINE('',#490873,#117541); #80551=LINE('',#490874,#117542); #80552=LINE('',#490877,#117543); #80553=LINE('',#490879,#117544); #80554=LINE('',#490880,#117545); #80555=LINE('',#490883,#117546); #80556=LINE('',#490885,#117547); #80557=LINE('',#490886,#117548); #80558=LINE('',#490889,#117549); #80559=LINE('',#490891,#117550); #80560=LINE('',#490892,#117551); #80561=LINE('',#490895,#117552); #80562=LINE('',#490897,#117553); #80563=LINE('',#490898,#117554); #80564=LINE('',#490901,#117555); #80565=LINE('',#490903,#117556); #80566=LINE('',#490904,#117557); #80567=LINE('',#490907,#117558); #80568=LINE('',#490909,#117559); #80569=LINE('',#490910,#117560); #80570=LINE('',#490913,#117561); #80571=LINE('',#490915,#117562); #80572=LINE('',#490916,#117563); #80573=LINE('',#490919,#117564); #80574=LINE('',#490921,#117565); #80575=LINE('',#490922,#117566); #80576=LINE('',#490925,#117567); #80577=LINE('',#490927,#117568); #80578=LINE('',#490928,#117569); #80579=LINE('',#490931,#117570); #80580=LINE('',#490933,#117571); #80581=LINE('',#490934,#117572); #80582=LINE('',#490937,#117573); #80583=LINE('',#490939,#117574); #80584=LINE('',#490940,#117575); #80585=LINE('',#490942,#117576); #80586=LINE('',#490943,#117577); #80587=LINE('',#490947,#117578); #80588=LINE('',#490949,#117579); #80589=LINE('',#490951,#117580); #80590=LINE('',#490952,#117581); #80591=LINE('',#490955,#117582); #80592=LINE('',#490957,#117583); #80593=LINE('',#490958,#117584); #80594=LINE('',#490961,#117585); #80595=LINE('',#490963,#117586); #80596=LINE('',#490964,#117587); #80597=LINE('',#490967,#117588); #80598=LINE('',#490969,#117589); #80599=LINE('',#490970,#117590); #80600=LINE('',#490973,#117591); #80601=LINE('',#490975,#117592); #80602=LINE('',#490976,#117593); #80603=LINE('',#490979,#117594); #80604=LINE('',#490981,#117595); #80605=LINE('',#490982,#117596); #80606=LINE('',#490985,#117597); #80607=LINE('',#490987,#117598); #80608=LINE('',#490988,#117599); #80609=LINE('',#490990,#117600); #80610=LINE('',#490991,#117601); #80611=LINE('',#490995,#117602); #80612=LINE('',#490997,#117603); #80613=LINE('',#490999,#117604); #80614=LINE('',#491000,#117605); #80615=LINE('',#491003,#117606); #80616=LINE('',#491005,#117607); #80617=LINE('',#491006,#117608); #80618=LINE('',#491009,#117609); #80619=LINE('',#491011,#117610); #80620=LINE('',#491012,#117611); #80621=LINE('',#491015,#117612); #80622=LINE('',#491017,#117613); #80623=LINE('',#491018,#117614); #80624=LINE('',#491021,#117615); #80625=LINE('',#491023,#117616); #80626=LINE('',#491024,#117617); #80627=LINE('',#491027,#117618); #80628=LINE('',#491029,#117619); #80629=LINE('',#491030,#117620); #80630=LINE('',#491033,#117621); #80631=LINE('',#491035,#117622); #80632=LINE('',#491036,#117623); #80633=LINE('',#491038,#117624); #80634=LINE('',#491039,#117625); #80635=LINE('',#491043,#117626); #80636=LINE('',#491045,#117627); #80637=LINE('',#491047,#117628); #80638=LINE('',#491048,#117629); #80639=LINE('',#491051,#117630); #80640=LINE('',#491053,#117631); #80641=LINE('',#491054,#117632); #80642=LINE('',#491057,#117633); #80643=LINE('',#491059,#117634); #80644=LINE('',#491060,#117635); #80645=LINE('',#491063,#117636); #80646=LINE('',#491065,#117637); #80647=LINE('',#491066,#117638); #80648=LINE('',#491069,#117639); #80649=LINE('',#491071,#117640); #80650=LINE('',#491072,#117641); #80651=LINE('',#491075,#117642); #80652=LINE('',#491077,#117643); #80653=LINE('',#491078,#117644); #80654=LINE('',#491081,#117645); #80655=LINE('',#491083,#117646); #80656=LINE('',#491084,#117647); #80657=LINE('',#491086,#117648); #80658=LINE('',#491087,#117649); #80659=LINE('',#491091,#117650); #80660=LINE('',#491093,#117651); #80661=LINE('',#491095,#117652); #80662=LINE('',#491096,#117653); #80663=LINE('',#491099,#117654); #80664=LINE('',#491101,#117655); #80665=LINE('',#491102,#117656); #80666=LINE('',#491105,#117657); #80667=LINE('',#491107,#117658); #80668=LINE('',#491108,#117659); #80669=LINE('',#491111,#117660); #80670=LINE('',#491113,#117661); #80671=LINE('',#491114,#117662); #80672=LINE('',#491117,#117663); #80673=LINE('',#491119,#117664); #80674=LINE('',#491120,#117665); #80675=LINE('',#491123,#117666); #80676=LINE('',#491125,#117667); #80677=LINE('',#491126,#117668); #80678=LINE('',#491129,#117669); #80679=LINE('',#491131,#117670); #80680=LINE('',#491132,#117671); #80681=LINE('',#491135,#117672); #80682=LINE('',#491137,#117673); #80683=LINE('',#491138,#117674); #80684=LINE('',#491141,#117675); #80685=LINE('',#491143,#117676); #80686=LINE('',#491144,#117677); #80687=LINE('',#491147,#117678); #80688=LINE('',#491149,#117679); #80689=LINE('',#491150,#117680); #80690=LINE('',#491153,#117681); #80691=LINE('',#491155,#117682); #80692=LINE('',#491156,#117683); #80693=LINE('',#491159,#117684); #80694=LINE('',#491161,#117685); #80695=LINE('',#491162,#117686); #80696=LINE('',#491165,#117687); #80697=LINE('',#491167,#117688); #80698=LINE('',#491168,#117689); #80699=LINE('',#491171,#117690); #80700=LINE('',#491173,#117691); #80701=LINE('',#491174,#117692); #80702=LINE('',#491177,#117693); #80703=LINE('',#491179,#117694); #80704=LINE('',#491180,#117695); #80705=LINE('',#491183,#117696); #80706=LINE('',#491185,#117697); #80707=LINE('',#491186,#117698); #80708=LINE('',#491189,#117699); #80709=LINE('',#491191,#117700); #80710=LINE('',#491192,#117701); #80711=LINE('',#491195,#117702); #80712=LINE('',#491197,#117703); #80713=LINE('',#491198,#117704); #80714=LINE('',#491201,#117705); #80715=LINE('',#491203,#117706); #80716=LINE('',#491204,#117707); #80717=LINE('',#491207,#117708); #80718=LINE('',#491209,#117709); #80719=LINE('',#491210,#117710); #80720=LINE('',#491213,#117711); #80721=LINE('',#491215,#117712); #80722=LINE('',#491216,#117713); #80723=LINE('',#491219,#117714); #80724=LINE('',#491221,#117715); #80725=LINE('',#491222,#117716); #80726=LINE('',#491225,#117717); #80727=LINE('',#491227,#117718); #80728=LINE('',#491228,#117719); #80729=LINE('',#491231,#117720); #80730=LINE('',#491233,#117721); #80731=LINE('',#491234,#117722); #80732=LINE('',#491237,#117723); #80733=LINE('',#491239,#117724); #80734=LINE('',#491240,#117725); #80735=LINE('',#491243,#117726); #80736=LINE('',#491245,#117727); #80737=LINE('',#491246,#117728); #80738=LINE('',#491249,#117729); #80739=LINE('',#491251,#117730); #80740=LINE('',#491252,#117731); #80741=LINE('',#491255,#117732); #80742=LINE('',#491257,#117733); #80743=LINE('',#491258,#117734); #80744=LINE('',#491261,#117735); #80745=LINE('',#491263,#117736); #80746=LINE('',#491264,#117737); #80747=LINE('',#491267,#117738); #80748=LINE('',#491269,#117739); #80749=LINE('',#491270,#117740); #80750=LINE('',#491273,#117741); #80751=LINE('',#491275,#117742); #80752=LINE('',#491276,#117743); #80753=LINE('',#491279,#117744); #80754=LINE('',#491281,#117745); #80755=LINE('',#491282,#117746); #80756=LINE('',#491285,#117747); #80757=LINE('',#491287,#117748); #80758=LINE('',#491288,#117749); #80759=LINE('',#491290,#117750); #80760=LINE('',#491291,#117751); #80761=LINE('',#491295,#117752); #80762=LINE('',#491297,#117753); #80763=LINE('',#491299,#117754); #80764=LINE('',#491300,#117755); #80765=LINE('',#491303,#117756); #80766=LINE('',#491305,#117757); #80767=LINE('',#491306,#117758); #80768=LINE('',#491309,#117759); #80769=LINE('',#491311,#117760); #80770=LINE('',#491312,#117761); #80771=LINE('',#491315,#117762); #80772=LINE('',#491317,#117763); #80773=LINE('',#491318,#117764); #80774=LINE('',#491321,#117765); #80775=LINE('',#491323,#117766); #80776=LINE('',#491324,#117767); #80777=LINE('',#491327,#117768); #80778=LINE('',#491329,#117769); #80779=LINE('',#491330,#117770); #80780=LINE('',#491333,#117771); #80781=LINE('',#491335,#117772); #80782=LINE('',#491336,#117773); #80783=LINE('',#491339,#117774); #80784=LINE('',#491341,#117775); #80785=LINE('',#491342,#117776); #80786=LINE('',#491345,#117777); #80787=LINE('',#491347,#117778); #80788=LINE('',#491348,#117779); #80789=LINE('',#491351,#117780); #80790=LINE('',#491353,#117781); #80791=LINE('',#491354,#117782); #80792=LINE('',#491357,#117783); #80793=LINE('',#491359,#117784); #80794=LINE('',#491360,#117785); #80795=LINE('',#491363,#117786); #80796=LINE('',#491365,#117787); #80797=LINE('',#491366,#117788); #80798=LINE('',#491369,#117789); #80799=LINE('',#491371,#117790); #80800=LINE('',#491372,#117791); #80801=LINE('',#491375,#117792); #80802=LINE('',#491377,#117793); #80803=LINE('',#491378,#117794); #80804=LINE('',#491381,#117795); #80805=LINE('',#491383,#117796); #80806=LINE('',#491384,#117797); #80807=LINE('',#491387,#117798); #80808=LINE('',#491389,#117799); #80809=LINE('',#491390,#117800); #80810=LINE('',#491393,#117801); #80811=LINE('',#491395,#117802); #80812=LINE('',#491396,#117803); #80813=LINE('',#491399,#117804); #80814=LINE('',#491401,#117805); #80815=LINE('',#491402,#117806); #80816=LINE('',#491405,#117807); #80817=LINE('',#491407,#117808); #80818=LINE('',#491408,#117809); #80819=LINE('',#491411,#117810); #80820=LINE('',#491413,#117811); #80821=LINE('',#491414,#117812); #80822=LINE('',#491417,#117813); #80823=LINE('',#491419,#117814); #80824=LINE('',#491420,#117815); #80825=LINE('',#491423,#117816); #80826=LINE('',#491425,#117817); #80827=LINE('',#491426,#117818); #80828=LINE('',#491429,#117819); #80829=LINE('',#491431,#117820); #80830=LINE('',#491432,#117821); #80831=LINE('',#491435,#117822); #80832=LINE('',#491437,#117823); #80833=LINE('',#491438,#117824); #80834=LINE('',#491441,#117825); #80835=LINE('',#491443,#117826); #80836=LINE('',#491444,#117827); #80837=LINE('',#491447,#117828); #80838=LINE('',#491449,#117829); #80839=LINE('',#491450,#117830); #80840=LINE('',#491453,#117831); #80841=LINE('',#491455,#117832); #80842=LINE('',#491456,#117833); #80843=LINE('',#491459,#117834); #80844=LINE('',#491461,#117835); #80845=LINE('',#491462,#117836); #80846=LINE('',#491465,#117837); #80847=LINE('',#491467,#117838); #80848=LINE('',#491468,#117839); #80849=LINE('',#491471,#117840); #80850=LINE('',#491473,#117841); #80851=LINE('',#491474,#117842); #80852=LINE('',#491477,#117843); #80853=LINE('',#491479,#117844); #80854=LINE('',#491480,#117845); #80855=LINE('',#491483,#117846); #80856=LINE('',#491485,#117847); #80857=LINE('',#491486,#117848); #80858=LINE('',#491489,#117849); #80859=LINE('',#491491,#117850); #80860=LINE('',#491492,#117851); #80861=LINE('',#491494,#117852); #80862=LINE('',#491495,#117853); #80863=LINE('',#491499,#117854); #80864=LINE('',#491501,#117855); #80865=LINE('',#491503,#117856); #80866=LINE('',#491504,#117857); #80867=LINE('',#491507,#117858); #80868=LINE('',#491509,#117859); #80869=LINE('',#491510,#117860); #80870=LINE('',#491513,#117861); #80871=LINE('',#491515,#117862); #80872=LINE('',#491516,#117863); #80873=LINE('',#491519,#117864); #80874=LINE('',#491521,#117865); #80875=LINE('',#491522,#117866); #80876=LINE('',#491525,#117867); #80877=LINE('',#491527,#117868); #80878=LINE('',#491528,#117869); #80879=LINE('',#491531,#117870); #80880=LINE('',#491533,#117871); #80881=LINE('',#491534,#117872); #80882=LINE('',#491537,#117873); #80883=LINE('',#491539,#117874); #80884=LINE('',#491540,#117875); #80885=LINE('',#491543,#117876); #80886=LINE('',#491545,#117877); #80887=LINE('',#491546,#117878); #80888=LINE('',#491549,#117879); #80889=LINE('',#491551,#117880); #80890=LINE('',#491552,#117881); #80891=LINE('',#491555,#117882); #80892=LINE('',#491557,#117883); #80893=LINE('',#491558,#117884); #80894=LINE('',#491561,#117885); #80895=LINE('',#491563,#117886); #80896=LINE('',#491564,#117887); #80897=LINE('',#491567,#117888); #80898=LINE('',#491569,#117889); #80899=LINE('',#491570,#117890); #80900=LINE('',#491573,#117891); #80901=LINE('',#491575,#117892); #80902=LINE('',#491576,#117893); #80903=LINE('',#491579,#117894); #80904=LINE('',#491581,#117895); #80905=LINE('',#491582,#117896); #80906=LINE('',#491585,#117897); #80907=LINE('',#491587,#117898); #80908=LINE('',#491588,#117899); #80909=LINE('',#491591,#117900); #80910=LINE('',#491593,#117901); #80911=LINE('',#491594,#117902); #80912=LINE('',#491597,#117903); #80913=LINE('',#491599,#117904); #80914=LINE('',#491600,#117905); #80915=LINE('',#491603,#117906); #80916=LINE('',#491605,#117907); #80917=LINE('',#491606,#117908); #80918=LINE('',#491609,#117909); #80919=LINE('',#491611,#117910); #80920=LINE('',#491612,#117911); #80921=LINE('',#491615,#117912); #80922=LINE('',#491617,#117913); #80923=LINE('',#491618,#117914); #80924=LINE('',#491621,#117915); #80925=LINE('',#491623,#117916); #80926=LINE('',#491624,#117917); #80927=LINE('',#491627,#117918); #80928=LINE('',#491629,#117919); #80929=LINE('',#491630,#117920); #80930=LINE('',#491633,#117921); #80931=LINE('',#491635,#117922); #80932=LINE('',#491636,#117923); #80933=LINE('',#491639,#117924); #80934=LINE('',#491641,#117925); #80935=LINE('',#491642,#117926); #80936=LINE('',#491645,#117927); #80937=LINE('',#491647,#117928); #80938=LINE('',#491648,#117929); #80939=LINE('',#491651,#117930); #80940=LINE('',#491653,#117931); #80941=LINE('',#491654,#117932); #80942=LINE('',#491657,#117933); #80943=LINE('',#491659,#117934); #80944=LINE('',#491660,#117935); #80945=LINE('',#491663,#117936); #80946=LINE('',#491665,#117937); #80947=LINE('',#491666,#117938); #80948=LINE('',#491669,#117939); #80949=LINE('',#491671,#117940); #80950=LINE('',#491672,#117941); #80951=LINE('',#491675,#117942); #80952=LINE('',#491677,#117943); #80953=LINE('',#491678,#117944); #80954=LINE('',#491681,#117945); #80955=LINE('',#491683,#117946); #80956=LINE('',#491684,#117947); #80957=LINE('',#491687,#117948); #80958=LINE('',#491689,#117949); #80959=LINE('',#491690,#117950); #80960=LINE('',#491693,#117951); #80961=LINE('',#491695,#117952); #80962=LINE('',#491696,#117953); #80963=LINE('',#491699,#117954); #80964=LINE('',#491701,#117955); #80965=LINE('',#491702,#117956); #80966=LINE('',#491705,#117957); #80967=LINE('',#491707,#117958); #80968=LINE('',#491708,#117959); #80969=LINE('',#491711,#117960); #80970=LINE('',#491713,#117961); #80971=LINE('',#491714,#117962); #80972=LINE('',#491717,#117963); #80973=LINE('',#491719,#117964); #80974=LINE('',#491720,#117965); #80975=LINE('',#491723,#117966); #80976=LINE('',#491725,#117967); #80977=LINE('',#491726,#117968); #80978=LINE('',#491729,#117969); #80979=LINE('',#491731,#117970); #80980=LINE('',#491732,#117971); #80981=LINE('',#491734,#117972); #80982=LINE('',#491735,#117973); #80983=LINE('',#491739,#117974); #80984=LINE('',#491741,#117975); #80985=LINE('',#491743,#117976); #80986=LINE('',#491744,#117977); #80987=LINE('',#491747,#117978); #80988=LINE('',#491749,#117979); #80989=LINE('',#491750,#117980); #80990=LINE('',#491753,#117981); #80991=LINE('',#491755,#117982); #80992=LINE('',#491756,#117983); #80993=LINE('',#491759,#117984); #80994=LINE('',#491761,#117985); #80995=LINE('',#491762,#117986); #80996=LINE('',#491765,#117987); #80997=LINE('',#491767,#117988); #80998=LINE('',#491768,#117989); #80999=LINE('',#491771,#117990); #81000=LINE('',#491773,#117991); #81001=LINE('',#491774,#117992); #81002=LINE('',#491777,#117993); #81003=LINE('',#491779,#117994); #81004=LINE('',#491780,#117995); #81005=LINE('',#491783,#117996); #81006=LINE('',#491785,#117997); #81007=LINE('',#491786,#117998); #81008=LINE('',#491789,#117999); #81009=LINE('',#491791,#118000); #81010=LINE('',#491792,#118001); #81011=LINE('',#491795,#118002); #81012=LINE('',#491797,#118003); #81013=LINE('',#491798,#118004); #81014=LINE('',#491801,#118005); #81015=LINE('',#491803,#118006); #81016=LINE('',#491804,#118007); #81017=LINE('',#491807,#118008); #81018=LINE('',#491809,#118009); #81019=LINE('',#491810,#118010); #81020=LINE('',#491813,#118011); #81021=LINE('',#491815,#118012); #81022=LINE('',#491816,#118013); #81023=LINE('',#491819,#118014); #81024=LINE('',#491821,#118015); #81025=LINE('',#491822,#118016); #81026=LINE('',#491825,#118017); #81027=LINE('',#491827,#118018); #81028=LINE('',#491828,#118019); #81029=LINE('',#491831,#118020); #81030=LINE('',#491833,#118021); #81031=LINE('',#491834,#118022); #81032=LINE('',#491837,#118023); #81033=LINE('',#491839,#118024); #81034=LINE('',#491840,#118025); #81035=LINE('',#491843,#118026); #81036=LINE('',#491845,#118027); #81037=LINE('',#491846,#118028); #81038=LINE('',#491849,#118029); #81039=LINE('',#491851,#118030); #81040=LINE('',#491852,#118031); #81041=LINE('',#491855,#118032); #81042=LINE('',#491857,#118033); #81043=LINE('',#491858,#118034); #81044=LINE('',#491861,#118035); #81045=LINE('',#491863,#118036); #81046=LINE('',#491864,#118037); #81047=LINE('',#491867,#118038); #81048=LINE('',#491869,#118039); #81049=LINE('',#491870,#118040); #81050=LINE('',#491873,#118041); #81051=LINE('',#491875,#118042); #81052=LINE('',#491876,#118043); #81053=LINE('',#491879,#118044); #81054=LINE('',#491881,#118045); #81055=LINE('',#491882,#118046); #81056=LINE('',#491885,#118047); #81057=LINE('',#491887,#118048); #81058=LINE('',#491888,#118049); #81059=LINE('',#491891,#118050); #81060=LINE('',#491893,#118051); #81061=LINE('',#491894,#118052); #81062=LINE('',#491897,#118053); #81063=LINE('',#491899,#118054); #81064=LINE('',#491900,#118055); #81065=LINE('',#491903,#118056); #81066=LINE('',#491905,#118057); #81067=LINE('',#491906,#118058); #81068=LINE('',#491909,#118059); #81069=LINE('',#491911,#118060); #81070=LINE('',#491912,#118061); #81071=LINE('',#491915,#118062); #81072=LINE('',#491917,#118063); #81073=LINE('',#491918,#118064); #81074=LINE('',#491921,#118065); #81075=LINE('',#491923,#118066); #81076=LINE('',#491924,#118067); #81077=LINE('',#491927,#118068); #81078=LINE('',#491929,#118069); #81079=LINE('',#491930,#118070); #81080=LINE('',#491933,#118071); #81081=LINE('',#491935,#118072); #81082=LINE('',#491936,#118073); #81083=LINE('',#491939,#118074); #81084=LINE('',#491941,#118075); #81085=LINE('',#491942,#118076); #81086=LINE('',#491945,#118077); #81087=LINE('',#491947,#118078); #81088=LINE('',#491948,#118079); #81089=LINE('',#491951,#118080); #81090=LINE('',#491953,#118081); #81091=LINE('',#491954,#118082); #81092=LINE('',#491957,#118083); #81093=LINE('',#491959,#118084); #81094=LINE('',#491960,#118085); #81095=LINE('',#491962,#118086); #81096=LINE('',#491963,#118087); #81097=LINE('',#491967,#118088); #81098=LINE('',#491969,#118089); #81099=LINE('',#491971,#118090); #81100=LINE('',#491972,#118091); #81101=LINE('',#491975,#118092); #81102=LINE('',#491977,#118093); #81103=LINE('',#491978,#118094); #81104=LINE('',#491981,#118095); #81105=LINE('',#491983,#118096); #81106=LINE('',#491984,#118097); #81107=LINE('',#491987,#118098); #81108=LINE('',#491989,#118099); #81109=LINE('',#491990,#118100); #81110=LINE('',#491993,#118101); #81111=LINE('',#491995,#118102); #81112=LINE('',#491996,#118103); #81113=LINE('',#491999,#118104); #81114=LINE('',#492001,#118105); #81115=LINE('',#492002,#118106); #81116=LINE('',#492005,#118107); #81117=LINE('',#492007,#118108); #81118=LINE('',#492008,#118109); #81119=LINE('',#492011,#118110); #81120=LINE('',#492013,#118111); #81121=LINE('',#492014,#118112); #81122=LINE('',#492017,#118113); #81123=LINE('',#492019,#118114); #81124=LINE('',#492020,#118115); #81125=LINE('',#492023,#118116); #81126=LINE('',#492025,#118117); #81127=LINE('',#492026,#118118); #81128=LINE('',#492029,#118119); #81129=LINE('',#492031,#118120); #81130=LINE('',#492032,#118121); #81131=LINE('',#492035,#118122); #81132=LINE('',#492037,#118123); #81133=LINE('',#492038,#118124); #81134=LINE('',#492041,#118125); #81135=LINE('',#492043,#118126); #81136=LINE('',#492044,#118127); #81137=LINE('',#492047,#118128); #81138=LINE('',#492049,#118129); #81139=LINE('',#492050,#118130); #81140=LINE('',#492053,#118131); #81141=LINE('',#492055,#118132); #81142=LINE('',#492056,#118133); #81143=LINE('',#492059,#118134); #81144=LINE('',#492061,#118135); #81145=LINE('',#492062,#118136); #81146=LINE('',#492065,#118137); #81147=LINE('',#492067,#118138); #81148=LINE('',#492068,#118139); #81149=LINE('',#492071,#118140); #81150=LINE('',#492073,#118141); #81151=LINE('',#492074,#118142); #81152=LINE('',#492077,#118143); #81153=LINE('',#492079,#118144); #81154=LINE('',#492080,#118145); #81155=LINE('',#492083,#118146); #81156=LINE('',#492085,#118147); #81157=LINE('',#492086,#118148); #81158=LINE('',#492089,#118149); #81159=LINE('',#492091,#118150); #81160=LINE('',#492092,#118151); #81161=LINE('',#492095,#118152); #81162=LINE('',#492097,#118153); #81163=LINE('',#492098,#118154); #81164=LINE('',#492101,#118155); #81165=LINE('',#492103,#118156); #81166=LINE('',#492104,#118157); #81167=LINE('',#492106,#118158); #81168=LINE('',#492107,#118159); #81169=LINE('',#492111,#118160); #81170=LINE('',#492113,#118161); #81171=LINE('',#492115,#118162); #81172=LINE('',#492116,#118163); #81173=LINE('',#492119,#118164); #81174=LINE('',#492121,#118165); #81175=LINE('',#492122,#118166); #81176=LINE('',#492125,#118167); #81177=LINE('',#492127,#118168); #81178=LINE('',#492128,#118169); #81179=LINE('',#492131,#118170); #81180=LINE('',#492133,#118171); #81181=LINE('',#492134,#118172); #81182=LINE('',#492137,#118173); #81183=LINE('',#492139,#118174); #81184=LINE('',#492140,#118175); #81185=LINE('',#492143,#118176); #81186=LINE('',#492145,#118177); #81187=LINE('',#492146,#118178); #81188=LINE('',#492149,#118179); #81189=LINE('',#492151,#118180); #81190=LINE('',#492152,#118181); #81191=LINE('',#492155,#118182); #81192=LINE('',#492157,#118183); #81193=LINE('',#492158,#118184); #81194=LINE('',#492161,#118185); #81195=LINE('',#492163,#118186); #81196=LINE('',#492164,#118187); #81197=LINE('',#492167,#118188); #81198=LINE('',#492169,#118189); #81199=LINE('',#492170,#118190); #81200=LINE('',#492173,#118191); #81201=LINE('',#492175,#118192); #81202=LINE('',#492176,#118193); #81203=LINE('',#492179,#118194); #81204=LINE('',#492181,#118195); #81205=LINE('',#492182,#118196); #81206=LINE('',#492185,#118197); #81207=LINE('',#492187,#118198); #81208=LINE('',#492188,#118199); #81209=LINE('',#492191,#118200); #81210=LINE('',#492193,#118201); #81211=LINE('',#492194,#118202); #81212=LINE('',#492197,#118203); #81213=LINE('',#492199,#118204); #81214=LINE('',#492200,#118205); #81215=LINE('',#492203,#118206); #81216=LINE('',#492205,#118207); #81217=LINE('',#492206,#118208); #81218=LINE('',#492209,#118209); #81219=LINE('',#492211,#118210); #81220=LINE('',#492212,#118211); #81221=LINE('',#492215,#118212); #81222=LINE('',#492217,#118213); #81223=LINE('',#492218,#118214); #81224=LINE('',#492221,#118215); #81225=LINE('',#492223,#118216); #81226=LINE('',#492224,#118217); #81227=LINE('',#492227,#118218); #81228=LINE('',#492229,#118219); #81229=LINE('',#492230,#118220); #81230=LINE('',#492233,#118221); #81231=LINE('',#492235,#118222); #81232=LINE('',#492236,#118223); #81233=LINE('',#492239,#118224); #81234=LINE('',#492241,#118225); #81235=LINE('',#492242,#118226); #81236=LINE('',#492245,#118227); #81237=LINE('',#492247,#118228); #81238=LINE('',#492248,#118229); #81239=LINE('',#492251,#118230); #81240=LINE('',#492253,#118231); #81241=LINE('',#492254,#118232); #81242=LINE('',#492257,#118233); #81243=LINE('',#492259,#118234); #81244=LINE('',#492260,#118235); #81245=LINE('',#492263,#118236); #81246=LINE('',#492265,#118237); #81247=LINE('',#492266,#118238); #81248=LINE('',#492269,#118239); #81249=LINE('',#492271,#118240); #81250=LINE('',#492272,#118241); #81251=LINE('',#492275,#118242); #81252=LINE('',#492277,#118243); #81253=LINE('',#492278,#118244); #81254=LINE('',#492281,#118245); #81255=LINE('',#492283,#118246); #81256=LINE('',#492284,#118247); #81257=LINE('',#492287,#118248); #81258=LINE('',#492289,#118249); #81259=LINE('',#492290,#118250); #81260=LINE('',#492293,#118251); #81261=LINE('',#492295,#118252); #81262=LINE('',#492296,#118253); #81263=LINE('',#492299,#118254); #81264=LINE('',#492301,#118255); #81265=LINE('',#492302,#118256); #81266=LINE('',#492305,#118257); #81267=LINE('',#492307,#118258); #81268=LINE('',#492308,#118259); #81269=LINE('',#492311,#118260); #81270=LINE('',#492313,#118261); #81271=LINE('',#492314,#118262); #81272=LINE('',#492317,#118263); #81273=LINE('',#492319,#118264); #81274=LINE('',#492320,#118265); #81275=LINE('',#492323,#118266); #81276=LINE('',#492325,#118267); #81277=LINE('',#492326,#118268); #81278=LINE('',#492329,#118269); #81279=LINE('',#492331,#118270); #81280=LINE('',#492332,#118271); #81281=LINE('',#492335,#118272); #81282=LINE('',#492337,#118273); #81283=LINE('',#492338,#118274); #81284=LINE('',#492341,#118275); #81285=LINE('',#492343,#118276); #81286=LINE('',#492344,#118277); #81287=LINE('',#492347,#118278); #81288=LINE('',#492349,#118279); #81289=LINE('',#492350,#118280); #81290=LINE('',#492353,#118281); #81291=LINE('',#492355,#118282); #81292=LINE('',#492356,#118283); #81293=LINE('',#492359,#118284); #81294=LINE('',#492361,#118285); #81295=LINE('',#492362,#118286); #81296=LINE('',#492365,#118287); #81297=LINE('',#492367,#118288); #81298=LINE('',#492368,#118289); #81299=LINE('',#492371,#118290); #81300=LINE('',#492373,#118291); #81301=LINE('',#492374,#118292); #81302=LINE('',#492377,#118293); #81303=LINE('',#492379,#118294); #81304=LINE('',#492380,#118295); #81305=LINE('',#492383,#118296); #81306=LINE('',#492385,#118297); #81307=LINE('',#492386,#118298); #81308=LINE('',#492389,#118299); #81309=LINE('',#492391,#118300); #81310=LINE('',#492392,#118301); #81311=LINE('',#492395,#118302); #81312=LINE('',#492397,#118303); #81313=LINE('',#492398,#118304); #81314=LINE('',#492401,#118305); #81315=LINE('',#492403,#118306); #81316=LINE('',#492404,#118307); #81317=LINE('',#492407,#118308); #81318=LINE('',#492409,#118309); #81319=LINE('',#492410,#118310); #81320=LINE('',#492413,#118311); #81321=LINE('',#492415,#118312); #81322=LINE('',#492416,#118313); #81323=LINE('',#492419,#118314); #81324=LINE('',#492421,#118315); #81325=LINE('',#492422,#118316); #81326=LINE('',#492425,#118317); #81327=LINE('',#492427,#118318); #81328=LINE('',#492428,#118319); #81329=LINE('',#492431,#118320); #81330=LINE('',#492433,#118321); #81331=LINE('',#492434,#118322); #81332=LINE('',#492437,#118323); #81333=LINE('',#492439,#118324); #81334=LINE('',#492440,#118325); #81335=LINE('',#492443,#118326); #81336=LINE('',#492445,#118327); #81337=LINE('',#492446,#118328); #81338=LINE('',#492449,#118329); #81339=LINE('',#492451,#118330); #81340=LINE('',#492452,#118331); #81341=LINE('',#492455,#118332); #81342=LINE('',#492457,#118333); #81343=LINE('',#492458,#118334); #81344=LINE('',#492461,#118335); #81345=LINE('',#492463,#118336); #81346=LINE('',#492464,#118337); #81347=LINE('',#492467,#118338); #81348=LINE('',#492469,#118339); #81349=LINE('',#492470,#118340); #81350=LINE('',#492473,#118341); #81351=LINE('',#492475,#118342); #81352=LINE('',#492476,#118343); #81353=LINE('',#492479,#118344); #81354=LINE('',#492481,#118345); #81355=LINE('',#492482,#118346); #81356=LINE('',#492485,#118347); #81357=LINE('',#492487,#118348); #81358=LINE('',#492488,#118349); #81359=LINE('',#492491,#118350); #81360=LINE('',#492493,#118351); #81361=LINE('',#492494,#118352); #81362=LINE('',#492497,#118353); #81363=LINE('',#492499,#118354); #81364=LINE('',#492500,#118355); #81365=LINE('',#492503,#118356); #81366=LINE('',#492505,#118357); #81367=LINE('',#492506,#118358); #81368=LINE('',#492509,#118359); #81369=LINE('',#492511,#118360); #81370=LINE('',#492512,#118361); #81371=LINE('',#492515,#118362); #81372=LINE('',#492517,#118363); #81373=LINE('',#492518,#118364); #81374=LINE('',#492521,#118365); #81375=LINE('',#492523,#118366); #81376=LINE('',#492524,#118367); #81377=LINE('',#492527,#118368); #81378=LINE('',#492529,#118369); #81379=LINE('',#492530,#118370); #81380=LINE('',#492533,#118371); #81381=LINE('',#492535,#118372); #81382=LINE('',#492536,#118373); #81383=LINE('',#492539,#118374); #81384=LINE('',#492541,#118375); #81385=LINE('',#492542,#118376); #81386=LINE('',#492545,#118377); #81387=LINE('',#492547,#118378); #81388=LINE('',#492548,#118379); #81389=LINE('',#492551,#118380); #81390=LINE('',#492553,#118381); #81391=LINE('',#492554,#118382); #81392=LINE('',#492557,#118383); #81393=LINE('',#492559,#118384); #81394=LINE('',#492560,#118385); #81395=LINE('',#492563,#118386); #81396=LINE('',#492565,#118387); #81397=LINE('',#492566,#118388); #81398=LINE('',#492569,#118389); #81399=LINE('',#492571,#118390); #81400=LINE('',#492572,#118391); #81401=LINE('',#492575,#118392); #81402=LINE('',#492577,#118393); #81403=LINE('',#492578,#118394); #81404=LINE('',#492581,#118395); #81405=LINE('',#492583,#118396); #81406=LINE('',#492584,#118397); #81407=LINE('',#492587,#118398); #81408=LINE('',#492589,#118399); #81409=LINE('',#492590,#118400); #81410=LINE('',#492593,#118401); #81411=LINE('',#492595,#118402); #81412=LINE('',#492596,#118403); #81413=LINE('',#492599,#118404); #81414=LINE('',#492601,#118405); #81415=LINE('',#492602,#118406); #81416=LINE('',#492605,#118407); #81417=LINE('',#492607,#118408); #81418=LINE('',#492608,#118409); #81419=LINE('',#492611,#118410); #81420=LINE('',#492613,#118411); #81421=LINE('',#492614,#118412); #81422=LINE('',#492617,#118413); #81423=LINE('',#492619,#118414); #81424=LINE('',#492620,#118415); #81425=LINE('',#492623,#118416); #81426=LINE('',#492625,#118417); #81427=LINE('',#492626,#118418); #81428=LINE('',#492629,#118419); #81429=LINE('',#492631,#118420); #81430=LINE('',#492632,#118421); #81431=LINE('',#492635,#118422); #81432=LINE('',#492637,#118423); #81433=LINE('',#492638,#118424); #81434=LINE('',#492641,#118425); #81435=LINE('',#492643,#118426); #81436=LINE('',#492644,#118427); #81437=LINE('',#492647,#118428); #81438=LINE('',#492649,#118429); #81439=LINE('',#492650,#118430); #81440=LINE('',#492653,#118431); #81441=LINE('',#492655,#118432); #81442=LINE('',#492656,#118433); #81443=LINE('',#492659,#118434); #81444=LINE('',#492661,#118435); #81445=LINE('',#492662,#118436); #81446=LINE('',#492665,#118437); #81447=LINE('',#492667,#118438); #81448=LINE('',#492668,#118439); #81449=LINE('',#492671,#118440); #81450=LINE('',#492673,#118441); #81451=LINE('',#492674,#118442); #81452=LINE('',#492677,#118443); #81453=LINE('',#492679,#118444); #81454=LINE('',#492680,#118445); #81455=LINE('',#492683,#118446); #81456=LINE('',#492685,#118447); #81457=LINE('',#492686,#118448); #81458=LINE('',#492689,#118449); #81459=LINE('',#492691,#118450); #81460=LINE('',#492692,#118451); #81461=LINE('',#492695,#118452); #81462=LINE('',#492697,#118453); #81463=LINE('',#492698,#118454); #81464=LINE('',#492701,#118455); #81465=LINE('',#492703,#118456); #81466=LINE('',#492704,#118457); #81467=LINE('',#492707,#118458); #81468=LINE('',#492709,#118459); #81469=LINE('',#492710,#118460); #81470=LINE('',#492713,#118461); #81471=LINE('',#492715,#118462); #81472=LINE('',#492716,#118463); #81473=LINE('',#492719,#118464); #81474=LINE('',#492721,#118465); #81475=LINE('',#492722,#118466); #81476=LINE('',#492725,#118467); #81477=LINE('',#492727,#118468); #81478=LINE('',#492728,#118469); #81479=LINE('',#492731,#118470); #81480=LINE('',#492733,#118471); #81481=LINE('',#492734,#118472); #81482=LINE('',#492737,#118473); #81483=LINE('',#492739,#118474); #81484=LINE('',#492740,#118475); #81485=LINE('',#492743,#118476); #81486=LINE('',#492745,#118477); #81487=LINE('',#492746,#118478); #81488=LINE('',#492749,#118479); #81489=LINE('',#492751,#118480); #81490=LINE('',#492752,#118481); #81491=LINE('',#492755,#118482); #81492=LINE('',#492757,#118483); #81493=LINE('',#492758,#118484); #81494=LINE('',#492761,#118485); #81495=LINE('',#492763,#118486); #81496=LINE('',#492764,#118487); #81497=LINE('',#492767,#118488); #81498=LINE('',#492769,#118489); #81499=LINE('',#492770,#118490); #81500=LINE('',#492773,#118491); #81501=LINE('',#492775,#118492); #81502=LINE('',#492776,#118493); #81503=LINE('',#492779,#118494); #81504=LINE('',#492781,#118495); #81505=LINE('',#492782,#118496); #81506=LINE('',#492785,#118497); #81507=LINE('',#492787,#118498); #81508=LINE('',#492788,#118499); #81509=LINE('',#492791,#118500); #81510=LINE('',#492793,#118501); #81511=LINE('',#492794,#118502); #81512=LINE('',#492797,#118503); #81513=LINE('',#492799,#118504); #81514=LINE('',#492800,#118505); #81515=LINE('',#492803,#118506); #81516=LINE('',#492805,#118507); #81517=LINE('',#492806,#118508); #81518=LINE('',#492809,#118509); #81519=LINE('',#492811,#118510); #81520=LINE('',#492812,#118511); #81521=LINE('',#492815,#118512); #81522=LINE('',#492817,#118513); #81523=LINE('',#492818,#118514); #81524=LINE('',#492821,#118515); #81525=LINE('',#492823,#118516); #81526=LINE('',#492824,#118517); #81527=LINE('',#492827,#118518); #81528=LINE('',#492829,#118519); #81529=LINE('',#492830,#118520); #81530=LINE('',#492833,#118521); #81531=LINE('',#492835,#118522); #81532=LINE('',#492836,#118523); #81533=LINE('',#492839,#118524); #81534=LINE('',#492841,#118525); #81535=LINE('',#492842,#118526); #81536=LINE('',#492845,#118527); #81537=LINE('',#492847,#118528); #81538=LINE('',#492848,#118529); #81539=LINE('',#492851,#118530); #81540=LINE('',#492853,#118531); #81541=LINE('',#492854,#118532); #81542=LINE('',#492857,#118533); #81543=LINE('',#492859,#118534); #81544=LINE('',#492860,#118535); #81545=LINE('',#492863,#118536); #81546=LINE('',#492865,#118537); #81547=LINE('',#492866,#118538); #81548=LINE('',#492869,#118539); #81549=LINE('',#492871,#118540); #81550=LINE('',#492872,#118541); #81551=LINE('',#492875,#118542); #81552=LINE('',#492877,#118543); #81553=LINE('',#492878,#118544); #81554=LINE('',#492881,#118545); #81555=LINE('',#492883,#118546); #81556=LINE('',#492884,#118547); #81557=LINE('',#492887,#118548); #81558=LINE('',#492889,#118549); #81559=LINE('',#492890,#118550); #81560=LINE('',#492893,#118551); #81561=LINE('',#492895,#118552); #81562=LINE('',#492896,#118553); #81563=LINE('',#492899,#118554); #81564=LINE('',#492901,#118555); #81565=LINE('',#492902,#118556); #81566=LINE('',#492905,#118557); #81567=LINE('',#492907,#118558); #81568=LINE('',#492908,#118559); #81569=LINE('',#492911,#118560); #81570=LINE('',#492913,#118561); #81571=LINE('',#492914,#118562); #81572=LINE('',#492917,#118563); #81573=LINE('',#492919,#118564); #81574=LINE('',#492920,#118565); #81575=LINE('',#492923,#118566); #81576=LINE('',#492925,#118567); #81577=LINE('',#492926,#118568); #81578=LINE('',#492929,#118569); #81579=LINE('',#492931,#118570); #81580=LINE('',#492932,#118571); #81581=LINE('',#492935,#118572); #81582=LINE('',#492937,#118573); #81583=LINE('',#492938,#118574); #81584=LINE('',#492941,#118575); #81585=LINE('',#492943,#118576); #81586=LINE('',#492944,#118577); #81587=LINE('',#492947,#118578); #81588=LINE('',#492949,#118579); #81589=LINE('',#492950,#118580); #81590=LINE('',#492953,#118581); #81591=LINE('',#492955,#118582); #81592=LINE('',#492956,#118583); #81593=LINE('',#492959,#118584); #81594=LINE('',#492961,#118585); #81595=LINE('',#492962,#118586); #81596=LINE('',#492965,#118587); #81597=LINE('',#492967,#118588); #81598=LINE('',#492968,#118589); #81599=LINE('',#492971,#118590); #81600=LINE('',#492973,#118591); #81601=LINE('',#492974,#118592); #81602=LINE('',#492977,#118593); #81603=LINE('',#492979,#118594); #81604=LINE('',#492980,#118595); #81605=LINE('',#492983,#118596); #81606=LINE('',#492985,#118597); #81607=LINE('',#492986,#118598); #81608=LINE('',#492989,#118599); #81609=LINE('',#492991,#118600); #81610=LINE('',#492992,#118601); #81611=LINE('',#492995,#118602); #81612=LINE('',#492997,#118603); #81613=LINE('',#492998,#118604); #81614=LINE('',#493001,#118605); #81615=LINE('',#493003,#118606); #81616=LINE('',#493004,#118607); #81617=LINE('',#493007,#118608); #81618=LINE('',#493009,#118609); #81619=LINE('',#493010,#118610); #81620=LINE('',#493013,#118611); #81621=LINE('',#493015,#118612); #81622=LINE('',#493016,#118613); #81623=LINE('',#493019,#118614); #81624=LINE('',#493021,#118615); #81625=LINE('',#493022,#118616); #81626=LINE('',#493025,#118617); #81627=LINE('',#493027,#118618); #81628=LINE('',#493028,#118619); #81629=LINE('',#493031,#118620); #81630=LINE('',#493033,#118621); #81631=LINE('',#493034,#118622); #81632=LINE('',#493037,#118623); #81633=LINE('',#493039,#118624); #81634=LINE('',#493040,#118625); #81635=LINE('',#493043,#118626); #81636=LINE('',#493045,#118627); #81637=LINE('',#493046,#118628); #81638=LINE('',#493049,#118629); #81639=LINE('',#493051,#118630); #81640=LINE('',#493052,#118631); #81641=LINE('',#493055,#118632); #81642=LINE('',#493057,#118633); #81643=LINE('',#493058,#118634); #81644=LINE('',#493061,#118635); #81645=LINE('',#493063,#118636); #81646=LINE('',#493064,#118637); #81647=LINE('',#493067,#118638); #81648=LINE('',#493069,#118639); #81649=LINE('',#493070,#118640); #81650=LINE('',#493073,#118641); #81651=LINE('',#493075,#118642); #81652=LINE('',#493076,#118643); #81653=LINE('',#493079,#118644); #81654=LINE('',#493081,#118645); #81655=LINE('',#493082,#118646); #81656=LINE('',#493085,#118647); #81657=LINE('',#493087,#118648); #81658=LINE('',#493088,#118649); #81659=LINE('',#493091,#118650); #81660=LINE('',#493093,#118651); #81661=LINE('',#493094,#118652); #81662=LINE('',#493097,#118653); #81663=LINE('',#493099,#118654); #81664=LINE('',#493100,#118655); #81665=LINE('',#493103,#118656); #81666=LINE('',#493105,#118657); #81667=LINE('',#493106,#118658); #81668=LINE('',#493109,#118659); #81669=LINE('',#493111,#118660); #81670=LINE('',#493112,#118661); #81671=LINE('',#493115,#118662); #81672=LINE('',#493117,#118663); #81673=LINE('',#493118,#118664); #81674=LINE('',#493121,#118665); #81675=LINE('',#493123,#118666); #81676=LINE('',#493124,#118667); #81677=LINE('',#493127,#118668); #81678=LINE('',#493129,#118669); #81679=LINE('',#493130,#118670); #81680=LINE('',#493133,#118671); #81681=LINE('',#493135,#118672); #81682=LINE('',#493136,#118673); #81683=LINE('',#493139,#118674); #81684=LINE('',#493141,#118675); #81685=LINE('',#493142,#118676); #81686=LINE('',#493145,#118677); #81687=LINE('',#493147,#118678); #81688=LINE('',#493148,#118679); #81689=LINE('',#493151,#118680); #81690=LINE('',#493153,#118681); #81691=LINE('',#493154,#118682); #81692=LINE('',#493157,#118683); #81693=LINE('',#493159,#118684); #81694=LINE('',#493160,#118685); #81695=LINE('',#493163,#118686); #81696=LINE('',#493165,#118687); #81697=LINE('',#493166,#118688); #81698=LINE('',#493169,#118689); #81699=LINE('',#493171,#118690); #81700=LINE('',#493172,#118691); #81701=LINE('',#493175,#118692); #81702=LINE('',#493177,#118693); #81703=LINE('',#493178,#118694); #81704=LINE('',#493181,#118695); #81705=LINE('',#493183,#118696); #81706=LINE('',#493184,#118697); #81707=LINE('',#493187,#118698); #81708=LINE('',#493189,#118699); #81709=LINE('',#493190,#118700); #81710=LINE('',#493193,#118701); #81711=LINE('',#493195,#118702); #81712=LINE('',#493196,#118703); #81713=LINE('',#493199,#118704); #81714=LINE('',#493201,#118705); #81715=LINE('',#493202,#118706); #81716=LINE('',#493205,#118707); #81717=LINE('',#493207,#118708); #81718=LINE('',#493208,#118709); #81719=LINE('',#493211,#118710); #81720=LINE('',#493213,#118711); #81721=LINE('',#493214,#118712); #81722=LINE('',#493217,#118713); #81723=LINE('',#493219,#118714); #81724=LINE('',#493220,#118715); #81725=LINE('',#493223,#118716); #81726=LINE('',#493225,#118717); #81727=LINE('',#493226,#118718); #81728=LINE('',#493229,#118719); #81729=LINE('',#493231,#118720); #81730=LINE('',#493232,#118721); #81731=LINE('',#493235,#118722); #81732=LINE('',#493237,#118723); #81733=LINE('',#493238,#118724); #81734=LINE('',#493241,#118725); #81735=LINE('',#493243,#118726); #81736=LINE('',#493244,#118727); #81737=LINE('',#493247,#118728); #81738=LINE('',#493249,#118729); #81739=LINE('',#493250,#118730); #81740=LINE('',#493253,#118731); #81741=LINE('',#493255,#118732); #81742=LINE('',#493256,#118733); #81743=LINE('',#493259,#118734); #81744=LINE('',#493261,#118735); #81745=LINE('',#493262,#118736); #81746=LINE('',#493265,#118737); #81747=LINE('',#493267,#118738); #81748=LINE('',#493268,#118739); #81749=LINE('',#493271,#118740); #81750=LINE('',#493273,#118741); #81751=LINE('',#493274,#118742); #81752=LINE('',#493277,#118743); #81753=LINE('',#493279,#118744); #81754=LINE('',#493280,#118745); #81755=LINE('',#493283,#118746); #81756=LINE('',#493285,#118747); #81757=LINE('',#493286,#118748); #81758=LINE('',#493289,#118749); #81759=LINE('',#493291,#118750); #81760=LINE('',#493292,#118751); #81761=LINE('',#493295,#118752); #81762=LINE('',#493297,#118753); #81763=LINE('',#493298,#118754); #81764=LINE('',#493301,#118755); #81765=LINE('',#493303,#118756); #81766=LINE('',#493304,#118757); #81767=LINE('',#493307,#118758); #81768=LINE('',#493309,#118759); #81769=LINE('',#493310,#118760); #81770=LINE('',#493313,#118761); #81771=LINE('',#493315,#118762); #81772=LINE('',#493316,#118763); #81773=LINE('',#493319,#118764); #81774=LINE('',#493321,#118765); #81775=LINE('',#493322,#118766); #81776=LINE('',#493325,#118767); #81777=LINE('',#493327,#118768); #81778=LINE('',#493328,#118769); #81779=LINE('',#493331,#118770); #81780=LINE('',#493333,#118771); #81781=LINE('',#493334,#118772); #81782=LINE('',#493337,#118773); #81783=LINE('',#493339,#118774); #81784=LINE('',#493340,#118775); #81785=LINE('',#493343,#118776); #81786=LINE('',#493345,#118777); #81787=LINE('',#493346,#118778); #81788=LINE('',#493349,#118779); #81789=LINE('',#493351,#118780); #81790=LINE('',#493352,#118781); #81791=LINE('',#493355,#118782); #81792=LINE('',#493357,#118783); #81793=LINE('',#493358,#118784); #81794=LINE('',#493361,#118785); #81795=LINE('',#493363,#118786); #81796=LINE('',#493364,#118787); #81797=LINE('',#493367,#118788); #81798=LINE('',#493369,#118789); #81799=LINE('',#493370,#118790); #81800=LINE('',#493373,#118791); #81801=LINE('',#493375,#118792); #81802=LINE('',#493376,#118793); #81803=LINE('',#493379,#118794); #81804=LINE('',#493381,#118795); #81805=LINE('',#493382,#118796); #81806=LINE('',#493385,#118797); #81807=LINE('',#493387,#118798); #81808=LINE('',#493388,#118799); #81809=LINE('',#493391,#118800); #81810=LINE('',#493393,#118801); #81811=LINE('',#493394,#118802); #81812=LINE('',#493397,#118803); #81813=LINE('',#493399,#118804); #81814=LINE('',#493400,#118805); #81815=LINE('',#493403,#118806); #81816=LINE('',#493405,#118807); #81817=LINE('',#493406,#118808); #81818=LINE('',#493409,#118809); #81819=LINE('',#493411,#118810); #81820=LINE('',#493412,#118811); #81821=LINE('',#493415,#118812); #81822=LINE('',#493417,#118813); #81823=LINE('',#493418,#118814); #81824=LINE('',#493421,#118815); #81825=LINE('',#493423,#118816); #81826=LINE('',#493424,#118817); #81827=LINE('',#493427,#118818); #81828=LINE('',#493429,#118819); #81829=LINE('',#493430,#118820); #81830=LINE('',#493433,#118821); #81831=LINE('',#493435,#118822); #81832=LINE('',#493436,#118823); #81833=LINE('',#493439,#118824); #81834=LINE('',#493441,#118825); #81835=LINE('',#493442,#118826); #81836=LINE('',#493445,#118827); #81837=LINE('',#493447,#118828); #81838=LINE('',#493448,#118829); #81839=LINE('',#493451,#118830); #81840=LINE('',#493453,#118831); #81841=LINE('',#493454,#118832); #81842=LINE('',#493457,#118833); #81843=LINE('',#493459,#118834); #81844=LINE('',#493460,#118835); #81845=LINE('',#493463,#118836); #81846=LINE('',#493465,#118837); #81847=LINE('',#493466,#118838); #81848=LINE('',#493469,#118839); #81849=LINE('',#493471,#118840); #81850=LINE('',#493472,#118841); #81851=LINE('',#493475,#118842); #81852=LINE('',#493477,#118843); #81853=LINE('',#493478,#118844); #81854=LINE('',#493481,#118845); #81855=LINE('',#493483,#118846); #81856=LINE('',#493484,#118847); #81857=LINE('',#493487,#118848); #81858=LINE('',#493489,#118849); #81859=LINE('',#493490,#118850); #81860=LINE('',#493493,#118851); #81861=LINE('',#493495,#118852); #81862=LINE('',#493496,#118853); #81863=LINE('',#493499,#118854); #81864=LINE('',#493501,#118855); #81865=LINE('',#493502,#118856); #81866=LINE('',#493505,#118857); #81867=LINE('',#493507,#118858); #81868=LINE('',#493508,#118859); #81869=LINE('',#493511,#118860); #81870=LINE('',#493513,#118861); #81871=LINE('',#493514,#118862); #81872=LINE('',#493517,#118863); #81873=LINE('',#493519,#118864); #81874=LINE('',#493520,#118865); #81875=LINE('',#493523,#118866); #81876=LINE('',#493525,#118867); #81877=LINE('',#493526,#118868); #81878=LINE('',#493529,#118869); #81879=LINE('',#493531,#118870); #81880=LINE('',#493532,#118871); #81881=LINE('',#493535,#118872); #81882=LINE('',#493537,#118873); #81883=LINE('',#493538,#118874); #81884=LINE('',#493541,#118875); #81885=LINE('',#493543,#118876); #81886=LINE('',#493544,#118877); #81887=LINE('',#493547,#118878); #81888=LINE('',#493549,#118879); #81889=LINE('',#493550,#118880); #81890=LINE('',#493553,#118881); #81891=LINE('',#493555,#118882); #81892=LINE('',#493556,#118883); #81893=LINE('',#493559,#118884); #81894=LINE('',#493561,#118885); #81895=LINE('',#493562,#118886); #81896=LINE('',#493565,#118887); #81897=LINE('',#493567,#118888); #81898=LINE('',#493568,#118889); #81899=LINE('',#493571,#118890); #81900=LINE('',#493573,#118891); #81901=LINE('',#493574,#118892); #81902=LINE('',#493577,#118893); #81903=LINE('',#493579,#118894); #81904=LINE('',#493580,#118895); #81905=LINE('',#493583,#118896); #81906=LINE('',#493585,#118897); #81907=LINE('',#493586,#118898); #81908=LINE('',#493589,#118899); #81909=LINE('',#493591,#118900); #81910=LINE('',#493592,#118901); #81911=LINE('',#493595,#118902); #81912=LINE('',#493597,#118903); #81913=LINE('',#493598,#118904); #81914=LINE('',#493601,#118905); #81915=LINE('',#493603,#118906); #81916=LINE('',#493604,#118907); #81917=LINE('',#493607,#118908); #81918=LINE('',#493609,#118909); #81919=LINE('',#493610,#118910); #81920=LINE('',#493613,#118911); #81921=LINE('',#493615,#118912); #81922=LINE('',#493616,#118913); #81923=LINE('',#493619,#118914); #81924=LINE('',#493621,#118915); #81925=LINE('',#493622,#118916); #81926=LINE('',#493625,#118917); #81927=LINE('',#493627,#118918); #81928=LINE('',#493628,#118919); #81929=LINE('',#493631,#118920); #81930=LINE('',#493633,#118921); #81931=LINE('',#493634,#118922); #81932=LINE('',#493637,#118923); #81933=LINE('',#493639,#118924); #81934=LINE('',#493640,#118925); #81935=LINE('',#493643,#118926); #81936=LINE('',#493645,#118927); #81937=LINE('',#493646,#118928); #81938=LINE('',#493649,#118929); #81939=LINE('',#493651,#118930); #81940=LINE('',#493652,#118931); #81941=LINE('',#493655,#118932); #81942=LINE('',#493657,#118933); #81943=LINE('',#493658,#118934); #81944=LINE('',#493661,#118935); #81945=LINE('',#493663,#118936); #81946=LINE('',#493664,#118937); #81947=LINE('',#493667,#118938); #81948=LINE('',#493669,#118939); #81949=LINE('',#493670,#118940); #81950=LINE('',#493673,#118941); #81951=LINE('',#493675,#118942); #81952=LINE('',#493676,#118943); #81953=LINE('',#493679,#118944); #81954=LINE('',#493681,#118945); #81955=LINE('',#493682,#118946); #81956=LINE('',#493685,#118947); #81957=LINE('',#493687,#118948); #81958=LINE('',#493688,#118949); #81959=LINE('',#493691,#118950); #81960=LINE('',#493693,#118951); #81961=LINE('',#493694,#118952); #81962=LINE('',#493697,#118953); #81963=LINE('',#493699,#118954); #81964=LINE('',#493700,#118955); #81965=LINE('',#493703,#118956); #81966=LINE('',#493705,#118957); #81967=LINE('',#493706,#118958); #81968=LINE('',#493709,#118959); #81969=LINE('',#493711,#118960); #81970=LINE('',#493712,#118961); #81971=LINE('',#493715,#118962); #81972=LINE('',#493717,#118963); #81973=LINE('',#493718,#118964); #81974=LINE('',#493721,#118965); #81975=LINE('',#493723,#118966); #81976=LINE('',#493724,#118967); #81977=LINE('',#493727,#118968); #81978=LINE('',#493729,#118969); #81979=LINE('',#493730,#118970); #81980=LINE('',#493733,#118971); #81981=LINE('',#493735,#118972); #81982=LINE('',#493736,#118973); #81983=LINE('',#493739,#118974); #81984=LINE('',#493741,#118975); #81985=LINE('',#493742,#118976); #81986=LINE('',#493745,#118977); #81987=LINE('',#493747,#118978); #81988=LINE('',#493748,#118979); #81989=LINE('',#493751,#118980); #81990=LINE('',#493753,#118981); #81991=LINE('',#493754,#118982); #81992=LINE('',#493757,#118983); #81993=LINE('',#493759,#118984); #81994=LINE('',#493760,#118985); #81995=LINE('',#493763,#118986); #81996=LINE('',#493765,#118987); #81997=LINE('',#493766,#118988); #81998=LINE('',#493769,#118989); #81999=LINE('',#493771,#118990); #82000=LINE('',#493772,#118991); #82001=LINE('',#493775,#118992); #82002=LINE('',#493777,#118993); #82003=LINE('',#493778,#118994); #82004=LINE('',#493781,#118995); #82005=LINE('',#493783,#118996); #82006=LINE('',#493784,#118997); #82007=LINE('',#493787,#118998); #82008=LINE('',#493789,#118999); #82009=LINE('',#493790,#119000); #82010=LINE('',#493793,#119001); #82011=LINE('',#493795,#119002); #82012=LINE('',#493796,#119003); #82013=LINE('',#493799,#119004); #82014=LINE('',#493801,#119005); #82015=LINE('',#493802,#119006); #82016=LINE('',#493805,#119007); #82017=LINE('',#493807,#119008); #82018=LINE('',#493808,#119009); #82019=LINE('',#493811,#119010); #82020=LINE('',#493813,#119011); #82021=LINE('',#493814,#119012); #82022=LINE('',#493817,#119013); #82023=LINE('',#493819,#119014); #82024=LINE('',#493820,#119015); #82025=LINE('',#493823,#119016); #82026=LINE('',#493825,#119017); #82027=LINE('',#493826,#119018); #82028=LINE('',#493829,#119019); #82029=LINE('',#493831,#119020); #82030=LINE('',#493832,#119021); #82031=LINE('',#493835,#119022); #82032=LINE('',#493837,#119023); #82033=LINE('',#493838,#119024); #82034=LINE('',#493841,#119025); #82035=LINE('',#493843,#119026); #82036=LINE('',#493844,#119027); #82037=LINE('',#493847,#119028); #82038=LINE('',#493849,#119029); #82039=LINE('',#493850,#119030); #82040=LINE('',#493853,#119031); #82041=LINE('',#493855,#119032); #82042=LINE('',#493856,#119033); #82043=LINE('',#493859,#119034); #82044=LINE('',#493861,#119035); #82045=LINE('',#493862,#119036); #82046=LINE('',#493865,#119037); #82047=LINE('',#493867,#119038); #82048=LINE('',#493868,#119039); #82049=LINE('',#493871,#119040); #82050=LINE('',#493873,#119041); #82051=LINE('',#493874,#119042); #82052=LINE('',#493877,#119043); #82053=LINE('',#493879,#119044); #82054=LINE('',#493880,#119045); #82055=LINE('',#493883,#119046); #82056=LINE('',#493885,#119047); #82057=LINE('',#493886,#119048); #82058=LINE('',#493889,#119049); #82059=LINE('',#493891,#119050); #82060=LINE('',#493892,#119051); #82061=LINE('',#493895,#119052); #82062=LINE('',#493897,#119053); #82063=LINE('',#493898,#119054); #82064=LINE('',#493901,#119055); #82065=LINE('',#493903,#119056); #82066=LINE('',#493904,#119057); #82067=LINE('',#493907,#119058); #82068=LINE('',#493909,#119059); #82069=LINE('',#493910,#119060); #82070=LINE('',#493913,#119061); #82071=LINE('',#493915,#119062); #82072=LINE('',#493916,#119063); #82073=LINE('',#493919,#119064); #82074=LINE('',#493921,#119065); #82075=LINE('',#493922,#119066); #82076=LINE('',#493925,#119067); #82077=LINE('',#493927,#119068); #82078=LINE('',#493928,#119069); #82079=LINE('',#493931,#119070); #82080=LINE('',#493933,#119071); #82081=LINE('',#493934,#119072); #82082=LINE('',#493937,#119073); #82083=LINE('',#493939,#119074); #82084=LINE('',#493940,#119075); #82085=LINE('',#493943,#119076); #82086=LINE('',#493945,#119077); #82087=LINE('',#493946,#119078); #82088=LINE('',#493949,#119079); #82089=LINE('',#493951,#119080); #82090=LINE('',#493952,#119081); #82091=LINE('',#493955,#119082); #82092=LINE('',#493957,#119083); #82093=LINE('',#493958,#119084); #82094=LINE('',#493961,#119085); #82095=LINE('',#493963,#119086); #82096=LINE('',#493964,#119087); #82097=LINE('',#493967,#119088); #82098=LINE('',#493969,#119089); #82099=LINE('',#493970,#119090); #82100=LINE('',#493973,#119091); #82101=LINE('',#493975,#119092); #82102=LINE('',#493976,#119093); #82103=LINE('',#493979,#119094); #82104=LINE('',#493981,#119095); #82105=LINE('',#493982,#119096); #82106=LINE('',#493985,#119097); #82107=LINE('',#493987,#119098); #82108=LINE('',#493988,#119099); #82109=LINE('',#493991,#119100); #82110=LINE('',#493993,#119101); #82111=LINE('',#493994,#119102); #82112=LINE('',#493997,#119103); #82113=LINE('',#493999,#119104); #82114=LINE('',#494000,#119105); #82115=LINE('',#494003,#119106); #82116=LINE('',#494005,#119107); #82117=LINE('',#494006,#119108); #82118=LINE('',#494009,#119109); #82119=LINE('',#494011,#119110); #82120=LINE('',#494012,#119111); #82121=LINE('',#494015,#119112); #82122=LINE('',#494017,#119113); #82123=LINE('',#494018,#119114); #82124=LINE('',#494021,#119115); #82125=LINE('',#494023,#119116); #82126=LINE('',#494024,#119117); #82127=LINE('',#494027,#119118); #82128=LINE('',#494029,#119119); #82129=LINE('',#494030,#119120); #82130=LINE('',#494033,#119121); #82131=LINE('',#494035,#119122); #82132=LINE('',#494036,#119123); #82133=LINE('',#494039,#119124); #82134=LINE('',#494041,#119125); #82135=LINE('',#494042,#119126); #82136=LINE('',#494045,#119127); #82137=LINE('',#494047,#119128); #82138=LINE('',#494048,#119129); #82139=LINE('',#494051,#119130); #82140=LINE('',#494053,#119131); #82141=LINE('',#494054,#119132); #82142=LINE('',#494057,#119133); #82143=LINE('',#494059,#119134); #82144=LINE('',#494060,#119135); #82145=LINE('',#494063,#119136); #82146=LINE('',#494065,#119137); #82147=LINE('',#494066,#119138); #82148=LINE('',#494069,#119139); #82149=LINE('',#494071,#119140); #82150=LINE('',#494072,#119141); #82151=LINE('',#494075,#119142); #82152=LINE('',#494077,#119143); #82153=LINE('',#494078,#119144); #82154=LINE('',#494081,#119145); #82155=LINE('',#494083,#119146); #82156=LINE('',#494084,#119147); #82157=LINE('',#494087,#119148); #82158=LINE('',#494089,#119149); #82159=LINE('',#494090,#119150); #82160=LINE('',#494093,#119151); #82161=LINE('',#494095,#119152); #82162=LINE('',#494096,#119153); #82163=LINE('',#494099,#119154); #82164=LINE('',#494101,#119155); #82165=LINE('',#494102,#119156); #82166=LINE('',#494105,#119157); #82167=LINE('',#494107,#119158); #82168=LINE('',#494108,#119159); #82169=LINE('',#494111,#119160); #82170=LINE('',#494113,#119161); #82171=LINE('',#494114,#119162); #82172=LINE('',#494117,#119163); #82173=LINE('',#494119,#119164); #82174=LINE('',#494120,#119165); #82175=LINE('',#494123,#119166); #82176=LINE('',#494125,#119167); #82177=LINE('',#494126,#119168); #82178=LINE('',#494129,#119169); #82179=LINE('',#494131,#119170); #82180=LINE('',#494132,#119171); #82181=LINE('',#494135,#119172); #82182=LINE('',#494137,#119173); #82183=LINE('',#494138,#119174); #82184=LINE('',#494141,#119175); #82185=LINE('',#494143,#119176); #82186=LINE('',#494144,#119177); #82187=LINE('',#494147,#119178); #82188=LINE('',#494149,#119179); #82189=LINE('',#494150,#119180); #82190=LINE('',#494153,#119181); #82191=LINE('',#494155,#119182); #82192=LINE('',#494156,#119183); #82193=LINE('',#494159,#119184); #82194=LINE('',#494161,#119185); #82195=LINE('',#494162,#119186); #82196=LINE('',#494165,#119187); #82197=LINE('',#494167,#119188); #82198=LINE('',#494168,#119189); #82199=LINE('',#494171,#119190); #82200=LINE('',#494173,#119191); #82201=LINE('',#494174,#119192); #82202=LINE('',#494177,#119193); #82203=LINE('',#494179,#119194); #82204=LINE('',#494180,#119195); #82205=LINE('',#494183,#119196); #82206=LINE('',#494185,#119197); #82207=LINE('',#494186,#119198); #82208=LINE('',#494189,#119199); #82209=LINE('',#494191,#119200); #82210=LINE('',#494192,#119201); #82211=LINE('',#494195,#119202); #82212=LINE('',#494197,#119203); #82213=LINE('',#494198,#119204); #82214=LINE('',#494201,#119205); #82215=LINE('',#494203,#119206); #82216=LINE('',#494204,#119207); #82217=LINE('',#494207,#119208); #82218=LINE('',#494209,#119209); #82219=LINE('',#494210,#119210); #82220=LINE('',#494213,#119211); #82221=LINE('',#494215,#119212); #82222=LINE('',#494216,#119213); #82223=LINE('',#494219,#119214); #82224=LINE('',#494221,#119215); #82225=LINE('',#494222,#119216); #82226=LINE('',#494225,#119217); #82227=LINE('',#494227,#119218); #82228=LINE('',#494228,#119219); #82229=LINE('',#494231,#119220); #82230=LINE('',#494233,#119221); #82231=LINE('',#494234,#119222); #82232=LINE('',#494237,#119223); #82233=LINE('',#494239,#119224); #82234=LINE('',#494240,#119225); #82235=LINE('',#494243,#119226); #82236=LINE('',#494245,#119227); #82237=LINE('',#494246,#119228); #82238=LINE('',#494249,#119229); #82239=LINE('',#494251,#119230); #82240=LINE('',#494252,#119231); #82241=LINE('',#494255,#119232); #82242=LINE('',#494257,#119233); #82243=LINE('',#494258,#119234); #82244=LINE('',#494261,#119235); #82245=LINE('',#494263,#119236); #82246=LINE('',#494264,#119237); #82247=LINE('',#494267,#119238); #82248=LINE('',#494269,#119239); #82249=LINE('',#494270,#119240); #82250=LINE('',#494273,#119241); #82251=LINE('',#494275,#119242); #82252=LINE('',#494276,#119243); #82253=LINE('',#494279,#119244); #82254=LINE('',#494281,#119245); #82255=LINE('',#494282,#119246); #82256=LINE('',#494285,#119247); #82257=LINE('',#494287,#119248); #82258=LINE('',#494288,#119249); #82259=LINE('',#494291,#119250); #82260=LINE('',#494293,#119251); #82261=LINE('',#494294,#119252); #82262=LINE('',#494297,#119253); #82263=LINE('',#494299,#119254); #82264=LINE('',#494300,#119255); #82265=LINE('',#494303,#119256); #82266=LINE('',#494305,#119257); #82267=LINE('',#494306,#119258); #82268=LINE('',#494309,#119259); #82269=LINE('',#494311,#119260); #82270=LINE('',#494312,#119261); #82271=LINE('',#494315,#119262); #82272=LINE('',#494317,#119263); #82273=LINE('',#494318,#119264); #82274=LINE('',#494321,#119265); #82275=LINE('',#494323,#119266); #82276=LINE('',#494324,#119267); #82277=LINE('',#494327,#119268); #82278=LINE('',#494329,#119269); #82279=LINE('',#494330,#119270); #82280=LINE('',#494333,#119271); #82281=LINE('',#494335,#119272); #82282=LINE('',#494336,#119273); #82283=LINE('',#494339,#119274); #82284=LINE('',#494341,#119275); #82285=LINE('',#494342,#119276); #82286=LINE('',#494345,#119277); #82287=LINE('',#494347,#119278); #82288=LINE('',#494348,#119279); #82289=LINE('',#494351,#119280); #82290=LINE('',#494353,#119281); #82291=LINE('',#494354,#119282); #82292=LINE('',#494357,#119283); #82293=LINE('',#494359,#119284); #82294=LINE('',#494360,#119285); #82295=LINE('',#494363,#119286); #82296=LINE('',#494365,#119287); #82297=LINE('',#494366,#119288); #82298=LINE('',#494369,#119289); #82299=LINE('',#494371,#119290); #82300=LINE('',#494372,#119291); #82301=LINE('',#494375,#119292); #82302=LINE('',#494377,#119293); #82303=LINE('',#494378,#119294); #82304=LINE('',#494381,#119295); #82305=LINE('',#494383,#119296); #82306=LINE('',#494384,#119297); #82307=LINE('',#494387,#119298); #82308=LINE('',#494389,#119299); #82309=LINE('',#494390,#119300); #82310=LINE('',#494393,#119301); #82311=LINE('',#494395,#119302); #82312=LINE('',#494396,#119303); #82313=LINE('',#494399,#119304); #82314=LINE('',#494401,#119305); #82315=LINE('',#494402,#119306); #82316=LINE('',#494405,#119307); #82317=LINE('',#494407,#119308); #82318=LINE('',#494408,#119309); #82319=LINE('',#494411,#119310); #82320=LINE('',#494413,#119311); #82321=LINE('',#494414,#119312); #82322=LINE('',#494417,#119313); #82323=LINE('',#494419,#119314); #82324=LINE('',#494420,#119315); #82325=LINE('',#494423,#119316); #82326=LINE('',#494425,#119317); #82327=LINE('',#494426,#119318); #82328=LINE('',#494429,#119319); #82329=LINE('',#494431,#119320); #82330=LINE('',#494432,#119321); #82331=LINE('',#494435,#119322); #82332=LINE('',#494437,#119323); #82333=LINE('',#494438,#119324); #82334=LINE('',#494441,#119325); #82335=LINE('',#494443,#119326); #82336=LINE('',#494444,#119327); #82337=LINE('',#494447,#119328); #82338=LINE('',#494449,#119329); #82339=LINE('',#494450,#119330); #82340=LINE('',#494453,#119331); #82341=LINE('',#494455,#119332); #82342=LINE('',#494456,#119333); #82343=LINE('',#494459,#119334); #82344=LINE('',#494461,#119335); #82345=LINE('',#494462,#119336); #82346=LINE('',#494465,#119337); #82347=LINE('',#494467,#119338); #82348=LINE('',#494468,#119339); #82349=LINE('',#494471,#119340); #82350=LINE('',#494473,#119341); #82351=LINE('',#494474,#119342); #82352=LINE('',#494477,#119343); #82353=LINE('',#494479,#119344); #82354=LINE('',#494480,#119345); #82355=LINE('',#494483,#119346); #82356=LINE('',#494485,#119347); #82357=LINE('',#494486,#119348); #82358=LINE('',#494489,#119349); #82359=LINE('',#494491,#119350); #82360=LINE('',#494492,#119351); #82361=LINE('',#494495,#119352); #82362=LINE('',#494497,#119353); #82363=LINE('',#494498,#119354); #82364=LINE('',#494501,#119355); #82365=LINE('',#494503,#119356); #82366=LINE('',#494504,#119357); #82367=LINE('',#494507,#119358); #82368=LINE('',#494509,#119359); #82369=LINE('',#494510,#119360); #82370=LINE('',#494513,#119361); #82371=LINE('',#494515,#119362); #82372=LINE('',#494516,#119363); #82373=LINE('',#494519,#119364); #82374=LINE('',#494521,#119365); #82375=LINE('',#494522,#119366); #82376=LINE('',#494525,#119367); #82377=LINE('',#494527,#119368); #82378=LINE('',#494528,#119369); #82379=LINE('',#494531,#119370); #82380=LINE('',#494533,#119371); #82381=LINE('',#494534,#119372); #82382=LINE('',#494537,#119373); #82383=LINE('',#494539,#119374); #82384=LINE('',#494540,#119375); #82385=LINE('',#494543,#119376); #82386=LINE('',#494545,#119377); #82387=LINE('',#494546,#119378); #82388=LINE('',#494549,#119379); #82389=LINE('',#494551,#119380); #82390=LINE('',#494552,#119381); #82391=LINE('',#494555,#119382); #82392=LINE('',#494557,#119383); #82393=LINE('',#494558,#119384); #82394=LINE('',#494561,#119385); #82395=LINE('',#494563,#119386); #82396=LINE('',#494564,#119387); #82397=LINE('',#494567,#119388); #82398=LINE('',#494569,#119389); #82399=LINE('',#494570,#119390); #82400=LINE('',#494573,#119391); #82401=LINE('',#494575,#119392); #82402=LINE('',#494576,#119393); #82403=LINE('',#494579,#119394); #82404=LINE('',#494581,#119395); #82405=LINE('',#494582,#119396); #82406=LINE('',#494585,#119397); #82407=LINE('',#494587,#119398); #82408=LINE('',#494588,#119399); #82409=LINE('',#494591,#119400); #82410=LINE('',#494593,#119401); #82411=LINE('',#494594,#119402); #82412=LINE('',#494597,#119403); #82413=LINE('',#494599,#119404); #82414=LINE('',#494600,#119405); #82415=LINE('',#494603,#119406); #82416=LINE('',#494605,#119407); #82417=LINE('',#494606,#119408); #82418=LINE('',#494609,#119409); #82419=LINE('',#494611,#119410); #82420=LINE('',#494612,#119411); #82421=LINE('',#494615,#119412); #82422=LINE('',#494617,#119413); #82423=LINE('',#494618,#119414); #82424=LINE('',#494621,#119415); #82425=LINE('',#494623,#119416); #82426=LINE('',#494624,#119417); #82427=LINE('',#494627,#119418); #82428=LINE('',#494629,#119419); #82429=LINE('',#494630,#119420); #82430=LINE('',#494633,#119421); #82431=LINE('',#494635,#119422); #82432=LINE('',#494636,#119423); #82433=LINE('',#494639,#119424); #82434=LINE('',#494641,#119425); #82435=LINE('',#494642,#119426); #82436=LINE('',#494645,#119427); #82437=LINE('',#494647,#119428); #82438=LINE('',#494648,#119429); #82439=LINE('',#494651,#119430); #82440=LINE('',#494653,#119431); #82441=LINE('',#494654,#119432); #82442=LINE('',#494657,#119433); #82443=LINE('',#494659,#119434); #82444=LINE('',#494660,#119435); #82445=LINE('',#494663,#119436); #82446=LINE('',#494665,#119437); #82447=LINE('',#494666,#119438); #82448=LINE('',#494669,#119439); #82449=LINE('',#494671,#119440); #82450=LINE('',#494672,#119441); #82451=LINE('',#494675,#119442); #82452=LINE('',#494677,#119443); #82453=LINE('',#494678,#119444); #82454=LINE('',#494681,#119445); #82455=LINE('',#494683,#119446); #82456=LINE('',#494684,#119447); #82457=LINE('',#494687,#119448); #82458=LINE('',#494689,#119449); #82459=LINE('',#494690,#119450); #82460=LINE('',#494693,#119451); #82461=LINE('',#494695,#119452); #82462=LINE('',#494696,#119453); #82463=LINE('',#494699,#119454); #82464=LINE('',#494701,#119455); #82465=LINE('',#494702,#119456); #82466=LINE('',#494705,#119457); #82467=LINE('',#494707,#119458); #82468=LINE('',#494708,#119459); #82469=LINE('',#494711,#119460); #82470=LINE('',#494713,#119461); #82471=LINE('',#494714,#119462); #82472=LINE('',#494717,#119463); #82473=LINE('',#494719,#119464); #82474=LINE('',#494720,#119465); #82475=LINE('',#494723,#119466); #82476=LINE('',#494725,#119467); #82477=LINE('',#494726,#119468); #82478=LINE('',#494729,#119469); #82479=LINE('',#494731,#119470); #82480=LINE('',#494732,#119471); #82481=LINE('',#494735,#119472); #82482=LINE('',#494737,#119473); #82483=LINE('',#494738,#119474); #82484=LINE('',#494741,#119475); #82485=LINE('',#494743,#119476); #82486=LINE('',#494744,#119477); #82487=LINE('',#494747,#119478); #82488=LINE('',#494749,#119479); #82489=LINE('',#494750,#119480); #82490=LINE('',#494753,#119481); #82491=LINE('',#494755,#119482); #82492=LINE('',#494756,#119483); #82493=LINE('',#494759,#119484); #82494=LINE('',#494761,#119485); #82495=LINE('',#494762,#119486); #82496=LINE('',#494765,#119487); #82497=LINE('',#494767,#119488); #82498=LINE('',#494768,#119489); #82499=LINE('',#494771,#119490); #82500=LINE('',#494773,#119491); #82501=LINE('',#494774,#119492); #82502=LINE('',#494777,#119493); #82503=LINE('',#494779,#119494); #82504=LINE('',#494780,#119495); #82505=LINE('',#494783,#119496); #82506=LINE('',#494785,#119497); #82507=LINE('',#494786,#119498); #82508=LINE('',#494789,#119499); #82509=LINE('',#494791,#119500); #82510=LINE('',#494792,#119501); #82511=LINE('',#494795,#119502); #82512=LINE('',#494797,#119503); #82513=LINE('',#494798,#119504); #82514=LINE('',#494801,#119505); #82515=LINE('',#494803,#119506); #82516=LINE('',#494804,#119507); #82517=LINE('',#494807,#119508); #82518=LINE('',#494809,#119509); #82519=LINE('',#494810,#119510); #82520=LINE('',#494813,#119511); #82521=LINE('',#494815,#119512); #82522=LINE('',#494816,#119513); #82523=LINE('',#494819,#119514); #82524=LINE('',#494821,#119515); #82525=LINE('',#494822,#119516); #82526=LINE('',#494825,#119517); #82527=LINE('',#494827,#119518); #82528=LINE('',#494828,#119519); #82529=LINE('',#494831,#119520); #82530=LINE('',#494833,#119521); #82531=LINE('',#494834,#119522); #82532=LINE('',#494837,#119523); #82533=LINE('',#494839,#119524); #82534=LINE('',#494840,#119525); #82535=LINE('',#494843,#119526); #82536=LINE('',#494845,#119527); #82537=LINE('',#494846,#119528); #82538=LINE('',#494849,#119529); #82539=LINE('',#494851,#119530); #82540=LINE('',#494852,#119531); #82541=LINE('',#494855,#119532); #82542=LINE('',#494857,#119533); #82543=LINE('',#494858,#119534); #82544=LINE('',#494861,#119535); #82545=LINE('',#494863,#119536); #82546=LINE('',#494864,#119537); #82547=LINE('',#494867,#119538); #82548=LINE('',#494869,#119539); #82549=LINE('',#494870,#119540); #82550=LINE('',#494873,#119541); #82551=LINE('',#494875,#119542); #82552=LINE('',#494876,#119543); #82553=LINE('',#494879,#119544); #82554=LINE('',#494881,#119545); #82555=LINE('',#494882,#119546); #82556=LINE('',#494885,#119547); #82557=LINE('',#494887,#119548); #82558=LINE('',#494888,#119549); #82559=LINE('',#494891,#119550); #82560=LINE('',#494893,#119551); #82561=LINE('',#494894,#119552); #82562=LINE('',#494897,#119553); #82563=LINE('',#494899,#119554); #82564=LINE('',#494900,#119555); #82565=LINE('',#494903,#119556); #82566=LINE('',#494905,#119557); #82567=LINE('',#494906,#119558); #82568=LINE('',#494909,#119559); #82569=LINE('',#494911,#119560); #82570=LINE('',#494912,#119561); #82571=LINE('',#494915,#119562); #82572=LINE('',#494917,#119563); #82573=LINE('',#494918,#119564); #82574=LINE('',#494921,#119565); #82575=LINE('',#494923,#119566); #82576=LINE('',#494924,#119567); #82577=LINE('',#494927,#119568); #82578=LINE('',#494929,#119569); #82579=LINE('',#494930,#119570); #82580=LINE('',#494933,#119571); #82581=LINE('',#494935,#119572); #82582=LINE('',#494936,#119573); #82583=LINE('',#494939,#119574); #82584=LINE('',#494941,#119575); #82585=LINE('',#494942,#119576); #82586=LINE('',#494945,#119577); #82587=LINE('',#494947,#119578); #82588=LINE('',#494948,#119579); #82589=LINE('',#494951,#119580); #82590=LINE('',#494953,#119581); #82591=LINE('',#494954,#119582); #82592=LINE('',#494957,#119583); #82593=LINE('',#494959,#119584); #82594=LINE('',#494960,#119585); #82595=LINE('',#494963,#119586); #82596=LINE('',#494965,#119587); #82597=LINE('',#494966,#119588); #82598=LINE('',#494969,#119589); #82599=LINE('',#494971,#119590); #82600=LINE('',#494972,#119591); #82601=LINE('',#494975,#119592); #82602=LINE('',#494977,#119593); #82603=LINE('',#494978,#119594); #82604=LINE('',#494981,#119595); #82605=LINE('',#494983,#119596); #82606=LINE('',#494984,#119597); #82607=LINE('',#494987,#119598); #82608=LINE('',#494989,#119599); #82609=LINE('',#494990,#119600); #82610=LINE('',#494993,#119601); #82611=LINE('',#494995,#119602); #82612=LINE('',#494996,#119603); #82613=LINE('',#494999,#119604); #82614=LINE('',#495001,#119605); #82615=LINE('',#495002,#119606); #82616=LINE('',#495005,#119607); #82617=LINE('',#495007,#119608); #82618=LINE('',#495008,#119609); #82619=LINE('',#495011,#119610); #82620=LINE('',#495013,#119611); #82621=LINE('',#495014,#119612); #82622=LINE('',#495017,#119613); #82623=LINE('',#495019,#119614); #82624=LINE('',#495020,#119615); #82625=LINE('',#495023,#119616); #82626=LINE('',#495025,#119617); #82627=LINE('',#495026,#119618); #82628=LINE('',#495029,#119619); #82629=LINE('',#495031,#119620); #82630=LINE('',#495032,#119621); #82631=LINE('',#495035,#119622); #82632=LINE('',#495037,#119623); #82633=LINE('',#495038,#119624); #82634=LINE('',#495041,#119625); #82635=LINE('',#495043,#119626); #82636=LINE('',#495044,#119627); #82637=LINE('',#495047,#119628); #82638=LINE('',#495049,#119629); #82639=LINE('',#495050,#119630); #82640=LINE('',#495053,#119631); #82641=LINE('',#495055,#119632); #82642=LINE('',#495056,#119633); #82643=LINE('',#495059,#119634); #82644=LINE('',#495061,#119635); #82645=LINE('',#495062,#119636); #82646=LINE('',#495065,#119637); #82647=LINE('',#495067,#119638); #82648=LINE('',#495068,#119639); #82649=LINE('',#495071,#119640); #82650=LINE('',#495073,#119641); #82651=LINE('',#495074,#119642); #82652=LINE('',#495077,#119643); #82653=LINE('',#495079,#119644); #82654=LINE('',#495080,#119645); #82655=LINE('',#495083,#119646); #82656=LINE('',#495085,#119647); #82657=LINE('',#495086,#119648); #82658=LINE('',#495089,#119649); #82659=LINE('',#495091,#119650); #82660=LINE('',#495092,#119651); #82661=LINE('',#495095,#119652); #82662=LINE('',#495097,#119653); #82663=LINE('',#495098,#119654); #82664=LINE('',#495101,#119655); #82665=LINE('',#495103,#119656); #82666=LINE('',#495104,#119657); #82667=LINE('',#495107,#119658); #82668=LINE('',#495109,#119659); #82669=LINE('',#495110,#119660); #82670=LINE('',#495113,#119661); #82671=LINE('',#495115,#119662); #82672=LINE('',#495116,#119663); #82673=LINE('',#495119,#119664); #82674=LINE('',#495121,#119665); #82675=LINE('',#495122,#119666); #82676=LINE('',#495125,#119667); #82677=LINE('',#495127,#119668); #82678=LINE('',#495128,#119669); #82679=LINE('',#495131,#119670); #82680=LINE('',#495133,#119671); #82681=LINE('',#495134,#119672); #82682=LINE('',#495137,#119673); #82683=LINE('',#495139,#119674); #82684=LINE('',#495140,#119675); #82685=LINE('',#495143,#119676); #82686=LINE('',#495145,#119677); #82687=LINE('',#495146,#119678); #82688=LINE('',#495149,#119679); #82689=LINE('',#495151,#119680); #82690=LINE('',#495152,#119681); #82691=LINE('',#495155,#119682); #82692=LINE('',#495157,#119683); #82693=LINE('',#495158,#119684); #82694=LINE('',#495161,#119685); #82695=LINE('',#495163,#119686); #82696=LINE('',#495164,#119687); #82697=LINE('',#495167,#119688); #82698=LINE('',#495169,#119689); #82699=LINE('',#495170,#119690); #82700=LINE('',#495173,#119691); #82701=LINE('',#495175,#119692); #82702=LINE('',#495176,#119693); #82703=LINE('',#495179,#119694); #82704=LINE('',#495181,#119695); #82705=LINE('',#495182,#119696); #82706=LINE('',#495185,#119697); #82707=LINE('',#495187,#119698); #82708=LINE('',#495188,#119699); #82709=LINE('',#495191,#119700); #82710=LINE('',#495193,#119701); #82711=LINE('',#495194,#119702); #82712=LINE('',#495197,#119703); #82713=LINE('',#495199,#119704); #82714=LINE('',#495200,#119705); #82715=LINE('',#495203,#119706); #82716=LINE('',#495205,#119707); #82717=LINE('',#495206,#119708); #82718=LINE('',#495209,#119709); #82719=LINE('',#495211,#119710); #82720=LINE('',#495212,#119711); #82721=LINE('',#495215,#119712); #82722=LINE('',#495217,#119713); #82723=LINE('',#495218,#119714); #82724=LINE('',#495221,#119715); #82725=LINE('',#495223,#119716); #82726=LINE('',#495224,#119717); #82727=LINE('',#495227,#119718); #82728=LINE('',#495229,#119719); #82729=LINE('',#495230,#119720); #82730=LINE('',#495233,#119721); #82731=LINE('',#495235,#119722); #82732=LINE('',#495236,#119723); #82733=LINE('',#495239,#119724); #82734=LINE('',#495241,#119725); #82735=LINE('',#495242,#119726); #82736=LINE('',#495245,#119727); #82737=LINE('',#495247,#119728); #82738=LINE('',#495248,#119729); #82739=LINE('',#495251,#119730); #82740=LINE('',#495253,#119731); #82741=LINE('',#495254,#119732); #82742=LINE('',#495257,#119733); #82743=LINE('',#495259,#119734); #82744=LINE('',#495260,#119735); #82745=LINE('',#495263,#119736); #82746=LINE('',#495265,#119737); #82747=LINE('',#495266,#119738); #82748=LINE('',#495269,#119739); #82749=LINE('',#495271,#119740); #82750=LINE('',#495272,#119741); #82751=LINE('',#495275,#119742); #82752=LINE('',#495277,#119743); #82753=LINE('',#495278,#119744); #82754=LINE('',#495281,#119745); #82755=LINE('',#495283,#119746); #82756=LINE('',#495284,#119747); #82757=LINE('',#495287,#119748); #82758=LINE('',#495289,#119749); #82759=LINE('',#495290,#119750); #82760=LINE('',#495293,#119751); #82761=LINE('',#495295,#119752); #82762=LINE('',#495296,#119753); #82763=LINE('',#495299,#119754); #82764=LINE('',#495301,#119755); #82765=LINE('',#495302,#119756); #82766=LINE('',#495305,#119757); #82767=LINE('',#495307,#119758); #82768=LINE('',#495308,#119759); #82769=LINE('',#495311,#119760); #82770=LINE('',#495313,#119761); #82771=LINE('',#495314,#119762); #82772=LINE('',#495317,#119763); #82773=LINE('',#495319,#119764); #82774=LINE('',#495320,#119765); #82775=LINE('',#495323,#119766); #82776=LINE('',#495325,#119767); #82777=LINE('',#495326,#119768); #82778=LINE('',#495329,#119769); #82779=LINE('',#495331,#119770); #82780=LINE('',#495332,#119771); #82781=LINE('',#495335,#119772); #82782=LINE('',#495337,#119773); #82783=LINE('',#495338,#119774); #82784=LINE('',#495341,#119775); #82785=LINE('',#495343,#119776); #82786=LINE('',#495344,#119777); #82787=LINE('',#495347,#119778); #82788=LINE('',#495349,#119779); #82789=LINE('',#495350,#119780); #82790=LINE('',#495353,#119781); #82791=LINE('',#495355,#119782); #82792=LINE('',#495356,#119783); #82793=LINE('',#495359,#119784); #82794=LINE('',#495361,#119785); #82795=LINE('',#495362,#119786); #82796=LINE('',#495365,#119787); #82797=LINE('',#495367,#119788); #82798=LINE('',#495368,#119789); #82799=LINE('',#495371,#119790); #82800=LINE('',#495373,#119791); #82801=LINE('',#495374,#119792); #82802=LINE('',#495377,#119793); #82803=LINE('',#495379,#119794); #82804=LINE('',#495380,#119795); #82805=LINE('',#495383,#119796); #82806=LINE('',#495385,#119797); #82807=LINE('',#495386,#119798); #82808=LINE('',#495389,#119799); #82809=LINE('',#495391,#119800); #82810=LINE('',#495392,#119801); #82811=LINE('',#495395,#119802); #82812=LINE('',#495397,#119803); #82813=LINE('',#495398,#119804); #82814=LINE('',#495401,#119805); #82815=LINE('',#495403,#119806); #82816=LINE('',#495404,#119807); #82817=LINE('',#495407,#119808); #82818=LINE('',#495409,#119809); #82819=LINE('',#495410,#119810); #82820=LINE('',#495413,#119811); #82821=LINE('',#495415,#119812); #82822=LINE('',#495416,#119813); #82823=LINE('',#495419,#119814); #82824=LINE('',#495421,#119815); #82825=LINE('',#495422,#119816); #82826=LINE('',#495425,#119817); #82827=LINE('',#495427,#119818); #82828=LINE('',#495428,#119819); #82829=LINE('',#495431,#119820); #82830=LINE('',#495433,#119821); #82831=LINE('',#495434,#119822); #82832=LINE('',#495437,#119823); #82833=LINE('',#495439,#119824); #82834=LINE('',#495440,#119825); #82835=LINE('',#495443,#119826); #82836=LINE('',#495445,#119827); #82837=LINE('',#495446,#119828); #82838=LINE('',#495449,#119829); #82839=LINE('',#495451,#119830); #82840=LINE('',#495452,#119831); #82841=LINE('',#495455,#119832); #82842=LINE('',#495457,#119833); #82843=LINE('',#495458,#119834); #82844=LINE('',#495461,#119835); #82845=LINE('',#495463,#119836); #82846=LINE('',#495464,#119837); #82847=LINE('',#495467,#119838); #82848=LINE('',#495469,#119839); #82849=LINE('',#495470,#119840); #82850=LINE('',#495473,#119841); #82851=LINE('',#495475,#119842); #82852=LINE('',#495476,#119843); #82853=LINE('',#495479,#119844); #82854=LINE('',#495481,#119845); #82855=LINE('',#495482,#119846); #82856=LINE('',#495485,#119847); #82857=LINE('',#495487,#119848); #82858=LINE('',#495488,#119849); #82859=LINE('',#495491,#119850); #82860=LINE('',#495493,#119851); #82861=LINE('',#495494,#119852); #82862=LINE('',#495497,#119853); #82863=LINE('',#495499,#119854); #82864=LINE('',#495500,#119855); #82865=LINE('',#495503,#119856); #82866=LINE('',#495505,#119857); #82867=LINE('',#495506,#119858); #82868=LINE('',#495509,#119859); #82869=LINE('',#495511,#119860); #82870=LINE('',#495512,#119861); #82871=LINE('',#495515,#119862); #82872=LINE('',#495517,#119863); #82873=LINE('',#495518,#119864); #82874=LINE('',#495521,#119865); #82875=LINE('',#495523,#119866); #82876=LINE('',#495524,#119867); #82877=LINE('',#495527,#119868); #82878=LINE('',#495529,#119869); #82879=LINE('',#495530,#119870); #82880=LINE('',#495533,#119871); #82881=LINE('',#495535,#119872); #82882=LINE('',#495536,#119873); #82883=LINE('',#495539,#119874); #82884=LINE('',#495541,#119875); #82885=LINE('',#495542,#119876); #82886=LINE('',#495545,#119877); #82887=LINE('',#495547,#119878); #82888=LINE('',#495548,#119879); #82889=LINE('',#495551,#119880); #82890=LINE('',#495553,#119881); #82891=LINE('',#495554,#119882); #82892=LINE('',#495557,#119883); #82893=LINE('',#495559,#119884); #82894=LINE('',#495560,#119885); #82895=LINE('',#495563,#119886); #82896=LINE('',#495565,#119887); #82897=LINE('',#495566,#119888); #82898=LINE('',#495569,#119889); #82899=LINE('',#495571,#119890); #82900=LINE('',#495572,#119891); #82901=LINE('',#495575,#119892); #82902=LINE('',#495577,#119893); #82903=LINE('',#495578,#119894); #82904=LINE('',#495581,#119895); #82905=LINE('',#495583,#119896); #82906=LINE('',#495584,#119897); #82907=LINE('',#495587,#119898); #82908=LINE('',#495589,#119899); #82909=LINE('',#495590,#119900); #82910=LINE('',#495593,#119901); #82911=LINE('',#495595,#119902); #82912=LINE('',#495596,#119903); #82913=LINE('',#495599,#119904); #82914=LINE('',#495601,#119905); #82915=LINE('',#495602,#119906); #82916=LINE('',#495605,#119907); #82917=LINE('',#495607,#119908); #82918=LINE('',#495608,#119909); #82919=LINE('',#495611,#119910); #82920=LINE('',#495613,#119911); #82921=LINE('',#495614,#119912); #82922=LINE('',#495617,#119913); #82923=LINE('',#495619,#119914); #82924=LINE('',#495620,#119915); #82925=LINE('',#495623,#119916); #82926=LINE('',#495625,#119917); #82927=LINE('',#495626,#119918); #82928=LINE('',#495629,#119919); #82929=LINE('',#495631,#119920); #82930=LINE('',#495632,#119921); #82931=LINE('',#495635,#119922); #82932=LINE('',#495637,#119923); #82933=LINE('',#495638,#119924); #82934=LINE('',#495641,#119925); #82935=LINE('',#495643,#119926); #82936=LINE('',#495644,#119927); #82937=LINE('',#495647,#119928); #82938=LINE('',#495649,#119929); #82939=LINE('',#495650,#119930); #82940=LINE('',#495653,#119931); #82941=LINE('',#495655,#119932); #82942=LINE('',#495656,#119933); #82943=LINE('',#495659,#119934); #82944=LINE('',#495661,#119935); #82945=LINE('',#495662,#119936); #82946=LINE('',#495665,#119937); #82947=LINE('',#495667,#119938); #82948=LINE('',#495668,#119939); #82949=LINE('',#495671,#119940); #82950=LINE('',#495673,#119941); #82951=LINE('',#495674,#119942); #82952=LINE('',#495677,#119943); #82953=LINE('',#495679,#119944); #82954=LINE('',#495680,#119945); #82955=LINE('',#495683,#119946); #82956=LINE('',#495685,#119947); #82957=LINE('',#495686,#119948); #82958=LINE('',#495689,#119949); #82959=LINE('',#495691,#119950); #82960=LINE('',#495692,#119951); #82961=LINE('',#495695,#119952); #82962=LINE('',#495697,#119953); #82963=LINE('',#495698,#119954); #82964=LINE('',#495701,#119955); #82965=LINE('',#495703,#119956); #82966=LINE('',#495704,#119957); #82967=LINE('',#495707,#119958); #82968=LINE('',#495709,#119959); #82969=LINE('',#495710,#119960); #82970=LINE('',#495713,#119961); #82971=LINE('',#495715,#119962); #82972=LINE('',#495716,#119963); #82973=LINE('',#495719,#119964); #82974=LINE('',#495721,#119965); #82975=LINE('',#495722,#119966); #82976=LINE('',#495725,#119967); #82977=LINE('',#495727,#119968); #82978=LINE('',#495728,#119969); #82979=LINE('',#495731,#119970); #82980=LINE('',#495733,#119971); #82981=LINE('',#495734,#119972); #82982=LINE('',#495737,#119973); #82983=LINE('',#495739,#119974); #82984=LINE('',#495740,#119975); #82985=LINE('',#495743,#119976); #82986=LINE('',#495745,#119977); #82987=LINE('',#495746,#119978); #82988=LINE('',#495749,#119979); #82989=LINE('',#495751,#119980); #82990=LINE('',#495752,#119981); #82991=LINE('',#495755,#119982); #82992=LINE('',#495757,#119983); #82993=LINE('',#495758,#119984); #82994=LINE('',#495761,#119985); #82995=LINE('',#495763,#119986); #82996=LINE('',#495764,#119987); #82997=LINE('',#495767,#119988); #82998=LINE('',#495769,#119989); #82999=LINE('',#495770,#119990); #83000=LINE('',#495773,#119991); #83001=LINE('',#495775,#119992); #83002=LINE('',#495776,#119993); #83003=LINE('',#495779,#119994); #83004=LINE('',#495781,#119995); #83005=LINE('',#495782,#119996); #83006=LINE('',#495785,#119997); #83007=LINE('',#495787,#119998); #83008=LINE('',#495788,#119999); #83009=LINE('',#495791,#120000); #83010=LINE('',#495793,#120001); #83011=LINE('',#495794,#120002); #83012=LINE('',#495797,#120003); #83013=LINE('',#495799,#120004); #83014=LINE('',#495800,#120005); #83015=LINE('',#495803,#120006); #83016=LINE('',#495805,#120007); #83017=LINE('',#495806,#120008); #83018=LINE('',#495809,#120009); #83019=LINE('',#495811,#120010); #83020=LINE('',#495812,#120011); #83021=LINE('',#495815,#120012); #83022=LINE('',#495817,#120013); #83023=LINE('',#495818,#120014); #83024=LINE('',#495821,#120015); #83025=LINE('',#495823,#120016); #83026=LINE('',#495824,#120017); #83027=LINE('',#495827,#120018); #83028=LINE('',#495829,#120019); #83029=LINE('',#495830,#120020); #83030=LINE('',#495833,#120021); #83031=LINE('',#495835,#120022); #83032=LINE('',#495836,#120023); #83033=LINE('',#495839,#120024); #83034=LINE('',#495841,#120025); #83035=LINE('',#495842,#120026); #83036=LINE('',#495845,#120027); #83037=LINE('',#495847,#120028); #83038=LINE('',#495848,#120029); #83039=LINE('',#495851,#120030); #83040=LINE('',#495853,#120031); #83041=LINE('',#495854,#120032); #83042=LINE('',#495857,#120033); #83043=LINE('',#495859,#120034); #83044=LINE('',#495860,#120035); #83045=LINE('',#495863,#120036); #83046=LINE('',#495865,#120037); #83047=LINE('',#495866,#120038); #83048=LINE('',#495869,#120039); #83049=LINE('',#495871,#120040); #83050=LINE('',#495872,#120041); #83051=LINE('',#495875,#120042); #83052=LINE('',#495877,#120043); #83053=LINE('',#495878,#120044); #83054=LINE('',#495881,#120045); #83055=LINE('',#495883,#120046); #83056=LINE('',#495884,#120047); #83057=LINE('',#495887,#120048); #83058=LINE('',#495889,#120049); #83059=LINE('',#495890,#120050); #83060=LINE('',#495893,#120051); #83061=LINE('',#495895,#120052); #83062=LINE('',#495896,#120053); #83063=LINE('',#495899,#120054); #83064=LINE('',#495901,#120055); #83065=LINE('',#495902,#120056); #83066=LINE('',#495905,#120057); #83067=LINE('',#495907,#120058); #83068=LINE('',#495908,#120059); #83069=LINE('',#495911,#120060); #83070=LINE('',#495913,#120061); #83071=LINE('',#495914,#120062); #83072=LINE('',#495917,#120063); #83073=LINE('',#495919,#120064); #83074=LINE('',#495920,#120065); #83075=LINE('',#495923,#120066); #83076=LINE('',#495925,#120067); #83077=LINE('',#495926,#120068); #83078=LINE('',#495929,#120069); #83079=LINE('',#495931,#120070); #83080=LINE('',#495932,#120071); #83081=LINE('',#495935,#120072); #83082=LINE('',#495937,#120073); #83083=LINE('',#495938,#120074); #83084=LINE('',#495941,#120075); #83085=LINE('',#495943,#120076); #83086=LINE('',#495944,#120077); #83087=LINE('',#495947,#120078); #83088=LINE('',#495949,#120079); #83089=LINE('',#495950,#120080); #83090=LINE('',#495953,#120081); #83091=LINE('',#495955,#120082); #83092=LINE('',#495956,#120083); #83093=LINE('',#495959,#120084); #83094=LINE('',#495961,#120085); #83095=LINE('',#495962,#120086); #83096=LINE('',#495965,#120087); #83097=LINE('',#495967,#120088); #83098=LINE('',#495968,#120089); #83099=LINE('',#495971,#120090); #83100=LINE('',#495973,#120091); #83101=LINE('',#495974,#120092); #83102=LINE('',#495977,#120093); #83103=LINE('',#495979,#120094); #83104=LINE('',#495980,#120095); #83105=LINE('',#495982,#120096); #83106=LINE('',#495983,#120097); #83107=LINE('',#495987,#120098); #83108=LINE('',#495989,#120099); #83109=LINE('',#495991,#120100); #83110=LINE('',#495992,#120101); #83111=LINE('',#495995,#120102); #83112=LINE('',#495997,#120103); #83113=LINE('',#495998,#120104); #83114=LINE('',#496001,#120105); #83115=LINE('',#496003,#120106); #83116=LINE('',#496004,#120107); #83117=LINE('',#496007,#120108); #83118=LINE('',#496009,#120109); #83119=LINE('',#496010,#120110); #83120=LINE('',#496013,#120111); #83121=LINE('',#496015,#120112); #83122=LINE('',#496016,#120113); #83123=LINE('',#496019,#120114); #83124=LINE('',#496021,#120115); #83125=LINE('',#496022,#120116); #83126=LINE('',#496025,#120117); #83127=LINE('',#496027,#120118); #83128=LINE('',#496028,#120119); #83129=LINE('',#496031,#120120); #83130=LINE('',#496033,#120121); #83131=LINE('',#496034,#120122); #83132=LINE('',#496037,#120123); #83133=LINE('',#496039,#120124); #83134=LINE('',#496040,#120125); #83135=LINE('',#496043,#120126); #83136=LINE('',#496045,#120127); #83137=LINE('',#496046,#120128); #83138=LINE('',#496049,#120129); #83139=LINE('',#496051,#120130); #83140=LINE('',#496052,#120131); #83141=LINE('',#496055,#120132); #83142=LINE('',#496057,#120133); #83143=LINE('',#496058,#120134); #83144=LINE('',#496061,#120135); #83145=LINE('',#496063,#120136); #83146=LINE('',#496064,#120137); #83147=LINE('',#496067,#120138); #83148=LINE('',#496069,#120139); #83149=LINE('',#496070,#120140); #83150=LINE('',#496073,#120141); #83151=LINE('',#496075,#120142); #83152=LINE('',#496076,#120143); #83153=LINE('',#496079,#120144); #83154=LINE('',#496081,#120145); #83155=LINE('',#496082,#120146); #83156=LINE('',#496085,#120147); #83157=LINE('',#496087,#120148); #83158=LINE('',#496088,#120149); #83159=LINE('',#496091,#120150); #83160=LINE('',#496093,#120151); #83161=LINE('',#496094,#120152); #83162=LINE('',#496097,#120153); #83163=LINE('',#496099,#120154); #83164=LINE('',#496100,#120155); #83165=LINE('',#496103,#120156); #83166=LINE('',#496105,#120157); #83167=LINE('',#496106,#120158); #83168=LINE('',#496109,#120159); #83169=LINE('',#496111,#120160); #83170=LINE('',#496112,#120161); #83171=LINE('',#496115,#120162); #83172=LINE('',#496117,#120163); #83173=LINE('',#496118,#120164); #83174=LINE('',#496121,#120165); #83175=LINE('',#496123,#120166); #83176=LINE('',#496124,#120167); #83177=LINE('',#496127,#120168); #83178=LINE('',#496129,#120169); #83179=LINE('',#496130,#120170); #83180=LINE('',#496133,#120171); #83181=LINE('',#496135,#120172); #83182=LINE('',#496136,#120173); #83183=LINE('',#496139,#120174); #83184=LINE('',#496141,#120175); #83185=LINE('',#496142,#120176); #83186=LINE('',#496145,#120177); #83187=LINE('',#496147,#120178); #83188=LINE('',#496148,#120179); #83189=LINE('',#496151,#120180); #83190=LINE('',#496153,#120181); #83191=LINE('',#496154,#120182); #83192=LINE('',#496157,#120183); #83193=LINE('',#496159,#120184); #83194=LINE('',#496160,#120185); #83195=LINE('',#496163,#120186); #83196=LINE('',#496165,#120187); #83197=LINE('',#496166,#120188); #83198=LINE('',#496169,#120189); #83199=LINE('',#496171,#120190); #83200=LINE('',#496172,#120191); #83201=LINE('',#496175,#120192); #83202=LINE('',#496177,#120193); #83203=LINE('',#496178,#120194); #83204=LINE('',#496181,#120195); #83205=LINE('',#496183,#120196); #83206=LINE('',#496184,#120197); #83207=LINE('',#496187,#120198); #83208=LINE('',#496189,#120199); #83209=LINE('',#496190,#120200); #83210=LINE('',#496193,#120201); #83211=LINE('',#496195,#120202); #83212=LINE('',#496196,#120203); #83213=LINE('',#496199,#120204); #83214=LINE('',#496201,#120205); #83215=LINE('',#496202,#120206); #83216=LINE('',#496205,#120207); #83217=LINE('',#496207,#120208); #83218=LINE('',#496208,#120209); #83219=LINE('',#496211,#120210); #83220=LINE('',#496213,#120211); #83221=LINE('',#496214,#120212); #83222=LINE('',#496217,#120213); #83223=LINE('',#496219,#120214); #83224=LINE('',#496220,#120215); #83225=LINE('',#496223,#120216); #83226=LINE('',#496225,#120217); #83227=LINE('',#496226,#120218); #83228=LINE('',#496229,#120219); #83229=LINE('',#496231,#120220); #83230=LINE('',#496232,#120221); #83231=LINE('',#496235,#120222); #83232=LINE('',#496237,#120223); #83233=LINE('',#496238,#120224); #83234=LINE('',#496241,#120225); #83235=LINE('',#496243,#120226); #83236=LINE('',#496244,#120227); #83237=LINE('',#496247,#120228); #83238=LINE('',#496249,#120229); #83239=LINE('',#496250,#120230); #83240=LINE('',#496253,#120231); #83241=LINE('',#496255,#120232); #83242=LINE('',#496256,#120233); #83243=LINE('',#496259,#120234); #83244=LINE('',#496261,#120235); #83245=LINE('',#496262,#120236); #83246=LINE('',#496265,#120237); #83247=LINE('',#496267,#120238); #83248=LINE('',#496268,#120239); #83249=LINE('',#496271,#120240); #83250=LINE('',#496273,#120241); #83251=LINE('',#496274,#120242); #83252=LINE('',#496277,#120243); #83253=LINE('',#496279,#120244); #83254=LINE('',#496280,#120245); #83255=LINE('',#496283,#120246); #83256=LINE('',#496285,#120247); #83257=LINE('',#496286,#120248); #83258=LINE('',#496289,#120249); #83259=LINE('',#496291,#120250); #83260=LINE('',#496292,#120251); #83261=LINE('',#496295,#120252); #83262=LINE('',#496297,#120253); #83263=LINE('',#496298,#120254); #83264=LINE('',#496301,#120255); #83265=LINE('',#496303,#120256); #83266=LINE('',#496304,#120257); #83267=LINE('',#496307,#120258); #83268=LINE('',#496309,#120259); #83269=LINE('',#496310,#120260); #83270=LINE('',#496313,#120261); #83271=LINE('',#496315,#120262); #83272=LINE('',#496316,#120263); #83273=LINE('',#496319,#120264); #83274=LINE('',#496321,#120265); #83275=LINE('',#496322,#120266); #83276=LINE('',#496325,#120267); #83277=LINE('',#496327,#120268); #83278=LINE('',#496328,#120269); #83279=LINE('',#496331,#120270); #83280=LINE('',#496333,#120271); #83281=LINE('',#496334,#120272); #83282=LINE('',#496337,#120273); #83283=LINE('',#496339,#120274); #83284=LINE('',#496340,#120275); #83285=LINE('',#496343,#120276); #83286=LINE('',#496345,#120277); #83287=LINE('',#496346,#120278); #83288=LINE('',#496349,#120279); #83289=LINE('',#496351,#120280); #83290=LINE('',#496352,#120281); #83291=LINE('',#496355,#120282); #83292=LINE('',#496357,#120283); #83293=LINE('',#496358,#120284); #83294=LINE('',#496361,#120285); #83295=LINE('',#496363,#120286); #83296=LINE('',#496364,#120287); #83297=LINE('',#496367,#120288); #83298=LINE('',#496369,#120289); #83299=LINE('',#496370,#120290); #83300=LINE('',#496373,#120291); #83301=LINE('',#496375,#120292); #83302=LINE('',#496376,#120293); #83303=LINE('',#496379,#120294); #83304=LINE('',#496381,#120295); #83305=LINE('',#496382,#120296); #83306=LINE('',#496385,#120297); #83307=LINE('',#496387,#120298); #83308=LINE('',#496388,#120299); #83309=LINE('',#496391,#120300); #83310=LINE('',#496393,#120301); #83311=LINE('',#496394,#120302); #83312=LINE('',#496397,#120303); #83313=LINE('',#496399,#120304); #83314=LINE('',#496400,#120305); #83315=LINE('',#496403,#120306); #83316=LINE('',#496405,#120307); #83317=LINE('',#496406,#120308); #83318=LINE('',#496409,#120309); #83319=LINE('',#496411,#120310); #83320=LINE('',#496412,#120311); #83321=LINE('',#496415,#120312); #83322=LINE('',#496417,#120313); #83323=LINE('',#496418,#120314); #83324=LINE('',#496421,#120315); #83325=LINE('',#496423,#120316); #83326=LINE('',#496424,#120317); #83327=LINE('',#496427,#120318); #83328=LINE('',#496429,#120319); #83329=LINE('',#496430,#120320); #83330=LINE('',#496433,#120321); #83331=LINE('',#496435,#120322); #83332=LINE('',#496436,#120323); #83333=LINE('',#496439,#120324); #83334=LINE('',#496441,#120325); #83335=LINE('',#496442,#120326); #83336=LINE('',#496445,#120327); #83337=LINE('',#496447,#120328); #83338=LINE('',#496448,#120329); #83339=LINE('',#496451,#120330); #83340=LINE('',#496453,#120331); #83341=LINE('',#496454,#120332); #83342=LINE('',#496457,#120333); #83343=LINE('',#496459,#120334); #83344=LINE('',#496460,#120335); #83345=LINE('',#496463,#120336); #83346=LINE('',#496465,#120337); #83347=LINE('',#496466,#120338); #83348=LINE('',#496469,#120339); #83349=LINE('',#496471,#120340); #83350=LINE('',#496472,#120341); #83351=LINE('',#496475,#120342); #83352=LINE('',#496477,#120343); #83353=LINE('',#496478,#120344); #83354=LINE('',#496481,#120345); #83355=LINE('',#496483,#120346); #83356=LINE('',#496484,#120347); #83357=LINE('',#496487,#120348); #83358=LINE('',#496489,#120349); #83359=LINE('',#496490,#120350); #83360=LINE('',#496493,#120351); #83361=LINE('',#496495,#120352); #83362=LINE('',#496496,#120353); #83363=LINE('',#496499,#120354); #83364=LINE('',#496501,#120355); #83365=LINE('',#496502,#120356); #83366=LINE('',#496505,#120357); #83367=LINE('',#496507,#120358); #83368=LINE('',#496508,#120359); #83369=LINE('',#496511,#120360); #83370=LINE('',#496513,#120361); #83371=LINE('',#496514,#120362); #83372=LINE('',#496517,#120363); #83373=LINE('',#496519,#120364); #83374=LINE('',#496520,#120365); #83375=LINE('',#496523,#120366); #83376=LINE('',#496525,#120367); #83377=LINE('',#496526,#120368); #83378=LINE('',#496529,#120369); #83379=LINE('',#496531,#120370); #83380=LINE('',#496532,#120371); #83381=LINE('',#496535,#120372); #83382=LINE('',#496537,#120373); #83383=LINE('',#496538,#120374); #83384=LINE('',#496541,#120375); #83385=LINE('',#496543,#120376); #83386=LINE('',#496544,#120377); #83387=LINE('',#496547,#120378); #83388=LINE('',#496549,#120379); #83389=LINE('',#496550,#120380); #83390=LINE('',#496553,#120381); #83391=LINE('',#496555,#120382); #83392=LINE('',#496556,#120383); #83393=LINE('',#496559,#120384); #83394=LINE('',#496561,#120385); #83395=LINE('',#496562,#120386); #83396=LINE('',#496565,#120387); #83397=LINE('',#496567,#120388); #83398=LINE('',#496568,#120389); #83399=LINE('',#496571,#120390); #83400=LINE('',#496573,#120391); #83401=LINE('',#496574,#120392); #83402=LINE('',#496577,#120393); #83403=LINE('',#496579,#120394); #83404=LINE('',#496580,#120395); #83405=LINE('',#496582,#120396); #83406=LINE('',#496583,#120397); #83407=LINE('',#496587,#120398); #83408=LINE('',#496589,#120399); #83409=LINE('',#496591,#120400); #83410=LINE('',#496592,#120401); #83411=LINE('',#496595,#120402); #83412=LINE('',#496597,#120403); #83413=LINE('',#496598,#120404); #83414=LINE('',#496601,#120405); #83415=LINE('',#496603,#120406); #83416=LINE('',#496604,#120407); #83417=LINE('',#496607,#120408); #83418=LINE('',#496609,#120409); #83419=LINE('',#496610,#120410); #83420=LINE('',#496613,#120411); #83421=LINE('',#496615,#120412); #83422=LINE('',#496616,#120413); #83423=LINE('',#496619,#120414); #83424=LINE('',#496621,#120415); #83425=LINE('',#496622,#120416); #83426=LINE('',#496625,#120417); #83427=LINE('',#496627,#120418); #83428=LINE('',#496628,#120419); #83429=LINE('',#496631,#120420); #83430=LINE('',#496633,#120421); #83431=LINE('',#496634,#120422); #83432=LINE('',#496637,#120423); #83433=LINE('',#496639,#120424); #83434=LINE('',#496640,#120425); #83435=LINE('',#496643,#120426); #83436=LINE('',#496645,#120427); #83437=LINE('',#496646,#120428); #83438=LINE('',#496649,#120429); #83439=LINE('',#496651,#120430); #83440=LINE('',#496652,#120431); #83441=LINE('',#496655,#120432); #83442=LINE('',#496657,#120433); #83443=LINE('',#496658,#120434); #83444=LINE('',#496661,#120435); #83445=LINE('',#496663,#120436); #83446=LINE('',#496664,#120437); #83447=LINE('',#496667,#120438); #83448=LINE('',#496669,#120439); #83449=LINE('',#496670,#120440); #83450=LINE('',#496673,#120441); #83451=LINE('',#496675,#120442); #83452=LINE('',#496676,#120443); #83453=LINE('',#496679,#120444); #83454=LINE('',#496681,#120445); #83455=LINE('',#496682,#120446); #83456=LINE('',#496685,#120447); #83457=LINE('',#496687,#120448); #83458=LINE('',#496688,#120449); #83459=LINE('',#496691,#120450); #83460=LINE('',#496693,#120451); #83461=LINE('',#496694,#120452); #83462=LINE('',#496697,#120453); #83463=LINE('',#496699,#120454); #83464=LINE('',#496700,#120455); #83465=LINE('',#496703,#120456); #83466=LINE('',#496705,#120457); #83467=LINE('',#496706,#120458); #83468=LINE('',#496709,#120459); #83469=LINE('',#496711,#120460); #83470=LINE('',#496712,#120461); #83471=LINE('',#496715,#120462); #83472=LINE('',#496717,#120463); #83473=LINE('',#496718,#120464); #83474=LINE('',#496721,#120465); #83475=LINE('',#496723,#120466); #83476=LINE('',#496724,#120467); #83477=LINE('',#496727,#120468); #83478=LINE('',#496729,#120469); #83479=LINE('',#496730,#120470); #83480=LINE('',#496733,#120471); #83481=LINE('',#496735,#120472); #83482=LINE('',#496736,#120473); #83483=LINE('',#496739,#120474); #83484=LINE('',#496741,#120475); #83485=LINE('',#496742,#120476); #83486=LINE('',#496745,#120477); #83487=LINE('',#496747,#120478); #83488=LINE('',#496748,#120479); #83489=LINE('',#496751,#120480); #83490=LINE('',#496753,#120481); #83491=LINE('',#496754,#120482); #83492=LINE('',#496757,#120483); #83493=LINE('',#496759,#120484); #83494=LINE('',#496760,#120485); #83495=LINE('',#496763,#120486); #83496=LINE('',#496765,#120487); #83497=LINE('',#496766,#120488); #83498=LINE('',#496769,#120489); #83499=LINE('',#496771,#120490); #83500=LINE('',#496772,#120491); #83501=LINE('',#496775,#120492); #83502=LINE('',#496777,#120493); #83503=LINE('',#496778,#120494); #83504=LINE('',#496781,#120495); #83505=LINE('',#496783,#120496); #83506=LINE('',#496784,#120497); #83507=LINE('',#496787,#120498); #83508=LINE('',#496789,#120499); #83509=LINE('',#496790,#120500); #83510=LINE('',#496793,#120501); #83511=LINE('',#496795,#120502); #83512=LINE('',#496796,#120503); #83513=LINE('',#496799,#120504); #83514=LINE('',#496801,#120505); #83515=LINE('',#496802,#120506); #83516=LINE('',#496805,#120507); #83517=LINE('',#496807,#120508); #83518=LINE('',#496808,#120509); #83519=LINE('',#496811,#120510); #83520=LINE('',#496813,#120511); #83521=LINE('',#496814,#120512); #83522=LINE('',#496817,#120513); #83523=LINE('',#496819,#120514); #83524=LINE('',#496820,#120515); #83525=LINE('',#496823,#120516); #83526=LINE('',#496825,#120517); #83527=LINE('',#496826,#120518); #83528=LINE('',#496829,#120519); #83529=LINE('',#496831,#120520); #83530=LINE('',#496832,#120521); #83531=LINE('',#496835,#120522); #83532=LINE('',#496837,#120523); #83533=LINE('',#496838,#120524); #83534=LINE('',#496841,#120525); #83535=LINE('',#496843,#120526); #83536=LINE('',#496844,#120527); #83537=LINE('',#496847,#120528); #83538=LINE('',#496849,#120529); #83539=LINE('',#496850,#120530); #83540=LINE('',#496853,#120531); #83541=LINE('',#496855,#120532); #83542=LINE('',#496856,#120533); #83543=LINE('',#496859,#120534); #83544=LINE('',#496861,#120535); #83545=LINE('',#496862,#120536); #83546=LINE('',#496865,#120537); #83547=LINE('',#496867,#120538); #83548=LINE('',#496868,#120539); #83549=LINE('',#496871,#120540); #83550=LINE('',#496873,#120541); #83551=LINE('',#496874,#120542); #83552=LINE('',#496877,#120543); #83553=LINE('',#496879,#120544); #83554=LINE('',#496880,#120545); #83555=LINE('',#496883,#120546); #83556=LINE('',#496885,#120547); #83557=LINE('',#496886,#120548); #83558=LINE('',#496889,#120549); #83559=LINE('',#496891,#120550); #83560=LINE('',#496892,#120551); #83561=LINE('',#496895,#120552); #83562=LINE('',#496897,#120553); #83563=LINE('',#496898,#120554); #83564=LINE('',#496901,#120555); #83565=LINE('',#496903,#120556); #83566=LINE('',#496904,#120557); #83567=LINE('',#496907,#120558); #83568=LINE('',#496909,#120559); #83569=LINE('',#496910,#120560); #83570=LINE('',#496913,#120561); #83571=LINE('',#496915,#120562); #83572=LINE('',#496916,#120563); #83573=LINE('',#496919,#120564); #83574=LINE('',#496921,#120565); #83575=LINE('',#496922,#120566); #83576=LINE('',#496925,#120567); #83577=LINE('',#496927,#120568); #83578=LINE('',#496928,#120569); #83579=LINE('',#496931,#120570); #83580=LINE('',#496933,#120571); #83581=LINE('',#496934,#120572); #83582=LINE('',#496937,#120573); #83583=LINE('',#496939,#120574); #83584=LINE('',#496940,#120575); #83585=LINE('',#496943,#120576); #83586=LINE('',#496945,#120577); #83587=LINE('',#496946,#120578); #83588=LINE('',#496949,#120579); #83589=LINE('',#496951,#120580); #83590=LINE('',#496952,#120581); #83591=LINE('',#496955,#120582); #83592=LINE('',#496957,#120583); #83593=LINE('',#496958,#120584); #83594=LINE('',#496961,#120585); #83595=LINE('',#496963,#120586); #83596=LINE('',#496964,#120587); #83597=LINE('',#496967,#120588); #83598=LINE('',#496969,#120589); #83599=LINE('',#496970,#120590); #83600=LINE('',#496973,#120591); #83601=LINE('',#496975,#120592); #83602=LINE('',#496976,#120593); #83603=LINE('',#496979,#120594); #83604=LINE('',#496981,#120595); #83605=LINE('',#496982,#120596); #83606=LINE('',#496985,#120597); #83607=LINE('',#496987,#120598); #83608=LINE('',#496988,#120599); #83609=LINE('',#496991,#120600); #83610=LINE('',#496993,#120601); #83611=LINE('',#496994,#120602); #83612=LINE('',#496997,#120603); #83613=LINE('',#496999,#120604); #83614=LINE('',#497000,#120605); #83615=LINE('',#497003,#120606); #83616=LINE('',#497005,#120607); #83617=LINE('',#497006,#120608); #83618=LINE('',#497009,#120609); #83619=LINE('',#497011,#120610); #83620=LINE('',#497012,#120611); #83621=LINE('',#497015,#120612); #83622=LINE('',#497017,#120613); #83623=LINE('',#497018,#120614); #83624=LINE('',#497021,#120615); #83625=LINE('',#497023,#120616); #83626=LINE('',#497024,#120617); #83627=LINE('',#497027,#120618); #83628=LINE('',#497029,#120619); #83629=LINE('',#497030,#120620); #83630=LINE('',#497033,#120621); #83631=LINE('',#497035,#120622); #83632=LINE('',#497036,#120623); #83633=LINE('',#497039,#120624); #83634=LINE('',#497041,#120625); #83635=LINE('',#497042,#120626); #83636=LINE('',#497045,#120627); #83637=LINE('',#497047,#120628); #83638=LINE('',#497048,#120629); #83639=LINE('',#497051,#120630); #83640=LINE('',#497053,#120631); #83641=LINE('',#497054,#120632); #83642=LINE('',#497057,#120633); #83643=LINE('',#497059,#120634); #83644=LINE('',#497060,#120635); #83645=LINE('',#497063,#120636); #83646=LINE('',#497065,#120637); #83647=LINE('',#497066,#120638); #83648=LINE('',#497069,#120639); #83649=LINE('',#497071,#120640); #83650=LINE('',#497072,#120641); #83651=LINE('',#497075,#120642); #83652=LINE('',#497077,#120643); #83653=LINE('',#497078,#120644); #83654=LINE('',#497081,#120645); #83655=LINE('',#497083,#120646); #83656=LINE('',#497084,#120647); #83657=LINE('',#497087,#120648); #83658=LINE('',#497089,#120649); #83659=LINE('',#497090,#120650); #83660=LINE('',#497093,#120651); #83661=LINE('',#497095,#120652); #83662=LINE('',#497096,#120653); #83663=LINE('',#497099,#120654); #83664=LINE('',#497101,#120655); #83665=LINE('',#497102,#120656); #83666=LINE('',#497105,#120657); #83667=LINE('',#497107,#120658); #83668=LINE('',#497108,#120659); #83669=LINE('',#497111,#120660); #83670=LINE('',#497113,#120661); #83671=LINE('',#497114,#120662); #83672=LINE('',#497117,#120663); #83673=LINE('',#497119,#120664); #83674=LINE('',#497120,#120665); #83675=LINE('',#497123,#120666); #83676=LINE('',#497125,#120667); #83677=LINE('',#497126,#120668); #83678=LINE('',#497129,#120669); #83679=LINE('',#497131,#120670); #83680=LINE('',#497132,#120671); #83681=LINE('',#497135,#120672); #83682=LINE('',#497137,#120673); #83683=LINE('',#497138,#120674); #83684=LINE('',#497141,#120675); #83685=LINE('',#497143,#120676); #83686=LINE('',#497144,#120677); #83687=LINE('',#497147,#120678); #83688=LINE('',#497149,#120679); #83689=LINE('',#497150,#120680); #83690=LINE('',#497153,#120681); #83691=LINE('',#497155,#120682); #83692=LINE('',#497156,#120683); #83693=LINE('',#497159,#120684); #83694=LINE('',#497161,#120685); #83695=LINE('',#497162,#120686); #83696=LINE('',#497165,#120687); #83697=LINE('',#497167,#120688); #83698=LINE('',#497168,#120689); #83699=LINE('',#497171,#120690); #83700=LINE('',#497173,#120691); #83701=LINE('',#497174,#120692); #83702=LINE('',#497177,#120693); #83703=LINE('',#497179,#120694); #83704=LINE('',#497180,#120695); #83705=LINE('',#497183,#120696); #83706=LINE('',#497185,#120697); #83707=LINE('',#497186,#120698); #83708=LINE('',#497189,#120699); #83709=LINE('',#497191,#120700); #83710=LINE('',#497192,#120701); #83711=LINE('',#497195,#120702); #83712=LINE('',#497197,#120703); #83713=LINE('',#497198,#120704); #83714=LINE('',#497201,#120705); #83715=LINE('',#497203,#120706); #83716=LINE('',#497204,#120707); #83717=LINE('',#497207,#120708); #83718=LINE('',#497209,#120709); #83719=LINE('',#497210,#120710); #83720=LINE('',#497213,#120711); #83721=LINE('',#497215,#120712); #83722=LINE('',#497216,#120713); #83723=LINE('',#497219,#120714); #83724=LINE('',#497221,#120715); #83725=LINE('',#497222,#120716); #83726=LINE('',#497225,#120717); #83727=LINE('',#497227,#120718); #83728=LINE('',#497228,#120719); #83729=LINE('',#497231,#120720); #83730=LINE('',#497233,#120721); #83731=LINE('',#497234,#120722); #83732=LINE('',#497237,#120723); #83733=LINE('',#497239,#120724); #83734=LINE('',#497240,#120725); #83735=LINE('',#497243,#120726); #83736=LINE('',#497245,#120727); #83737=LINE('',#497246,#120728); #83738=LINE('',#497249,#120729); #83739=LINE('',#497251,#120730); #83740=LINE('',#497252,#120731); #83741=LINE('',#497255,#120732); #83742=LINE('',#497257,#120733); #83743=LINE('',#497258,#120734); #83744=LINE('',#497261,#120735); #83745=LINE('',#497263,#120736); #83746=LINE('',#497264,#120737); #83747=LINE('',#497267,#120738); #83748=LINE('',#497269,#120739); #83749=LINE('',#497270,#120740); #83750=LINE('',#497273,#120741); #83751=LINE('',#497275,#120742); #83752=LINE('',#497276,#120743); #83753=LINE('',#497279,#120744); #83754=LINE('',#497281,#120745); #83755=LINE('',#497282,#120746); #83756=LINE('',#497285,#120747); #83757=LINE('',#497287,#120748); #83758=LINE('',#497288,#120749); #83759=LINE('',#497291,#120750); #83760=LINE('',#497293,#120751); #83761=LINE('',#497294,#120752); #83762=LINE('',#497297,#120753); #83763=LINE('',#497299,#120754); #83764=LINE('',#497300,#120755); #83765=LINE('',#497303,#120756); #83766=LINE('',#497305,#120757); #83767=LINE('',#497306,#120758); #83768=LINE('',#497309,#120759); #83769=LINE('',#497311,#120760); #83770=LINE('',#497312,#120761); #83771=LINE('',#497315,#120762); #83772=LINE('',#497317,#120763); #83773=LINE('',#497318,#120764); #83774=LINE('',#497321,#120765); #83775=LINE('',#497323,#120766); #83776=LINE('',#497324,#120767); #83777=LINE('',#497327,#120768); #83778=LINE('',#497329,#120769); #83779=LINE('',#497330,#120770); #83780=LINE('',#497333,#120771); #83781=LINE('',#497335,#120772); #83782=LINE('',#497336,#120773); #83783=LINE('',#497339,#120774); #83784=LINE('',#497341,#120775); #83785=LINE('',#497342,#120776); #83786=LINE('',#497345,#120777); #83787=LINE('',#497347,#120778); #83788=LINE('',#497348,#120779); #83789=LINE('',#497351,#120780); #83790=LINE('',#497353,#120781); #83791=LINE('',#497354,#120782); #83792=LINE('',#497357,#120783); #83793=LINE('',#497359,#120784); #83794=LINE('',#497360,#120785); #83795=LINE('',#497363,#120786); #83796=LINE('',#497365,#120787); #83797=LINE('',#497366,#120788); #83798=LINE('',#497369,#120789); #83799=LINE('',#497371,#120790); #83800=LINE('',#497372,#120791); #83801=LINE('',#497375,#120792); #83802=LINE('',#497377,#120793); #83803=LINE('',#497378,#120794); #83804=LINE('',#497381,#120795); #83805=LINE('',#497383,#120796); #83806=LINE('',#497384,#120797); #83807=LINE('',#497387,#120798); #83808=LINE('',#497389,#120799); #83809=LINE('',#497390,#120800); #83810=LINE('',#497393,#120801); #83811=LINE('',#497395,#120802); #83812=LINE('',#497396,#120803); #83813=LINE('',#497399,#120804); #83814=LINE('',#497401,#120805); #83815=LINE('',#497402,#120806); #83816=LINE('',#497405,#120807); #83817=LINE('',#497407,#120808); #83818=LINE('',#497408,#120809); #83819=LINE('',#497411,#120810); #83820=LINE('',#497413,#120811); #83821=LINE('',#497414,#120812); #83822=LINE('',#497417,#120813); #83823=LINE('',#497419,#120814); #83824=LINE('',#497420,#120815); #83825=LINE('',#497423,#120816); #83826=LINE('',#497425,#120817); #83827=LINE('',#497426,#120818); #83828=LINE('',#497429,#120819); #83829=LINE('',#497431,#120820); #83830=LINE('',#497432,#120821); #83831=LINE('',#497435,#120822); #83832=LINE('',#497437,#120823); #83833=LINE('',#497438,#120824); #83834=LINE('',#497441,#120825); #83835=LINE('',#497443,#120826); #83836=LINE('',#497444,#120827); #83837=LINE('',#497447,#120828); #83838=LINE('',#497449,#120829); #83839=LINE('',#497450,#120830); #83840=LINE('',#497453,#120831); #83841=LINE('',#497455,#120832); #83842=LINE('',#497456,#120833); #83843=LINE('',#497459,#120834); #83844=LINE('',#497461,#120835); #83845=LINE('',#497462,#120836); #83846=LINE('',#497465,#120837); #83847=LINE('',#497467,#120838); #83848=LINE('',#497468,#120839); #83849=LINE('',#497471,#120840); #83850=LINE('',#497473,#120841); #83851=LINE('',#497474,#120842); #83852=LINE('',#497477,#120843); #83853=LINE('',#497479,#120844); #83854=LINE('',#497480,#120845); #83855=LINE('',#497483,#120846); #83856=LINE('',#497485,#120847); #83857=LINE('',#497486,#120848); #83858=LINE('',#497489,#120849); #83859=LINE('',#497491,#120850); #83860=LINE('',#497492,#120851); #83861=LINE('',#497495,#120852); #83862=LINE('',#497497,#120853); #83863=LINE('',#497498,#120854); #83864=LINE('',#497501,#120855); #83865=LINE('',#497503,#120856); #83866=LINE('',#497504,#120857); #83867=LINE('',#497507,#120858); #83868=LINE('',#497509,#120859); #83869=LINE('',#497510,#120860); #83870=LINE('',#497513,#120861); #83871=LINE('',#497515,#120862); #83872=LINE('',#497516,#120863); #83873=LINE('',#497519,#120864); #83874=LINE('',#497521,#120865); #83875=LINE('',#497522,#120866); #83876=LINE('',#497525,#120867); #83877=LINE('',#497527,#120868); #83878=LINE('',#497528,#120869); #83879=LINE('',#497531,#120870); #83880=LINE('',#497533,#120871); #83881=LINE('',#497534,#120872); #83882=LINE('',#497537,#120873); #83883=LINE('',#497539,#120874); #83884=LINE('',#497540,#120875); #83885=LINE('',#497543,#120876); #83886=LINE('',#497545,#120877); #83887=LINE('',#497546,#120878); #83888=LINE('',#497549,#120879); #83889=LINE('',#497551,#120880); #83890=LINE('',#497552,#120881); #83891=LINE('',#497555,#120882); #83892=LINE('',#497557,#120883); #83893=LINE('',#497558,#120884); #83894=LINE('',#497561,#120885); #83895=LINE('',#497563,#120886); #83896=LINE('',#497564,#120887); #83897=LINE('',#497567,#120888); #83898=LINE('',#497569,#120889); #83899=LINE('',#497570,#120890); #83900=LINE('',#497573,#120891); #83901=LINE('',#497575,#120892); #83902=LINE('',#497576,#120893); #83903=LINE('',#497579,#120894); #83904=LINE('',#497581,#120895); #83905=LINE('',#497582,#120896); #83906=LINE('',#497585,#120897); #83907=LINE('',#497587,#120898); #83908=LINE('',#497588,#120899); #83909=LINE('',#497591,#120900); #83910=LINE('',#497593,#120901); #83911=LINE('',#497594,#120902); #83912=LINE('',#497597,#120903); #83913=LINE('',#497599,#120904); #83914=LINE('',#497600,#120905); #83915=LINE('',#497603,#120906); #83916=LINE('',#497605,#120907); #83917=LINE('',#497606,#120908); #83918=LINE('',#497609,#120909); #83919=LINE('',#497611,#120910); #83920=LINE('',#497612,#120911); #83921=LINE('',#497615,#120912); #83922=LINE('',#497617,#120913); #83923=LINE('',#497618,#120914); #83924=LINE('',#497621,#120915); #83925=LINE('',#497623,#120916); #83926=LINE('',#497624,#120917); #83927=LINE('',#497627,#120918); #83928=LINE('',#497629,#120919); #83929=LINE('',#497630,#120920); #83930=LINE('',#497633,#120921); #83931=LINE('',#497635,#120922); #83932=LINE('',#497636,#120923); #83933=LINE('',#497639,#120924); #83934=LINE('',#497641,#120925); #83935=LINE('',#497642,#120926); #83936=LINE('',#497645,#120927); #83937=LINE('',#497647,#120928); #83938=LINE('',#497648,#120929); #83939=LINE('',#497651,#120930); #83940=LINE('',#497653,#120931); #83941=LINE('',#497654,#120932); #83942=LINE('',#497657,#120933); #83943=LINE('',#497659,#120934); #83944=LINE('',#497660,#120935); #83945=LINE('',#497663,#120936); #83946=LINE('',#497665,#120937); #83947=LINE('',#497666,#120938); #83948=LINE('',#497669,#120939); #83949=LINE('',#497671,#120940); #83950=LINE('',#497672,#120941); #83951=LINE('',#497675,#120942); #83952=LINE('',#497677,#120943); #83953=LINE('',#497678,#120944); #83954=LINE('',#497681,#120945); #83955=LINE('',#497683,#120946); #83956=LINE('',#497684,#120947); #83957=LINE('',#497687,#120948); #83958=LINE('',#497689,#120949); #83959=LINE('',#497690,#120950); #83960=LINE('',#497693,#120951); #83961=LINE('',#497695,#120952); #83962=LINE('',#497696,#120953); #83963=LINE('',#497699,#120954); #83964=LINE('',#497701,#120955); #83965=LINE('',#497702,#120956); #83966=LINE('',#497705,#120957); #83967=LINE('',#497707,#120958); #83968=LINE('',#497708,#120959); #83969=LINE('',#497711,#120960); #83970=LINE('',#497713,#120961); #83971=LINE('',#497714,#120962); #83972=LINE('',#497717,#120963); #83973=LINE('',#497719,#120964); #83974=LINE('',#497720,#120965); #83975=LINE('',#497723,#120966); #83976=LINE('',#497725,#120967); #83977=LINE('',#497726,#120968); #83978=LINE('',#497729,#120969); #83979=LINE('',#497731,#120970); #83980=LINE('',#497732,#120971); #83981=LINE('',#497735,#120972); #83982=LINE('',#497737,#120973); #83983=LINE('',#497738,#120974); #83984=LINE('',#497741,#120975); #83985=LINE('',#497743,#120976); #83986=LINE('',#497744,#120977); #83987=LINE('',#497747,#120978); #83988=LINE('',#497749,#120979); #83989=LINE('',#497750,#120980); #83990=LINE('',#497753,#120981); #83991=LINE('',#497755,#120982); #83992=LINE('',#497756,#120983); #83993=LINE('',#497759,#120984); #83994=LINE('',#497761,#120985); #83995=LINE('',#497762,#120986); #83996=LINE('',#497765,#120987); #83997=LINE('',#497767,#120988); #83998=LINE('',#497768,#120989); #83999=LINE('',#497771,#120990); #84000=LINE('',#497773,#120991); #84001=LINE('',#497774,#120992); #84002=LINE('',#497777,#120993); #84003=LINE('',#497779,#120994); #84004=LINE('',#497780,#120995); #84005=LINE('',#497783,#120996); #84006=LINE('',#497785,#120997); #84007=LINE('',#497786,#120998); #84008=LINE('',#497789,#120999); #84009=LINE('',#497791,#121000); #84010=LINE('',#497792,#121001); #84011=LINE('',#497795,#121002); #84012=LINE('',#497797,#121003); #84013=LINE('',#497798,#121004); #84014=LINE('',#497801,#121005); #84015=LINE('',#497803,#121006); #84016=LINE('',#497804,#121007); #84017=LINE('',#497807,#121008); #84018=LINE('',#497809,#121009); #84019=LINE('',#497810,#121010); #84020=LINE('',#497813,#121011); #84021=LINE('',#497815,#121012); #84022=LINE('',#497816,#121013); #84023=LINE('',#497819,#121014); #84024=LINE('',#497821,#121015); #84025=LINE('',#497822,#121016); #84026=LINE('',#497825,#121017); #84027=LINE('',#497827,#121018); #84028=LINE('',#497828,#121019); #84029=LINE('',#497831,#121020); #84030=LINE('',#497833,#121021); #84031=LINE('',#497834,#121022); #84032=LINE('',#497837,#121023); #84033=LINE('',#497839,#121024); #84034=LINE('',#497840,#121025); #84035=LINE('',#497843,#121026); #84036=LINE('',#497845,#121027); #84037=LINE('',#497846,#121028); #84038=LINE('',#497849,#121029); #84039=LINE('',#497851,#121030); #84040=LINE('',#497852,#121031); #84041=LINE('',#497855,#121032); #84042=LINE('',#497857,#121033); #84043=LINE('',#497858,#121034); #84044=LINE('',#497861,#121035); #84045=LINE('',#497863,#121036); #84046=LINE('',#497864,#121037); #84047=LINE('',#497867,#121038); #84048=LINE('',#497869,#121039); #84049=LINE('',#497870,#121040); #84050=LINE('',#497873,#121041); #84051=LINE('',#497875,#121042); #84052=LINE('',#497876,#121043); #84053=LINE('',#497879,#121044); #84054=LINE('',#497881,#121045); #84055=LINE('',#497882,#121046); #84056=LINE('',#497885,#121047); #84057=LINE('',#497887,#121048); #84058=LINE('',#497888,#121049); #84059=LINE('',#497891,#121050); #84060=LINE('',#497893,#121051); #84061=LINE('',#497894,#121052); #84062=LINE('',#497897,#121053); #84063=LINE('',#497899,#121054); #84064=LINE('',#497900,#121055); #84065=LINE('',#497903,#121056); #84066=LINE('',#497905,#121057); #84067=LINE('',#497906,#121058); #84068=LINE('',#497909,#121059); #84069=LINE('',#497911,#121060); #84070=LINE('',#497912,#121061); #84071=LINE('',#497915,#121062); #84072=LINE('',#497917,#121063); #84073=LINE('',#497918,#121064); #84074=LINE('',#497921,#121065); #84075=LINE('',#497923,#121066); #84076=LINE('',#497924,#121067); #84077=LINE('',#497927,#121068); #84078=LINE('',#497929,#121069); #84079=LINE('',#497930,#121070); #84080=LINE('',#497933,#121071); #84081=LINE('',#497935,#121072); #84082=LINE('',#497936,#121073); #84083=LINE('',#497939,#121074); #84084=LINE('',#497941,#121075); #84085=LINE('',#497942,#121076); #84086=LINE('',#497945,#121077); #84087=LINE('',#497947,#121078); #84088=LINE('',#497948,#121079); #84089=LINE('',#497951,#121080); #84090=LINE('',#497953,#121081); #84091=LINE('',#497954,#121082); #84092=LINE('',#497957,#121083); #84093=LINE('',#497959,#121084); #84094=LINE('',#497960,#121085); #84095=LINE('',#497963,#121086); #84096=LINE('',#497965,#121087); #84097=LINE('',#497966,#121088); #84098=LINE('',#497969,#121089); #84099=LINE('',#497971,#121090); #84100=LINE('',#497972,#121091); #84101=LINE('',#497975,#121092); #84102=LINE('',#497977,#121093); #84103=LINE('',#497978,#121094); #84104=LINE('',#497981,#121095); #84105=LINE('',#497983,#121096); #84106=LINE('',#497984,#121097); #84107=LINE('',#497987,#121098); #84108=LINE('',#497989,#121099); #84109=LINE('',#497990,#121100); #84110=LINE('',#497993,#121101); #84111=LINE('',#497995,#121102); #84112=LINE('',#497996,#121103); #84113=LINE('',#497999,#121104); #84114=LINE('',#498001,#121105); #84115=LINE('',#498002,#121106); #84116=LINE('',#498005,#121107); #84117=LINE('',#498007,#121108); #84118=LINE('',#498008,#121109); #84119=LINE('',#498011,#121110); #84120=LINE('',#498013,#121111); #84121=LINE('',#498014,#121112); #84122=LINE('',#498017,#121113); #84123=LINE('',#498019,#121114); #84124=LINE('',#498020,#121115); #84125=LINE('',#498023,#121116); #84126=LINE('',#498025,#121117); #84127=LINE('',#498026,#121118); #84128=LINE('',#498029,#121119); #84129=LINE('',#498031,#121120); #84130=LINE('',#498032,#121121); #84131=LINE('',#498035,#121122); #84132=LINE('',#498037,#121123); #84133=LINE('',#498038,#121124); #84134=LINE('',#498041,#121125); #84135=LINE('',#498043,#121126); #84136=LINE('',#498044,#121127); #84137=LINE('',#498047,#121128); #84138=LINE('',#498049,#121129); #84139=LINE('',#498050,#121130); #84140=LINE('',#498053,#121131); #84141=LINE('',#498055,#121132); #84142=LINE('',#498056,#121133); #84143=LINE('',#498059,#121134); #84144=LINE('',#498061,#121135); #84145=LINE('',#498062,#121136); #84146=LINE('',#498065,#121137); #84147=LINE('',#498067,#121138); #84148=LINE('',#498068,#121139); #84149=LINE('',#498071,#121140); #84150=LINE('',#498073,#121141); #84151=LINE('',#498074,#121142); #84152=LINE('',#498077,#121143); #84153=LINE('',#498079,#121144); #84154=LINE('',#498080,#121145); #84155=LINE('',#498083,#121146); #84156=LINE('',#498085,#121147); #84157=LINE('',#498086,#121148); #84158=LINE('',#498088,#121149); #84159=LINE('',#498089,#121150); #84160=LINE('',#498093,#121151); #84161=LINE('',#498095,#121152); #84162=LINE('',#498097,#121153); #84163=LINE('',#498098,#121154); #84164=LINE('',#498101,#121155); #84165=LINE('',#498103,#121156); #84166=LINE('',#498104,#121157); #84167=LINE('',#498107,#121158); #84168=LINE('',#498109,#121159); #84169=LINE('',#498110,#121160); #84170=LINE('',#498113,#121161); #84171=LINE('',#498115,#121162); #84172=LINE('',#498116,#121163); #84173=LINE('',#498119,#121164); #84174=LINE('',#498121,#121165); #84175=LINE('',#498122,#121166); #84176=LINE('',#498125,#121167); #84177=LINE('',#498127,#121168); #84178=LINE('',#498128,#121169); #84179=LINE('',#498131,#121170); #84180=LINE('',#498133,#121171); #84181=LINE('',#498134,#121172); #84182=LINE('',#498137,#121173); #84183=LINE('',#498139,#121174); #84184=LINE('',#498140,#121175); #84185=LINE('',#498143,#121176); #84186=LINE('',#498145,#121177); #84187=LINE('',#498146,#121178); #84188=LINE('',#498149,#121179); #84189=LINE('',#498151,#121180); #84190=LINE('',#498152,#121181); #84191=LINE('',#498155,#121182); #84192=LINE('',#498157,#121183); #84193=LINE('',#498158,#121184); #84194=LINE('',#498161,#121185); #84195=LINE('',#498163,#121186); #84196=LINE('',#498164,#121187); #84197=LINE('',#498167,#121188); #84198=LINE('',#498169,#121189); #84199=LINE('',#498170,#121190); #84200=LINE('',#498173,#121191); #84201=LINE('',#498175,#121192); #84202=LINE('',#498176,#121193); #84203=LINE('',#498179,#121194); #84204=LINE('',#498181,#121195); #84205=LINE('',#498182,#121196); #84206=LINE('',#498185,#121197); #84207=LINE('',#498187,#121198); #84208=LINE('',#498188,#121199); #84209=LINE('',#498191,#121200); #84210=LINE('',#498193,#121201); #84211=LINE('',#498194,#121202); #84212=LINE('',#498197,#121203); #84213=LINE('',#498199,#121204); #84214=LINE('',#498200,#121205); #84215=LINE('',#498203,#121206); #84216=LINE('',#498205,#121207); #84217=LINE('',#498206,#121208); #84218=LINE('',#498209,#121209); #84219=LINE('',#498211,#121210); #84220=LINE('',#498212,#121211); #84221=LINE('',#498215,#121212); #84222=LINE('',#498217,#121213); #84223=LINE('',#498218,#121214); #84224=LINE('',#498221,#121215); #84225=LINE('',#498223,#121216); #84226=LINE('',#498224,#121217); #84227=LINE('',#498227,#121218); #84228=LINE('',#498229,#121219); #84229=LINE('',#498230,#121220); #84230=LINE('',#498233,#121221); #84231=LINE('',#498235,#121222); #84232=LINE('',#498236,#121223); #84233=LINE('',#498239,#121224); #84234=LINE('',#498241,#121225); #84235=LINE('',#498242,#121226); #84236=LINE('',#498245,#121227); #84237=LINE('',#498247,#121228); #84238=LINE('',#498248,#121229); #84239=LINE('',#498251,#121230); #84240=LINE('',#498253,#121231); #84241=LINE('',#498254,#121232); #84242=LINE('',#498257,#121233); #84243=LINE('',#498259,#121234); #84244=LINE('',#498260,#121235); #84245=LINE('',#498263,#121236); #84246=LINE('',#498265,#121237); #84247=LINE('',#498266,#121238); #84248=LINE('',#498268,#121239); #84249=LINE('',#498269,#121240); #84250=LINE('',#498273,#121241); #84251=LINE('',#498275,#121242); #84252=LINE('',#498277,#121243); #84253=LINE('',#498278,#121244); #84254=LINE('',#498281,#121245); #84255=LINE('',#498283,#121246); #84256=LINE('',#498284,#121247); #84257=LINE('',#498287,#121248); #84258=LINE('',#498289,#121249); #84259=LINE('',#498290,#121250); #84260=LINE('',#498293,#121251); #84261=LINE('',#498295,#121252); #84262=LINE('',#498296,#121253); #84263=LINE('',#498299,#121254); #84264=LINE('',#498301,#121255); #84265=LINE('',#498302,#121256); #84266=LINE('',#498305,#121257); #84267=LINE('',#498307,#121258); #84268=LINE('',#498308,#121259); #84269=LINE('',#498311,#121260); #84270=LINE('',#498313,#121261); #84271=LINE('',#498314,#121262); #84272=LINE('',#498317,#121263); #84273=LINE('',#498319,#121264); #84274=LINE('',#498320,#121265); #84275=LINE('',#498323,#121266); #84276=LINE('',#498325,#121267); #84277=LINE('',#498326,#121268); #84278=LINE('',#498329,#121269); #84279=LINE('',#498331,#121270); #84280=LINE('',#498332,#121271); #84281=LINE('',#498335,#121272); #84282=LINE('',#498337,#121273); #84283=LINE('',#498338,#121274); #84284=LINE('',#498341,#121275); #84285=LINE('',#498343,#121276); #84286=LINE('',#498344,#121277); #84287=LINE('',#498347,#121278); #84288=LINE('',#498349,#121279); #84289=LINE('',#498350,#121280); #84290=LINE('',#498353,#121281); #84291=LINE('',#498355,#121282); #84292=LINE('',#498356,#121283); #84293=LINE('',#498359,#121284); #84294=LINE('',#498361,#121285); #84295=LINE('',#498362,#121286); #84296=LINE('',#498365,#121287); #84297=LINE('',#498367,#121288); #84298=LINE('',#498368,#121289); #84299=LINE('',#498371,#121290); #84300=LINE('',#498373,#121291); #84301=LINE('',#498374,#121292); #84302=LINE('',#498377,#121293); #84303=LINE('',#498379,#121294); #84304=LINE('',#498380,#121295); #84305=LINE('',#498383,#121296); #84306=LINE('',#498385,#121297); #84307=LINE('',#498386,#121298); #84308=LINE('',#498389,#121299); #84309=LINE('',#498391,#121300); #84310=LINE('',#498392,#121301); #84311=LINE('',#498395,#121302); #84312=LINE('',#498397,#121303); #84313=LINE('',#498398,#121304); #84314=LINE('',#498401,#121305); #84315=LINE('',#498403,#121306); #84316=LINE('',#498404,#121307); #84317=LINE('',#498407,#121308); #84318=LINE('',#498409,#121309); #84319=LINE('',#498410,#121310); #84320=LINE('',#498413,#121311); #84321=LINE('',#498415,#121312); #84322=LINE('',#498416,#121313); #84323=LINE('',#498419,#121314); #84324=LINE('',#498421,#121315); #84325=LINE('',#498422,#121316); #84326=LINE('',#498425,#121317); #84327=LINE('',#498427,#121318); #84328=LINE('',#498428,#121319); #84329=LINE('',#498431,#121320); #84330=LINE('',#498433,#121321); #84331=LINE('',#498434,#121322); #84332=LINE('',#498437,#121323); #84333=LINE('',#498439,#121324); #84334=LINE('',#498440,#121325); #84335=LINE('',#498443,#121326); #84336=LINE('',#498445,#121327); #84337=LINE('',#498446,#121328); #84338=LINE('',#498448,#121329); #84339=LINE('',#498449,#121330); #84340=LINE('',#498453,#121331); #84341=LINE('',#498455,#121332); #84342=LINE('',#498457,#121333); #84343=LINE('',#498458,#121334); #84344=LINE('',#498461,#121335); #84345=LINE('',#498463,#121336); #84346=LINE('',#498464,#121337); #84347=LINE('',#498467,#121338); #84348=LINE('',#498469,#121339); #84349=LINE('',#498470,#121340); #84350=LINE('',#498473,#121341); #84351=LINE('',#498475,#121342); #84352=LINE('',#498476,#121343); #84353=LINE('',#498479,#121344); #84354=LINE('',#498481,#121345); #84355=LINE('',#498482,#121346); #84356=LINE('',#498485,#121347); #84357=LINE('',#498487,#121348); #84358=LINE('',#498488,#121349); #84359=LINE('',#498491,#121350); #84360=LINE('',#498493,#121351); #84361=LINE('',#498494,#121352); #84362=LINE('',#498497,#121353); #84363=LINE('',#498499,#121354); #84364=LINE('',#498500,#121355); #84365=LINE('',#498503,#121356); #84366=LINE('',#498505,#121357); #84367=LINE('',#498506,#121358); #84368=LINE('',#498509,#121359); #84369=LINE('',#498511,#121360); #84370=LINE('',#498512,#121361); #84371=LINE('',#498515,#121362); #84372=LINE('',#498517,#121363); #84373=LINE('',#498518,#121364); #84374=LINE('',#498521,#121365); #84375=LINE('',#498523,#121366); #84376=LINE('',#498524,#121367); #84377=LINE('',#498527,#121368); #84378=LINE('',#498529,#121369); #84379=LINE('',#498530,#121370); #84380=LINE('',#498533,#121371); #84381=LINE('',#498535,#121372); #84382=LINE('',#498536,#121373); #84383=LINE('',#498539,#121374); #84384=LINE('',#498541,#121375); #84385=LINE('',#498542,#121376); #84386=LINE('',#498545,#121377); #84387=LINE('',#498547,#121378); #84388=LINE('',#498548,#121379); #84389=LINE('',#498551,#121380); #84390=LINE('',#498553,#121381); #84391=LINE('',#498554,#121382); #84392=LINE('',#498557,#121383); #84393=LINE('',#498559,#121384); #84394=LINE('',#498560,#121385); #84395=LINE('',#498563,#121386); #84396=LINE('',#498565,#121387); #84397=LINE('',#498566,#121388); #84398=LINE('',#498569,#121389); #84399=LINE('',#498571,#121390); #84400=LINE('',#498572,#121391); #84401=LINE('',#498575,#121392); #84402=LINE('',#498577,#121393); #84403=LINE('',#498578,#121394); #84404=LINE('',#498581,#121395); #84405=LINE('',#498583,#121396); #84406=LINE('',#498584,#121397); #84407=LINE('',#498587,#121398); #84408=LINE('',#498589,#121399); #84409=LINE('',#498590,#121400); #84410=LINE('',#498593,#121401); #84411=LINE('',#498595,#121402); #84412=LINE('',#498596,#121403); #84413=LINE('',#498599,#121404); #84414=LINE('',#498601,#121405); #84415=LINE('',#498602,#121406); #84416=LINE('',#498605,#121407); #84417=LINE('',#498607,#121408); #84418=LINE('',#498608,#121409); #84419=LINE('',#498611,#121410); #84420=LINE('',#498613,#121411); #84421=LINE('',#498614,#121412); #84422=LINE('',#498617,#121413); #84423=LINE('',#498619,#121414); #84424=LINE('',#498620,#121415); #84425=LINE('',#498623,#121416); #84426=LINE('',#498625,#121417); #84427=LINE('',#498626,#121418); #84428=LINE('',#498628,#121419); #84429=LINE('',#498629,#121420); #84430=LINE('',#498633,#121421); #84431=LINE('',#498635,#121422); #84432=LINE('',#498637,#121423); #84433=LINE('',#498638,#121424); #84434=LINE('',#498641,#121425); #84435=LINE('',#498643,#121426); #84436=LINE('',#498644,#121427); #84437=LINE('',#498647,#121428); #84438=LINE('',#498649,#121429); #84439=LINE('',#498650,#121430); #84440=LINE('',#498653,#121431); #84441=LINE('',#498655,#121432); #84442=LINE('',#498656,#121433); #84443=LINE('',#498659,#121434); #84444=LINE('',#498661,#121435); #84445=LINE('',#498662,#121436); #84446=LINE('',#498665,#121437); #84447=LINE('',#498667,#121438); #84448=LINE('',#498668,#121439); #84449=LINE('',#498671,#121440); #84450=LINE('',#498673,#121441); #84451=LINE('',#498674,#121442); #84452=LINE('',#498677,#121443); #84453=LINE('',#498679,#121444); #84454=LINE('',#498680,#121445); #84455=LINE('',#498683,#121446); #84456=LINE('',#498685,#121447); #84457=LINE('',#498686,#121448); #84458=LINE('',#498689,#121449); #84459=LINE('',#498691,#121450); #84460=LINE('',#498692,#121451); #84461=LINE('',#498695,#121452); #84462=LINE('',#498697,#121453); #84463=LINE('',#498698,#121454); #84464=LINE('',#498701,#121455); #84465=LINE('',#498703,#121456); #84466=LINE('',#498704,#121457); #84467=LINE('',#498707,#121458); #84468=LINE('',#498709,#121459); #84469=LINE('',#498710,#121460); #84470=LINE('',#498713,#121461); #84471=LINE('',#498715,#121462); #84472=LINE('',#498716,#121463); #84473=LINE('',#498719,#121464); #84474=LINE('',#498721,#121465); #84475=LINE('',#498722,#121466); #84476=LINE('',#498725,#121467); #84477=LINE('',#498727,#121468); #84478=LINE('',#498728,#121469); #84479=LINE('',#498731,#121470); #84480=LINE('',#498733,#121471); #84481=LINE('',#498734,#121472); #84482=LINE('',#498737,#121473); #84483=LINE('',#498739,#121474); #84484=LINE('',#498740,#121475); #84485=LINE('',#498743,#121476); #84486=LINE('',#498745,#121477); #84487=LINE('',#498746,#121478); #84488=LINE('',#498749,#121479); #84489=LINE('',#498751,#121480); #84490=LINE('',#498752,#121481); #84491=LINE('',#498755,#121482); #84492=LINE('',#498757,#121483); #84493=LINE('',#498758,#121484); #84494=LINE('',#498761,#121485); #84495=LINE('',#498763,#121486); #84496=LINE('',#498764,#121487); #84497=LINE('',#498767,#121488); #84498=LINE('',#498769,#121489); #84499=LINE('',#498770,#121490); #84500=LINE('',#498773,#121491); #84501=LINE('',#498775,#121492); #84502=LINE('',#498776,#121493); #84503=LINE('',#498779,#121494); #84504=LINE('',#498781,#121495); #84505=LINE('',#498782,#121496); #84506=LINE('',#498785,#121497); #84507=LINE('',#498787,#121498); #84508=LINE('',#498788,#121499); #84509=LINE('',#498791,#121500); #84510=LINE('',#498793,#121501); #84511=LINE('',#498794,#121502); #84512=LINE('',#498797,#121503); #84513=LINE('',#498799,#121504); #84514=LINE('',#498800,#121505); #84515=LINE('',#498803,#121506); #84516=LINE('',#498805,#121507); #84517=LINE('',#498806,#121508); #84518=LINE('',#498809,#121509); #84519=LINE('',#498811,#121510); #84520=LINE('',#498812,#121511); #84521=LINE('',#498815,#121512); #84522=LINE('',#498817,#121513); #84523=LINE('',#498818,#121514); #84524=LINE('',#498821,#121515); #84525=LINE('',#498823,#121516); #84526=LINE('',#498824,#121517); #84527=LINE('',#498827,#121518); #84528=LINE('',#498829,#121519); #84529=LINE('',#498830,#121520); #84530=LINE('',#498833,#121521); #84531=LINE('',#498835,#121522); #84532=LINE('',#498836,#121523); #84533=LINE('',#498839,#121524); #84534=LINE('',#498841,#121525); #84535=LINE('',#498842,#121526); #84536=LINE('',#498845,#121527); #84537=LINE('',#498847,#121528); #84538=LINE('',#498848,#121529); #84539=LINE('',#498851,#121530); #84540=LINE('',#498853,#121531); #84541=LINE('',#498854,#121532); #84542=LINE('',#498857,#121533); #84543=LINE('',#498859,#121534); #84544=LINE('',#498860,#121535); #84545=LINE('',#498863,#121536); #84546=LINE('',#498865,#121537); #84547=LINE('',#498866,#121538); #84548=LINE('',#498869,#121539); #84549=LINE('',#498871,#121540); #84550=LINE('',#498872,#121541); #84551=LINE('',#498875,#121542); #84552=LINE('',#498877,#121543); #84553=LINE('',#498878,#121544); #84554=LINE('',#498881,#121545); #84555=LINE('',#498883,#121546); #84556=LINE('',#498884,#121547); #84557=LINE('',#498887,#121548); #84558=LINE('',#498889,#121549); #84559=LINE('',#498890,#121550); #84560=LINE('',#498893,#121551); #84561=LINE('',#498895,#121552); #84562=LINE('',#498896,#121553); #84563=LINE('',#498899,#121554); #84564=LINE('',#498901,#121555); #84565=LINE('',#498902,#121556); #84566=LINE('',#498905,#121557); #84567=LINE('',#498907,#121558); #84568=LINE('',#498908,#121559); #84569=LINE('',#498911,#121560); #84570=LINE('',#498913,#121561); #84571=LINE('',#498914,#121562); #84572=LINE('',#498917,#121563); #84573=LINE('',#498919,#121564); #84574=LINE('',#498920,#121565); #84575=LINE('',#498923,#121566); #84576=LINE('',#498925,#121567); #84577=LINE('',#498926,#121568); #84578=LINE('',#498929,#121569); #84579=LINE('',#498931,#121570); #84580=LINE('',#498932,#121571); #84581=LINE('',#498935,#121572); #84582=LINE('',#498937,#121573); #84583=LINE('',#498938,#121574); #84584=LINE('',#498941,#121575); #84585=LINE('',#498943,#121576); #84586=LINE('',#498944,#121577); #84587=LINE('',#498947,#121578); #84588=LINE('',#498949,#121579); #84589=LINE('',#498950,#121580); #84590=LINE('',#498953,#121581); #84591=LINE('',#498955,#121582); #84592=LINE('',#498956,#121583); #84593=LINE('',#498959,#121584); #84594=LINE('',#498961,#121585); #84595=LINE('',#498962,#121586); #84596=LINE('',#498965,#121587); #84597=LINE('',#498967,#121588); #84598=LINE('',#498968,#121589); #84599=LINE('',#498971,#121590); #84600=LINE('',#498973,#121591); #84601=LINE('',#498974,#121592); #84602=LINE('',#498977,#121593); #84603=LINE('',#498979,#121594); #84604=LINE('',#498980,#121595); #84605=LINE('',#498983,#121596); #84606=LINE('',#498985,#121597); #84607=LINE('',#498986,#121598); #84608=LINE('',#498989,#121599); #84609=LINE('',#498991,#121600); #84610=LINE('',#498992,#121601); #84611=LINE('',#498995,#121602); #84612=LINE('',#498997,#121603); #84613=LINE('',#498998,#121604); #84614=LINE('',#499001,#121605); #84615=LINE('',#499003,#121606); #84616=LINE('',#499004,#121607); #84617=LINE('',#499007,#121608); #84618=LINE('',#499009,#121609); #84619=LINE('',#499010,#121610); #84620=LINE('',#499013,#121611); #84621=LINE('',#499015,#121612); #84622=LINE('',#499016,#121613); #84623=LINE('',#499019,#121614); #84624=LINE('',#499021,#121615); #84625=LINE('',#499022,#121616); #84626=LINE('',#499025,#121617); #84627=LINE('',#499027,#121618); #84628=LINE('',#499028,#121619); #84629=LINE('',#499031,#121620); #84630=LINE('',#499033,#121621); #84631=LINE('',#499034,#121622); #84632=LINE('',#499037,#121623); #84633=LINE('',#499039,#121624); #84634=LINE('',#499040,#121625); #84635=LINE('',#499043,#121626); #84636=LINE('',#499045,#121627); #84637=LINE('',#499046,#121628); #84638=LINE('',#499049,#121629); #84639=LINE('',#499051,#121630); #84640=LINE('',#499052,#121631); #84641=LINE('',#499055,#121632); #84642=LINE('',#499057,#121633); #84643=LINE('',#499058,#121634); #84644=LINE('',#499061,#121635); #84645=LINE('',#499063,#121636); #84646=LINE('',#499064,#121637); #84647=LINE('',#499067,#121638); #84648=LINE('',#499069,#121639); #84649=LINE('',#499070,#121640); #84650=LINE('',#499073,#121641); #84651=LINE('',#499075,#121642); #84652=LINE('',#499076,#121643); #84653=LINE('',#499079,#121644); #84654=LINE('',#499081,#121645); #84655=LINE('',#499082,#121646); #84656=LINE('',#499085,#121647); #84657=LINE('',#499087,#121648); #84658=LINE('',#499088,#121649); #84659=LINE('',#499091,#121650); #84660=LINE('',#499093,#121651); #84661=LINE('',#499094,#121652); #84662=LINE('',#499097,#121653); #84663=LINE('',#499099,#121654); #84664=LINE('',#499100,#121655); #84665=LINE('',#499103,#121656); #84666=LINE('',#499105,#121657); #84667=LINE('',#499106,#121658); #84668=LINE('',#499109,#121659); #84669=LINE('',#499111,#121660); #84670=LINE('',#499112,#121661); #84671=LINE('',#499115,#121662); #84672=LINE('',#499117,#121663); #84673=LINE('',#499118,#121664); #84674=LINE('',#499121,#121665); #84675=LINE('',#499123,#121666); #84676=LINE('',#499124,#121667); #84677=LINE('',#499127,#121668); #84678=LINE('',#499129,#121669); #84679=LINE('',#499130,#121670); #84680=LINE('',#499133,#121671); #84681=LINE('',#499135,#121672); #84682=LINE('',#499136,#121673); #84683=LINE('',#499139,#121674); #84684=LINE('',#499141,#121675); #84685=LINE('',#499142,#121676); #84686=LINE('',#499145,#121677); #84687=LINE('',#499147,#121678); #84688=LINE('',#499148,#121679); #84689=LINE('',#499151,#121680); #84690=LINE('',#499153,#121681); #84691=LINE('',#499154,#121682); #84692=LINE('',#499157,#121683); #84693=LINE('',#499159,#121684); #84694=LINE('',#499160,#121685); #84695=LINE('',#499163,#121686); #84696=LINE('',#499165,#121687); #84697=LINE('',#499166,#121688); #84698=LINE('',#499169,#121689); #84699=LINE('',#499171,#121690); #84700=LINE('',#499172,#121691); #84701=LINE('',#499175,#121692); #84702=LINE('',#499177,#121693); #84703=LINE('',#499178,#121694); #84704=LINE('',#499181,#121695); #84705=LINE('',#499183,#121696); #84706=LINE('',#499184,#121697); #84707=LINE('',#499187,#121698); #84708=LINE('',#499189,#121699); #84709=LINE('',#499190,#121700); #84710=LINE('',#499193,#121701); #84711=LINE('',#499195,#121702); #84712=LINE('',#499196,#121703); #84713=LINE('',#499199,#121704); #84714=LINE('',#499201,#121705); #84715=LINE('',#499202,#121706); #84716=LINE('',#499205,#121707); #84717=LINE('',#499207,#121708); #84718=LINE('',#499208,#121709); #84719=LINE('',#499211,#121710); #84720=LINE('',#499213,#121711); #84721=LINE('',#499214,#121712); #84722=LINE('',#499217,#121713); #84723=LINE('',#499219,#121714); #84724=LINE('',#499220,#121715); #84725=LINE('',#499223,#121716); #84726=LINE('',#499225,#121717); #84727=LINE('',#499226,#121718); #84728=LINE('',#499229,#121719); #84729=LINE('',#499231,#121720); #84730=LINE('',#499232,#121721); #84731=LINE('',#499235,#121722); #84732=LINE('',#499237,#121723); #84733=LINE('',#499238,#121724); #84734=LINE('',#499241,#121725); #84735=LINE('',#499243,#121726); #84736=LINE('',#499244,#121727); #84737=LINE('',#499247,#121728); #84738=LINE('',#499249,#121729); #84739=LINE('',#499250,#121730); #84740=LINE('',#499253,#121731); #84741=LINE('',#499255,#121732); #84742=LINE('',#499256,#121733); #84743=LINE('',#499259,#121734); #84744=LINE('',#499261,#121735); #84745=LINE('',#499262,#121736); #84746=LINE('',#499265,#121737); #84747=LINE('',#499267,#121738); #84748=LINE('',#499268,#121739); #84749=LINE('',#499271,#121740); #84750=LINE('',#499273,#121741); #84751=LINE('',#499274,#121742); #84752=LINE('',#499277,#121743); #84753=LINE('',#499279,#121744); #84754=LINE('',#499280,#121745); #84755=LINE('',#499283,#121746); #84756=LINE('',#499285,#121747); #84757=LINE('',#499286,#121748); #84758=LINE('',#499289,#121749); #84759=LINE('',#499291,#121750); #84760=LINE('',#499292,#121751); #84761=LINE('',#499295,#121752); #84762=LINE('',#499297,#121753); #84763=LINE('',#499298,#121754); #84764=LINE('',#499301,#121755); #84765=LINE('',#499303,#121756); #84766=LINE('',#499304,#121757); #84767=LINE('',#499307,#121758); #84768=LINE('',#499309,#121759); #84769=LINE('',#499310,#121760); #84770=LINE('',#499313,#121761); #84771=LINE('',#499315,#121762); #84772=LINE('',#499316,#121763); #84773=LINE('',#499319,#121764); #84774=LINE('',#499321,#121765); #84775=LINE('',#499322,#121766); #84776=LINE('',#499325,#121767); #84777=LINE('',#499327,#121768); #84778=LINE('',#499328,#121769); #84779=LINE('',#499331,#121770); #84780=LINE('',#499333,#121771); #84781=LINE('',#499334,#121772); #84782=LINE('',#499337,#121773); #84783=LINE('',#499339,#121774); #84784=LINE('',#499340,#121775); #84785=LINE('',#499343,#121776); #84786=LINE('',#499345,#121777); #84787=LINE('',#499346,#121778); #84788=LINE('',#499348,#121779); #84789=LINE('',#499349,#121780); #84790=LINE('',#499355,#121781); #84791=LINE('',#499357,#121782); #84792=LINE('',#499359,#121783); #84793=LINE('',#499360,#121784); #84794=LINE('',#499363,#121785); #84795=LINE('',#499365,#121786); #84796=LINE('',#499366,#121787); #84797=LINE('',#499369,#121788); #84798=LINE('',#499371,#121789); #84799=LINE('',#499372,#121790); #84800=LINE('',#499375,#121791); #84801=LINE('',#499377,#121792); #84802=LINE('',#499378,#121793); #84803=LINE('',#499381,#121794); #84804=LINE('',#499383,#121795); #84805=LINE('',#499384,#121796); #84806=LINE('',#499387,#121797); #84807=LINE('',#499389,#121798); #84808=LINE('',#499390,#121799); #84809=LINE('',#499393,#121800); #84810=LINE('',#499395,#121801); #84811=LINE('',#499396,#121802); #84812=LINE('',#499399,#121803); #84813=LINE('',#499401,#121804); #84814=LINE('',#499402,#121805); #84815=LINE('',#499405,#121806); #84816=LINE('',#499407,#121807); #84817=LINE('',#499408,#121808); #84818=LINE('',#499411,#121809); #84819=LINE('',#499413,#121810); #84820=LINE('',#499414,#121811); #84821=LINE('',#499417,#121812); #84822=LINE('',#499419,#121813); #84823=LINE('',#499420,#121814); #84824=LINE('',#499423,#121815); #84825=LINE('',#499425,#121816); #84826=LINE('',#499426,#121817); #84827=LINE('',#499429,#121818); #84828=LINE('',#499431,#121819); #84829=LINE('',#499432,#121820); #84830=LINE('',#499435,#121821); #84831=LINE('',#499437,#121822); #84832=LINE('',#499438,#121823); #84833=LINE('',#499441,#121824); #84834=LINE('',#499443,#121825); #84835=LINE('',#499444,#121826); #84836=LINE('',#499447,#121827); #84837=LINE('',#499449,#121828); #84838=LINE('',#499450,#121829); #84839=LINE('',#499453,#121830); #84840=LINE('',#499455,#121831); #84841=LINE('',#499456,#121832); #84842=LINE('',#499459,#121833); #84843=LINE('',#499461,#121834); #84844=LINE('',#499462,#121835); #84845=LINE('',#499465,#121836); #84846=LINE('',#499467,#121837); #84847=LINE('',#499468,#121838); #84848=LINE('',#499471,#121839); #84849=LINE('',#499473,#121840); #84850=LINE('',#499474,#121841); #84851=LINE('',#499477,#121842); #84852=LINE('',#499479,#121843); #84853=LINE('',#499480,#121844); #84854=LINE('',#499483,#121845); #84855=LINE('',#499485,#121846); #84856=LINE('',#499486,#121847); #84857=LINE('',#499489,#121848); #84858=LINE('',#499491,#121849); #84859=LINE('',#499492,#121850); #84860=LINE('',#499495,#121851); #84861=LINE('',#499497,#121852); #84862=LINE('',#499498,#121853); #84863=LINE('',#499501,#121854); #84864=LINE('',#499503,#121855); #84865=LINE('',#499504,#121856); #84866=LINE('',#499507,#121857); #84867=LINE('',#499509,#121858); #84868=LINE('',#499510,#121859); #84869=LINE('',#499512,#121860); #84870=LINE('',#499513,#121861); #84871=LINE('',#499519,#121862); #84872=LINE('',#499521,#121863); #84873=LINE('',#499523,#121864); #84874=LINE('',#499524,#121865); #84875=LINE('',#499527,#121866); #84876=LINE('',#499529,#121867); #84877=LINE('',#499530,#121868); #84878=LINE('',#499533,#121869); #84879=LINE('',#499535,#121870); #84880=LINE('',#499536,#121871); #84881=LINE('',#499539,#121872); #84882=LINE('',#499541,#121873); #84883=LINE('',#499542,#121874); #84884=LINE('',#499545,#121875); #84885=LINE('',#499547,#121876); #84886=LINE('',#499548,#121877); #84887=LINE('',#499551,#121878); #84888=LINE('',#499553,#121879); #84889=LINE('',#499554,#121880); #84890=LINE('',#499557,#121881); #84891=LINE('',#499559,#121882); #84892=LINE('',#499560,#121883); #84893=LINE('',#499563,#121884); #84894=LINE('',#499565,#121885); #84895=LINE('',#499566,#121886); #84896=LINE('',#499569,#121887); #84897=LINE('',#499571,#121888); #84898=LINE('',#499572,#121889); #84899=LINE('',#499575,#121890); #84900=LINE('',#499577,#121891); #84901=LINE('',#499578,#121892); #84902=LINE('',#499581,#121893); #84903=LINE('',#499583,#121894); #84904=LINE('',#499584,#121895); #84905=LINE('',#499587,#121896); #84906=LINE('',#499589,#121897); #84907=LINE('',#499590,#121898); #84908=LINE('',#499593,#121899); #84909=LINE('',#499595,#121900); #84910=LINE('',#499596,#121901); #84911=LINE('',#499599,#121902); #84912=LINE('',#499601,#121903); #84913=LINE('',#499602,#121904); #84914=LINE('',#499605,#121905); #84915=LINE('',#499607,#121906); #84916=LINE('',#499608,#121907); #84917=LINE('',#499611,#121908); #84918=LINE('',#499613,#121909); #84919=LINE('',#499614,#121910); #84920=LINE('',#499617,#121911); #84921=LINE('',#499619,#121912); #84922=LINE('',#499620,#121913); #84923=LINE('',#499623,#121914); #84924=LINE('',#499625,#121915); #84925=LINE('',#499626,#121916); #84926=LINE('',#499629,#121917); #84927=LINE('',#499631,#121918); #84928=LINE('',#499632,#121919); #84929=LINE('',#499635,#121920); #84930=LINE('',#499637,#121921); #84931=LINE('',#499638,#121922); #84932=LINE('',#499640,#121923); #84933=LINE('',#499641,#121924); #84934=LINE('',#499647,#121925); #84935=LINE('',#499649,#121926); #84936=LINE('',#499651,#121927); #84937=LINE('',#499652,#121928); #84938=LINE('',#499655,#121929); #84939=LINE('',#499657,#121930); #84940=LINE('',#499658,#121931); #84941=LINE('',#499661,#121932); #84942=LINE('',#499663,#121933); #84943=LINE('',#499664,#121934); #84944=LINE('',#499667,#121935); #84945=LINE('',#499669,#121936); #84946=LINE('',#499670,#121937); #84947=LINE('',#499673,#121938); #84948=LINE('',#499675,#121939); #84949=LINE('',#499676,#121940); #84950=LINE('',#499679,#121941); #84951=LINE('',#499681,#121942); #84952=LINE('',#499682,#121943); #84953=LINE('',#499685,#121944); #84954=LINE('',#499687,#121945); #84955=LINE('',#499688,#121946); #84956=LINE('',#499691,#121947); #84957=LINE('',#499693,#121948); #84958=LINE('',#499694,#121949); #84959=LINE('',#499697,#121950); #84960=LINE('',#499699,#121951); #84961=LINE('',#499700,#121952); #84962=LINE('',#499703,#121953); #84963=LINE('',#499705,#121954); #84964=LINE('',#499706,#121955); #84965=LINE('',#499709,#121956); #84966=LINE('',#499711,#121957); #84967=LINE('',#499712,#121958); #84968=LINE('',#499715,#121959); #84969=LINE('',#499717,#121960); #84970=LINE('',#499718,#121961); #84971=LINE('',#499721,#121962); #84972=LINE('',#499723,#121963); #84973=LINE('',#499724,#121964); #84974=LINE('',#499727,#121965); #84975=LINE('',#499729,#121966); #84976=LINE('',#499730,#121967); #84977=LINE('',#499733,#121968); #84978=LINE('',#499735,#121969); #84979=LINE('',#499736,#121970); #84980=LINE('',#499739,#121971); #84981=LINE('',#499741,#121972); #84982=LINE('',#499742,#121973); #84983=LINE('',#499745,#121974); #84984=LINE('',#499747,#121975); #84985=LINE('',#499748,#121976); #84986=LINE('',#499751,#121977); #84987=LINE('',#499753,#121978); #84988=LINE('',#499754,#121979); #84989=LINE('',#499757,#121980); #84990=LINE('',#499759,#121981); #84991=LINE('',#499760,#121982); #84992=LINE('',#499762,#121983); #84993=LINE('',#499763,#121984); #84994=LINE('',#499769,#121985); #84995=LINE('',#499771,#121986); #84996=LINE('',#499773,#121987); #84997=LINE('',#499774,#121988); #84998=LINE('',#499777,#121989); #84999=LINE('',#499779,#121990); #85000=LINE('',#499780,#121991); #85001=LINE('',#499783,#121992); #85002=LINE('',#499785,#121993); #85003=LINE('',#499786,#121994); #85004=LINE('',#499789,#121995); #85005=LINE('',#499791,#121996); #85006=LINE('',#499792,#121997); #85007=LINE('',#499795,#121998); #85008=LINE('',#499797,#121999); #85009=LINE('',#499798,#122000); #85010=LINE('',#499801,#122001); #85011=LINE('',#499803,#122002); #85012=LINE('',#499804,#122003); #85013=LINE('',#499807,#122004); #85014=LINE('',#499809,#122005); #85015=LINE('',#499810,#122006); #85016=LINE('',#499813,#122007); #85017=LINE('',#499815,#122008); #85018=LINE('',#499816,#122009); #85019=LINE('',#499819,#122010); #85020=LINE('',#499821,#122011); #85021=LINE('',#499822,#122012); #85022=LINE('',#499825,#122013); #85023=LINE('',#499827,#122014); #85024=LINE('',#499828,#122015); #85025=LINE('',#499831,#122016); #85026=LINE('',#499833,#122017); #85027=LINE('',#499834,#122018); #85028=LINE('',#499837,#122019); #85029=LINE('',#499839,#122020); #85030=LINE('',#499840,#122021); #85031=LINE('',#499843,#122022); #85032=LINE('',#499845,#122023); #85033=LINE('',#499846,#122024); #85034=LINE('',#499849,#122025); #85035=LINE('',#499851,#122026); #85036=LINE('',#499852,#122027); #85037=LINE('',#499855,#122028); #85038=LINE('',#499857,#122029); #85039=LINE('',#499858,#122030); #85040=LINE('',#499861,#122031); #85041=LINE('',#499863,#122032); #85042=LINE('',#499864,#122033); #85043=LINE('',#499867,#122034); #85044=LINE('',#499869,#122035); #85045=LINE('',#499870,#122036); #85046=LINE('',#499873,#122037); #85047=LINE('',#499875,#122038); #85048=LINE('',#499876,#122039); #85049=LINE('',#499879,#122040); #85050=LINE('',#499881,#122041); #85051=LINE('',#499882,#122042); #85052=LINE('',#499885,#122043); #85053=LINE('',#499887,#122044); #85054=LINE('',#499888,#122045); #85055=LINE('',#499891,#122046); #85056=LINE('',#499893,#122047); #85057=LINE('',#499894,#122048); #85058=LINE('',#499897,#122049); #85059=LINE('',#499899,#122050); #85060=LINE('',#499900,#122051); #85061=LINE('',#499903,#122052); #85062=LINE('',#499905,#122053); #85063=LINE('',#499906,#122054); #85064=LINE('',#499909,#122055); #85065=LINE('',#499911,#122056); #85066=LINE('',#499912,#122057); #85067=LINE('',#499915,#122058); #85068=LINE('',#499917,#122059); #85069=LINE('',#499918,#122060); #85070=LINE('',#499921,#122061); #85071=LINE('',#499923,#122062); #85072=LINE('',#499924,#122063); #85073=LINE('',#499927,#122064); #85074=LINE('',#499929,#122065); #85075=LINE('',#499930,#122066); #85076=LINE('',#499933,#122067); #85077=LINE('',#499935,#122068); #85078=LINE('',#499936,#122069); #85079=LINE('',#499939,#122070); #85080=LINE('',#499941,#122071); #85081=LINE('',#499942,#122072); #85082=LINE('',#499945,#122073); #85083=LINE('',#499947,#122074); #85084=LINE('',#499948,#122075); #85085=LINE('',#499951,#122076); #85086=LINE('',#499953,#122077); #85087=LINE('',#499954,#122078); #85088=LINE('',#499957,#122079); #85089=LINE('',#499959,#122080); #85090=LINE('',#499960,#122081); #85091=LINE('',#499963,#122082); #85092=LINE('',#499965,#122083); #85093=LINE('',#499966,#122084); #85094=LINE('',#499969,#122085); #85095=LINE('',#499971,#122086); #85096=LINE('',#499972,#122087); #85097=LINE('',#499975,#122088); #85098=LINE('',#499977,#122089); #85099=LINE('',#499978,#122090); #85100=LINE('',#499981,#122091); #85101=LINE('',#499983,#122092); #85102=LINE('',#499984,#122093); #85103=LINE('',#499987,#122094); #85104=LINE('',#499989,#122095); #85105=LINE('',#499990,#122096); #85106=LINE('',#499993,#122097); #85107=LINE('',#499995,#122098); #85108=LINE('',#499996,#122099); #85109=LINE('',#499999,#122100); #85110=LINE('',#500001,#122101); #85111=LINE('',#500002,#122102); #85112=LINE('',#500005,#122103); #85113=LINE('',#500007,#122104); #85114=LINE('',#500008,#122105); #85115=LINE('',#500011,#122106); #85116=LINE('',#500013,#122107); #85117=LINE('',#500014,#122108); #85118=LINE('',#500017,#122109); #85119=LINE('',#500019,#122110); #85120=LINE('',#500020,#122111); #85121=LINE('',#500023,#122112); #85122=LINE('',#500025,#122113); #85123=LINE('',#500026,#122114); #85124=LINE('',#500029,#122115); #85125=LINE('',#500031,#122116); #85126=LINE('',#500032,#122117); #85127=LINE('',#500035,#122118); #85128=LINE('',#500037,#122119); #85129=LINE('',#500038,#122120); #85130=LINE('',#500041,#122121); #85131=LINE('',#500043,#122122); #85132=LINE('',#500044,#122123); #85133=LINE('',#500046,#122124); #85134=LINE('',#500047,#122125); #85135=LINE('',#500053,#122126); #85136=LINE('',#500055,#122127); #85137=LINE('',#500057,#122128); #85138=LINE('',#500058,#122129); #85139=LINE('',#500061,#122130); #85140=LINE('',#500063,#122131); #85141=LINE('',#500064,#122132); #85142=LINE('',#500067,#122133); #85143=LINE('',#500069,#122134); #85144=LINE('',#500070,#122135); #85145=LINE('',#500073,#122136); #85146=LINE('',#500075,#122137); #85147=LINE('',#500076,#122138); #85148=LINE('',#500079,#122139); #85149=LINE('',#500081,#122140); #85150=LINE('',#500082,#122141); #85151=LINE('',#500085,#122142); #85152=LINE('',#500087,#122143); #85153=LINE('',#500088,#122144); #85154=LINE('',#500091,#122145); #85155=LINE('',#500093,#122146); #85156=LINE('',#500094,#122147); #85157=LINE('',#500097,#122148); #85158=LINE('',#500099,#122149); #85159=LINE('',#500100,#122150); #85160=LINE('',#500103,#122151); #85161=LINE('',#500105,#122152); #85162=LINE('',#500106,#122153); #85163=LINE('',#500109,#122154); #85164=LINE('',#500111,#122155); #85165=LINE('',#500112,#122156); #85166=LINE('',#500115,#122157); #85167=LINE('',#500117,#122158); #85168=LINE('',#500118,#122159); #85169=LINE('',#500121,#122160); #85170=LINE('',#500123,#122161); #85171=LINE('',#500124,#122162); #85172=LINE('',#500127,#122163); #85173=LINE('',#500129,#122164); #85174=LINE('',#500130,#122165); #85175=LINE('',#500133,#122166); #85176=LINE('',#500135,#122167); #85177=LINE('',#500136,#122168); #85178=LINE('',#500139,#122169); #85179=LINE('',#500141,#122170); #85180=LINE('',#500142,#122171); #85181=LINE('',#500145,#122172); #85182=LINE('',#500147,#122173); #85183=LINE('',#500148,#122174); #85184=LINE('',#500151,#122175); #85185=LINE('',#500153,#122176); #85186=LINE('',#500154,#122177); #85187=LINE('',#500157,#122178); #85188=LINE('',#500159,#122179); #85189=LINE('',#500160,#122180); #85190=LINE('',#500163,#122181); #85191=LINE('',#500165,#122182); #85192=LINE('',#500166,#122183); #85193=LINE('',#500169,#122184); #85194=LINE('',#500171,#122185); #85195=LINE('',#500172,#122186); #85196=LINE('',#500175,#122187); #85197=LINE('',#500177,#122188); #85198=LINE('',#500178,#122189); #85199=LINE('',#500181,#122190); #85200=LINE('',#500183,#122191); #85201=LINE('',#500184,#122192); #85202=LINE('',#500187,#122193); #85203=LINE('',#500189,#122194); #85204=LINE('',#500190,#122195); #85205=LINE('',#500193,#122196); #85206=LINE('',#500195,#122197); #85207=LINE('',#500196,#122198); #85208=LINE('',#500199,#122199); #85209=LINE('',#500201,#122200); #85210=LINE('',#500202,#122201); #85211=LINE('',#500205,#122202); #85212=LINE('',#500207,#122203); #85213=LINE('',#500208,#122204); #85214=LINE('',#500211,#122205); #85215=LINE('',#500213,#122206); #85216=LINE('',#500214,#122207); #85217=LINE('',#500217,#122208); #85218=LINE('',#500219,#122209); #85219=LINE('',#500220,#122210); #85220=LINE('',#500223,#122211); #85221=LINE('',#500225,#122212); #85222=LINE('',#500226,#122213); #85223=LINE('',#500229,#122214); #85224=LINE('',#500231,#122215); #85225=LINE('',#500232,#122216); #85226=LINE('',#500235,#122217); #85227=LINE('',#500237,#122218); #85228=LINE('',#500238,#122219); #85229=LINE('',#500241,#122220); #85230=LINE('',#500243,#122221); #85231=LINE('',#500244,#122222); #85232=LINE('',#500247,#122223); #85233=LINE('',#500249,#122224); #85234=LINE('',#500250,#122225); #85235=LINE('',#500252,#122226); #85236=LINE('',#500253,#122227); #85237=LINE('',#500259,#122228); #85238=LINE('',#500261,#122229); #85239=LINE('',#500263,#122230); #85240=LINE('',#500264,#122231); #85241=LINE('',#500267,#122232); #85242=LINE('',#500269,#122233); #85243=LINE('',#500270,#122234); #85244=LINE('',#500273,#122235); #85245=LINE('',#500275,#122236); #85246=LINE('',#500276,#122237); #85247=LINE('',#500279,#122238); #85248=LINE('',#500281,#122239); #85249=LINE('',#500282,#122240); #85250=LINE('',#500285,#122241); #85251=LINE('',#500287,#122242); #85252=LINE('',#500288,#122243); #85253=LINE('',#500291,#122244); #85254=LINE('',#500293,#122245); #85255=LINE('',#500294,#122246); #85256=LINE('',#500297,#122247); #85257=LINE('',#500299,#122248); #85258=LINE('',#500300,#122249); #85259=LINE('',#500303,#122250); #85260=LINE('',#500305,#122251); #85261=LINE('',#500306,#122252); #85262=LINE('',#500309,#122253); #85263=LINE('',#500311,#122254); #85264=LINE('',#500312,#122255); #85265=LINE('',#500315,#122256); #85266=LINE('',#500317,#122257); #85267=LINE('',#500318,#122258); #85268=LINE('',#500321,#122259); #85269=LINE('',#500323,#122260); #85270=LINE('',#500324,#122261); #85271=LINE('',#500327,#122262); #85272=LINE('',#500329,#122263); #85273=LINE('',#500330,#122264); #85274=LINE('',#500333,#122265); #85275=LINE('',#500335,#122266); #85276=LINE('',#500336,#122267); #85277=LINE('',#500339,#122268); #85278=LINE('',#500341,#122269); #85279=LINE('',#500342,#122270); #85280=LINE('',#500345,#122271); #85281=LINE('',#500347,#122272); #85282=LINE('',#500348,#122273); #85283=LINE('',#500351,#122274); #85284=LINE('',#500353,#122275); #85285=LINE('',#500354,#122276); #85286=LINE('',#500357,#122277); #85287=LINE('',#500359,#122278); #85288=LINE('',#500360,#122279); #85289=LINE('',#500363,#122280); #85290=LINE('',#500365,#122281); #85291=LINE('',#500366,#122282); #85292=LINE('',#500369,#122283); #85293=LINE('',#500371,#122284); #85294=LINE('',#500372,#122285); #85295=LINE('',#500375,#122286); #85296=LINE('',#500377,#122287); #85297=LINE('',#500378,#122288); #85298=LINE('',#500381,#122289); #85299=LINE('',#500383,#122290); #85300=LINE('',#500384,#122291); #85301=LINE('',#500386,#122292); #85302=LINE('',#500387,#122293); #85303=LINE('',#500393,#122294); #85304=LINE('',#500395,#122295); #85305=LINE('',#500397,#122296); #85306=LINE('',#500398,#122297); #85307=LINE('',#500401,#122298); #85308=LINE('',#500403,#122299); #85309=LINE('',#500404,#122300); #85310=LINE('',#500407,#122301); #85311=LINE('',#500409,#122302); #85312=LINE('',#500410,#122303); #85313=LINE('',#500413,#122304); #85314=LINE('',#500415,#122305); #85315=LINE('',#500416,#122306); #85316=LINE('',#500419,#122307); #85317=LINE('',#500421,#122308); #85318=LINE('',#500422,#122309); #85319=LINE('',#500425,#122310); #85320=LINE('',#500427,#122311); #85321=LINE('',#500428,#122312); #85322=LINE('',#500431,#122313); #85323=LINE('',#500433,#122314); #85324=LINE('',#500434,#122315); #85325=LINE('',#500437,#122316); #85326=LINE('',#500439,#122317); #85327=LINE('',#500440,#122318); #85328=LINE('',#500443,#122319); #85329=LINE('',#500445,#122320); #85330=LINE('',#500446,#122321); #85331=LINE('',#500449,#122322); #85332=LINE('',#500451,#122323); #85333=LINE('',#500452,#122324); #85334=LINE('',#500455,#122325); #85335=LINE('',#500457,#122326); #85336=LINE('',#500458,#122327); #85337=LINE('',#500461,#122328); #85338=LINE('',#500463,#122329); #85339=LINE('',#500464,#122330); #85340=LINE('',#500467,#122331); #85341=LINE('',#500469,#122332); #85342=LINE('',#500470,#122333); #85343=LINE('',#500473,#122334); #85344=LINE('',#500475,#122335); #85345=LINE('',#500476,#122336); #85346=LINE('',#500479,#122337); #85347=LINE('',#500481,#122338); #85348=LINE('',#500482,#122339); #85349=LINE('',#500485,#122340); #85350=LINE('',#500487,#122341); #85351=LINE('',#500488,#122342); #85352=LINE('',#500491,#122343); #85353=LINE('',#500493,#122344); #85354=LINE('',#500494,#122345); #85355=LINE('',#500497,#122346); #85356=LINE('',#500499,#122347); #85357=LINE('',#500500,#122348); #85358=LINE('',#500503,#122349); #85359=LINE('',#500505,#122350); #85360=LINE('',#500506,#122351); #85361=LINE('',#500508,#122352); #85362=LINE('',#500509,#122353); #85363=LINE('',#500515,#122354); #85364=LINE('',#500517,#122355); #85365=LINE('',#500519,#122356); #85366=LINE('',#500520,#122357); #85367=LINE('',#500523,#122358); #85368=LINE('',#500525,#122359); #85369=LINE('',#500526,#122360); #85370=LINE('',#500529,#122361); #85371=LINE('',#500531,#122362); #85372=LINE('',#500532,#122363); #85373=LINE('',#500535,#122364); #85374=LINE('',#500537,#122365); #85375=LINE('',#500538,#122366); #85376=LINE('',#500541,#122367); #85377=LINE('',#500543,#122368); #85378=LINE('',#500544,#122369); #85379=LINE('',#500547,#122370); #85380=LINE('',#500549,#122371); #85381=LINE('',#500550,#122372); #85382=LINE('',#500553,#122373); #85383=LINE('',#500555,#122374); #85384=LINE('',#500556,#122375); #85385=LINE('',#500559,#122376); #85386=LINE('',#500561,#122377); #85387=LINE('',#500562,#122378); #85388=LINE('',#500565,#122379); #85389=LINE('',#500567,#122380); #85390=LINE('',#500568,#122381); #85391=LINE('',#500571,#122382); #85392=LINE('',#500573,#122383); #85393=LINE('',#500574,#122384); #85394=LINE('',#500577,#122385); #85395=LINE('',#500579,#122386); #85396=LINE('',#500580,#122387); #85397=LINE('',#500583,#122388); #85398=LINE('',#500585,#122389); #85399=LINE('',#500586,#122390); #85400=LINE('',#500589,#122391); #85401=LINE('',#500591,#122392); #85402=LINE('',#500592,#122393); #85403=LINE('',#500595,#122394); #85404=LINE('',#500597,#122395); #85405=LINE('',#500598,#122396); #85406=LINE('',#500601,#122397); #85407=LINE('',#500603,#122398); #85408=LINE('',#500604,#122399); #85409=LINE('',#500607,#122400); #85410=LINE('',#500609,#122401); #85411=LINE('',#500610,#122402); #85412=LINE('',#500613,#122403); #85413=LINE('',#500615,#122404); #85414=LINE('',#500616,#122405); #85415=LINE('',#500619,#122406); #85416=LINE('',#500621,#122407); #85417=LINE('',#500622,#122408); #85418=LINE('',#500625,#122409); #85419=LINE('',#500627,#122410); #85420=LINE('',#500628,#122411); #85421=LINE('',#500631,#122412); #85422=LINE('',#500633,#122413); #85423=LINE('',#500634,#122414); #85424=LINE('',#500637,#122415); #85425=LINE('',#500639,#122416); #85426=LINE('',#500640,#122417); #85427=LINE('',#500643,#122418); #85428=LINE('',#500645,#122419); #85429=LINE('',#500646,#122420); #85430=LINE('',#500649,#122421); #85431=LINE('',#500651,#122422); #85432=LINE('',#500652,#122423); #85433=LINE('',#500655,#122424); #85434=LINE('',#500657,#122425); #85435=LINE('',#500658,#122426); #85436=LINE('',#500661,#122427); #85437=LINE('',#500663,#122428); #85438=LINE('',#500664,#122429); #85439=LINE('',#500667,#122430); #85440=LINE('',#500669,#122431); #85441=LINE('',#500670,#122432); #85442=LINE('',#500673,#122433); #85443=LINE('',#500675,#122434); #85444=LINE('',#500676,#122435); #85445=LINE('',#500679,#122436); #85446=LINE('',#500681,#122437); #85447=LINE('',#500682,#122438); #85448=LINE('',#500685,#122439); #85449=LINE('',#500687,#122440); #85450=LINE('',#500688,#122441); #85451=LINE('',#500691,#122442); #85452=LINE('',#500693,#122443); #85453=LINE('',#500694,#122444); #85454=LINE('',#500697,#122445); #85455=LINE('',#500699,#122446); #85456=LINE('',#500700,#122447); #85457=LINE('',#500703,#122448); #85458=LINE('',#500705,#122449); #85459=LINE('',#500706,#122450); #85460=LINE('',#500709,#122451); #85461=LINE('',#500711,#122452); #85462=LINE('',#500712,#122453); #85463=LINE('',#500715,#122454); #85464=LINE('',#500717,#122455); #85465=LINE('',#500718,#122456); #85466=LINE('',#500721,#122457); #85467=LINE('',#500723,#122458); #85468=LINE('',#500724,#122459); #85469=LINE('',#500727,#122460); #85470=LINE('',#500729,#122461); #85471=LINE('',#500730,#122462); #85472=LINE('',#500733,#122463); #85473=LINE('',#500735,#122464); #85474=LINE('',#500736,#122465); #85475=LINE('',#500739,#122466); #85476=LINE('',#500741,#122467); #85477=LINE('',#500742,#122468); #85478=LINE('',#500745,#122469); #85479=LINE('',#500747,#122470); #85480=LINE('',#500748,#122471); #85481=LINE('',#500751,#122472); #85482=LINE('',#500753,#122473); #85483=LINE('',#500754,#122474); #85484=LINE('',#500757,#122475); #85485=LINE('',#500759,#122476); #85486=LINE('',#500760,#122477); #85487=LINE('',#500763,#122478); #85488=LINE('',#500765,#122479); #85489=LINE('',#500766,#122480); #85490=LINE('',#500769,#122481); #85491=LINE('',#500771,#122482); #85492=LINE('',#500772,#122483); #85493=LINE('',#500775,#122484); #85494=LINE('',#500777,#122485); #85495=LINE('',#500778,#122486); #85496=LINE('',#500781,#122487); #85497=LINE('',#500783,#122488); #85498=LINE('',#500784,#122489); #85499=LINE('',#500787,#122490); #85500=LINE('',#500789,#122491); #85501=LINE('',#500790,#122492); #85502=LINE('',#500793,#122493); #85503=LINE('',#500795,#122494); #85504=LINE('',#500796,#122495); #85505=LINE('',#500799,#122496); #85506=LINE('',#500801,#122497); #85507=LINE('',#500802,#122498); #85508=LINE('',#500805,#122499); #85509=LINE('',#500807,#122500); #85510=LINE('',#500808,#122501); #85511=LINE('',#500811,#122502); #85512=LINE('',#500813,#122503); #85513=LINE('',#500814,#122504); #85514=LINE('',#500817,#122505); #85515=LINE('',#500819,#122506); #85516=LINE('',#500820,#122507); #85517=LINE('',#500823,#122508); #85518=LINE('',#500825,#122509); #85519=LINE('',#500826,#122510); #85520=LINE('',#500829,#122511); #85521=LINE('',#500831,#122512); #85522=LINE('',#500832,#122513); #85523=LINE('',#500835,#122514); #85524=LINE('',#500837,#122515); #85525=LINE('',#500838,#122516); #85526=LINE('',#500841,#122517); #85527=LINE('',#500843,#122518); #85528=LINE('',#500844,#122519); #85529=LINE('',#500847,#122520); #85530=LINE('',#500849,#122521); #85531=LINE('',#500850,#122522); #85532=LINE('',#500853,#122523); #85533=LINE('',#500855,#122524); #85534=LINE('',#500856,#122525); #85535=LINE('',#500859,#122526); #85536=LINE('',#500861,#122527); #85537=LINE('',#500862,#122528); #85538=LINE('',#500865,#122529); #85539=LINE('',#500867,#122530); #85540=LINE('',#500868,#122531); #85541=LINE('',#500871,#122532); #85542=LINE('',#500873,#122533); #85543=LINE('',#500874,#122534); #85544=LINE('',#500877,#122535); #85545=LINE('',#500879,#122536); #85546=LINE('',#500880,#122537); #85547=LINE('',#500883,#122538); #85548=LINE('',#500885,#122539); #85549=LINE('',#500886,#122540); #85550=LINE('',#500889,#122541); #85551=LINE('',#500891,#122542); #85552=LINE('',#500892,#122543); #85553=LINE('',#500895,#122544); #85554=LINE('',#500897,#122545); #85555=LINE('',#500898,#122546); #85556=LINE('',#500901,#122547); #85557=LINE('',#500903,#122548); #85558=LINE('',#500904,#122549); #85559=LINE('',#500907,#122550); #85560=LINE('',#500909,#122551); #85561=LINE('',#500910,#122552); #85562=LINE('',#500913,#122553); #85563=LINE('',#500915,#122554); #85564=LINE('',#500916,#122555); #85565=LINE('',#500919,#122556); #85566=LINE('',#500921,#122557); #85567=LINE('',#500922,#122558); #85568=LINE('',#500925,#122559); #85569=LINE('',#500927,#122560); #85570=LINE('',#500928,#122561); #85571=LINE('',#500931,#122562); #85572=LINE('',#500933,#122563); #85573=LINE('',#500934,#122564); #85574=LINE('',#500937,#122565); #85575=LINE('',#500939,#122566); #85576=LINE('',#500940,#122567); #85577=LINE('',#500942,#122568); #85578=LINE('',#500943,#122569); #85579=LINE('',#500949,#122570); #85580=LINE('',#500951,#122571); #85581=LINE('',#500953,#122572); #85582=LINE('',#500954,#122573); #85583=LINE('',#500957,#122574); #85584=LINE('',#500959,#122575); #85585=LINE('',#500960,#122576); #85586=LINE('',#500963,#122577); #85587=LINE('',#500965,#122578); #85588=LINE('',#500966,#122579); #85589=LINE('',#500969,#122580); #85590=LINE('',#500971,#122581); #85591=LINE('',#500972,#122582); #85592=LINE('',#500975,#122583); #85593=LINE('',#500977,#122584); #85594=LINE('',#500978,#122585); #85595=LINE('',#500981,#122586); #85596=LINE('',#500983,#122587); #85597=LINE('',#500984,#122588); #85598=LINE('',#500987,#122589); #85599=LINE('',#500989,#122590); #85600=LINE('',#500990,#122591); #85601=LINE('',#500993,#122592); #85602=LINE('',#500995,#122593); #85603=LINE('',#500996,#122594); #85604=LINE('',#500999,#122595); #85605=LINE('',#501001,#122596); #85606=LINE('',#501002,#122597); #85607=LINE('',#501005,#122598); #85608=LINE('',#501007,#122599); #85609=LINE('',#501008,#122600); #85610=LINE('',#501011,#122601); #85611=LINE('',#501013,#122602); #85612=LINE('',#501014,#122603); #85613=LINE('',#501017,#122604); #85614=LINE('',#501019,#122605); #85615=LINE('',#501020,#122606); #85616=LINE('',#501023,#122607); #85617=LINE('',#501025,#122608); #85618=LINE('',#501026,#122609); #85619=LINE('',#501029,#122610); #85620=LINE('',#501031,#122611); #85621=LINE('',#501032,#122612); #85622=LINE('',#501035,#122613); #85623=LINE('',#501037,#122614); #85624=LINE('',#501038,#122615); #85625=LINE('',#501041,#122616); #85626=LINE('',#501043,#122617); #85627=LINE('',#501044,#122618); #85628=LINE('',#501047,#122619); #85629=LINE('',#501049,#122620); #85630=LINE('',#501050,#122621); #85631=LINE('',#501053,#122622); #85632=LINE('',#501055,#122623); #85633=LINE('',#501056,#122624); #85634=LINE('',#501059,#122625); #85635=LINE('',#501061,#122626); #85636=LINE('',#501062,#122627); #85637=LINE('',#501065,#122628); #85638=LINE('',#501067,#122629); #85639=LINE('',#501068,#122630); #85640=LINE('',#501071,#122631); #85641=LINE('',#501073,#122632); #85642=LINE('',#501074,#122633); #85643=LINE('',#501077,#122634); #85644=LINE('',#501079,#122635); #85645=LINE('',#501080,#122636); #85646=LINE('',#501083,#122637); #85647=LINE('',#501085,#122638); #85648=LINE('',#501086,#122639); #85649=LINE('',#501089,#122640); #85650=LINE('',#501091,#122641); #85651=LINE('',#501092,#122642); #85652=LINE('',#501095,#122643); #85653=LINE('',#501097,#122644); #85654=LINE('',#501098,#122645); #85655=LINE('',#501101,#122646); #85656=LINE('',#501103,#122647); #85657=LINE('',#501104,#122648); #85658=LINE('',#501107,#122649); #85659=LINE('',#501109,#122650); #85660=LINE('',#501110,#122651); #85661=LINE('',#501112,#122652); #85662=LINE('',#501113,#122653); #85663=LINE('',#501120,#122654); #85664=LINE('',#501126,#122655); #85665=LINE('',#501132,#122656); #85666=LINE('',#501138,#122657); #85667=LINE('',#501144,#122658); #85668=LINE('',#501150,#122659); #85669=LINE('',#501156,#122660); #85670=LINE('',#501162,#122661); #85671=LINE('',#501168,#122662); #85672=LINE('',#501174,#122663); #85673=LINE('',#501180,#122664); #85674=LINE('',#501186,#122665); #85675=LINE('',#501192,#122666); #85676=LINE('',#501198,#122667); #85677=LINE('',#501204,#122668); #85678=LINE('',#501210,#122669); #85679=LINE('',#501215,#122670); #85680=LINE('',#501217,#122671); #85681=LINE('',#501219,#122672); #85682=LINE('',#501220,#122673); #85683=LINE('',#501223,#122674); #85684=LINE('',#501225,#122675); #85685=LINE('',#501226,#122676); #85686=LINE('',#501229,#122677); #85687=LINE('',#501231,#122678); #85688=LINE('',#501232,#122679); #85689=LINE('',#501235,#122680); #85690=LINE('',#501237,#122681); #85691=LINE('',#501238,#122682); #85692=LINE('',#501241,#122683); #85693=LINE('',#501243,#122684); #85694=LINE('',#501244,#122685); #85695=LINE('',#501247,#122686); #85696=LINE('',#501249,#122687); #85697=LINE('',#501250,#122688); #85698=LINE('',#501253,#122689); #85699=LINE('',#501255,#122690); #85700=LINE('',#501256,#122691); #85701=LINE('',#501259,#122692); #85702=LINE('',#501261,#122693); #85703=LINE('',#501262,#122694); #85704=LINE('',#501265,#122695); #85705=LINE('',#501267,#122696); #85706=LINE('',#501268,#122697); #85707=LINE('',#501271,#122698); #85708=LINE('',#501273,#122699); #85709=LINE('',#501274,#122700); #85710=LINE('',#501277,#122701); #85711=LINE('',#501279,#122702); #85712=LINE('',#501280,#122703); #85713=LINE('',#501283,#122704); #85714=LINE('',#501285,#122705); #85715=LINE('',#501286,#122706); #85716=LINE('',#501289,#122707); #85717=LINE('',#501291,#122708); #85718=LINE('',#501292,#122709); #85719=LINE('',#501295,#122710); #85720=LINE('',#501297,#122711); #85721=LINE('',#501298,#122712); #85722=LINE('',#501301,#122713); #85723=LINE('',#501303,#122714); #85724=LINE('',#501304,#122715); #85725=LINE('',#501307,#122716); #85726=LINE('',#501309,#122717); #85727=LINE('',#501310,#122718); #85728=LINE('',#501313,#122719); #85729=LINE('',#501315,#122720); #85730=LINE('',#501316,#122721); #85731=LINE('',#501319,#122722); #85732=LINE('',#501321,#122723); #85733=LINE('',#501322,#122724); #85734=LINE('',#501325,#122725); #85735=LINE('',#501327,#122726); #85736=LINE('',#501328,#122727); #85737=LINE('',#501331,#122728); #85738=LINE('',#501333,#122729); #85739=LINE('',#501334,#122730); #85740=LINE('',#501337,#122731); #85741=LINE('',#501339,#122732); #85742=LINE('',#501340,#122733); #85743=LINE('',#501343,#122734); #85744=LINE('',#501345,#122735); #85745=LINE('',#501346,#122736); #85746=LINE('',#501349,#122737); #85747=LINE('',#501351,#122738); #85748=LINE('',#501352,#122739); #85749=LINE('',#501355,#122740); #85750=LINE('',#501357,#122741); #85751=LINE('',#501358,#122742); #85752=LINE('',#501361,#122743); #85753=LINE('',#501363,#122744); #85754=LINE('',#501364,#122745); #85755=LINE('',#501367,#122746); #85756=LINE('',#501369,#122747); #85757=LINE('',#501370,#122748); #85758=LINE('',#501373,#122749); #85759=LINE('',#501375,#122750); #85760=LINE('',#501376,#122751); #85761=LINE('',#501379,#122752); #85762=LINE('',#501381,#122753); #85763=LINE('',#501382,#122754); #85764=LINE('',#501385,#122755); #85765=LINE('',#501387,#122756); #85766=LINE('',#501388,#122757); #85767=LINE('',#501391,#122758); #85768=LINE('',#501393,#122759); #85769=LINE('',#501394,#122760); #85770=LINE('',#501397,#122761); #85771=LINE('',#501399,#122762); #85772=LINE('',#501400,#122763); #85773=LINE('',#501403,#122764); #85774=LINE('',#501405,#122765); #85775=LINE('',#501406,#122766); #85776=LINE('',#501409,#122767); #85777=LINE('',#501411,#122768); #85778=LINE('',#501412,#122769); #85779=LINE('',#501415,#122770); #85780=LINE('',#501417,#122771); #85781=LINE('',#501418,#122772); #85782=LINE('',#501421,#122773); #85783=LINE('',#501423,#122774); #85784=LINE('',#501424,#122775); #85785=LINE('',#501427,#122776); #85786=LINE('',#501429,#122777); #85787=LINE('',#501430,#122778); #85788=LINE('',#501433,#122779); #85789=LINE('',#501435,#122780); #85790=LINE('',#501436,#122781); #85791=LINE('',#501439,#122782); #85792=LINE('',#501441,#122783); #85793=LINE('',#501442,#122784); #85794=LINE('',#501445,#122785); #85795=LINE('',#501447,#122786); #85796=LINE('',#501448,#122787); #85797=LINE('',#501451,#122788); #85798=LINE('',#501453,#122789); #85799=LINE('',#501454,#122790); #85800=LINE('',#501457,#122791); #85801=LINE('',#501459,#122792); #85802=LINE('',#501460,#122793); #85803=LINE('',#501463,#122794); #85804=LINE('',#501465,#122795); #85805=LINE('',#501466,#122796); #85806=LINE('',#501469,#122797); #85807=LINE('',#501471,#122798); #85808=LINE('',#501472,#122799); #85809=LINE('',#501475,#122800); #85810=LINE('',#501477,#122801); #85811=LINE('',#501478,#122802); #85812=LINE('',#501481,#122803); #85813=LINE('',#501483,#122804); #85814=LINE('',#501484,#122805); #85815=LINE('',#501487,#122806); #85816=LINE('',#501489,#122807); #85817=LINE('',#501490,#122808); #85818=LINE('',#501493,#122809); #85819=LINE('',#501495,#122810); #85820=LINE('',#501496,#122811); #85821=LINE('',#501499,#122812); #85822=LINE('',#501501,#122813); #85823=LINE('',#501502,#122814); #85824=LINE('',#501505,#122815); #85825=LINE('',#501507,#122816); #85826=LINE('',#501508,#122817); #85827=LINE('',#501511,#122818); #85828=LINE('',#501513,#122819); #85829=LINE('',#501514,#122820); #85830=LINE('',#501517,#122821); #85831=LINE('',#501519,#122822); #85832=LINE('',#501520,#122823); #85833=LINE('',#501523,#122824); #85834=LINE('',#501525,#122825); #85835=LINE('',#501526,#122826); #85836=LINE('',#501529,#122827); #85837=LINE('',#501531,#122828); #85838=LINE('',#501532,#122829); #85839=LINE('',#501535,#122830); #85840=LINE('',#501537,#122831); #85841=LINE('',#501538,#122832); #85842=LINE('',#501541,#122833); #85843=LINE('',#501543,#122834); #85844=LINE('',#501544,#122835); #85845=LINE('',#501547,#122836); #85846=LINE('',#501549,#122837); #85847=LINE('',#501550,#122838); #85848=LINE('',#501553,#122839); #85849=LINE('',#501555,#122840); #85850=LINE('',#501556,#122841); #85851=LINE('',#501559,#122842); #85852=LINE('',#501561,#122843); #85853=LINE('',#501562,#122844); #85854=LINE('',#501565,#122845); #85855=LINE('',#501567,#122846); #85856=LINE('',#501568,#122847); #85857=LINE('',#501571,#122848); #85858=LINE('',#501573,#122849); #85859=LINE('',#501574,#122850); #85860=LINE('',#501577,#122851); #85861=LINE('',#501579,#122852); #85862=LINE('',#501580,#122853); #85863=LINE('',#501583,#122854); #85864=LINE('',#501585,#122855); #85865=LINE('',#501586,#122856); #85866=LINE('',#501589,#122857); #85867=LINE('',#501591,#122858); #85868=LINE('',#501592,#122859); #85869=LINE('',#501595,#122860); #85870=LINE('',#501597,#122861); #85871=LINE('',#501598,#122862); #85872=LINE('',#501601,#122863); #85873=LINE('',#501603,#122864); #85874=LINE('',#501604,#122865); #85875=LINE('',#501607,#122866); #85876=LINE('',#501609,#122867); #85877=LINE('',#501610,#122868); #85878=LINE('',#501613,#122869); #85879=LINE('',#501615,#122870); #85880=LINE('',#501616,#122871); #85881=LINE('',#501619,#122872); #85882=LINE('',#501621,#122873); #85883=LINE('',#501622,#122874); #85884=LINE('',#501625,#122875); #85885=LINE('',#501627,#122876); #85886=LINE('',#501628,#122877); #85887=LINE('',#501631,#122878); #85888=LINE('',#501633,#122879); #85889=LINE('',#501634,#122880); #85890=LINE('',#501637,#122881); #85891=LINE('',#501639,#122882); #85892=LINE('',#501640,#122883); #85893=LINE('',#501643,#122884); #85894=LINE('',#501645,#122885); #85895=LINE('',#501646,#122886); #85896=LINE('',#501649,#122887); #85897=LINE('',#501651,#122888); #85898=LINE('',#501652,#122889); #85899=LINE('',#501654,#122890); #85900=LINE('',#501655,#122891); #85901=LINE('',#501662,#122892); #85902=LINE('',#501668,#122893); #85903=LINE('',#501674,#122894); #85904=LINE('',#501680,#122895); #85905=LINE('',#501686,#122896); #85906=LINE('',#501692,#122897); #85907=LINE('',#501698,#122898); #85908=LINE('',#501704,#122899); #85909=LINE('',#501710,#122900); #85910=LINE('',#501716,#122901); #85911=LINE('',#501722,#122902); #85912=LINE('',#501728,#122903); #85913=LINE('',#501734,#122904); #85914=LINE('',#501740,#122905); #85915=LINE('',#501746,#122906); #85916=LINE('',#501752,#122907); #85917=LINE('',#501757,#122908); #85918=LINE('',#501759,#122909); #85919=LINE('',#501761,#122910); #85920=LINE('',#501762,#122911); #85921=LINE('',#501765,#122912); #85922=LINE('',#501767,#122913); #85923=LINE('',#501768,#122914); #85924=LINE('',#501771,#122915); #85925=LINE('',#501773,#122916); #85926=LINE('',#501774,#122917); #85927=LINE('',#501777,#122918); #85928=LINE('',#501779,#122919); #85929=LINE('',#501780,#122920); #85930=LINE('',#501783,#122921); #85931=LINE('',#501785,#122922); #85932=LINE('',#501786,#122923); #85933=LINE('',#501789,#122924); #85934=LINE('',#501791,#122925); #85935=LINE('',#501792,#122926); #85936=LINE('',#501795,#122927); #85937=LINE('',#501797,#122928); #85938=LINE('',#501798,#122929); #85939=LINE('',#501801,#122930); #85940=LINE('',#501803,#122931); #85941=LINE('',#501804,#122932); #85942=LINE('',#501807,#122933); #85943=LINE('',#501809,#122934); #85944=LINE('',#501810,#122935); #85945=LINE('',#501813,#122936); #85946=LINE('',#501815,#122937); #85947=LINE('',#501816,#122938); #85948=LINE('',#501819,#122939); #85949=LINE('',#501821,#122940); #85950=LINE('',#501822,#122941); #85951=LINE('',#501825,#122942); #85952=LINE('',#501827,#122943); #85953=LINE('',#501828,#122944); #85954=LINE('',#501831,#122945); #85955=LINE('',#501833,#122946); #85956=LINE('',#501834,#122947); #85957=LINE('',#501837,#122948); #85958=LINE('',#501839,#122949); #85959=LINE('',#501840,#122950); #85960=LINE('',#501843,#122951); #85961=LINE('',#501845,#122952); #85962=LINE('',#501846,#122953); #85963=LINE('',#501849,#122954); #85964=LINE('',#501851,#122955); #85965=LINE('',#501852,#122956); #85966=LINE('',#501855,#122957); #85967=LINE('',#501857,#122958); #85968=LINE('',#501858,#122959); #85969=LINE('',#501861,#122960); #85970=LINE('',#501863,#122961); #85971=LINE('',#501864,#122962); #85972=LINE('',#501867,#122963); #85973=LINE('',#501869,#122964); #85974=LINE('',#501870,#122965); #85975=LINE('',#501873,#122966); #85976=LINE('',#501875,#122967); #85977=LINE('',#501876,#122968); #85978=LINE('',#501879,#122969); #85979=LINE('',#501881,#122970); #85980=LINE('',#501882,#122971); #85981=LINE('',#501885,#122972); #85982=LINE('',#501887,#122973); #85983=LINE('',#501888,#122974); #85984=LINE('',#501891,#122975); #85985=LINE('',#501893,#122976); #85986=LINE('',#501894,#122977); #85987=LINE('',#501897,#122978); #85988=LINE('',#501899,#122979); #85989=LINE('',#501900,#122980); #85990=LINE('',#501903,#122981); #85991=LINE('',#501905,#122982); #85992=LINE('',#501906,#122983); #85993=LINE('',#501909,#122984); #85994=LINE('',#501911,#122985); #85995=LINE('',#501912,#122986); #85996=LINE('',#501915,#122987); #85997=LINE('',#501917,#122988); #85998=LINE('',#501918,#122989); #85999=LINE('',#501921,#122990); #86000=LINE('',#501923,#122991); #86001=LINE('',#501924,#122992); #86002=LINE('',#501927,#122993); #86003=LINE('',#501929,#122994); #86004=LINE('',#501930,#122995); #86005=LINE('',#501933,#122996); #86006=LINE('',#501935,#122997); #86007=LINE('',#501936,#122998); #86008=LINE('',#501939,#122999); #86009=LINE('',#501941,#123000); #86010=LINE('',#501942,#123001); #86011=LINE('',#501945,#123002); #86012=LINE('',#501947,#123003); #86013=LINE('',#501948,#123004); #86014=LINE('',#501951,#123005); #86015=LINE('',#501953,#123006); #86016=LINE('',#501954,#123007); #86017=LINE('',#501957,#123008); #86018=LINE('',#501959,#123009); #86019=LINE('',#501960,#123010); #86020=LINE('',#501963,#123011); #86021=LINE('',#501965,#123012); #86022=LINE('',#501966,#123013); #86023=LINE('',#501969,#123014); #86024=LINE('',#501971,#123015); #86025=LINE('',#501972,#123016); #86026=LINE('',#501975,#123017); #86027=LINE('',#501977,#123018); #86028=LINE('',#501978,#123019); #86029=LINE('',#501981,#123020); #86030=LINE('',#501983,#123021); #86031=LINE('',#501984,#123022); #86032=LINE('',#501987,#123023); #86033=LINE('',#501989,#123024); #86034=LINE('',#501990,#123025); #86035=LINE('',#501993,#123026); #86036=LINE('',#501995,#123027); #86037=LINE('',#501996,#123028); #86038=LINE('',#501999,#123029); #86039=LINE('',#502001,#123030); #86040=LINE('',#502002,#123031); #86041=LINE('',#502005,#123032); #86042=LINE('',#502007,#123033); #86043=LINE('',#502008,#123034); #86044=LINE('',#502011,#123035); #86045=LINE('',#502013,#123036); #86046=LINE('',#502014,#123037); #86047=LINE('',#502017,#123038); #86048=LINE('',#502019,#123039); #86049=LINE('',#502020,#123040); #86050=LINE('',#502023,#123041); #86051=LINE('',#502025,#123042); #86052=LINE('',#502026,#123043); #86053=LINE('',#502029,#123044); #86054=LINE('',#502031,#123045); #86055=LINE('',#502032,#123046); #86056=LINE('',#502035,#123047); #86057=LINE('',#502037,#123048); #86058=LINE('',#502038,#123049); #86059=LINE('',#502041,#123050); #86060=LINE('',#502043,#123051); #86061=LINE('',#502044,#123052); #86062=LINE('',#502047,#123053); #86063=LINE('',#502049,#123054); #86064=LINE('',#502050,#123055); #86065=LINE('',#502053,#123056); #86066=LINE('',#502055,#123057); #86067=LINE('',#502056,#123058); #86068=LINE('',#502059,#123059); #86069=LINE('',#502061,#123060); #86070=LINE('',#502062,#123061); #86071=LINE('',#502065,#123062); #86072=LINE('',#502067,#123063); #86073=LINE('',#502068,#123064); #86074=LINE('',#502071,#123065); #86075=LINE('',#502073,#123066); #86076=LINE('',#502074,#123067); #86077=LINE('',#502077,#123068); #86078=LINE('',#502079,#123069); #86079=LINE('',#502080,#123070); #86080=LINE('',#502083,#123071); #86081=LINE('',#502085,#123072); #86082=LINE('',#502086,#123073); #86083=LINE('',#502089,#123074); #86084=LINE('',#502091,#123075); #86085=LINE('',#502092,#123076); #86086=LINE('',#502095,#123077); #86087=LINE('',#502097,#123078); #86088=LINE('',#502098,#123079); #86089=LINE('',#502101,#123080); #86090=LINE('',#502103,#123081); #86091=LINE('',#502104,#123082); #86092=LINE('',#502107,#123083); #86093=LINE('',#502109,#123084); #86094=LINE('',#502110,#123085); #86095=LINE('',#502113,#123086); #86096=LINE('',#502115,#123087); #86097=LINE('',#502116,#123088); #86098=LINE('',#502119,#123089); #86099=LINE('',#502121,#123090); #86100=LINE('',#502122,#123091); #86101=LINE('',#502125,#123092); #86102=LINE('',#502127,#123093); #86103=LINE('',#502128,#123094); #86104=LINE('',#502131,#123095); #86105=LINE('',#502133,#123096); #86106=LINE('',#502134,#123097); #86107=LINE('',#502137,#123098); #86108=LINE('',#502139,#123099); #86109=LINE('',#502140,#123100); #86110=LINE('',#502143,#123101); #86111=LINE('',#502145,#123102); #86112=LINE('',#502146,#123103); #86113=LINE('',#502149,#123104); #86114=LINE('',#502151,#123105); #86115=LINE('',#502152,#123106); #86116=LINE('',#502155,#123107); #86117=LINE('',#502157,#123108); #86118=LINE('',#502158,#123109); #86119=LINE('',#502161,#123110); #86120=LINE('',#502163,#123111); #86121=LINE('',#502164,#123112); #86122=LINE('',#502167,#123113); #86123=LINE('',#502169,#123114); #86124=LINE('',#502170,#123115); #86125=LINE('',#502172,#123116); #86126=LINE('',#502173,#123117); #86127=LINE('',#502180,#123118); #86128=LINE('',#502186,#123119); #86129=LINE('',#502192,#123120); #86130=LINE('',#502198,#123121); #86131=LINE('',#502204,#123122); #86132=LINE('',#502210,#123123); #86133=LINE('',#502216,#123124); #86134=LINE('',#502222,#123125); #86135=LINE('',#502228,#123126); #86136=LINE('',#502234,#123127); #86137=LINE('',#502240,#123128); #86138=LINE('',#502246,#123129); #86139=LINE('',#502252,#123130); #86140=LINE('',#502258,#123131); #86141=LINE('',#502264,#123132); #86142=LINE('',#502270,#123133); #86143=LINE('',#502276,#123134); #86144=LINE('',#502282,#123135); #86145=LINE('',#502288,#123136); #86146=LINE('',#502294,#123137); #86147=LINE('',#502300,#123138); #86148=LINE('',#502306,#123139); #86149=LINE('',#502312,#123140); #86150=LINE('',#502318,#123141); #86151=LINE('',#502324,#123142); #86152=LINE('',#502330,#123143); #86153=LINE('',#502336,#123144); #86154=LINE('',#502342,#123145); #86155=LINE('',#502348,#123146); #86156=LINE('',#502354,#123147); #86157=LINE('',#502359,#123148); #86158=LINE('',#502361,#123149); #86159=LINE('',#502363,#123150); #86160=LINE('',#502364,#123151); #86161=LINE('',#502367,#123152); #86162=LINE('',#502369,#123153); #86163=LINE('',#502370,#123154); #86164=LINE('',#502373,#123155); #86165=LINE('',#502375,#123156); #86166=LINE('',#502376,#123157); #86167=LINE('',#502379,#123158); #86168=LINE('',#502381,#123159); #86169=LINE('',#502382,#123160); #86170=LINE('',#502385,#123161); #86171=LINE('',#502387,#123162); #86172=LINE('',#502388,#123163); #86173=LINE('',#502391,#123164); #86174=LINE('',#502393,#123165); #86175=LINE('',#502394,#123166); #86176=LINE('',#502397,#123167); #86177=LINE('',#502399,#123168); #86178=LINE('',#502400,#123169); #86179=LINE('',#502403,#123170); #86180=LINE('',#502405,#123171); #86181=LINE('',#502406,#123172); #86182=LINE('',#502409,#123173); #86183=LINE('',#502411,#123174); #86184=LINE('',#502412,#123175); #86185=LINE('',#502415,#123176); #86186=LINE('',#502417,#123177); #86187=LINE('',#502418,#123178); #86188=LINE('',#502421,#123179); #86189=LINE('',#502423,#123180); #86190=LINE('',#502424,#123181); #86191=LINE('',#502427,#123182); #86192=LINE('',#502429,#123183); #86193=LINE('',#502430,#123184); #86194=LINE('',#502433,#123185); #86195=LINE('',#502435,#123186); #86196=LINE('',#502436,#123187); #86197=LINE('',#502439,#123188); #86198=LINE('',#502441,#123189); #86199=LINE('',#502442,#123190); #86200=LINE('',#502445,#123191); #86201=LINE('',#502447,#123192); #86202=LINE('',#502448,#123193); #86203=LINE('',#502451,#123194); #86204=LINE('',#502453,#123195); #86205=LINE('',#502454,#123196); #86206=LINE('',#502457,#123197); #86207=LINE('',#502459,#123198); #86208=LINE('',#502460,#123199); #86209=LINE('',#502463,#123200); #86210=LINE('',#502465,#123201); #86211=LINE('',#502466,#123202); #86212=LINE('',#502469,#123203); #86213=LINE('',#502471,#123204); #86214=LINE('',#502472,#123205); #86215=LINE('',#502475,#123206); #86216=LINE('',#502477,#123207); #86217=LINE('',#502478,#123208); #86218=LINE('',#502481,#123209); #86219=LINE('',#502483,#123210); #86220=LINE('',#502484,#123211); #86221=LINE('',#502487,#123212); #86222=LINE('',#502489,#123213); #86223=LINE('',#502490,#123214); #86224=LINE('',#502493,#123215); #86225=LINE('',#502495,#123216); #86226=LINE('',#502496,#123217); #86227=LINE('',#502499,#123218); #86228=LINE('',#502501,#123219); #86229=LINE('',#502502,#123220); #86230=LINE('',#502505,#123221); #86231=LINE('',#502507,#123222); #86232=LINE('',#502508,#123223); #86233=LINE('',#502511,#123224); #86234=LINE('',#502513,#123225); #86235=LINE('',#502514,#123226); #86236=LINE('',#502517,#123227); #86237=LINE('',#502519,#123228); #86238=LINE('',#502520,#123229); #86239=LINE('',#502523,#123230); #86240=LINE('',#502525,#123231); #86241=LINE('',#502526,#123232); #86242=LINE('',#502529,#123233); #86243=LINE('',#502531,#123234); #86244=LINE('',#502532,#123235); #86245=LINE('',#502535,#123236); #86246=LINE('',#502537,#123237); #86247=LINE('',#502538,#123238); #86248=LINE('',#502541,#123239); #86249=LINE('',#502543,#123240); #86250=LINE('',#502544,#123241); #86251=LINE('',#502547,#123242); #86252=LINE('',#502549,#123243); #86253=LINE('',#502550,#123244); #86254=LINE('',#502553,#123245); #86255=LINE('',#502555,#123246); #86256=LINE('',#502556,#123247); #86257=LINE('',#502559,#123248); #86258=LINE('',#502561,#123249); #86259=LINE('',#502562,#123250); #86260=LINE('',#502565,#123251); #86261=LINE('',#502567,#123252); #86262=LINE('',#502568,#123253); #86263=LINE('',#502571,#123254); #86264=LINE('',#502573,#123255); #86265=LINE('',#502574,#123256); #86266=LINE('',#502577,#123257); #86267=LINE('',#502579,#123258); #86268=LINE('',#502580,#123259); #86269=LINE('',#502583,#123260); #86270=LINE('',#502585,#123261); #86271=LINE('',#502586,#123262); #86272=LINE('',#502589,#123263); #86273=LINE('',#502591,#123264); #86274=LINE('',#502592,#123265); #86275=LINE('',#502595,#123266); #86276=LINE('',#502597,#123267); #86277=LINE('',#502598,#123268); #86278=LINE('',#502601,#123269); #86279=LINE('',#502603,#123270); #86280=LINE('',#502604,#123271); #86281=LINE('',#502607,#123272); #86282=LINE('',#502609,#123273); #86283=LINE('',#502610,#123274); #86284=LINE('',#502613,#123275); #86285=LINE('',#502615,#123276); #86286=LINE('',#502616,#123277); #86287=LINE('',#502619,#123278); #86288=LINE('',#502621,#123279); #86289=LINE('',#502622,#123280); #86290=LINE('',#502624,#123281); #86291=LINE('',#502625,#123282); #86292=LINE('',#502632,#123283); #86293=LINE('',#502638,#123284); #86294=LINE('',#502643,#123285); #86295=LINE('',#502645,#123286); #86296=LINE('',#502647,#123287); #86297=LINE('',#502648,#123288); #86298=LINE('',#502654,#123289); #86299=LINE('',#502657,#123290); #86300=LINE('',#502659,#123291); #86301=LINE('',#502660,#123292); #86302=LINE('',#502666,#123293); #86303=LINE('',#502672,#123294); #86304=LINE('',#502675,#123295); #86305=LINE('',#502677,#123296); #86306=LINE('',#502678,#123297); #86307=LINE('',#502688,#123298); #86308=LINE('',#502694,#123299); #86309=LINE('',#502700,#123300); #86310=LINE('',#502706,#123301); #86311=LINE('',#502712,#123302); #86312=LINE('',#502718,#123303); #86313=LINE('',#502724,#123304); #86314=LINE('',#502730,#123305); #86315=LINE('',#502736,#123306); #86316=LINE('',#502742,#123307); #86317=LINE('',#502747,#123308); #86318=LINE('',#502749,#123309); #86319=LINE('',#502751,#123310); #86320=LINE('',#502752,#123311); #86321=LINE('',#502755,#123312); #86322=LINE('',#502757,#123313); #86323=LINE('',#502758,#123314); #86324=LINE('',#502761,#123315); #86325=LINE('',#502763,#123316); #86326=LINE('',#502764,#123317); #86327=LINE('',#502767,#123318); #86328=LINE('',#502769,#123319); #86329=LINE('',#502770,#123320); #86330=LINE('',#502773,#123321); #86331=LINE('',#502775,#123322); #86332=LINE('',#502776,#123323); #86333=LINE('',#502779,#123324); #86334=LINE('',#502781,#123325); #86335=LINE('',#502782,#123326); #86336=LINE('',#502785,#123327); #86337=LINE('',#502787,#123328); #86338=LINE('',#502788,#123329); #86339=LINE('',#502791,#123330); #86340=LINE('',#502793,#123331); #86341=LINE('',#502794,#123332); #86342=LINE('',#502797,#123333); #86343=LINE('',#502799,#123334); #86344=LINE('',#502800,#123335); #86345=LINE('',#502803,#123336); #86346=LINE('',#502805,#123337); #86347=LINE('',#502806,#123338); #86348=LINE('',#502809,#123339); #86349=LINE('',#502811,#123340); #86350=LINE('',#502812,#123341); #86351=LINE('',#502815,#123342); #86352=LINE('',#502817,#123343); #86353=LINE('',#502818,#123344); #86354=LINE('',#502821,#123345); #86355=LINE('',#502823,#123346); #86356=LINE('',#502824,#123347); #86357=LINE('',#502827,#123348); #86358=LINE('',#502829,#123349); #86359=LINE('',#502830,#123350); #86360=LINE('',#502833,#123351); #86361=LINE('',#502835,#123352); #86362=LINE('',#502836,#123353); #86363=LINE('',#502839,#123354); #86364=LINE('',#502841,#123355); #86365=LINE('',#502842,#123356); #86366=LINE('',#502845,#123357); #86367=LINE('',#502847,#123358); #86368=LINE('',#502848,#123359); #86369=LINE('',#502851,#123360); #86370=LINE('',#502853,#123361); #86371=LINE('',#502854,#123362); #86372=LINE('',#502857,#123363); #86373=LINE('',#502859,#123364); #86374=LINE('',#502860,#123365); #86375=LINE('',#502863,#123366); #86376=LINE('',#502865,#123367); #86377=LINE('',#502866,#123368); #86378=LINE('',#502869,#123369); #86379=LINE('',#502871,#123370); #86380=LINE('',#502872,#123371); #86381=LINE('',#502875,#123372); #86382=LINE('',#502877,#123373); #86383=LINE('',#502878,#123374); #86384=LINE('',#502881,#123375); #86385=LINE('',#502883,#123376); #86386=LINE('',#502884,#123377); #86387=LINE('',#502886,#123378); #86388=LINE('',#502887,#123379); #86389=LINE('',#502894,#123380); #86390=LINE('',#502899,#123381); #86391=LINE('',#502901,#123382); #86392=LINE('',#502903,#123383); #86393=LINE('',#502904,#123384); #86394=LINE('',#502910,#123385); #86395=LINE('',#502920,#123386); #86396=LINE('',#502926,#123387); #86397=LINE('',#502932,#123388); #86398=LINE('',#502938,#123389); #86399=LINE('',#502944,#123390); #86400=LINE('',#502950,#123391); #86401=LINE('',#502956,#123392); #86402=LINE('',#502962,#123393); #86403=LINE('',#502968,#123394); #86404=LINE('',#502974,#123395); #86405=LINE('',#502980,#123396); #86406=LINE('',#502986,#123397); #86407=LINE('',#502992,#123398); #86408=LINE('',#502998,#123399); #86409=LINE('',#503004,#123400); #86410=LINE('',#503010,#123401); #86411=LINE('',#503016,#123402); #86412=LINE('',#503022,#123403); #86413=LINE('',#503028,#123404); #86414=LINE('',#503034,#123405); #86415=LINE('',#503040,#123406); #86416=LINE('',#503046,#123407); #86417=LINE('',#503052,#123408); #86418=LINE('',#503058,#123409); #86419=LINE('',#503064,#123410); #86420=LINE('',#503070,#123411); #86421=LINE('',#503076,#123412); #86422=LINE('',#503082,#123413); #86423=LINE('',#503088,#123414); #86424=LINE('',#503094,#123415); #86425=LINE('',#503099,#123416); #86426=LINE('',#503101,#123417); #86427=LINE('',#503103,#123418); #86428=LINE('',#503104,#123419); #86429=LINE('',#503107,#123420); #86430=LINE('',#503109,#123421); #86431=LINE('',#503110,#123422); #86432=LINE('',#503113,#123423); #86433=LINE('',#503115,#123424); #86434=LINE('',#503116,#123425); #86435=LINE('',#503119,#123426); #86436=LINE('',#503121,#123427); #86437=LINE('',#503122,#123428); #86438=LINE('',#503125,#123429); #86439=LINE('',#503127,#123430); #86440=LINE('',#503128,#123431); #86441=LINE('',#503131,#123432); #86442=LINE('',#503133,#123433); #86443=LINE('',#503134,#123434); #86444=LINE('',#503137,#123435); #86445=LINE('',#503139,#123436); #86446=LINE('',#503140,#123437); #86447=LINE('',#503143,#123438); #86448=LINE('',#503145,#123439); #86449=LINE('',#503146,#123440); #86450=LINE('',#503149,#123441); #86451=LINE('',#503151,#123442); #86452=LINE('',#503152,#123443); #86453=LINE('',#503155,#123444); #86454=LINE('',#503157,#123445); #86455=LINE('',#503158,#123446); #86456=LINE('',#503161,#123447); #86457=LINE('',#503163,#123448); #86458=LINE('',#503164,#123449); #86459=LINE('',#503167,#123450); #86460=LINE('',#503169,#123451); #86461=LINE('',#503170,#123452); #86462=LINE('',#503173,#123453); #86463=LINE('',#503175,#123454); #86464=LINE('',#503176,#123455); #86465=LINE('',#503179,#123456); #86466=LINE('',#503181,#123457); #86467=LINE('',#503182,#123458); #86468=LINE('',#503185,#123459); #86469=LINE('',#503187,#123460); #86470=LINE('',#503188,#123461); #86471=LINE('',#503191,#123462); #86472=LINE('',#503193,#123463); #86473=LINE('',#503194,#123464); #86474=LINE('',#503197,#123465); #86475=LINE('',#503199,#123466); #86476=LINE('',#503200,#123467); #86477=LINE('',#503203,#123468); #86478=LINE('',#503205,#123469); #86479=LINE('',#503206,#123470); #86480=LINE('',#503209,#123471); #86481=LINE('',#503211,#123472); #86482=LINE('',#503212,#123473); #86483=LINE('',#503215,#123474); #86484=LINE('',#503217,#123475); #86485=LINE('',#503218,#123476); #86486=LINE('',#503221,#123477); #86487=LINE('',#503223,#123478); #86488=LINE('',#503224,#123479); #86489=LINE('',#503227,#123480); #86490=LINE('',#503229,#123481); #86491=LINE('',#503230,#123482); #86492=LINE('',#503233,#123483); #86493=LINE('',#503235,#123484); #86494=LINE('',#503236,#123485); #86495=LINE('',#503239,#123486); #86496=LINE('',#503241,#123487); #86497=LINE('',#503242,#123488); #86498=LINE('',#503245,#123489); #86499=LINE('',#503247,#123490); #86500=LINE('',#503248,#123491); #86501=LINE('',#503251,#123492); #86502=LINE('',#503253,#123493); #86503=LINE('',#503254,#123494); #86504=LINE('',#503257,#123495); #86505=LINE('',#503259,#123496); #86506=LINE('',#503260,#123497); #86507=LINE('',#503263,#123498); #86508=LINE('',#503265,#123499); #86509=LINE('',#503266,#123500); #86510=LINE('',#503269,#123501); #86511=LINE('',#503271,#123502); #86512=LINE('',#503272,#123503); #86513=LINE('',#503275,#123504); #86514=LINE('',#503277,#123505); #86515=LINE('',#503278,#123506); #86516=LINE('',#503281,#123507); #86517=LINE('',#503283,#123508); #86518=LINE('',#503284,#123509); #86519=LINE('',#503287,#123510); #86520=LINE('',#503289,#123511); #86521=LINE('',#503290,#123512); #86522=LINE('',#503293,#123513); #86523=LINE('',#503295,#123514); #86524=LINE('',#503296,#123515); #86525=LINE('',#503299,#123516); #86526=LINE('',#503301,#123517); #86527=LINE('',#503302,#123518); #86528=LINE('',#503305,#123519); #86529=LINE('',#503307,#123520); #86530=LINE('',#503308,#123521); #86531=LINE('',#503311,#123522); #86532=LINE('',#503313,#123523); #86533=LINE('',#503314,#123524); #86534=LINE('',#503317,#123525); #86535=LINE('',#503319,#123526); #86536=LINE('',#503320,#123527); #86537=LINE('',#503323,#123528); #86538=LINE('',#503325,#123529); #86539=LINE('',#503326,#123530); #86540=LINE('',#503329,#123531); #86541=LINE('',#503331,#123532); #86542=LINE('',#503332,#123533); #86543=LINE('',#503335,#123534); #86544=LINE('',#503337,#123535); #86545=LINE('',#503338,#123536); #86546=LINE('',#503341,#123537); #86547=LINE('',#503343,#123538); #86548=LINE('',#503344,#123539); #86549=LINE('',#503347,#123540); #86550=LINE('',#503349,#123541); #86551=LINE('',#503350,#123542); #86552=LINE('',#503353,#123543); #86553=LINE('',#503355,#123544); #86554=LINE('',#503356,#123545); #86555=LINE('',#503359,#123546); #86556=LINE('',#503361,#123547); #86557=LINE('',#503362,#123548); #86558=LINE('',#503364,#123549); #86559=LINE('',#503365,#123550); #86560=LINE('',#503372,#123551); #86561=LINE('',#503378,#123552); #86562=LINE('',#503383,#123553); #86563=LINE('',#503385,#123554); #86564=LINE('',#503387,#123555); #86565=LINE('',#503388,#123556); #86566=LINE('',#503394,#123557); #86567=LINE('',#503397,#123558); #86568=LINE('',#503399,#123559); #86569=LINE('',#503400,#123560); #86570=LINE('',#503406,#123561); #86571=LINE('',#503412,#123562); #86572=LINE('',#503415,#123563); #86573=LINE('',#503417,#123564); #86574=LINE('',#503418,#123565); #86575=LINE('',#503428,#123566); #86576=LINE('',#503433,#123567); #86577=LINE('',#503435,#123568); #86578=LINE('',#503437,#123569); #86579=LINE('',#503438,#123570); #86580=LINE('',#503444,#123571); #86581=LINE('',#503454,#123572); #86582=LINE('',#503460,#123573); #86583=LINE('',#503466,#123574); #86584=LINE('',#503472,#123575); #86585=LINE('',#503478,#123576); #86586=LINE('',#503484,#123577); #86587=LINE('',#503490,#123578); #86588=LINE('',#503496,#123579); #86589=LINE('',#503502,#123580); #86590=LINE('',#503508,#123581); #86591=LINE('',#503514,#123582); #86592=LINE('',#503520,#123583); #86593=LINE('',#503526,#123584); #86594=LINE('',#503532,#123585); #86595=LINE('',#503538,#123586); #86596=LINE('',#503543,#123587); #86597=LINE('',#503545,#123588); #86598=LINE('',#503547,#123589); #86599=LINE('',#503548,#123590); #86600=LINE('',#503551,#123591); #86601=LINE('',#503553,#123592); #86602=LINE('',#503554,#123593); #86603=LINE('',#503557,#123594); #86604=LINE('',#503559,#123595); #86605=LINE('',#503560,#123596); #86606=LINE('',#503563,#123597); #86607=LINE('',#503565,#123598); #86608=LINE('',#503566,#123599); #86609=LINE('',#503569,#123600); #86610=LINE('',#503571,#123601); #86611=LINE('',#503572,#123602); #86612=LINE('',#503575,#123603); #86613=LINE('',#503577,#123604); #86614=LINE('',#503578,#123605); #86615=LINE('',#503581,#123606); #86616=LINE('',#503583,#123607); #86617=LINE('',#503584,#123608); #86618=LINE('',#503587,#123609); #86619=LINE('',#503589,#123610); #86620=LINE('',#503590,#123611); #86621=LINE('',#503593,#123612); #86622=LINE('',#503595,#123613); #86623=LINE('',#503596,#123614); #86624=LINE('',#503599,#123615); #86625=LINE('',#503601,#123616); #86626=LINE('',#503602,#123617); #86627=LINE('',#503605,#123618); #86628=LINE('',#503607,#123619); #86629=LINE('',#503608,#123620); #86630=LINE('',#503611,#123621); #86631=LINE('',#503613,#123622); #86632=LINE('',#503614,#123623); #86633=LINE('',#503617,#123624); #86634=LINE('',#503619,#123625); #86635=LINE('',#503620,#123626); #86636=LINE('',#503623,#123627); #86637=LINE('',#503625,#123628); #86638=LINE('',#503626,#123629); #86639=LINE('',#503629,#123630); #86640=LINE('',#503631,#123631); #86641=LINE('',#503632,#123632); #86642=LINE('',#503635,#123633); #86643=LINE('',#503637,#123634); #86644=LINE('',#503638,#123635); #86645=LINE('',#503641,#123636); #86646=LINE('',#503643,#123637); #86647=LINE('',#503644,#123638); #86648=LINE('',#503647,#123639); #86649=LINE('',#503649,#123640); #86650=LINE('',#503650,#123641); #86651=LINE('',#503653,#123642); #86652=LINE('',#503655,#123643); #86653=LINE('',#503656,#123644); #86654=LINE('',#503659,#123645); #86655=LINE('',#503661,#123646); #86656=LINE('',#503662,#123647); #86657=LINE('',#503665,#123648); #86658=LINE('',#503667,#123649); #86659=LINE('',#503668,#123650); #86660=LINE('',#503671,#123651); #86661=LINE('',#503673,#123652); #86662=LINE('',#503674,#123653); #86663=LINE('',#503677,#123654); #86664=LINE('',#503679,#123655); #86665=LINE('',#503680,#123656); #86666=LINE('',#503683,#123657); #86667=LINE('',#503685,#123658); #86668=LINE('',#503686,#123659); #86669=LINE('',#503689,#123660); #86670=LINE('',#503691,#123661); #86671=LINE('',#503692,#123662); #86672=LINE('',#503695,#123663); #86673=LINE('',#503697,#123664); #86674=LINE('',#503698,#123665); #86675=LINE('',#503701,#123666); #86676=LINE('',#503703,#123667); #86677=LINE('',#503704,#123668); #86678=LINE('',#503707,#123669); #86679=LINE('',#503709,#123670); #86680=LINE('',#503710,#123671); #86681=LINE('',#503713,#123672); #86682=LINE('',#503715,#123673); #86683=LINE('',#503716,#123674); #86684=LINE('',#503719,#123675); #86685=LINE('',#503721,#123676); #86686=LINE('',#503722,#123677); #86687=LINE('',#503725,#123678); #86688=LINE('',#503727,#123679); #86689=LINE('',#503728,#123680); #86690=LINE('',#503731,#123681); #86691=LINE('',#503733,#123682); #86692=LINE('',#503734,#123683); #86693=LINE('',#503737,#123684); #86694=LINE('',#503739,#123685); #86695=LINE('',#503740,#123686); #86696=LINE('',#503743,#123687); #86697=LINE('',#503745,#123688); #86698=LINE('',#503746,#123689); #86699=LINE('',#503749,#123690); #86700=LINE('',#503751,#123691); #86701=LINE('',#503752,#123692); #86702=LINE('',#503755,#123693); #86703=LINE('',#503757,#123694); #86704=LINE('',#503758,#123695); #86705=LINE('',#503761,#123696); #86706=LINE('',#503763,#123697); #86707=LINE('',#503764,#123698); #86708=LINE('',#503767,#123699); #86709=LINE('',#503769,#123700); #86710=LINE('',#503770,#123701); #86711=LINE('',#503773,#123702); #86712=LINE('',#503775,#123703); #86713=LINE('',#503776,#123704); #86714=LINE('',#503779,#123705); #86715=LINE('',#503781,#123706); #86716=LINE('',#503782,#123707); #86717=LINE('',#503785,#123708); #86718=LINE('',#503787,#123709); #86719=LINE('',#503788,#123710); #86720=LINE('',#503791,#123711); #86721=LINE('',#503793,#123712); #86722=LINE('',#503794,#123713); #86723=LINE('',#503797,#123714); #86724=LINE('',#503799,#123715); #86725=LINE('',#503800,#123716); #86726=LINE('',#503803,#123717); #86727=LINE('',#503805,#123718); #86728=LINE('',#503806,#123719); #86729=LINE('',#503809,#123720); #86730=LINE('',#503811,#123721); #86731=LINE('',#503812,#123722); #86732=LINE('',#503815,#123723); #86733=LINE('',#503817,#123724); #86734=LINE('',#503818,#123725); #86735=LINE('',#503821,#123726); #86736=LINE('',#503823,#123727); #86737=LINE('',#503824,#123728); #86738=LINE('',#503827,#123729); #86739=LINE('',#503829,#123730); #86740=LINE('',#503830,#123731); #86741=LINE('',#503833,#123732); #86742=LINE('',#503835,#123733); #86743=LINE('',#503836,#123734); #86744=LINE('',#503839,#123735); #86745=LINE('',#503841,#123736); #86746=LINE('',#503842,#123737); #86747=LINE('',#503845,#123738); #86748=LINE('',#503847,#123739); #86749=LINE('',#503848,#123740); #86750=LINE('',#503851,#123741); #86751=LINE('',#503853,#123742); #86752=LINE('',#503854,#123743); #86753=LINE('',#503857,#123744); #86754=LINE('',#503859,#123745); #86755=LINE('',#503860,#123746); #86756=LINE('',#503863,#123747); #86757=LINE('',#503865,#123748); #86758=LINE('',#503866,#123749); #86759=LINE('',#503869,#123750); #86760=LINE('',#503871,#123751); #86761=LINE('',#503872,#123752); #86762=LINE('',#503875,#123753); #86763=LINE('',#503877,#123754); #86764=LINE('',#503878,#123755); #86765=LINE('',#503881,#123756); #86766=LINE('',#503883,#123757); #86767=LINE('',#503884,#123758); #86768=LINE('',#503887,#123759); #86769=LINE('',#503889,#123760); #86770=LINE('',#503890,#123761); #86771=LINE('',#503893,#123762); #86772=LINE('',#503895,#123763); #86773=LINE('',#503896,#123764); #86774=LINE('',#503899,#123765); #86775=LINE('',#503901,#123766); #86776=LINE('',#503902,#123767); #86777=LINE('',#503905,#123768); #86778=LINE('',#503907,#123769); #86779=LINE('',#503908,#123770); #86780=LINE('',#503911,#123771); #86781=LINE('',#503913,#123772); #86782=LINE('',#503914,#123773); #86783=LINE('',#503917,#123774); #86784=LINE('',#503919,#123775); #86785=LINE('',#503920,#123776); #86786=LINE('',#503923,#123777); #86787=LINE('',#503925,#123778); #86788=LINE('',#503926,#123779); #86789=LINE('',#503929,#123780); #86790=LINE('',#503931,#123781); #86791=LINE('',#503932,#123782); #86792=LINE('',#503935,#123783); #86793=LINE('',#503937,#123784); #86794=LINE('',#503938,#123785); #86795=LINE('',#503941,#123786); #86796=LINE('',#503943,#123787); #86797=LINE('',#503944,#123788); #86798=LINE('',#503947,#123789); #86799=LINE('',#503949,#123790); #86800=LINE('',#503950,#123791); #86801=LINE('',#503953,#123792); #86802=LINE('',#503955,#123793); #86803=LINE('',#503956,#123794); #86804=LINE('',#503959,#123795); #86805=LINE('',#503961,#123796); #86806=LINE('',#503962,#123797); #86807=LINE('',#503965,#123798); #86808=LINE('',#503967,#123799); #86809=LINE('',#503968,#123800); #86810=LINE('',#503970,#123801); #86811=LINE('',#503971,#123802); #86812=LINE('',#503975,#123803); #86813=LINE('',#503977,#123804); #86814=LINE('',#503979,#123805); #86815=LINE('',#503980,#123806); #86816=LINE('',#503983,#123807); #86817=LINE('',#503985,#123808); #86818=LINE('',#503986,#123809); #86819=LINE('',#503989,#123810); #86820=LINE('',#503991,#123811); #86821=LINE('',#503992,#123812); #86822=LINE('',#503995,#123813); #86823=LINE('',#503997,#123814); #86824=LINE('',#503998,#123815); #86825=LINE('',#504001,#123816); #86826=LINE('',#504003,#123817); #86827=LINE('',#504004,#123818); #86828=LINE('',#504007,#123819); #86829=LINE('',#504009,#123820); #86830=LINE('',#504010,#123821); #86831=LINE('',#504013,#123822); #86832=LINE('',#504015,#123823); #86833=LINE('',#504016,#123824); #86834=LINE('',#504019,#123825); #86835=LINE('',#504021,#123826); #86836=LINE('',#504022,#123827); #86837=LINE('',#504025,#123828); #86838=LINE('',#504027,#123829); #86839=LINE('',#504028,#123830); #86840=LINE('',#504031,#123831); #86841=LINE('',#504033,#123832); #86842=LINE('',#504034,#123833); #86843=LINE('',#504037,#123834); #86844=LINE('',#504039,#123835); #86845=LINE('',#504040,#123836); #86846=LINE('',#504043,#123837); #86847=LINE('',#504045,#123838); #86848=LINE('',#504046,#123839); #86849=LINE('',#504049,#123840); #86850=LINE('',#504051,#123841); #86851=LINE('',#504052,#123842); #86852=LINE('',#504055,#123843); #86853=LINE('',#504057,#123844); #86854=LINE('',#504058,#123845); #86855=LINE('',#504061,#123846); #86856=LINE('',#504063,#123847); #86857=LINE('',#504064,#123848); #86858=LINE('',#504067,#123849); #86859=LINE('',#504069,#123850); #86860=LINE('',#504070,#123851); #86861=LINE('',#504073,#123852); #86862=LINE('',#504075,#123853); #86863=LINE('',#504076,#123854); #86864=LINE('',#504079,#123855); #86865=LINE('',#504081,#123856); #86866=LINE('',#504082,#123857); #86867=LINE('',#504085,#123858); #86868=LINE('',#504087,#123859); #86869=LINE('',#504088,#123860); #86870=LINE('',#504091,#123861); #86871=LINE('',#504093,#123862); #86872=LINE('',#504094,#123863); #86873=LINE('',#504097,#123864); #86874=LINE('',#504099,#123865); #86875=LINE('',#504100,#123866); #86876=LINE('',#504103,#123867); #86877=LINE('',#504105,#123868); #86878=LINE('',#504106,#123869); #86879=LINE('',#504109,#123870); #86880=LINE('',#504111,#123871); #86881=LINE('',#504112,#123872); #86882=LINE('',#504115,#123873); #86883=LINE('',#504117,#123874); #86884=LINE('',#504118,#123875); #86885=LINE('',#504121,#123876); #86886=LINE('',#504123,#123877); #86887=LINE('',#504124,#123878); #86888=LINE('',#504127,#123879); #86889=LINE('',#504129,#123880); #86890=LINE('',#504130,#123881); #86891=LINE('',#504133,#123882); #86892=LINE('',#504135,#123883); #86893=LINE('',#504136,#123884); #86894=LINE('',#504139,#123885); #86895=LINE('',#504141,#123886); #86896=LINE('',#504142,#123887); #86897=LINE('',#504145,#123888); #86898=LINE('',#504147,#123889); #86899=LINE('',#504148,#123890); #86900=LINE('',#504151,#123891); #86901=LINE('',#504153,#123892); #86902=LINE('',#504154,#123893); #86903=LINE('',#504157,#123894); #86904=LINE('',#504159,#123895); #86905=LINE('',#504160,#123896); #86906=LINE('',#504163,#123897); #86907=LINE('',#504165,#123898); #86908=LINE('',#504166,#123899); #86909=LINE('',#504169,#123900); #86910=LINE('',#504171,#123901); #86911=LINE('',#504172,#123902); #86912=LINE('',#504175,#123903); #86913=LINE('',#504177,#123904); #86914=LINE('',#504178,#123905); #86915=LINE('',#504181,#123906); #86916=LINE('',#504183,#123907); #86917=LINE('',#504184,#123908); #86918=LINE('',#504187,#123909); #86919=LINE('',#504189,#123910); #86920=LINE('',#504190,#123911); #86921=LINE('',#504193,#123912); #86922=LINE('',#504195,#123913); #86923=LINE('',#504196,#123914); #86924=LINE('',#504199,#123915); #86925=LINE('',#504201,#123916); #86926=LINE('',#504202,#123917); #86927=LINE('',#504205,#123918); #86928=LINE('',#504207,#123919); #86929=LINE('',#504208,#123920); #86930=LINE('',#504211,#123921); #86931=LINE('',#504213,#123922); #86932=LINE('',#504214,#123923); #86933=LINE('',#504217,#123924); #86934=LINE('',#504219,#123925); #86935=LINE('',#504220,#123926); #86936=LINE('',#504223,#123927); #86937=LINE('',#504225,#123928); #86938=LINE('',#504226,#123929); #86939=LINE('',#504229,#123930); #86940=LINE('',#504231,#123931); #86941=LINE('',#504232,#123932); #86942=LINE('',#504235,#123933); #86943=LINE('',#504237,#123934); #86944=LINE('',#504238,#123935); #86945=LINE('',#504241,#123936); #86946=LINE('',#504243,#123937); #86947=LINE('',#504244,#123938); #86948=LINE('',#504247,#123939); #86949=LINE('',#504249,#123940); #86950=LINE('',#504250,#123941); #86951=LINE('',#504253,#123942); #86952=LINE('',#504255,#123943); #86953=LINE('',#504256,#123944); #86954=LINE('',#504259,#123945); #86955=LINE('',#504261,#123946); #86956=LINE('',#504262,#123947); #86957=LINE('',#504265,#123948); #86958=LINE('',#504267,#123949); #86959=LINE('',#504268,#123950); #86960=LINE('',#504271,#123951); #86961=LINE('',#504273,#123952); #86962=LINE('',#504274,#123953); #86963=LINE('',#504277,#123954); #86964=LINE('',#504279,#123955); #86965=LINE('',#504280,#123956); #86966=LINE('',#504283,#123957); #86967=LINE('',#504285,#123958); #86968=LINE('',#504286,#123959); #86969=LINE('',#504289,#123960); #86970=LINE('',#504291,#123961); #86971=LINE('',#504292,#123962); #86972=LINE('',#504295,#123963); #86973=LINE('',#504297,#123964); #86974=LINE('',#504298,#123965); #86975=LINE('',#504301,#123966); #86976=LINE('',#504303,#123967); #86977=LINE('',#504304,#123968); #86978=LINE('',#504307,#123969); #86979=LINE('',#504309,#123970); #86980=LINE('',#504310,#123971); #86981=LINE('',#504313,#123972); #86982=LINE('',#504315,#123973); #86983=LINE('',#504316,#123974); #86984=LINE('',#504319,#123975); #86985=LINE('',#504321,#123976); #86986=LINE('',#504322,#123977); #86987=LINE('',#504325,#123978); #86988=LINE('',#504327,#123979); #86989=LINE('',#504328,#123980); #86990=LINE('',#504331,#123981); #86991=LINE('',#504333,#123982); #86992=LINE('',#504334,#123983); #86993=LINE('',#504337,#123984); #86994=LINE('',#504339,#123985); #86995=LINE('',#504340,#123986); #86996=LINE('',#504343,#123987); #86997=LINE('',#504345,#123988); #86998=LINE('',#504346,#123989); #86999=LINE('',#504349,#123990); #87000=LINE('',#504351,#123991); #87001=LINE('',#504352,#123992); #87002=LINE('',#504355,#123993); #87003=LINE('',#504357,#123994); #87004=LINE('',#504358,#123995); #87005=LINE('',#504361,#123996); #87006=LINE('',#504363,#123997); #87007=LINE('',#504364,#123998); #87008=LINE('',#504367,#123999); #87009=LINE('',#504369,#124000); #87010=LINE('',#504370,#124001); #87011=LINE('',#504373,#124002); #87012=LINE('',#504375,#124003); #87013=LINE('',#504376,#124004); #87014=LINE('',#504379,#124005); #87015=LINE('',#504381,#124006); #87016=LINE('',#504382,#124007); #87017=LINE('',#504385,#124008); #87018=LINE('',#504387,#124009); #87019=LINE('',#504388,#124010); #87020=LINE('',#504391,#124011); #87021=LINE('',#504393,#124012); #87022=LINE('',#504394,#124013); #87023=LINE('',#504397,#124014); #87024=LINE('',#504399,#124015); #87025=LINE('',#504400,#124016); #87026=LINE('',#504403,#124017); #87027=LINE('',#504405,#124018); #87028=LINE('',#504406,#124019); #87029=LINE('',#504409,#124020); #87030=LINE('',#504411,#124021); #87031=LINE('',#504412,#124022); #87032=LINE('',#504415,#124023); #87033=LINE('',#504417,#124024); #87034=LINE('',#504418,#124025); #87035=LINE('',#504421,#124026); #87036=LINE('',#504423,#124027); #87037=LINE('',#504424,#124028); #87038=LINE('',#504427,#124029); #87039=LINE('',#504429,#124030); #87040=LINE('',#504430,#124031); #87041=LINE('',#504433,#124032); #87042=LINE('',#504435,#124033); #87043=LINE('',#504436,#124034); #87044=LINE('',#504439,#124035); #87045=LINE('',#504441,#124036); #87046=LINE('',#504442,#124037); #87047=LINE('',#504445,#124038); #87048=LINE('',#504447,#124039); #87049=LINE('',#504448,#124040); #87050=LINE('',#504451,#124041); #87051=LINE('',#504453,#124042); #87052=LINE('',#504454,#124043); #87053=LINE('',#504457,#124044); #87054=LINE('',#504459,#124045); #87055=LINE('',#504460,#124046); #87056=LINE('',#504463,#124047); #87057=LINE('',#504465,#124048); #87058=LINE('',#504466,#124049); #87059=LINE('',#504469,#124050); #87060=LINE('',#504471,#124051); #87061=LINE('',#504472,#124052); #87062=LINE('',#504475,#124053); #87063=LINE('',#504477,#124054); #87064=LINE('',#504478,#124055); #87065=LINE('',#504481,#124056); #87066=LINE('',#504483,#124057); #87067=LINE('',#504484,#124058); #87068=LINE('',#504487,#124059); #87069=LINE('',#504489,#124060); #87070=LINE('',#504490,#124061); #87071=LINE('',#504493,#124062); #87072=LINE('',#504495,#124063); #87073=LINE('',#504496,#124064); #87074=LINE('',#504499,#124065); #87075=LINE('',#504501,#124066); #87076=LINE('',#504502,#124067); #87077=LINE('',#504505,#124068); #87078=LINE('',#504507,#124069); #87079=LINE('',#504508,#124070); #87080=LINE('',#504511,#124071); #87081=LINE('',#504513,#124072); #87082=LINE('',#504514,#124073); #87083=LINE('',#504517,#124074); #87084=LINE('',#504519,#124075); #87085=LINE('',#504520,#124076); #87086=LINE('',#504523,#124077); #87087=LINE('',#504525,#124078); #87088=LINE('',#504526,#124079); #87089=LINE('',#504529,#124080); #87090=LINE('',#504531,#124081); #87091=LINE('',#504532,#124082); #87092=LINE('',#504535,#124083); #87093=LINE('',#504537,#124084); #87094=LINE('',#504538,#124085); #87095=LINE('',#504540,#124086); #87096=LINE('',#504541,#124087); #87097=LINE('',#504548,#124088); #87098=LINE('',#504553,#124089); #87099=LINE('',#504555,#124090); #87100=LINE('',#504557,#124091); #87101=LINE('',#504558,#124092); #87102=LINE('',#504564,#124093); #87103=LINE('',#504574,#124094); #87104=LINE('',#504579,#124095); #87105=LINE('',#504581,#124096); #87106=LINE('',#504583,#124097); #87107=LINE('',#504584,#124098); #87108=LINE('',#504590,#124099); #87109=LINE('',#504600,#124100); #87110=LINE('',#504605,#124101); #87111=LINE('',#504607,#124102); #87112=LINE('',#504609,#124103); #87113=LINE('',#504610,#124104); #87114=LINE('',#504616,#124105); #87115=LINE('',#504626,#124106); #87116=LINE('',#504631,#124107); #87117=LINE('',#504633,#124108); #87118=LINE('',#504635,#124109); #87119=LINE('',#504636,#124110); #87120=LINE('',#504642,#124111); #87121=LINE('',#504652,#124112); #87122=LINE('',#504657,#124113); #87123=LINE('',#504659,#124114); #87124=LINE('',#504661,#124115); #87125=LINE('',#504662,#124116); #87126=LINE('',#504665,#124117); #87127=LINE('',#504667,#124118); #87128=LINE('',#504668,#124119); #87129=LINE('',#504671,#124120); #87130=LINE('',#504673,#124121); #87131=LINE('',#504674,#124122); #87132=LINE('',#504676,#124123); #87133=LINE('',#504677,#124124); #87134=LINE('',#504684,#124125); #87135=LINE('',#504689,#124126); #87136=LINE('',#504691,#124127); #87137=LINE('',#504693,#124128); #87138=LINE('',#504694,#124129); #87139=LINE('',#504700,#124130); #87140=LINE('',#504710,#124131); #87141=LINE('',#504715,#124132); #87142=LINE('',#504717,#124133); #87143=LINE('',#504719,#124134); #87144=LINE('',#504720,#124135); #87145=LINE('',#504726,#124136); #87146=LINE('',#504736,#124137); #87147=LINE('',#504741,#124138); #87148=LINE('',#504743,#124139); #87149=LINE('',#504745,#124140); #87150=LINE('',#504746,#124141); #87151=LINE('',#504752,#124142); #87152=LINE('',#504762,#124143); #87153=LINE('',#504767,#124144); #87154=LINE('',#504769,#124145); #87155=LINE('',#504771,#124146); #87156=LINE('',#504772,#124147); #87157=LINE('',#504778,#124148); #87158=LINE('',#504787,#124149); #87159=LINE('',#504789,#124150); #87160=LINE('',#504791,#124151); #87161=LINE('',#504792,#124152); #87162=LINE('',#504798,#124153); #87163=LINE('',#504801,#124154); #87164=LINE('',#504803,#124155); #87165=LINE('',#504804,#124156); #87166=LINE('',#504811,#124157); #87167=LINE('',#504813,#124158); #87168=LINE('',#504815,#124159); #87169=LINE('',#504816,#124160); #87170=LINE('',#504819,#124161); #87171=LINE('',#504821,#124162); #87172=LINE('',#504822,#124163); #87173=LINE('',#504825,#124164); #87174=LINE('',#504827,#124165); #87175=LINE('',#504828,#124166); #87176=LINE('',#504831,#124167); #87177=LINE('',#504833,#124168); #87178=LINE('',#504834,#124169); #87179=LINE('',#504837,#124170); #87180=LINE('',#504839,#124171); #87181=LINE('',#504840,#124172); #87182=LINE('',#504843,#124173); #87183=LINE('',#504845,#124174); #87184=LINE('',#504846,#124175); #87185=LINE('',#504849,#124176); #87186=LINE('',#504851,#124177); #87187=LINE('',#504852,#124178); #87188=LINE('',#504855,#124179); #87189=LINE('',#504857,#124180); #87190=LINE('',#504858,#124181); #87191=LINE('',#504861,#124182); #87192=LINE('',#504863,#124183); #87193=LINE('',#504864,#124184); #87194=LINE('',#504867,#124185); #87195=LINE('',#504869,#124186); #87196=LINE('',#504870,#124187); #87197=LINE('',#504873,#124188); #87198=LINE('',#504875,#124189); #87199=LINE('',#504876,#124190); #87200=LINE('',#504879,#124191); #87201=LINE('',#504881,#124192); #87202=LINE('',#504882,#124193); #87203=LINE('',#504885,#124194); #87204=LINE('',#504887,#124195); #87205=LINE('',#504888,#124196); #87206=LINE('',#504891,#124197); #87207=LINE('',#504893,#124198); #87208=LINE('',#504894,#124199); #87209=LINE('',#504897,#124200); #87210=LINE('',#504899,#124201); #87211=LINE('',#504900,#124202); #87212=LINE('',#504903,#124203); #87213=LINE('',#504905,#124204); #87214=LINE('',#504906,#124205); #87215=LINE('',#504909,#124206); #87216=LINE('',#504911,#124207); #87217=LINE('',#504912,#124208); #87218=LINE('',#504915,#124209); #87219=LINE('',#504917,#124210); #87220=LINE('',#504918,#124211); #87221=LINE('',#504921,#124212); #87222=LINE('',#504923,#124213); #87223=LINE('',#504924,#124214); #87224=LINE('',#504927,#124215); #87225=LINE('',#504929,#124216); #87226=LINE('',#504930,#124217); #87227=LINE('',#504933,#124218); #87228=LINE('',#504935,#124219); #87229=LINE('',#504936,#124220); #87230=LINE('',#504939,#124221); #87231=LINE('',#504941,#124222); #87232=LINE('',#504942,#124223); #87233=LINE('',#504945,#124224); #87234=LINE('',#504947,#124225); #87235=LINE('',#504948,#124226); #87236=LINE('',#504951,#124227); #87237=LINE('',#504953,#124228); #87238=LINE('',#504954,#124229); #87239=LINE('',#504957,#124230); #87240=LINE('',#504959,#124231); #87241=LINE('',#504960,#124232); #87242=LINE('',#504963,#124233); #87243=LINE('',#504965,#124234); #87244=LINE('',#504966,#124235); #87245=LINE('',#504969,#124236); #87246=LINE('',#504971,#124237); #87247=LINE('',#504972,#124238); #87248=LINE('',#504975,#124239); #87249=LINE('',#504977,#124240); #87250=LINE('',#504978,#124241); #87251=LINE('',#504981,#124242); #87252=LINE('',#504983,#124243); #87253=LINE('',#504984,#124244); #87254=LINE('',#504987,#124245); #87255=LINE('',#504989,#124246); #87256=LINE('',#504990,#124247); #87257=LINE('',#504993,#124248); #87258=LINE('',#504995,#124249); #87259=LINE('',#504996,#124250); #87260=LINE('',#504999,#124251); #87261=LINE('',#505001,#124252); #87262=LINE('',#505002,#124253); #87263=LINE('',#505005,#124254); #87264=LINE('',#505007,#124255); #87265=LINE('',#505008,#124256); #87266=LINE('',#505011,#124257); #87267=LINE('',#505013,#124258); #87268=LINE('',#505014,#124259); #87269=LINE('',#505017,#124260); #87270=LINE('',#505019,#124261); #87271=LINE('',#505020,#124262); #87272=LINE('',#505023,#124263); #87273=LINE('',#505025,#124264); #87274=LINE('',#505026,#124265); #87275=LINE('',#505029,#124266); #87276=LINE('',#505031,#124267); #87277=LINE('',#505032,#124268); #87278=LINE('',#505035,#124269); #87279=LINE('',#505037,#124270); #87280=LINE('',#505038,#124271); #87281=LINE('',#505041,#124272); #87282=LINE('',#505043,#124273); #87283=LINE('',#505044,#124274); #87284=LINE('',#505047,#124275); #87285=LINE('',#505049,#124276); #87286=LINE('',#505050,#124277); #87287=LINE('',#505053,#124278); #87288=LINE('',#505055,#124279); #87289=LINE('',#505056,#124280); #87290=LINE('',#505059,#124281); #87291=LINE('',#505061,#124282); #87292=LINE('',#505062,#124283); #87293=LINE('',#505065,#124284); #87294=LINE('',#505067,#124285); #87295=LINE('',#505068,#124286); #87296=LINE('',#505071,#124287); #87297=LINE('',#505073,#124288); #87298=LINE('',#505074,#124289); #87299=LINE('',#505077,#124290); #87300=LINE('',#505079,#124291); #87301=LINE('',#505080,#124292); #87302=LINE('',#505083,#124293); #87303=LINE('',#505085,#124294); #87304=LINE('',#505086,#124295); #87305=LINE('',#505089,#124296); #87306=LINE('',#505091,#124297); #87307=LINE('',#505092,#124298); #87308=LINE('',#505095,#124299); #87309=LINE('',#505097,#124300); #87310=LINE('',#505098,#124301); #87311=LINE('',#505101,#124302); #87312=LINE('',#505103,#124303); #87313=LINE('',#505104,#124304); #87314=LINE('',#505107,#124305); #87315=LINE('',#505109,#124306); #87316=LINE('',#505110,#124307); #87317=LINE('',#505113,#124308); #87318=LINE('',#505115,#124309); #87319=LINE('',#505116,#124310); #87320=LINE('',#505119,#124311); #87321=LINE('',#505121,#124312); #87322=LINE('',#505122,#124313); #87323=LINE('',#505125,#124314); #87324=LINE('',#505127,#124315); #87325=LINE('',#505128,#124316); #87326=LINE('',#505131,#124317); #87327=LINE('',#505133,#124318); #87328=LINE('',#505134,#124319); #87329=LINE('',#505137,#124320); #87330=LINE('',#505139,#124321); #87331=LINE('',#505140,#124322); #87332=LINE('',#505142,#124323); #87333=LINE('',#505143,#124324); #87334=LINE('',#505149,#124325); #87335=LINE('',#505151,#124326); #87336=LINE('',#505153,#124327); #87337=LINE('',#505154,#124328); #87338=LINE('',#505160,#124329); #87339=LINE('',#505163,#124330); #87340=LINE('',#505165,#124331); #87341=LINE('',#505166,#124332); #87342=LINE('',#505173,#124333); #87343=LINE('',#505175,#124334); #87344=LINE('',#505177,#124335); #87345=LINE('',#505178,#124336); #87346=LINE('',#505181,#124337); #87347=LINE('',#505183,#124338); #87348=LINE('',#505184,#124339); #87349=LINE('',#505187,#124340); #87350=LINE('',#505189,#124341); #87351=LINE('',#505190,#124342); #87352=LINE('',#505193,#124343); #87353=LINE('',#505195,#124344); #87354=LINE('',#505196,#124345); #87355=LINE('',#505199,#124346); #87356=LINE('',#505201,#124347); #87357=LINE('',#505202,#124348); #87358=LINE('',#505205,#124349); #87359=LINE('',#505207,#124350); #87360=LINE('',#505208,#124351); #87361=LINE('',#505211,#124352); #87362=LINE('',#505213,#124353); #87363=LINE('',#505214,#124354); #87364=LINE('',#505217,#124355); #87365=LINE('',#505219,#124356); #87366=LINE('',#505220,#124357); #87367=LINE('',#505223,#124358); #87368=LINE('',#505225,#124359); #87369=LINE('',#505226,#124360); #87370=LINE('',#505229,#124361); #87371=LINE('',#505231,#124362); #87372=LINE('',#505232,#124363); #87373=LINE('',#505235,#124364); #87374=LINE('',#505237,#124365); #87375=LINE('',#505238,#124366); #87376=LINE('',#505241,#124367); #87377=LINE('',#505243,#124368); #87378=LINE('',#505244,#124369); #87379=LINE('',#505247,#124370); #87380=LINE('',#505249,#124371); #87381=LINE('',#505250,#124372); #87382=LINE('',#505253,#124373); #87383=LINE('',#505255,#124374); #87384=LINE('',#505256,#124375); #87385=LINE('',#505259,#124376); #87386=LINE('',#505261,#124377); #87387=LINE('',#505262,#124378); #87388=LINE('',#505265,#124379); #87389=LINE('',#505267,#124380); #87390=LINE('',#505268,#124381); #87391=LINE('',#505271,#124382); #87392=LINE('',#505273,#124383); #87393=LINE('',#505274,#124384); #87394=LINE('',#505277,#124385); #87395=LINE('',#505279,#124386); #87396=LINE('',#505280,#124387); #87397=LINE('',#505283,#124388); #87398=LINE('',#505285,#124389); #87399=LINE('',#505286,#124390); #87400=LINE('',#505289,#124391); #87401=LINE('',#505291,#124392); #87402=LINE('',#505292,#124393); #87403=LINE('',#505295,#124394); #87404=LINE('',#505297,#124395); #87405=LINE('',#505298,#124396); #87406=LINE('',#505301,#124397); #87407=LINE('',#505303,#124398); #87408=LINE('',#505304,#124399); #87409=LINE('',#505307,#124400); #87410=LINE('',#505309,#124401); #87411=LINE('',#505310,#124402); #87412=LINE('',#505313,#124403); #87413=LINE('',#505315,#124404); #87414=LINE('',#505316,#124405); #87415=LINE('',#505319,#124406); #87416=LINE('',#505321,#124407); #87417=LINE('',#505322,#124408); #87418=LINE('',#505325,#124409); #87419=LINE('',#505327,#124410); #87420=LINE('',#505328,#124411); #87421=LINE('',#505331,#124412); #87422=LINE('',#505333,#124413); #87423=LINE('',#505334,#124414); #87424=LINE('',#505337,#124415); #87425=LINE('',#505339,#124416); #87426=LINE('',#505340,#124417); #87427=LINE('',#505343,#124418); #87428=LINE('',#505345,#124419); #87429=LINE('',#505346,#124420); #87430=LINE('',#505349,#124421); #87431=LINE('',#505351,#124422); #87432=LINE('',#505352,#124423); #87433=LINE('',#505355,#124424); #87434=LINE('',#505357,#124425); #87435=LINE('',#505358,#124426); #87436=LINE('',#505361,#124427); #87437=LINE('',#505363,#124428); #87438=LINE('',#505364,#124429); #87439=LINE('',#505367,#124430); #87440=LINE('',#505369,#124431); #87441=LINE('',#505370,#124432); #87442=LINE('',#505373,#124433); #87443=LINE('',#505375,#124434); #87444=LINE('',#505376,#124435); #87445=LINE('',#505379,#124436); #87446=LINE('',#505381,#124437); #87447=LINE('',#505382,#124438); #87448=LINE('',#505385,#124439); #87449=LINE('',#505387,#124440); #87450=LINE('',#505388,#124441); #87451=LINE('',#505391,#124442); #87452=LINE('',#505393,#124443); #87453=LINE('',#505394,#124444); #87454=LINE('',#505397,#124445); #87455=LINE('',#505399,#124446); #87456=LINE('',#505400,#124447); #87457=LINE('',#505403,#124448); #87458=LINE('',#505405,#124449); #87459=LINE('',#505406,#124450); #87460=LINE('',#505409,#124451); #87461=LINE('',#505411,#124452); #87462=LINE('',#505412,#124453); #87463=LINE('',#505415,#124454); #87464=LINE('',#505417,#124455); #87465=LINE('',#505418,#124456); #87466=LINE('',#505421,#124457); #87467=LINE('',#505423,#124458); #87468=LINE('',#505424,#124459); #87469=LINE('',#505427,#124460); #87470=LINE('',#505429,#124461); #87471=LINE('',#505430,#124462); #87472=LINE('',#505433,#124463); #87473=LINE('',#505435,#124464); #87474=LINE('',#505436,#124465); #87475=LINE('',#505439,#124466); #87476=LINE('',#505441,#124467); #87477=LINE('',#505442,#124468); #87478=LINE('',#505445,#124469); #87479=LINE('',#505447,#124470); #87480=LINE('',#505448,#124471); #87481=LINE('',#505451,#124472); #87482=LINE('',#505453,#124473); #87483=LINE('',#505454,#124474); #87484=LINE('',#505457,#124475); #87485=LINE('',#505459,#124476); #87486=LINE('',#505460,#124477); #87487=LINE('',#505463,#124478); #87488=LINE('',#505465,#124479); #87489=LINE('',#505466,#124480); #87490=LINE('',#505469,#124481); #87491=LINE('',#505471,#124482); #87492=LINE('',#505472,#124483); #87493=LINE('',#505475,#124484); #87494=LINE('',#505477,#124485); #87495=LINE('',#505478,#124486); #87496=LINE('',#505481,#124487); #87497=LINE('',#505483,#124488); #87498=LINE('',#505484,#124489); #87499=LINE('',#505487,#124490); #87500=LINE('',#505489,#124491); #87501=LINE('',#505490,#124492); #87502=LINE('',#505493,#124493); #87503=LINE('',#505495,#124494); #87504=LINE('',#505496,#124495); #87505=LINE('',#505499,#124496); #87506=LINE('',#505501,#124497); #87507=LINE('',#505502,#124498); #87508=LINE('',#505505,#124499); #87509=LINE('',#505507,#124500); #87510=LINE('',#505508,#124501); #87511=LINE('',#505511,#124502); #87512=LINE('',#505513,#124503); #87513=LINE('',#505514,#124504); #87514=LINE('',#505517,#124505); #87515=LINE('',#505519,#124506); #87516=LINE('',#505520,#124507); #87517=LINE('',#505523,#124508); #87518=LINE('',#505525,#124509); #87519=LINE('',#505526,#124510); #87520=LINE('',#505529,#124511); #87521=LINE('',#505531,#124512); #87522=LINE('',#505532,#124513); #87523=LINE('',#505535,#124514); #87524=LINE('',#505537,#124515); #87525=LINE('',#505538,#124516); #87526=LINE('',#505541,#124517); #87527=LINE('',#505543,#124518); #87528=LINE('',#505544,#124519); #87529=LINE('',#505547,#124520); #87530=LINE('',#505549,#124521); #87531=LINE('',#505550,#124522); #87532=LINE('',#505553,#124523); #87533=LINE('',#505555,#124524); #87534=LINE('',#505556,#124525); #87535=LINE('',#505559,#124526); #87536=LINE('',#505561,#124527); #87537=LINE('',#505562,#124528); #87538=LINE('',#505565,#124529); #87539=LINE('',#505567,#124530); #87540=LINE('',#505568,#124531); #87541=LINE('',#505571,#124532); #87542=LINE('',#505573,#124533); #87543=LINE('',#505574,#124534); #87544=LINE('',#505577,#124535); #87545=LINE('',#505579,#124536); #87546=LINE('',#505580,#124537); #87547=LINE('',#505583,#124538); #87548=LINE('',#505585,#124539); #87549=LINE('',#505586,#124540); #87550=LINE('',#505589,#124541); #87551=LINE('',#505591,#124542); #87552=LINE('',#505592,#124543); #87553=LINE('',#505595,#124544); #87554=LINE('',#505597,#124545); #87555=LINE('',#505598,#124546); #87556=LINE('',#505600,#124547); #87557=LINE('',#505601,#124548); #87558=LINE('',#505607,#124549); #87559=LINE('',#505609,#124550); #87560=LINE('',#505611,#124551); #87561=LINE('',#505612,#124552); #87562=LINE('',#505618,#124553); #87563=LINE('',#505621,#124554); #87564=LINE('',#505623,#124555); #87565=LINE('',#505624,#124556); #87566=LINE('',#505631,#124557); #87567=LINE('',#505633,#124558); #87568=LINE('',#505635,#124559); #87569=LINE('',#505636,#124560); #87570=LINE('',#505639,#124561); #87571=LINE('',#505641,#124562); #87572=LINE('',#505642,#124563); #87573=LINE('',#505645,#124564); #87574=LINE('',#505647,#124565); #87575=LINE('',#505648,#124566); #87576=LINE('',#505651,#124567); #87577=LINE('',#505653,#124568); #87578=LINE('',#505654,#124569); #87579=LINE('',#505657,#124570); #87580=LINE('',#505659,#124571); #87581=LINE('',#505660,#124572); #87582=LINE('',#505663,#124573); #87583=LINE('',#505665,#124574); #87584=LINE('',#505666,#124575); #87585=LINE('',#505669,#124576); #87586=LINE('',#505671,#124577); #87587=LINE('',#505672,#124578); #87588=LINE('',#505675,#124579); #87589=LINE('',#505677,#124580); #87590=LINE('',#505678,#124581); #87591=LINE('',#505681,#124582); #87592=LINE('',#505683,#124583); #87593=LINE('',#505684,#124584); #87594=LINE('',#505687,#124585); #87595=LINE('',#505689,#124586); #87596=LINE('',#505690,#124587); #87597=LINE('',#505693,#124588); #87598=LINE('',#505695,#124589); #87599=LINE('',#505696,#124590); #87600=LINE('',#505699,#124591); #87601=LINE('',#505701,#124592); #87602=LINE('',#505702,#124593); #87603=LINE('',#505705,#124594); #87604=LINE('',#505707,#124595); #87605=LINE('',#505708,#124596); #87606=LINE('',#505711,#124597); #87607=LINE('',#505713,#124598); #87608=LINE('',#505714,#124599); #87609=LINE('',#505717,#124600); #87610=LINE('',#505719,#124601); #87611=LINE('',#505720,#124602); #87612=LINE('',#505723,#124603); #87613=LINE('',#505725,#124604); #87614=LINE('',#505726,#124605); #87615=LINE('',#505729,#124606); #87616=LINE('',#505731,#124607); #87617=LINE('',#505732,#124608); #87618=LINE('',#505735,#124609); #87619=LINE('',#505737,#124610); #87620=LINE('',#505738,#124611); #87621=LINE('',#505741,#124612); #87622=LINE('',#505743,#124613); #87623=LINE('',#505744,#124614); #87624=LINE('',#505747,#124615); #87625=LINE('',#505749,#124616); #87626=LINE('',#505750,#124617); #87627=LINE('',#505753,#124618); #87628=LINE('',#505755,#124619); #87629=LINE('',#505756,#124620); #87630=LINE('',#505759,#124621); #87631=LINE('',#505761,#124622); #87632=LINE('',#505762,#124623); #87633=LINE('',#505765,#124624); #87634=LINE('',#505767,#124625); #87635=LINE('',#505768,#124626); #87636=LINE('',#505771,#124627); #87637=LINE('',#505773,#124628); #87638=LINE('',#505774,#124629); #87639=LINE('',#505777,#124630); #87640=LINE('',#505779,#124631); #87641=LINE('',#505780,#124632); #87642=LINE('',#505783,#124633); #87643=LINE('',#505785,#124634); #87644=LINE('',#505786,#124635); #87645=LINE('',#505789,#124636); #87646=LINE('',#505791,#124637); #87647=LINE('',#505792,#124638); #87648=LINE('',#505795,#124639); #87649=LINE('',#505797,#124640); #87650=LINE('',#505798,#124641); #87651=LINE('',#505801,#124642); #87652=LINE('',#505803,#124643); #87653=LINE('',#505804,#124644); #87654=LINE('',#505807,#124645); #87655=LINE('',#505809,#124646); #87656=LINE('',#505810,#124647); #87657=LINE('',#505813,#124648); #87658=LINE('',#505815,#124649); #87659=LINE('',#505816,#124650); #87660=LINE('',#505819,#124651); #87661=LINE('',#505821,#124652); #87662=LINE('',#505822,#124653); #87663=LINE('',#505825,#124654); #87664=LINE('',#505827,#124655); #87665=LINE('',#505828,#124656); #87666=LINE('',#505831,#124657); #87667=LINE('',#505833,#124658); #87668=LINE('',#505834,#124659); #87669=LINE('',#505837,#124660); #87670=LINE('',#505839,#124661); #87671=LINE('',#505840,#124662); #87672=LINE('',#505843,#124663); #87673=LINE('',#505845,#124664); #87674=LINE('',#505846,#124665); #87675=LINE('',#505849,#124666); #87676=LINE('',#505851,#124667); #87677=LINE('',#505852,#124668); #87678=LINE('',#505855,#124669); #87679=LINE('',#505857,#124670); #87680=LINE('',#505858,#124671); #87681=LINE('',#505861,#124672); #87682=LINE('',#505863,#124673); #87683=LINE('',#505864,#124674); #87684=LINE('',#505867,#124675); #87685=LINE('',#505869,#124676); #87686=LINE('',#505870,#124677); #87687=LINE('',#505873,#124678); #87688=LINE('',#505875,#124679); #87689=LINE('',#505876,#124680); #87690=LINE('',#505879,#124681); #87691=LINE('',#505881,#124682); #87692=LINE('',#505882,#124683); #87693=LINE('',#505885,#124684); #87694=LINE('',#505887,#124685); #87695=LINE('',#505888,#124686); #87696=LINE('',#505891,#124687); #87697=LINE('',#505893,#124688); #87698=LINE('',#505894,#124689); #87699=LINE('',#505897,#124690); #87700=LINE('',#505899,#124691); #87701=LINE('',#505900,#124692); #87702=LINE('',#505903,#124693); #87703=LINE('',#505905,#124694); #87704=LINE('',#505906,#124695); #87705=LINE('',#505909,#124696); #87706=LINE('',#505911,#124697); #87707=LINE('',#505912,#124698); #87708=LINE('',#505915,#124699); #87709=LINE('',#505917,#124700); #87710=LINE('',#505918,#124701); #87711=LINE('',#505921,#124702); #87712=LINE('',#505923,#124703); #87713=LINE('',#505924,#124704); #87714=LINE('',#505927,#124705); #87715=LINE('',#505929,#124706); #87716=LINE('',#505930,#124707); #87717=LINE('',#505933,#124708); #87718=LINE('',#505935,#124709); #87719=LINE('',#505936,#124710); #87720=LINE('',#505939,#124711); #87721=LINE('',#505941,#124712); #87722=LINE('',#505942,#124713); #87723=LINE('',#505945,#124714); #87724=LINE('',#505947,#124715); #87725=LINE('',#505948,#124716); #87726=LINE('',#505951,#124717); #87727=LINE('',#505953,#124718); #87728=LINE('',#505954,#124719); #87729=LINE('',#505957,#124720); #87730=LINE('',#505959,#124721); #87731=LINE('',#505960,#124722); #87732=LINE('',#505962,#124723); #87733=LINE('',#505963,#124724); #87734=LINE('',#505970,#124725); #87735=LINE('',#505975,#124726); #87736=LINE('',#505977,#124727); #87737=LINE('',#505979,#124728); #87738=LINE('',#505980,#124729); #87739=LINE('',#505983,#124730); #87740=LINE('',#505985,#124731); #87741=LINE('',#505986,#124732); #87742=LINE('',#505989,#124733); #87743=LINE('',#505991,#124734); #87744=LINE('',#505992,#124735); #87745=LINE('',#505994,#124736); #87746=LINE('',#505995,#124737); #87747=LINE('',#506001,#124738); #87748=LINE('',#506003,#124739); #87749=LINE('',#506005,#124740); #87750=LINE('',#506006,#124741); #87751=LINE('',#506012,#124742); #87752=LINE('',#506015,#124743); #87753=LINE('',#506017,#124744); #87754=LINE('',#506018,#124745); #87755=LINE('',#506025,#124746); #87756=LINE('',#506027,#124747); #87757=LINE('',#506029,#124748); #87758=LINE('',#506030,#124749); #87759=LINE('',#506033,#124750); #87760=LINE('',#506035,#124751); #87761=LINE('',#506036,#124752); #87762=LINE('',#506039,#124753); #87763=LINE('',#506041,#124754); #87764=LINE('',#506042,#124755); #87765=LINE('',#506045,#124756); #87766=LINE('',#506047,#124757); #87767=LINE('',#506048,#124758); #87768=LINE('',#506051,#124759); #87769=LINE('',#506053,#124760); #87770=LINE('',#506054,#124761); #87771=LINE('',#506057,#124762); #87772=LINE('',#506059,#124763); #87773=LINE('',#506060,#124764); #87774=LINE('',#506063,#124765); #87775=LINE('',#506065,#124766); #87776=LINE('',#506066,#124767); #87777=LINE('',#506069,#124768); #87778=LINE('',#506071,#124769); #87779=LINE('',#506072,#124770); #87780=LINE('',#506075,#124771); #87781=LINE('',#506077,#124772); #87782=LINE('',#506078,#124773); #87783=LINE('',#506081,#124774); #87784=LINE('',#506083,#124775); #87785=LINE('',#506084,#124776); #87786=LINE('',#506087,#124777); #87787=LINE('',#506089,#124778); #87788=LINE('',#506090,#124779); #87789=LINE('',#506093,#124780); #87790=LINE('',#506095,#124781); #87791=LINE('',#506096,#124782); #87792=LINE('',#506099,#124783); #87793=LINE('',#506101,#124784); #87794=LINE('',#506102,#124785); #87795=LINE('',#506105,#124786); #87796=LINE('',#506107,#124787); #87797=LINE('',#506108,#124788); #87798=LINE('',#506111,#124789); #87799=LINE('',#506113,#124790); #87800=LINE('',#506114,#124791); #87801=LINE('',#506117,#124792); #87802=LINE('',#506119,#124793); #87803=LINE('',#506120,#124794); #87804=LINE('',#506123,#124795); #87805=LINE('',#506125,#124796); #87806=LINE('',#506126,#124797); #87807=LINE('',#506129,#124798); #87808=LINE('',#506131,#124799); #87809=LINE('',#506132,#124800); #87810=LINE('',#506135,#124801); #87811=LINE('',#506137,#124802); #87812=LINE('',#506138,#124803); #87813=LINE('',#506141,#124804); #87814=LINE('',#506143,#124805); #87815=LINE('',#506144,#124806); #87816=LINE('',#506147,#124807); #87817=LINE('',#506149,#124808); #87818=LINE('',#506150,#124809); #87819=LINE('',#506153,#124810); #87820=LINE('',#506155,#124811); #87821=LINE('',#506156,#124812); #87822=LINE('',#506159,#124813); #87823=LINE('',#506161,#124814); #87824=LINE('',#506162,#124815); #87825=LINE('',#506165,#124816); #87826=LINE('',#506167,#124817); #87827=LINE('',#506168,#124818); #87828=LINE('',#506171,#124819); #87829=LINE('',#506173,#124820); #87830=LINE('',#506174,#124821); #87831=LINE('',#506177,#124822); #87832=LINE('',#506179,#124823); #87833=LINE('',#506180,#124824); #87834=LINE('',#506183,#124825); #87835=LINE('',#506185,#124826); #87836=LINE('',#506186,#124827); #87837=LINE('',#506189,#124828); #87838=LINE('',#506191,#124829); #87839=LINE('',#506192,#124830); #87840=LINE('',#506195,#124831); #87841=LINE('',#506197,#124832); #87842=LINE('',#506198,#124833); #87843=LINE('',#506201,#124834); #87844=LINE('',#506203,#124835); #87845=LINE('',#506204,#124836); #87846=LINE('',#506207,#124837); #87847=LINE('',#506209,#124838); #87848=LINE('',#506210,#124839); #87849=LINE('',#506213,#124840); #87850=LINE('',#506215,#124841); #87851=LINE('',#506216,#124842); #87852=LINE('',#506219,#124843); #87853=LINE('',#506221,#124844); #87854=LINE('',#506222,#124845); #87855=LINE('',#506225,#124846); #87856=LINE('',#506227,#124847); #87857=LINE('',#506228,#124848); #87858=LINE('',#506231,#124849); #87859=LINE('',#506233,#124850); #87860=LINE('',#506234,#124851); #87861=LINE('',#506237,#124852); #87862=LINE('',#506239,#124853); #87863=LINE('',#506240,#124854); #87864=LINE('',#506243,#124855); #87865=LINE('',#506245,#124856); #87866=LINE('',#506246,#124857); #87867=LINE('',#506249,#124858); #87868=LINE('',#506251,#124859); #87869=LINE('',#506252,#124860); #87870=LINE('',#506255,#124861); #87871=LINE('',#506257,#124862); #87872=LINE('',#506258,#124863); #87873=LINE('',#506261,#124864); #87874=LINE('',#506263,#124865); #87875=LINE('',#506264,#124866); #87876=LINE('',#506267,#124867); #87877=LINE('',#506269,#124868); #87878=LINE('',#506270,#124869); #87879=LINE('',#506273,#124870); #87880=LINE('',#506275,#124871); #87881=LINE('',#506276,#124872); #87882=LINE('',#506279,#124873); #87883=LINE('',#506281,#124874); #87884=LINE('',#506282,#124875); #87885=LINE('',#506285,#124876); #87886=LINE('',#506287,#124877); #87887=LINE('',#506288,#124878); #87888=LINE('',#506291,#124879); #87889=LINE('',#506293,#124880); #87890=LINE('',#506294,#124881); #87891=LINE('',#506297,#124882); #87892=LINE('',#506299,#124883); #87893=LINE('',#506300,#124884); #87894=LINE('',#506303,#124885); #87895=LINE('',#506305,#124886); #87896=LINE('',#506306,#124887); #87897=LINE('',#506309,#124888); #87898=LINE('',#506311,#124889); #87899=LINE('',#506312,#124890); #87900=LINE('',#506315,#124891); #87901=LINE('',#506317,#124892); #87902=LINE('',#506318,#124893); #87903=LINE('',#506321,#124894); #87904=LINE('',#506323,#124895); #87905=LINE('',#506324,#124896); #87906=LINE('',#506327,#124897); #87907=LINE('',#506329,#124898); #87908=LINE('',#506330,#124899); #87909=LINE('',#506333,#124900); #87910=LINE('',#506335,#124901); #87911=LINE('',#506336,#124902); #87912=LINE('',#506339,#124903); #87913=LINE('',#506341,#124904); #87914=LINE('',#506342,#124905); #87915=LINE('',#506345,#124906); #87916=LINE('',#506347,#124907); #87917=LINE('',#506348,#124908); #87918=LINE('',#506351,#124909); #87919=LINE('',#506353,#124910); #87920=LINE('',#506354,#124911); #87921=LINE('',#506356,#124912); #87922=LINE('',#506357,#124913); #87923=LINE('',#506363,#124914); #87924=LINE('',#506365,#124915); #87925=LINE('',#506367,#124916); #87926=LINE('',#506368,#124917); #87927=LINE('',#506374,#124918); #87928=LINE('',#506377,#124919); #87929=LINE('',#506379,#124920); #87930=LINE('',#506380,#124921); #87931=LINE('',#506387,#124922); #87932=LINE('',#506389,#124923); #87933=LINE('',#506391,#124924); #87934=LINE('',#506392,#124925); #87935=LINE('',#506395,#124926); #87936=LINE('',#506397,#124927); #87937=LINE('',#506398,#124928); #87938=LINE('',#506401,#124929); #87939=LINE('',#506403,#124930); #87940=LINE('',#506404,#124931); #87941=LINE('',#506407,#124932); #87942=LINE('',#506409,#124933); #87943=LINE('',#506410,#124934); #87944=LINE('',#506413,#124935); #87945=LINE('',#506415,#124936); #87946=LINE('',#506416,#124937); #87947=LINE('',#506419,#124938); #87948=LINE('',#506421,#124939); #87949=LINE('',#506422,#124940); #87950=LINE('',#506425,#124941); #87951=LINE('',#506427,#124942); #87952=LINE('',#506428,#124943); #87953=LINE('',#506431,#124944); #87954=LINE('',#506433,#124945); #87955=LINE('',#506434,#124946); #87956=LINE('',#506437,#124947); #87957=LINE('',#506439,#124948); #87958=LINE('',#506440,#124949); #87959=LINE('',#506443,#124950); #87960=LINE('',#506445,#124951); #87961=LINE('',#506446,#124952); #87962=LINE('',#506449,#124953); #87963=LINE('',#506451,#124954); #87964=LINE('',#506452,#124955); #87965=LINE('',#506455,#124956); #87966=LINE('',#506457,#124957); #87967=LINE('',#506458,#124958); #87968=LINE('',#506461,#124959); #87969=LINE('',#506463,#124960); #87970=LINE('',#506464,#124961); #87971=LINE('',#506467,#124962); #87972=LINE('',#506469,#124963); #87973=LINE('',#506470,#124964); #87974=LINE('',#506473,#124965); #87975=LINE('',#506475,#124966); #87976=LINE('',#506476,#124967); #87977=LINE('',#506479,#124968); #87978=LINE('',#506481,#124969); #87979=LINE('',#506482,#124970); #87980=LINE('',#506485,#124971); #87981=LINE('',#506487,#124972); #87982=LINE('',#506488,#124973); #87983=LINE('',#506491,#124974); #87984=LINE('',#506493,#124975); #87985=LINE('',#506494,#124976); #87986=LINE('',#506497,#124977); #87987=LINE('',#506499,#124978); #87988=LINE('',#506500,#124979); #87989=LINE('',#506503,#124980); #87990=LINE('',#506505,#124981); #87991=LINE('',#506506,#124982); #87992=LINE('',#506509,#124983); #87993=LINE('',#506511,#124984); #87994=LINE('',#506512,#124985); #87995=LINE('',#506515,#124986); #87996=LINE('',#506517,#124987); #87997=LINE('',#506518,#124988); #87998=LINE('',#506521,#124989); #87999=LINE('',#506523,#124990); #88000=LINE('',#506524,#124991); #88001=LINE('',#506527,#124992); #88002=LINE('',#506529,#124993); #88003=LINE('',#506530,#124994); #88004=LINE('',#506533,#124995); #88005=LINE('',#506535,#124996); #88006=LINE('',#506536,#124997); #88007=LINE('',#506539,#124998); #88008=LINE('',#506541,#124999); #88009=LINE('',#506542,#125000); #88010=LINE('',#506545,#125001); #88011=LINE('',#506547,#125002); #88012=LINE('',#506548,#125003); #88013=LINE('',#506551,#125004); #88014=LINE('',#506553,#125005); #88015=LINE('',#506554,#125006); #88016=LINE('',#506557,#125007); #88017=LINE('',#506559,#125008); #88018=LINE('',#506560,#125009); #88019=LINE('',#506563,#125010); #88020=LINE('',#506565,#125011); #88021=LINE('',#506566,#125012); #88022=LINE('',#506569,#125013); #88023=LINE('',#506571,#125014); #88024=LINE('',#506572,#125015); #88025=LINE('',#506575,#125016); #88026=LINE('',#506577,#125017); #88027=LINE('',#506578,#125018); #88028=LINE('',#506581,#125019); #88029=LINE('',#506583,#125020); #88030=LINE('',#506584,#125021); #88031=LINE('',#506587,#125022); #88032=LINE('',#506589,#125023); #88033=LINE('',#506590,#125024); #88034=LINE('',#506593,#125025); #88035=LINE('',#506595,#125026); #88036=LINE('',#506596,#125027); #88037=LINE('',#506599,#125028); #88038=LINE('',#506601,#125029); #88039=LINE('',#506602,#125030); #88040=LINE('',#506605,#125031); #88041=LINE('',#506607,#125032); #88042=LINE('',#506608,#125033); #88043=LINE('',#506611,#125034); #88044=LINE('',#506613,#125035); #88045=LINE('',#506614,#125036); #88046=LINE('',#506617,#125037); #88047=LINE('',#506619,#125038); #88048=LINE('',#506620,#125039); #88049=LINE('',#506623,#125040); #88050=LINE('',#506625,#125041); #88051=LINE('',#506626,#125042); #88052=LINE('',#506629,#125043); #88053=LINE('',#506631,#125044); #88054=LINE('',#506632,#125045); #88055=LINE('',#506635,#125046); #88056=LINE('',#506637,#125047); #88057=LINE('',#506638,#125048); #88058=LINE('',#506641,#125049); #88059=LINE('',#506643,#125050); #88060=LINE('',#506644,#125051); #88061=LINE('',#506647,#125052); #88062=LINE('',#506649,#125053); #88063=LINE('',#506650,#125054); #88064=LINE('',#506653,#125055); #88065=LINE('',#506655,#125056); #88066=LINE('',#506656,#125057); #88067=LINE('',#506659,#125058); #88068=LINE('',#506661,#125059); #88069=LINE('',#506662,#125060); #88070=LINE('',#506665,#125061); #88071=LINE('',#506667,#125062); #88072=LINE('',#506668,#125063); #88073=LINE('',#506671,#125064); #88074=LINE('',#506673,#125065); #88075=LINE('',#506674,#125066); #88076=LINE('',#506677,#125067); #88077=LINE('',#506679,#125068); #88078=LINE('',#506680,#125069); #88079=LINE('',#506683,#125070); #88080=LINE('',#506685,#125071); #88081=LINE('',#506686,#125072); #88082=LINE('',#506689,#125073); #88083=LINE('',#506691,#125074); #88084=LINE('',#506692,#125075); #88085=LINE('',#506695,#125076); #88086=LINE('',#506697,#125077); #88087=LINE('',#506698,#125078); #88088=LINE('',#506701,#125079); #88089=LINE('',#506703,#125080); #88090=LINE('',#506704,#125081); #88091=LINE('',#506707,#125082); #88092=LINE('',#506709,#125083); #88093=LINE('',#506710,#125084); #88094=LINE('',#506713,#125085); #88095=LINE('',#506715,#125086); #88096=LINE('',#506716,#125087); #88097=LINE('',#506719,#125088); #88098=LINE('',#506721,#125089); #88099=LINE('',#506722,#125090); #88100=LINE('',#506725,#125091); #88101=LINE('',#506727,#125092); #88102=LINE('',#506728,#125093); #88103=LINE('',#506731,#125094); #88104=LINE('',#506733,#125095); #88105=LINE('',#506734,#125096); #88106=LINE('',#506737,#125097); #88107=LINE('',#506739,#125098); #88108=LINE('',#506740,#125099); #88109=LINE('',#506743,#125100); #88110=LINE('',#506745,#125101); #88111=LINE('',#506746,#125102); #88112=LINE('',#506749,#125103); #88113=LINE('',#506751,#125104); #88114=LINE('',#506752,#125105); #88115=LINE('',#506755,#125106); #88116=LINE('',#506757,#125107); #88117=LINE('',#506758,#125108); #88118=LINE('',#506761,#125109); #88119=LINE('',#506763,#125110); #88120=LINE('',#506764,#125111); #88121=LINE('',#506767,#125112); #88122=LINE('',#506769,#125113); #88123=LINE('',#506770,#125114); #88124=LINE('',#506773,#125115); #88125=LINE('',#506775,#125116); #88126=LINE('',#506776,#125117); #88127=LINE('',#506779,#125118); #88128=LINE('',#506781,#125119); #88129=LINE('',#506782,#125120); #88130=LINE('',#506785,#125121); #88131=LINE('',#506787,#125122); #88132=LINE('',#506788,#125123); #88133=LINE('',#506791,#125124); #88134=LINE('',#506793,#125125); #88135=LINE('',#506794,#125126); #88136=LINE('',#506797,#125127); #88137=LINE('',#506799,#125128); #88138=LINE('',#506800,#125129); #88139=LINE('',#506803,#125130); #88140=LINE('',#506805,#125131); #88141=LINE('',#506806,#125132); #88142=LINE('',#506809,#125133); #88143=LINE('',#506811,#125134); #88144=LINE('',#506812,#125135); #88145=LINE('',#506814,#125136); #88146=LINE('',#506815,#125137); #88147=LINE('',#506822,#125138); #88148=LINE('',#506827,#125139); #88149=LINE('',#506829,#125140); #88150=LINE('',#506831,#125141); #88151=LINE('',#506832,#125142); #88152=LINE('',#506838,#125143); #88153=LINE('',#506848,#125144); #88154=LINE('',#506853,#125145); #88155=LINE('',#506855,#125146); #88156=LINE('',#506857,#125147); #88157=LINE('',#506858,#125148); #88158=LINE('',#506864,#125149); #88159=LINE('',#506873,#125150); #88160=LINE('',#506875,#125151); #88161=LINE('',#506877,#125152); #88162=LINE('',#506878,#125153); #88163=LINE('',#506884,#125154); #88164=LINE('',#506887,#125155); #88165=LINE('',#506889,#125156); #88166=LINE('',#506890,#125157); #88167=LINE('',#506897,#125158); #88168=LINE('',#506899,#125159); #88169=LINE('',#506901,#125160); #88170=LINE('',#506902,#125161); #88171=LINE('',#506905,#125162); #88172=LINE('',#506907,#125163); #88173=LINE('',#506908,#125164); #88174=LINE('',#506911,#125165); #88175=LINE('',#506913,#125166); #88176=LINE('',#506914,#125167); #88177=LINE('',#506917,#125168); #88178=LINE('',#506919,#125169); #88179=LINE('',#506920,#125170); #88180=LINE('',#506923,#125171); #88181=LINE('',#506925,#125172); #88182=LINE('',#506926,#125173); #88183=LINE('',#506929,#125174); #88184=LINE('',#506931,#125175); #88185=LINE('',#506932,#125176); #88186=LINE('',#506935,#125177); #88187=LINE('',#506937,#125178); #88188=LINE('',#506938,#125179); #88189=LINE('',#506941,#125180); #88190=LINE('',#506943,#125181); #88191=LINE('',#506944,#125182); #88192=LINE('',#506947,#125183); #88193=LINE('',#506949,#125184); #88194=LINE('',#506950,#125185); #88195=LINE('',#506953,#125186); #88196=LINE('',#506955,#125187); #88197=LINE('',#506956,#125188); #88198=LINE('',#506959,#125189); #88199=LINE('',#506961,#125190); #88200=LINE('',#506962,#125191); #88201=LINE('',#506965,#125192); #88202=LINE('',#506967,#125193); #88203=LINE('',#506968,#125194); #88204=LINE('',#506971,#125195); #88205=LINE('',#506973,#125196); #88206=LINE('',#506974,#125197); #88207=LINE('',#506977,#125198); #88208=LINE('',#506979,#125199); #88209=LINE('',#506980,#125200); #88210=LINE('',#506983,#125201); #88211=LINE('',#506985,#125202); #88212=LINE('',#506986,#125203); #88213=LINE('',#506989,#125204); #88214=LINE('',#506991,#125205); #88215=LINE('',#506992,#125206); #88216=LINE('',#506995,#125207); #88217=LINE('',#506997,#125208); #88218=LINE('',#506998,#125209); #88219=LINE('',#507001,#125210); #88220=LINE('',#507003,#125211); #88221=LINE('',#507004,#125212); #88222=LINE('',#507007,#125213); #88223=LINE('',#507009,#125214); #88224=LINE('',#507010,#125215); #88225=LINE('',#507013,#125216); #88226=LINE('',#507015,#125217); #88227=LINE('',#507016,#125218); #88228=LINE('',#507019,#125219); #88229=LINE('',#507021,#125220); #88230=LINE('',#507022,#125221); #88231=LINE('',#507025,#125222); #88232=LINE('',#507027,#125223); #88233=LINE('',#507028,#125224); #88234=LINE('',#507031,#125225); #88235=LINE('',#507033,#125226); #88236=LINE('',#507034,#125227); #88237=LINE('',#507037,#125228); #88238=LINE('',#507039,#125229); #88239=LINE('',#507040,#125230); #88240=LINE('',#507043,#125231); #88241=LINE('',#507045,#125232); #88242=LINE('',#507046,#125233); #88243=LINE('',#507049,#125234); #88244=LINE('',#507051,#125235); #88245=LINE('',#507052,#125236); #88246=LINE('',#507055,#125237); #88247=LINE('',#507057,#125238); #88248=LINE('',#507058,#125239); #88249=LINE('',#507061,#125240); #88250=LINE('',#507063,#125241); #88251=LINE('',#507064,#125242); #88252=LINE('',#507067,#125243); #88253=LINE('',#507069,#125244); #88254=LINE('',#507070,#125245); #88255=LINE('',#507073,#125246); #88256=LINE('',#507075,#125247); #88257=LINE('',#507076,#125248); #88258=LINE('',#507079,#125249); #88259=LINE('',#507081,#125250); #88260=LINE('',#507082,#125251); #88261=LINE('',#507085,#125252); #88262=LINE('',#507087,#125253); #88263=LINE('',#507088,#125254); #88264=LINE('',#507091,#125255); #88265=LINE('',#507093,#125256); #88266=LINE('',#507094,#125257); #88267=LINE('',#507097,#125258); #88268=LINE('',#507099,#125259); #88269=LINE('',#507100,#125260); #88270=LINE('',#507103,#125261); #88271=LINE('',#507105,#125262); #88272=LINE('',#507106,#125263); #88273=LINE('',#507109,#125264); #88274=LINE('',#507111,#125265); #88275=LINE('',#507112,#125266); #88276=LINE('',#507115,#125267); #88277=LINE('',#507117,#125268); #88278=LINE('',#507118,#125269); #88279=LINE('',#507121,#125270); #88280=LINE('',#507123,#125271); #88281=LINE('',#507124,#125272); #88282=LINE('',#507127,#125273); #88283=LINE('',#507129,#125274); #88284=LINE('',#507130,#125275); #88285=LINE('',#507133,#125276); #88286=LINE('',#507135,#125277); #88287=LINE('',#507136,#125278); #88288=LINE('',#507139,#125279); #88289=LINE('',#507141,#125280); #88290=LINE('',#507142,#125281); #88291=LINE('',#507145,#125282); #88292=LINE('',#507147,#125283); #88293=LINE('',#507148,#125284); #88294=LINE('',#507151,#125285); #88295=LINE('',#507153,#125286); #88296=LINE('',#507154,#125287); #88297=LINE('',#507157,#125288); #88298=LINE('',#507159,#125289); #88299=LINE('',#507160,#125290); #88300=LINE('',#507163,#125291); #88301=LINE('',#507165,#125292); #88302=LINE('',#507166,#125293); #88303=LINE('',#507169,#125294); #88304=LINE('',#507171,#125295); #88305=LINE('',#507172,#125296); #88306=LINE('',#507175,#125297); #88307=LINE('',#507177,#125298); #88308=LINE('',#507178,#125299); #88309=LINE('',#507181,#125300); #88310=LINE('',#507183,#125301); #88311=LINE('',#507184,#125302); #88312=LINE('',#507187,#125303); #88313=LINE('',#507189,#125304); #88314=LINE('',#507190,#125305); #88315=LINE('',#507193,#125306); #88316=LINE('',#507195,#125307); #88317=LINE('',#507196,#125308); #88318=LINE('',#507199,#125309); #88319=LINE('',#507201,#125310); #88320=LINE('',#507202,#125311); #88321=LINE('',#507205,#125312); #88322=LINE('',#507207,#125313); #88323=LINE('',#507208,#125314); #88324=LINE('',#507211,#125315); #88325=LINE('',#507213,#125316); #88326=LINE('',#507214,#125317); #88327=LINE('',#507217,#125318); #88328=LINE('',#507219,#125319); #88329=LINE('',#507220,#125320); #88330=LINE('',#507223,#125321); #88331=LINE('',#507225,#125322); #88332=LINE('',#507226,#125323); #88333=LINE('',#507228,#125324); #88334=LINE('',#507229,#125325); #88335=LINE('',#507236,#125326); #88336=LINE('',#507241,#125327); #88337=LINE('',#507243,#125328); #88338=LINE('',#507245,#125329); #88339=LINE('',#507246,#125330); #88340=LINE('',#507252,#125331); #88341=LINE('',#507262,#125332); #88342=LINE('',#507267,#125333); #88343=LINE('',#507269,#125334); #88344=LINE('',#507271,#125335); #88345=LINE('',#507272,#125336); #88346=LINE('',#507278,#125337); #88347=LINE('',#507288,#125338); #88348=LINE('',#507293,#125339); #88349=LINE('',#507295,#125340); #88350=LINE('',#507297,#125341); #88351=LINE('',#507298,#125342); #88352=LINE('',#507304,#125343); #88353=LINE('',#507314,#125344); #88354=LINE('',#507319,#125345); #88355=LINE('',#507321,#125346); #88356=LINE('',#507323,#125347); #88357=LINE('',#507324,#125348); #88358=LINE('',#507327,#125349); #88359=LINE('',#507329,#125350); #88360=LINE('',#507330,#125351); #88361=LINE('',#507333,#125352); #88362=LINE('',#507335,#125353); #88363=LINE('',#507336,#125354); #88364=LINE('',#507338,#125355); #88365=LINE('',#507339,#125356); #88366=LINE('',#507346,#125357); #88367=LINE('',#507351,#125358); #88368=LINE('',#507353,#125359); #88369=LINE('',#507355,#125360); #88370=LINE('',#507356,#125361); #88371=LINE('',#507362,#125362); #88372=LINE('',#507371,#125363); #88373=LINE('',#507373,#125364); #88374=LINE('',#507375,#125365); #88375=LINE('',#507376,#125366); #88376=LINE('',#507379,#125367); #88377=LINE('',#507381,#125368); #88378=LINE('',#507382,#125369); #88379=LINE('',#507385,#125370); #88380=LINE('',#507387,#125371); #88381=LINE('',#507388,#125372); #88382=LINE('',#507390,#125373); #88383=LINE('',#507391,#125374); #88384=LINE('',#507397,#125375); #88385=LINE('',#507399,#125376); #88386=LINE('',#507401,#125377); #88387=LINE('',#507402,#125378); #88388=LINE('',#507405,#125379); #88389=LINE('',#507407,#125380); #88390=LINE('',#507408,#125381); #88391=LINE('',#507411,#125382); #88392=LINE('',#507413,#125383); #88393=LINE('',#507414,#125384); #88394=LINE('',#507416,#125385); #88395=LINE('',#507417,#125386); #88396=LINE('',#507423,#125387); #88397=LINE('',#507425,#125388); #88398=LINE('',#507427,#125389); #88399=LINE('',#507428,#125390); #88400=LINE('',#507431,#125391); #88401=LINE('',#507433,#125392); #88402=LINE('',#507434,#125393); #88403=LINE('',#507437,#125394); #88404=LINE('',#507439,#125395); #88405=LINE('',#507440,#125396); #88406=LINE('',#507442,#125397); #88407=LINE('',#507443,#125398); #88408=LINE('',#507449,#125399); #88409=LINE('',#507451,#125400); #88410=LINE('',#507453,#125401); #88411=LINE('',#507454,#125402); #88412=LINE('',#507457,#125403); #88413=LINE('',#507459,#125404); #88414=LINE('',#507460,#125405); #88415=LINE('',#507463,#125406); #88416=LINE('',#507465,#125407); #88417=LINE('',#507466,#125408); #88418=LINE('',#507468,#125409); #88419=LINE('',#507469,#125410); #88420=LINE('',#507475,#125411); #88421=LINE('',#507477,#125412); #88422=LINE('',#507479,#125413); #88423=LINE('',#507480,#125414); #88424=LINE('',#507483,#125415); #88425=LINE('',#507485,#125416); #88426=LINE('',#507486,#125417); #88427=LINE('',#507489,#125418); #88428=LINE('',#507491,#125419); #88429=LINE('',#507492,#125420); #88430=LINE('',#507494,#125421); #88431=LINE('',#507495,#125422); #88432=LINE('',#507501,#125423); #88433=LINE('',#507503,#125424); #88434=LINE('',#507505,#125425); #88435=LINE('',#507506,#125426); #88436=LINE('',#507509,#125427); #88437=LINE('',#507511,#125428); #88438=LINE('',#507512,#125429); #88439=LINE('',#507515,#125430); #88440=LINE('',#507517,#125431); #88441=LINE('',#507518,#125432); #88442=LINE('',#507520,#125433); #88443=LINE('',#507521,#125434); #88444=LINE('',#507527,#125435); #88445=LINE('',#507529,#125436); #88446=LINE('',#507531,#125437); #88447=LINE('',#507532,#125438); #88448=LINE('',#507535,#125439); #88449=LINE('',#507537,#125440); #88450=LINE('',#507538,#125441); #88451=LINE('',#507541,#125442); #88452=LINE('',#507543,#125443); #88453=LINE('',#507544,#125444); #88454=LINE('',#507546,#125445); #88455=LINE('',#507547,#125446); #88456=LINE('',#507553,#125447); #88457=LINE('',#507555,#125448); #88458=LINE('',#507557,#125449); #88459=LINE('',#507558,#125450); #88460=LINE('',#507561,#125451); #88461=LINE('',#507563,#125452); #88462=LINE('',#507564,#125453); #88463=LINE('',#507567,#125454); #88464=LINE('',#507569,#125455); #88465=LINE('',#507570,#125456); #88466=LINE('',#507572,#125457); #88467=LINE('',#507573,#125458); #88468=LINE('',#507579,#125459); #88469=LINE('',#507581,#125460); #88470=LINE('',#507583,#125461); #88471=LINE('',#507584,#125462); #88472=LINE('',#507587,#125463); #88473=LINE('',#507589,#125464); #88474=LINE('',#507590,#125465); #88475=LINE('',#507593,#125466); #88476=LINE('',#507595,#125467); #88477=LINE('',#507596,#125468); #88478=LINE('',#507598,#125469); #88479=LINE('',#507599,#125470); #88480=LINE('',#507605,#125471); #88481=LINE('',#507607,#125472); #88482=LINE('',#507609,#125473); #88483=LINE('',#507610,#125474); #88484=LINE('',#507613,#125475); #88485=LINE('',#507615,#125476); #88486=LINE('',#507616,#125477); #88487=LINE('',#507619,#125478); #88488=LINE('',#507621,#125479); #88489=LINE('',#507622,#125480); #88490=LINE('',#507624,#125481); #88491=LINE('',#507625,#125482); #88492=LINE('',#507631,#125483); #88493=LINE('',#507633,#125484); #88494=LINE('',#507635,#125485); #88495=LINE('',#507636,#125486); #88496=LINE('',#507639,#125487); #88497=LINE('',#507641,#125488); #88498=LINE('',#507642,#125489); #88499=LINE('',#507645,#125490); #88500=LINE('',#507647,#125491); #88501=LINE('',#507648,#125492); #88502=LINE('',#507650,#125493); #88503=LINE('',#507651,#125494); #88504=LINE('',#507657,#125495); #88505=LINE('',#507659,#125496); #88506=LINE('',#507661,#125497); #88507=LINE('',#507662,#125498); #88508=LINE('',#507665,#125499); #88509=LINE('',#507667,#125500); #88510=LINE('',#507668,#125501); #88511=LINE('',#507671,#125502); #88512=LINE('',#507673,#125503); #88513=LINE('',#507674,#125504); #88514=LINE('',#507676,#125505); #88515=LINE('',#507677,#125506); #88516=LINE('',#507683,#125507); #88517=LINE('',#507685,#125508); #88518=LINE('',#507687,#125509); #88519=LINE('',#507688,#125510); #88520=LINE('',#507691,#125511); #88521=LINE('',#507693,#125512); #88522=LINE('',#507694,#125513); #88523=LINE('',#507697,#125514); #88524=LINE('',#507699,#125515); #88525=LINE('',#507700,#125516); #88526=LINE('',#507702,#125517); #88527=LINE('',#507703,#125518); #88528=LINE('',#507709,#125519); #88529=LINE('',#507711,#125520); #88530=LINE('',#507713,#125521); #88531=LINE('',#507714,#125522); #88532=LINE('',#507717,#125523); #88533=LINE('',#507719,#125524); #88534=LINE('',#507720,#125525); #88535=LINE('',#507723,#125526); #88536=LINE('',#507725,#125527); #88537=LINE('',#507726,#125528); #88538=LINE('',#507728,#125529); #88539=LINE('',#507729,#125530); #88540=LINE('',#507735,#125531); #88541=LINE('',#507737,#125532); #88542=LINE('',#507739,#125533); #88543=LINE('',#507740,#125534); #88544=LINE('',#507743,#125535); #88545=LINE('',#507745,#125536); #88546=LINE('',#507746,#125537); #88547=LINE('',#507749,#125538); #88548=LINE('',#507751,#125539); #88549=LINE('',#507752,#125540); #88550=LINE('',#507754,#125541); #88551=LINE('',#507755,#125542); #88552=LINE('',#507761,#125543); #88553=LINE('',#507763,#125544); #88554=LINE('',#507765,#125545); #88555=LINE('',#507766,#125546); #88556=LINE('',#507769,#125547); #88557=LINE('',#507771,#125548); #88558=LINE('',#507772,#125549); #88559=LINE('',#507775,#125550); #88560=LINE('',#507777,#125551); #88561=LINE('',#507778,#125552); #88562=LINE('',#507780,#125553); #88563=LINE('',#507781,#125554); #88564=LINE('',#507787,#125555); #88565=LINE('',#507789,#125556); #88566=LINE('',#507791,#125557); #88567=LINE('',#507792,#125558); #88568=LINE('',#507795,#125559); #88569=LINE('',#507797,#125560); #88570=LINE('',#507798,#125561); #88571=LINE('',#507801,#125562); #88572=LINE('',#507803,#125563); #88573=LINE('',#507804,#125564); #88574=LINE('',#507806,#125565); #88575=LINE('',#507807,#125566); #88576=LINE('',#507813,#125567); #88577=LINE('',#507815,#125568); #88578=LINE('',#507817,#125569); #88579=LINE('',#507818,#125570); #88580=LINE('',#507821,#125571); #88581=LINE('',#507823,#125572); #88582=LINE('',#507824,#125573); #88583=LINE('',#507827,#125574); #88584=LINE('',#507829,#125575); #88585=LINE('',#507830,#125576); #88586=LINE('',#507832,#125577); #88587=LINE('',#507833,#125578); #88588=LINE('',#507839,#125579); #88589=LINE('',#507841,#125580); #88590=LINE('',#507843,#125581); #88591=LINE('',#507844,#125582); #88592=LINE('',#507847,#125583); #88593=LINE('',#507849,#125584); #88594=LINE('',#507850,#125585); #88595=LINE('',#507853,#125586); #88596=LINE('',#507855,#125587); #88597=LINE('',#507856,#125588); #88598=LINE('',#507858,#125589); #88599=LINE('',#507859,#125590); #88600=LINE('',#507865,#125591); #88601=LINE('',#507867,#125592); #88602=LINE('',#507869,#125593); #88603=LINE('',#507870,#125594); #88604=LINE('',#507873,#125595); #88605=LINE('',#507875,#125596); #88606=LINE('',#507876,#125597); #88607=LINE('',#507879,#125598); #88608=LINE('',#507881,#125599); #88609=LINE('',#507882,#125600); #88610=LINE('',#507884,#125601); #88611=LINE('',#507885,#125602); #88612=LINE('',#507891,#125603); #88613=LINE('',#507893,#125604); #88614=LINE('',#507895,#125605); #88615=LINE('',#507896,#125606); #88616=LINE('',#507899,#125607); #88617=LINE('',#507901,#125608); #88618=LINE('',#507902,#125609); #88619=LINE('',#507905,#125610); #88620=LINE('',#507907,#125611); #88621=LINE('',#507908,#125612); #88622=LINE('',#507910,#125613); #88623=LINE('',#507911,#125614); #88624=LINE('',#507917,#125615); #88625=LINE('',#507919,#125616); #88626=LINE('',#507921,#125617); #88627=LINE('',#507922,#125618); #88628=LINE('',#507925,#125619); #88629=LINE('',#507927,#125620); #88630=LINE('',#507928,#125621); #88631=LINE('',#507931,#125622); #88632=LINE('',#507933,#125623); #88633=LINE('',#507934,#125624); #88634=LINE('',#507936,#125625); #88635=LINE('',#507937,#125626); #88636=LINE('',#507943,#125627); #88637=LINE('',#507945,#125628); #88638=LINE('',#507947,#125629); #88639=LINE('',#507948,#125630); #88640=LINE('',#507951,#125631); #88641=LINE('',#507953,#125632); #88642=LINE('',#507954,#125633); #88643=LINE('',#507957,#125634); #88644=LINE('',#507959,#125635); #88645=LINE('',#507960,#125636); #88646=LINE('',#507962,#125637); #88647=LINE('',#507963,#125638); #88648=LINE('',#507970,#125639); #88649=LINE('',#507976,#125640); #88650=LINE('',#507981,#125641); #88651=LINE('',#507983,#125642); #88652=LINE('',#507985,#125643); #88653=LINE('',#507986,#125644); #88654=LINE('',#507989,#125645); #88655=LINE('',#507991,#125646); #88656=LINE('',#507992,#125647); #88657=LINE('',#507995,#125648); #88658=LINE('',#507997,#125649); #88659=LINE('',#507998,#125650); #88660=LINE('',#508000,#125651); #88661=LINE('',#508001,#125652); #88662=LINE('',#508007,#125653); #88663=LINE('',#508009,#125654); #88664=LINE('',#508011,#125655); #88665=LINE('',#508012,#125656); #88666=LINE('',#508015,#125657); #88667=LINE('',#508017,#125658); #88668=LINE('',#508018,#125659); #88669=LINE('',#508021,#125660); #88670=LINE('',#508023,#125661); #88671=LINE('',#508024,#125662); #88672=LINE('',#508026,#125663); #88673=LINE('',#508027,#125664); #88674=LINE('',#508034,#125665); #88675=LINE('',#508040,#125666); #88676=LINE('',#508045,#125667); #88677=LINE('',#508047,#125668); #88678=LINE('',#508049,#125669); #88679=LINE('',#508050,#125670); #88680=LINE('',#508053,#125671); #88681=LINE('',#508055,#125672); #88682=LINE('',#508056,#125673); #88683=LINE('',#508059,#125674); #88684=LINE('',#508061,#125675); #88685=LINE('',#508062,#125676); #88686=LINE('',#508064,#125677); #88687=LINE('',#508065,#125678); #88688=LINE('',#508071,#125679); #88689=LINE('',#508073,#125680); #88690=LINE('',#508075,#125681); #88691=LINE('',#508076,#125682); #88692=LINE('',#508079,#125683); #88693=LINE('',#508081,#125684); #88694=LINE('',#508082,#125685); #88695=LINE('',#508085,#125686); #88696=LINE('',#508087,#125687); #88697=LINE('',#508088,#125688); #88698=LINE('',#508090,#125689); #88699=LINE('',#508091,#125690); #88700=LINE('',#508097,#125691); #88701=LINE('',#508099,#125692); #88702=LINE('',#508101,#125693); #88703=LINE('',#508102,#125694); #88704=LINE('',#508105,#125695); #88705=LINE('',#508107,#125696); #88706=LINE('',#508108,#125697); #88707=LINE('',#508111,#125698); #88708=LINE('',#508113,#125699); #88709=LINE('',#508114,#125700); #88710=LINE('',#508116,#125701); #88711=LINE('',#508117,#125702); #88712=LINE('',#508123,#125703); #88713=LINE('',#508125,#125704); #88714=LINE('',#508127,#125705); #88715=LINE('',#508128,#125706); #88716=LINE('',#508131,#125707); #88717=LINE('',#508133,#125708); #88718=LINE('',#508134,#125709); #88719=LINE('',#508137,#125710); #88720=LINE('',#508139,#125711); #88721=LINE('',#508140,#125712); #88722=LINE('',#508142,#125713); #88723=LINE('',#508143,#125714); #88724=LINE('',#508149,#125715); #88725=LINE('',#508151,#125716); #88726=LINE('',#508153,#125717); #88727=LINE('',#508154,#125718); #88728=LINE('',#508157,#125719); #88729=LINE('',#508159,#125720); #88730=LINE('',#508160,#125721); #88731=LINE('',#508163,#125722); #88732=LINE('',#508165,#125723); #88733=LINE('',#508166,#125724); #88734=LINE('',#508168,#125725); #88735=LINE('',#508169,#125726); #88736=LINE('',#508175,#125727); #88737=LINE('',#508177,#125728); #88738=LINE('',#508179,#125729); #88739=LINE('',#508180,#125730); #88740=LINE('',#508183,#125731); #88741=LINE('',#508185,#125732); #88742=LINE('',#508186,#125733); #88743=LINE('',#508189,#125734); #88744=LINE('',#508191,#125735); #88745=LINE('',#508192,#125736); #88746=LINE('',#508194,#125737); #88747=LINE('',#508195,#125738); #88748=LINE('',#508201,#125739); #88749=LINE('',#508203,#125740); #88750=LINE('',#508205,#125741); #88751=LINE('',#508206,#125742); #88752=LINE('',#508209,#125743); #88753=LINE('',#508211,#125744); #88754=LINE('',#508212,#125745); #88755=LINE('',#508215,#125746); #88756=LINE('',#508217,#125747); #88757=LINE('',#508218,#125748); #88758=LINE('',#508220,#125749); #88759=LINE('',#508221,#125750); #88760=LINE('',#508227,#125751); #88761=LINE('',#508229,#125752); #88762=LINE('',#508231,#125753); #88763=LINE('',#508232,#125754); #88764=LINE('',#508235,#125755); #88765=LINE('',#508237,#125756); #88766=LINE('',#508238,#125757); #88767=LINE('',#508241,#125758); #88768=LINE('',#508243,#125759); #88769=LINE('',#508244,#125760); #88770=LINE('',#508246,#125761); #88771=LINE('',#508247,#125762); #88772=LINE('',#508253,#125763); #88773=LINE('',#508255,#125764); #88774=LINE('',#508257,#125765); #88775=LINE('',#508258,#125766); #88776=LINE('',#508261,#125767); #88777=LINE('',#508263,#125768); #88778=LINE('',#508264,#125769); #88779=LINE('',#508267,#125770); #88780=LINE('',#508269,#125771); #88781=LINE('',#508270,#125772); #88782=LINE('',#508272,#125773); #88783=LINE('',#508273,#125774); #88784=LINE('',#508279,#125775); #88785=LINE('',#508281,#125776); #88786=LINE('',#508283,#125777); #88787=LINE('',#508284,#125778); #88788=LINE('',#508287,#125779); #88789=LINE('',#508289,#125780); #88790=LINE('',#508290,#125781); #88791=LINE('',#508293,#125782); #88792=LINE('',#508295,#125783); #88793=LINE('',#508296,#125784); #88794=LINE('',#508298,#125785); #88795=LINE('',#508299,#125786); #88796=LINE('',#508305,#125787); #88797=LINE('',#508307,#125788); #88798=LINE('',#508309,#125789); #88799=LINE('',#508310,#125790); #88800=LINE('',#508313,#125791); #88801=LINE('',#508315,#125792); #88802=LINE('',#508316,#125793); #88803=LINE('',#508319,#125794); #88804=LINE('',#508321,#125795); #88805=LINE('',#508322,#125796); #88806=LINE('',#508324,#125797); #88807=LINE('',#508325,#125798); #88808=LINE('',#508331,#125799); #88809=LINE('',#508333,#125800); #88810=LINE('',#508335,#125801); #88811=LINE('',#508336,#125802); #88812=LINE('',#508339,#125803); #88813=LINE('',#508341,#125804); #88814=LINE('',#508342,#125805); #88815=LINE('',#508345,#125806); #88816=LINE('',#508347,#125807); #88817=LINE('',#508348,#125808); #88818=LINE('',#508350,#125809); #88819=LINE('',#508351,#125810); #88820=LINE('',#508357,#125811); #88821=LINE('',#508359,#125812); #88822=LINE('',#508361,#125813); #88823=LINE('',#508362,#125814); #88824=LINE('',#508365,#125815); #88825=LINE('',#508367,#125816); #88826=LINE('',#508368,#125817); #88827=LINE('',#508371,#125818); #88828=LINE('',#508373,#125819); #88829=LINE('',#508374,#125820); #88830=LINE('',#508376,#125821); #88831=LINE('',#508377,#125822); #88832=LINE('',#508383,#125823); #88833=LINE('',#508385,#125824); #88834=LINE('',#508387,#125825); #88835=LINE('',#508388,#125826); #88836=LINE('',#508391,#125827); #88837=LINE('',#508393,#125828); #88838=LINE('',#508394,#125829); #88839=LINE('',#508397,#125830); #88840=LINE('',#508399,#125831); #88841=LINE('',#508400,#125832); #88842=LINE('',#508402,#125833); #88843=LINE('',#508403,#125834); #88844=LINE('',#508409,#125835); #88845=LINE('',#508411,#125836); #88846=LINE('',#508413,#125837); #88847=LINE('',#508414,#125838); #88848=LINE('',#508417,#125839); #88849=LINE('',#508419,#125840); #88850=LINE('',#508420,#125841); #88851=LINE('',#508423,#125842); #88852=LINE('',#508425,#125843); #88853=LINE('',#508426,#125844); #88854=LINE('',#508428,#125845); #88855=LINE('',#508429,#125846); #88856=LINE('',#508435,#125847); #88857=LINE('',#508437,#125848); #88858=LINE('',#508439,#125849); #88859=LINE('',#508440,#125850); #88860=LINE('',#508443,#125851); #88861=LINE('',#508445,#125852); #88862=LINE('',#508446,#125853); #88863=LINE('',#508449,#125854); #88864=LINE('',#508451,#125855); #88865=LINE('',#508452,#125856); #88866=LINE('',#508454,#125857); #88867=LINE('',#508455,#125858); #88868=LINE('',#508461,#125859); #88869=LINE('',#508463,#125860); #88870=LINE('',#508465,#125861); #88871=LINE('',#508466,#125862); #88872=LINE('',#508469,#125863); #88873=LINE('',#508471,#125864); #88874=LINE('',#508472,#125865); #88875=LINE('',#508475,#125866); #88876=LINE('',#508477,#125867); #88877=LINE('',#508478,#125868); #88878=LINE('',#508480,#125869); #88879=LINE('',#508481,#125870); #88880=LINE('',#508488,#125871); #88881=LINE('',#508493,#125872); #88882=LINE('',#508495,#125873); #88883=LINE('',#508497,#125874); #88884=LINE('',#508498,#125875); #88885=LINE('',#508501,#125876); #88886=LINE('',#508503,#125877); #88887=LINE('',#508504,#125878); #88888=LINE('',#508507,#125879); #88889=LINE('',#508509,#125880); #88890=LINE('',#508510,#125881); #88891=LINE('',#508512,#125882); #88892=LINE('',#508513,#125883); #88893=LINE('',#508519,#125884); #88894=LINE('',#508521,#125885); #88895=LINE('',#508523,#125886); #88896=LINE('',#508524,#125887); #88897=LINE('',#508527,#125888); #88898=LINE('',#508529,#125889); #88899=LINE('',#508530,#125890); #88900=LINE('',#508533,#125891); #88901=LINE('',#508535,#125892); #88902=LINE('',#508536,#125893); #88903=LINE('',#508538,#125894); #88904=LINE('',#508539,#125895); #88905=LINE('',#508545,#125896); #88906=LINE('',#508547,#125897); #88907=LINE('',#508549,#125898); #88908=LINE('',#508550,#125899); #88909=LINE('',#508553,#125900); #88910=LINE('',#508555,#125901); #88911=LINE('',#508556,#125902); #88912=LINE('',#508559,#125903); #88913=LINE('',#508561,#125904); #88914=LINE('',#508562,#125905); #88915=LINE('',#508564,#125906); #88916=LINE('',#508565,#125907); #88917=LINE('',#508571,#125908); #88918=LINE('',#508573,#125909); #88919=LINE('',#508575,#125910); #88920=LINE('',#508576,#125911); #88921=LINE('',#508579,#125912); #88922=LINE('',#508581,#125913); #88923=LINE('',#508582,#125914); #88924=LINE('',#508585,#125915); #88925=LINE('',#508587,#125916); #88926=LINE('',#508588,#125917); #88927=LINE('',#508590,#125918); #88928=LINE('',#508591,#125919); #88929=LINE('',#508597,#125920); #88930=LINE('',#508599,#125921); #88931=LINE('',#508601,#125922); #88932=LINE('',#508602,#125923); #88933=LINE('',#508605,#125924); #88934=LINE('',#508607,#125925); #88935=LINE('',#508608,#125926); #88936=LINE('',#508611,#125927); #88937=LINE('',#508613,#125928); #88938=LINE('',#508614,#125929); #88939=LINE('',#508616,#125930); #88940=LINE('',#508617,#125931); #88941=LINE('',#508624,#125932); #88942=LINE('',#508630,#125933); #88943=LINE('',#508636,#125934); #88944=LINE('',#508642,#125935); #88945=LINE('',#508648,#125936); #88946=LINE('',#508654,#125937); #88947=LINE('',#508660,#125938); #88948=LINE('',#508666,#125939); #88949=LINE('',#508672,#125940); #88950=LINE('',#508678,#125941); #88951=LINE('',#508684,#125942); #88952=LINE('',#508690,#125943); #88953=LINE('',#508696,#125944); #88954=LINE('',#508702,#125945); #88955=LINE('',#508708,#125946); #88956=LINE('',#508713,#125947); #88957=LINE('',#508715,#125948); #88958=LINE('',#508717,#125949); #88959=LINE('',#508718,#125950); #88960=LINE('',#508721,#125951); #88961=LINE('',#508723,#125952); #88962=LINE('',#508724,#125953); #88963=LINE('',#508727,#125954); #88964=LINE('',#508729,#125955); #88965=LINE('',#508730,#125956); #88966=LINE('',#508732,#125957); #88967=LINE('',#508733,#125958); #88968=LINE('',#508739,#125959); #88969=LINE('',#508741,#125960); #88970=LINE('',#508743,#125961); #88971=LINE('',#508744,#125962); #88972=LINE('',#508747,#125963); #88973=LINE('',#508749,#125964); #88974=LINE('',#508750,#125965); #88975=LINE('',#508753,#125966); #88976=LINE('',#508755,#125967); #88977=LINE('',#508756,#125968); #88978=LINE('',#508758,#125969); #88979=LINE('',#508759,#125970); #88980=LINE('',#508765,#125971); #88981=LINE('',#508767,#125972); #88982=LINE('',#508769,#125973); #88983=LINE('',#508770,#125974); #88984=LINE('',#508773,#125975); #88985=LINE('',#508775,#125976); #88986=LINE('',#508776,#125977); #88987=LINE('',#508779,#125978); #88988=LINE('',#508781,#125979); #88989=LINE('',#508782,#125980); #88990=LINE('',#508784,#125981); #88991=LINE('',#508785,#125982); #88992=LINE('',#508791,#125983); #88993=LINE('',#508793,#125984); #88994=LINE('',#508795,#125985); #88995=LINE('',#508796,#125986); #88996=LINE('',#508799,#125987); #88997=LINE('',#508801,#125988); #88998=LINE('',#508802,#125989); #88999=LINE('',#508805,#125990); #89000=LINE('',#508807,#125991); #89001=LINE('',#508808,#125992); #89002=LINE('',#508810,#125993); #89003=LINE('',#508811,#125994); #89004=LINE('',#508818,#125995); #89005=LINE('',#508823,#125996); #89006=LINE('',#508825,#125997); #89007=LINE('',#508827,#125998); #89008=LINE('',#508828,#125999); #89009=LINE('',#508831,#126000); #89010=LINE('',#508833,#126001); #89011=LINE('',#508834,#126002); #89012=LINE('',#508837,#126003); #89013=LINE('',#508839,#126004); #89014=LINE('',#508840,#126005); #89015=LINE('',#508842,#126006); #89016=LINE('',#508843,#126007); #89017=LINE('',#508849,#126008); #89018=LINE('',#508851,#126009); #89019=LINE('',#508853,#126010); #89020=LINE('',#508854,#126011); #89021=LINE('',#508857,#126012); #89022=LINE('',#508859,#126013); #89023=LINE('',#508860,#126014); #89024=LINE('',#508863,#126015); #89025=LINE('',#508865,#126016); #89026=LINE('',#508866,#126017); #89027=LINE('',#508868,#126018); #89028=LINE('',#508869,#126019); #89029=LINE('',#508875,#126020); #89030=LINE('',#508877,#126021); #89031=LINE('',#508879,#126022); #89032=LINE('',#508880,#126023); #89033=LINE('',#508883,#126024); #89034=LINE('',#508885,#126025); #89035=LINE('',#508886,#126026); #89036=LINE('',#508889,#126027); #89037=LINE('',#508891,#126028); #89038=LINE('',#508892,#126029); #89039=LINE('',#508894,#126030); #89040=LINE('',#508895,#126031); #89041=LINE('',#508901,#126032); #89042=LINE('',#508903,#126033); #89043=LINE('',#508905,#126034); #89044=LINE('',#508906,#126035); #89045=LINE('',#508909,#126036); #89046=LINE('',#508911,#126037); #89047=LINE('',#508912,#126038); #89048=LINE('',#508915,#126039); #89049=LINE('',#508917,#126040); #89050=LINE('',#508918,#126041); #89051=LINE('',#508920,#126042); #89052=LINE('',#508921,#126043); #89053=LINE('',#508927,#126044); #89054=LINE('',#508929,#126045); #89055=LINE('',#508931,#126046); #89056=LINE('',#508932,#126047); #89057=LINE('',#508935,#126048); #89058=LINE('',#508937,#126049); #89059=LINE('',#508938,#126050); #89060=LINE('',#508941,#126051); #89061=LINE('',#508943,#126052); #89062=LINE('',#508944,#126053); #89063=LINE('',#508946,#126054); #89064=LINE('',#508947,#126055); #89065=LINE('',#508954,#126056); #89066=LINE('',#508960,#126057); #89067=LINE('',#508966,#126058); #89068=LINE('',#508972,#126059); #89069=LINE('',#508978,#126060); #89070=LINE('',#508984,#126061); #89071=LINE('',#508990,#126062); #89072=LINE('',#508996,#126063); #89073=LINE('',#509002,#126064); #89074=LINE('',#509008,#126065); #89075=LINE('',#509014,#126066); #89076=LINE('',#509020,#126067); #89077=LINE('',#509026,#126068); #89078=LINE('',#509032,#126069); #89079=LINE('',#509038,#126070); #89080=LINE('',#509043,#126071); #89081=LINE('',#509045,#126072); #89082=LINE('',#509047,#126073); #89083=LINE('',#509048,#126074); #89084=LINE('',#509051,#126075); #89085=LINE('',#509053,#126076); #89086=LINE('',#509054,#126077); #89087=LINE('',#509057,#126078); #89088=LINE('',#509059,#126079); #89089=LINE('',#509060,#126080); #89090=LINE('',#509062,#126081); #89091=LINE('',#509063,#126082); #89092=LINE('',#509069,#126083); #89093=LINE('',#509071,#126084); #89094=LINE('',#509073,#126085); #89095=LINE('',#509074,#126086); #89096=LINE('',#509077,#126087); #89097=LINE('',#509079,#126088); #89098=LINE('',#509080,#126089); #89099=LINE('',#509083,#126090); #89100=LINE('',#509085,#126091); #89101=LINE('',#509086,#126092); #89102=LINE('',#509088,#126093); #89103=LINE('',#509089,#126094); #89104=LINE('',#509095,#126095); #89105=LINE('',#509097,#126096); #89106=LINE('',#509099,#126097); #89107=LINE('',#509100,#126098); #89108=LINE('',#509103,#126099); #89109=LINE('',#509105,#126100); #89110=LINE('',#509106,#126101); #89111=LINE('',#509109,#126102); #89112=LINE('',#509111,#126103); #89113=LINE('',#509112,#126104); #89114=LINE('',#509114,#126105); #89115=LINE('',#509115,#126106); #89116=LINE('',#509121,#126107); #89117=LINE('',#509123,#126108); #89118=LINE('',#509125,#126109); #89119=LINE('',#509126,#126110); #89120=LINE('',#509129,#126111); #89121=LINE('',#509131,#126112); #89122=LINE('',#509132,#126113); #89123=LINE('',#509135,#126114); #89124=LINE('',#509137,#126115); #89125=LINE('',#509138,#126116); #89126=LINE('',#509140,#126117); #89127=LINE('',#509141,#126118); #89128=LINE('',#509148,#126119); #89129=LINE('',#509153,#126120); #89130=LINE('',#509155,#126121); #89131=LINE('',#509157,#126122); #89132=LINE('',#509158,#126123); #89133=LINE('',#509161,#126124); #89134=LINE('',#509163,#126125); #89135=LINE('',#509164,#126126); #89136=LINE('',#509167,#126127); #89137=LINE('',#509169,#126128); #89138=LINE('',#509170,#126129); #89139=LINE('',#509172,#126130); #89140=LINE('',#509173,#126131); #89141=LINE('',#509180,#126132); #89142=LINE('',#509185,#126133); #89143=LINE('',#509187,#126134); #89144=LINE('',#509189,#126135); #89145=LINE('',#509190,#126136); #89146=LINE('',#509193,#126137); #89147=LINE('',#509195,#126138); #89148=LINE('',#509196,#126139); #89149=LINE('',#509199,#126140); #89150=LINE('',#509201,#126141); #89151=LINE('',#509202,#126142); #89152=LINE('',#509204,#126143); #89153=LINE('',#509205,#126144); #89154=LINE('',#509212,#126145); #89155=LINE('',#509217,#126146); #89156=LINE('',#509219,#126147); #89157=LINE('',#509221,#126148); #89158=LINE('',#509222,#126149); #89159=LINE('',#509225,#126150); #89160=LINE('',#509227,#126151); #89161=LINE('',#509228,#126152); #89162=LINE('',#509231,#126153); #89163=LINE('',#509233,#126154); #89164=LINE('',#509234,#126155); #89165=LINE('',#509236,#126156); #89166=LINE('',#509237,#126157); #89167=LINE('',#509244,#126158); #89168=LINE('',#509250,#126159); #89169=LINE('',#509256,#126160); #89170=LINE('',#509262,#126161); #89171=LINE('',#509268,#126162); #89172=LINE('',#509274,#126163); #89173=LINE('',#509280,#126164); #89174=LINE('',#509286,#126165); #89175=LINE('',#509292,#126166); #89176=LINE('',#509298,#126167); #89177=LINE('',#509304,#126168); #89178=LINE('',#509310,#126169); #89179=LINE('',#509316,#126170); #89180=LINE('',#509322,#126171); #89181=LINE('',#509328,#126172); #89182=LINE('',#509333,#126173); #89183=LINE('',#509335,#126174); #89184=LINE('',#509337,#126175); #89185=LINE('',#509338,#126176); #89186=LINE('',#509341,#126177); #89187=LINE('',#509343,#126178); #89188=LINE('',#509344,#126179); #89189=LINE('',#509347,#126180); #89190=LINE('',#509349,#126181); #89191=LINE('',#509350,#126182); #89192=LINE('',#509352,#126183); #89193=LINE('',#509353,#126184); #89194=LINE('',#509359,#126185); #89195=LINE('',#509361,#126186); #89196=LINE('',#509363,#126187); #89197=LINE('',#509364,#126188); #89198=LINE('',#509367,#126189); #89199=LINE('',#509369,#126190); #89200=LINE('',#509370,#126191); #89201=LINE('',#509373,#126192); #89202=LINE('',#509375,#126193); #89203=LINE('',#509376,#126194); #89204=LINE('',#509378,#126195); #89205=LINE('',#509379,#126196); #89206=LINE('',#509385,#126197); #89207=LINE('',#509387,#126198); #89208=LINE('',#509389,#126199); #89209=LINE('',#509390,#126200); #89210=LINE('',#509393,#126201); #89211=LINE('',#509395,#126202); #89212=LINE('',#509396,#126203); #89213=LINE('',#509399,#126204); #89214=LINE('',#509401,#126205); #89215=LINE('',#509402,#126206); #89216=LINE('',#509404,#126207); #89217=LINE('',#509405,#126208); #89218=LINE('',#509411,#126209); #89219=LINE('',#509413,#126210); #89220=LINE('',#509415,#126211); #89221=LINE('',#509416,#126212); #89222=LINE('',#509419,#126213); #89223=LINE('',#509421,#126214); #89224=LINE('',#509422,#126215); #89225=LINE('',#509425,#126216); #89226=LINE('',#509427,#126217); #89227=LINE('',#509428,#126218); #89228=LINE('',#509430,#126219); #89229=LINE('',#509431,#126220); #89230=LINE('',#509437,#126221); #89231=LINE('',#509439,#126222); #89232=LINE('',#509441,#126223); #89233=LINE('',#509442,#126224); #89234=LINE('',#509445,#126225); #89235=LINE('',#509447,#126226); #89236=LINE('',#509448,#126227); #89237=LINE('',#509451,#126228); #89238=LINE('',#509453,#126229); #89239=LINE('',#509454,#126230); #89240=LINE('',#509456,#126231); #89241=LINE('',#509457,#126232); #89242=LINE('',#509463,#126233); #89243=LINE('',#509465,#126234); #89244=LINE('',#509467,#126235); #89245=LINE('',#509468,#126236); #89246=LINE('',#509471,#126237); #89247=LINE('',#509473,#126238); #89248=LINE('',#509474,#126239); #89249=LINE('',#509477,#126240); #89250=LINE('',#509479,#126241); #89251=LINE('',#509480,#126242); #89252=LINE('',#509482,#126243); #89253=LINE('',#509483,#126244); #89254=LINE('',#509489,#126245); #89255=LINE('',#509491,#126246); #89256=LINE('',#509493,#126247); #89257=LINE('',#509494,#126248); #89258=LINE('',#509497,#126249); #89259=LINE('',#509499,#126250); #89260=LINE('',#509500,#126251); #89261=LINE('',#509503,#126252); #89262=LINE('',#509505,#126253); #89263=LINE('',#509506,#126254); #89264=LINE('',#509508,#126255); #89265=LINE('',#509509,#126256); #89266=LINE('',#509515,#126257); #89267=LINE('',#509517,#126258); #89268=LINE('',#509519,#126259); #89269=LINE('',#509520,#126260); #89270=LINE('',#509523,#126261); #89271=LINE('',#509525,#126262); #89272=LINE('',#509526,#126263); #89273=LINE('',#509529,#126264); #89274=LINE('',#509531,#126265); #89275=LINE('',#509532,#126266); #89276=LINE('',#509534,#126267); #89277=LINE('',#509535,#126268); #89278=LINE('',#509541,#126269); #89279=LINE('',#509543,#126270); #89280=LINE('',#509545,#126271); #89281=LINE('',#509546,#126272); #89282=LINE('',#509549,#126273); #89283=LINE('',#509551,#126274); #89284=LINE('',#509552,#126275); #89285=LINE('',#509555,#126276); #89286=LINE('',#509557,#126277); #89287=LINE('',#509558,#126278); #89288=LINE('',#509560,#126279); #89289=LINE('',#509561,#126280); #89290=LINE('',#509567,#126281); #89291=LINE('',#509569,#126282); #89292=LINE('',#509571,#126283); #89293=LINE('',#509572,#126284); #89294=LINE('',#509575,#126285); #89295=LINE('',#509577,#126286); #89296=LINE('',#509578,#126287); #89297=LINE('',#509581,#126288); #89298=LINE('',#509583,#126289); #89299=LINE('',#509584,#126290); #89300=LINE('',#509586,#126291); #89301=LINE('',#509587,#126292); #89302=LINE('',#509593,#126293); #89303=LINE('',#509595,#126294); #89304=LINE('',#509597,#126295); #89305=LINE('',#509598,#126296); #89306=LINE('',#509601,#126297); #89307=LINE('',#509603,#126298); #89308=LINE('',#509604,#126299); #89309=LINE('',#509607,#126300); #89310=LINE('',#509609,#126301); #89311=LINE('',#509610,#126302); #89312=LINE('',#509612,#126303); #89313=LINE('',#509613,#126304); #89314=LINE('',#509619,#126305); #89315=LINE('',#509621,#126306); #89316=LINE('',#509623,#126307); #89317=LINE('',#509624,#126308); #89318=LINE('',#509627,#126309); #89319=LINE('',#509629,#126310); #89320=LINE('',#509630,#126311); #89321=LINE('',#509633,#126312); #89322=LINE('',#509635,#126313); #89323=LINE('',#509636,#126314); #89324=LINE('',#509638,#126315); #89325=LINE('',#509639,#126316); #89326=LINE('',#509645,#126317); #89327=LINE('',#509647,#126318); #89328=LINE('',#509649,#126319); #89329=LINE('',#509650,#126320); #89330=LINE('',#509653,#126321); #89331=LINE('',#509655,#126322); #89332=LINE('',#509656,#126323); #89333=LINE('',#509659,#126324); #89334=LINE('',#509661,#126325); #89335=LINE('',#509662,#126326); #89336=LINE('',#509664,#126327); #89337=LINE('',#509665,#126328); #89338=LINE('',#509671,#126329); #89339=LINE('',#509673,#126330); #89340=LINE('',#509675,#126331); #89341=LINE('',#509676,#126332); #89342=LINE('',#509679,#126333); #89343=LINE('',#509681,#126334); #89344=LINE('',#509682,#126335); #89345=LINE('',#509685,#126336); #89346=LINE('',#509687,#126337); #89347=LINE('',#509688,#126338); #89348=LINE('',#509690,#126339); #89349=LINE('',#509691,#126340); #89350=LINE('',#509697,#126341); #89351=LINE('',#509699,#126342); #89352=LINE('',#509701,#126343); #89353=LINE('',#509702,#126344); #89354=LINE('',#509705,#126345); #89355=LINE('',#509707,#126346); #89356=LINE('',#509708,#126347); #89357=LINE('',#509711,#126348); #89358=LINE('',#509713,#126349); #89359=LINE('',#509714,#126350); #89360=LINE('',#509716,#126351); #89361=LINE('',#509717,#126352); #89362=LINE('',#509723,#126353); #89363=LINE('',#509725,#126354); #89364=LINE('',#509727,#126355); #89365=LINE('',#509728,#126356); #89366=LINE('',#509731,#126357); #89367=LINE('',#509733,#126358); #89368=LINE('',#509734,#126359); #89369=LINE('',#509737,#126360); #89370=LINE('',#509739,#126361); #89371=LINE('',#509740,#126362); #89372=LINE('',#509742,#126363); #89373=LINE('',#509743,#126364); #89374=LINE('',#509749,#126365); #89375=LINE('',#509751,#126366); #89376=LINE('',#509753,#126367); #89377=LINE('',#509754,#126368); #89378=LINE('',#509757,#126369); #89379=LINE('',#509759,#126370); #89380=LINE('',#509760,#126371); #89381=LINE('',#509763,#126372); #89382=LINE('',#509765,#126373); #89383=LINE('',#509766,#126374); #89384=LINE('',#509768,#126375); #89385=LINE('',#509769,#126376); #89386=LINE('',#509775,#126377); #89387=LINE('',#509777,#126378); #89388=LINE('',#509779,#126379); #89389=LINE('',#509780,#126380); #89390=LINE('',#509783,#126381); #89391=LINE('',#509785,#126382); #89392=LINE('',#509786,#126383); #89393=LINE('',#509789,#126384); #89394=LINE('',#509791,#126385); #89395=LINE('',#509792,#126386); #89396=LINE('',#509794,#126387); #89397=LINE('',#509795,#126388); #89398=LINE('',#509801,#126389); #89399=LINE('',#509803,#126390); #89400=LINE('',#509805,#126391); #89401=LINE('',#509806,#126392); #89402=LINE('',#509809,#126393); #89403=LINE('',#509811,#126394); #89404=LINE('',#509812,#126395); #89405=LINE('',#509815,#126396); #89406=LINE('',#509817,#126397); #89407=LINE('',#509818,#126398); #89408=LINE('',#509820,#126399); #89409=LINE('',#509821,#126400); #89410=LINE('',#509827,#126401); #89411=LINE('',#509829,#126402); #89412=LINE('',#509831,#126403); #89413=LINE('',#509832,#126404); #89414=LINE('',#509835,#126405); #89415=LINE('',#509837,#126406); #89416=LINE('',#509838,#126407); #89417=LINE('',#509841,#126408); #89418=LINE('',#509843,#126409); #89419=LINE('',#509844,#126410); #89420=LINE('',#509846,#126411); #89421=LINE('',#509847,#126412); #89422=LINE('',#509853,#126413); #89423=LINE('',#509855,#126414); #89424=LINE('',#509857,#126415); #89425=LINE('',#509858,#126416); #89426=LINE('',#509861,#126417); #89427=LINE('',#509863,#126418); #89428=LINE('',#509864,#126419); #89429=LINE('',#509867,#126420); #89430=LINE('',#509869,#126421); #89431=LINE('',#509870,#126422); #89432=LINE('',#509872,#126423); #89433=LINE('',#509873,#126424); #89434=LINE('',#509880,#126425); #89435=LINE('',#509886,#126426); #89436=LINE('',#509892,#126427); #89437=LINE('',#509898,#126428); #89438=LINE('',#509904,#126429); #89439=LINE('',#509910,#126430); #89440=LINE('',#509916,#126431); #89441=LINE('',#509922,#126432); #89442=LINE('',#509928,#126433); #89443=LINE('',#509934,#126434); #89444=LINE('',#509940,#126435); #89445=LINE('',#509946,#126436); #89446=LINE('',#509952,#126437); #89447=LINE('',#509958,#126438); #89448=LINE('',#509964,#126439); #89449=LINE('',#509969,#126440); #89450=LINE('',#509971,#126441); #89451=LINE('',#509973,#126442); #89452=LINE('',#509974,#126443); #89453=LINE('',#509977,#126444); #89454=LINE('',#509979,#126445); #89455=LINE('',#509980,#126446); #89456=LINE('',#509983,#126447); #89457=LINE('',#509985,#126448); #89458=LINE('',#509986,#126449); #89459=LINE('',#509988,#126450); #89460=LINE('',#509989,#126451); #89461=LINE('',#509995,#126452); #89462=LINE('',#509997,#126453); #89463=LINE('',#509999,#126454); #89464=LINE('',#510000,#126455); #89465=LINE('',#510003,#126456); #89466=LINE('',#510005,#126457); #89467=LINE('',#510006,#126458); #89468=LINE('',#510009,#126459); #89469=LINE('',#510011,#126460); #89470=LINE('',#510012,#126461); #89471=LINE('',#510014,#126462); #89472=LINE('',#510015,#126463); #89473=LINE('',#510021,#126464); #89474=LINE('',#510023,#126465); #89475=LINE('',#510025,#126466); #89476=LINE('',#510026,#126467); #89477=LINE('',#510029,#126468); #89478=LINE('',#510031,#126469); #89479=LINE('',#510032,#126470); #89480=LINE('',#510035,#126471); #89481=LINE('',#510037,#126472); #89482=LINE('',#510038,#126473); #89483=LINE('',#510040,#126474); #89484=LINE('',#510041,#126475); #89485=LINE('',#510047,#126476); #89486=LINE('',#510049,#126477); #89487=LINE('',#510051,#126478); #89488=LINE('',#510052,#126479); #89489=LINE('',#510055,#126480); #89490=LINE('',#510057,#126481); #89491=LINE('',#510058,#126482); #89492=LINE('',#510061,#126483); #89493=LINE('',#510063,#126484); #89494=LINE('',#510064,#126485); #89495=LINE('',#510066,#126486); #89496=LINE('',#510067,#126487); #89497=LINE('',#510073,#126488); #89498=LINE('',#510075,#126489); #89499=LINE('',#510077,#126490); #89500=LINE('',#510078,#126491); #89501=LINE('',#510081,#126492); #89502=LINE('',#510083,#126493); #89503=LINE('',#510084,#126494); #89504=LINE('',#510087,#126495); #89505=LINE('',#510089,#126496); #89506=LINE('',#510090,#126497); #89507=LINE('',#510092,#126498); #89508=LINE('',#510093,#126499); #89509=LINE('',#510099,#126500); #89510=LINE('',#510101,#126501); #89511=LINE('',#510103,#126502); #89512=LINE('',#510104,#126503); #89513=LINE('',#510107,#126504); #89514=LINE('',#510109,#126505); #89515=LINE('',#510110,#126506); #89516=LINE('',#510113,#126507); #89517=LINE('',#510115,#126508); #89518=LINE('',#510116,#126509); #89519=LINE('',#510118,#126510); #89520=LINE('',#510119,#126511); #89521=LINE('',#510125,#126512); #89522=LINE('',#510127,#126513); #89523=LINE('',#510129,#126514); #89524=LINE('',#510130,#126515); #89525=LINE('',#510133,#126516); #89526=LINE('',#510135,#126517); #89527=LINE('',#510136,#126518); #89528=LINE('',#510139,#126519); #89529=LINE('',#510141,#126520); #89530=LINE('',#510142,#126521); #89531=LINE('',#510144,#126522); #89532=LINE('',#510145,#126523); #89533=LINE('',#510152,#126524); #89534=LINE('',#510157,#126525); #89535=LINE('',#510159,#126526); #89536=LINE('',#510161,#126527); #89537=LINE('',#510162,#126528); #89538=LINE('',#510165,#126529); #89539=LINE('',#510167,#126530); #89540=LINE('',#510168,#126531); #89541=LINE('',#510171,#126532); #89542=LINE('',#510173,#126533); #89543=LINE('',#510174,#126534); #89544=LINE('',#510176,#126535); #89545=LINE('',#510177,#126536); #89546=LINE('',#510184,#126537); #89547=LINE('',#510190,#126538); #89548=LINE('',#510195,#126539); #89549=LINE('',#510197,#126540); #89550=LINE('',#510199,#126541); #89551=LINE('',#510200,#126542); #89552=LINE('',#510203,#126543); #89553=LINE('',#510205,#126544); #89554=LINE('',#510206,#126545); #89555=LINE('',#510209,#126546); #89556=LINE('',#510211,#126547); #89557=LINE('',#510212,#126548); #89558=LINE('',#510214,#126549); #89559=LINE('',#510215,#126550); #89560=LINE('',#510222,#126551); #89561=LINE('',#510228,#126552); #89562=LINE('',#510233,#126553); #89563=LINE('',#510235,#126554); #89564=LINE('',#510237,#126555); #89565=LINE('',#510238,#126556); #89566=LINE('',#510241,#126557); #89567=LINE('',#510243,#126558); #89568=LINE('',#510244,#126559); #89569=LINE('',#510247,#126560); #89570=LINE('',#510249,#126561); #89571=LINE('',#510250,#126562); #89572=LINE('',#510252,#126563); #89573=LINE('',#510253,#126564); #89574=LINE('',#510260,#126565); #89575=LINE('',#510265,#126566); #89576=LINE('',#510267,#126567); #89577=LINE('',#510269,#126568); #89578=LINE('',#510270,#126569); #89579=LINE('',#510273,#126570); #89580=LINE('',#510275,#126571); #89581=LINE('',#510276,#126572); #89582=LINE('',#510279,#126573); #89583=LINE('',#510281,#126574); #89584=LINE('',#510282,#126575); #89585=LINE('',#510284,#126576); #89586=LINE('',#510285,#126577); #89587=LINE('',#510293,#126578); #89588=LINE('',#510299,#126579); #89589=LINE('',#510305,#126580); #89590=LINE('',#510311,#126581); #89591=LINE('',#510317,#126582); #89592=LINE('',#510323,#126583); #89593=LINE('',#510329,#126584); #89594=LINE('',#510335,#126585); #89595=LINE('',#510341,#126586); #89596=LINE('',#510347,#126587); #89597=LINE('',#510353,#126588); #89598=LINE('',#510359,#126589); #89599=LINE('',#510365,#126590); #89600=LINE('',#510371,#126591); #89601=LINE('',#510377,#126592); #89602=LINE('',#510383,#126593); #89603=LINE('',#510389,#126594); #89604=LINE('',#510395,#126595); #89605=LINE('',#510401,#126596); #89606=LINE('',#510407,#126597); #89607=LINE('',#510413,#126598); #89608=LINE('',#510419,#126599); #89609=LINE('',#510425,#126600); #89610=LINE('',#510431,#126601); #89611=LINE('',#510437,#126602); #89612=LINE('',#510443,#126603); #89613=LINE('',#510449,#126604); #89614=LINE('',#510455,#126605); #89615=LINE('',#510461,#126606); #89616=LINE('',#510467,#126607); #89617=LINE('',#510473,#126608); #89618=LINE('',#510479,#126609); #89619=LINE('',#510485,#126610); #89620=LINE('',#510491,#126611); #89621=LINE('',#510497,#126612); #89622=LINE('',#510503,#126613); #89623=LINE('',#510509,#126614); #89624=LINE('',#510515,#126615); #89625=LINE('',#510521,#126616); #89626=LINE('',#510527,#126617); #89627=LINE('',#510533,#126618); #89628=LINE('',#510539,#126619); #89629=LINE('',#510545,#126620); #89630=LINE('',#510551,#126621); #89631=LINE('',#510557,#126622); #89632=LINE('',#510563,#126623); #89633=LINE('',#510569,#126624); #89634=LINE('',#510575,#126625); #89635=LINE('',#510581,#126626); #89636=LINE('',#510587,#126627); #89637=LINE('',#510593,#126628); #89638=LINE('',#510599,#126629); #89639=LINE('',#510605,#126630); #89640=LINE('',#510611,#126631); #89641=LINE('',#510617,#126632); #89642=LINE('',#510623,#126633); #89643=LINE('',#510629,#126634); #89644=LINE('',#510635,#126635); #89645=LINE('',#510641,#126636); #89646=LINE('',#510647,#126637); #89647=LINE('',#510653,#126638); #89648=LINE('',#510659,#126639); #89649=LINE('',#510665,#126640); #89650=LINE('',#510671,#126641); #89651=LINE('',#510677,#126642); #89652=LINE('',#510683,#126643); #89653=LINE('',#510689,#126644); #89654=LINE('',#510695,#126645); #89655=LINE('',#510701,#126646); #89656=LINE('',#510707,#126647); #89657=LINE('',#510713,#126648); #89658=LINE('',#510719,#126649); #89659=LINE('',#510725,#126650); #89660=LINE('',#510731,#126651); #89661=LINE('',#510737,#126652); #89662=LINE('',#510743,#126653); #89663=LINE('',#510749,#126654); #89664=LINE('',#510755,#126655); #89665=LINE('',#510761,#126656); #89666=LINE('',#510767,#126657); #89667=LINE('',#510773,#126658); #89668=LINE('',#510779,#126659); #89669=LINE('',#510785,#126660); #89670=LINE('',#510791,#126661); #89671=LINE('',#510797,#126662); #89672=LINE('',#510803,#126663); #89673=LINE('',#510809,#126664); #89674=LINE('',#510815,#126665); #89675=LINE('',#510821,#126666); #89676=LINE('',#510827,#126667); #89677=LINE('',#510833,#126668); #89678=LINE('',#510839,#126669); #89679=LINE('',#510845,#126670); #89680=LINE('',#510851,#126671); #89681=LINE('',#510857,#126672); #89682=LINE('',#510863,#126673); #89683=LINE('',#510869,#126674); #89684=LINE('',#510875,#126675); #89685=LINE('',#510881,#126676); #89686=LINE('',#510887,#126677); #89687=LINE('',#510893,#126678); #89688=LINE('',#510899,#126679); #89689=LINE('',#510905,#126680); #89690=LINE('',#510911,#126681); #89691=LINE('',#510917,#126682); #89692=LINE('',#510923,#126683); #89693=LINE('',#510929,#126684); #89694=LINE('',#510935,#126685); #89695=LINE('',#510941,#126686); #89696=LINE('',#510947,#126687); #89697=LINE('',#510953,#126688); #89698=LINE('',#510959,#126689); #89699=LINE('',#510965,#126690); #89700=LINE('',#510971,#126691); #89701=LINE('',#510977,#126692); #89702=LINE('',#510983,#126693); #89703=LINE('',#510989,#126694); #89704=LINE('',#510995,#126695); #89705=LINE('',#511001,#126696); #89706=LINE('',#511007,#126697); #89707=LINE('',#511013,#126698); #89708=LINE('',#511019,#126699); #89709=LINE('',#511025,#126700); #89710=LINE('',#511031,#126701); #89711=LINE('',#511037,#126702); #89712=LINE('',#511043,#126703); #89713=LINE('',#511049,#126704); #89714=LINE('',#511055,#126705); #89715=LINE('',#511061,#126706); #89716=LINE('',#511067,#126707); #89717=LINE('',#511073,#126708); #89718=LINE('',#511079,#126709); #89719=LINE('',#511085,#126710); #89720=LINE('',#511091,#126711); #89721=LINE('',#511097,#126712); #89722=LINE('',#511103,#126713); #89723=LINE('',#511109,#126714); #89724=LINE('',#511115,#126715); #89725=LINE('',#511121,#126716); #89726=LINE('',#511127,#126717); #89727=LINE('',#511133,#126718); #89728=LINE('',#511139,#126719); #89729=LINE('',#511145,#126720); #89730=LINE('',#511151,#126721); #89731=LINE('',#511157,#126722); #89732=LINE('',#511163,#126723); #89733=LINE('',#511169,#126724); #89734=LINE('',#511175,#126725); #89735=LINE('',#511181,#126726); #89736=LINE('',#511187,#126727); #89737=LINE('',#511193,#126728); #89738=LINE('',#511199,#126729); #89739=LINE('',#511205,#126730); #89740=LINE('',#511211,#126731); #89741=LINE('',#511217,#126732); #89742=LINE('',#511223,#126733); #89743=LINE('',#511229,#126734); #89744=LINE('',#511235,#126735); #89745=LINE('',#511241,#126736); #89746=LINE('',#511247,#126737); #89747=LINE('',#511253,#126738); #89748=LINE('',#511259,#126739); #89749=LINE('',#511265,#126740); #89750=LINE('',#511270,#126741); #89751=LINE('',#511272,#126742); #89752=LINE('',#511274,#126743); #89753=LINE('',#511275,#126744); #89754=LINE('',#511281,#126745); #89755=LINE('',#511284,#126746); #89756=LINE('',#511286,#126747); #89757=LINE('',#511287,#126748); #89758=LINE('',#511295,#126749); #89759=LINE('',#511300,#126750); #89760=LINE('',#511302,#126751); #89761=LINE('',#511304,#126752); #89762=LINE('',#511305,#126753); #89763=LINE('',#511311,#126754); #89764=LINE('',#511314,#126755); #89765=LINE('',#511316,#126756); #89766=LINE('',#511317,#126757); #89767=LINE('',#511325,#126758); #89768=LINE('',#511331,#126759); #89769=LINE('',#511337,#126760); #89770=LINE('',#511342,#126761); #89771=LINE('',#511344,#126762); #89772=LINE('',#511346,#126763); #89773=LINE('',#511347,#126764); #89774=LINE('',#511353,#126765); #89775=LINE('',#511356,#126766); #89776=LINE('',#511358,#126767); #89777=LINE('',#511359,#126768); #89778=LINE('',#511367,#126769); #89779=LINE('',#511373,#126770); #89780=LINE('',#511379,#126771); #89781=LINE('',#511385,#126772); #89782=LINE('',#511391,#126773); #89783=LINE('',#511397,#126774); #89784=LINE('',#511403,#126775); #89785=LINE('',#511409,#126776); #89786=LINE('',#511415,#126777); #89787=LINE('',#511421,#126778); #89788=LINE('',#511426,#126779); #89789=LINE('',#511428,#126780); #89790=LINE('',#511430,#126781); #89791=LINE('',#511431,#126782); #89792=LINE('',#511437,#126783); #89793=LINE('',#511440,#126784); #89794=LINE('',#511442,#126785); #89795=LINE('',#511443,#126786); #89796=LINE('',#511451,#126787); #89797=LINE('',#511456,#126788); #89798=LINE('',#511458,#126789); #89799=LINE('',#511460,#126790); #89800=LINE('',#511461,#126791); #89801=LINE('',#511467,#126792); #89802=LINE('',#511470,#126793); #89803=LINE('',#511472,#126794); #89804=LINE('',#511473,#126795); #89805=LINE('',#511481,#126796); #89806=LINE('',#511486,#126797); #89807=LINE('',#511488,#126798); #89808=LINE('',#511490,#126799); #89809=LINE('',#511491,#126800); #89810=LINE('',#511497,#126801); #89811=LINE('',#511500,#126802); #89812=LINE('',#511502,#126803); #89813=LINE('',#511503,#126804); #89814=LINE('',#511511,#126805); #89815=LINE('',#511517,#126806); #89816=LINE('',#511523,#126807); #89817=LINE('',#511529,#126808); #89818=LINE('',#511535,#126809); #89819=LINE('',#511541,#126810); #89820=LINE('',#511547,#126811); #89821=LINE('',#511553,#126812); #89822=LINE('',#511559,#126813); #89823=LINE('',#511565,#126814); #89824=LINE('',#511571,#126815); #89825=LINE('',#511577,#126816); #89826=LINE('',#511583,#126817); #89827=LINE('',#511589,#126818); #89828=LINE('',#511595,#126819); #89829=LINE('',#511601,#126820); #89830=LINE('',#511607,#126821); #89831=LINE('',#511613,#126822); #89832=LINE('',#511619,#126823); #89833=LINE('',#511625,#126824); #89834=LINE('',#511631,#126825); #89835=LINE('',#511637,#126826); #89836=LINE('',#511643,#126827); #89837=LINE('',#511649,#126828); #89838=LINE('',#511655,#126829); #89839=LINE('',#511661,#126830); #89840=LINE('',#511667,#126831); #89841=LINE('',#511673,#126832); #89842=LINE('',#511679,#126833); #89843=LINE('',#511685,#126834); #89844=LINE('',#511691,#126835); #89845=LINE('',#511697,#126836); #89846=LINE('',#511703,#126837); #89847=LINE('',#511709,#126838); #89848=LINE('',#511715,#126839); #89849=LINE('',#511721,#126840); #89850=LINE('',#511727,#126841); #89851=LINE('',#511733,#126842); #89852=LINE('',#511739,#126843); #89853=LINE('',#511745,#126844); #89854=LINE('',#511751,#126845); #89855=LINE('',#511757,#126846); #89856=LINE('',#511763,#126847); #89857=LINE('',#511769,#126848); #89858=LINE('',#511775,#126849); #89859=LINE('',#511781,#126850); #89860=LINE('',#511787,#126851); #89861=LINE('',#511793,#126852); #89862=LINE('',#511799,#126853); #89863=LINE('',#511805,#126854); #89864=LINE('',#511811,#126855); #89865=LINE('',#511817,#126856); #89866=LINE('',#511823,#126857); #89867=LINE('',#511829,#126858); #89868=LINE('',#511835,#126859); #89869=LINE('',#511841,#126860); #89870=LINE('',#511847,#126861); #89871=LINE('',#511853,#126862); #89872=LINE('',#511859,#126863); #89873=LINE('',#511865,#126864); #89874=LINE('',#511871,#126865); #89875=LINE('',#511877,#126866); #89876=LINE('',#511883,#126867); #89877=LINE('',#511889,#126868); #89878=LINE('',#511895,#126869); #89879=LINE('',#511901,#126870); #89880=LINE('',#511907,#126871); #89881=LINE('',#511913,#126872); #89882=LINE('',#511919,#126873); #89883=LINE('',#511925,#126874); #89884=LINE('',#511931,#126875); #89885=LINE('',#511937,#126876); #89886=LINE('',#511943,#126877); #89887=LINE('',#511949,#126878); #89888=LINE('',#511955,#126879); #89889=LINE('',#511961,#126880); #89890=LINE('',#511967,#126881); #89891=LINE('',#511973,#126882); #89892=LINE('',#511979,#126883); #89893=LINE('',#511985,#126884); #89894=LINE('',#511991,#126885); #89895=LINE('',#511997,#126886); #89896=LINE('',#512003,#126887); #89897=LINE('',#512009,#126888); #89898=LINE('',#512015,#126889); #89899=LINE('',#512021,#126890); #89900=LINE('',#512027,#126891); #89901=LINE('',#512033,#126892); #89902=LINE('',#512039,#126893); #89903=LINE('',#512045,#126894); #89904=LINE('',#512051,#126895); #89905=LINE('',#512057,#126896); #89906=LINE('',#512063,#126897); #89907=LINE('',#512069,#126898); #89908=LINE('',#512075,#126899); #89909=LINE('',#512081,#126900); #89910=LINE('',#512087,#126901); #89911=LINE('',#512093,#126902); #89912=LINE('',#512099,#126903); #89913=LINE('',#512105,#126904); #89914=LINE('',#512111,#126905); #89915=LINE('',#512117,#126906); #89916=LINE('',#512123,#126907); #89917=LINE('',#512129,#126908); #89918=LINE('',#512135,#126909); #89919=LINE('',#512141,#126910); #89920=LINE('',#512147,#126911); #89921=LINE('',#512153,#126912); #89922=LINE('',#512159,#126913); #89923=LINE('',#512165,#126914); #89924=LINE('',#512171,#126915); #89925=LINE('',#512177,#126916); #89926=LINE('',#512183,#126917); #89927=LINE('',#512189,#126918); #89928=LINE('',#512195,#126919); #89929=LINE('',#512201,#126920); #89930=LINE('',#512207,#126921); #89931=LINE('',#512213,#126922); #89932=LINE('',#512219,#126923); #89933=LINE('',#512225,#126924); #89934=LINE('',#512231,#126925); #89935=LINE('',#512237,#126926); #89936=LINE('',#512243,#126927); #89937=LINE('',#512249,#126928); #89938=LINE('',#512255,#126929); #89939=LINE('',#512261,#126930); #89940=LINE('',#512267,#126931); #89941=LINE('',#512273,#126932); #89942=LINE('',#512279,#126933); #89943=LINE('',#512285,#126934); #89944=LINE('',#512291,#126935); #89945=LINE('',#512297,#126936); #89946=LINE('',#512303,#126937); #89947=LINE('',#512312,#126938); #89948=LINE('',#512318,#126939); #89949=LINE('',#512324,#126940); #89950=LINE('',#512330,#126941); #89951=LINE('',#512336,#126942); #89952=LINE('',#512342,#126943); #89953=LINE('',#512348,#126944); #89954=LINE('',#512354,#126945); #89955=LINE('',#512360,#126946); #89956=LINE('',#512366,#126947); #89957=LINE('',#512372,#126948); #89958=LINE('',#512378,#126949); #89959=LINE('',#512384,#126950); #89960=LINE('',#512390,#126951); #89961=LINE('',#512396,#126952); #89962=LINE('',#512402,#126953); #89963=LINE('',#512408,#126954); #89964=LINE('',#512414,#126955); #89965=LINE('',#512420,#126956); #89966=LINE('',#512426,#126957); #89967=LINE('',#512432,#126958); #89968=LINE('',#512438,#126959); #89969=LINE('',#512444,#126960); #89970=LINE('',#512450,#126961); #89971=LINE('',#512456,#126962); #89972=LINE('',#512462,#126963); #89973=LINE('',#512468,#126964); #89974=LINE('',#512474,#126965); #89975=LINE('',#512480,#126966); #89976=LINE('',#512486,#126967); #89977=LINE('',#512492,#126968); #89978=LINE('',#512498,#126969); #89979=LINE('',#512504,#126970); #89980=LINE('',#512510,#126971); #89981=LINE('',#512516,#126972); #89982=LINE('',#512522,#126973); #89983=LINE('',#512528,#126974); #89984=LINE('',#512534,#126975); #89985=LINE('',#512540,#126976); #89986=LINE('',#512546,#126977); #89987=LINE('',#512552,#126978); #89988=LINE('',#512558,#126979); #89989=LINE('',#512564,#126980); #89990=LINE('',#512570,#126981); #89991=LINE('',#512576,#126982); #89992=LINE('',#512582,#126983); #89993=LINE('',#512588,#126984); #89994=LINE('',#512594,#126985); #89995=LINE('',#512600,#126986); #89996=LINE('',#512606,#126987); #89997=LINE('',#512612,#126988); #89998=LINE('',#512618,#126989); #89999=LINE('',#512624,#126990); #90000=LINE('',#512630,#126991); #90001=LINE('',#512636,#126992); #90002=LINE('',#512642,#126993); #90003=LINE('',#512648,#126994); #90004=LINE('',#512654,#126995); #90005=LINE('',#512660,#126996); #90006=LINE('',#512666,#126997); #90007=LINE('',#512672,#126998); #90008=LINE('',#512678,#126999); #90009=LINE('',#512684,#127000); #90010=LINE('',#512690,#127001); #90011=LINE('',#512696,#127002); #90012=LINE('',#512702,#127003); #90013=LINE('',#512708,#127004); #90014=LINE('',#512714,#127005); #90015=LINE('',#512720,#127006); #90016=LINE('',#512726,#127007); #90017=LINE('',#512732,#127008); #90018=LINE('',#512738,#127009); #90019=LINE('',#512744,#127010); #90020=LINE('',#512750,#127011); #90021=LINE('',#512756,#127012); #90022=LINE('',#512762,#127013); #90023=LINE('',#512768,#127014); #90024=LINE('',#512774,#127015); #90025=LINE('',#512780,#127016); #90026=LINE('',#512786,#127017); #90027=LINE('',#512792,#127018); #90028=LINE('',#512798,#127019); #90029=LINE('',#512804,#127020); #90030=LINE('',#512810,#127021); #90031=LINE('',#512816,#127022); #90032=LINE('',#512822,#127023); #90033=LINE('',#512828,#127024); #90034=LINE('',#512834,#127025); #90035=LINE('',#512840,#127026); #90036=LINE('',#512846,#127027); #90037=LINE('',#512852,#127028); #90038=LINE('',#512858,#127029); #90039=LINE('',#512864,#127030); #90040=LINE('',#512870,#127031); #90041=LINE('',#512876,#127032); #90042=LINE('',#512882,#127033); #90043=LINE('',#512888,#127034); #90044=LINE('',#512894,#127035); #90045=LINE('',#512900,#127036); #90046=LINE('',#512906,#127037); #90047=LINE('',#512912,#127038); #90048=LINE('',#512918,#127039); #90049=LINE('',#512924,#127040); #90050=LINE('',#512930,#127041); #90051=LINE('',#512936,#127042); #90052=LINE('',#512942,#127043); #90053=LINE('',#512948,#127044); #90054=LINE('',#512954,#127045); #90055=LINE('',#512960,#127046); #90056=LINE('',#512966,#127047); #90057=LINE('',#512972,#127048); #90058=LINE('',#512978,#127049); #90059=LINE('',#512984,#127050); #90060=LINE('',#512990,#127051); #90061=LINE('',#512996,#127052); #90062=LINE('',#513002,#127053); #90063=LINE('',#513008,#127054); #90064=LINE('',#513014,#127055); #90065=LINE('',#513020,#127056); #90066=LINE('',#513026,#127057); #90067=LINE('',#513032,#127058); #90068=LINE('',#513038,#127059); #90069=LINE('',#513044,#127060); #90070=LINE('',#513050,#127061); #90071=LINE('',#513056,#127062); #90072=LINE('',#513062,#127063); #90073=LINE('',#513068,#127064); #90074=LINE('',#513074,#127065); #90075=LINE('',#513080,#127066); #90076=LINE('',#513086,#127067); #90077=LINE('',#513092,#127068); #90078=LINE('',#513098,#127069); #90079=LINE('',#513104,#127070); #90080=LINE('',#513110,#127071); #90081=LINE('',#513116,#127072); #90082=LINE('',#513122,#127073); #90083=LINE('',#513128,#127074); #90084=LINE('',#513134,#127075); #90085=LINE('',#513140,#127076); #90086=LINE('',#513146,#127077); #90087=LINE('',#513152,#127078); #90088=LINE('',#513158,#127079); #90089=LINE('',#513164,#127080); #90090=LINE('',#513170,#127081); #90091=LINE('',#513176,#127082); #90092=LINE('',#513182,#127083); #90093=LINE('',#513188,#127084); #90094=LINE('',#513194,#127085); #90095=LINE('',#513200,#127086); #90096=LINE('',#513206,#127087); #90097=LINE('',#513212,#127088); #90098=LINE('',#513218,#127089); #90099=LINE('',#513224,#127090); #90100=LINE('',#513230,#127091); #90101=LINE('',#513236,#127092); #90102=LINE('',#513242,#127093); #90103=LINE('',#513248,#127094); #90104=LINE('',#513254,#127095); #90105=LINE('',#513260,#127096); #90106=LINE('',#513266,#127097); #90107=LINE('',#513272,#127098); #90108=LINE('',#513278,#127099); #90109=LINE('',#513284,#127100); #90110=LINE('',#513289,#127101); #90111=LINE('',#513291,#127102); #90112=LINE('',#513293,#127103); #90113=LINE('',#513294,#127104); #90114=LINE('',#513300,#127105); #90115=LINE('',#513303,#127106); #90116=LINE('',#513305,#127107); #90117=LINE('',#513306,#127108); #90118=LINE('',#513314,#127109); #90119=LINE('',#513319,#127110); #90120=LINE('',#513321,#127111); #90121=LINE('',#513323,#127112); #90122=LINE('',#513324,#127113); #90123=LINE('',#513330,#127114); #90124=LINE('',#513333,#127115); #90125=LINE('',#513335,#127116); #90126=LINE('',#513336,#127117); #90127=LINE('',#513344,#127118); #90128=LINE('',#513350,#127119); #90129=LINE('',#513356,#127120); #90130=LINE('',#513361,#127121); #90131=LINE('',#513363,#127122); #90132=LINE('',#513365,#127123); #90133=LINE('',#513366,#127124); #90134=LINE('',#513372,#127125); #90135=LINE('',#513375,#127126); #90136=LINE('',#513377,#127127); #90137=LINE('',#513378,#127128); #90138=LINE('',#513386,#127129); #90139=LINE('',#513392,#127130); #90140=LINE('',#513398,#127131); #90141=LINE('',#513404,#127132); #90142=LINE('',#513410,#127133); #90143=LINE('',#513416,#127134); #90144=LINE('',#513422,#127135); #90145=LINE('',#513428,#127136); #90146=LINE('',#513434,#127137); #90147=LINE('',#513440,#127138); #90148=LINE('',#513445,#127139); #90149=LINE('',#513447,#127140); #90150=LINE('',#513449,#127141); #90151=LINE('',#513450,#127142); #90152=LINE('',#513456,#127143); #90153=LINE('',#513459,#127144); #90154=LINE('',#513461,#127145); #90155=LINE('',#513462,#127146); #90156=LINE('',#513470,#127147); #90157=LINE('',#513475,#127148); #90158=LINE('',#513477,#127149); #90159=LINE('',#513479,#127150); #90160=LINE('',#513480,#127151); #90161=LINE('',#513486,#127152); #90162=LINE('',#513489,#127153); #90163=LINE('',#513491,#127154); #90164=LINE('',#513492,#127155); #90165=LINE('',#513500,#127156); #90166=LINE('',#513505,#127157); #90167=LINE('',#513507,#127158); #90168=LINE('',#513509,#127159); #90169=LINE('',#513510,#127160); #90170=LINE('',#513516,#127161); #90171=LINE('',#513519,#127162); #90172=LINE('',#513521,#127163); #90173=LINE('',#513522,#127164); #90174=LINE('',#513530,#127165); #90175=LINE('',#513536,#127166); #90176=LINE('',#513542,#127167); #90177=LINE('',#513548,#127168); #90178=LINE('',#513554,#127169); #90179=LINE('',#513560,#127170); #90180=LINE('',#513566,#127171); #90181=LINE('',#513572,#127172); #90182=LINE('',#513578,#127173); #90183=LINE('',#513584,#127174); #90184=LINE('',#513590,#127175); #90185=LINE('',#513596,#127176); #90186=LINE('',#513602,#127177); #90187=LINE('',#513608,#127178); #90188=LINE('',#513614,#127179); #90189=LINE('',#513620,#127180); #90190=LINE('',#513626,#127181); #90191=LINE('',#513632,#127182); #90192=LINE('',#513638,#127183); #90193=LINE('',#513644,#127184); #90194=LINE('',#513650,#127185); #90195=LINE('',#513656,#127186); #90196=LINE('',#513662,#127187); #90197=LINE('',#513668,#127188); #90198=LINE('',#513674,#127189); #90199=LINE('',#513680,#127190); #90200=LINE('',#513686,#127191); #90201=LINE('',#513692,#127192); #90202=LINE('',#513698,#127193); #90203=LINE('',#513704,#127194); #90204=LINE('',#513710,#127195); #90205=LINE('',#513716,#127196); #90206=LINE('',#513722,#127197); #90207=LINE('',#513728,#127198); #90208=LINE('',#513734,#127199); #90209=LINE('',#513740,#127200); #90210=LINE('',#513746,#127201); #90211=LINE('',#513752,#127202); #90212=LINE('',#513758,#127203); #90213=LINE('',#513764,#127204); #90214=LINE('',#513770,#127205); #90215=LINE('',#513776,#127206); #90216=LINE('',#513782,#127207); #90217=LINE('',#513788,#127208); #90218=LINE('',#513794,#127209); #90219=LINE('',#513800,#127210); #90220=LINE('',#513806,#127211); #90221=LINE('',#513812,#127212); #90222=LINE('',#513818,#127213); #90223=LINE('',#513824,#127214); #90224=LINE('',#513830,#127215); #90225=LINE('',#513836,#127216); #90226=LINE('',#513842,#127217); #90227=LINE('',#513848,#127218); #90228=LINE('',#513854,#127219); #90229=LINE('',#513860,#127220); #90230=LINE('',#513866,#127221); #90231=LINE('',#513872,#127222); #90232=LINE('',#513878,#127223); #90233=LINE('',#513884,#127224); #90234=LINE('',#513890,#127225); #90235=LINE('',#513896,#127226); #90236=LINE('',#513902,#127227); #90237=LINE('',#513908,#127228); #90238=LINE('',#513914,#127229); #90239=LINE('',#513920,#127230); #90240=LINE('',#513926,#127231); #90241=LINE('',#513932,#127232); #90242=LINE('',#513938,#127233); #90243=LINE('',#513944,#127234); #90244=LINE('',#513950,#127235); #90245=LINE('',#513956,#127236); #90246=LINE('',#513962,#127237); #90247=LINE('',#513968,#127238); #90248=LINE('',#513974,#127239); #90249=LINE('',#513980,#127240); #90250=LINE('',#513986,#127241); #90251=LINE('',#513992,#127242); #90252=LINE('',#513998,#127243); #90253=LINE('',#514004,#127244); #90254=LINE('',#514010,#127245); #90255=LINE('',#514016,#127246); #90256=LINE('',#514022,#127247); #90257=LINE('',#514028,#127248); #90258=LINE('',#514034,#127249); #90259=LINE('',#514040,#127250); #90260=LINE('',#514046,#127251); #90261=LINE('',#514052,#127252); #90262=LINE('',#514058,#127253); #90263=LINE('',#514064,#127254); #90264=LINE('',#514070,#127255); #90265=LINE('',#514076,#127256); #90266=LINE('',#514082,#127257); #90267=LINE('',#514088,#127258); #90268=LINE('',#514094,#127259); #90269=LINE('',#514100,#127260); #90270=LINE('',#514106,#127261); #90271=LINE('',#514112,#127262); #90272=LINE('',#514118,#127263); #90273=LINE('',#514124,#127264); #90274=LINE('',#514130,#127265); #90275=LINE('',#514136,#127266); #90276=LINE('',#514142,#127267); #90277=LINE('',#514148,#127268); #90278=LINE('',#514154,#127269); #90279=LINE('',#514160,#127270); #90280=LINE('',#514166,#127271); #90281=LINE('',#514172,#127272); #90282=LINE('',#514178,#127273); #90283=LINE('',#514184,#127274); #90284=LINE('',#514190,#127275); #90285=LINE('',#514196,#127276); #90286=LINE('',#514202,#127277); #90287=LINE('',#514208,#127278); #90288=LINE('',#514214,#127279); #90289=LINE('',#514220,#127280); #90290=LINE('',#514226,#127281); #90291=LINE('',#514232,#127282); #90292=LINE('',#514238,#127283); #90293=LINE('',#514244,#127284); #90294=LINE('',#514250,#127285); #90295=LINE('',#514256,#127286); #90296=LINE('',#514262,#127287); #90297=LINE('',#514268,#127288); #90298=LINE('',#514274,#127289); #90299=LINE('',#514280,#127290); #90300=LINE('',#514286,#127291); #90301=LINE('',#514292,#127292); #90302=LINE('',#514298,#127293); #90303=LINE('',#514304,#127294); #90304=LINE('',#514310,#127295); #90305=LINE('',#514316,#127296); #90306=LINE('',#514322,#127297); #90307=LINE('',#514332,#127298); #90308=LINE('',#514334,#127299); #90309=LINE('',#514336,#127300); #90310=LINE('',#514337,#127301); #90311=LINE('',#514340,#127302); #90312=LINE('',#514342,#127303); #90313=LINE('',#514343,#127304); #90314=LINE('',#514346,#127305); #90315=LINE('',#514348,#127306); #90316=LINE('',#514350,#127307); #90317=LINE('',#514352,#127308); #90318=LINE('',#514354,#127309); #90319=LINE('',#514356,#127310); #90320=LINE('',#514358,#127311); #90321=LINE('',#514360,#127312); #90322=LINE('',#514362,#127313); #90323=LINE('',#514364,#127314); #90324=LINE('',#514366,#127315); #90325=LINE('',#514368,#127316); #90326=LINE('',#514370,#127317); #90327=LINE('',#514372,#127318); #90328=LINE('',#514374,#127319); #90329=LINE('',#514376,#127320); #90330=LINE('',#514378,#127321); #90331=LINE('',#514380,#127322); #90332=LINE('',#514382,#127323); #90333=LINE('',#514384,#127324); #90334=LINE('',#514386,#127325); #90335=LINE('',#514388,#127326); #90336=LINE('',#514390,#127327); #90337=LINE('',#514391,#127328); #90338=LINE('',#514394,#127329); #90339=LINE('',#514395,#127330); #90340=LINE('',#514398,#127331); #90341=LINE('',#514400,#127332); #90342=LINE('',#514401,#127333); #90343=LINE('',#514404,#127334); #90344=LINE('',#514406,#127335); #90345=LINE('',#514408,#127336); #90346=LINE('',#514410,#127337); #90347=LINE('',#514411,#127338); #90348=LINE('',#514414,#127339); #90349=LINE('',#514415,#127340); #90350=LINE('',#514417,#127341); #90351=LINE('',#514419,#127342); #90352=LINE('',#514420,#127343); #90353=LINE('',#514423,#127344); #90354=LINE('',#514425,#127345); #90355=LINE('',#514427,#127346); #90356=LINE('',#514428,#127347); #90357=LINE('',#514431,#127348); #90358=LINE('',#514433,#127349); #90359=LINE('',#514435,#127350); #90360=LINE('',#514436,#127351); #90361=LINE('',#514439,#127352); #90362=LINE('',#514441,#127353); #90363=LINE('',#514443,#127354); #90364=LINE('',#514444,#127355); #90365=LINE('',#514447,#127356); #90366=LINE('',#514449,#127357); #90367=LINE('',#514451,#127358); #90368=LINE('',#514452,#127359); #90369=LINE('',#514456,#127360); #90370=LINE('',#514457,#127361); #90371=LINE('',#514458,#127362); #90372=LINE('',#514461,#127363); #90373=LINE('',#514463,#127364); #90374=LINE('',#514465,#127365); #90375=LINE('',#514466,#127366); #90376=LINE('',#514470,#127367); #90377=LINE('',#514471,#127368); #90378=LINE('',#514472,#127369); #90379=LINE('',#514475,#127370); #90380=LINE('',#514477,#127371); #90381=LINE('',#514479,#127372); #90382=LINE('',#514480,#127373); #90383=LINE('',#514484,#127374); #90384=LINE('',#514485,#127375); #90385=LINE('',#514486,#127376); #90386=LINE('',#514489,#127377); #90387=LINE('',#514491,#127378); #90388=LINE('',#514493,#127379); #90389=LINE('',#514494,#127380); #90390=LINE('',#514498,#127381); #90391=LINE('',#514499,#127382); #90392=LINE('',#514500,#127383); #90393=LINE('',#514504,#127384); #90394=LINE('',#514505,#127385); #90395=LINE('',#514506,#127386); #90396=LINE('',#514510,#127387); #90397=LINE('',#514511,#127388); #90398=LINE('',#514512,#127389); #90399=LINE('',#514515,#127390); #90400=LINE('',#514517,#127391); #90401=LINE('',#514519,#127392); #90402=LINE('',#514520,#127393); #90403=LINE('',#514523,#127394); #90404=LINE('',#514525,#127395); #90405=LINE('',#514526,#127396); #90406=LINE('',#514529,#127397); #90407=LINE('',#514531,#127398); #90408=LINE('',#514532,#127399); #90409=LINE('',#514533,#127400); #90410=LINE('',#514534,#127401); #90411=LINE('',#514535,#127402); #90412=LINE('',#514536,#127403); #90413=LINE('',#514538,#127404); #90414=LINE('',#514540,#127405); #90415=LINE('',#514541,#127406); #90416=LINE('',#514542,#127407); #90417=LINE('',#514543,#127408); #90418=LINE('',#514545,#127409); #90419=LINE('',#514546,#127410); #90420=LINE('',#514551,#127411); #90421=LINE('',#514553,#127412); #90422=LINE('',#514555,#127413); #90423=LINE('',#514556,#127414); #90424=LINE('',#514558,#127415); #90425=LINE('',#514560,#127416); #90426=LINE('',#514562,#127417); #90427=LINE('',#514569,#127418); #90428=LINE('',#514571,#127419); #90429=LINE('',#514573,#127420); #90430=LINE('',#514574,#127421); #90431=LINE('',#514577,#127422); #90432=LINE('',#514579,#127423); #90433=LINE('',#514580,#127424); #90434=LINE('',#514583,#127425); #90435=LINE('',#514585,#127426); #90436=LINE('',#514586,#127427); #90437=LINE('',#514588,#127428); #90438=LINE('',#514590,#127429); #90439=LINE('',#514593,#127430); #90440=LINE('',#514595,#127431); #90441=LINE('',#514596,#127432); #90442=LINE('',#514599,#127433); #90443=LINE('',#514601,#127434); #90444=LINE('',#514602,#127435); #90445=LINE('',#514604,#127436); #90446=LINE('',#514606,#127437); #90447=LINE('',#514610,#127438); #90448=LINE('',#514612,#127439); #90449=LINE('',#514614,#127440); #90450=LINE('',#514615,#127441); #90451=LINE('',#514617,#127442); #90452=LINE('',#514618,#127443); #90453=LINE('',#514620,#127444); #90454=LINE('',#514622,#127445); #90455=LINE('',#514625,#127446); #90456=LINE('',#514626,#127447); #90457=LINE('',#514628,#127448); #90458=LINE('',#514630,#127449); #90459=LINE('',#514636,#127450); #90460=LINE('',#514638,#127451); #90461=LINE('',#514643,#127452); #90462=LINE('',#514645,#127453); #90463=LINE('',#514647,#127454); #90464=LINE('',#514648,#127455); #90465=LINE('',#514651,#127456); #90466=LINE('',#514653,#127457); #90467=LINE('',#514654,#127458); #90468=LINE('',#514657,#127459); #90469=LINE('',#514659,#127460); #90470=LINE('',#514660,#127461); #90471=LINE('',#514662,#127462); #90472=LINE('',#514664,#127463); #90473=LINE('',#514667,#127464); #90474=LINE('',#514669,#127465); #90475=LINE('',#514670,#127466); #90476=LINE('',#514673,#127467); #90477=LINE('',#514675,#127468); #90478=LINE('',#514676,#127469); #90479=LINE('',#514678,#127470); #90480=LINE('',#514680,#127471); #90481=LINE('',#514684,#127472); #90482=LINE('',#514686,#127473); #90483=LINE('',#514688,#127474); #90484=LINE('',#514689,#127475); #90485=LINE('',#514693,#127476); #90486=LINE('',#514695,#127477); #90487=LINE('',#514697,#127478); #90488=LINE('',#514698,#127479); #90489=LINE('',#514701,#127480); #90490=LINE('',#514703,#127481); #90491=LINE('',#514704,#127482); #90492=LINE('',#514707,#127483); #90493=LINE('',#514709,#127484); #90494=LINE('',#514710,#127485); #90495=LINE('',#514712,#127486); #90496=LINE('',#514714,#127487); #90497=LINE('',#514717,#127488); #90498=LINE('',#514719,#127489); #90499=LINE('',#514720,#127490); #90500=LINE('',#514723,#127491); #90501=LINE('',#514725,#127492); #90502=LINE('',#514726,#127493); #90503=LINE('',#514728,#127494); #90504=LINE('',#514730,#127495); #90505=LINE('',#514734,#127496); #90506=LINE('',#514736,#127497); #90507=LINE('',#514738,#127498); #90508=LINE('',#514739,#127499); #90509=LINE('',#514743,#127500); #90510=LINE('',#514745,#127501); #90511=LINE('',#514747,#127502); #90512=LINE('',#514748,#127503); #90513=LINE('',#514751,#127504); #90514=LINE('',#514753,#127505); #90515=LINE('',#514754,#127506); #90516=LINE('',#514757,#127507); #90517=LINE('',#514759,#127508); #90518=LINE('',#514760,#127509); #90519=LINE('',#514762,#127510); #90520=LINE('',#514764,#127511); #90521=LINE('',#514767,#127512); #90522=LINE('',#514769,#127513); #90523=LINE('',#514770,#127514); #90524=LINE('',#514773,#127515); #90525=LINE('',#514775,#127516); #90526=LINE('',#514776,#127517); #90527=LINE('',#514778,#127518); #90528=LINE('',#514780,#127519); #90529=LINE('',#514784,#127520); #90530=LINE('',#514786,#127521); #90531=LINE('',#514788,#127522); #90532=LINE('',#514789,#127523); #90533=LINE('',#514791,#127524); #90534=LINE('',#514792,#127525); #90535=LINE('',#514794,#127526); #90536=LINE('',#514796,#127527); #90537=LINE('',#514799,#127528); #90538=LINE('',#514800,#127529); #90539=LINE('',#514802,#127530); #90540=LINE('',#514804,#127531); #90541=LINE('',#514807,#127532); #90542=LINE('',#514808,#127533); #90543=LINE('',#514810,#127534); #90544=LINE('',#514812,#127535); #90545=LINE('',#514815,#127536); #90546=LINE('',#514816,#127537); #90547=LINE('',#514818,#127538); #90548=LINE('',#514820,#127539); #90549=LINE('',#514823,#127540); #90550=LINE('',#514824,#127541); #90551=LINE('',#514826,#127542); #90552=LINE('',#514828,#127543); #90553=LINE('',#514831,#127544); #90554=LINE('',#514832,#127545); #90555=LINE('',#514834,#127546); #90556=LINE('',#514836,#127547); #90557=LINE('',#514878,#127548); #90558=LINE('',#514879,#127549); #90559=LINE('',#514895,#127550); #90560=LINE('',#514896,#127551); #90561=LINE('',#514898,#127552); #90562=LINE('',#514899,#127553); #90563=LINE('',#514908,#127554); #90564=LINE('',#514909,#127555); #90565=LINE('',#514911,#127556); #90566=LINE('',#514912,#127557); #90567=LINE('',#514914,#127558); #90568=LINE('',#514915,#127559); #90569=LINE('',#514917,#127560); #90570=LINE('',#514918,#127561); #90571=LINE('',#514920,#127562); #90572=LINE('',#514921,#127563); #90573=LINE('',#514923,#127564); #90574=LINE('',#514924,#127565); #90575=LINE('',#514926,#127566); #90576=LINE('',#514927,#127567); #90577=LINE('',#514929,#127568); #90578=LINE('',#514930,#127569); #90579=LINE('',#514932,#127570); #90580=LINE('',#514933,#127571); #90581=LINE('',#514976,#127572); #90582=LINE('',#514977,#127573); #90583=LINE('',#514993,#127574); #90584=LINE('',#514994,#127575); #90585=LINE('',#514996,#127576); #90586=LINE('',#514997,#127577); #90587=LINE('',#515006,#127578); #90588=LINE('',#515007,#127579); #90589=LINE('',#515009,#127580); #90590=LINE('',#515010,#127581); #90591=LINE('',#515012,#127582); #90592=LINE('',#515013,#127583); #90593=LINE('',#515015,#127584); #90594=LINE('',#515016,#127585); #90595=LINE('',#515018,#127586); #90596=LINE('',#515019,#127587); #90597=LINE('',#515021,#127588); #90598=LINE('',#515022,#127589); #90599=LINE('',#515024,#127590); #90600=LINE('',#515025,#127591); #90601=LINE('',#515027,#127592); #90602=LINE('',#515028,#127593); #90603=LINE('',#515030,#127594); #90604=LINE('',#515031,#127595); #90605=LINE('',#515041,#127596); #90606=LINE('',#515043,#127597); #90607=LINE('',#515045,#127598); #90608=LINE('',#515046,#127599); #90609=LINE('',#515049,#127600); #90610=LINE('',#515051,#127601); #90611=LINE('',#515052,#127602); #90612=LINE('',#515055,#127603); #90613=LINE('',#515057,#127604); #90614=LINE('',#515058,#127605); #90615=LINE('',#515060,#127606); #90616=LINE('',#515061,#127607); #90617=LINE('',#515067,#127608); #90618=LINE('',#515069,#127609); #90619=LINE('',#515071,#127610); #90620=LINE('',#515072,#127611); #90621=LINE('',#515076,#127612); #90622=LINE('',#515078,#127613); #90623=LINE('',#515080,#127614); #90624=LINE('',#515081,#127615); #90625=LINE('',#515083,#127616); #90626=LINE('',#515084,#127617); #90627=LINE('',#515086,#127618); #90628=LINE('',#515088,#127619); #90629=LINE('',#515093,#127620); #90630=LINE('',#515095,#127621); #90631=LINE('',#515097,#127622); #90632=LINE('',#515098,#127623); #90633=LINE('',#515101,#127624); #90634=LINE('',#515103,#127625); #90635=LINE('',#515104,#127626); #90636=LINE('',#515107,#127627); #90637=LINE('',#515109,#127628); #90638=LINE('',#515110,#127629); #90639=LINE('',#515112,#127630); #90640=LINE('',#515113,#127631); #90641=LINE('',#515124,#127632); #90642=LINE('',#515126,#127633); #90643=LINE('',#515128,#127634); #90644=LINE('',#515130,#127635); #90645=LINE('',#515132,#127636); #90646=LINE('',#515134,#127637); #90647=LINE('',#515136,#127638); #90648=LINE('',#515138,#127639); #90649=LINE('',#515140,#127640); #90650=LINE('',#515142,#127641); #90651=LINE('',#515144,#127642); #90652=LINE('',#515146,#127643); #90653=LINE('',#515148,#127644); #90654=LINE('',#515150,#127645); #90655=LINE('',#515152,#127646); #90656=LINE('',#515153,#127647); #90657=LINE('',#515157,#127648); #90658=LINE('',#515159,#127649); #90659=LINE('',#515161,#127650); #90660=LINE('',#515162,#127651); #90661=LINE('',#515168,#127652); #90662=LINE('',#515172,#127653); #90663=LINE('',#515176,#127654); #90664=LINE('',#515179,#127655); #90665=LINE('',#515185,#127656); #90666=LINE('',#515189,#127657); #90667=LINE('',#515193,#127658); #90668=LINE('',#515196,#127659); #90669=LINE('',#515198,#127660); #90670=LINE('',#515199,#127661); #90671=LINE('',#515201,#127662); #90672=LINE('',#515202,#127663); #90673=LINE('',#515206,#127664); #90674=LINE('',#515208,#127665); #90675=LINE('',#515210,#127666); #90676=LINE('',#515212,#127667); #90677=LINE('',#515214,#127668); #90678=LINE('',#515216,#127669); #90679=LINE('',#515218,#127670); #90680=LINE('',#515220,#127671); #90681=LINE('',#515222,#127672); #90682=LINE('',#515224,#127673); #90683=LINE('',#515226,#127674); #90684=LINE('',#515228,#127675); #90685=LINE('',#515230,#127676); #90686=LINE('',#515232,#127677); #90687=LINE('',#515234,#127678); #90688=LINE('',#515235,#127679); #90689=LINE('',#515238,#127680); #90690=LINE('',#515240,#127681); #90691=LINE('',#515241,#127682); #90692=LINE('',#515245,#127683); #90693=LINE('',#515247,#127684); #90694=LINE('',#515249,#127685); #90695=LINE('',#515251,#127686); #90696=LINE('',#515253,#127687); #90697=LINE('',#515255,#127688); #90698=LINE('',#515257,#127689); #90699=LINE('',#515259,#127690); #90700=LINE('',#515261,#127691); #90701=LINE('',#515263,#127692); #90702=LINE('',#515265,#127693); #90703=LINE('',#515267,#127694); #90704=LINE('',#515269,#127695); #90705=LINE('',#515271,#127696); #90706=LINE('',#515273,#127697); #90707=LINE('',#515275,#127698); #90708=LINE('',#515277,#127699); #90709=LINE('',#515279,#127700); #90710=LINE('',#515280,#127701); #90711=LINE('',#515283,#127702); #90712=LINE('',#515287,#127703); #90713=LINE('',#515289,#127704); #90714=LINE('',#515290,#127705); #90715=LINE('',#515295,#127706); #90716=LINE('',#515297,#127707); #90717=LINE('',#515299,#127708); #90718=LINE('',#515300,#127709); #90719=LINE('',#515310,#127710); #90720=LINE('',#515313,#127711); #90721=LINE('',#515323,#127712); #90722=LINE('',#515325,#127713); #90723=LINE('',#515327,#127714); #90724=LINE('',#515328,#127715); #90725=LINE('',#515332,#127716); #90726=LINE('',#515334,#127717); #90727=LINE('',#515336,#127718); #90728=LINE('',#515337,#127719); #90729=LINE('',#515341,#127720); #90730=LINE('',#515343,#127721); #90731=LINE('',#515345,#127722); #90732=LINE('',#515346,#127723); #90733=LINE('',#515350,#127724); #90734=LINE('',#515352,#127725); #90735=LINE('',#515354,#127726); #90736=LINE('',#515355,#127727); #90737=LINE('',#515359,#127728); #90738=LINE('',#515361,#127729); #90739=LINE('',#515363,#127730); #90740=LINE('',#515364,#127731); #90741=LINE('',#515368,#127732); #90742=LINE('',#515370,#127733); #90743=LINE('',#515372,#127734); #90744=LINE('',#515373,#127735); #90745=LINE('',#515377,#127736); #90746=LINE('',#515379,#127737); #90747=LINE('',#515381,#127738); #90748=LINE('',#515382,#127739); #90749=LINE('',#515386,#127740); #90750=LINE('',#515388,#127741); #90751=LINE('',#515390,#127742); #90752=LINE('',#515391,#127743); #90753=LINE('',#515395,#127744); #90754=LINE('',#515397,#127745); #90755=LINE('',#515399,#127746); #90756=LINE('',#515400,#127747); #90757=LINE('',#515404,#127748); #90758=LINE('',#515406,#127749); #90759=LINE('',#515408,#127750); #90760=LINE('',#515409,#127751); #90761=LINE('',#515413,#127752); #90762=LINE('',#515415,#127753); #90763=LINE('',#515417,#127754); #90764=LINE('',#515418,#127755); #90765=LINE('',#515422,#127756); #90766=LINE('',#515424,#127757); #90767=LINE('',#515426,#127758); #90768=LINE('',#515427,#127759); #90769=LINE('',#515430,#127760); #90770=LINE('',#515432,#127761); #90771=LINE('',#515433,#127762); #90772=LINE('',#515436,#127763); #90773=LINE('',#515438,#127764); #90774=LINE('',#515439,#127765); #90775=LINE('',#515443,#127766); #90776=LINE('',#515445,#127767); #90777=LINE('',#515447,#127768); #90778=LINE('',#515448,#127769); #90779=LINE('',#515450,#127770); #90780=LINE('',#515451,#127771); #90781=LINE('',#515454,#127772); #90782=LINE('',#515456,#127773); #90783=LINE('',#515457,#127774); #90784=LINE('',#515460,#127775); #90785=LINE('',#515462,#127776); #90786=LINE('',#515463,#127777); #90787=LINE('',#515467,#127778); #90788=LINE('',#515469,#127779); #90789=LINE('',#515471,#127780); #90790=LINE('',#515472,#127781); #90791=LINE('',#515474,#127782); #90792=LINE('',#515475,#127783); #90793=LINE('',#515478,#127784); #90794=LINE('',#515480,#127785); #90795=LINE('',#515481,#127786); #90796=LINE('',#515484,#127787); #90797=LINE('',#515486,#127788); #90798=LINE('',#515487,#127789); #90799=LINE('',#515491,#127790); #90800=LINE('',#515493,#127791); #90801=LINE('',#515495,#127792); #90802=LINE('',#515496,#127793); #90803=LINE('',#515498,#127794); #90804=LINE('',#515499,#127795); #90805=LINE('',#515502,#127796); #90806=LINE('',#515504,#127797); #90807=LINE('',#515505,#127798); #90808=LINE('',#515508,#127799); #90809=LINE('',#515510,#127800); #90810=LINE('',#515511,#127801); #90811=LINE('',#515515,#127802); #90812=LINE('',#515517,#127803); #90813=LINE('',#515519,#127804); #90814=LINE('',#515520,#127805); #90815=LINE('',#515522,#127806); #90816=LINE('',#515523,#127807); #90817=LINE('',#515526,#127808); #90818=LINE('',#515528,#127809); #90819=LINE('',#515529,#127810); #90820=LINE('',#515532,#127811); #90821=LINE('',#515534,#127812); #90822=LINE('',#515535,#127813); #90823=LINE('',#515539,#127814); #90824=LINE('',#515541,#127815); #90825=LINE('',#515543,#127816); #90826=LINE('',#515544,#127817); #90827=LINE('',#515546,#127818); #90828=LINE('',#515547,#127819); #90829=LINE('',#515550,#127820); #90830=LINE('',#515552,#127821); #90831=LINE('',#515553,#127822); #90832=LINE('',#515556,#127823); #90833=LINE('',#515558,#127824); #90834=LINE('',#515559,#127825); #90835=LINE('',#515563,#127826); #90836=LINE('',#515565,#127827); #90837=LINE('',#515567,#127828); #90838=LINE('',#515568,#127829); #90839=LINE('',#515570,#127830); #90840=LINE('',#515571,#127831); #90841=LINE('',#515574,#127832); #90842=LINE('',#515576,#127833); #90843=LINE('',#515577,#127834); #90844=LINE('',#515580,#127835); #90845=LINE('',#515582,#127836); #90846=LINE('',#515583,#127837); #90847=LINE('',#515586,#127838); #90848=LINE('',#515588,#127839); #90849=LINE('',#515589,#127840); #90850=LINE('',#515592,#127841); #90851=LINE('',#515594,#127842); #90852=LINE('',#515595,#127843); #90853=LINE('',#515599,#127844); #90854=LINE('',#515601,#127845); #90855=LINE('',#515603,#127846); #90856=LINE('',#515604,#127847); #90857=LINE('',#515606,#127848); #90858=LINE('',#515607,#127849); #90859=LINE('',#515610,#127850); #90860=LINE('',#515612,#127851); #90861=LINE('',#515613,#127852); #90862=LINE('',#515616,#127853); #90863=LINE('',#515618,#127854); #90864=LINE('',#515619,#127855); #90865=LINE('',#515623,#127856); #90866=LINE('',#515625,#127857); #90867=LINE('',#515627,#127858); #90868=LINE('',#515628,#127859); #90869=LINE('',#515630,#127860); #90870=LINE('',#515631,#127861); #90871=LINE('',#515634,#127862); #90872=LINE('',#515636,#127863); #90873=LINE('',#515637,#127864); #90874=LINE('',#515640,#127865); #90875=LINE('',#515642,#127866); #90876=LINE('',#515643,#127867); #90877=LINE('',#515647,#127868); #90878=LINE('',#515649,#127869); #90879=LINE('',#515651,#127870); #90880=LINE('',#515652,#127871); #90881=LINE('',#515654,#127872); #90882=LINE('',#515655,#127873); #90883=LINE('',#515658,#127874); #90884=LINE('',#515660,#127875); #90885=LINE('',#515661,#127876); #90886=LINE('',#515664,#127877); #90887=LINE('',#515666,#127878); #90888=LINE('',#515667,#127879); #90889=LINE('',#515671,#127880); #90890=LINE('',#515673,#127881); #90891=LINE('',#515675,#127882); #90892=LINE('',#515676,#127883); #90893=LINE('',#515678,#127884); #90894=LINE('',#515679,#127885); #90895=LINE('',#515682,#127886); #90896=LINE('',#515684,#127887); #90897=LINE('',#515685,#127888); #90898=LINE('',#515688,#127889); #90899=LINE('',#515690,#127890); #90900=LINE('',#515691,#127891); #90901=LINE('',#515695,#127892); #90902=LINE('',#515697,#127893); #90903=LINE('',#515699,#127894); #90904=LINE('',#515700,#127895); #90905=LINE('',#515702,#127896); #90906=LINE('',#515703,#127897); #90907=LINE('',#515706,#127898); #90908=LINE('',#515708,#127899); #90909=LINE('',#515709,#127900); #90910=LINE('',#515712,#127901); #90911=LINE('',#515714,#127902); #90912=LINE('',#515715,#127903); #90913=LINE('',#515719,#127904); #90914=LINE('',#515721,#127905); #90915=LINE('',#515722,#127906); #90916=LINE('',#515723,#127907); #90917=LINE('',#515726,#127908); #90918=LINE('',#515728,#127909); #90919=LINE('',#515730,#127910); #90920=LINE('',#515732,#127911); #90921=LINE('',#515733,#127912); #90922=LINE('',#515736,#127913); #90923=LINE('',#515737,#127914); #90924=LINE('',#515738,#127915); #90925=LINE('',#515740,#127916); #90926=LINE('',#515741,#127917); #90927=LINE('',#515742,#127918); #90928=LINE('',#515743,#127919); #90929=LINE('',#515744,#127920); #90930=LINE('',#515745,#127921); #90931=LINE('',#515746,#127922); #90932=LINE('',#515747,#127923); #90933=LINE('',#515748,#127924); #90934=LINE('',#515749,#127925); #90935=LINE('',#515750,#127926); #90936=LINE('',#515751,#127927); #90937=LINE('',#515752,#127928); #90938=LINE('',#515755,#127929); #90939=LINE('',#515757,#127930); #90940=LINE('',#515759,#127931); #90941=LINE('',#515761,#127932); #90942=LINE('',#515762,#127933); #90943=LINE('',#515765,#127934); #90944=LINE('',#515767,#127935); #90945=LINE('',#515769,#127936); #90946=LINE('',#515771,#127937); #90947=LINE('',#515772,#127938); #90948=LINE('',#515776,#127939); #90949=LINE('',#515780,#127940); #90950=LINE('',#515784,#127941); #90951=LINE('',#515786,#127942); #90952=LINE('',#515787,#127943); #90953=LINE('',#515791,#127944); #90954=LINE('',#515793,#127945); #90955=LINE('',#515795,#127946); #90956=LINE('',#515796,#127947); #90957=LINE('',#515800,#127948); #90958=LINE('',#515802,#127949); #90959=LINE('',#515804,#127950); #90960=LINE('',#515806,#127951); #90961=LINE('',#515808,#127952); #90962=LINE('',#515810,#127953); #90963=LINE('',#515812,#127954); #90964=LINE('',#515814,#127955); #90965=LINE('',#515816,#127956); #90966=LINE('',#515818,#127957); #90967=LINE('',#515820,#127958); #90968=LINE('',#515822,#127959); #90969=LINE('',#515824,#127960); #90970=LINE('',#515825,#127961); #90971=LINE('',#515829,#127962); #90972=LINE('',#515831,#127963); #90973=LINE('',#515833,#127964); #90974=LINE('',#515834,#127965); #90975=LINE('',#515837,#127966); #90976=LINE('',#515839,#127967); #90977=LINE('',#515840,#127968); #90978=LINE('',#515844,#127969); #90979=LINE('',#515846,#127970); #90980=LINE('',#515848,#127971); #90981=LINE('',#515852,#127972); #90982=LINE('',#515856,#127973); #90983=LINE('',#515858,#127974); #90984=LINE('',#515860,#127975); #90985=LINE('',#515864,#127976); #90986=LINE('',#515865,#127977); #90987=LINE('',#515867,#127978); #90988=LINE('',#515868,#127979); #90989=LINE('',#515871,#127980); #90990=LINE('',#515872,#127981); #90991=LINE('',#515874,#127982); #90992=LINE('',#515876,#127983); #90993=LINE('',#515878,#127984); #90994=LINE('',#515882,#127985); #90995=LINE('',#515887,#127986); #90996=LINE('',#515889,#127987); #90997=LINE('',#515891,#127988); #90998=LINE('',#515892,#127989); #90999=LINE('',#515894,#127990); #91000=LINE('',#515895,#127991); #91001=LINE('',#515897,#127992); #91002=LINE('',#515898,#127993); #91003=LINE('',#515900,#127994); #91004=LINE('',#515901,#127995); #91005=LINE('',#515906,#127996); #91006=LINE('',#515910,#127997); #91007=LINE('',#515912,#127998); #91008=LINE('',#515913,#127999); #91009=LINE('',#515914,#128000); #91010=LINE('',#515920,#128001); #91011=LINE('',#515923,#128002); #91012=LINE('',#515924,#128003); #91013=LINE('',#515925,#128004); #91014=LINE('',#515928,#128005); #91015=LINE('',#515929,#128006); #91016=LINE('',#515931,#128007); #91017=LINE('',#515933,#128008); #91018=LINE('',#515935,#128009); #91019=LINE('',#515936,#128010); #91020=LINE('',#515938,#128011); #91021=LINE('',#515940,#128012); #91022=LINE('',#515942,#128013); #91023=LINE('',#515943,#128014); #91024=LINE('',#515948,#128015); #91025=LINE('',#515952,#128016); #91026=LINE('',#515956,#128017); #91027=LINE('',#515958,#128018); #91028=LINE('',#515959,#128019); #91029=LINE('',#515963,#128020); #91030=LINE('',#515966,#128021); #91031=LINE('',#515970,#128022); #91032=LINE('',#515972,#128023); #91033=LINE('',#515974,#128024); #91034=LINE('',#515975,#128025); #91035=LINE('',#515977,#128026); #91036=LINE('',#515979,#128027); #91037=LINE('',#515980,#128028); #91038=LINE('',#515984,#128029); #91039=LINE('',#515988,#128030); #91040=LINE('',#515992,#128031); #91041=LINE('',#515996,#128032); #91042=LINE('',#516000,#128033); #91043=LINE('',#516002,#128034); #91044=LINE('',#516004,#128035); #91045=LINE('',#516005,#128036); #91046=LINE('',#516008,#128037); #91047=LINE('',#516010,#128038); #91048=LINE('',#516011,#128039); #91049=LINE('',#516014,#128040); #91050=LINE('',#516016,#128041); #91051=LINE('',#516017,#128042); #91052=LINE('',#516023,#128043); #91053=LINE('',#516026,#128044); #91054=LINE('',#516028,#128045); #91055=LINE('',#516029,#128046); #91056=LINE('',#516032,#128047); #91057=LINE('',#516034,#128048); #91058=LINE('',#516035,#128049); #91059=LINE('',#516039,#128050); #91060=LINE('',#516041,#128051); #91061=LINE('',#516043,#128052); #91062=LINE('',#516044,#128053); #91063=LINE('',#516048,#128054); #91064=LINE('',#516050,#128055); #91065=LINE('',#516052,#128056); #91066=LINE('',#516053,#128057); #91067=LINE('',#516056,#128058); #91068=LINE('',#516058,#128059); #91069=LINE('',#516059,#128060); #91070=LINE('',#516065,#128061); #91071=LINE('',#516067,#128062); #91072=LINE('',#516068,#128063); #91073=LINE('',#516071,#128064); #91074=LINE('',#516073,#128065); #91075=LINE('',#516074,#128066); #91076=LINE('',#516076,#128067); #91077=LINE('',#516077,#128068); #91078=LINE('',#516079,#128069); #91079=LINE('',#516081,#128070); #91080=LINE('',#516083,#128071); #91081=LINE('',#516085,#128072); #91082=LINE('',#516087,#128073); #91083=LINE('',#516089,#128074); #91084=LINE('',#516092,#128075); #91085=LINE('',#516094,#128076); #91086=LINE('',#516096,#128077); #91087=LINE('',#516099,#128078); #91088=LINE('',#516110,#128079); #91089=LINE('',#516112,#128080); #91090=LINE('',#516115,#128081); #91091=LINE('',#516117,#128082); #91092=LINE('',#516120,#128083); #91093=LINE('',#516123,#128084); #91094=LINE('',#516125,#128085); #91095=LINE('',#516126,#128086); #91096=LINE('',#516127,#128087); #91097=LINE('',#516129,#128088); #91098=LINE('',#516133,#128089); #91099=LINE('',#516135,#128090); #91100=LINE('',#516137,#128091); #91101=LINE('',#516138,#128092); #91102=LINE('',#516140,#128093); #91103=LINE('',#516141,#128094); #91104=LINE('',#516145,#128095); #91105=LINE('',#516147,#128096); #91106=LINE('',#516149,#128097); #91107=LINE('',#516150,#128098); #91108=LINE('',#516152,#128099); #91109=LINE('',#516153,#128100); #91110=LINE('',#516155,#128101); #91111=LINE('',#516158,#128102); #91112=LINE('',#516160,#128103); #91113=LINE('',#516161,#128104); #91114=LINE('',#516162,#128105); #91115=LINE('',#516164,#128106); #91116=LINE('',#516167,#128107); #91117=LINE('',#516169,#128108); #91118=LINE('',#516172,#128109); #91119=LINE('',#516175,#128110); #91120=LINE('',#516176,#128111); #91121=LINE('',#516179,#128112); #91122=LINE('',#516181,#128113); #91123=LINE('',#516182,#128114); #91124=LINE('',#516184,#128115); #91125=LINE('',#516185,#128116); #91126=LINE('',#516187,#128117); #91127=LINE('',#516189,#128118); #91128=LINE('',#516197,#128119); #91129=LINE('',#516204,#128120); #91130=LINE('',#516210,#128121); #91131=LINE('',#516212,#128122); #91132=LINE('',#516216,#128123); #91133=LINE('',#516217,#128124); #91134=LINE('',#516232,#128125); #91135=LINE('',#516235,#128126); #91136=LINE('',#516237,#128127); #91137=LINE('',#516240,#128128); #91138=LINE('',#516241,#128129); #91139=LINE('',#516242,#128130); #91140=LINE('',#516243,#128131); #91141=LINE('',#516244,#128132); #91142=LINE('',#516245,#128133); #91143=LINE('',#516246,#128134); #91144=LINE('',#516247,#128135); #91145=LINE('',#516248,#128136); #91146=LINE('',#516249,#128137); #91147=LINE('',#516250,#128138); #91148=LINE('',#516251,#128139); #91149=LINE('',#516253,#128140); #91150=LINE('',#516256,#128141); #91151=LINE('',#516259,#128142); #91152=LINE('',#516262,#128143); #91153=LINE('',#516265,#128144); #91154=LINE('',#516268,#128145); #91155=LINE('',#516271,#128146); #91156=LINE('',#516274,#128147); #91157=LINE('',#516277,#128148); #91158=LINE('',#516280,#128149); #91159=LINE('',#516283,#128150); #91160=LINE('',#516286,#128151); #91161=LINE('',#516291,#128152); #91162=LINE('',#516293,#128153); #91163=LINE('',#516294,#128154); #91164=LINE('',#516296,#128155); #91165=LINE('',#516297,#128156); #91166=LINE('',#516300,#128157); #91167=LINE('',#516301,#128158); #91168=LINE('',#516303,#128159); #91169=LINE('',#516305,#128160); #91170=LINE('',#516307,#128161); #91171=LINE('',#516308,#128162); #91172=LINE('',#516310,#128163); #91173=LINE('',#516311,#128164); #91174=LINE('',#516313,#128165); #91175=LINE('',#516314,#128166); #91176=LINE('',#516316,#128167); #91177=LINE('',#516319,#128168); #91178=LINE('',#516321,#128169); #91179=LINE('',#516322,#128170); #91180=LINE('',#516324,#128171); #91181=LINE('',#516326,#128172); #91182=LINE('',#516328,#128173); #91183=LINE('',#516330,#128174); #91184=LINE('',#516331,#128175); #91185=LINE('',#516334,#128176); #91186=LINE('',#516336,#128177); #91187=LINE('',#516338,#128178); #91188=LINE('',#516340,#128179); #91189=LINE('',#516342,#128180); #91190=LINE('',#516344,#128181); #91191=LINE('',#516346,#128182); #91192=LINE('',#516348,#128183); #91193=LINE('',#516350,#128184); #91194=LINE('',#516352,#128185); #91195=LINE('',#516354,#128186); #91196=LINE('',#516356,#128187); #91197=LINE('',#516358,#128188); #91198=LINE('',#516360,#128189); #91199=LINE('',#516361,#128190); #91200=LINE('',#516364,#128191); #91201=LINE('',#516365,#128192); #91202=LINE('',#516368,#128193); #91203=LINE('',#516369,#128194); #91204=LINE('',#516371,#128195); #91205=LINE('',#516372,#128196); #91206=LINE('',#516376,#128197); #91207=LINE('',#516377,#128198); #91208=LINE('',#516380,#128199); #91209=LINE('',#516381,#128200); #91210=LINE('',#516383,#128201); #91211=LINE('',#516384,#128202); #91212=LINE('',#516388,#128203); #91213=LINE('',#516389,#128204); #91214=LINE('',#516392,#128205); #91215=LINE('',#516393,#128206); #91216=LINE('',#516396,#128207); #91217=LINE('',#516397,#128208); #91218=LINE('',#516400,#128209); #91219=LINE('',#516401,#128210); #91220=LINE('',#516404,#128211); #91221=LINE('',#516405,#128212); #91222=LINE('',#516408,#128213); #91223=LINE('',#516409,#128214); #91224=LINE('',#516412,#128215); #91225=LINE('',#516413,#128216); #91226=LINE('',#516416,#128217); #91227=LINE('',#516417,#128218); #91228=LINE('',#516420,#128219); #91229=LINE('',#516421,#128220); #91230=LINE('',#516424,#128221); #91231=LINE('',#516425,#128222); #91232=LINE('',#516428,#128223); #91233=LINE('',#516429,#128224); #91234=LINE('',#516432,#128225); #91235=LINE('',#516433,#128226); #91236=LINE('',#516436,#128227); #91237=LINE('',#516437,#128228); #91238=LINE('',#516440,#128229); #91239=LINE('',#516441,#128230); #91240=LINE('',#516443,#128231); #91241=LINE('',#516446,#128232); #91242=LINE('',#516447,#128233); #91243=LINE('',#516450,#128234); #91244=LINE('',#516451,#128235); #91245=LINE('',#516454,#128236); #91246=LINE('',#516455,#128237); #91247=LINE('',#516458,#128238); #91248=LINE('',#516459,#128239); #91249=LINE('',#516462,#128240); #91250=LINE('',#516463,#128241); #91251=LINE('',#516466,#128242); #91252=LINE('',#516467,#128243); #91253=LINE('',#516470,#128244); #91254=LINE('',#516471,#128245); #91255=LINE('',#516474,#128246); #91256=LINE('',#516475,#128247); #91257=LINE('',#516478,#128248); #91258=LINE('',#516479,#128249); #91259=LINE('',#516482,#128250); #91260=LINE('',#516483,#128251); #91261=LINE('',#516486,#128252); #91262=LINE('',#516487,#128253); #91263=LINE('',#516490,#128254); #91264=LINE('',#516491,#128255); #91265=LINE('',#516494,#128256); #91266=LINE('',#516495,#128257); #91267=LINE('',#516498,#128258); #91268=LINE('',#516499,#128259); #91269=LINE('',#516501,#128260); #91270=LINE('',#516504,#128261); #91271=LINE('',#516506,#128262); #91272=LINE('',#516509,#128263); #91273=LINE('',#516511,#128264); #91274=LINE('',#516515,#128265); #91275=LINE('',#516522,#128266); #91276=LINE('',#516524,#128267); #91277=LINE('',#516526,#128268); #91278=LINE('',#516527,#128269); #91279=LINE('',#516530,#128270); #91280=LINE('',#516534,#128271); #91281=LINE('',#516538,#128272); #91282=LINE('',#516540,#128273); #91283=LINE('',#516542,#128274); #91284=LINE('',#516546,#128275); #91285=LINE('',#516549,#128276); #91286=LINE('',#516553,#128277); #91287=LINE('',#516555,#128278); #91288=LINE('',#516557,#128279); #91289=LINE('',#516561,#128280); #91290=LINE('',#516565,#128281); #91291=LINE('',#516567,#128282); #91292=LINE('',#516569,#128283); #91293=LINE('',#516573,#128284); #91294=LINE('',#516577,#128285); #91295=LINE('',#516579,#128286); #91296=LINE('',#516580,#128287); #91297=LINE('',#516584,#128288); #91298=LINE('',#516586,#128289); #91299=LINE('',#516587,#128290); #91300=LINE('',#516589,#128291); #91301=LINE('',#516590,#128292); #91302=LINE('',#516594,#128293); #91303=LINE('',#516595,#128294); #91304=LINE('',#516596,#128295); #91305=LINE('',#516599,#128296); #91306=LINE('',#516600,#128297); #91307=LINE('',#516602,#128298); #91308=LINE('',#516603,#128299); #91309=LINE('',#516605,#128300); #91310=LINE('',#516606,#128301); #91311=LINE('',#516608,#128302); #91312=LINE('',#516609,#128303); #91313=LINE('',#516611,#128304); #91314=LINE('',#516612,#128305); #91315=LINE('',#516614,#128306); #91316=LINE('',#516616,#128307); #91317=LINE('',#516618,#128308); #91318=LINE('',#516621,#128309); #91319=LINE('',#516623,#128310); #91320=LINE('',#516625,#128311); #91321=LINE('',#516633,#128312); #91322=LINE('',#516635,#128313); #91323=LINE('',#516637,#128314); #91324=LINE('',#516638,#128315); #91325=LINE('',#516641,#128316); #91326=LINE('',#516645,#128317); #91327=LINE('',#516649,#128318); #91328=LINE('',#516651,#128319); #91329=LINE('',#516653,#128320); #91330=LINE('',#516657,#128321); #91331=LINE('',#516660,#128322); #91332=LINE('',#516664,#128323); #91333=LINE('',#516666,#128324); #91334=LINE('',#516668,#128325); #91335=LINE('',#516672,#128326); #91336=LINE('',#516676,#128327); #91337=LINE('',#516678,#128328); #91338=LINE('',#516680,#128329); #91339=LINE('',#516684,#128330); #91340=LINE('',#516688,#128331); #91341=LINE('',#516690,#128332); #91342=LINE('',#516691,#128333); #91343=LINE('',#516695,#128334); #91344=LINE('',#516697,#128335); #91345=LINE('',#516698,#128336); #91346=LINE('',#516700,#128337); #91347=LINE('',#516701,#128338); #91348=LINE('',#516705,#128339); #91349=LINE('',#516706,#128340); #91350=LINE('',#516707,#128341); #91351=LINE('',#516710,#128342); #91352=LINE('',#516711,#128343); #91353=LINE('',#516713,#128344); #91354=LINE('',#516714,#128345); #91355=LINE('',#516716,#128346); #91356=LINE('',#516717,#128347); #91357=LINE('',#516719,#128348); #91358=LINE('',#516720,#128349); #91359=LINE('',#516722,#128350); #91360=LINE('',#516723,#128351); #91361=LINE('',#516725,#128352); #91362=LINE('',#516727,#128353); #91363=LINE('',#516729,#128354); #91364=LINE('',#516732,#128355); #91365=LINE('',#516734,#128356); #91366=LINE('',#516736,#128357); #91367=LINE('',#516744,#128358); #91368=LINE('',#516746,#128359); #91369=LINE('',#516748,#128360); #91370=LINE('',#516749,#128361); #91371=LINE('',#516752,#128362); #91372=LINE('',#516756,#128363); #91373=LINE('',#516760,#128364); #91374=LINE('',#516762,#128365); #91375=LINE('',#516764,#128366); #91376=LINE('',#516768,#128367); #91377=LINE('',#516771,#128368); #91378=LINE('',#516775,#128369); #91379=LINE('',#516777,#128370); #91380=LINE('',#516779,#128371); #91381=LINE('',#516783,#128372); #91382=LINE('',#516787,#128373); #91383=LINE('',#516789,#128374); #91384=LINE('',#516791,#128375); #91385=LINE('',#516795,#128376); #91386=LINE('',#516799,#128377); #91387=LINE('',#516801,#128378); #91388=LINE('',#516802,#128379); #91389=LINE('',#516806,#128380); #91390=LINE('',#516808,#128381); #91391=LINE('',#516809,#128382); #91392=LINE('',#516811,#128383); #91393=LINE('',#516812,#128384); #91394=LINE('',#516816,#128385); #91395=LINE('',#516817,#128386); #91396=LINE('',#516818,#128387); #91397=LINE('',#516821,#128388); #91398=LINE('',#516822,#128389); #91399=LINE('',#516824,#128390); #91400=LINE('',#516825,#128391); #91401=LINE('',#516827,#128392); #91402=LINE('',#516828,#128393); #91403=LINE('',#516830,#128394); #91404=LINE('',#516831,#128395); #91405=LINE('',#516833,#128396); #91406=LINE('',#516834,#128397); #91407=LINE('',#516836,#128398); #91408=LINE('',#516838,#128399); #91409=LINE('',#516840,#128400); #91410=LINE('',#516843,#128401); #91411=LINE('',#516845,#128402); #91412=LINE('',#516847,#128403); #91413=LINE('',#516855,#128404); #91414=LINE('',#516857,#128405); #91415=LINE('',#516859,#128406); #91416=LINE('',#516860,#128407); #91417=LINE('',#516863,#128408); #91418=LINE('',#516867,#128409); #91419=LINE('',#516871,#128410); #91420=LINE('',#516873,#128411); #91421=LINE('',#516875,#128412); #91422=LINE('',#516879,#128413); #91423=LINE('',#516882,#128414); #91424=LINE('',#516886,#128415); #91425=LINE('',#516888,#128416); #91426=LINE('',#516890,#128417); #91427=LINE('',#516894,#128418); #91428=LINE('',#516898,#128419); #91429=LINE('',#516900,#128420); #91430=LINE('',#516902,#128421); #91431=LINE('',#516906,#128422); #91432=LINE('',#516910,#128423); #91433=LINE('',#516912,#128424); #91434=LINE('',#516913,#128425); #91435=LINE('',#516917,#128426); #91436=LINE('',#516919,#128427); #91437=LINE('',#516920,#128428); #91438=LINE('',#516922,#128429); #91439=LINE('',#516923,#128430); #91440=LINE('',#516927,#128431); #91441=LINE('',#516928,#128432); #91442=LINE('',#516929,#128433); #91443=LINE('',#516932,#128434); #91444=LINE('',#516933,#128435); #91445=LINE('',#516935,#128436); #91446=LINE('',#516936,#128437); #91447=LINE('',#516938,#128438); #91448=LINE('',#516939,#128439); #91449=LINE('',#516941,#128440); #91450=LINE('',#516942,#128441); #91451=LINE('',#516944,#128442); #91452=LINE('',#516945,#128443); #91453=LINE('',#516947,#128444); #91454=LINE('',#516949,#128445); #91455=LINE('',#516951,#128446); #91456=LINE('',#516954,#128447); #91457=LINE('',#516956,#128448); #91458=LINE('',#516958,#128449); #91459=LINE('',#516966,#128450); #91460=LINE('',#516968,#128451); #91461=LINE('',#516970,#128452); #91462=LINE('',#516971,#128453); #91463=LINE('',#516974,#128454); #91464=LINE('',#516978,#128455); #91465=LINE('',#516982,#128456); #91466=LINE('',#516984,#128457); #91467=LINE('',#516986,#128458); #91468=LINE('',#516990,#128459); #91469=LINE('',#516993,#128460); #91470=LINE('',#516997,#128461); #91471=LINE('',#516999,#128462); #91472=LINE('',#517001,#128463); #91473=LINE('',#517005,#128464); #91474=LINE('',#517009,#128465); #91475=LINE('',#517011,#128466); #91476=LINE('',#517013,#128467); #91477=LINE('',#517017,#128468); #91478=LINE('',#517021,#128469); #91479=LINE('',#517023,#128470); #91480=LINE('',#517024,#128471); #91481=LINE('',#517028,#128472); #91482=LINE('',#517030,#128473); #91483=LINE('',#517031,#128474); #91484=LINE('',#517033,#128475); #91485=LINE('',#517034,#128476); #91486=LINE('',#517038,#128477); #91487=LINE('',#517039,#128478); #91488=LINE('',#517040,#128479); #91489=LINE('',#517043,#128480); #91490=LINE('',#517044,#128481); #91491=LINE('',#517046,#128482); #91492=LINE('',#517047,#128483); #91493=LINE('',#517049,#128484); #91494=LINE('',#517050,#128485); #91495=LINE('',#517052,#128486); #91496=LINE('',#517053,#128487); #91497=LINE('',#517055,#128488); #91498=LINE('',#517056,#128489); #91499=LINE('',#517058,#128490); #91500=LINE('',#517060,#128491); #91501=LINE('',#517062,#128492); #91502=LINE('',#517065,#128493); #91503=LINE('',#517067,#128494); #91504=LINE('',#517069,#128495); #91505=LINE('',#517077,#128496); #91506=LINE('',#517079,#128497); #91507=LINE('',#517081,#128498); #91508=LINE('',#517082,#128499); #91509=LINE('',#517085,#128500); #91510=LINE('',#517089,#128501); #91511=LINE('',#517093,#128502); #91512=LINE('',#517095,#128503); #91513=LINE('',#517097,#128504); #91514=LINE('',#517101,#128505); #91515=LINE('',#517104,#128506); #91516=LINE('',#517108,#128507); #91517=LINE('',#517110,#128508); #91518=LINE('',#517112,#128509); #91519=LINE('',#517116,#128510); #91520=LINE('',#517120,#128511); #91521=LINE('',#517122,#128512); #91522=LINE('',#517124,#128513); #91523=LINE('',#517128,#128514); #91524=LINE('',#517132,#128515); #91525=LINE('',#517134,#128516); #91526=LINE('',#517135,#128517); #91527=LINE('',#517139,#128518); #91528=LINE('',#517141,#128519); #91529=LINE('',#517142,#128520); #91530=LINE('',#517144,#128521); #91531=LINE('',#517145,#128522); #91532=LINE('',#517149,#128523); #91533=LINE('',#517150,#128524); #91534=LINE('',#517151,#128525); #91535=LINE('',#517154,#128526); #91536=LINE('',#517155,#128527); #91537=LINE('',#517157,#128528); #91538=LINE('',#517158,#128529); #91539=LINE('',#517160,#128530); #91540=LINE('',#517161,#128531); #91541=LINE('',#517163,#128532); #91542=LINE('',#517164,#128533); #91543=LINE('',#517166,#128534); #91544=LINE('',#517167,#128535); #91545=LINE('',#517169,#128536); #91546=LINE('',#517171,#128537); #91547=LINE('',#517173,#128538); #91548=LINE('',#517176,#128539); #91549=LINE('',#517178,#128540); #91550=LINE('',#517180,#128541); #91551=LINE('',#517188,#128542); #91552=LINE('',#517190,#128543); #91553=LINE('',#517192,#128544); #91554=LINE('',#517193,#128545); #91555=LINE('',#517196,#128546); #91556=LINE('',#517200,#128547); #91557=LINE('',#517204,#128548); #91558=LINE('',#517206,#128549); #91559=LINE('',#517208,#128550); #91560=LINE('',#517212,#128551); #91561=LINE('',#517215,#128552); #91562=LINE('',#517219,#128553); #91563=LINE('',#517221,#128554); #91564=LINE('',#517223,#128555); #91565=LINE('',#517227,#128556); #91566=LINE('',#517231,#128557); #91567=LINE('',#517233,#128558); #91568=LINE('',#517235,#128559); #91569=LINE('',#517239,#128560); #91570=LINE('',#517243,#128561); #91571=LINE('',#517245,#128562); #91572=LINE('',#517246,#128563); #91573=LINE('',#517250,#128564); #91574=LINE('',#517252,#128565); #91575=LINE('',#517253,#128566); #91576=LINE('',#517255,#128567); #91577=LINE('',#517256,#128568); #91578=LINE('',#517260,#128569); #91579=LINE('',#517261,#128570); #91580=LINE('',#517262,#128571); #91581=LINE('',#517265,#128572); #91582=LINE('',#517266,#128573); #91583=LINE('',#517268,#128574); #91584=LINE('',#517269,#128575); #91585=LINE('',#517271,#128576); #91586=LINE('',#517272,#128577); #91587=LINE('',#517274,#128578); #91588=LINE('',#517275,#128579); #91589=LINE('',#517277,#128580); #91590=LINE('',#517278,#128581); #91591=LINE('',#517280,#128582); #91592=LINE('',#517282,#128583); #91593=LINE('',#517284,#128584); #91594=LINE('',#517287,#128585); #91595=LINE('',#517289,#128586); #91596=LINE('',#517291,#128587); #91597=LINE('',#517299,#128588); #91598=LINE('',#517301,#128589); #91599=LINE('',#517303,#128590); #91600=LINE('',#517304,#128591); #91601=LINE('',#517307,#128592); #91602=LINE('',#517309,#128593); #91603=LINE('',#517313,#128594); #91604=LINE('',#517317,#128595); #91605=LINE('',#517319,#128596); #91606=LINE('',#517321,#128597); #91607=LINE('',#517325,#128598); #91608=LINE('',#517330,#128599); #91609=LINE('',#517334,#128600); #91610=LINE('',#517338,#128601); #91611=LINE('',#517340,#128602); #91612=LINE('',#517342,#128603); #91613=LINE('',#517346,#128604); #91614=LINE('',#517350,#128605); #91615=LINE('',#517351,#128606); #91616=LINE('',#517354,#128607); #91617=LINE('',#517356,#128608); #91618=LINE('',#517357,#128609); #91619=LINE('',#517361,#128610); #91620=LINE('',#517363,#128611); #91621=LINE('',#517364,#128612); #91622=LINE('',#517366,#128613); #91623=LINE('',#517367,#128614); #91624=LINE('',#517371,#128615); #91625=LINE('',#517372,#128616); #91626=LINE('',#517373,#128617); #91627=LINE('',#517376,#128618); #91628=LINE('',#517378,#128619); #91629=LINE('',#517379,#128620); #91630=LINE('',#517380,#128621); #91631=LINE('',#517382,#128622); #91632=LINE('',#517383,#128623); #91633=LINE('',#517385,#128624); #91634=LINE('',#517386,#128625); #91635=LINE('',#517388,#128626); #91636=LINE('',#517389,#128627); #91637=LINE('',#517391,#128628); #91638=LINE('',#517393,#128629); #91639=LINE('',#517395,#128630); #91640=LINE('',#517398,#128631); #91641=LINE('',#517400,#128632); #91642=LINE('',#517402,#128633); #91643=LINE('',#517410,#128634); #91644=LINE('',#517412,#128635); #91645=LINE('',#517414,#128636); #91646=LINE('',#517415,#128637); #91647=LINE('',#517418,#128638); #91648=LINE('',#517420,#128639); #91649=LINE('',#517424,#128640); #91650=LINE('',#517428,#128641); #91651=LINE('',#517430,#128642); #91652=LINE('',#517432,#128643); #91653=LINE('',#517436,#128644); #91654=LINE('',#517441,#128645); #91655=LINE('',#517445,#128646); #91656=LINE('',#517449,#128647); #91657=LINE('',#517451,#128648); #91658=LINE('',#517453,#128649); #91659=LINE('',#517457,#128650); #91660=LINE('',#517461,#128651); #91661=LINE('',#517462,#128652); #91662=LINE('',#517465,#128653); #91663=LINE('',#517467,#128654); #91664=LINE('',#517468,#128655); #91665=LINE('',#517472,#128656); #91666=LINE('',#517474,#128657); #91667=LINE('',#517475,#128658); #91668=LINE('',#517477,#128659); #91669=LINE('',#517478,#128660); #91670=LINE('',#517482,#128661); #91671=LINE('',#517483,#128662); #91672=LINE('',#517484,#128663); #91673=LINE('',#517487,#128664); #91674=LINE('',#517489,#128665); #91675=LINE('',#517490,#128666); #91676=LINE('',#517491,#128667); #91677=LINE('',#517493,#128668); #91678=LINE('',#517494,#128669); #91679=LINE('',#517496,#128670); #91680=LINE('',#517497,#128671); #91681=LINE('',#517499,#128672); #91682=LINE('',#517500,#128673); #91683=LINE('',#517502,#128674); #91684=LINE('',#517504,#128675); #91685=LINE('',#517506,#128676); #91686=LINE('',#517509,#128677); #91687=LINE('',#517511,#128678); #91688=LINE('',#517513,#128679); #91689=LINE('',#517521,#128680); #91690=LINE('',#517523,#128681); #91691=LINE('',#517525,#128682); #91692=LINE('',#517526,#128683); #91693=LINE('',#517529,#128684); #91694=LINE('',#517531,#128685); #91695=LINE('',#517535,#128686); #91696=LINE('',#517539,#128687); #91697=LINE('',#517541,#128688); #91698=LINE('',#517543,#128689); #91699=LINE('',#517547,#128690); #91700=LINE('',#517552,#128691); #91701=LINE('',#517556,#128692); #91702=LINE('',#517560,#128693); #91703=LINE('',#517562,#128694); #91704=LINE('',#517564,#128695); #91705=LINE('',#517568,#128696); #91706=LINE('',#517572,#128697); #91707=LINE('',#517573,#128698); #91708=LINE('',#517576,#128699); #91709=LINE('',#517578,#128700); #91710=LINE('',#517579,#128701); #91711=LINE('',#517583,#128702); #91712=LINE('',#517585,#128703); #91713=LINE('',#517586,#128704); #91714=LINE('',#517588,#128705); #91715=LINE('',#517589,#128706); #91716=LINE('',#517593,#128707); #91717=LINE('',#517594,#128708); #91718=LINE('',#517595,#128709); #91719=LINE('',#517598,#128710); #91720=LINE('',#517600,#128711); #91721=LINE('',#517601,#128712); #91722=LINE('',#517602,#128713); #91723=LINE('',#517604,#128714); #91724=LINE('',#517605,#128715); #91725=LINE('',#517607,#128716); #91726=LINE('',#517608,#128717); #91727=LINE('',#517610,#128718); #91728=LINE('',#517611,#128719); #91729=LINE('',#517613,#128720); #91730=LINE('',#517615,#128721); #91731=LINE('',#517617,#128722); #91732=LINE('',#517620,#128723); #91733=LINE('',#517622,#128724); #91734=LINE('',#517624,#128725); #91735=LINE('',#517632,#128726); #91736=LINE('',#517634,#128727); #91737=LINE('',#517636,#128728); #91738=LINE('',#517637,#128729); #91739=LINE('',#517640,#128730); #91740=LINE('',#517642,#128731); #91741=LINE('',#517646,#128732); #91742=LINE('',#517650,#128733); #91743=LINE('',#517652,#128734); #91744=LINE('',#517654,#128735); #91745=LINE('',#517658,#128736); #91746=LINE('',#517663,#128737); #91747=LINE('',#517667,#128738); #91748=LINE('',#517671,#128739); #91749=LINE('',#517673,#128740); #91750=LINE('',#517675,#128741); #91751=LINE('',#517679,#128742); #91752=LINE('',#517683,#128743); #91753=LINE('',#517684,#128744); #91754=LINE('',#517687,#128745); #91755=LINE('',#517689,#128746); #91756=LINE('',#517690,#128747); #91757=LINE('',#517694,#128748); #91758=LINE('',#517696,#128749); #91759=LINE('',#517697,#128750); #91760=LINE('',#517699,#128751); #91761=LINE('',#517700,#128752); #91762=LINE('',#517704,#128753); #91763=LINE('',#517705,#128754); #91764=LINE('',#517706,#128755); #91765=LINE('',#517709,#128756); #91766=LINE('',#517711,#128757); #91767=LINE('',#517712,#128758); #91768=LINE('',#517713,#128759); #91769=LINE('',#517715,#128760); #91770=LINE('',#517716,#128761); #91771=LINE('',#517718,#128762); #91772=LINE('',#517719,#128763); #91773=LINE('',#517721,#128764); #91774=LINE('',#517722,#128765); #91775=LINE('',#517724,#128766); #91776=LINE('',#517726,#128767); #91777=LINE('',#517728,#128768); #91778=LINE('',#517731,#128769); #91779=LINE('',#517733,#128770); #91780=LINE('',#517735,#128771); #91781=LINE('',#517743,#128772); #91782=LINE('',#517745,#128773); #91783=LINE('',#517747,#128774); #91784=LINE('',#517748,#128775); #91785=LINE('',#517751,#128776); #91786=LINE('',#517753,#128777); #91787=LINE('',#517757,#128778); #91788=LINE('',#517761,#128779); #91789=LINE('',#517763,#128780); #91790=LINE('',#517765,#128781); #91791=LINE('',#517769,#128782); #91792=LINE('',#517774,#128783); #91793=LINE('',#517778,#128784); #91794=LINE('',#517782,#128785); #91795=LINE('',#517784,#128786); #91796=LINE('',#517786,#128787); #91797=LINE('',#517790,#128788); #91798=LINE('',#517794,#128789); #91799=LINE('',#517795,#128790); #91800=LINE('',#517798,#128791); #91801=LINE('',#517800,#128792); #91802=LINE('',#517801,#128793); #91803=LINE('',#517805,#128794); #91804=LINE('',#517807,#128795); #91805=LINE('',#517808,#128796); #91806=LINE('',#517810,#128797); #91807=LINE('',#517811,#128798); #91808=LINE('',#517815,#128799); #91809=LINE('',#517816,#128800); #91810=LINE('',#517817,#128801); #91811=LINE('',#517820,#128802); #91812=LINE('',#517822,#128803); #91813=LINE('',#517823,#128804); #91814=LINE('',#517824,#128805); #91815=LINE('',#517826,#128806); #91816=LINE('',#517827,#128807); #91817=LINE('',#517829,#128808); #91818=LINE('',#517830,#128809); #91819=LINE('',#517832,#128810); #91820=LINE('',#517833,#128811); #91821=LINE('',#517835,#128812); #91822=LINE('',#517837,#128813); #91823=LINE('',#517839,#128814); #91824=LINE('',#517842,#128815); #91825=LINE('',#517844,#128816); #91826=LINE('',#517846,#128817); #91827=LINE('',#517854,#128818); #91828=LINE('',#517856,#128819); #91829=LINE('',#517858,#128820); #91830=LINE('',#517859,#128821); #91831=LINE('',#517862,#128822); #91832=LINE('',#517864,#128823); #91833=LINE('',#517868,#128824); #91834=LINE('',#517872,#128825); #91835=LINE('',#517874,#128826); #91836=LINE('',#517876,#128827); #91837=LINE('',#517880,#128828); #91838=LINE('',#517885,#128829); #91839=LINE('',#517889,#128830); #91840=LINE('',#517893,#128831); #91841=LINE('',#517895,#128832); #91842=LINE('',#517897,#128833); #91843=LINE('',#517901,#128834); #91844=LINE('',#517905,#128835); #91845=LINE('',#517906,#128836); #91846=LINE('',#517909,#128837); #91847=LINE('',#517911,#128838); #91848=LINE('',#517912,#128839); #91849=LINE('',#517916,#128840); #91850=LINE('',#517918,#128841); #91851=LINE('',#517919,#128842); #91852=LINE('',#517921,#128843); #91853=LINE('',#517922,#128844); #91854=LINE('',#517926,#128845); #91855=LINE('',#517927,#128846); #91856=LINE('',#517928,#128847); #91857=LINE('',#517931,#128848); #91858=LINE('',#517933,#128849); #91859=LINE('',#517934,#128850); #91860=LINE('',#517935,#128851); #91861=LINE('',#517937,#128852); #91862=LINE('',#517938,#128853); #91863=LINE('',#517940,#128854); #91864=LINE('',#517941,#128855); #91865=LINE('',#517943,#128856); #91866=LINE('',#517944,#128857); #91867=LINE('',#517946,#128858); #91868=LINE('',#517948,#128859); #91869=LINE('',#517950,#128860); #91870=LINE('',#517953,#128861); #91871=LINE('',#517955,#128862); #91872=LINE('',#517957,#128863); #91873=LINE('',#517965,#128864); #91874=LINE('',#517967,#128865); #91875=LINE('',#517969,#128866); #91876=LINE('',#517970,#128867); #91877=LINE('',#517973,#128868); #91878=LINE('',#517975,#128869); #91879=LINE('',#517979,#128870); #91880=LINE('',#517983,#128871); #91881=LINE('',#517985,#128872); #91882=LINE('',#517987,#128873); #91883=LINE('',#517991,#128874); #91884=LINE('',#517996,#128875); #91885=LINE('',#518000,#128876); #91886=LINE('',#518004,#128877); #91887=LINE('',#518006,#128878); #91888=LINE('',#518008,#128879); #91889=LINE('',#518012,#128880); #91890=LINE('',#518016,#128881); #91891=LINE('',#518017,#128882); #91892=LINE('',#518020,#128883); #91893=LINE('',#518022,#128884); #91894=LINE('',#518023,#128885); #91895=LINE('',#518027,#128886); #91896=LINE('',#518029,#128887); #91897=LINE('',#518030,#128888); #91898=LINE('',#518032,#128889); #91899=LINE('',#518033,#128890); #91900=LINE('',#518037,#128891); #91901=LINE('',#518038,#128892); #91902=LINE('',#518039,#128893); #91903=LINE('',#518042,#128894); #91904=LINE('',#518044,#128895); #91905=LINE('',#518045,#128896); #91906=LINE('',#518046,#128897); #91907=LINE('',#518048,#128898); #91908=LINE('',#518049,#128899); #91909=LINE('',#518051,#128900); #91910=LINE('',#518052,#128901); #91911=LINE('',#518054,#128902); #91912=LINE('',#518055,#128903); #91913=LINE('',#518057,#128904); #91914=LINE('',#518059,#128905); #91915=LINE('',#518061,#128906); #91916=LINE('',#518064,#128907); #91917=LINE('',#518066,#128908); #91918=LINE('',#518068,#128909); #91919=LINE('',#518076,#128910); #91920=LINE('',#518078,#128911); #91921=LINE('',#518080,#128912); #91922=LINE('',#518081,#128913); #91923=LINE('',#518084,#128914); #91924=LINE('',#518086,#128915); #91925=LINE('',#518087,#128916); #91926=LINE('',#518090,#128917); #91927=LINE('',#518092,#128918); #91928=LINE('',#518093,#128919); #91929=LINE('',#518096,#128920); #91930=LINE('',#518098,#128921); #91931=LINE('',#518099,#128922); #91932=LINE('',#518104,#128923); #91933=LINE('',#518108,#128924); #91934=LINE('',#518110,#128925); #91935=LINE('',#518111,#128926); #91936=LINE('',#518114,#128927); #91937=LINE('',#518116,#128928); #91938=LINE('',#518117,#128929); #91939=LINE('',#518120,#128930); #91940=LINE('',#518122,#128931); #91941=LINE('',#518123,#128932); #91942=LINE('',#518128,#128933); #91943=LINE('',#518132,#128934); #91944=LINE('',#518134,#128935); #91945=LINE('',#518135,#128936); #91946=LINE('',#518140,#128937); #91947=LINE('',#518146,#128938); #91948=LINE('',#518150,#128939); #91949=LINE('',#518152,#128940); #91950=LINE('',#518153,#128941); #91951=LINE('',#518158,#128942); #91952=LINE('',#518162,#128943); #91953=LINE('',#518164,#128944); #91954=LINE('',#518165,#128945); #91955=LINE('',#518170,#128946); #91956=LINE('',#518174,#128947); #91957=LINE('',#518176,#128948); #91958=LINE('',#518177,#128949); #91959=LINE('',#518180,#128950); #91960=LINE('',#518182,#128951); #91961=LINE('',#518183,#128952); #91962=LINE('',#518186,#128953); #91963=LINE('',#518188,#128954); #91964=LINE('',#518189,#128955); #91965=LINE('',#518192,#128956); #91966=LINE('',#518194,#128957); #91967=LINE('',#518195,#128958); #91968=LINE('',#518198,#128959); #91969=LINE('',#518200,#128960); #91970=LINE('',#518201,#128961); #91971=LINE('',#518204,#128962); #91972=LINE('',#518206,#128963); #91973=LINE('',#518207,#128964); #91974=LINE('',#518210,#128965); #91975=LINE('',#518212,#128966); #91976=LINE('',#518213,#128967); #91977=LINE('',#518218,#128968); #91978=LINE('',#518222,#128969); #91979=LINE('',#518224,#128970); #91980=LINE('',#518225,#128971); #91981=LINE('',#518230,#128972); #91982=LINE('',#518234,#128973); #91983=LINE('',#518236,#128974); #91984=LINE('',#518237,#128975); #91985=LINE('',#518242,#128976); #91986=LINE('',#518248,#128977); #91987=LINE('',#518252,#128978); #91988=LINE('',#518254,#128979); #91989=LINE('',#518255,#128980); #91990=LINE('',#518260,#128981); #91991=LINE('',#518264,#128982); #91992=LINE('',#518266,#128983); #91993=LINE('',#518267,#128984); #91994=LINE('',#518270,#128985); #91995=LINE('',#518272,#128986); #91996=LINE('',#518273,#128987); #91997=LINE('',#518276,#128988); #91998=LINE('',#518278,#128989); #91999=LINE('',#518279,#128990); #92000=LINE('',#518284,#128991); #92001=LINE('',#518287,#128992); #92002=LINE('',#518288,#128993); #92003=LINE('',#518295,#128994); #92004=LINE('',#518297,#128995); #92005=LINE('',#518299,#128996); #92006=LINE('',#518300,#128997); #92007=LINE('',#518304,#128998); #92008=LINE('',#518306,#128999); #92009=LINE('',#518308,#129000); #92010=LINE('',#518309,#129001); #92011=LINE('',#518315,#129002); #92012=LINE('',#518319,#129003); #92013=LINE('',#518323,#129004); #92014=LINE('',#518327,#129005); #92015=LINE('',#518331,#129006); #92016=LINE('',#518335,#129007); #92017=LINE('',#518339,#129008); #92018=LINE('',#518343,#129009); #92019=LINE('',#518345,#129010); #92020=LINE('',#518347,#129011); #92021=LINE('',#518349,#129012); #92022=LINE('',#518353,#129013); #92023=LINE('',#518355,#129014); #92024=LINE('',#518359,#129015); #92025=LINE('',#518363,#129016); #92026=LINE('',#518369,#129017); #92027=LINE('',#518373,#129018); #92028=LINE('',#518377,#129019); #92029=LINE('',#518381,#129020); #92030=LINE('',#518383,#129021); #92031=LINE('',#518387,#129022); #92032=LINE('',#518388,#129023); #92033=LINE('',#518391,#129024); #92034=LINE('',#518393,#129025); #92035=LINE('',#518395,#129026); #92036=LINE('',#518397,#129027); #92037=LINE('',#518399,#129028); #92038=LINE('',#518401,#129029); #92039=LINE('',#518403,#129030); #92040=LINE('',#518404,#129031); #92041=LINE('',#518408,#129032); #92042=LINE('',#518412,#129033); #92043=LINE('',#518414,#129034); #92044=LINE('',#518418,#129035); #92045=LINE('',#518420,#129036); #92046=LINE('',#518424,#129037); #92047=LINE('',#518428,#129038); #92048=LINE('',#518432,#129039); #92049=LINE('',#518438,#129040); #92050=LINE('',#518442,#129041); #92051=LINE('',#518446,#129042); #92052=LINE('',#518448,#129043); #92053=LINE('',#518452,#129044); #92054=LINE('',#518454,#129045); #92055=LINE('',#518456,#129046); #92056=LINE('',#518458,#129047); #92057=LINE('',#518462,#129048); #92058=LINE('',#518466,#129049); #92059=LINE('',#518470,#129050); #92060=LINE('',#518474,#129051); #92061=LINE('',#518478,#129052); #92062=LINE('',#518482,#129053); #92063=LINE('',#518486,#129054); #92064=LINE('',#518488,#129055); #92065=LINE('',#518490,#129056); #92066=LINE('',#518492,#129057); #92067=LINE('',#518494,#129058); #92068=LINE('',#518496,#129059); #92069=LINE('',#518498,#129060); #92070=LINE('',#518499,#129061); #92071=LINE('',#518501,#129062); #92072=LINE('',#518502,#129063); #92073=LINE('',#518504,#129064); #92074=LINE('',#518506,#129065); #92075=LINE('',#518507,#129066); #92076=LINE('',#518513,#129067); #92077=LINE('',#518515,#129068); #92078=LINE('',#518518,#129069); #92079=LINE('',#518524,#129070); #92080=LINE('',#518526,#129071); #92081=LINE('',#518529,#129072); #92082=LINE('',#518534,#129073); #92083=LINE('',#518536,#129074); #92084=LINE('',#518539,#129075); #92085=LINE('',#518541,#129076); #92086=LINE('',#518543,#129077); #92087=LINE('',#518545,#129078); #92088=LINE('',#518547,#129079); #92089=LINE('',#518548,#129080); #92090=LINE('',#518551,#129081); #92091=LINE('',#518553,#129082); #92092=LINE('',#518555,#129083); #92093=LINE('',#518557,#129084); #92094=LINE('',#518559,#129085); #92095=LINE('',#518561,#129086); #92096=LINE('',#518563,#129087); #92097=LINE('',#518564,#129088); #92098=LINE('',#518567,#129089); #92099=LINE('',#518569,#129090); #92100=LINE('',#518571,#129091); #92101=LINE('',#518573,#129092); #92102=LINE('',#518575,#129093); #92103=LINE('',#518577,#129094); #92104=LINE('',#518579,#129095); #92105=LINE('',#518580,#129096); #92106=LINE('',#518584,#129097); #92107=LINE('',#518588,#129098); #92108=LINE('',#518594,#129099); #92109=LINE('',#518598,#129100); #92110=LINE('',#518602,#129101); #92111=LINE('',#518606,#129102); #92112=LINE('',#518610,#129103); #92113=LINE('',#518612,#129104); #92114=LINE('',#518614,#129105); #92115=LINE('',#518620,#129106); #92116=LINE('',#518622,#129107); #92117=LINE('',#518624,#129108); #92118=LINE('',#518626,#129109); #92119=LINE('',#518628,#129110); #92120=LINE('',#518632,#129111); #92121=LINE('',#518636,#129112); #92122=LINE('',#518640,#129113); #92123=LINE('',#518644,#129114); #92124=LINE('',#518648,#129115); #92125=LINE('',#518656,#129116); #92126=LINE('',#518660,#129117); #92127=LINE('',#518664,#129118); #92128=LINE('',#518665,#129119); #92129=LINE('',#518668,#129120); #92130=LINE('',#518670,#129121); #92131=LINE('',#518672,#129122); #92132=LINE('',#518673,#129123); #92133=LINE('',#518677,#129124); #92134=LINE('',#518681,#129125); #92135=LINE('',#518683,#129126); #92136=LINE('',#518685,#129127); #92137=LINE('',#518689,#129128); #92138=LINE('',#518690,#129129); #92139=LINE('',#518695,#129130); #92140=LINE('',#518699,#129131); #92141=LINE('',#518703,#129132); #92142=LINE('',#518707,#129133); #92143=LINE('',#518713,#129134); #92144=LINE('',#518717,#129135); #92145=LINE('',#518721,#129136); #92146=LINE('',#518723,#129137); #92147=LINE('',#518727,#129138); #92148=LINE('',#518729,#129139); #92149=LINE('',#518731,#129140); #92150=LINE('',#518733,#129141); #92151=LINE('',#518737,#129142); #92152=LINE('',#518741,#129143); #92153=LINE('',#518745,#129144); #92154=LINE('',#518750,#129145); #92155=LINE('',#518754,#129146); #92156=LINE('',#518758,#129147); #92157=LINE('',#518760,#129148); #92158=LINE('',#518763,#129149); #92159=LINE('',#518765,#129150); #92160=LINE('',#518767,#129151); #92161=LINE('',#518769,#129152); #92162=LINE('',#518771,#129153); #92163=LINE('',#518773,#129154); #92164=LINE('',#518775,#129155); #92165=LINE('',#518776,#129156); #92166=LINE('',#518779,#129157); #92167=LINE('',#518781,#129158); #92168=LINE('',#518782,#129159); #92169=LINE('',#518785,#129160); #92170=LINE('',#518787,#129161); #92171=LINE('',#518788,#129162); #92172=LINE('',#518791,#129163); #92173=LINE('',#518793,#129164); #92174=LINE('',#518794,#129165); #92175=LINE('',#518797,#129166); #92176=LINE('',#518799,#129167); #92177=LINE('',#518800,#129168); #92178=LINE('',#518810,#129169); #92179=LINE('',#518812,#129170); #92180=LINE('',#518813,#129171); #92181=LINE('',#518816,#129172); #92182=LINE('',#518820,#129173); #92183=LINE('',#518821,#129174); #92184=LINE('',#518826,#129175); #92185=LINE('',#518828,#129176); #92186=LINE('',#518830,#129177); #92187=LINE('',#518832,#129178); #92188=LINE('',#518838,#129179); #92189=LINE('',#518840,#129180); #92190=LINE('',#518842,#129181); #92191=LINE('',#518846,#129182); #92192=LINE('',#518850,#129183); #92193=LINE('',#518854,#129184); #92194=LINE('',#518858,#129185); #92195=LINE('',#518864,#129186); #92196=LINE('',#518868,#129187); #92197=LINE('',#518870,#129188); #92198=LINE('',#518872,#129189); #92199=LINE('',#518876,#129190); #92200=LINE('',#518880,#129191); #92201=LINE('',#518888,#129192); #92202=LINE('',#518892,#129193); #92203=LINE('',#518896,#129194); #92204=LINE('',#518900,#129195); #92205=LINE('',#518904,#129196); #92206=LINE('',#518907,#129197); #92207=LINE('',#518910,#129198); #92208=LINE('',#518912,#129199); #92209=LINE('',#518914,#129200); #92210=LINE('',#518915,#129201); #92211=LINE('',#518918,#129202); #92212=LINE('',#518919,#129203); #92213=LINE('',#518920,#129204); #92214=LINE('',#518922,#129205); #92215=LINE('',#518923,#129206); #92216=LINE('',#518925,#129207); #92217=LINE('',#518926,#129208); #92218=LINE('',#518929,#129209); #92219=LINE('',#518931,#129210); #92220=LINE('',#518932,#129211); #92221=LINE('',#518935,#129212); #92222=LINE('',#518937,#129213); #92223=LINE('',#518938,#129214); #92224=LINE('',#518942,#129215); #92225=LINE('',#518944,#129216); #92226=LINE('',#518946,#129217); #92227=LINE('',#518948,#129218); #92228=LINE('',#518950,#129219); #92229=LINE('',#518954,#129220); #92230=LINE('',#518958,#129221); #92231=LINE('',#518962,#129222); #92232=LINE('',#518966,#129223); #92233=LINE('',#518970,#129224); #92234=LINE('',#518978,#129225); #92235=LINE('',#518982,#129226); #92236=LINE('',#518986,#129227); #92237=LINE('',#518988,#129228); #92238=LINE('',#518990,#129229); #92239=LINE('',#518994,#129230); #92240=LINE('',#519000,#129231); #92241=LINE('',#519004,#129232); #92242=LINE('',#519008,#129233); #92243=LINE('',#519012,#129234); #92244=LINE('',#519016,#129235); #92245=LINE('',#519017,#129236); #92246=LINE('',#519020,#129237); #92247=LINE('',#519022,#129238); #92248=LINE('',#519024,#129239); #92249=LINE('',#519028,#129240); #92250=LINE('',#519034,#129241); #92251=LINE('',#519036,#129242); #92252=LINE('',#519042,#129243); #92253=LINE('',#519045,#129244); #92254=LINE('',#519049,#129245); #92255=LINE('',#519053,#129246); #92256=LINE('',#519057,#129247); #92257=LINE('',#519062,#129248); #92258=LINE('',#519066,#129249); #92259=LINE('',#519070,#129250); #92260=LINE('',#519074,#129251); #92261=LINE('',#519076,#129252); #92262=LINE('',#519078,#129253); #92263=LINE('',#519080,#129254); #92264=LINE('',#519084,#129255); #92265=LINE('',#519086,#129256); #92266=LINE('',#519090,#129257); #92267=LINE('',#519094,#129258); #92268=LINE('',#519100,#129259); #92269=LINE('',#519104,#129260); #92270=LINE('',#519108,#129261); #92271=LINE('',#519111,#129262); #92272=LINE('',#519113,#129263); #92273=LINE('',#519114,#129264); #92274=LINE('',#519116,#129265); #92275=LINE('',#519118,#129266); #92276=LINE('',#519119,#129267); #92277=LINE('',#519147,#129268); #92278=LINE('',#519157,#129269); #92279=LINE('',#519177,#129270); #92280=LINE('',#519178,#129271); #92281=LINE('',#519180,#129272); #92282=LINE('',#519182,#129273); #92283=LINE('',#519183,#129274); #92284=LINE('',#519186,#129275); #92285=LINE('',#519189,#129276); #92286=LINE('',#519193,#129277); #92287=LINE('',#519194,#129278); #92288=LINE('',#519197,#129279); #92289=LINE('',#519201,#129280); #92290=LINE('',#519205,#129281); #92291=LINE('',#519209,#129282); #92292=LINE('',#519212,#129283); #92293=LINE('',#519216,#129284); #92294=LINE('',#519219,#129285); #92295=LINE('',#519244,#129286); #92296=LINE('',#519246,#129287); #92297=LINE('',#519248,#129288); #92298=LINE('',#519252,#129289); #92299=LINE('',#519256,#129290); #92300=LINE('',#519260,#129291); #92301=LINE('',#519264,#129292); #92302=LINE('',#519270,#129293); #92303=LINE('',#519273,#129294); #92304=LINE('',#519275,#129295); #92305=LINE('',#519279,#129296); #92306=LINE('',#519283,#129297); #92307=LINE('',#519291,#129298); #92308=LINE('',#519295,#129299); #92309=LINE('',#519299,#129300); #92310=LINE('',#519303,#129301); #92311=LINE('',#519307,#129302); #92312=LINE('',#519310,#129303); #92313=LINE('',#519313,#129304); #92314=LINE('',#519315,#129305); #92315=LINE('',#519317,#129306); #92316=LINE('',#519321,#129307); #92317=LINE('',#519324,#129308); #92318=LINE('',#519333,#129309); #92319=LINE('',#519335,#129310); #92320=LINE('',#519337,#129311); #92321=LINE('',#519340,#129312); #92322=LINE('',#519342,#129313); #92323=LINE('',#519343,#129314); #92324=LINE('',#519345,#129315); #92325=LINE('',#519347,#129316); #92326=LINE('',#519348,#129317); #92327=LINE('',#519350,#129318); #92328=LINE('',#519352,#129319); #92329=LINE('',#519353,#129320); #92330=LINE('',#519355,#129321); #92331=LINE('',#519357,#129322); #92332=LINE('',#519358,#129323); #92333=LINE('',#519387,#129324); #92334=LINE('',#519388,#129325); #92335=LINE('',#519391,#129326); #92336=LINE('',#519392,#129327); #92337=LINE('',#519394,#129328); #92338=LINE('',#519395,#129329); #92339=LINE('',#519397,#129330); #92340=LINE('',#519402,#129331); #92341=LINE('',#519404,#129332); #92342=LINE('',#519406,#129333); #92343=LINE('',#519408,#129334); #92344=LINE('',#519410,#129335); #92345=LINE('',#519412,#129336); #92346=LINE('',#519414,#129337); #92347=LINE('',#519416,#129338); #92348=LINE('',#519420,#129339); #92349=LINE('',#519421,#129340); #92350=LINE('',#519423,#129341); #92351=LINE('',#519425,#129342); #92352=LINE('',#519428,#129343); #92353=LINE('',#519429,#129344); #92354=LINE('',#519431,#129345); #92355=LINE('',#519434,#129346); #92356=LINE('',#519437,#129347); #92357=LINE('',#519438,#129348); #92358=LINE('',#519440,#129349); #92359=LINE('',#519441,#129350); #92360=LINE('',#519443,#129351); #92361=LINE('',#519444,#129352); #92362=LINE('',#519446,#129353); #92363=LINE('',#519448,#129354); #92364=LINE('',#519450,#129355); #92365=LINE('',#519452,#129356); #92366=LINE('',#519454,#129357); #92367=LINE('',#519456,#129358); #92368=LINE('',#519458,#129359); #92369=LINE('',#519460,#129360); #92370=LINE('',#519463,#129361); #92371=LINE('',#519464,#129362); #92372=LINE('',#519466,#129363); #92373=LINE('',#519467,#129364); #92374=LINE('',#519469,#129365); #92375=LINE('',#519471,#129366); #92376=LINE('',#519473,#129367); #92377=LINE('',#519475,#129368); #92378=LINE('',#519477,#129369); #92379=LINE('',#519479,#129370); #92380=LINE('',#519481,#129371); #92381=LINE('',#519483,#129372); #92382=LINE('',#519486,#129373); #92383=LINE('',#519487,#129374); #92384=LINE('',#519489,#129375); #92385=LINE('',#519491,#129376); #92386=LINE('',#519493,#129377); #92387=LINE('',#519495,#129378); #92388=LINE('',#519497,#129379); #92389=LINE('',#519499,#129380); #92390=LINE('',#519501,#129381); #92391=LINE('',#519503,#129382); #92392=LINE('',#519505,#129383); #92393=LINE('',#519507,#129384); #92394=LINE('',#519509,#129385); #92395=LINE('',#519511,#129386); #92396=LINE('',#519513,#129387); #92397=LINE('',#519515,#129388); #92398=LINE('',#519517,#129389); #92399=LINE('',#519519,#129390); #92400=LINE('',#519521,#129391); #92401=LINE('',#519524,#129392); #92402=LINE('',#519525,#129393); #92403=LINE('',#519527,#129394); #92404=LINE('',#519528,#129395); #92405=LINE('',#519530,#129396); #92406=LINE('',#519532,#129397); #92407=LINE('',#519534,#129398); #92408=LINE('',#519536,#129399); #92409=LINE('',#519538,#129400); #92410=LINE('',#519540,#129401); #92411=LINE('',#519542,#129402); #92412=LINE('',#519544,#129403); #92413=LINE('',#519546,#129404); #92414=LINE('',#519548,#129405); #92415=LINE('',#519550,#129406); #92416=LINE('',#519556,#129407); #92417=LINE('',#519558,#129408); #92418=LINE('',#519560,#129409); #92419=LINE('',#519562,#129410); #92420=LINE('',#519564,#129411); #92421=LINE('',#519566,#129412); #92422=LINE('',#519568,#129413); #92423=LINE('',#519570,#129414); #92424=LINE('',#519573,#129415); #92425=LINE('',#519574,#129416); #92426=LINE('',#519577,#129417); #92427=LINE('',#519578,#129418); #92428=LINE('',#519584,#129419); #92429=LINE('',#519588,#129420); #92430=LINE('',#519592,#129421); #92431=LINE('',#519597,#129422); #92432=LINE('',#519601,#129423); #92433=LINE('',#519605,#129424); #92434=LINE('',#519610,#129425); #92435=LINE('',#519614,#129426); #92436=LINE('',#519618,#129427); #92437=LINE('',#519623,#129428); #92438=LINE('',#519627,#129429); #92439=LINE('',#519631,#129430); #92440=LINE('',#519635,#129431); #92441=LINE('',#519637,#129432); #92442=LINE('',#519639,#129433); #92443=LINE('',#519641,#129434); #92444=LINE('',#519643,#129435); #92445=LINE('',#519645,#129436); #92446=LINE('',#519648,#129437); #92447=LINE('',#519650,#129438); #92448=LINE('',#519652,#129439); #92449=LINE('',#519654,#129440); #92450=LINE('',#519656,#129441); #92451=LINE('',#519658,#129442); #92452=LINE('',#519661,#129443); #92453=LINE('',#519663,#129444); #92454=LINE('',#519664,#129445); #92455=LINE('',#519667,#129446); #92456=LINE('',#519672,#129447); #92457=LINE('',#519676,#129448); #92458=LINE('',#519680,#129449); #92459=LINE('',#519685,#129450); #92460=LINE('',#519689,#129451); #92461=LINE('',#519693,#129452); #92462=LINE('',#519698,#129453); #92463=LINE('',#519702,#129454); #92464=LINE('',#519706,#129455); #92465=LINE('',#519711,#129456); #92466=LINE('',#519715,#129457); #92467=LINE('',#519719,#129458); #92468=LINE('',#519723,#129459); #92469=LINE('',#519725,#129460); #92470=LINE('',#519727,#129461); #92471=LINE('',#519729,#129462); #92472=LINE('',#519731,#129463); #92473=LINE('',#519733,#129464); #92474=LINE('',#519736,#129465); #92475=LINE('',#519738,#129466); #92476=LINE('',#519740,#129467); #92477=LINE('',#519742,#129468); #92478=LINE('',#519744,#129469); #92479=LINE('',#519746,#129470); #92480=LINE('',#519751,#129471); #92481=LINE('',#519753,#129472); #92482=LINE('',#519755,#129473); #92483=LINE('',#519756,#129474); #92484=LINE('',#519762,#129475); #92485=LINE('',#519765,#129476); #92486=LINE('',#519767,#129477); #92487=LINE('',#519768,#129478); #92488=LINE('',#519774,#129479); #92489=LINE('',#519779,#129480); #92490=LINE('',#519781,#129481); #92491=LINE('',#519783,#129482); #92492=LINE('',#519839,#129483); #92493=LINE('',#519845,#129484); #92494=LINE('',#519846,#129485); #92495=LINE('',#519847,#129486); #92496=LINE('',#519859,#129487); #92497=LINE('',#519861,#129488); #92498=LINE('',#519862,#129489); #92499=LINE('',#519865,#129490); #92500=LINE('',#519867,#129491); #92501=LINE('',#519868,#129492); #92502=LINE('',#519870,#129493); #92503=LINE('',#519871,#129494); #92504=LINE('',#519875,#129495); #92505=LINE('',#519876,#129496); #92506=LINE('',#519878,#129497); #92507=LINE('',#519879,#129498); #92508=LINE('',#519881,#129499); #92509=LINE('',#519883,#129500); #92510=LINE('',#519885,#129501); #92511=LINE('',#519887,#129502); #92512=LINE('',#519889,#129503); #92513=LINE('',#519891,#129504); #92514=LINE('',#519893,#129505); #92515=LINE('',#519895,#129506); #92516=LINE('',#519897,#129507); #92517=LINE('',#519899,#129508); #92518=LINE('',#519901,#129509); #92519=LINE('',#519903,#129510); #92520=LINE('',#519906,#129511); #92521=LINE('',#519907,#129512); #92522=LINE('',#519909,#129513); #92523=LINE('',#519911,#129514); #92524=LINE('',#519913,#129515); #92525=LINE('',#519915,#129516); #92526=LINE('',#519917,#129517); #92527=LINE('',#519919,#129518); #92528=LINE('',#519921,#129519); #92529=LINE('',#519923,#129520); #92530=LINE('',#519925,#129521); #92531=LINE('',#519927,#129522); #92532=LINE('',#519930,#129523); #92533=LINE('',#519931,#129524); #92534=LINE('',#519933,#129525); #92535=LINE('',#519934,#129526); #92536=LINE('',#519936,#129527); #92537=LINE('',#519938,#129528); #92538=LINE('',#519945,#129529); #92539=LINE('',#519947,#129530); #92540=LINE('',#519948,#129531); #92541=LINE('',#519951,#129532); #92542=LINE('',#519956,#129533); #92543=LINE('',#519960,#129534); #92544=LINE('',#519964,#129535); #92545=LINE('',#519969,#129536); #92546=LINE('',#519973,#129537); #92547=LINE('',#519977,#129538); #92548=LINE('',#519982,#129539); #92549=LINE('',#519986,#129540); #92550=LINE('',#519990,#129541); #92551=LINE('',#519995,#129542); #92552=LINE('',#519999,#129543); #92553=LINE('',#520003,#129544); #92554=LINE('',#520007,#129545); #92555=LINE('',#520009,#129546); #92556=LINE('',#520011,#129547); #92557=LINE('',#520013,#129548); #92558=LINE('',#520015,#129549); #92559=LINE('',#520017,#129550); #92560=LINE('',#520020,#129551); #92561=LINE('',#520022,#129552); #92562=LINE('',#520024,#129553); #92563=LINE('',#520026,#129554); #92564=LINE('',#520028,#129555); #92565=LINE('',#520030,#129556); #92566=LINE('',#520033,#129557); #92567=LINE('',#520034,#129558); #92568=LINE('',#520037,#129559); #92569=LINE('',#520038,#129560); #92570=LINE('',#520044,#129561); #92571=LINE('',#520048,#129562); #92572=LINE('',#520052,#129563); #92573=LINE('',#520057,#129564); #92574=LINE('',#520061,#129565); #92575=LINE('',#520065,#129566); #92576=LINE('',#520070,#129567); #92577=LINE('',#520074,#129568); #92578=LINE('',#520078,#129569); #92579=LINE('',#520083,#129570); #92580=LINE('',#520087,#129571); #92581=LINE('',#520091,#129572); #92582=LINE('',#520095,#129573); #92583=LINE('',#520097,#129574); #92584=LINE('',#520099,#129575); #92585=LINE('',#520101,#129576); #92586=LINE('',#520103,#129577); #92587=LINE('',#520105,#129578); #92588=LINE('',#520108,#129579); #92589=LINE('',#520110,#129580); #92590=LINE('',#520112,#129581); #92591=LINE('',#520114,#129582); #92592=LINE('',#520116,#129583); #92593=LINE('',#520118,#129584); #92594=LINE('',#520125,#129585); #92595=LINE('',#520128,#129586); #92596=LINE('',#520131,#129587); #92597=LINE('',#520133,#129588); #92598=LINE('',#520134,#129589); #92599=LINE('',#520141,#129590); #92600=LINE('',#520142,#129591); #92601=LINE('',#520143,#129592); #92602=LINE('',#520148,#129593); #92603=LINE('',#520150,#129594); #92604=LINE('',#520153,#129595); #92605=LINE('',#520155,#129596); #92606=LINE('',#520212,#129597); #92607=LINE('',#520217,#129598); #92608=LINE('',#520221,#129599); #92609=LINE('',#520222,#129600); #92610=LINE('',#520231,#129601); #92611=LINE('',#520233,#129602); #92612=LINE('',#520234,#129603); #92613=LINE('',#520237,#129604); #92614=LINE('',#520239,#129605); #92615=LINE('',#520240,#129606); #92616=LINE('',#520242,#129607); #92617=LINE('',#520243,#129608); #92618=LINE('',#520249,#129609); #92619=LINE('',#520251,#129610); #92620=LINE('',#520253,#129611); #92621=LINE('',#520254,#129612); #92622=LINE('',#520259,#129613); #92623=LINE('',#520264,#129614); #92624=LINE('',#520266,#129615); #92625=LINE('',#520268,#129616); #92626=LINE('',#520269,#129617); #92627=LINE('',#520275,#129618); #92628=LINE('',#520281,#129619); #92629=LINE('',#520287,#129620); #92630=LINE('',#520290,#129621); #92631=LINE('',#520292,#129622); #92632=LINE('',#520293,#129623); #92633=LINE('',#520299,#129624); #92634=LINE('',#520305,#129625); #92635=LINE('',#520311,#129626); #92636=LINE('',#520314,#129627); #92637=LINE('',#520316,#129628); #92638=LINE('',#520317,#129629); #92639=LINE('',#520323,#129630); #92640=LINE('',#520326,#129631); #92641=LINE('',#520328,#129632); #92642=LINE('',#520329,#129633); #92643=LINE('',#520336,#129634); #92644=LINE('',#520338,#129635); #92645=LINE('',#520340,#129636); #92646=LINE('',#520341,#129637); #92647=LINE('',#520346,#129638); #92648=LINE('',#520352,#129639); #92649=LINE('',#520358,#129640); #92650=LINE('',#520362,#129641); #92651=LINE('',#520364,#129642); #92652=LINE('',#520365,#129643); #92653=LINE('',#520370,#129644); #92654=LINE('',#520376,#129645); #92655=LINE('',#520382,#129646); #92656=LINE('',#520386,#129647); #92657=LINE('',#520388,#129648); #92658=LINE('',#520389,#129649); #92659=LINE('',#520394,#129650); #92660=LINE('',#520398,#129651); #92661=LINE('',#520400,#129652); #92662=LINE('',#520401,#129653); #92663=LINE('',#520408,#129654); #92664=LINE('',#520410,#129655); #92665=LINE('',#520412,#129656); #92666=LINE('',#520413,#129657); #92667=LINE('',#520417,#129658); #92668=LINE('',#520430,#129659); #92669=LINE('',#520437,#129660); #92670=LINE('',#520439,#129661); #92671=LINE('',#520467,#129662); #92672=LINE('',#520517,#129663); #92673=LINE('',#520519,#129664); #92674=LINE('',#520523,#129665); #92675=LINE('',#520526,#129666); #92676=LINE('',#520528,#129667); #92677=LINE('',#520532,#129668); #92678=LINE('',#520536,#129669); #92679=LINE('',#520537,#129670); #92680=LINE('',#520540,#129671); #92681=LINE('',#520541,#129672); #92682=LINE('',#520545,#129673); #92683=LINE('',#520547,#129674); #92684=LINE('',#520549,#129675); #92685=LINE('',#520550,#129676); #92686=LINE('',#520552,#129677); #92687=LINE('',#520554,#129678); #92688=LINE('',#520558,#129679); #92689=LINE('',#520574,#129680); #92690=LINE('',#520605,#129681); #92691=LINE('',#520627,#129682); #92692=LINE('',#520629,#129683); #92693=LINE('',#520630,#129684); #92694=LINE('',#520644,#129685); #92695=LINE('',#520663,#129686); #92696=LINE('',#520666,#129687); #92697=LINE('',#520671,#129688); #92698=LINE('',#520673,#129689); #92699=LINE('',#520675,#129690); #92700=LINE('',#520676,#129691); #92701=LINE('',#520680,#129692); #92702=LINE('',#520682,#129693); #92703=LINE('',#520684,#129694); #92704=LINE('',#520685,#129695); #92705=LINE('',#520689,#129696); #92706=LINE('',#520693,#129697); #92707=LINE('',#520695,#129698); #92708=LINE('',#520697,#129699); #92709=LINE('',#520698,#129700); #92710=LINE('',#520703,#129701); #92711=LINE('',#520709,#129702); #92712=LINE('',#520717,#129703); #92713=LINE('',#520720,#129704); #92714=LINE('',#520722,#129705); #92715=LINE('',#520723,#129706); #92716=LINE('',#520728,#129707); #92717=LINE('',#520732,#129708); #92718=LINE('',#520734,#129709); #92719=LINE('',#520735,#129710); #92720=LINE('',#520740,#129711); #92721=LINE('',#520744,#129712); #92722=LINE('',#520746,#129713); #92723=LINE('',#520747,#129714); #92724=LINE('',#520752,#129715); #92725=LINE('',#520762,#129716); #92726=LINE('',#520767,#129717); #92727=LINE('',#520773,#129718); #92728=LINE('',#520777,#129719); #92729=LINE('',#520779,#129720); #92730=LINE('',#520780,#129721); #92731=LINE('',#520785,#129722); #92732=LINE('',#520795,#129723); #92733=LINE('',#520800,#129724); #92734=LINE('',#520806,#129725); #92735=LINE('',#520810,#129726); #92736=LINE('',#520812,#129727); #92737=LINE('',#520813,#129728); #92738=LINE('',#520818,#129729); #92739=LINE('',#520822,#129730); #92740=LINE('',#520824,#129731); #92741=LINE('',#520825,#129732); #92742=LINE('',#520830,#129733); #92743=LINE('',#520833,#129734); #92744=LINE('',#520835,#129735); #92745=LINE('',#520838,#129736); #92746=LINE('',#520844,#129737); #92747=LINE('',#520849,#129738); #92748=LINE('',#520855,#129739); #92749=LINE('',#520859,#129740); #92750=LINE('',#520861,#129741); #92751=LINE('',#520862,#129742); #92752=LINE('',#520866,#129743); #92753=LINE('',#520868,#129744); #92754=LINE('',#520870,#129745); #92755=LINE('',#520871,#129746); #92756=LINE('',#520876,#129747); #92757=LINE('',#520883,#129748); #92758=LINE('',#520886,#129749); #92759=LINE('',#520890,#129750); #92760=LINE('',#520893,#129751); #92761=LINE('',#520898,#129752); #92762=LINE('',#520899,#129753); #92763=LINE('',#520901,#129754); #92764=LINE('',#520906,#129755); #92765=LINE('',#520908,#129756); #92766=LINE('',#520912,#129757); #92767=LINE('',#520914,#129758); #92768=LINE('',#520915,#129759); #92769=LINE('',#520918,#129760); #92770=LINE('',#520919,#129761); #92771=LINE('',#520924,#129762); #92772=LINE('',#520941,#129763); #92773=LINE('',#520947,#129764); #92774=LINE('',#521034,#129765); #92775=LINE('',#521046,#129766); #92776=LINE('',#521065,#129767); #92777=LINE('',#521067,#129768); #92778=LINE('',#521069,#129769); #92779=LINE('',#521071,#129770); #92780=LINE('',#521073,#129771); #92781=LINE('',#521075,#129772); #92782=LINE('',#521078,#129773); #92783=LINE('',#521080,#129774); #92784=LINE('',#521082,#129775); #92785=LINE('',#521084,#129776); #92786=LINE('',#521086,#129777); #92787=LINE('',#521088,#129778); #92788=LINE('',#521091,#129779); #92789=LINE('',#521093,#129780); #92790=LINE('',#521095,#129781); #92791=LINE('',#521097,#129782); #92792=LINE('',#521099,#129783); #92793=LINE('',#521101,#129784); #92794=LINE('',#521104,#129785); #92795=LINE('',#521106,#129786); #92796=LINE('',#521108,#129787); #92797=LINE('',#521110,#129788); #92798=LINE('',#521112,#129789); #92799=LINE('',#521114,#129790); #92800=LINE('',#521120,#129791); #92801=LINE('',#521122,#129792); #92802=LINE('',#521124,#129793); #92803=LINE('',#521125,#129794); #92804=LINE('',#521128,#129795); #92805=LINE('',#521132,#129796); #92806=LINE('',#521136,#129797); #92807=LINE('',#521138,#129798); #92808=LINE('',#521140,#129799); #92809=LINE('',#521144,#129800); #92810=LINE('',#521147,#129801); #92811=LINE('',#521151,#129802); #92812=LINE('',#521153,#129803); #92813=LINE('',#521155,#129804); #92814=LINE('',#521159,#129805); #92815=LINE('',#521163,#129806); #92816=LINE('',#521165,#129807); #92817=LINE('',#521167,#129808); #92818=LINE('',#521171,#129809); #92819=LINE('',#521175,#129810); #92820=LINE('',#521177,#129811); #92821=LINE('',#521178,#129812); #92822=LINE('',#521182,#129813); #92823=LINE('',#521184,#129814); #92824=LINE('',#521185,#129815); #92825=LINE('',#521187,#129816); #92826=LINE('',#521188,#129817); #92827=LINE('',#521192,#129818); #92828=LINE('',#521193,#129819); #92829=LINE('',#521194,#129820); #92830=LINE('',#521197,#129821); #92831=LINE('',#521198,#129822); #92832=LINE('',#521200,#129823); #92833=LINE('',#521201,#129824); #92834=LINE('',#521203,#129825); #92835=LINE('',#521204,#129826); #92836=LINE('',#521206,#129827); #92837=LINE('',#521207,#129828); #92838=LINE('',#521209,#129829); #92839=LINE('',#521210,#129830); #92840=LINE('',#521212,#129831); #92841=LINE('',#521214,#129832); #92842=LINE('',#521216,#129833); #92843=LINE('',#521219,#129834); #92844=LINE('',#521221,#129835); #92845=LINE('',#521223,#129836); #92846=LINE('',#521253,#129837); #92847=LINE('',#521255,#129838); #92848=LINE('',#521257,#129839); #92849=LINE('',#521259,#129840); #92850=LINE('',#521261,#129841); #92851=LINE('',#521263,#129842); #92852=LINE('',#521265,#129843); #92853=LINE('',#521267,#129844); #92854=LINE('',#521269,#129845); #92855=LINE('',#521271,#129846); #92856=LINE('',#521273,#129847); #92857=LINE('',#521274,#129848); #92858=LINE('',#521278,#129849); #92859=LINE('',#521280,#129850); #92860=LINE('',#521282,#129851); #92861=LINE('',#521283,#129852); #92862=LINE('',#521287,#129853); #92863=LINE('',#521289,#129854); #92864=LINE('',#521291,#129855); #92865=LINE('',#521292,#129856); #92866=LINE('',#521296,#129857); #92867=LINE('',#521298,#129858); #92868=LINE('',#521300,#129859); #92869=LINE('',#521301,#129860); #92870=LINE('',#521304,#129861); #92871=LINE('',#521306,#129862); #92872=LINE('',#521308,#129863); #92873=LINE('',#521309,#129864); #92874=LINE('',#521313,#129865); #92875=LINE('',#521315,#129866); #92876=LINE('',#521317,#129867); #92877=LINE('',#521318,#129868); #92878=LINE('',#521321,#129869); #92879=LINE('',#521323,#129870); #92880=LINE('',#521325,#129871); #92881=LINE('',#521326,#129872); #92882=LINE('',#521330,#129873); #92883=LINE('',#521332,#129874); #92884=LINE('',#521334,#129875); #92885=LINE('',#521335,#129876); #92886=LINE('',#521338,#129877); #92887=LINE('',#521340,#129878); #92888=LINE('',#521342,#129879); #92889=LINE('',#521343,#129880); #92890=LINE('',#521346,#129881); #92891=LINE('',#521348,#129882); #92892=LINE('',#521350,#129883); #92893=LINE('',#521351,#129884); #92894=LINE('',#521354,#129885); #92895=LINE('',#521355,#129886); #92896=LINE('',#521357,#129887); #92897=LINE('',#521358,#129888); #92898=LINE('',#521361,#129889); #92899=LINE('',#521363,#129890); #92900=LINE('',#521364,#129891); #92901=LINE('',#521365,#129892); #92902=LINE('',#521368,#129893); #92903=LINE('',#521369,#129894); #92904=LINE('',#521372,#129895); #92905=LINE('',#521373,#129896); #92906=LINE('',#521375,#129897); #92907=LINE('',#521376,#129898); #92908=LINE('',#521379,#129899); #92909=LINE('',#521380,#129900); #92910=LINE('',#521381,#129901); #92911=LINE('',#521384,#129902); #92912=LINE('',#521385,#129903); #92913=LINE('',#521389,#129904); #92914=LINE('',#521391,#129905); #92915=LINE('',#521393,#129906); #92916=LINE('',#521395,#129907); #92917=LINE('',#521397,#129908); #92918=LINE('',#521398,#129909); #92919=LINE('',#521399,#129910); #92920=LINE('',#521403,#129911); #92921=LINE('',#521406,#129912); #92922=LINE('',#521407,#129913); #92923=LINE('',#521408,#129914); #92924=LINE('',#521411,#129915); #92925=LINE('',#521415,#129916); #92926=LINE('',#521416,#129917); #92927=LINE('',#521418,#129918); #92928=LINE('',#521419,#129919); #92929=LINE('',#521425,#129920); #92930=LINE('',#521429,#129921); #92931=LINE('',#521431,#129922); #92932=LINE('',#521433,#129923); #92933=LINE('',#521434,#129924); #92934=LINE('',#521435,#129925); #92935=LINE('',#521436,#129926); #92936=LINE('',#521437,#129927); #92937=LINE('',#521439,#129928); #92938=LINE('',#521441,#129929); #92939=LINE('',#521443,#129930); #92940=LINE('',#521444,#129931); #92941=LINE('',#521451,#129932); #92942=LINE('',#521455,#129933); #92943=LINE('',#521457,#129934); #92944=LINE('',#521459,#129935); #92945=LINE('',#521460,#129936); #92946=LINE('',#521462,#129937); #92947=LINE('',#521463,#129938); #92948=LINE('',#521465,#129939); #92949=LINE('',#521466,#129940); #92950=LINE('',#521468,#129941); #92951=LINE('',#521470,#129942); #92952=LINE('',#521472,#129943); #92953=LINE('',#521473,#129944); #92954=LINE('',#521476,#129945); #92955=LINE('',#521478,#129946); #92956=LINE('',#521480,#129947); #92957=LINE('',#521482,#129948); #92958=LINE('',#521486,#129949); #92959=LINE('',#521487,#129950); #92960=LINE('',#521491,#129951); #92961=LINE('',#521492,#129952); #92962=LINE('',#521495,#129953); #92963=LINE('',#521497,#129954); #92964=LINE('',#521499,#129955); #92965=LINE('',#521501,#129956); #92966=LINE('',#521503,#129957); #92967=LINE('',#521505,#129958); #92968=LINE('',#521507,#129959); #92969=LINE('',#521509,#129960); #92970=LINE('',#521511,#129961); #92971=LINE('',#521513,#129962); #92972=LINE('',#521515,#129963); #92973=LINE('',#521523,#129964); #92974=LINE('',#521525,#129965); #92975=LINE('',#521526,#129966); #92976=LINE('',#521529,#129967); #92977=LINE('',#521530,#129968); #92978=LINE('',#521533,#129969); #92979=LINE('',#521534,#129970); #92980=LINE('',#521536,#129971); #92981=LINE('',#521540,#129972); #92982=LINE('',#521542,#129973); #92983=LINE('',#521544,#129974); #92984=LINE('',#521545,#129975); #92985=LINE('',#521547,#129976); #92986=LINE('',#521548,#129977); #92987=LINE('',#521550,#129978); #92988=LINE('',#521552,#129979); #92989=LINE('',#521556,#129980); #92990=LINE('',#521558,#129981); #92991=LINE('',#521559,#129982); #92992=LINE('',#521562,#129983); #92993=LINE('',#521563,#129984); #92994=LINE('',#521566,#129985); #92995=LINE('',#521567,#129986); #92996=LINE('',#521569,#129987); #92997=LINE('',#521573,#129988); #92998=LINE('',#521575,#129989); #92999=LINE('',#521577,#129990); #93000=LINE('',#521578,#129991); #93001=LINE('',#521580,#129992); #93002=LINE('',#521581,#129993); #93003=LINE('',#521583,#129994); #93004=LINE('',#521585,#129995); #93005=LINE('',#521589,#129996); #93006=LINE('',#521591,#129997); #93007=LINE('',#521592,#129998); #93008=LINE('',#521596,#129999); #93009=LINE('',#521598,#130000); #93010=LINE('',#521600,#130001); #93011=LINE('',#521601,#130002); #93012=LINE('',#521604,#130003); #93013=LINE('',#521606,#130004); #93014=LINE('',#521607,#130005); #93015=LINE('',#521609,#130006); #93016=LINE('',#521611,#130007); #93017=LINE('',#521613,#130008); #93018=LINE('',#521614,#130009); #93019=LINE('',#521616,#130010); #93020=LINE('',#521618,#130011); #93021=LINE('',#521622,#130012); #93022=LINE('',#521624,#130013); #93023=LINE('',#521625,#130014); #93024=LINE('',#521629,#130015); #93025=LINE('',#521631,#130016); #93026=LINE('',#521633,#130017); #93027=LINE('',#521634,#130018); #93028=LINE('',#521637,#130019); #93029=LINE('',#521639,#130020); #93030=LINE('',#521640,#130021); #93031=LINE('',#521642,#130022); #93032=LINE('',#521644,#130023); #93033=LINE('',#521646,#130024); #93034=LINE('',#521647,#130025); #93035=LINE('',#521649,#130026); #93036=LINE('',#521651,#130027); #93037=LINE('',#521654,#130028); #93038=LINE('',#521655,#130029); #93039=LINE('',#521657,#130030); #93040=LINE('',#521659,#130031); #93041=LINE('',#521660,#130032); #93042=LINE('',#521662,#130033); #93043=LINE('',#521666,#130034); #93044=LINE('',#521668,#130035); #93045=LINE('',#521709,#130036); #93046=LINE('',#521710,#130037); #93047=LINE('',#521726,#130038); #93048=LINE('',#521727,#130039); #93049=LINE('',#521729,#130040); #93050=LINE('',#521730,#130041); #93051=LINE('',#521739,#130042); #93052=LINE('',#521740,#130043); #93053=LINE('',#521742,#130044); #93054=LINE('',#521743,#130045); #93055=LINE('',#521745,#130046); #93056=LINE('',#521746,#130047); #93057=LINE('',#521748,#130048); #93058=LINE('',#521749,#130049); #93059=LINE('',#521751,#130050); #93060=LINE('',#521752,#130051); #93061=LINE('',#521754,#130052); #93062=LINE('',#521755,#130053); #93063=LINE('',#521757,#130054); #93064=LINE('',#521758,#130055); #93065=LINE('',#521760,#130056); #93066=LINE('',#521761,#130057); #93067=LINE('',#521763,#130058); #93068=LINE('',#521764,#130059); #93069=LINE('',#521807,#130060); #93070=LINE('',#521808,#130061); #93071=LINE('',#521824,#130062); #93072=LINE('',#521825,#130063); #93073=LINE('',#521827,#130064); #93074=LINE('',#521828,#130065); #93075=LINE('',#521837,#130066); #93076=LINE('',#521838,#130067); #93077=LINE('',#521840,#130068); #93078=LINE('',#521841,#130069); #93079=LINE('',#521843,#130070); #93080=LINE('',#521844,#130071); #93081=LINE('',#521846,#130072); #93082=LINE('',#521847,#130073); #93083=LINE('',#521849,#130074); #93084=LINE('',#521850,#130075); #93085=LINE('',#521852,#130076); #93086=LINE('',#521853,#130077); #93087=LINE('',#521855,#130078); #93088=LINE('',#521856,#130079); #93089=LINE('',#521858,#130080); #93090=LINE('',#521859,#130081); #93091=LINE('',#521861,#130082); #93092=LINE('',#521862,#130083); #93093=LINE('',#521872,#130084); #93094=LINE('',#521874,#130085); #93095=LINE('',#521876,#130086); #93096=LINE('',#521877,#130087); #93097=LINE('',#521880,#130088); #93098=LINE('',#521882,#130089); #93099=LINE('',#521883,#130090); #93100=LINE('',#521886,#130091); #93101=LINE('',#521888,#130092); #93102=LINE('',#521889,#130093); #93103=LINE('',#521891,#130094); #93104=LINE('',#521892,#130095); #93105=LINE('',#521934,#130096); #93106=LINE('',#521935,#130097); #93107=LINE('',#521951,#130098); #93108=LINE('',#521952,#130099); #93109=LINE('',#521954,#130100); #93110=LINE('',#521955,#130101); #93111=LINE('',#521964,#130102); #93112=LINE('',#521965,#130103); #93113=LINE('',#521967,#130104); #93114=LINE('',#521968,#130105); #93115=LINE('',#521970,#130106); #93116=LINE('',#521971,#130107); #93117=LINE('',#521973,#130108); #93118=LINE('',#521974,#130109); #93119=LINE('',#521976,#130110); #93120=LINE('',#521977,#130111); #93121=LINE('',#521979,#130112); #93122=LINE('',#521980,#130113); #93123=LINE('',#521982,#130114); #93124=LINE('',#521983,#130115); #93125=LINE('',#521985,#130116); #93126=LINE('',#521986,#130117); #93127=LINE('',#521988,#130118); #93128=LINE('',#521989,#130119); #93129=LINE('',#522032,#130120); #93130=LINE('',#522033,#130121); #93131=LINE('',#522049,#130122); #93132=LINE('',#522050,#130123); #93133=LINE('',#522052,#130124); #93134=LINE('',#522053,#130125); #93135=LINE('',#522062,#130126); #93136=LINE('',#522063,#130127); #93137=LINE('',#522065,#130128); #93138=LINE('',#522066,#130129); #93139=LINE('',#522068,#130130); #93140=LINE('',#522069,#130131); #93141=LINE('',#522071,#130132); #93142=LINE('',#522072,#130133); #93143=LINE('',#522074,#130134); #93144=LINE('',#522075,#130135); #93145=LINE('',#522077,#130136); #93146=LINE('',#522078,#130137); #93147=LINE('',#522080,#130138); #93148=LINE('',#522081,#130139); #93149=LINE('',#522083,#130140); #93150=LINE('',#522084,#130141); #93151=LINE('',#522086,#130142); #93152=LINE('',#522087,#130143); #93153=LINE('',#522097,#130144); #93154=LINE('',#522099,#130145); #93155=LINE('',#522101,#130146); #93156=LINE('',#522102,#130147); #93157=LINE('',#522105,#130148); #93158=LINE('',#522107,#130149); #93159=LINE('',#522108,#130150); #93160=LINE('',#522111,#130151); #93161=LINE('',#522113,#130152); #93162=LINE('',#522114,#130153); #93163=LINE('',#522116,#130154); #93164=LINE('',#522117,#130155); #93165=LINE('',#522159,#130156); #93166=LINE('',#522160,#130157); #93167=LINE('',#522176,#130158); #93168=LINE('',#522177,#130159); #93169=LINE('',#522179,#130160); #93170=LINE('',#522180,#130161); #93171=LINE('',#522189,#130162); #93172=LINE('',#522190,#130163); #93173=LINE('',#522192,#130164); #93174=LINE('',#522193,#130165); #93175=LINE('',#522195,#130166); #93176=LINE('',#522196,#130167); #93177=LINE('',#522198,#130168); #93178=LINE('',#522199,#130169); #93179=LINE('',#522201,#130170); #93180=LINE('',#522202,#130171); #93181=LINE('',#522204,#130172); #93182=LINE('',#522205,#130173); #93183=LINE('',#522207,#130174); #93184=LINE('',#522208,#130175); #93185=LINE('',#522210,#130176); #93186=LINE('',#522211,#130177); #93187=LINE('',#522213,#130178); #93188=LINE('',#522214,#130179); #93189=LINE('',#522257,#130180); #93190=LINE('',#522258,#130181); #93191=LINE('',#522274,#130182); #93192=LINE('',#522275,#130183); #93193=LINE('',#522277,#130184); #93194=LINE('',#522278,#130185); #93195=LINE('',#522287,#130186); #93196=LINE('',#522288,#130187); #93197=LINE('',#522290,#130188); #93198=LINE('',#522291,#130189); #93199=LINE('',#522293,#130190); #93200=LINE('',#522294,#130191); #93201=LINE('',#522296,#130192); #93202=LINE('',#522297,#130193); #93203=LINE('',#522299,#130194); #93204=LINE('',#522300,#130195); #93205=LINE('',#522302,#130196); #93206=LINE('',#522303,#130197); #93207=LINE('',#522305,#130198); #93208=LINE('',#522306,#130199); #93209=LINE('',#522308,#130200); #93210=LINE('',#522309,#130201); #93211=LINE('',#522311,#130202); #93212=LINE('',#522312,#130203); #93213=LINE('',#522322,#130204); #93214=LINE('',#522324,#130205); #93215=LINE('',#522326,#130206); #93216=LINE('',#522327,#130207); #93217=LINE('',#522330,#130208); #93218=LINE('',#522332,#130209); #93219=LINE('',#522333,#130210); #93220=LINE('',#522336,#130211); #93221=LINE('',#522338,#130212); #93222=LINE('',#522339,#130213); #93223=LINE('',#522341,#130214); #93224=LINE('',#522342,#130215); #93225=LINE('',#522352,#130216); #93226=LINE('',#522365,#130217); #93227=LINE('',#522381,#130218); #93228=LINE('',#522396,#130219); #93229=LINE('',#522400,#130220); #93230=LINE('',#522404,#130221); #93231=LINE('',#522412,#130222); #93232=LINE('',#522416,#130223); #93233=LINE('',#522419,#130224); #93234=LINE('',#522432,#130225); #93235=LINE('',#522440,#130226); #93236=LINE('',#522448,#130227); #93237=LINE('',#522464,#130228); #93238=LINE('',#522472,#130229); #93239=LINE('',#522480,#130230); #93240=LINE('',#522489,#130231); #93241=LINE('',#522497,#130232); #93242=LINE('',#522504,#130233); #93243=LINE('',#522506,#130234); #93244=LINE('',#522508,#130235); #93245=LINE('',#522509,#130236); #93246=LINE('',#522514,#130237); #93247=LINE('',#522518,#130238); #93248=LINE('',#522522,#130239); #93249=LINE('',#522525,#130240); #93250=LINE('',#522530,#130241); #93251=LINE('',#522532,#130242); #93252=LINE('',#522534,#130243); #93253=LINE('',#522535,#130244); #93254=LINE('',#522540,#130245); #93255=LINE('',#522544,#130246); #93256=LINE('',#522548,#130247); #93257=LINE('',#522551,#130248); #93258=LINE('',#522556,#130249); #93259=LINE('',#522558,#130250); #93260=LINE('',#522560,#130251); #93261=LINE('',#522561,#130252); #93262=LINE('',#522566,#130253); #93263=LINE('',#522570,#130254); #93264=LINE('',#522574,#130255); #93265=LINE('',#522577,#130256); #93266=LINE('',#522582,#130257); #93267=LINE('',#522584,#130258); #93268=LINE('',#522586,#130259); #93269=LINE('',#522587,#130260); #93270=LINE('',#522592,#130261); #93271=LINE('',#522596,#130262); #93272=LINE('',#522600,#130263); #93273=LINE('',#522603,#130264); #93274=LINE('',#522608,#130265); #93275=LINE('',#522610,#130266); #93276=LINE('',#522612,#130267); #93277=LINE('',#522613,#130268); #93278=LINE('',#522618,#130269); #93279=LINE('',#522622,#130270); #93280=LINE('',#522626,#130271); #93281=LINE('',#522629,#130272); #93282=LINE('',#522634,#130273); #93283=LINE('',#522636,#130274); #93284=LINE('',#522638,#130275); #93285=LINE('',#522639,#130276); #93286=LINE('',#522644,#130277); #93287=LINE('',#522648,#130278); #93288=LINE('',#522652,#130279); #93289=LINE('',#522655,#130280); #93290=LINE('',#522660,#130281); #93291=LINE('',#522662,#130282); #93292=LINE('',#522664,#130283); #93293=LINE('',#522665,#130284); #93294=LINE('',#522670,#130285); #93295=LINE('',#522674,#130286); #93296=LINE('',#522678,#130287); #93297=LINE('',#522681,#130288); #93298=LINE('',#522686,#130289); #93299=LINE('',#522688,#130290); #93300=LINE('',#522690,#130291); #93301=LINE('',#522691,#130292); #93302=LINE('',#522696,#130293); #93303=LINE('',#522700,#130294); #93304=LINE('',#522704,#130295); #93305=LINE('',#522707,#130296); #93306=LINE('',#522712,#130297); #93307=LINE('',#522714,#130298); #93308=LINE('',#522716,#130299); #93309=LINE('',#522717,#130300); #93310=LINE('',#522722,#130301); #93311=LINE('',#522726,#130302); #93312=LINE('',#522730,#130303); #93313=LINE('',#522733,#130304); #93314=LINE('',#522742,#130305); #93315=LINE('',#522748,#130306); #93316=LINE('',#522750,#130307); #93317=LINE('',#522752,#130308); #93318=LINE('',#522753,#130309); #93319=LINE('',#522756,#130310); #93320=LINE('',#522758,#130311); #93321=LINE('',#522759,#130312); #93322=LINE('',#522762,#130313); #93323=LINE('',#522764,#130314); #93324=LINE('',#522765,#130315); #93325=LINE('',#522767,#130316); #93326=LINE('',#522768,#130317); #93327=LINE('',#522771,#130318); #93328=LINE('',#522773,#130319); #93329=LINE('',#522774,#130320); #93330=LINE('',#522777,#130321); #93331=LINE('',#522778,#130322); #93332=LINE('',#522782,#130323); #93333=LINE('',#522783,#130324); #93334=LINE('',#522785,#130325); #93335=LINE('',#522790,#130326); #93336=LINE('',#522792,#130327); #93337=LINE('',#522794,#130328); #93338=LINE('',#522795,#130329); #93339=LINE('',#522798,#130330); #93340=LINE('',#522800,#130331); #93341=LINE('',#522801,#130332); #93342=LINE('',#522804,#130333); #93343=LINE('',#522806,#130334); #93344=LINE('',#522807,#130335); #93345=LINE('',#522809,#130336); #93346=LINE('',#522810,#130337); #93347=LINE('',#522816,#130338); #93348=LINE('',#522818,#130339); #93349=LINE('',#522820,#130340); #93350=LINE('',#522821,#130341); #93351=LINE('',#522824,#130342); #93352=LINE('',#522826,#130343); #93353=LINE('',#522827,#130344); #93354=LINE('',#522833,#130345); #93355=LINE('',#522836,#130346); #93356=LINE('',#522838,#130347); #93357=LINE('',#522839,#130348); #93358=LINE('',#522845,#130349); #93359=LINE('',#522847,#130350); #93360=LINE('',#522848,#130351); #93361=LINE('',#522854,#130352); #93362=LINE('',#522856,#130353); #93363=LINE('',#522858,#130354); #93364=LINE('',#522859,#130355); #93365=LINE('',#522862,#130356); #93366=LINE('',#522864,#130357); #93367=LINE('',#522865,#130358); #93368=LINE('',#522870,#130359); #93369=LINE('',#522874,#130360); #93370=LINE('',#522876,#130361); #93371=LINE('',#522877,#130362); #93372=LINE('',#522882,#130363); #93373=LINE('',#522885,#130364); #93374=LINE('',#522886,#130365); #93375=LINE('',#522892,#130366); #93376=LINE('',#522894,#130367); #93377=LINE('',#522896,#130368); #93378=LINE('',#522897,#130369); #93379=LINE('',#522900,#130370); #93380=LINE('',#522902,#130371); #93381=LINE('',#522903,#130372); #93382=LINE('',#522909,#130373); #93383=LINE('',#522912,#130374); #93384=LINE('',#522914,#130375); #93385=LINE('',#522915,#130376); #93386=LINE('',#522921,#130377); #93387=LINE('',#522923,#130378); #93388=LINE('',#522924,#130379); #93389=LINE('',#522930,#130380); #93390=LINE('',#522932,#130381); #93391=LINE('',#522934,#130382); #93392=LINE('',#522935,#130383); #93393=LINE('',#522938,#130384); #93394=LINE('',#522940,#130385); #93395=LINE('',#522941,#130386); #93396=LINE('',#522947,#130387); #93397=LINE('',#522950,#130388); #93398=LINE('',#522952,#130389); #93399=LINE('',#522953,#130390); #93400=LINE('',#522959,#130391); #93401=LINE('',#522961,#130392); #93402=LINE('',#522962,#130393); #93403=LINE('',#522968,#130394); #93404=LINE('',#522970,#130395); #93405=LINE('',#522972,#130396); #93406=LINE('',#522973,#130397); #93407=LINE('',#522976,#130398); #93408=LINE('',#522978,#130399); #93409=LINE('',#522979,#130400); #93410=LINE('',#522985,#130401); #93411=LINE('',#522988,#130402); #93412=LINE('',#522990,#130403); #93413=LINE('',#522991,#130404); #93414=LINE('',#522997,#130405); #93415=LINE('',#522999,#130406); #93416=LINE('',#523000,#130407); #93417=LINE('',#523006,#130408); #93418=LINE('',#523008,#130409); #93419=LINE('',#523010,#130410); #93420=LINE('',#523011,#130411); #93421=LINE('',#523014,#130412); #93422=LINE('',#523016,#130413); #93423=LINE('',#523017,#130414); #93424=LINE('',#523022,#130415); #93425=LINE('',#523026,#130416); #93426=LINE('',#523028,#130417); #93427=LINE('',#523029,#130418); #93428=LINE('',#523034,#130419); #93429=LINE('',#523037,#130420); #93430=LINE('',#523038,#130421); #93431=LINE('',#523044,#130422); #93432=LINE('',#523046,#130423); #93433=LINE('',#523048,#130424); #93434=LINE('',#523049,#130425); #93435=LINE('',#523052,#130426); #93436=LINE('',#523054,#130427); #93437=LINE('',#523055,#130428); #93438=LINE('',#523060,#130429); #93439=LINE('',#523064,#130430); #93440=LINE('',#523066,#130431); #93441=LINE('',#523067,#130432); #93442=LINE('',#523072,#130433); #93443=LINE('',#523075,#130434); #93444=LINE('',#523076,#130435); #93445=LINE('',#523082,#130436); #93446=LINE('',#523084,#130437); #93447=LINE('',#523086,#130438); #93448=LINE('',#523087,#130439); #93449=LINE('',#523090,#130440); #93450=LINE('',#523092,#130441); #93451=LINE('',#523093,#130442); #93452=LINE('',#523098,#130443); #93453=LINE('',#523102,#130444); #93454=LINE('',#523104,#130445); #93455=LINE('',#523105,#130446); #93456=LINE('',#523110,#130447); #93457=LINE('',#523113,#130448); #93458=LINE('',#523114,#130449); #93459=LINE('',#523120,#130450); #93460=LINE('',#523122,#130451); #93461=LINE('',#523124,#130452); #93462=LINE('',#523125,#130453); #93463=LINE('',#523128,#130454); #93464=LINE('',#523130,#130455); #93465=LINE('',#523131,#130456); #93466=LINE('',#523134,#130457); #93467=LINE('',#523136,#130458); #93468=LINE('',#523137,#130459); #93469=LINE('',#523139,#130460); #93470=LINE('',#523140,#130461); #93471=LINE('',#523146,#130462); #93472=LINE('',#523148,#130463); #93473=LINE('',#523150,#130464); #93474=LINE('',#523151,#130465); #93475=LINE('',#523154,#130466); #93476=LINE('',#523156,#130467); #93477=LINE('',#523157,#130468); #93478=LINE('',#523160,#130469); #93479=LINE('',#523162,#130470); #93480=LINE('',#523163,#130471); #93481=LINE('',#523165,#130472); #93482=LINE('',#523166,#130473); #93483=LINE('',#523172,#130474); #93484=LINE('',#523174,#130475); #93485=LINE('',#523176,#130476); #93486=LINE('',#523177,#130477); #93487=LINE('',#523180,#130478); #93488=LINE('',#523182,#130479); #93489=LINE('',#523183,#130480); #93490=LINE('',#523186,#130481); #93491=LINE('',#523188,#130482); #93492=LINE('',#523189,#130483); #93493=LINE('',#523191,#130484); #93494=LINE('',#523192,#130485); #93495=LINE('',#523198,#130486); #93496=LINE('',#523200,#130487); #93497=LINE('',#523202,#130488); #93498=LINE('',#523203,#130489); #93499=LINE('',#523206,#130490); #93500=LINE('',#523208,#130491); #93501=LINE('',#523209,#130492); #93502=LINE('',#523212,#130493); #93503=LINE('',#523214,#130494); #93504=LINE('',#523215,#130495); #93505=LINE('',#523217,#130496); #93506=LINE('',#523218,#130497); #93507=LINE('',#523224,#130498); #93508=LINE('',#523226,#130499); #93509=LINE('',#523228,#130500); #93510=LINE('',#523229,#130501); #93511=LINE('',#523232,#130502); #93512=LINE('',#523234,#130503); #93513=LINE('',#523235,#130504); #93514=LINE('',#523238,#130505); #93515=LINE('',#523240,#130506); #93516=LINE('',#523241,#130507); #93517=LINE('',#523243,#130508); #93518=LINE('',#523244,#130509); #93519=LINE('',#523250,#130510); #93520=LINE('',#523252,#130511); #93521=LINE('',#523254,#130512); #93522=LINE('',#523255,#130513); #93523=LINE('',#523258,#130514); #93524=LINE('',#523260,#130515); #93525=LINE('',#523261,#130516); #93526=LINE('',#523264,#130517); #93527=LINE('',#523266,#130518); #93528=LINE('',#523267,#130519); #93529=LINE('',#523269,#130520); #93530=LINE('',#523270,#130521); #93531=LINE('',#523276,#130522); #93532=LINE('',#523278,#130523); #93533=LINE('',#523280,#130524); #93534=LINE('',#523281,#130525); #93535=LINE('',#523284,#130526); #93536=LINE('',#523286,#130527); #93537=LINE('',#523287,#130528); #93538=LINE('',#523290,#130529); #93539=LINE('',#523292,#130530); #93540=LINE('',#523293,#130531); #93541=LINE('',#523295,#130532); #93542=LINE('',#523296,#130533); #93543=LINE('',#523302,#130534); #93544=LINE('',#523304,#130535); #93545=LINE('',#523306,#130536); #93546=LINE('',#523307,#130537); #93547=LINE('',#523310,#130538); #93548=LINE('',#523312,#130539); #93549=LINE('',#523313,#130540); #93550=LINE('',#523316,#130541); #93551=LINE('',#523318,#130542); #93552=LINE('',#523319,#130543); #93553=LINE('',#523321,#130544); #93554=LINE('',#523322,#130545); #93555=LINE('',#523332,#130546); #93556=LINE('',#523338,#130547); #93557=LINE('',#523340,#130548); #93558=LINE('',#523342,#130549); #93559=LINE('',#523343,#130550); #93560=LINE('',#523346,#130551); #93561=LINE('',#523348,#130552); #93562=LINE('',#523349,#130553); #93563=LINE('',#523352,#130554); #93564=LINE('',#523354,#130555); #93565=LINE('',#523355,#130556); #93566=LINE('',#523357,#130557); #93567=LINE('',#523358,#130558); #93568=LINE('',#523361,#130559); #93569=LINE('',#523363,#130560); #93570=LINE('',#523364,#130561); #93571=LINE('',#523367,#130562); #93572=LINE('',#523368,#130563); #93573=LINE('',#523372,#130564); #93574=LINE('',#523373,#130565); #93575=LINE('',#523375,#130566); #93576=LINE('',#523380,#130567); #93577=LINE('',#523382,#130568); #93578=LINE('',#523384,#130569); #93579=LINE('',#523385,#130570); #93580=LINE('',#523388,#130571); #93581=LINE('',#523390,#130572); #93582=LINE('',#523391,#130573); #93583=LINE('',#523394,#130574); #93584=LINE('',#523396,#130575); #93585=LINE('',#523397,#130576); #93586=LINE('',#523399,#130577); #93587=LINE('',#523400,#130578); #93588=LINE('',#523406,#130579); #93589=LINE('',#523408,#130580); #93590=LINE('',#523410,#130581); #93591=LINE('',#523411,#130582); #93592=LINE('',#523414,#130583); #93593=LINE('',#523416,#130584); #93594=LINE('',#523417,#130585); #93595=LINE('',#523423,#130586); #93596=LINE('',#523426,#130587); #93597=LINE('',#523428,#130588); #93598=LINE('',#523429,#130589); #93599=LINE('',#523435,#130590); #93600=LINE('',#523437,#130591); #93601=LINE('',#523438,#130592); #93602=LINE('',#523444,#130593); #93603=LINE('',#523446,#130594); #93604=LINE('',#523448,#130595); #93605=LINE('',#523449,#130596); #93606=LINE('',#523452,#130597); #93607=LINE('',#523454,#130598); #93608=LINE('',#523455,#130599); #93609=LINE('',#523460,#130600); #93610=LINE('',#523464,#130601); #93611=LINE('',#523466,#130602); #93612=LINE('',#523467,#130603); #93613=LINE('',#523472,#130604); #93614=LINE('',#523475,#130605); #93615=LINE('',#523476,#130606); #93616=LINE('',#523482,#130607); #93617=LINE('',#523484,#130608); #93618=LINE('',#523486,#130609); #93619=LINE('',#523487,#130610); #93620=LINE('',#523490,#130611); #93621=LINE('',#523492,#130612); #93622=LINE('',#523493,#130613); #93623=LINE('',#523499,#130614); #93624=LINE('',#523502,#130615); #93625=LINE('',#523504,#130616); #93626=LINE('',#523505,#130617); #93627=LINE('',#523511,#130618); #93628=LINE('',#523513,#130619); #93629=LINE('',#523514,#130620); #93630=LINE('',#523520,#130621); #93631=LINE('',#523522,#130622); #93632=LINE('',#523524,#130623); #93633=LINE('',#523525,#130624); #93634=LINE('',#523528,#130625); #93635=LINE('',#523530,#130626); #93636=LINE('',#523531,#130627); #93637=LINE('',#523537,#130628); #93638=LINE('',#523540,#130629); #93639=LINE('',#523542,#130630); #93640=LINE('',#523543,#130631); #93641=LINE('',#523549,#130632); #93642=LINE('',#523551,#130633); #93643=LINE('',#523552,#130634); #93644=LINE('',#523558,#130635); #93645=LINE('',#523560,#130636); #93646=LINE('',#523562,#130637); #93647=LINE('',#523563,#130638); #93648=LINE('',#523566,#130639); #93649=LINE('',#523568,#130640); #93650=LINE('',#523569,#130641); #93651=LINE('',#523575,#130642); #93652=LINE('',#523578,#130643); #93653=LINE('',#523580,#130644); #93654=LINE('',#523581,#130645); #93655=LINE('',#523587,#130646); #93656=LINE('',#523589,#130647); #93657=LINE('',#523590,#130648); #93658=LINE('',#523596,#130649); #93659=LINE('',#523598,#130650); #93660=LINE('',#523600,#130651); #93661=LINE('',#523601,#130652); #93662=LINE('',#523604,#130653); #93663=LINE('',#523606,#130654); #93664=LINE('',#523607,#130655); #93665=LINE('',#523612,#130656); #93666=LINE('',#523616,#130657); #93667=LINE('',#523618,#130658); #93668=LINE('',#523619,#130659); #93669=LINE('',#523624,#130660); #93670=LINE('',#523627,#130661); #93671=LINE('',#523628,#130662); #93672=LINE('',#523634,#130663); #93673=LINE('',#523636,#130664); #93674=LINE('',#523638,#130665); #93675=LINE('',#523639,#130666); #93676=LINE('',#523642,#130667); #93677=LINE('',#523644,#130668); #93678=LINE('',#523645,#130669); #93679=LINE('',#523650,#130670); #93680=LINE('',#523654,#130671); #93681=LINE('',#523656,#130672); #93682=LINE('',#523657,#130673); #93683=LINE('',#523662,#130674); #93684=LINE('',#523665,#130675); #93685=LINE('',#523666,#130676); #93686=LINE('',#523672,#130677); #93687=LINE('',#523674,#130678); #93688=LINE('',#523676,#130679); #93689=LINE('',#523677,#130680); #93690=LINE('',#523680,#130681); #93691=LINE('',#523682,#130682); #93692=LINE('',#523683,#130683); #93693=LINE('',#523688,#130684); #93694=LINE('',#523692,#130685); #93695=LINE('',#523694,#130686); #93696=LINE('',#523695,#130687); #93697=LINE('',#523700,#130688); #93698=LINE('',#523703,#130689); #93699=LINE('',#523704,#130690); #93700=LINE('',#523710,#130691); #93701=LINE('',#523712,#130692); #93702=LINE('',#523714,#130693); #93703=LINE('',#523715,#130694); #93704=LINE('',#523718,#130695); #93705=LINE('',#523720,#130696); #93706=LINE('',#523721,#130697); #93707=LINE('',#523724,#130698); #93708=LINE('',#523726,#130699); #93709=LINE('',#523727,#130700); #93710=LINE('',#523729,#130701); #93711=LINE('',#523730,#130702); #93712=LINE('',#523736,#130703); #93713=LINE('',#523738,#130704); #93714=LINE('',#523740,#130705); #93715=LINE('',#523741,#130706); #93716=LINE('',#523744,#130707); #93717=LINE('',#523746,#130708); #93718=LINE('',#523747,#130709); #93719=LINE('',#523750,#130710); #93720=LINE('',#523752,#130711); #93721=LINE('',#523753,#130712); #93722=LINE('',#523755,#130713); #93723=LINE('',#523756,#130714); #93724=LINE('',#523762,#130715); #93725=LINE('',#523764,#130716); #93726=LINE('',#523766,#130717); #93727=LINE('',#523767,#130718); #93728=LINE('',#523770,#130719); #93729=LINE('',#523772,#130720); #93730=LINE('',#523773,#130721); #93731=LINE('',#523776,#130722); #93732=LINE('',#523778,#130723); #93733=LINE('',#523779,#130724); #93734=LINE('',#523781,#130725); #93735=LINE('',#523782,#130726); #93736=LINE('',#523788,#130727); #93737=LINE('',#523790,#130728); #93738=LINE('',#523792,#130729); #93739=LINE('',#523793,#130730); #93740=LINE('',#523796,#130731); #93741=LINE('',#523798,#130732); #93742=LINE('',#523799,#130733); #93743=LINE('',#523802,#130734); #93744=LINE('',#523804,#130735); #93745=LINE('',#523805,#130736); #93746=LINE('',#523807,#130737); #93747=LINE('',#523808,#130738); #93748=LINE('',#523814,#130739); #93749=LINE('',#523816,#130740); #93750=LINE('',#523818,#130741); #93751=LINE('',#523819,#130742); #93752=LINE('',#523822,#130743); #93753=LINE('',#523824,#130744); #93754=LINE('',#523825,#130745); #93755=LINE('',#523828,#130746); #93756=LINE('',#523830,#130747); #93757=LINE('',#523831,#130748); #93758=LINE('',#523833,#130749); #93759=LINE('',#523834,#130750); #93760=LINE('',#523840,#130751); #93761=LINE('',#523842,#130752); #93762=LINE('',#523844,#130753); #93763=LINE('',#523845,#130754); #93764=LINE('',#523848,#130755); #93765=LINE('',#523850,#130756); #93766=LINE('',#523851,#130757); #93767=LINE('',#523854,#130758); #93768=LINE('',#523856,#130759); #93769=LINE('',#523857,#130760); #93770=LINE('',#523859,#130761); #93771=LINE('',#523860,#130762); #93772=LINE('',#523866,#130763); #93773=LINE('',#523868,#130764); #93774=LINE('',#523870,#130765); #93775=LINE('',#523871,#130766); #93776=LINE('',#523874,#130767); #93777=LINE('',#523876,#130768); #93778=LINE('',#523877,#130769); #93779=LINE('',#523880,#130770); #93780=LINE('',#523882,#130771); #93781=LINE('',#523883,#130772); #93782=LINE('',#523885,#130773); #93783=LINE('',#523886,#130774); #93784=LINE('',#523892,#130775); #93785=LINE('',#523894,#130776); #93786=LINE('',#523896,#130777); #93787=LINE('',#523897,#130778); #93788=LINE('',#523900,#130779); #93789=LINE('',#523902,#130780); #93790=LINE('',#523903,#130781); #93791=LINE('',#523906,#130782); #93792=LINE('',#523908,#130783); #93793=LINE('',#523909,#130784); #93794=LINE('',#523911,#130785); #93795=LINE('',#523912,#130786); #93796=LINE('',#523921,#130787); #93797=LINE('',#523923,#130788); #93798=LINE('',#523925,#130789); #93799=LINE('',#523926,#130790); #93800=LINE('',#523929,#130791); #93801=LINE('',#523931,#130792); #93802=LINE('',#523932,#130793); #93803=LINE('',#523935,#130794); #93804=LINE('',#523937,#130795); #93805=LINE('',#523938,#130796); #93806=LINE('',#523940,#130797); #93807=LINE('',#523941,#130798); #93808=LINE('',#523944,#130799); #93809=LINE('',#523946,#130800); #93810=LINE('',#523947,#130801); #93811=LINE('',#523950,#130802); #93812=LINE('',#523951,#130803); #93813=LINE('',#523955,#130804); #93814=LINE('',#523956,#130805); #93815=LINE('',#523958,#130806); #93816=LINE('',#523963,#130807); #93817=LINE('',#523965,#130808); #93818=LINE('',#523967,#130809); #93819=LINE('',#523968,#130810); #93820=LINE('',#523971,#130811); #93821=LINE('',#523973,#130812); #93822=LINE('',#523974,#130813); #93823=LINE('',#523977,#130814); #93824=LINE('',#523979,#130815); #93825=LINE('',#523980,#130816); #93826=LINE('',#523982,#130817); #93827=LINE('',#523983,#130818); #93828=LINE('',#523989,#130819); #93829=LINE('',#523991,#130820); #93830=LINE('',#523993,#130821); #93831=LINE('',#523994,#130822); #93832=LINE('',#523997,#130823); #93833=LINE('',#523999,#130824); #93834=LINE('',#524000,#130825); #93835=LINE('',#524003,#130826); #93836=LINE('',#524005,#130827); #93837=LINE('',#524006,#130828); #93838=LINE('',#524008,#130829); #93839=LINE('',#524009,#130830); #93840=LINE('',#524015,#130831); #93841=LINE('',#524017,#130832); #93842=LINE('',#524019,#130833); #93843=LINE('',#524020,#130834); #93844=LINE('',#524023,#130835); #93845=LINE('',#524025,#130836); #93846=LINE('',#524026,#130837); #93847=LINE('',#524029,#130838); #93848=LINE('',#524031,#130839); #93849=LINE('',#524032,#130840); #93850=LINE('',#524034,#130841); #93851=LINE('',#524035,#130842); #93852=LINE('',#524041,#130843); #93853=LINE('',#524043,#130844); #93854=LINE('',#524045,#130845); #93855=LINE('',#524046,#130846); #93856=LINE('',#524049,#130847); #93857=LINE('',#524051,#130848); #93858=LINE('',#524052,#130849); #93859=LINE('',#524055,#130850); #93860=LINE('',#524057,#130851); #93861=LINE('',#524058,#130852); #93862=LINE('',#524060,#130853); #93863=LINE('',#524061,#130854); #93864=LINE('',#524071,#130855); #93865=LINE('',#524077,#130856); #93866=LINE('',#524084,#130857); #93867=LINE('',#524137,#130858); #93868=LINE('',#524191,#130859); #93869=LINE('',#524218,#130860); #93870=LINE('',#524263,#130861); #93871=LINE('',#524314,#130862); #93872=LINE('',#525115,#130863); #93873=LINE('',#525117,#130864); #93874=LINE('',#525119,#130865); #93875=LINE('',#525121,#130866); #93876=LINE('',#525123,#130867); #93877=LINE('',#525124,#130868); #93878=LINE('',#525126,#130869); #93879=LINE('',#525127,#130870); #93880=LINE('',#525129,#130871); #93881=LINE('',#525131,#130872); #93882=LINE('',#525133,#130873); #93883=LINE('',#525135,#130874); #93884=LINE('',#525140,#130875); #93885=LINE('',#525164,#130876); #93886=LINE('',#525212,#130877); #93887=LINE('',#525260,#130878); #93888=LINE('',#525308,#130879); #93889=LINE('',#525362,#130880); #93890=LINE('',#525410,#130881); #93891=LINE('',#525458,#130882); #93892=LINE('',#525468,#130883); #93893=LINE('',#525493,#130884); #93894=LINE('',#525517,#130885); #93895=LINE('',#525542,#130886); #93896=LINE('',#525567,#130887); #93897=LINE('',#525591,#130888); #93898=LINE('',#525615,#130889); #93899=LINE('',#525617,#130890); #93900=LINE('',#525619,#130891); #93901=LINE('',#525620,#130892); #93902=LINE('',#525624,#130893); #93903=LINE('',#525626,#130894); #93904=LINE('',#525628,#130895); #93905=LINE('',#525629,#130896); #93906=LINE('',#525633,#130897); #93907=LINE('',#525635,#130898); #93908=LINE('',#525637,#130899); #93909=LINE('',#525638,#130900); #93910=LINE('',#525642,#130901); #93911=LINE('',#525644,#130902); #93912=LINE('',#525646,#130903); #93913=LINE('',#525647,#130904); #93914=LINE('',#525651,#130905); #93915=LINE('',#525653,#130906); #93916=LINE('',#525655,#130907); #93917=LINE('',#525656,#130908); #93918=LINE('',#525660,#130909); #93919=LINE('',#525662,#130910); #93920=LINE('',#525664,#130911); #93921=LINE('',#525665,#130912); #93922=LINE('',#525669,#130913); #93923=LINE('',#525671,#130914); #93924=LINE('',#525673,#130915); #93925=LINE('',#525674,#130916); #93926=LINE('',#525693,#130917); #93927=LINE('',#525709,#130918); #93928=LINE('',#525715,#130919); #93929=LINE('',#525718,#130920); #93930=LINE('',#525720,#130921); #93931=LINE('',#525721,#130922); #93932=LINE('',#525723,#130923); #93933=LINE('',#525724,#130924); #93934=LINE('',#525727,#130925); #93935=LINE('',#525733,#130926); #93936=LINE('',#525735,#130927); #93937=LINE('',#525737,#130928); #93938=LINE('',#525739,#130929); #93939=LINE('',#525741,#130930); #93940=LINE('',#525744,#130931); #93941=LINE('',#525747,#130932); #93942=LINE('',#525749,#130933); #93943=LINE('',#525750,#130934); #93944=LINE('',#525769,#130935); #93945=LINE('',#525785,#130936); #93946=LINE('',#525791,#130937); #93947=LINE('',#525793,#130938); #93948=LINE('',#525794,#130939); #93949=LINE('',#525796,#130940); #93950=LINE('',#525800,#130941); #93951=LINE('',#525802,#130942); #93952=LINE('',#525807,#130943); #93953=LINE('',#525810,#130944); #93954=LINE('',#525812,#130945); #93955=LINE('',#525819,#130946); #93956=LINE('',#525821,#130947); #93957=LINE('',#525826,#130948); #93958=LINE('',#525829,#130949); #93959=LINE('',#525831,#130950); #93960=LINE('',#525838,#130951); #93961=LINE('',#525840,#130952); #93962=LINE('',#525845,#130953); #93963=LINE('',#525848,#130954); #93964=LINE('',#525850,#130955); #93965=LINE('',#525859,#130956); #93966=LINE('',#525862,#130957); #93967=LINE('',#525869,#130958); #93968=LINE('',#525871,#130959); #93969=LINE('',#525876,#130960); #93970=LINE('',#525879,#130961); #93971=LINE('',#525881,#130962); #93972=LINE('',#525888,#130963); #93973=LINE('',#525890,#130964); #93974=LINE('',#525895,#130965); #93975=LINE('',#525898,#130966); #93976=LINE('',#525900,#130967); #93977=LINE('',#525907,#130968); #93978=LINE('',#525909,#130969); #93979=LINE('',#525914,#130970); #93980=LINE('',#525917,#130971); #93981=LINE('',#525919,#130972); #93982=LINE('',#525926,#130973); #93983=LINE('',#525928,#130974); #93984=LINE('',#525933,#130975); #93985=LINE('',#525936,#130976); #93986=LINE('',#525938,#130977); #93987=LINE('',#525982,#130978); #93988=LINE('',#525984,#130979); #93989=LINE('',#525989,#130980); #93990=LINE('',#525992,#130981); #93991=LINE('',#525994,#130982); #93992=LINE('',#526001,#130983); #93993=LINE('',#526003,#130984); #93994=LINE('',#526008,#130985); #93995=LINE('',#526011,#130986); #93996=LINE('',#526013,#130987); #93997=LINE('',#526020,#130988); #93998=LINE('',#526022,#130989); #93999=LINE('',#526027,#130990); #94000=LINE('',#526030,#130991); #94001=LINE('',#526032,#130992); #94002=LINE('',#526039,#130993); #94003=LINE('',#526041,#130994); #94004=LINE('',#526046,#130995); #94005=LINE('',#526049,#130996); #94006=LINE('',#526051,#130997); #94007=LINE('',#526116,#130998); #94008=LINE('',#526118,#130999); #94009=LINE('',#526122,#131000); #94010=LINE('',#526123,#131001); #94011=LINE('',#526124,#131002); #94012=LINE('',#526127,#131003); #94013=LINE('',#526128,#131004); #94014=LINE('',#526132,#131005); #94015=LINE('',#526133,#131006); #94016=LINE('',#526134,#131007); #94017=LINE('',#526137,#131008); #94018=LINE('',#526138,#131009); #94019=LINE('',#526142,#131010); #94020=LINE('',#526143,#131011); #94021=LINE('',#526144,#131012); #94022=LINE('',#526147,#131013); #94023=LINE('',#526148,#131014); #94024=LINE('',#526168,#131015); #94025=LINE('',#526172,#131016); #94026=LINE('',#526174,#131017); #94027=LINE('',#526176,#131018); #94028=LINE('',#526177,#131019); #94029=LINE('',#526181,#131020); #94030=LINE('',#526183,#131021); #94031=LINE('',#526185,#131022); #94032=LINE('',#526186,#131023); #94033=LINE('',#526191,#131024); #94034=LINE('',#526198,#131025); #94035=LINE('',#526201,#131026); #94036=LINE('',#526205,#131027); #94037=LINE('',#526207,#131028); #94038=LINE('',#526211,#131029); #94039=LINE('',#526215,#131030); #94040=LINE('',#526217,#131031); #94041=LINE('',#526220,#131032); #94042=LINE('',#526223,#131033); #94043=LINE('',#526224,#131034); #94044=LINE('',#526225,#131035); #94045=LINE('',#526228,#131036); #94046=LINE('',#526230,#131037); #94047=LINE('',#526231,#131038); #94048=LINE('',#526234,#131039); #94049=LINE('',#526236,#131040); #94050=LINE('',#526237,#131041); #94051=LINE('',#526240,#131042); #94052=LINE('',#526241,#131043); #94053=LINE('',#526245,#131044); #94054=LINE('',#526248,#131045); #94055=LINE('',#526249,#131046); #94056=LINE('',#526255,#131047); #94057=LINE('',#526258,#131048); #94058=LINE('',#526259,#131049); #94059=LINE('',#526261,#131050); #94060=LINE('',#526265,#131051); #94061=LINE('',#526269,#131052); #94062=LINE('',#526271,#131053); #94063=LINE('',#526275,#131054); #94064=LINE('',#526277,#131055); #94065=LINE('',#526281,#131056); #94066=LINE('',#526285,#131057); #94067=LINE('',#526287,#131058); #94068=LINE('',#526290,#131059); #94069=LINE('',#526293,#131060); #94070=LINE('',#526295,#131061); #94071=LINE('',#526296,#131062); #94072=LINE('',#526299,#131063); #94073=LINE('',#526301,#131064); #94074=LINE('',#526305,#131065); #94075=LINE('',#526307,#131066); #94076=LINE('',#526311,#131067); #94077=LINE('',#526315,#131068); #94078=LINE('',#526317,#131069); #94079=LINE('',#526320,#131070); #94080=LINE('',#526321,#131071); #94081=LINE('',#526323,#131072); #94082=LINE('',#526325,#131073); #94083=LINE('',#526327,#131074); #94084=LINE('',#526328,#131075); #94085=LINE('',#526330,#131076); #94086=LINE('',#526332,#131077); #94087=LINE('',#526334,#131078); #94088=LINE('',#526338,#131079); #94089=LINE('',#526342,#131080); #94090=LINE('',#526344,#131081); #94091=LINE('',#526346,#131082); #94092=LINE('',#526347,#131083); #94093=LINE('',#526353,#131084); #94094=LINE('',#526357,#131085); #94095=LINE('',#526361,#131086); #94096=LINE('',#526365,#131087); #94097=LINE('',#526367,#131088); #94098=LINE('',#526368,#131089); #94099=LINE('',#526371,#131090); #94100=LINE('',#526375,#131091); #94101=LINE('',#526377,#131092); #94102=LINE('',#526381,#131093); #94103=LINE('',#526385,#131094); #94104=LINE('',#526387,#131095); #94105=LINE('',#526390,#131096); #94106=LINE('',#526393,#131097); #94107=LINE('',#526394,#131098); #94108=LINE('',#526395,#131099); #94109=LINE('',#526400,#131100); #94110=LINE('',#526401,#131101); #94111=LINE('',#526404,#131102); #94112=LINE('',#526408,#131103); #94113=LINE('',#526409,#131104); #94114=LINE('',#526411,#131105); #94115=LINE('',#526415,#131106); #94116=LINE('',#526417,#131107); #94117=LINE('',#526420,#131108); #94118=LINE('',#526422,#131109); #94119=LINE('',#526424,#131110); #94120=LINE('',#526426,#131111); #94121=LINE('',#526428,#131112); #94122=LINE('',#526429,#131113); #94123=LINE('',#526431,#131114); #94124=LINE('',#526433,#131115); #94125=LINE('',#526439,#131116); #94126=LINE('',#526441,#131117); #94127=LINE('',#526443,#131118); #94128=LINE('',#526444,#131119); #94129=LINE('',#526448,#131120); #94130=LINE('',#526450,#131121); #94131=LINE('',#526452,#131122); #94132=LINE('',#526453,#131123); #94133=LINE('',#526458,#131124); #94134=LINE('',#526465,#131125); #94135=LINE('',#526468,#131126); #94136=LINE('',#526472,#131127); #94137=LINE('',#526474,#131128); #94138=LINE('',#526478,#131129); #94139=LINE('',#526482,#131130); #94140=LINE('',#526484,#131131); #94141=LINE('',#526487,#131132); #94142=LINE('',#526490,#131133); #94143=LINE('',#526491,#131134); #94144=LINE('',#526492,#131135); #94145=LINE('',#526495,#131136); #94146=LINE('',#526497,#131137); #94147=LINE('',#526498,#131138); #94148=LINE('',#526501,#131139); #94149=LINE('',#526503,#131140); #94150=LINE('',#526504,#131141); #94151=LINE('',#526507,#131142); #94152=LINE('',#526508,#131143); #94153=LINE('',#526512,#131144); #94154=LINE('',#526515,#131145); #94155=LINE('',#526516,#131146); #94156=LINE('',#526522,#131147); #94157=LINE('',#526525,#131148); #94158=LINE('',#526526,#131149); #94159=LINE('',#526528,#131150); #94160=LINE('',#526532,#131151); #94161=LINE('',#526536,#131152); #94162=LINE('',#526538,#131153); #94163=LINE('',#526542,#131154); #94164=LINE('',#526544,#131155); #94165=LINE('',#526548,#131156); #94166=LINE('',#526552,#131157); #94167=LINE('',#526554,#131158); #94168=LINE('',#526557,#131159); #94169=LINE('',#526560,#131160); #94170=LINE('',#526562,#131161); #94171=LINE('',#526563,#131162); #94172=LINE('',#526566,#131163); #94173=LINE('',#526568,#131164); #94174=LINE('',#526572,#131165); #94175=LINE('',#526574,#131166); #94176=LINE('',#526578,#131167); #94177=LINE('',#526582,#131168); #94178=LINE('',#526584,#131169); #94179=LINE('',#526587,#131170); #94180=LINE('',#526588,#131171); #94181=LINE('',#526590,#131172); #94182=LINE('',#526591,#131173); #94183=LINE('',#526593,#131174); #94184=LINE('',#526594,#131175); #94185=LINE('',#526596,#131176); #94186=LINE('',#526599,#131177); #94187=LINE('',#526602,#131178); #94188=LINE('',#526604,#131179); #94189=LINE('',#526608,#131180); #94190=LINE('',#526623,#131181); #94191=LINE('',#526627,#131182); #94192=LINE('',#526628,#131183); #94193=LINE('',#526631,#131184); #94194=LINE('',#526633,#131185); #94195=LINE('',#526635,#131186); #94196=LINE('',#526637,#131187); #94197=LINE('',#526639,#131188); #94198=LINE('',#526641,#131189); #94199=LINE('',#526642,#131190); #94200=LINE('',#526643,#131191); #94201=LINE('',#526646,#131192); #94202=LINE('',#526648,#131193); #94203=LINE('',#526650,#131194); #94204=LINE('',#526654,#131195); #94205=LINE('',#526670,#131196); #94206=LINE('',#526674,#131197); #94207=LINE('',#526677,#131198); #94208=LINE('',#526678,#131199); #94209=LINE('',#526683,#131200); #94210=LINE('',#526687,#131201); #94211=LINE('',#526690,#131202); #94212=LINE('',#526694,#131203); #94213=LINE('',#526696,#131204); #94214=LINE('',#526698,#131205); #94215=LINE('',#526700,#131206); #94216=LINE('',#526702,#131207); #94217=LINE('',#526704,#131208); #94218=LINE('',#526706,#131209); #94219=LINE('',#526708,#131210); #94220=LINE('',#526709,#131211); #94221=LINE('',#527507,#131212); #94222=LINE('',#527509,#131213); #94223=LINE('',#527511,#131214); #94224=LINE('',#527513,#131215); #94225=LINE('',#527515,#131216); #94226=LINE('',#527516,#131217); #94227=LINE('',#527519,#131218); #94228=LINE('',#527521,#131219); #94229=LINE('',#527522,#131220); #94230=LINE('',#527525,#131221); #94231=LINE('',#527527,#131222); #94232=LINE('',#527528,#131223); #94233=LINE('',#527531,#131224); #94234=LINE('',#527532,#131225); #94235=LINE('',#527535,#131226); #94236=LINE('',#527536,#131227); #94237=LINE('',#527537,#131228); #94238=LINE('',#527541,#131229); #94239=LINE('',#527543,#131230); #94240=LINE('',#527545,#131231); #94241=LINE('',#527547,#131232); #94242=LINE('',#527549,#131233); #94243=LINE('',#527551,#131234); #94244=LINE('',#527553,#131235); #94245=LINE('',#527555,#131236); #94246=LINE('',#527556,#131237); #94247=LINE('',#527561,#131238); #94248=LINE('',#527563,#131239); #94249=LINE('',#527565,#131240); #94250=LINE('',#527566,#131241); #94251=LINE('',#527569,#131242); #94252=LINE('',#527571,#131243); #94253=LINE('',#527572,#131244); #94254=LINE('',#527576,#131245); #94255=LINE('',#527578,#131246); #94256=LINE('',#527580,#131247); #94257=LINE('',#527581,#131248); #94258=LINE('',#527585,#131249); #94259=LINE('',#527587,#131250); #94260=LINE('',#527589,#131251); #94261=LINE('',#527590,#131252); #94262=LINE('',#527593,#131253); #94263=LINE('',#527595,#131254); #94264=LINE('',#527596,#131255); #94265=LINE('',#527600,#131256); #94266=LINE('',#527602,#131257); #94267=LINE('',#527604,#131258); #94268=LINE('',#527605,#131259); #94269=LINE('',#527610,#131260); #94270=LINE('',#527615,#131261); #94271=LINE('',#527616,#131262); #94272=LINE('',#527617,#131263); #94273=LINE('',#527622,#131264); #94274=LINE('',#527624,#131265); #94275=LINE('',#527628,#131266); #94276=LINE('',#527629,#131267); #94277=LINE('',#527632,#131268); #94278=LINE('',#527634,#131269); #94279=LINE('',#527635,#131270); #94280=LINE('',#527638,#131271); #94281=LINE('',#527639,#131272); #94282=LINE('',#527642,#131273); #94283=LINE('',#527643,#131274); #94284=LINE('',#527649,#131275); #94285=LINE('',#527651,#131276); #94286=LINE('',#527653,#131277); #94287=LINE('',#527654,#131278); #94288=LINE('',#527659,#131279); #94289=LINE('',#527664,#131280); #94290=LINE('',#527665,#131281); #94291=LINE('',#527666,#131282); #94292=LINE('',#527670,#131283); #94293=LINE('',#527672,#131284); #94294=LINE('',#527674,#131285); #94295=LINE('',#527675,#131286); #94296=LINE('',#527677,#131287); #94297=LINE('',#527678,#131288); #94298=LINE('',#527682,#131289); #94299=LINE('',#527684,#131290); #94300=LINE('',#527686,#131291); #94301=LINE('',#527687,#131292); #94302=LINE('',#527692,#131293); #94303=LINE('',#527693,#131294); #94304=LINE('',#527694,#131295); #94305=LINE('',#527700,#131296); #94306=LINE('',#527703,#131297); #94307=LINE('',#527707,#131298); #94308=LINE('',#527708,#131299); #94309=LINE('',#527712,#131300); #94310=LINE('',#527716,#131301); #94311=LINE('',#527718,#131302); #94312=LINE('',#527720,#131303); #94313=LINE('',#527722,#131304); #94314=LINE('',#527723,#131305); #94315=LINE('',#527725,#131306); #94316=LINE('',#527726,#131307); #94317=LINE('',#527730,#131308); #94318=LINE('',#527731,#131309); #94319=LINE('',#527732,#131310); #94320=LINE('',#527735,#131311); #94321=LINE('',#527736,#131312); #94322=LINE('',#527737,#131313); #94323=LINE('',#527743,#131314); #94324=LINE('',#527746,#131315); #94325=LINE('',#527748,#131316); #94326=LINE('',#527750,#131317); #94327=LINE('',#527751,#131318); #94328=LINE('',#527753,#131319); #94329=LINE('',#527754,#131320); #94330=LINE('',#527759,#131321); #94331=LINE('',#527761,#131322); #94332=LINE('',#527762,#131323); #94333=LINE('',#527764,#131324); #94334=LINE('',#527766,#131325); #94335=LINE('',#527769,#131326); #94336=LINE('',#527771,#131327); #94337=LINE('',#527775,#131328); #94338=LINE('',#527777,#131329); #94339=LINE('',#527779,#131330); #94340=LINE('',#527780,#131331); #94341=LINE('',#527786,#131332); #94342=LINE('',#527789,#131333); #94343=LINE('',#527791,#131334); #94344=LINE('',#527792,#131335); #94345=LINE('',#527798,#131336); #94346=LINE('',#527801,#131337); #94347=LINE('',#527803,#131338); #94348=LINE('',#527804,#131339); #94349=LINE('',#527807,#131340); #94350=LINE('',#527808,#131341); #94351=LINE('',#527809,#131342); #94352=LINE('',#527812,#131343); #94353=LINE('',#527813,#131344); #94354=LINE('',#527817,#131345); #94355=LINE('',#527818,#131346); #94356=LINE('',#527819,#131347); #94357=LINE('',#527822,#131348); #94358=LINE('',#527823,#131349); #94359=LINE('',#527826,#131350); #94360=LINE('',#527827,#131351); #94361=LINE('',#527831,#131352); #94362=LINE('',#527834,#131353); #94363=LINE('',#527835,#131354); #94364=LINE('',#527840,#131355); #94365=LINE('',#527841,#131356); #94366=LINE('',#527843,#131357); #94367=LINE('',#527848,#131358); #94368=LINE('',#527849,#131359); #94369=LINE('',#527851,#131360); #94370=LINE('',#527852,#131361); #94371=LINE('',#527856,#131362); #94372=LINE('',#527857,#131363); #94373=LINE('',#527859,#131364); #94374=LINE('',#527861,#131365); #94375=LINE('',#527862,#131366); #94376=LINE('',#527863,#131367); #94377=LINE('',#527871,#131368); #94378=LINE('',#527875,#131369); #94379=LINE('',#527877,#131370); #94380=LINE('',#527879,#131371); #94381=LINE('',#527881,#131372); #94382=LINE('',#527883,#131373); #94383=LINE('',#527886,#131374); #94384=LINE('',#527887,#131375); #94385=LINE('',#527889,#131376); #94386=LINE('',#527890,#131377); #94387=LINE('',#527896,#131378); #94388=LINE('',#527897,#131379); #94389=LINE('',#527904,#131380); #94390=LINE('',#527905,#131381); #94391=LINE('',#527907,#131382); #94392=LINE('',#527911,#131383); #94393=LINE('',#527915,#131384); #94394=LINE('',#527916,#131385); #94395=LINE('',#527918,#131386); #94396=LINE('',#527920,#131387); #94397=LINE('',#527922,#131388); #94398=LINE('',#527923,#131389); #94399=LINE('',#527930,#131390); #94400=LINE('',#527932,#131391); #94401=LINE('',#527934,#131392); #94402=LINE('',#527936,#131393); #94403=LINE('',#527938,#131394); #94404=LINE('',#527940,#131395); #94405=LINE('',#527942,#131396); #94406=LINE('',#527944,#131397); #94407=LINE('',#527946,#131398); #94408=LINE('',#527949,#131399); #94409=LINE('',#527964,#131400); #94410=LINE('',#527968,#131401); #94411=LINE('',#527970,#131402); #94412=LINE('',#527972,#131403); #94413=LINE('',#527976,#131404); #94414=LINE('',#527990,#131405); #94415=LINE('',#527992,#131406); #94416=LINE('',#527994,#131407); #94417=LINE('',#527996,#131408); #94418=LINE('',#527998,#131409); #94419=LINE('',#528000,#131410); #94420=LINE('',#528002,#131411); #94421=LINE('',#528003,#131412); #94422=LINE('',#528006,#131413); #94423=LINE('',#528010,#131414); #94424=LINE('',#528014,#131415); #94425=LINE('',#528016,#131416); #94426=LINE('',#528018,#131417); #94427=LINE('',#528022,#131418); #94428=LINE('',#528025,#131419); #94429=LINE('',#528027,#131420); #94430=LINE('',#528029,#131421); #94431=LINE('',#528033,#131422); #94432=LINE('',#528035,#131423); #94433=LINE('',#528037,#131424); #94434=LINE('',#528039,#131425); #94435=LINE('',#528041,#131426); #94436=LINE('',#528043,#131427); #94437=LINE('',#528045,#131428); #94438=LINE('',#528047,#131429); #94439=LINE('',#528049,#131430); #94440=LINE('',#528053,#131431); #94441=LINE('',#528055,#131432); #94442=LINE('',#528057,#131433); #94443=LINE('',#528058,#131434); #94444=LINE('',#528062,#131435); #94445=LINE('',#528064,#131436); #94446=LINE('',#528066,#131437); #94447=LINE('',#528067,#131438); #94448=LINE('',#528071,#131439); #94449=LINE('',#528074,#131440); #94450=LINE('',#528077,#131441); #94451=LINE('',#528080,#131442); #94452=LINE('',#528085,#131443); #94453=LINE('',#528090,#131444); #94454=LINE('',#528094,#131445); #94455=LINE('',#528096,#131446); #94456=LINE('',#528099,#131447); #94457=LINE('',#528101,#131448); #94458=LINE('',#528102,#131449); #94459=LINE('',#528106,#131450); #94460=LINE('',#528108,#131451); #94461=LINE('',#528110,#131452); #94462=LINE('',#528111,#131453); #94463=LINE('',#528115,#131454); #94464=LINE('',#528117,#131455); #94465=LINE('',#528119,#131456); #94466=LINE('',#528120,#131457); #94467=LINE('',#528124,#131458); #94468=LINE('',#528127,#131459); #94469=LINE('',#528131,#131460); #94470=LINE('',#528137,#131461); #94471=LINE('',#528142,#131462); #94472=LINE('',#528146,#131463); #94473=LINE('',#528148,#131464); #94474=LINE('',#528153,#131465); #94475=LINE('',#528156,#131466); #94476=LINE('',#528158,#131467); #94477=LINE('',#528159,#131468); #94478=LINE('',#528164,#131469); #94479=LINE('',#528166,#131470); #94480=LINE('',#528168,#131471); #94481=LINE('',#528174,#131472); #94482=LINE('',#528178,#131473); #94483=LINE('',#528180,#131474); #94484=LINE('',#528181,#131475); #94485=LINE('',#528184,#131476); #94486=LINE('',#528186,#131477); #94487=LINE('',#528190,#131478); #94488=LINE('',#528191,#131479); #94489=LINE('',#528193,#131480); #94490=LINE('',#528194,#131481); #94491=LINE('',#528199,#131482); #94492=LINE('',#528200,#131483); #94493=LINE('',#528205,#131484); #94494=LINE('',#528208,#131485); #94495=LINE('',#528209,#131486); #94496=LINE('',#528210,#131487); #94497=LINE('',#528216,#131488); #94498=LINE('',#528219,#131489); #94499=LINE('',#528222,#131490); #94500=LINE('',#528224,#131491); #94501=LINE('',#528226,#131492); #94502=LINE('',#528230,#131493); #94503=LINE('',#528232,#131494); #94504=LINE('',#528233,#131495); #94505=LINE('',#528236,#131496); #94506=LINE('',#528239,#131497); #94507=LINE('',#528240,#131498); #94508=LINE('',#528243,#131499); #94509=LINE('',#528248,#131500); #94510=LINE('',#528249,#131501); #94511=LINE('',#528252,#131502); #94512=LINE('',#528254,#131503); #94513=LINE('',#528255,#131504); #94514=LINE('',#528257,#131505); #94515=LINE('',#528258,#131506); #94516=LINE('',#528261,#131507); #94517=LINE('',#528263,#131508); #94518=LINE('',#528266,#131509); #94519=LINE('',#528269,#131510); #94520=LINE('',#528272,#131511); #94521=LINE('',#528274,#131512); #94522=LINE('',#528276,#131513); #94523=LINE('',#528279,#131514); #94524=LINE('',#528282,#131515); #94525=LINE('',#528284,#131516); #94526=LINE('',#528286,#131517); #94527=LINE('',#528288,#131518); #94528=LINE('',#528290,#131519); #94529=LINE('',#528303,#131520); #94530=LINE('',#528317,#131521); #94531=LINE('',#528326,#131522); #94532=LINE('',#528329,#131523); #94533=LINE('',#528335,#131524); #94534=LINE('',#528338,#131525); #94535=LINE('',#528342,#131526); #94536=LINE('',#528346,#131527); #94537=LINE('',#528351,#131528); #94538=LINE('',#528355,#131529); #94539=LINE('',#528360,#131530); #94540=LINE('',#528367,#131531); #94541=LINE('',#528370,#131532); #94542=LINE('',#528371,#131533); #94543=LINE('',#528372,#131534); #94544=LINE('',#528373,#131535); #94545=LINE('',#528375,#131536); #94546=LINE('',#528378,#131537); #94547=LINE('',#528380,#131538); #94548=LINE('',#528381,#131539); #94549=LINE('',#528386,#131540); #94550=LINE('',#528389,#131541); #94551=LINE('',#528390,#131542); #94552=LINE('',#528392,#131543); #94553=LINE('',#528394,#131544); #94554=LINE('',#528396,#131545); #94555=LINE('',#528398,#131546); #94556=LINE('',#528400,#131547); #94557=LINE('',#528404,#131548); #94558=LINE('',#528405,#131549); #94559=LINE('',#528406,#131550); #94560=LINE('',#528410,#131551); #94561=LINE('',#528414,#131552); #94562=LINE('',#528415,#131553); #94563=LINE('',#528417,#131554); #94564=LINE('',#528419,#131555); #94565=LINE('',#528421,#131556); #94566=LINE('',#528424,#131557); #94567=LINE('',#528426,#131558); #94568=LINE('',#528428,#131559); #94569=LINE('',#528435,#131560); #94570=LINE('',#528438,#131561); #94571=LINE('',#528444,#131562); #94572=LINE('',#528447,#131563); #94573=LINE('',#528451,#131564); #94574=LINE('',#528455,#131565); #94575=LINE('',#528460,#131566); #94576=LINE('',#528464,#131567); #94577=LINE('',#528470,#131568); #94578=LINE('',#528476,#131569); #94579=LINE('',#528479,#131570); #94580=LINE('',#528480,#131571); #94581=LINE('',#528481,#131572); #94582=LINE('',#528482,#131573); #94583=LINE('',#528484,#131574); #94584=LINE('',#528488,#131575); #94585=LINE('',#528489,#131576); #94586=LINE('',#528490,#131577); #94587=LINE('',#528493,#131578); #94588=LINE('',#528495,#131579); #94589=LINE('',#528496,#131580); #94590=LINE('',#528498,#131581); #94591=LINE('',#528500,#131582); #94592=LINE('',#528502,#131583); #94593=LINE('',#528504,#131584); #94594=LINE('',#528506,#131585); #94595=LINE('',#528509,#131586); #94596=LINE('',#528511,#131587); #94597=LINE('',#528512,#131588); #94598=LINE('',#528516,#131589); #94599=LINE('',#528517,#131590); #94600=LINE('',#528518,#131591); #94601=LINE('',#528520,#131592); #94602=LINE('',#528522,#131593); #94603=LINE('',#528526,#131594); #94604=LINE('',#528529,#131595); #94605=LINE('',#528531,#131596); #94606=LINE('',#528533,#131597); #94607=LINE('',#528546,#131598); #94608=LINE('',#528552,#131599); #94609=LINE('',#528554,#131600); #94610=LINE('',#528556,#131601); #94611=LINE('',#528557,#131602); #94612=LINE('',#528560,#131603); #94613=LINE('',#528562,#131604); #94614=LINE('',#528563,#131605); #94615=LINE('',#528566,#131606); #94616=LINE('',#528568,#131607); #94617=LINE('',#528569,#131608); #94618=LINE('',#528571,#131609); #94619=LINE('',#528572,#131610); #94620=LINE('',#528575,#131611); #94621=LINE('',#528577,#131612); #94622=LINE('',#528578,#131613); #94623=LINE('',#528581,#131614); #94624=LINE('',#528582,#131615); #94625=LINE('',#528586,#131616); #94626=LINE('',#528587,#131617); #94627=LINE('',#528589,#131618); #94628=LINE('',#528596,#131619); #94629=LINE('',#528598,#131620); #94630=LINE('',#528599,#131621); #94631=LINE('',#528602,#131622); #94632=LINE('',#528605,#131623); #94633=LINE('',#528609,#131624); #94634=LINE('',#528611,#131625); #94635=LINE('',#528613,#131626); #94636=LINE('',#528614,#131627); #94637=LINE('',#528616,#131628); #94638=LINE('',#528617,#131629); #94639=LINE('',#528620,#131630); #94640=LINE('',#528622,#131631); #94641=LINE('',#528623,#131632); #94642=LINE('',#528625,#131633); #94643=LINE('',#528626,#131634); #94644=LINE('',#528634,#131635); #94645=LINE('',#528636,#131636); #94646=LINE('',#528637,#131637); #94647=LINE('',#528640,#131638); #94648=LINE('',#528643,#131639); #94649=LINE('',#528647,#131640); #94650=LINE('',#528649,#131641); #94651=LINE('',#528651,#131642); #94652=LINE('',#528652,#131643); #94653=LINE('',#528654,#131644); #94654=LINE('',#528655,#131645); #94655=LINE('',#528658,#131646); #94656=LINE('',#528660,#131647); #94657=LINE('',#528661,#131648); #94658=LINE('',#528663,#131649); #94659=LINE('',#528664,#131650); #94660=LINE('',#528672,#131651); #94661=LINE('',#528674,#131652); #94662=LINE('',#528675,#131653); #94663=LINE('',#528678,#131654); #94664=LINE('',#528681,#131655); #94665=LINE('',#528685,#131656); #94666=LINE('',#528687,#131657); #94667=LINE('',#528689,#131658); #94668=LINE('',#528690,#131659); #94669=LINE('',#528692,#131660); #94670=LINE('',#528693,#131661); #94671=LINE('',#528696,#131662); #94672=LINE('',#528698,#131663); #94673=LINE('',#528699,#131664); #94674=LINE('',#528701,#131665); #94675=LINE('',#528702,#131666); #94676=LINE('',#528710,#131667); #94677=LINE('',#528712,#131668); #94678=LINE('',#528713,#131669); #94679=LINE('',#528716,#131670); #94680=LINE('',#528719,#131671); #94681=LINE('',#528723,#131672); #94682=LINE('',#528725,#131673); #94683=LINE('',#528727,#131674); #94684=LINE('',#528728,#131675); #94685=LINE('',#528730,#131676); #94686=LINE('',#528731,#131677); #94687=LINE('',#528734,#131678); #94688=LINE('',#528736,#131679); #94689=LINE('',#528737,#131680); #94690=LINE('',#528739,#131681); #94691=LINE('',#528740,#131682); #94692=LINE('',#528748,#131683); #94693=LINE('',#528750,#131684); #94694=LINE('',#528751,#131685); #94695=LINE('',#528754,#131686); #94696=LINE('',#528757,#131687); #94697=LINE('',#528761,#131688); #94698=LINE('',#528763,#131689); #94699=LINE('',#528765,#131690); #94700=LINE('',#528766,#131691); #94701=LINE('',#528768,#131692); #94702=LINE('',#528769,#131693); #94703=LINE('',#528772,#131694); #94704=LINE('',#528774,#131695); #94705=LINE('',#528775,#131696); #94706=LINE('',#528777,#131697); #94707=LINE('',#528778,#131698); #94708=LINE('',#528786,#131699); #94709=LINE('',#528788,#131700); #94710=LINE('',#528789,#131701); #94711=LINE('',#528792,#131702); #94712=LINE('',#528795,#131703); #94713=LINE('',#528799,#131704); #94714=LINE('',#528801,#131705); #94715=LINE('',#528803,#131706); #94716=LINE('',#528804,#131707); #94717=LINE('',#528806,#131708); #94718=LINE('',#528807,#131709); #94719=LINE('',#528810,#131710); #94720=LINE('',#528812,#131711); #94721=LINE('',#528813,#131712); #94722=LINE('',#528815,#131713); #94723=LINE('',#528816,#131714); #94724=LINE('',#528824,#131715); #94725=LINE('',#528826,#131716); #94726=LINE('',#528827,#131717); #94727=LINE('',#528830,#131718); #94728=LINE('',#528833,#131719); #94729=LINE('',#528837,#131720); #94730=LINE('',#528839,#131721); #94731=LINE('',#528841,#131722); #94732=LINE('',#528842,#131723); #94733=LINE('',#528844,#131724); #94734=LINE('',#528845,#131725); #94735=LINE('',#528848,#131726); #94736=LINE('',#528850,#131727); #94737=LINE('',#528851,#131728); #94738=LINE('',#528853,#131729); #94739=LINE('',#528854,#131730); #94740=LINE('',#528862,#131731); #94741=LINE('',#528864,#131732); #94742=LINE('',#528865,#131733); #94743=LINE('',#528868,#131734); #94744=LINE('',#528871,#131735); #94745=LINE('',#528875,#131736); #94746=LINE('',#528877,#131737); #94747=LINE('',#528879,#131738); #94748=LINE('',#528880,#131739); #94749=LINE('',#528882,#131740); #94750=LINE('',#528883,#131741); #94751=LINE('',#528886,#131742); #94752=LINE('',#528888,#131743); #94753=LINE('',#528889,#131744); #94754=LINE('',#528891,#131745); #94755=LINE('',#528892,#131746); #94756=LINE('',#528900,#131747); #94757=LINE('',#528902,#131748); #94758=LINE('',#528903,#131749); #94759=LINE('',#528906,#131750); #94760=LINE('',#528909,#131751); #94761=LINE('',#528913,#131752); #94762=LINE('',#528915,#131753); #94763=LINE('',#528917,#131754); #94764=LINE('',#528918,#131755); #94765=LINE('',#528920,#131756); #94766=LINE('',#528921,#131757); #94767=LINE('',#528924,#131758); #94768=LINE('',#528926,#131759); #94769=LINE('',#528927,#131760); #94770=LINE('',#528929,#131761); #94771=LINE('',#528930,#131762); #94772=LINE('',#528938,#131763); #94773=LINE('',#528940,#131764); #94774=LINE('',#528941,#131765); #94775=LINE('',#528944,#131766); #94776=LINE('',#528947,#131767); #94777=LINE('',#528951,#131768); #94778=LINE('',#528953,#131769); #94779=LINE('',#528955,#131770); #94780=LINE('',#528956,#131771); #94781=LINE('',#528958,#131772); #94782=LINE('',#528959,#131773); #94783=LINE('',#528962,#131774); #94784=LINE('',#528964,#131775); #94785=LINE('',#528965,#131776); #94786=LINE('',#528967,#131777); #94787=LINE('',#528968,#131778); #94788=LINE('',#528976,#131779); #94789=LINE('',#528978,#131780); #94790=LINE('',#528979,#131781); #94791=LINE('',#528982,#131782); #94792=LINE('',#528985,#131783); #94793=LINE('',#528989,#131784); #94794=LINE('',#528991,#131785); #94795=LINE('',#528993,#131786); #94796=LINE('',#528994,#131787); #94797=LINE('',#528996,#131788); #94798=LINE('',#528997,#131789); #94799=LINE('',#529000,#131790); #94800=LINE('',#529002,#131791); #94801=LINE('',#529003,#131792); #94802=LINE('',#529005,#131793); #94803=LINE('',#529006,#131794); #94804=LINE('',#529014,#131795); #94805=LINE('',#529016,#131796); #94806=LINE('',#529017,#131797); #94807=LINE('',#529020,#131798); #94808=LINE('',#529023,#131799); #94809=LINE('',#529027,#131800); #94810=LINE('',#529029,#131801); #94811=LINE('',#529031,#131802); #94812=LINE('',#529032,#131803); #94813=LINE('',#529034,#131804); #94814=LINE('',#529035,#131805); #94815=LINE('',#529038,#131806); #94816=LINE('',#529040,#131807); #94817=LINE('',#529041,#131808); #94818=LINE('',#529043,#131809); #94819=LINE('',#529044,#131810); #94820=LINE('',#529052,#131811); #94821=LINE('',#529054,#131812); #94822=LINE('',#529055,#131813); #94823=LINE('',#529058,#131814); #94824=LINE('',#529061,#131815); #94825=LINE('',#529065,#131816); #94826=LINE('',#529067,#131817); #94827=LINE('',#529069,#131818); #94828=LINE('',#529070,#131819); #94829=LINE('',#529072,#131820); #94830=LINE('',#529073,#131821); #94831=LINE('',#529076,#131822); #94832=LINE('',#529078,#131823); #94833=LINE('',#529079,#131824); #94834=LINE('',#529081,#131825); #94835=LINE('',#529082,#131826); #94836=LINE('',#529090,#131827); #94837=LINE('',#529092,#131828); #94838=LINE('',#529093,#131829); #94839=LINE('',#529096,#131830); #94840=LINE('',#529099,#131831); #94841=LINE('',#529103,#131832); #94842=LINE('',#529105,#131833); #94843=LINE('',#529107,#131834); #94844=LINE('',#529108,#131835); #94845=LINE('',#529110,#131836); #94846=LINE('',#529111,#131837); #94847=LINE('',#529114,#131838); #94848=LINE('',#529116,#131839); #94849=LINE('',#529117,#131840); #94850=LINE('',#529119,#131841); #94851=LINE('',#529120,#131842); #94852=LINE('',#529128,#131843); #94853=LINE('',#529130,#131844); #94854=LINE('',#529131,#131845); #94855=LINE('',#529134,#131846); #94856=LINE('',#529137,#131847); #94857=LINE('',#529141,#131848); #94858=LINE('',#529143,#131849); #94859=LINE('',#529145,#131850); #94860=LINE('',#529146,#131851); #94861=LINE('',#529148,#131852); #94862=LINE('',#529149,#131853); #94863=LINE('',#529152,#131854); #94864=LINE('',#529154,#131855); #94865=LINE('',#529155,#131856); #94866=LINE('',#529157,#131857); #94867=LINE('',#529158,#131858); #94868=LINE('',#529166,#131859); #94869=LINE('',#529168,#131860); #94870=LINE('',#529169,#131861); #94871=LINE('',#529172,#131862); #94872=LINE('',#529175,#131863); #94873=LINE('',#529179,#131864); #94874=LINE('',#529181,#131865); #94875=LINE('',#529183,#131866); #94876=LINE('',#529184,#131867); #94877=LINE('',#529186,#131868); #94878=LINE('',#529187,#131869); #94879=LINE('',#529190,#131870); #94880=LINE('',#529192,#131871); #94881=LINE('',#529193,#131872); #94882=LINE('',#529195,#131873); #94883=LINE('',#529196,#131874); #94884=LINE('',#529204,#131875); #94885=LINE('',#529206,#131876); #94886=LINE('',#529207,#131877); #94887=LINE('',#529210,#131878); #94888=LINE('',#529213,#131879); #94889=LINE('',#529217,#131880); #94890=LINE('',#529219,#131881); #94891=LINE('',#529221,#131882); #94892=LINE('',#529222,#131883); #94893=LINE('',#529224,#131884); #94894=LINE('',#529225,#131885); #94895=LINE('',#529228,#131886); #94896=LINE('',#529230,#131887); #94897=LINE('',#529231,#131888); #94898=LINE('',#529233,#131889); #94899=LINE('',#529234,#131890); #94900=LINE('',#529242,#131891); #94901=LINE('',#529244,#131892); #94902=LINE('',#529245,#131893); #94903=LINE('',#529248,#131894); #94904=LINE('',#529251,#131895); #94905=LINE('',#529255,#131896); #94906=LINE('',#529257,#131897); #94907=LINE('',#529259,#131898); #94908=LINE('',#529260,#131899); #94909=LINE('',#529262,#131900); #94910=LINE('',#529263,#131901); #94911=LINE('',#529266,#131902); #94912=LINE('',#529268,#131903); #94913=LINE('',#529269,#131904); #94914=LINE('',#529271,#131905); #94915=LINE('',#529272,#131906); #94916=LINE('',#529280,#131907); #94917=LINE('',#529282,#131908); #94918=LINE('',#529283,#131909); #94919=LINE('',#529286,#131910); #94920=LINE('',#529289,#131911); #94921=LINE('',#529293,#131912); #94922=LINE('',#529295,#131913); #94923=LINE('',#529297,#131914); #94924=LINE('',#529298,#131915); #94925=LINE('',#529300,#131916); #94926=LINE('',#529301,#131917); #94927=LINE('',#529304,#131918); #94928=LINE('',#529306,#131919); #94929=LINE('',#529307,#131920); #94930=LINE('',#529309,#131921); #94931=LINE('',#529310,#131922); #94932=LINE('',#529318,#131923); #94933=LINE('',#529320,#131924); #94934=LINE('',#529321,#131925); #94935=LINE('',#529324,#131926); #94936=LINE('',#529327,#131927); #94937=LINE('',#529331,#131928); #94938=LINE('',#529333,#131929); #94939=LINE('',#529335,#131930); #94940=LINE('',#529336,#131931); #94941=LINE('',#529338,#131932); #94942=LINE('',#529339,#131933); #94943=LINE('',#529342,#131934); #94944=LINE('',#529344,#131935); #94945=LINE('',#529345,#131936); #94946=LINE('',#529347,#131937); #94947=LINE('',#529348,#131938); #94948=LINE('',#529356,#131939); #94949=LINE('',#529358,#131940); #94950=LINE('',#529359,#131941); #94951=LINE('',#529362,#131942); #94952=LINE('',#529365,#131943); #94953=LINE('',#529369,#131944); #94954=LINE('',#529371,#131945); #94955=LINE('',#529373,#131946); #94956=LINE('',#529374,#131947); #94957=LINE('',#529376,#131948); #94958=LINE('',#529377,#131949); #94959=LINE('',#529380,#131950); #94960=LINE('',#529382,#131951); #94961=LINE('',#529383,#131952); #94962=LINE('',#529385,#131953); #94963=LINE('',#529386,#131954); #94964=LINE('',#529394,#131955); #94965=LINE('',#529396,#131956); #94966=LINE('',#529397,#131957); #94967=LINE('',#529400,#131958); #94968=LINE('',#529403,#131959); #94969=LINE('',#529407,#131960); #94970=LINE('',#529409,#131961); #94971=LINE('',#529411,#131962); #94972=LINE('',#529412,#131963); #94973=LINE('',#529414,#131964); #94974=LINE('',#529415,#131965); #94975=LINE('',#529418,#131966); #94976=LINE('',#529420,#131967); #94977=LINE('',#529421,#131968); #94978=LINE('',#529423,#131969); #94979=LINE('',#529424,#131970); #94980=LINE('',#529432,#131971); #94981=LINE('',#529434,#131972); #94982=LINE('',#529435,#131973); #94983=LINE('',#529438,#131974); #94984=LINE('',#529441,#131975); #94985=LINE('',#529445,#131976); #94986=LINE('',#529447,#131977); #94987=LINE('',#529449,#131978); #94988=LINE('',#529450,#131979); #94989=LINE('',#529452,#131980); #94990=LINE('',#529453,#131981); #94991=LINE('',#529456,#131982); #94992=LINE('',#529458,#131983); #94993=LINE('',#529459,#131984); #94994=LINE('',#529461,#131985); #94995=LINE('',#529462,#131986); #94996=LINE('',#529470,#131987); #94997=LINE('',#529472,#131988); #94998=LINE('',#529473,#131989); #94999=LINE('',#529476,#131990); #95000=LINE('',#529479,#131991); #95001=LINE('',#529483,#131992); #95002=LINE('',#529485,#131993); #95003=LINE('',#529487,#131994); #95004=LINE('',#529488,#131995); #95005=LINE('',#529490,#131996); #95006=LINE('',#529491,#131997); #95007=LINE('',#529494,#131998); #95008=LINE('',#529496,#131999); #95009=LINE('',#529497,#132000); #95010=LINE('',#529499,#132001); #95011=LINE('',#529500,#132002); #95012=LINE('',#529508,#132003); #95013=LINE('',#529510,#132004); #95014=LINE('',#529511,#132005); #95015=LINE('',#529516,#132006); #95016=LINE('',#529517,#132007); #95017=LINE('',#529520,#132008); #95018=LINE('',#529522,#132009); #95019=LINE('',#529523,#132010); #95020=LINE('',#529526,#132011); #95021=LINE('',#529528,#132012); #95022=LINE('',#529529,#132013); #95023=LINE('',#529532,#132014); #95024=LINE('',#529534,#132015); #95025=LINE('',#529535,#132016); #95026=LINE('',#529537,#132017); #95027=LINE('',#529538,#132018); #95028=LINE('',#529546,#132019); #95029=LINE('',#529548,#132020); #95030=LINE('',#529549,#132021); #95031=LINE('',#529554,#132022); #95032=LINE('',#529555,#132023); #95033=LINE('',#529558,#132024); #95034=LINE('',#529560,#132025); #95035=LINE('',#529561,#132026); #95036=LINE('',#529564,#132027); #95037=LINE('',#529566,#132028); #95038=LINE('',#529567,#132029); #95039=LINE('',#529570,#132030); #95040=LINE('',#529572,#132031); #95041=LINE('',#529573,#132032); #95042=LINE('',#529575,#132033); #95043=LINE('',#529576,#132034); #95044=LINE('',#529584,#132035); #95045=LINE('',#529586,#132036); #95046=LINE('',#529587,#132037); #95047=LINE('',#529592,#132038); #95048=LINE('',#529593,#132039); #95049=LINE('',#529596,#132040); #95050=LINE('',#529598,#132041); #95051=LINE('',#529599,#132042); #95052=LINE('',#529602,#132043); #95053=LINE('',#529604,#132044); #95054=LINE('',#529605,#132045); #95055=LINE('',#529608,#132046); #95056=LINE('',#529610,#132047); #95057=LINE('',#529611,#132048); #95058=LINE('',#529613,#132049); #95059=LINE('',#529614,#132050); #95060=LINE('',#529622,#132051); #95061=LINE('',#529624,#132052); #95062=LINE('',#529625,#132053); #95063=LINE('',#529630,#132054); #95064=LINE('',#529631,#132055); #95065=LINE('',#529634,#132056); #95066=LINE('',#529636,#132057); #95067=LINE('',#529637,#132058); #95068=LINE('',#529640,#132059); #95069=LINE('',#529642,#132060); #95070=LINE('',#529643,#132061); #95071=LINE('',#529646,#132062); #95072=LINE('',#529648,#132063); #95073=LINE('',#529649,#132064); #95074=LINE('',#529651,#132065); #95075=LINE('',#529652,#132066); #95076=LINE('',#529660,#132067); #95077=LINE('',#529662,#132068); #95078=LINE('',#529663,#132069); #95079=LINE('',#529668,#132070); #95080=LINE('',#529669,#132071); #95081=LINE('',#529672,#132072); #95082=LINE('',#529674,#132073); #95083=LINE('',#529675,#132074); #95084=LINE('',#529678,#132075); #95085=LINE('',#529680,#132076); #95086=LINE('',#529681,#132077); #95087=LINE('',#529684,#132078); #95088=LINE('',#529686,#132079); #95089=LINE('',#529687,#132080); #95090=LINE('',#529689,#132081); #95091=LINE('',#529690,#132082); #95092=LINE('',#529698,#132083); #95093=LINE('',#529700,#132084); #95094=LINE('',#529701,#132085); #95095=LINE('',#529706,#132086); #95096=LINE('',#529707,#132087); #95097=LINE('',#529710,#132088); #95098=LINE('',#529712,#132089); #95099=LINE('',#529713,#132090); #95100=LINE('',#529716,#132091); #95101=LINE('',#529718,#132092); #95102=LINE('',#529719,#132093); #95103=LINE('',#529722,#132094); #95104=LINE('',#529724,#132095); #95105=LINE('',#529725,#132096); #95106=LINE('',#529727,#132097); #95107=LINE('',#529728,#132098); #95108=LINE('',#529736,#132099); #95109=LINE('',#529738,#132100); #95110=LINE('',#529739,#132101); #95111=LINE('',#529744,#132102); #95112=LINE('',#529745,#132103); #95113=LINE('',#529748,#132104); #95114=LINE('',#529750,#132105); #95115=LINE('',#529751,#132106); #95116=LINE('',#529754,#132107); #95117=LINE('',#529756,#132108); #95118=LINE('',#529757,#132109); #95119=LINE('',#529760,#132110); #95120=LINE('',#529762,#132111); #95121=LINE('',#529763,#132112); #95122=LINE('',#529765,#132113); #95123=LINE('',#529766,#132114); #95124=LINE('',#529774,#132115); #95125=LINE('',#529776,#132116); #95126=LINE('',#529777,#132117); #95127=LINE('',#529782,#132118); #95128=LINE('',#529783,#132119); #95129=LINE('',#529786,#132120); #95130=LINE('',#529788,#132121); #95131=LINE('',#529789,#132122); #95132=LINE('',#529792,#132123); #95133=LINE('',#529794,#132124); #95134=LINE('',#529795,#132125); #95135=LINE('',#529798,#132126); #95136=LINE('',#529800,#132127); #95137=LINE('',#529801,#132128); #95138=LINE('',#529803,#132129); #95139=LINE('',#529804,#132130); #95140=LINE('',#529812,#132131); #95141=LINE('',#529814,#132132); #95142=LINE('',#529815,#132133); #95143=LINE('',#529820,#132134); #95144=LINE('',#529821,#132135); #95145=LINE('',#529824,#132136); #95146=LINE('',#529826,#132137); #95147=LINE('',#529827,#132138); #95148=LINE('',#529830,#132139); #95149=LINE('',#529832,#132140); #95150=LINE('',#529833,#132141); #95151=LINE('',#529836,#132142); #95152=LINE('',#529838,#132143); #95153=LINE('',#529839,#132144); #95154=LINE('',#529841,#132145); #95155=LINE('',#529842,#132146); #95156=LINE('',#529850,#132147); #95157=LINE('',#529852,#132148); #95158=LINE('',#529853,#132149); #95159=LINE('',#529858,#132150); #95160=LINE('',#529859,#132151); #95161=LINE('',#529862,#132152); #95162=LINE('',#529864,#132153); #95163=LINE('',#529865,#132154); #95164=LINE('',#529868,#132155); #95165=LINE('',#529870,#132156); #95166=LINE('',#529871,#132157); #95167=LINE('',#529874,#132158); #95168=LINE('',#529876,#132159); #95169=LINE('',#529877,#132160); #95170=LINE('',#529879,#132161); #95171=LINE('',#529880,#132162); #95172=LINE('',#529888,#132163); #95173=LINE('',#529890,#132164); #95174=LINE('',#529891,#132165); #95175=LINE('',#529896,#132166); #95176=LINE('',#529897,#132167); #95177=LINE('',#529900,#132168); #95178=LINE('',#529902,#132169); #95179=LINE('',#529903,#132170); #95180=LINE('',#529906,#132171); #95181=LINE('',#529908,#132172); #95182=LINE('',#529909,#132173); #95183=LINE('',#529912,#132174); #95184=LINE('',#529914,#132175); #95185=LINE('',#529915,#132176); #95186=LINE('',#529917,#132177); #95187=LINE('',#529918,#132178); #95188=LINE('',#529926,#132179); #95189=LINE('',#529928,#132180); #95190=LINE('',#529929,#132181); #95191=LINE('',#529934,#132182); #95192=LINE('',#529935,#132183); #95193=LINE('',#529938,#132184); #95194=LINE('',#529940,#132185); #95195=LINE('',#529941,#132186); #95196=LINE('',#529944,#132187); #95197=LINE('',#529946,#132188); #95198=LINE('',#529947,#132189); #95199=LINE('',#529950,#132190); #95200=LINE('',#529952,#132191); #95201=LINE('',#529953,#132192); #95202=LINE('',#529955,#132193); #95203=LINE('',#529956,#132194); #95204=LINE('',#529964,#132195); #95205=LINE('',#529966,#132196); #95206=LINE('',#529967,#132197); #95207=LINE('',#529972,#132198); #95208=LINE('',#529973,#132199); #95209=LINE('',#529976,#132200); #95210=LINE('',#529978,#132201); #95211=LINE('',#529979,#132202); #95212=LINE('',#529982,#132203); #95213=LINE('',#529984,#132204); #95214=LINE('',#529985,#132205); #95215=LINE('',#529988,#132206); #95216=LINE('',#529990,#132207); #95217=LINE('',#529991,#132208); #95218=LINE('',#529993,#132209); #95219=LINE('',#529994,#132210); #95220=LINE('',#530002,#132211); #95221=LINE('',#530004,#132212); #95222=LINE('',#530005,#132213); #95223=LINE('',#530010,#132214); #95224=LINE('',#530011,#132215); #95225=LINE('',#530014,#132216); #95226=LINE('',#530016,#132217); #95227=LINE('',#530017,#132218); #95228=LINE('',#530020,#132219); #95229=LINE('',#530022,#132220); #95230=LINE('',#530023,#132221); #95231=LINE('',#530026,#132222); #95232=LINE('',#530028,#132223); #95233=LINE('',#530029,#132224); #95234=LINE('',#530031,#132225); #95235=LINE('',#530032,#132226); #95236=LINE('',#530040,#132227); #95237=LINE('',#530042,#132228); #95238=LINE('',#530043,#132229); #95239=LINE('',#530048,#132230); #95240=LINE('',#530049,#132231); #95241=LINE('',#530052,#132232); #95242=LINE('',#530054,#132233); #95243=LINE('',#530055,#132234); #95244=LINE('',#530058,#132235); #95245=LINE('',#530060,#132236); #95246=LINE('',#530061,#132237); #95247=LINE('',#530064,#132238); #95248=LINE('',#530066,#132239); #95249=LINE('',#530067,#132240); #95250=LINE('',#530069,#132241); #95251=LINE('',#530070,#132242); #95252=LINE('',#530078,#132243); #95253=LINE('',#530080,#132244); #95254=LINE('',#530081,#132245); #95255=LINE('',#530086,#132246); #95256=LINE('',#530087,#132247); #95257=LINE('',#530090,#132248); #95258=LINE('',#530092,#132249); #95259=LINE('',#530093,#132250); #95260=LINE('',#530096,#132251); #95261=LINE('',#530098,#132252); #95262=LINE('',#530099,#132253); #95263=LINE('',#530102,#132254); #95264=LINE('',#530104,#132255); #95265=LINE('',#530105,#132256); #95266=LINE('',#530107,#132257); #95267=LINE('',#530108,#132258); #95268=LINE('',#530116,#132259); #95269=LINE('',#530118,#132260); #95270=LINE('',#530119,#132261); #95271=LINE('',#530124,#132262); #95272=LINE('',#530125,#132263); #95273=LINE('',#530128,#132264); #95274=LINE('',#530130,#132265); #95275=LINE('',#530131,#132266); #95276=LINE('',#530134,#132267); #95277=LINE('',#530136,#132268); #95278=LINE('',#530137,#132269); #95279=LINE('',#530140,#132270); #95280=LINE('',#530142,#132271); #95281=LINE('',#530143,#132272); #95282=LINE('',#530145,#132273); #95283=LINE('',#530146,#132274); #95284=LINE('',#530154,#132275); #95285=LINE('',#530156,#132276); #95286=LINE('',#530157,#132277); #95287=LINE('',#530162,#132278); #95288=LINE('',#530163,#132279); #95289=LINE('',#530166,#132280); #95290=LINE('',#530168,#132281); #95291=LINE('',#530169,#132282); #95292=LINE('',#530172,#132283); #95293=LINE('',#530174,#132284); #95294=LINE('',#530175,#132285); #95295=LINE('',#530178,#132286); #95296=LINE('',#530180,#132287); #95297=LINE('',#530181,#132288); #95298=LINE('',#530183,#132289); #95299=LINE('',#530184,#132290); #95300=LINE('',#530192,#132291); #95301=LINE('',#530194,#132292); #95302=LINE('',#530195,#132293); #95303=LINE('',#530200,#132294); #95304=LINE('',#530201,#132295); #95305=LINE('',#530204,#132296); #95306=LINE('',#530206,#132297); #95307=LINE('',#530207,#132298); #95308=LINE('',#530210,#132299); #95309=LINE('',#530212,#132300); #95310=LINE('',#530213,#132301); #95311=LINE('',#530216,#132302); #95312=LINE('',#530218,#132303); #95313=LINE('',#530219,#132304); #95314=LINE('',#530221,#132305); #95315=LINE('',#530222,#132306); #95316=LINE('',#530230,#132307); #95317=LINE('',#530232,#132308); #95318=LINE('',#530233,#132309); #95319=LINE('',#530238,#132310); #95320=LINE('',#530239,#132311); #95321=LINE('',#530242,#132312); #95322=LINE('',#530244,#132313); #95323=LINE('',#530245,#132314); #95324=LINE('',#530248,#132315); #95325=LINE('',#530250,#132316); #95326=LINE('',#530251,#132317); #95327=LINE('',#530254,#132318); #95328=LINE('',#530256,#132319); #95329=LINE('',#530257,#132320); #95330=LINE('',#530259,#132321); #95331=LINE('',#530260,#132322); #95332=LINE('',#530268,#132323); #95333=LINE('',#530270,#132324); #95334=LINE('',#530271,#132325); #95335=LINE('',#530276,#132326); #95336=LINE('',#530277,#132327); #95337=LINE('',#530280,#132328); #95338=LINE('',#530282,#132329); #95339=LINE('',#530283,#132330); #95340=LINE('',#530286,#132331); #95341=LINE('',#530288,#132332); #95342=LINE('',#530289,#132333); #95343=LINE('',#530292,#132334); #95344=LINE('',#530294,#132335); #95345=LINE('',#530295,#132336); #95346=LINE('',#530297,#132337); #95347=LINE('',#530298,#132338); #95348=LINE('',#530306,#132339); #95349=LINE('',#530308,#132340); #95350=LINE('',#530309,#132341); #95351=LINE('',#530314,#132342); #95352=LINE('',#530315,#132343); #95353=LINE('',#530318,#132344); #95354=LINE('',#530320,#132345); #95355=LINE('',#530321,#132346); #95356=LINE('',#530324,#132347); #95357=LINE('',#530326,#132348); #95358=LINE('',#530327,#132349); #95359=LINE('',#530330,#132350); #95360=LINE('',#530332,#132351); #95361=LINE('',#530333,#132352); #95362=LINE('',#530335,#132353); #95363=LINE('',#530336,#132354); #95364=LINE('',#530344,#132355); #95365=LINE('',#530346,#132356); #95366=LINE('',#530347,#132357); #95367=LINE('',#530352,#132358); #95368=LINE('',#530353,#132359); #95369=LINE('',#530356,#132360); #95370=LINE('',#530358,#132361); #95371=LINE('',#530359,#132362); #95372=LINE('',#530362,#132363); #95373=LINE('',#530364,#132364); #95374=LINE('',#530365,#132365); #95375=LINE('',#530368,#132366); #95376=LINE('',#530370,#132367); #95377=LINE('',#530371,#132368); #95378=LINE('',#530373,#132369); #95379=LINE('',#530374,#132370); #95380=LINE('',#530382,#132371); #95381=LINE('',#530384,#132372); #95382=LINE('',#530385,#132373); #95383=LINE('',#530390,#132374); #95384=LINE('',#530391,#132375); #95385=LINE('',#530394,#132376); #95386=LINE('',#530396,#132377); #95387=LINE('',#530397,#132378); #95388=LINE('',#530400,#132379); #95389=LINE('',#530402,#132380); #95390=LINE('',#530403,#132381); #95391=LINE('',#530406,#132382); #95392=LINE('',#530408,#132383); #95393=LINE('',#530409,#132384); #95394=LINE('',#530411,#132385); #95395=LINE('',#530412,#132386); #95396=LINE('',#530418,#132387); #95397=LINE('',#530420,#132388); #95398=LINE('',#530422,#132389); #95399=LINE('',#530423,#132390); #95400=LINE('',#530426,#132391); #95401=LINE('',#530428,#132392); #95402=LINE('',#530429,#132393); #95403=LINE('',#530432,#132394); #95404=LINE('',#530434,#132395); #95405=LINE('',#530435,#132396); #95406=LINE('',#530437,#132397); #95407=LINE('',#530438,#132398); #95408=LINE('',#530480,#132399); #95409=LINE('',#530481,#132400); #95410=LINE('',#530497,#132401); #95411=LINE('',#530498,#132402); #95412=LINE('',#530500,#132403); #95413=LINE('',#530501,#132404); #95414=LINE('',#530510,#132405); #95415=LINE('',#530511,#132406); #95416=LINE('',#530513,#132407); #95417=LINE('',#530514,#132408); #95418=LINE('',#530516,#132409); #95419=LINE('',#530517,#132410); #95420=LINE('',#530519,#132411); #95421=LINE('',#530520,#132412); #95422=LINE('',#530522,#132413); #95423=LINE('',#530523,#132414); #95424=LINE('',#530525,#132415); #95425=LINE('',#530526,#132416); #95426=LINE('',#530528,#132417); #95427=LINE('',#530529,#132418); #95428=LINE('',#530531,#132419); #95429=LINE('',#530532,#132420); #95430=LINE('',#530534,#132421); #95431=LINE('',#530535,#132422); #95432=LINE('',#530578,#132423); #95433=LINE('',#530579,#132424); #95434=LINE('',#530595,#132425); #95435=LINE('',#530596,#132426); #95436=LINE('',#530598,#132427); #95437=LINE('',#530599,#132428); #95438=LINE('',#530608,#132429); #95439=LINE('',#530609,#132430); #95440=LINE('',#530611,#132431); #95441=LINE('',#530612,#132432); #95442=LINE('',#530614,#132433); #95443=LINE('',#530615,#132434); #95444=LINE('',#530617,#132435); #95445=LINE('',#530618,#132436); #95446=LINE('',#530620,#132437); #95447=LINE('',#530621,#132438); #95448=LINE('',#530623,#132439); #95449=LINE('',#530624,#132440); #95450=LINE('',#530626,#132441); #95451=LINE('',#530627,#132442); #95452=LINE('',#530629,#132443); #95453=LINE('',#530630,#132444); #95454=LINE('',#530632,#132445); #95455=LINE('',#530633,#132446); #95456=LINE('',#530643,#132447); #95457=LINE('',#530645,#132448); #95458=LINE('',#530647,#132449); #95459=LINE('',#530648,#132450); #95460=LINE('',#530651,#132451); #95461=LINE('',#530653,#132452); #95462=LINE('',#530654,#132453); #95463=LINE('',#530657,#132454); #95464=LINE('',#530659,#132455); #95465=LINE('',#530660,#132456); #95466=LINE('',#530662,#132457); #95467=LINE('',#530663,#132458); #95468=LINE('',#530672,#132459); #95469=LINE('',#530674,#132460); #95470=LINE('',#530676,#132461); #95471=LINE('',#530677,#132462); #95472=LINE('',#530680,#132463); #95473=LINE('',#530682,#132464); #95474=LINE('',#530683,#132465); #95475=LINE('',#530686,#132466); #95476=LINE('',#530688,#132467); #95477=LINE('',#530689,#132468); #95478=LINE('',#530691,#132469); #95479=LINE('',#530692,#132470); #95480=LINE('',#530695,#132471); #95481=LINE('',#530697,#132472); #95482=LINE('',#530698,#132473); #95483=LINE('',#530701,#132474); #95484=LINE('',#530702,#132475); #95485=LINE('',#530706,#132476); #95486=LINE('',#530707,#132477); #95487=LINE('',#530709,#132478); #95488=LINE('',#530714,#132479); #95489=LINE('',#530716,#132480); #95490=LINE('',#530718,#132481); #95491=LINE('',#530719,#132482); #95492=LINE('',#530722,#132483); #95493=LINE('',#530724,#132484); #95494=LINE('',#530725,#132485); #95495=LINE('',#530728,#132486); #95496=LINE('',#530730,#132487); #95497=LINE('',#530731,#132488); #95498=LINE('',#530733,#132489); #95499=LINE('',#530734,#132490); #95500=LINE('',#530740,#132491); #95501=LINE('',#530742,#132492); #95502=LINE('',#530744,#132493); #95503=LINE('',#530745,#132494); #95504=LINE('',#530748,#132495); #95505=LINE('',#530750,#132496); #95506=LINE('',#530751,#132497); #95507=LINE('',#530754,#132498); #95508=LINE('',#530756,#132499); #95509=LINE('',#530757,#132500); #95510=LINE('',#530759,#132501); #95511=LINE('',#530760,#132502); #95512=LINE('',#530766,#132503); #95513=LINE('',#530768,#132504); #95514=LINE('',#530770,#132505); #95515=LINE('',#530771,#132506); #95516=LINE('',#530774,#132507); #95517=LINE('',#530776,#132508); #95518=LINE('',#530777,#132509); #95519=LINE('',#530780,#132510); #95520=LINE('',#530782,#132511); #95521=LINE('',#530783,#132512); #95522=LINE('',#530785,#132513); #95523=LINE('',#530786,#132514); #95524=LINE('',#530792,#132515); #95525=LINE('',#530794,#132516); #95526=LINE('',#530796,#132517); #95527=LINE('',#530797,#132518); #95528=LINE('',#530800,#132519); #95529=LINE('',#530802,#132520); #95530=LINE('',#530803,#132521); #95531=LINE('',#530806,#132522); #95532=LINE('',#530808,#132523); #95533=LINE('',#530809,#132524); #95534=LINE('',#530811,#132525); #95535=LINE('',#530812,#132526); #95536=LINE('',#530818,#132527); #95537=LINE('',#530820,#132528); #95538=LINE('',#530822,#132529); #95539=LINE('',#530823,#132530); #95540=LINE('',#530826,#132531); #95541=LINE('',#530828,#132532); #95542=LINE('',#530829,#132533); #95543=LINE('',#530832,#132534); #95544=LINE('',#530834,#132535); #95545=LINE('',#530835,#132536); #95546=LINE('',#530837,#132537); #95547=LINE('',#530838,#132538); #95548=LINE('',#530848,#132539); #95549=LINE('',#530854,#132540); #95550=LINE('',#530856,#132541); #95551=LINE('',#530858,#132542); #95552=LINE('',#530859,#132543); #95553=LINE('',#530862,#132544); #95554=LINE('',#530864,#132545); #95555=LINE('',#530865,#132546); #95556=LINE('',#530868,#132547); #95557=LINE('',#530870,#132548); #95558=LINE('',#530871,#132549); #95559=LINE('',#530873,#132550); #95560=LINE('',#530874,#132551); #95561=LINE('',#530877,#132552); #95562=LINE('',#530879,#132553); #95563=LINE('',#530880,#132554); #95564=LINE('',#530883,#132555); #95565=LINE('',#530884,#132556); #95566=LINE('',#530888,#132557); #95567=LINE('',#530890,#132558); #95568=LINE('',#530892,#132559); #95569=LINE('',#530893,#132560); #95570=LINE('',#530896,#132561); #95571=LINE('',#530897,#132562); #95572=LINE('',#530899,#132563); #95573=LINE('',#530901,#132564); #95574=LINE('',#530902,#132565); #95575=LINE('',#530904,#132566); #95576=LINE('',#530906,#132567); #95577=LINE('',#530914,#132568); #95578=LINE('',#530918,#132569); #95579=LINE('',#530920,#132570); #95580=LINE('',#530922,#132571); #95581=LINE('',#530926,#132572); #95582=LINE('',#530930,#132573); #95583=LINE('',#530932,#132574); #95584=LINE('',#530933,#132575); #95585=LINE('',#530936,#132576); #95586=LINE('',#530938,#132577); #95587=LINE('',#530939,#132578); #95588=LINE('',#530943,#132579); #95589=LINE('',#530946,#132580); #95590=LINE('',#530947,#132581); #95591=LINE('',#530950,#132582); #95592=LINE('',#530951,#132583); #95593=LINE('',#530954,#132584); #95594=LINE('',#530955,#132585); #95595=LINE('',#530959,#132586); #95596=LINE('',#530962,#132587); #95597=LINE('',#530963,#132588); #95598=LINE('',#530967,#132589); #95599=LINE('',#530970,#132590); #95600=LINE('',#530971,#132591); #95601=LINE('',#530974,#132592); #95602=LINE('',#530975,#132593); #95603=LINE('',#530978,#132594); #95604=LINE('',#530979,#132595); #95605=LINE('',#530988,#132596); #95606=LINE('',#530990,#132597); #95607=LINE('',#530992,#132598); #95608=LINE('',#530996,#132599); #95609=LINE('',#531000,#132600); #95610=LINE('',#531002,#132601); #95611=LINE('',#531004,#132602); #95612=LINE('',#531007,#132603); #95613=LINE('',#531010,#132604); #95614=LINE('',#531012,#132605); #95615=LINE('',#531013,#132606); #95616=LINE('',#531016,#132607); #95617=LINE('',#531020,#132608); #95618=LINE('',#531021,#132609); #95619=LINE('',#531024,#132610); #95620=LINE('',#531025,#132611); #95621=LINE('',#531028,#132612); #95622=LINE('',#531029,#132613); #95623=LINE('',#531032,#132614); #95624=LINE('',#531036,#132615); #95625=LINE('',#531037,#132616); #95626=LINE('',#531040,#132617); #95627=LINE('',#531044,#132618); #95628=LINE('',#531045,#132619); #95629=LINE('',#531048,#132620); #95630=LINE('',#531049,#132621); #95631=LINE('',#531052,#132622); #95632=LINE('',#531053,#132623); #95633=LINE('',#531062,#132624); #95634=LINE('',#531066,#132625); #95635=LINE('',#531068,#132626); #95636=LINE('',#531070,#132627); #95637=LINE('',#531074,#132628); #95638=LINE('',#531078,#132629); #95639=LINE('',#531080,#132630); #95640=LINE('',#531081,#132631); #95641=LINE('',#531084,#132632); #95642=LINE('',#531086,#132633); #95643=LINE('',#531087,#132634); #95644=LINE('',#531091,#132635); #95645=LINE('',#531094,#132636); #95646=LINE('',#531095,#132637); #95647=LINE('',#531098,#132638); #95648=LINE('',#531099,#132639); #95649=LINE('',#531102,#132640); #95650=LINE('',#531103,#132641); #95651=LINE('',#531107,#132642); #95652=LINE('',#531110,#132643); #95653=LINE('',#531111,#132644); #95654=LINE('',#531115,#132645); #95655=LINE('',#531118,#132646); #95656=LINE('',#531119,#132647); #95657=LINE('',#531122,#132648); #95658=LINE('',#531123,#132649); #95659=LINE('',#531126,#132650); #95660=LINE('',#531127,#132651); #95661=LINE('',#531136,#132652); #95662=LINE('',#531140,#132653); #95663=LINE('',#531142,#132654); #95664=LINE('',#531144,#132655); #95665=LINE('',#531148,#132656); #95666=LINE('',#531152,#132657); #95667=LINE('',#531154,#132658); #95668=LINE('',#531155,#132659); #95669=LINE('',#531158,#132660); #95670=LINE('',#531160,#132661); #95671=LINE('',#531161,#132662); #95672=LINE('',#531165,#132663); #95673=LINE('',#531168,#132664); #95674=LINE('',#531169,#132665); #95675=LINE('',#531172,#132666); #95676=LINE('',#531173,#132667); #95677=LINE('',#531176,#132668); #95678=LINE('',#531177,#132669); #95679=LINE('',#531181,#132670); #95680=LINE('',#531184,#132671); #95681=LINE('',#531185,#132672); #95682=LINE('',#531189,#132673); #95683=LINE('',#531192,#132674); #95684=LINE('',#531193,#132675); #95685=LINE('',#531196,#132676); #95686=LINE('',#531197,#132677); #95687=LINE('',#531200,#132678); #95688=LINE('',#531201,#132679); #95689=LINE('',#531210,#132680); #95690=LINE('',#531214,#132681); #95691=LINE('',#531216,#132682); #95692=LINE('',#531218,#132683); #95693=LINE('',#531222,#132684); #95694=LINE('',#531226,#132685); #95695=LINE('',#531228,#132686); #95696=LINE('',#531229,#132687); #95697=LINE('',#531232,#132688); #95698=LINE('',#531234,#132689); #95699=LINE('',#531235,#132690); #95700=LINE('',#531239,#132691); #95701=LINE('',#531242,#132692); #95702=LINE('',#531243,#132693); #95703=LINE('',#531246,#132694); #95704=LINE('',#531247,#132695); #95705=LINE('',#531250,#132696); #95706=LINE('',#531251,#132697); #95707=LINE('',#531255,#132698); #95708=LINE('',#531258,#132699); #95709=LINE('',#531259,#132700); #95710=LINE('',#531263,#132701); #95711=LINE('',#531266,#132702); #95712=LINE('',#531267,#132703); #95713=LINE('',#531270,#132704); #95714=LINE('',#531271,#132705); #95715=LINE('',#531274,#132706); #95716=LINE('',#531275,#132707); #95717=LINE('',#531284,#132708); #95718=LINE('',#531286,#132709); #95719=LINE('',#531288,#132710); #95720=LINE('',#531292,#132711); #95721=LINE('',#531296,#132712); #95722=LINE('',#531298,#132713); #95723=LINE('',#531300,#132714); #95724=LINE('',#531303,#132715); #95725=LINE('',#531306,#132716); #95726=LINE('',#531308,#132717); #95727=LINE('',#531309,#132718); #95728=LINE('',#531312,#132719); #95729=LINE('',#531316,#132720); #95730=LINE('',#531317,#132721); #95731=LINE('',#531320,#132722); #95732=LINE('',#531321,#132723); #95733=LINE('',#531324,#132724); #95734=LINE('',#531325,#132725); #95735=LINE('',#531328,#132726); #95736=LINE('',#531332,#132727); #95737=LINE('',#531333,#132728); #95738=LINE('',#531336,#132729); #95739=LINE('',#531340,#132730); #95740=LINE('',#531341,#132731); #95741=LINE('',#531344,#132732); #95742=LINE('',#531345,#132733); #95743=LINE('',#531348,#132734); #95744=LINE('',#531349,#132735); #95745=LINE('',#531358,#132736); #95746=LINE('',#531360,#132737); #95747=LINE('',#531362,#132738); #95748=LINE('',#531366,#132739); #95749=LINE('',#531370,#132740); #95750=LINE('',#531372,#132741); #95751=LINE('',#531374,#132742); #95752=LINE('',#531377,#132743); #95753=LINE('',#531380,#132744); #95754=LINE('',#531382,#132745); #95755=LINE('',#531383,#132746); #95756=LINE('',#531386,#132747); #95757=LINE('',#531390,#132748); #95758=LINE('',#531391,#132749); #95759=LINE('',#531394,#132750); #95760=LINE('',#531395,#132751); #95761=LINE('',#531398,#132752); #95762=LINE('',#531399,#132753); #95763=LINE('',#531402,#132754); #95764=LINE('',#531406,#132755); #95765=LINE('',#531407,#132756); #95766=LINE('',#531410,#132757); #95767=LINE('',#531414,#132758); #95768=LINE('',#531415,#132759); #95769=LINE('',#531418,#132760); #95770=LINE('',#531419,#132761); #95771=LINE('',#531422,#132762); #95772=LINE('',#531423,#132763); #95773=LINE('',#531432,#132764); #95774=LINE('',#531434,#132765); #95775=LINE('',#531436,#132766); #95776=LINE('',#531440,#132767); #95777=LINE('',#531444,#132768); #95778=LINE('',#531446,#132769); #95779=LINE('',#531448,#132770); #95780=LINE('',#531451,#132771); #95781=LINE('',#531454,#132772); #95782=LINE('',#531456,#132773); #95783=LINE('',#531457,#132774); #95784=LINE('',#531460,#132775); #95785=LINE('',#531464,#132776); #95786=LINE('',#531465,#132777); #95787=LINE('',#531468,#132778); #95788=LINE('',#531469,#132779); #95789=LINE('',#531472,#132780); #95790=LINE('',#531473,#132781); #95791=LINE('',#531476,#132782); #95792=LINE('',#531480,#132783); #95793=LINE('',#531481,#132784); #95794=LINE('',#531484,#132785); #95795=LINE('',#531488,#132786); #95796=LINE('',#531489,#132787); #95797=LINE('',#531492,#132788); #95798=LINE('',#531493,#132789); #95799=LINE('',#531496,#132790); #95800=LINE('',#531497,#132791); #95801=LINE('',#531508,#132792); #95802=LINE('',#531514,#132793); #95803=LINE('',#531516,#132794); #95804=LINE('',#531518,#132795); #95805=LINE('',#531519,#132796); #95806=LINE('',#531522,#132797); #95807=LINE('',#531524,#132798); #95808=LINE('',#531525,#132799); #95809=LINE('',#531528,#132800); #95810=LINE('',#531530,#132801); #95811=LINE('',#531531,#132802); #95812=LINE('',#531533,#132803); #95813=LINE('',#531534,#132804); #95814=LINE('',#531537,#132805); #95815=LINE('',#531539,#132806); #95816=LINE('',#531540,#132807); #95817=LINE('',#531543,#132808); #95818=LINE('',#531544,#132809); #95819=LINE('',#531548,#132810); #95820=LINE('',#531550,#132811); #95821=LINE('',#531552,#132812); #95822=LINE('',#531553,#132813); #95823=LINE('',#531556,#132814); #95824=LINE('',#531557,#132815); #95825=LINE('',#531559,#132816); #95826=LINE('',#531561,#132817); #95827=LINE('',#531562,#132818); #95828=LINE('',#531564,#132819); #95829=LINE('',#531566,#132820); #95830=LINE('',#531574,#132821); #95831=LINE('',#531576,#132822); #95832=LINE('',#531578,#132823); #95833=LINE('',#531582,#132824); #95834=LINE('',#531586,#132825); #95835=LINE('',#531588,#132826); #95836=LINE('',#531590,#132827); #95837=LINE('',#531593,#132828); #95838=LINE('',#531596,#132829); #95839=LINE('',#531598,#132830); #95840=LINE('',#531599,#132831); #95841=LINE('',#531602,#132832); #95842=LINE('',#531603,#132833); #95843=LINE('',#531606,#132834); #95844=LINE('',#531607,#132835); #95845=LINE('',#531611,#132836); #95846=LINE('',#531614,#132837); #95847=LINE('',#531615,#132838); #95848=LINE('',#531619,#132839); #95849=LINE('',#531622,#132840); #95850=LINE('',#531623,#132841); #95851=LINE('',#531626,#132842); #95852=LINE('',#531627,#132843); #95853=LINE('',#531630,#132844); #95854=LINE('',#531631,#132845); #95855=LINE('',#531635,#132846); #95856=LINE('',#531638,#132847); #95857=LINE('',#531639,#132848); #95858=LINE('',#531648,#132849); #95859=LINE('',#531650,#132850); #95860=LINE('',#531652,#132851); #95861=LINE('',#531656,#132852); #95862=LINE('',#531660,#132853); #95863=LINE('',#531662,#132854); #95864=LINE('',#531664,#132855); #95865=LINE('',#531667,#132856); #95866=LINE('',#531670,#132857); #95867=LINE('',#531672,#132858); #95868=LINE('',#531673,#132859); #95869=LINE('',#531676,#132860); #95870=LINE('',#531677,#132861); #95871=LINE('',#531680,#132862); #95872=LINE('',#531681,#132863); #95873=LINE('',#531685,#132864); #95874=LINE('',#531688,#132865); #95875=LINE('',#531689,#132866); #95876=LINE('',#531693,#132867); #95877=LINE('',#531696,#132868); #95878=LINE('',#531697,#132869); #95879=LINE('',#531700,#132870); #95880=LINE('',#531701,#132871); #95881=LINE('',#531704,#132872); #95882=LINE('',#531705,#132873); #95883=LINE('',#531709,#132874); #95884=LINE('',#531712,#132875); #95885=LINE('',#531713,#132876); #95886=LINE('',#531722,#132877); #95887=LINE('',#531724,#132878); #95888=LINE('',#531726,#132879); #95889=LINE('',#531730,#132880); #95890=LINE('',#531734,#132881); #95891=LINE('',#531736,#132882); #95892=LINE('',#531738,#132883); #95893=LINE('',#531741,#132884); #95894=LINE('',#531744,#132885); #95895=LINE('',#531746,#132886); #95896=LINE('',#531747,#132887); #95897=LINE('',#531750,#132888); #95898=LINE('',#531751,#132889); #95899=LINE('',#531754,#132890); #95900=LINE('',#531755,#132891); #95901=LINE('',#531759,#132892); #95902=LINE('',#531762,#132893); #95903=LINE('',#531763,#132894); #95904=LINE('',#531767,#132895); #95905=LINE('',#531770,#132896); #95906=LINE('',#531771,#132897); #95907=LINE('',#531774,#132898); #95908=LINE('',#531775,#132899); #95909=LINE('',#531778,#132900); #95910=LINE('',#531779,#132901); #95911=LINE('',#531783,#132902); #95912=LINE('',#531786,#132903); #95913=LINE('',#531787,#132904); #95914=LINE('',#531796,#132905); #95915=LINE('',#531798,#132906); #95916=LINE('',#531800,#132907); #95917=LINE('',#531804,#132908); #95918=LINE('',#531808,#132909); #95919=LINE('',#531810,#132910); #95920=LINE('',#531812,#132911); #95921=LINE('',#531815,#132912); #95922=LINE('',#531818,#132913); #95923=LINE('',#531820,#132914); #95924=LINE('',#531821,#132915); #95925=LINE('',#531824,#132916); #95926=LINE('',#531825,#132917); #95927=LINE('',#531828,#132918); #95928=LINE('',#531829,#132919); #95929=LINE('',#531833,#132920); #95930=LINE('',#531836,#132921); #95931=LINE('',#531837,#132922); #95932=LINE('',#531841,#132923); #95933=LINE('',#531844,#132924); #95934=LINE('',#531845,#132925); #95935=LINE('',#531848,#132926); #95936=LINE('',#531849,#132927); #95937=LINE('',#531852,#132928); #95938=LINE('',#531853,#132929); #95939=LINE('',#531857,#132930); #95940=LINE('',#531860,#132931); #95941=LINE('',#531861,#132932); #95942=LINE('',#531870,#132933); #95943=LINE('',#531872,#132934); #95944=LINE('',#531874,#132935); #95945=LINE('',#531878,#132936); #95946=LINE('',#531882,#132937); #95947=LINE('',#531884,#132938); #95948=LINE('',#531886,#132939); #95949=LINE('',#531889,#132940); #95950=LINE('',#531892,#132941); #95951=LINE('',#531894,#132942); #95952=LINE('',#531895,#132943); #95953=LINE('',#531898,#132944); #95954=LINE('',#531899,#132945); #95955=LINE('',#531902,#132946); #95956=LINE('',#531903,#132947); #95957=LINE('',#531907,#132948); #95958=LINE('',#531910,#132949); #95959=LINE('',#531911,#132950); #95960=LINE('',#531915,#132951); #95961=LINE('',#531918,#132952); #95962=LINE('',#531919,#132953); #95963=LINE('',#531922,#132954); #95964=LINE('',#531923,#132955); #95965=LINE('',#531926,#132956); #95966=LINE('',#531927,#132957); #95967=LINE('',#531931,#132958); #95968=LINE('',#531934,#132959); #95969=LINE('',#531935,#132960); #95970=LINE('',#531978,#132961); #95971=LINE('',#531979,#132962); #95972=LINE('',#531995,#132963); #95973=LINE('',#531996,#132964); #95974=LINE('',#531998,#132965); #95975=LINE('',#531999,#132966); #95976=LINE('',#532008,#132967); #95977=LINE('',#532009,#132968); #95978=LINE('',#532011,#132969); #95979=LINE('',#532012,#132970); #95980=LINE('',#532014,#132971); #95981=LINE('',#532015,#132972); #95982=LINE('',#532017,#132973); #95983=LINE('',#532018,#132974); #95984=LINE('',#532020,#132975); #95985=LINE('',#532021,#132976); #95986=LINE('',#532023,#132977); #95987=LINE('',#532024,#132978); #95988=LINE('',#532026,#132979); #95989=LINE('',#532027,#132980); #95990=LINE('',#532029,#132981); #95991=LINE('',#532030,#132982); #95992=LINE('',#532032,#132983); #95993=LINE('',#532033,#132984); #95994=LINE('',#532076,#132985); #95995=LINE('',#532077,#132986); #95996=LINE('',#532093,#132987); #95997=LINE('',#532094,#132988); #95998=LINE('',#532096,#132989); #95999=LINE('',#532097,#132990); #96000=LINE('',#532106,#132991); #96001=LINE('',#532107,#132992); #96002=LINE('',#532109,#132993); #96003=LINE('',#532110,#132994); #96004=LINE('',#532112,#132995); #96005=LINE('',#532113,#132996); #96006=LINE('',#532115,#132997); #96007=LINE('',#532116,#132998); #96008=LINE('',#532118,#132999); #96009=LINE('',#532119,#133000); #96010=LINE('',#532121,#133001); #96011=LINE('',#532122,#133002); #96012=LINE('',#532124,#133003); #96013=LINE('',#532125,#133004); #96014=LINE('',#532127,#133005); #96015=LINE('',#532128,#133006); #96016=LINE('',#532130,#133007); #96017=LINE('',#532131,#133008); #96018=LINE('',#532141,#133009); #96019=LINE('',#532143,#133010); #96020=LINE('',#532145,#133011); #96021=LINE('',#532146,#133012); #96022=LINE('',#532149,#133013); #96023=LINE('',#532151,#133014); #96024=LINE('',#532152,#133015); #96025=LINE('',#532155,#133016); #96026=LINE('',#532157,#133017); #96027=LINE('',#532158,#133018); #96028=LINE('',#532160,#133019); #96029=LINE('',#532161,#133020); #96030=VECTOR('',#351393,0.325); #96031=VECTOR('',#351400,0.325); #96032=VECTOR('',#351407,0.600000000000001); #96033=VECTOR('',#351414,0.175000000000001); #96034=VECTOR('',#351421,0.175000000000001); #96035=VECTOR('',#351428,0.175000000000001); #96036=VECTOR('',#351435,0.175000000000001); #96037=VECTOR('',#351442,0.175000000000001); #96038=VECTOR('',#351449,0.175000000000001); #96039=VECTOR('',#351456,0.175000000000001); #96040=VECTOR('',#351463,0.175000000000001); #96041=VECTOR('',#351470,0.175000000000001); #96042=VECTOR('',#351477,0.175000000000001); #96043=VECTOR('',#351484,0.175000000000001); #96044=VECTOR('',#351491,0.175000000000001); #96045=VECTOR('',#351498,0.175000000000001); #96046=VECTOR('',#351505,0.175000000000001); #96047=VECTOR('',#351512,0.175000000000001); #96048=VECTOR('',#351519,0.5); #96049=VECTOR('',#351526,0.175000000000001); #96050=VECTOR('',#351533,0.175000000000001); #96051=VECTOR('',#351540,0.175000000000001); #96052=VECTOR('',#351547,0.175000000000001); #96053=VECTOR('',#351554,0.175000000000001); #96054=VECTOR('',#351561,0.175000000000001); #96055=VECTOR('',#351568,0.175000000000001); #96056=VECTOR('',#351575,0.175000000000001); #96057=VECTOR('',#351582,0.175000000000001); #96058=VECTOR('',#351589,0.175000000000001); #96059=VECTOR('',#351596,0.175000000000001); #96060=VECTOR('',#351603,0.175000000000001); #96061=VECTOR('',#351610,0.175000000000001); #96062=VECTOR('',#351617,0.175000000000001); #96063=VECTOR('',#351624,0.175000000000001); #96064=VECTOR('',#351631,0.550000000000002); #96065=VECTOR('',#351638,0.175000000000001); #96066=VECTOR('',#351645,0.175000000000001); #96067=VECTOR('',#351652,0.175000000000001); #96068=VECTOR('',#351659,0.175000000000001); #96069=VECTOR('',#351666,0.175000000000001); #96070=VECTOR('',#351673,0.175000000000001); #96071=VECTOR('',#351680,0.175000000000001); #96072=VECTOR('',#351687,0.175000000000001); #96073=VECTOR('',#351694,0.175000000000001); #96074=VECTOR('',#351701,0.175000000000001); #96075=VECTOR('',#351708,0.175000000000001); #96076=VECTOR('',#351715,0.175000000000001); #96077=VECTOR('',#351722,0.175000000000001); #96078=VECTOR('',#351729,0.175000000000001); #96079=VECTOR('',#351736,0.175000000000001); #96080=VECTOR('',#351743,0.5); #96081=VECTOR('',#351750,0.175000000000001); #96082=VECTOR('',#351757,0.175000000000001); #96083=VECTOR('',#351764,0.175000000000001); #96084=VECTOR('',#351771,0.175000000000001); #96085=VECTOR('',#351778,0.175000000000001); #96086=VECTOR('',#351785,0.175000000000001); #96087=VECTOR('',#351792,0.175000000000001); #96088=VECTOR('',#351799,0.175000000000001); #96089=VECTOR('',#351806,0.175000000000001); #96090=VECTOR('',#351813,0.175000000000001); #96091=VECTOR('',#351820,0.175000000000001); #96092=VECTOR('',#351827,0.175000000000001); #96093=VECTOR('',#351834,0.175000000000001); #96094=VECTOR('',#351841,0.175000000000001); #96095=VECTOR('',#351848,0.175000000000001); #96096=VECTOR('',#351855,0.600000000000001); #96097=VECTOR('',#351862,0.175000000000001); #96098=VECTOR('',#351869,0.175000000000001); #96099=VECTOR('',#351876,0.175000000000001); #96100=VECTOR('',#351883,0.175000000000001); #96101=VECTOR('',#351890,0.175000000000001); #96102=VECTOR('',#351897,0.175); #96103=VECTOR('',#351904,0.175000000000001); #96104=VECTOR('',#351911,0.175000000000001); #96105=VECTOR('',#351918,0.175000000000001); #96106=VECTOR('',#351925,0.175000000000001); #96107=VECTOR('',#351932,0.175000000000001); #96108=VECTOR('',#351939,0.175000000000001); #96109=VECTOR('',#351946,0.175000000000001); #96110=VECTOR('',#351953,0.175); #96111=VECTOR('',#351960,0.175000000000001); #96112=VECTOR('',#351967,0.175000000000001); #96113=VECTOR('',#351974,0.175000000000001); #96114=VECTOR('',#351981,0.175000000000001); #96115=VECTOR('',#351988,0.175000000000001); #96116=VECTOR('',#351995,0.175000000000001); #96117=VECTOR('',#352002,0.175000000000001); #96118=VECTOR('',#352009,0.175000000000001); #96119=VECTOR('',#352016,0.175000000000001); #96120=VECTOR('',#352023,0.175000000000001); #96121=VECTOR('',#352030,0.175000000000001); #96122=VECTOR('',#352037,0.175000000000001); #96123=VECTOR('',#352044,0.175000000000001); #96124=VECTOR('',#352051,0.175000000000001); #96125=VECTOR('',#352058,0.175000000000001); #96126=VECTOR('',#352065,0.175000000000001); #96127=VECTOR('',#352072,0.175000000000001); #96128=VECTOR('',#352079,0.499999999999998); #96129=VECTOR('',#352086,0.175000000000001); #96130=VECTOR('',#352093,0.175000000000001); #96131=VECTOR('',#352100,0.175000000000001); #96132=VECTOR('',#352107,0.175000000000001); #96133=VECTOR('',#352114,0.175000000000001); #96134=VECTOR('',#352121,0.175000000000001); #96135=VECTOR('',#352128,0.175000000000001); #96136=VECTOR('',#352135,0.175000000000001); #96137=VECTOR('',#352142,0.175000000000001); #96138=VECTOR('',#352149,0.175000000000001); #96139=VECTOR('',#352156,0.175000000000001); #96140=VECTOR('',#352163,0.175000000000001); #96141=VECTOR('',#352170,0.175000000000001); #96142=VECTOR('',#352177,0.175000000000001); #96143=VECTOR('',#352184,0.175000000000001); #96144=VECTOR('',#352191,0.499999999999998); #96145=VECTOR('',#352198,0.175000000000001); #96146=VECTOR('',#352205,0.175000000000001); #96147=VECTOR('',#352212,0.175000000000001); #96148=VECTOR('',#352219,0.175000000000001); #96149=VECTOR('',#352226,0.175000000000001); #96150=VECTOR('',#352233,0.175000000000001); #96151=VECTOR('',#352240,0.175000000000001); #96152=VECTOR('',#352247,0.175000000000001); #96153=VECTOR('',#352254,0.175000000000001); #96154=VECTOR('',#352261,0.175000000000001); #96155=VECTOR('',#352268,0.175000000000001); #96156=VECTOR('',#352275,0.175000000000001); #96157=VECTOR('',#352282,0.175000000000001); #96158=VECTOR('',#352289,0.175000000000001); #96159=VECTOR('',#352296,0.175000000000001); #96160=VECTOR('',#352303,0.550000000000002); #96161=VECTOR('',#352310,0.150000000000001); #96162=VECTOR('',#352317,0.175); #96163=VECTOR('',#352324,0.150000000000001); #96164=VECTOR('',#352331,0.175000000000001); #96165=VECTOR('',#352338,0.175000000000001); #96166=VECTOR('',#352345,0.175); #96167=VECTOR('',#352352,0.150000000000001); #96168=VECTOR('',#352359,0.175000000000001); #96169=VECTOR('',#352366,0.175000000000001); #96170=VECTOR('',#352373,0.175); #96171=VECTOR('',#352380,0.175000000000001); #96172=VECTOR('',#352387,0.175000000000001); #96173=VECTOR('',#352394,0.175000000000001); #96174=VECTOR('',#352401,0.175); #96175=VECTOR('',#352408,0.175000000000001); #96176=VECTOR('',#352415,0.175000000000001); #96177=VECTOR('',#352422,0.175000000000001); #96178=VECTOR('',#352429,0.175); #96179=VECTOR('',#352436,0.175000000000001); #96180=VECTOR('',#352443,0.175000000000001); #96181=VECTOR('',#352450,0.175000000000001); #96182=VECTOR('',#352457,0.175000000000001); #96183=VECTOR('',#352464,0.175000000000001); #96184=VECTOR('',#352471,0.175000000000001); #96185=VECTOR('',#352478,0.175000000000001); #96186=VECTOR('',#352485,0.175); #96187=VECTOR('',#352492,0.175000000000001); #96188=VECTOR('',#352499,0.175000000000001); #96189=VECTOR('',#352506,0.175000000000001); #96190=VECTOR('',#352513,0.175); #96191=VECTOR('',#352520,0.175000000000001); #96192=VECTOR('',#352527,0.499999999999998); #96193=VECTOR('',#352532,10.); #96194=VECTOR('',#352533,10.); #96195=VECTOR('',#352534,10.); #96196=VECTOR('',#352535,10.); #96197=VECTOR('',#352542,10.); #96198=VECTOR('',#352545,10.); #96199=VECTOR('',#352546,10.); #96200=VECTOR('',#352547,10.); #96201=VECTOR('',#352558,0.175000000000001); #96202=VECTOR('',#352563,10.); #96203=VECTOR('',#352564,10.); #96204=VECTOR('',#352565,10.); #96205=VECTOR('',#352566,10.); #96206=VECTOR('',#352573,10.); #96207=VECTOR('',#352576,10.); #96208=VECTOR('',#352577,10.); #96209=VECTOR('',#352578,10.); #96210=VECTOR('',#352589,0.175000000000001); #96211=VECTOR('',#352596,0.150000000000001); #96212=VECTOR('',#352603,0.175000000000001); #96213=VECTOR('',#352608,10.); #96214=VECTOR('',#352609,10.); #96215=VECTOR('',#352610,10.); #96216=VECTOR('',#352611,10.); #96217=VECTOR('',#352618,10.); #96218=VECTOR('',#352621,10.); #96219=VECTOR('',#352622,10.); #96220=VECTOR('',#352623,10.); #96221=VECTOR('',#352634,0.175000000000001); #96222=VECTOR('',#352641,0.150000000000001); #96223=VECTOR('',#352648,0.175000000000001); #96224=VECTOR('',#352655,0.150000000000001); #96225=VECTOR('',#352662,0.175000000000001); #96226=VECTOR('',#352669,0.150000000000001); #96227=VECTOR('',#352676,0.175000000000001); #96228=VECTOR('',#352683,0.150000000000001); #96229=VECTOR('',#352690,0.175000000000001); #96230=VECTOR('',#352697,0.175000000000001); #96231=VECTOR('',#352702,10.); #96232=VECTOR('',#352703,10.); #96233=VECTOR('',#352704,10.); #96234=VECTOR('',#352705,10.); #96235=VECTOR('',#352712,10.); #96236=VECTOR('',#352715,10.); #96237=VECTOR('',#352716,10.); #96238=VECTOR('',#352717,10.); #96239=VECTOR('',#352728,0.175000000000001); #96240=VECTOR('',#352733,10.); #96241=VECTOR('',#352734,10.); #96242=VECTOR('',#352735,10.); #96243=VECTOR('',#352736,10.); #96244=VECTOR('',#352743,10.); #96245=VECTOR('',#352746,10.); #96246=VECTOR('',#352747,10.); #96247=VECTOR('',#352748,10.); #96248=VECTOR('',#352759,0.175000000000001); #96249=VECTOR('',#352764,10.); #96250=VECTOR('',#352765,10.); #96251=VECTOR('',#352766,10.); #96252=VECTOR('',#352767,10.); #96253=VECTOR('',#352774,10.); #96254=VECTOR('',#352777,10.); #96255=VECTOR('',#352778,10.); #96256=VECTOR('',#352779,10.); #96257=VECTOR('',#352790,0.175000000000001); #96258=VECTOR('',#352797,0.175000000000001); #96259=VECTOR('',#352804,0.175000000000001); #96260=VECTOR('',#352811,0.175000000000001); #96261=VECTOR('',#352818,0.600000000000001); #96262=VECTOR('',#352825,0.175000000000001); #96263=VECTOR('',#352832,0.175000000000001); #96264=VECTOR('',#352839,0.175000000000001); #96265=VECTOR('',#352846,0.175000000000001); #96266=VECTOR('',#352853,0.175000000000001); #96267=VECTOR('',#352860,0.175000000000001); #96268=VECTOR('',#352867,0.175000000000001); #96269=VECTOR('',#352874,0.175000000000001); #96270=VECTOR('',#352881,0.175000000000001); #96271=VECTOR('',#352888,0.175000000000001); #96272=VECTOR('',#352895,0.175000000000001); #96273=VECTOR('',#352902,0.175000000000001); #96274=VECTOR('',#352909,0.175000000000001); #96275=VECTOR('',#352916,0.175000000000001); #96276=VECTOR('',#352923,0.175000000000001); #96277=VECTOR('',#352930,0.499999999999998); #96278=VECTOR('',#352937,0.175000000000001); #96279=VECTOR('',#352944,0.175000000000001); #96280=VECTOR('',#352951,0.175000000000001); #96281=VECTOR('',#352958,0.175000000000001); #96282=VECTOR('',#352965,0.175000000000001); #96283=VECTOR('',#352972,0.175000000000001); #96284=VECTOR('',#352979,0.175000000000001); #96285=VECTOR('',#352986,0.175000000000001); #96286=VECTOR('',#352993,0.175000000000001); #96287=VECTOR('',#353000,0.175000000000001); #96288=VECTOR('',#353007,0.175000000000001); #96289=VECTOR('',#353014,0.175000000000001); #96290=VECTOR('',#353021,0.175000000000001); #96291=VECTOR('',#353028,0.175000000000001); #96292=VECTOR('',#353035,0.175000000000001); #96293=VECTOR('',#353042,0.549999999999997); #96294=VECTOR('',#353049,0.175000000000001); #96295=VECTOR('',#353056,0.175000000000001); #96296=VECTOR('',#353063,0.175000000000001); #96297=VECTOR('',#353070,0.175000000000001); #96298=VECTOR('',#353077,0.175000000000001); #96299=VECTOR('',#353084,0.175000000000001); #96300=VECTOR('',#353091,0.175000000000001); #96301=VECTOR('',#353098,0.175000000000001); #96302=VECTOR('',#353105,0.175000000000001); #96303=VECTOR('',#353112,0.175000000000001); #96304=VECTOR('',#353119,0.175000000000001); #96305=VECTOR('',#353126,0.175000000000001); #96306=VECTOR('',#353133,0.175000000000001); #96307=VECTOR('',#353140,0.175000000000001); #96308=VECTOR('',#353147,0.175000000000001); #96309=VECTOR('',#353154,0.499999999999998); #96310=VECTOR('',#353161,0.175000000000001); #96311=VECTOR('',#353168,0.175000000000001); #96312=VECTOR('',#353175,0.175000000000001); #96313=VECTOR('',#353182,0.175000000000001); #96314=VECTOR('',#353189,0.175000000000001); #96315=VECTOR('',#353196,0.175000000000001); #96316=VECTOR('',#353203,0.175000000000001); #96317=VECTOR('',#353210,0.175000000000001); #96318=VECTOR('',#353217,0.175000000000001); #96319=VECTOR('',#353224,0.175000000000001); #96320=VECTOR('',#353231,0.175000000000001); #96321=VECTOR('',#353238,0.175000000000001); #96322=VECTOR('',#353245,0.175000000000001); #96323=VECTOR('',#353252,0.175000000000001); #96324=VECTOR('',#353259,0.175000000000001); #96325=VECTOR('',#353266,0.600000000000001); #96326=VECTOR('',#353273,0.175000000000001); #96327=VECTOR('',#353280,0.175000000000001); #96328=VECTOR('',#353287,0.175000000000001); #96329=VECTOR('',#353294,0.175000000000001); #96330=VECTOR('',#353301,0.175000000000001); #96331=VECTOR('',#353308,0.175000000000001); #96332=VECTOR('',#353315,0.175000000000001); #96333=VECTOR('',#353322,0.175000000000001); #96334=VECTOR('',#353329,0.175000000000001); #96335=VECTOR('',#353336,0.175000000000001); #96336=VECTOR('',#353343,0.175000000000001); #96337=VECTOR('',#353350,0.175000000000001); #96338=VECTOR('',#353357,0.175000000000001); #96339=VECTOR('',#353364,0.175000000000001); #96340=VECTOR('',#353371,0.175000000000001); #96341=VECTOR('',#353378,0.499999999999998); #96342=VECTOR('',#353385,0.175000000000001); #96343=VECTOR('',#353392,0.175000000000001); #96344=VECTOR('',#353399,0.175000000000001); #96345=VECTOR('',#353406,0.175000000000001); #96346=VECTOR('',#353413,0.175000000000001); #96347=VECTOR('',#353420,0.175000000000001); #96348=VECTOR('',#353427,0.175000000000001); #96349=VECTOR('',#353434,0.175000000000001); #96350=VECTOR('',#353441,0.175000000000001); #96351=VECTOR('',#353448,0.175000000000001); #96352=VECTOR('',#353455,0.175000000000001); #96353=VECTOR('',#353462,0.175000000000001); #96354=VECTOR('',#353469,0.175000000000001); #96355=VECTOR('',#353476,0.175000000000001); #96356=VECTOR('',#353483,0.175000000000001); #96357=VECTOR('',#353490,0.549999999999997); #96358=VECTOR('',#353497,0.175000000000001); #96359=VECTOR('',#353504,0.175000000000001); #96360=VECTOR('',#353511,0.175000000000001); #96361=VECTOR('',#353518,0.175000000000001); #96362=VECTOR('',#353525,0.175000000000001); #96363=VECTOR('',#353532,0.175000000000001); #96364=VECTOR('',#353539,0.175000000000001); #96365=VECTOR('',#353546,0.175000000000001); #96366=VECTOR('',#353553,0.175000000000001); #96367=VECTOR('',#353560,0.175000000000001); #96368=VECTOR('',#353567,0.175000000000001); #96369=VECTOR('',#353574,0.175000000000001); #96370=VECTOR('',#353581,0.175000000000001); #96371=VECTOR('',#353588,0.175000000000001); #96372=VECTOR('',#353595,0.175000000000001); #96373=VECTOR('',#353602,0.499999999999998); #96374=VECTOR('',#353609,0.175000000000001); #96375=VECTOR('',#353616,0.175000000000001); #96376=VECTOR('',#353623,0.175000000000001); #96377=VECTOR('',#353630,0.175000000000001); #96378=VECTOR('',#353637,0.175000000000001); #96379=VECTOR('',#353644,0.175000000000001); #96380=VECTOR('',#353651,0.175000000000001); #96381=VECTOR('',#353658,0.175000000000001); #96382=VECTOR('',#353665,0.175000000000001); #96383=VECTOR('',#353672,0.175000000000001); #96384=VECTOR('',#353679,0.175000000000001); #96385=VECTOR('',#353686,0.175000000000001); #96386=VECTOR('',#353693,0.175000000000001); #96387=VECTOR('',#353700,0.175000000000001); #96388=VECTOR('',#353707,0.175000000000001); #96389=VECTOR('',#353714,34.); #96390=VECTOR('',#353725,0.14); #96391=VECTOR('',#353732,0.175000000000001); #96392=VECTOR('',#353743,0.14); #96393=VECTOR('',#353750,0.175000000000001); #96394=VECTOR('',#353761,0.14); #96395=VECTOR('',#353768,0.175000000000001); #96396=VECTOR('',#353779,0.139999999999998); #96397=VECTOR('',#353786,0.175000000000001); #96398=VECTOR('',#353797,0.139999999999998); #96399=VECTOR('',#353804,0.175000000000001); #96400=VECTOR('',#353815,0.14); #96401=VECTOR('',#353822,0.175000000000001); #96402=VECTOR('',#353833,0.140000000000002); #96403=VECTOR('',#353840,0.175000000000001); #96404=VECTOR('',#353851,0.139999999999998); #96405=VECTOR('',#353858,0.175000000000001); #96406=VECTOR('',#353869,0.139999999999998); #96407=VECTOR('',#353876,0.175000000000001); #96408=VECTOR('',#353887,0.14); #96409=VECTOR('',#353894,0.175000000000001); #96410=VECTOR('',#353905,0.139999999999998); #96411=VECTOR('',#353912,0.175000000000001); #96412=VECTOR('',#353923,0.139999999999998); #96413=VECTOR('',#353930,0.175000000000001); #96414=VECTOR('',#353941,0.140000000000002); #96415=VECTOR('',#353948,0.175000000000001); #96416=VECTOR('',#353959,0.14); #96417=VECTOR('',#353966,0.175000000000001); #96418=VECTOR('',#353977,0.139999999999998); #96419=VECTOR('',#353984,0.175000000000001); #96420=VECTOR('',#353995,0.465); #96421=VECTOR('',#354002,0.499999999999998); #96422=VECTOR('',#354013,0.139999999999998); #96423=VECTOR('',#354020,0.175000000000001); #96424=VECTOR('',#354031,0.14); #96425=VECTOR('',#354038,0.175000000000001); #96426=VECTOR('',#354049,0.139999999999998); #96427=VECTOR('',#354056,0.175000000000001); #96428=VECTOR('',#354067,0.139999999999998); #96429=VECTOR('',#354074,0.175000000000001); #96430=VECTOR('',#354085,0.139999999999998); #96431=VECTOR('',#354092,0.175000000000001); #96432=VECTOR('',#354103,0.14); #96433=VECTOR('',#354110,0.175000000000001); #96434=VECTOR('',#354121,0.139999999999998); #96435=VECTOR('',#354128,0.175000000000001); #96436=VECTOR('',#354139,0.139999999999998); #96437=VECTOR('',#354146,0.175000000000001); #96438=VECTOR('',#354157,0.139999999999998); #96439=VECTOR('',#354164,0.175000000000001); #96440=VECTOR('',#354175,0.14); #96441=VECTOR('',#354182,0.175000000000001); #96442=VECTOR('',#354193,0.139999999999998); #96443=VECTOR('',#354200,0.175000000000001); #96444=VECTOR('',#354211,0.139999999999998); #96445=VECTOR('',#354218,0.175000000000001); #96446=VECTOR('',#354229,0.139999999999998); #96447=VECTOR('',#354236,0.175000000000001); #96448=VECTOR('',#354247,0.14); #96449=VECTOR('',#354254,0.175000000000001); #96450=VECTOR('',#354265,0.139999999999998); #96451=VECTOR('',#354272,0.175000000000001); #96452=VECTOR('',#354283,0.514999999999999); #96453=VECTOR('',#354290,0.549999999999997); #96454=VECTOR('',#354301,0.139999999999998); #96455=VECTOR('',#354308,0.175000000000001); #96456=VECTOR('',#354319,0.140000000000002); #96457=VECTOR('',#354326,0.175000000000001); #96458=VECTOR('',#354337,0.139999999999998); #96459=VECTOR('',#354344,0.175000000000001); #96460=VECTOR('',#354355,0.139999999999998); #96461=VECTOR('',#354362,0.175000000000001); #96462=VECTOR('',#354373,0.140000000000002); #96463=VECTOR('',#354380,0.175000000000001); #96464=VECTOR('',#354391,0.140000000000002); #96465=VECTOR('',#354398,0.175000000000001); #96466=VECTOR('',#354409,0.139999999999998); #96467=VECTOR('',#354416,0.175000000000001); #96468=VECTOR('',#354427,0.139999999999998); #96469=VECTOR('',#354434,0.175000000000001); #96470=VECTOR('',#354445,0.140000000000002); #96471=VECTOR('',#354452,0.175000000000001); #96472=VECTOR('',#354463,0.140000000000002); #96473=VECTOR('',#354470,0.175000000000001); #96474=VECTOR('',#354481,0.140000000000002); #96475=VECTOR('',#354488,0.175000000000001); #96476=VECTOR('',#354499,0.139999999999998); #96477=VECTOR('',#354506,0.175000000000001); #96478=VECTOR('',#354517,0.140000000000002); #96479=VECTOR('',#354524,0.175000000000001); #96480=VECTOR('',#354535,0.140000000000002); #96481=VECTOR('',#354542,0.175000000000001); #96482=VECTOR('',#354553,0.140000000000002); #96483=VECTOR('',#354560,0.175000000000001); #96484=VECTOR('',#354571,0.465); #96485=VECTOR('',#354578,0.499999999999998); #96486=VECTOR('',#354589,0.139999999999998); #96487=VECTOR('',#354596,0.175000000000001); #96488=VECTOR('',#354607,0.140000000000002); #96489=VECTOR('',#354614,0.175000000000001); #96490=VECTOR('',#354625,0.139999999999998); #96491=VECTOR('',#354632,0.175000000000001); #96492=VECTOR('',#354643,0.139999999999998); #96493=VECTOR('',#354650,0.175000000000001); #96494=VECTOR('',#354661,0.139999999999998); #96495=VECTOR('',#354668,0.175000000000001); #96496=VECTOR('',#354679,0.140000000000002); #96497=VECTOR('',#354686,0.175000000000001); #96498=VECTOR('',#354697,0.139999999999998); #96499=VECTOR('',#354704,0.175000000000001); #96500=VECTOR('',#354715,0.139999999999998); #96501=VECTOR('',#354722,0.175000000000001); #96502=VECTOR('',#354733,0.139999999999998); #96503=VECTOR('',#354740,0.175000000000001); #96504=VECTOR('',#354751,0.140000000000002); #96505=VECTOR('',#354758,0.175000000000001); #96506=VECTOR('',#354769,0.139999999999998); #96507=VECTOR('',#354776,0.175000000000001); #96508=VECTOR('',#354787,0.139999999999998); #96509=VECTOR('',#354794,0.175000000000001); #96510=VECTOR('',#354805,0.139999999999998); #96511=VECTOR('',#354812,0.175000000000001); #96512=VECTOR('',#354823,0.14); #96513=VECTOR('',#354830,0.175000000000001); #96514=VECTOR('',#354841,0.139999999999998); #96515=VECTOR('',#354848,0.175000000000001); #96516=VECTOR('',#354859,0.564999999999998); #96517=VECTOR('',#354866,0.600000000000001); #96518=VECTOR('',#354877,0.140000000000002); #96519=VECTOR('',#354884,0.175000000000001); #96520=VECTOR('',#354895,0.140000000000002); #96521=VECTOR('',#354902,0.175000000000001); #96522=VECTOR('',#354913,0.140000000000002); #96523=VECTOR('',#354920,0.175000000000001); #96524=VECTOR('',#354931,0.139999999999998); #96525=VECTOR('',#354938,0.175000000000001); #96526=VECTOR('',#354949,0.140000000000002); #96527=VECTOR('',#354956,0.175000000000001); #96528=VECTOR('',#354967,0.140000000000002); #96529=VECTOR('',#354974,0.175000000000001); #96530=VECTOR('',#354985,0.140000000000002); #96531=VECTOR('',#354992,0.175000000000001); #96532=VECTOR('',#355003,0.139999999999998); #96533=VECTOR('',#355010,0.175000000000001); #96534=VECTOR('',#355021,0.140000000000002); #96535=VECTOR('',#355028,0.175000000000001); #96536=VECTOR('',#355039,0.140000000000002); #96537=VECTOR('',#355046,0.175000000000001); #96538=VECTOR('',#355057,0.140000000000002); #96539=VECTOR('',#355064,0.175000000000001); #96540=VECTOR('',#355075,0.139999999999998); #96541=VECTOR('',#355082,0.175000000000001); #96542=VECTOR('',#355093,0.140000000000002); #96543=VECTOR('',#355100,0.175000000000001); #96544=VECTOR('',#355111,0.140000000000002); #96545=VECTOR('',#355118,0.175000000000001); #96546=VECTOR('',#355129,0.140000000000002); #96547=VECTOR('',#355136,0.175000000000001); #96548=VECTOR('',#355147,0.465); #96549=VECTOR('',#355154,0.499999999999998); #96550=VECTOR('',#355165,0.140000000000002); #96551=VECTOR('',#355172,0.175000000000001); #96552=VECTOR('',#355183,0.140000000000002); #96553=VECTOR('',#355190,0.175000000000001); #96554=VECTOR('',#355201,0.140000000000002); #96555=VECTOR('',#355208,0.175000000000001); #96556=VECTOR('',#355219,0.139999999999998); #96557=VECTOR('',#355226,0.175000000000001); #96558=VECTOR('',#355237,0.140000000000002); #96559=VECTOR('',#355244,0.175000000000001); #96560=VECTOR('',#355255,0.140000000000002); #96561=VECTOR('',#355262,0.175000000000001); #96562=VECTOR('',#355273,0.140000000000002); #96563=VECTOR('',#355280,0.175000000000001); #96564=VECTOR('',#355291,0.139999999999998); #96565=VECTOR('',#355298,0.175000000000001); #96566=VECTOR('',#355309,0.140000000000002); #96567=VECTOR('',#355316,0.175000000000001); #96568=VECTOR('',#355327,0.140000000000002); #96569=VECTOR('',#355334,0.175000000000001); #96570=VECTOR('',#355345,0.140000000000002); #96571=VECTOR('',#355352,0.175000000000001); #96572=VECTOR('',#355363,0.139999999999998); #96573=VECTOR('',#355370,0.175000000000001); #96574=VECTOR('',#355381,0.140000000000002); #96575=VECTOR('',#355388,0.175000000000001); #96576=VECTOR('',#355399,0.140000000000002); #96577=VECTOR('',#355406,0.175000000000001); #96578=VECTOR('',#355417,0.140000000000002); #96579=VECTOR('',#355424,0.175000000000001); #96580=VECTOR('',#355435,0.514999999999999); #96581=VECTOR('',#355442,0.549999999999997); #96582=VECTOR('',#355453,0.140000000000002); #96583=VECTOR('',#355460,0.175000000000001); #96584=VECTOR('',#355471,0.140000000000002); #96585=VECTOR('',#355478,0.175000000000001); #96586=VECTOR('',#355489,0.140000000000002); #96587=VECTOR('',#355496,0.175000000000001); #96588=VECTOR('',#355507,0.139999999999998); #96589=VECTOR('',#355514,0.175000000000001); #96590=VECTOR('',#355525,0.140000000000002); #96591=VECTOR('',#355532,0.175000000000001); #96592=VECTOR('',#355543,0.139999999999998); #96593=VECTOR('',#355550,0.175000000000001); #96594=VECTOR('',#355561,0.140000000000002); #96595=VECTOR('',#355568,0.175000000000001); #96596=VECTOR('',#355579,0.139999999999998); #96597=VECTOR('',#355586,0.175000000000001); #96598=VECTOR('',#355597,0.140000000000002); #96599=VECTOR('',#355604,0.175000000000001); #96600=VECTOR('',#355615,0.139999999999998); #96601=VECTOR('',#355622,0.175000000000001); #96602=VECTOR('',#355633,0.140000000000002); #96603=VECTOR('',#355640,0.175000000000001); #96604=VECTOR('',#355651,0.139999999999998); #96605=VECTOR('',#355658,0.175000000000001); #96606=VECTOR('',#355669,0.140000000000002); #96607=VECTOR('',#355676,0.175000000000001); #96608=VECTOR('',#355687,0.139999999999998); #96609=VECTOR('',#355694,0.175000000000001); #96610=VECTOR('',#355705,0.140000000000002); #96611=VECTOR('',#355712,0.175000000000001); #96612=VECTOR('',#355723,0.465); #96613=VECTOR('',#355730,0.499999999999998); #96614=VECTOR('',#355741,0.140000000000002); #96615=VECTOR('',#355748,0.175000000000001); #96616=VECTOR('',#355759,0.140000000000002); #96617=VECTOR('',#355766,0.175000000000001); #96618=VECTOR('',#355777,0.140000000000002); #96619=VECTOR('',#355784,0.175000000000001); #96620=VECTOR('',#355795,0.139999999999998); #96621=VECTOR('',#355802,0.175000000000001); #96622=VECTOR('',#355813,0.140000000000002); #96623=VECTOR('',#355820,0.175000000000001); #96624=VECTOR('',#355831,0.140000000000002); #96625=VECTOR('',#355838,0.175000000000001); #96626=VECTOR('',#355849,0.140000000000002); #96627=VECTOR('',#355856,0.175000000000001); #96628=VECTOR('',#355867,0.139999999999998); #96629=VECTOR('',#355874,0.175000000000001); #96630=VECTOR('',#355885,0.140000000000002); #96631=VECTOR('',#355892,0.175000000000001); #96632=VECTOR('',#355903,0.140000000000002); #96633=VECTOR('',#355910,0.175000000000001); #96634=VECTOR('',#355921,0.140000000000002); #96635=VECTOR('',#355928,0.175000000000001); #96636=VECTOR('',#355939,0.139999999999998); #96637=VECTOR('',#355946,0.175000000000001); #96638=VECTOR('',#355957,0.140000000000002); #96639=VECTOR('',#355964,0.175000000000001); #96640=VECTOR('',#355975,0.140000000000002); #96641=VECTOR('',#355982,0.175000000000001); #96642=VECTOR('',#355993,0.140000000000002); #96643=VECTOR('',#356000,0.175000000000001); #96644=VECTOR('',#356011,0.564999999999998); #96645=VECTOR('',#356018,0.600000000000001); #96646=VECTOR('',#356029,0.14); #96647=VECTOR('',#356036,0.175000000000001); #96648=VECTOR('',#356047,0.139999999999998); #96649=VECTOR('',#356054,0.175000000000001); #96650=VECTOR('',#356065,0.140000000000002); #96651=VECTOR('',#356072,0.175000000000001); #96652=VECTOR('',#356083,0.139999999999998); #96653=VECTOR('',#356090,0.175000000000001); #96654=VECTOR('',#356099,10.); #96655=VECTOR('',#356100,10.); #96656=VECTOR('',#356101,10.); #96657=VECTOR('',#356102,10.); #96658=VECTOR('',#356109,10.); #96659=VECTOR('',#356112,10.); #96660=VECTOR('',#356113,10.); #96661=VECTOR('',#356114,10.); #96662=VECTOR('',#356123,10.); #96663=VECTOR('',#356124,10.); #96664=VECTOR('',#356125,10.); #96665=VECTOR('',#356126,10.); #96666=VECTOR('',#356133,10.); #96667=VECTOR('',#356136,10.); #96668=VECTOR('',#356137,10.); #96669=VECTOR('',#356138,10.); #96670=VECTOR('',#356153,0.139999999999998); #96671=VECTOR('',#356160,0.175000000000001); #96672=VECTOR('',#356169,10.); #96673=VECTOR('',#356170,10.); #96674=VECTOR('',#356171,10.); #96675=VECTOR('',#356172,10.); #96676=VECTOR('',#356179,10.); #96677=VECTOR('',#356182,10.); #96678=VECTOR('',#356183,10.); #96679=VECTOR('',#356184,10.); #96680=VECTOR('',#356193,10.); #96681=VECTOR('',#356194,10.); #96682=VECTOR('',#356195,10.); #96683=VECTOR('',#356196,10.); #96684=VECTOR('',#356203,10.); #96685=VECTOR('',#356206,10.); #96686=VECTOR('',#356207,10.); #96687=VECTOR('',#356208,10.); #96688=VECTOR('',#356223,0.139999999999998); #96689=VECTOR('',#356230,0.175000000000001); #96690=VECTOR('',#356239,10.); #96691=VECTOR('',#356240,10.); #96692=VECTOR('',#356241,10.); #96693=VECTOR('',#356242,10.); #96694=VECTOR('',#356249,10.); #96695=VECTOR('',#356252,10.); #96696=VECTOR('',#356253,10.); #96697=VECTOR('',#356254,10.); #96698=VECTOR('',#356263,10.); #96699=VECTOR('',#356264,10.); #96700=VECTOR('',#356265,10.); #96701=VECTOR('',#356266,10.); #96702=VECTOR('',#356273,10.); #96703=VECTOR('',#356276,10.); #96704=VECTOR('',#356277,10.); #96705=VECTOR('',#356278,10.); #96706=VECTOR('',#356293,0.140000000000002); #96707=VECTOR('',#356300,0.175000000000001); #96708=VECTOR('',#356311,0.139999999999998); #96709=VECTOR('',#356318,0.175000000000001); #96710=VECTOR('',#356329,0.114999999999998); #96711=VECTOR('',#356336,0.150000000000001); #96712=VECTOR('',#356347,0.139999999999998); #96713=VECTOR('',#356354,0.175000000000001); #96714=VECTOR('',#356365,0.114999999999998); #96715=VECTOR('',#356372,0.150000000000001); #96716=VECTOR('',#356383,0.139999999999998); #96717=VECTOR('',#356390,0.175000000000001); #96718=VECTOR('',#356401,0.114999999999998); #96719=VECTOR('',#356408,0.150000000000001); #96720=VECTOR('',#356419,0.139999999999998); #96721=VECTOR('',#356426,0.175000000000001); #96722=VECTOR('',#356437,0.114999999999998); #96723=VECTOR('',#356444,0.150000000000001); #96724=VECTOR('',#356455,0.139999999999998); #96725=VECTOR('',#356462,0.175000000000001); #96726=VECTOR('',#356471,10.); #96727=VECTOR('',#356472,10.); #96728=VECTOR('',#356473,10.); #96729=VECTOR('',#356474,10.); #96730=VECTOR('',#356481,10.); #96731=VECTOR('',#356484,10.); #96732=VECTOR('',#356485,10.); #96733=VECTOR('',#356486,10.); #96734=VECTOR('',#356495,10.); #96735=VECTOR('',#356496,10.); #96736=VECTOR('',#356497,10.); #96737=VECTOR('',#356498,10.); #96738=VECTOR('',#356505,10.); #96739=VECTOR('',#356508,10.); #96740=VECTOR('',#356509,10.); #96741=VECTOR('',#356510,10.); #96742=VECTOR('',#356525,0.139999999999998); #96743=VECTOR('',#356532,0.175000000000001); #96744=VECTOR('',#356543,0.114999999999998); #96745=VECTOR('',#356550,0.150000000000001); #96746=VECTOR('',#356561,0.139999999999998); #96747=VECTOR('',#356568,0.175000000000001); #96748=VECTOR('',#356577,10.); #96749=VECTOR('',#356578,10.); #96750=VECTOR('',#356579,10.); #96751=VECTOR('',#356580,10.); #96752=VECTOR('',#356587,10.); #96753=VECTOR('',#356590,10.); #96754=VECTOR('',#356591,10.); #96755=VECTOR('',#356592,10.); #96756=VECTOR('',#356601,10.); #96757=VECTOR('',#356602,10.); #96758=VECTOR('',#356603,10.); #96759=VECTOR('',#356604,10.); #96760=VECTOR('',#356611,10.); #96761=VECTOR('',#356614,10.); #96762=VECTOR('',#356615,10.); #96763=VECTOR('',#356616,10.); #96764=VECTOR('',#356631,0.139999999999998); #96765=VECTOR('',#356638,0.175000000000001); #96766=VECTOR('',#356647,10.); #96767=VECTOR('',#356648,10.); #96768=VECTOR('',#356649,10.); #96769=VECTOR('',#356650,10.); #96770=VECTOR('',#356657,10.); #96771=VECTOR('',#356660,10.); #96772=VECTOR('',#356661,10.); #96773=VECTOR('',#356662,10.); #96774=VECTOR('',#356671,10.); #96775=VECTOR('',#356672,10.); #96776=VECTOR('',#356673,10.); #96777=VECTOR('',#356674,10.); #96778=VECTOR('',#356681,10.); #96779=VECTOR('',#356684,10.); #96780=VECTOR('',#356685,10.); #96781=VECTOR('',#356686,10.); #96782=VECTOR('',#356701,0.465); #96783=VECTOR('',#356708,0.499999999999998); #96784=VECTOR('',#356719,0.139999999999998); #96785=VECTOR('',#356726,0.175000000000001); #96786=VECTOR('',#356737,0.14); #96787=VECTOR('',#356744,0.175); #96788=VECTOR('',#356755,0.139999999999998); #96789=VECTOR('',#356762,0.175000000000001); #96790=VECTOR('',#356773,0.139999999999998); #96791=VECTOR('',#356780,0.175000000000001); #96792=VECTOR('',#356791,0.139999999999998); #96793=VECTOR('',#356798,0.175000000000001); #96794=VECTOR('',#356809,0.14); #96795=VECTOR('',#356816,0.175); #96796=VECTOR('',#356827,0.139999999999998); #96797=VECTOR('',#356834,0.175000000000001); #96798=VECTOR('',#356845,0.139999999999998); #96799=VECTOR('',#356852,0.175000000000001); #96800=VECTOR('',#356863,0.139999999999998); #96801=VECTOR('',#356870,0.175000000000001); #96802=VECTOR('',#356881,0.14); #96803=VECTOR('',#356888,0.175000000000001); #96804=VECTOR('',#356899,0.139999999999998); #96805=VECTOR('',#356906,0.175000000000001); #96806=VECTOR('',#356917,0.139999999999998); #96807=VECTOR('',#356924,0.175000000000001); #96808=VECTOR('',#356935,0.139999999999998); #96809=VECTOR('',#356942,0.175000000000001); #96810=VECTOR('',#356953,0.14); #96811=VECTOR('',#356960,0.175); #96812=VECTOR('',#356971,0.139999999999998); #96813=VECTOR('',#356978,0.175000000000001); #96814=VECTOR('',#356989,0.139999999999998); #96815=VECTOR('',#356996,0.175000000000001); #96816=VECTOR('',#357007,0.140000000000002); #96817=VECTOR('',#357014,0.175000000000001); #96818=VECTOR('',#357025,0.14); #96819=VECTOR('',#357032,0.175); #96820=VECTOR('',#357043,0.139999999999998); #96821=VECTOR('',#357050,0.175000000000001); #96822=VECTOR('',#357061,0.139999999999998); #96823=VECTOR('',#357068,0.175000000000001); #96824=VECTOR('',#357079,0.139999999999998); #96825=VECTOR('',#357086,0.175000000000001); #96826=VECTOR('',#357097,0.14); #96827=VECTOR('',#357104,0.175); #96828=VECTOR('',#357115,0.139999999999998); #96829=VECTOR('',#357122,0.175000000000001); #96830=VECTOR('',#357133,0.139999999999998); #96831=VECTOR('',#357140,0.175000000000001); #96832=VECTOR('',#357151,0.114999999999998); #96833=VECTOR('',#357158,0.150000000000001); #96834=VECTOR('',#357169,0.14); #96835=VECTOR('',#357176,0.175); #96836=VECTOR('',#357187,0.140000000000002); #96837=VECTOR('',#357194,0.175000000000001); #96838=VECTOR('',#357205,0.139999999999998); #96839=VECTOR('',#357212,0.175000000000001); #96840=VECTOR('',#357223,0.114999999999998); #96841=VECTOR('',#357230,0.150000000000001); #96842=VECTOR('',#357241,0.14); #96843=VECTOR('',#357248,0.175); #96844=VECTOR('',#357259,0.114999999999998); #96845=VECTOR('',#357266,0.150000000000001); #96846=VECTOR('',#357277,0.514999999999999); #96847=VECTOR('',#357284,0.550000000000002); #96848=VECTOR('',#357295,0.139999999999998); #96849=VECTOR('',#357302,0.175000000000001); #96850=VECTOR('',#357313,0.140000000000002); #96851=VECTOR('',#357320,0.175000000000001); #96852=VECTOR('',#357331,0.139999999999998); #96853=VECTOR('',#357338,0.175000000000001); #96854=VECTOR('',#357349,0.139999999999998); #96855=VECTOR('',#357356,0.175000000000001); #96856=VECTOR('',#357367,0.139999999999998); #96857=VECTOR('',#357374,0.175000000000001); #96858=VECTOR('',#357385,0.140000000000002); #96859=VECTOR('',#357392,0.175000000000001); #96860=VECTOR('',#357403,0.139999999999998); #96861=VECTOR('',#357410,0.175000000000001); #96862=VECTOR('',#357421,0.139999999999998); #96863=VECTOR('',#357428,0.175000000000001); #96864=VECTOR('',#357439,0.139999999999998); #96865=VECTOR('',#357446,0.175000000000001); #96866=VECTOR('',#357457,0.140000000000002); #96867=VECTOR('',#357464,0.175000000000001); #96868=VECTOR('',#357475,0.139999999999998); #96869=VECTOR('',#357482,0.175000000000001); #96870=VECTOR('',#357493,0.139999999999998); #96871=VECTOR('',#357500,0.175000000000001); #96872=VECTOR('',#357511,0.139999999999998); #96873=VECTOR('',#357518,0.175000000000001); #96874=VECTOR('',#357529,0.140000000000002); #96875=VECTOR('',#357536,0.175000000000001); #96876=VECTOR('',#357547,0.139999999999998); #96877=VECTOR('',#357554,0.175000000000001); #96878=VECTOR('',#357565,0.465); #96879=VECTOR('',#357572,0.499999999999998); #96880=VECTOR('',#357583,0.139999999999998); #96881=VECTOR('',#357590,0.175000000000001); #96882=VECTOR('',#357601,0.140000000000002); #96883=VECTOR('',#357608,0.175000000000001); #96884=VECTOR('',#357619,0.139999999999998); #96885=VECTOR('',#357626,0.175000000000001); #96886=VECTOR('',#357637,0.139999999999998); #96887=VECTOR('',#357644,0.175000000000001); #96888=VECTOR('',#357655,0.14); #96889=VECTOR('',#357662,0.175000000000001); #96890=VECTOR('',#357673,0.140000000000002); #96891=VECTOR('',#357680,0.175000000000001); #96892=VECTOR('',#357691,0.139999999999998); #96893=VECTOR('',#357698,0.175000000000001); #96894=VECTOR('',#357709,0.139999999999998); #96895=VECTOR('',#357716,0.175000000000001); #96896=VECTOR('',#357727,0.139999999999998); #96897=VECTOR('',#357734,0.175000000000001); #96898=VECTOR('',#357745,0.140000000000002); #96899=VECTOR('',#357752,0.175000000000001); #96900=VECTOR('',#357763,0.139999999999998); #96901=VECTOR('',#357770,0.175000000000001); #96902=VECTOR('',#357781,0.139999999999998); #96903=VECTOR('',#357788,0.175000000000001); #96904=VECTOR('',#357799,0.139999999999998); #96905=VECTOR('',#357806,0.175000000000001); #96906=VECTOR('',#357817,0.140000000000002); #96907=VECTOR('',#357824,0.175000000000001); #96908=VECTOR('',#357835,0.139999999999998); #96909=VECTOR('',#357842,0.175000000000001); #96910=VECTOR('',#357853,0.465); #96911=VECTOR('',#357860,0.499999999999998); #96912=VECTOR('',#357871,0.14); #96913=VECTOR('',#357878,0.175000000000001); #96914=VECTOR('',#357889,0.140000000000002); #96915=VECTOR('',#357896,0.175000000000001); #96916=VECTOR('',#357907,0.14); #96917=VECTOR('',#357914,0.175000000000001); #96918=VECTOR('',#357925,0.139999999999998); #96919=VECTOR('',#357932,0.175000000000001); #96920=VECTOR('',#357943,0.14); #96921=VECTOR('',#357950,0.175000000000001); #96922=VECTOR('',#357961,0.140000000000002); #96923=VECTOR('',#357968,0.175000000000001); #96924=VECTOR('',#357979,0.14); #96925=VECTOR('',#357986,0.175000000000001); #96926=VECTOR('',#357997,0.139999999999998); #96927=VECTOR('',#358004,0.175000000000001); #96928=VECTOR('',#358015,0.14); #96929=VECTOR('',#358022,0.175000000000001); #96930=VECTOR('',#358033,0.140000000000002); #96931=VECTOR('',#358040,0.175000000000001); #96932=VECTOR('',#358051,0.14); #96933=VECTOR('',#358058,0.175000000000001); #96934=VECTOR('',#358069,0.139999999999998); #96935=VECTOR('',#358076,0.175000000000001); #96936=VECTOR('',#358087,0.14); #96937=VECTOR('',#358094,0.175000000000001); #96938=VECTOR('',#358105,0.140000000000002); #96939=VECTOR('',#358112,0.175000000000001); #96940=VECTOR('',#358123,0.14); #96941=VECTOR('',#358130,0.175000000000001); #96942=VECTOR('',#358141,0.139999999999998); #96943=VECTOR('',#358148,0.175000000000001); #96944=VECTOR('',#358159,0.14); #96945=VECTOR('',#358166,0.175000000000001); #96946=VECTOR('',#358177,0.14); #96947=VECTOR('',#358184,0.175); #96948=VECTOR('',#358195,0.14); #96949=VECTOR('',#358202,0.175000000000001); #96950=VECTOR('',#358213,0.139999999999998); #96951=VECTOR('',#358220,0.175000000000001); #96952=VECTOR('',#358231,0.14); #96953=VECTOR('',#358238,0.175000000000001); #96954=VECTOR('',#358249,0.14); #96955=VECTOR('',#358256,0.175000000000001); #96956=VECTOR('',#358267,0.14); #96957=VECTOR('',#358274,0.175000000000001); #96958=VECTOR('',#358285,0.139999999999998); #96959=VECTOR('',#358292,0.175000000000001); #96960=VECTOR('',#358303,0.139999999999998); #96961=VECTOR('',#358310,0.175000000000001); #96962=VECTOR('',#358321,0.14); #96963=VECTOR('',#358328,0.175); #96964=VECTOR('',#358339,0.139999999999998); #96965=VECTOR('',#358346,0.175000000000001); #96966=VECTOR('',#358357,0.139999999999998); #96967=VECTOR('',#358364,0.175000000000001); #96968=VECTOR('',#358375,0.139999999999998); #96969=VECTOR('',#358382,0.175000000000001); #96970=VECTOR('',#358393,0.14); #96971=VECTOR('',#358400,0.175000000000001); #96972=VECTOR('',#358411,0.139999999999998); #96973=VECTOR('',#358418,0.175000000000001); #96974=VECTOR('',#358429,0.565000000000002); #96975=VECTOR('',#358436,0.600000000000001); #96976=VECTOR('',#358447,0.140000000000002); #96977=VECTOR('',#358454,0.175000000000001); #96978=VECTOR('',#358465,0.139999999999998); #96979=VECTOR('',#358472,0.175000000000001); #96980=VECTOR('',#358483,0.140000000000002); #96981=VECTOR('',#358490,0.175000000000001); #96982=VECTOR('',#358501,0.139999999999998); #96983=VECTOR('',#358508,0.175000000000001); #96984=VECTOR('',#358519,0.140000000000002); #96985=VECTOR('',#358526,0.175000000000001); #96986=VECTOR('',#358537,0.139999999999998); #96987=VECTOR('',#358544,0.175000000000001); #96988=VECTOR('',#358555,0.140000000000002); #96989=VECTOR('',#358562,0.175000000000001); #96990=VECTOR('',#358573,0.139999999999998); #96991=VECTOR('',#358580,0.175000000000001); #96992=VECTOR('',#358591,0.140000000000002); #96993=VECTOR('',#358598,0.175000000000001); #96994=VECTOR('',#358609,0.139999999999998); #96995=VECTOR('',#358616,0.175000000000001); #96996=VECTOR('',#358627,0.139999999999998); #96997=VECTOR('',#358634,0.175000000000001); #96998=VECTOR('',#358645,0.139999999999998); #96999=VECTOR('',#358652,0.175000000000001); #97000=VECTOR('',#358663,0.139999999999998); #97001=VECTOR('',#358670,0.175000000000001); #97002=VECTOR('',#358681,0.139999999999998); #97003=VECTOR('',#358688,0.175000000000001); #97004=VECTOR('',#358699,0.140000000000002); #97005=VECTOR('',#358706,0.175000000000001); #97006=VECTOR('',#358717,0.465); #97007=VECTOR('',#358724,0.5); #97008=VECTOR('',#358735,0.14); #97009=VECTOR('',#358742,0.175000000000001); #97010=VECTOR('',#358753,0.139999999999998); #97011=VECTOR('',#358760,0.175000000000001); #97012=VECTOR('',#358771,0.14); #97013=VECTOR('',#358778,0.175000000000001); #97014=VECTOR('',#358789,0.139999999999998); #97015=VECTOR('',#358796,0.175000000000001); #97016=VECTOR('',#358807,0.14); #97017=VECTOR('',#358814,0.175000000000001); #97018=VECTOR('',#358825,0.139999999999998); #97019=VECTOR('',#358832,0.175000000000001); #97020=VECTOR('',#358843,0.14); #97021=VECTOR('',#358850,0.175000000000001); #97022=VECTOR('',#358861,0.139999999999998); #97023=VECTOR('',#358868,0.175000000000001); #97024=VECTOR('',#358879,0.14); #97025=VECTOR('',#358886,0.175000000000001); #97026=VECTOR('',#358897,0.139999999999998); #97027=VECTOR('',#358904,0.175000000000001); #97028=VECTOR('',#358915,0.14); #97029=VECTOR('',#358922,0.175000000000001); #97030=VECTOR('',#358933,0.139999999999998); #97031=VECTOR('',#358940,0.175000000000001); #97032=VECTOR('',#358951,0.14); #97033=VECTOR('',#358958,0.175000000000001); #97034=VECTOR('',#358969,0.139999999999998); #97035=VECTOR('',#358976,0.175000000000001); #97036=VECTOR('',#358987,0.14); #97037=VECTOR('',#358994,0.175000000000001); #97038=VECTOR('',#359005,0.514999999999999); #97039=VECTOR('',#359012,0.550000000000002); #97040=VECTOR('',#359023,0.140000000000002); #97041=VECTOR('',#359030,0.175000000000001); #97042=VECTOR('',#359041,0.139999999999998); #97043=VECTOR('',#359048,0.175000000000001); #97044=VECTOR('',#359059,0.140000000000002); #97045=VECTOR('',#359066,0.175000000000001); #97046=VECTOR('',#359077,0.139999999999998); #97047=VECTOR('',#359084,0.175000000000001); #97048=VECTOR('',#359095,0.140000000000002); #97049=VECTOR('',#359102,0.175000000000001); #97050=VECTOR('',#359113,0.139999999999998); #97051=VECTOR('',#359120,0.175000000000001); #97052=VECTOR('',#359131,0.140000000000002); #97053=VECTOR('',#359138,0.175000000000001); #97054=VECTOR('',#359149,0.139999999999998); #97055=VECTOR('',#359156,0.175000000000001); #97056=VECTOR('',#359167,0.140000000000002); #97057=VECTOR('',#359174,0.175000000000001); #97058=VECTOR('',#359185,0.139999999999998); #97059=VECTOR('',#359192,0.175000000000001); #97060=VECTOR('',#359203,0.140000000000002); #97061=VECTOR('',#359210,0.175000000000001); #97062=VECTOR('',#359221,0.139999999999998); #97063=VECTOR('',#359228,0.175000000000001); #97064=VECTOR('',#359239,0.140000000000002); #97065=VECTOR('',#359246,0.175000000000001); #97066=VECTOR('',#359257,0.139999999999998); #97067=VECTOR('',#359264,0.175000000000001); #97068=VECTOR('',#359275,0.140000000000002); #97069=VECTOR('',#359282,0.175000000000001); #97070=VECTOR('',#359293,0.465); #97071=VECTOR('',#359300,0.5); #97072=VECTOR('',#359311,0.140000000000002); #97073=VECTOR('',#359318,0.175000000000001); #97074=VECTOR('',#359329,0.139999999999998); #97075=VECTOR('',#359336,0.175000000000001); #97076=VECTOR('',#359347,0.140000000000002); #97077=VECTOR('',#359354,0.175000000000001); #97078=VECTOR('',#359365,0.139999999999998); #97079=VECTOR('',#359372,0.175000000000001); #97080=VECTOR('',#359383,0.140000000000002); #97081=VECTOR('',#359390,0.175000000000001); #97082=VECTOR('',#359401,0.139999999999998); #97083=VECTOR('',#359408,0.175000000000001); #97084=VECTOR('',#359419,0.140000000000002); #97085=VECTOR('',#359426,0.175000000000001); #97086=VECTOR('',#359437,0.139999999999998); #97087=VECTOR('',#359444,0.175000000000001); #97088=VECTOR('',#359455,0.140000000000002); #97089=VECTOR('',#359462,0.175000000000001); #97090=VECTOR('',#359473,0.139999999999998); #97091=VECTOR('',#359480,0.175000000000001); #97092=VECTOR('',#359491,0.140000000000002); #97093=VECTOR('',#359498,0.175000000000001); #97094=VECTOR('',#359509,0.139999999999998); #97095=VECTOR('',#359516,0.175000000000001); #97096=VECTOR('',#359527,0.140000000000002); #97097=VECTOR('',#359534,0.175000000000001); #97098=VECTOR('',#359545,0.140000000000002); #97099=VECTOR('',#359552,0.175000000000001); #97100=VECTOR('',#359563,0.140000000000002); #97101=VECTOR('',#359570,0.175000000000001); #97102=VECTOR('',#359581,0.564999999999998); #97103=VECTOR('',#359588,0.600000000000001); #97104=VECTOR('',#359601,0.564999999999998); #97105=VECTOR('',#359606,10.); #97106=VECTOR('',#359607,10.); #97107=VECTOR('',#359608,10.); #97108=VECTOR('',#359609,10.); #97109=VECTOR('',#359616,10.); #97110=VECTOR('',#359629,10.); #97111=VECTOR('',#359630,10.); #97112=VECTOR('',#359631,10.); #97113=VECTOR('',#359632,10.); #97114=VECTOR('',#359635,10.); #97115=VECTOR('',#359636,10.); #97116=VECTOR('',#359637,10.); #97117=VECTOR('',#359640,10.); #97118=VECTOR('',#359641,10.); #97119=VECTOR('',#359642,10.); #97120=VECTOR('',#359645,10.); #97121=VECTOR('',#359646,10.); #97122=VECTOR('',#359653,10.); #97123=VECTOR('',#359654,10.); #97124=VECTOR('',#359655,10.); #97125=VECTOR('',#359656,10.); #97126=VECTOR('',#359659,10.); #97127=VECTOR('',#359660,10.); #97128=VECTOR('',#359661,10.); #97129=VECTOR('',#359664,10.); #97130=VECTOR('',#359665,10.); #97131=VECTOR('',#359666,10.); #97132=VECTOR('',#359669,10.); #97133=VECTOR('',#359670,10.); #97134=VECTOR('',#359677,10.); #97135=VECTOR('',#359678,10.); #97136=VECTOR('',#359679,10.); #97137=VECTOR('',#359680,10.); #97138=VECTOR('',#359683,10.); #97139=VECTOR('',#359684,10.); #97140=VECTOR('',#359685,10.); #97141=VECTOR('',#359688,10.); #97142=VECTOR('',#359689,10.); #97143=VECTOR('',#359690,10.); #97144=VECTOR('',#359693,10.); #97145=VECTOR('',#359694,10.); #97146=VECTOR('',#359701,10.); #97147=VECTOR('',#359702,10.); #97148=VECTOR('',#359703,10.); #97149=VECTOR('',#359704,10.); #97150=VECTOR('',#359707,10.); #97151=VECTOR('',#359708,10.); #97152=VECTOR('',#359709,10.); #97153=VECTOR('',#359712,10.); #97154=VECTOR('',#359713,10.); #97155=VECTOR('',#359714,10.); #97156=VECTOR('',#359717,10.); #97157=VECTOR('',#359718,10.); #97158=VECTOR('',#359725,10.); #97159=VECTOR('',#359726,10.); #97160=VECTOR('',#359727,10.); #97161=VECTOR('',#359728,10.); #97162=VECTOR('',#359731,10.); #97163=VECTOR('',#359732,10.); #97164=VECTOR('',#359733,10.); #97165=VECTOR('',#359736,10.); #97166=VECTOR('',#359737,10.); #97167=VECTOR('',#359738,10.); #97168=VECTOR('',#359741,10.); #97169=VECTOR('',#359742,10.); #97170=VECTOR('',#359749,10.); #97171=VECTOR('',#359750,10.); #97172=VECTOR('',#359751,10.); #97173=VECTOR('',#359752,10.); #97174=VECTOR('',#359755,10.); #97175=VECTOR('',#359756,10.); #97176=VECTOR('',#359757,10.); #97177=VECTOR('',#359760,10.); #97178=VECTOR('',#359761,10.); #97179=VECTOR('',#359762,10.); #97180=VECTOR('',#359765,10.); #97181=VECTOR('',#359766,10.); #97182=VECTOR('',#359773,10.); #97183=VECTOR('',#359774,10.); #97184=VECTOR('',#359775,10.); #97185=VECTOR('',#359776,10.); #97186=VECTOR('',#359779,10.); #97187=VECTOR('',#359780,10.); #97188=VECTOR('',#359781,10.); #97189=VECTOR('',#359784,10.); #97190=VECTOR('',#359785,10.); #97191=VECTOR('',#359786,10.); #97192=VECTOR('',#359789,10.); #97193=VECTOR('',#359790,10.); #97194=VECTOR('',#359797,10.); #97195=VECTOR('',#359798,10.); #97196=VECTOR('',#359799,10.); #97197=VECTOR('',#359800,10.); #97198=VECTOR('',#359803,10.); #97199=VECTOR('',#359804,10.); #97200=VECTOR('',#359805,10.); #97201=VECTOR('',#359808,10.); #97202=VECTOR('',#359809,10.); #97203=VECTOR('',#359810,10.); #97204=VECTOR('',#359813,10.); #97205=VECTOR('',#359814,10.); #97206=VECTOR('',#359821,10.); #97207=VECTOR('',#359822,10.); #97208=VECTOR('',#359823,10.); #97209=VECTOR('',#359824,10.); #97210=VECTOR('',#359827,10.); #97211=VECTOR('',#359828,10.); #97212=VECTOR('',#359829,10.); #97213=VECTOR('',#359832,10.); #97214=VECTOR('',#359833,10.); #97215=VECTOR('',#359834,10.); #97216=VECTOR('',#359837,10.); #97217=VECTOR('',#359838,10.); #97218=VECTOR('',#359845,10.); #97219=VECTOR('',#359846,10.); #97220=VECTOR('',#359847,10.); #97221=VECTOR('',#359848,10.); #97222=VECTOR('',#359851,10.); #97223=VECTOR('',#359852,10.); #97224=VECTOR('',#359853,10.); #97225=VECTOR('',#359856,10.); #97226=VECTOR('',#359857,10.); #97227=VECTOR('',#359858,10.); #97228=VECTOR('',#359861,10.); #97229=VECTOR('',#359862,10.); #97230=VECTOR('',#359871,0.565000000000002); #97231=VECTOR('',#359876,10.); #97232=VECTOR('',#359877,10.); #97233=VECTOR('',#359878,10.); #97234=VECTOR('',#359879,10.); #97235=VECTOR('',#359886,10.); #97236=VECTOR('',#359901,0.140000000000002); #97237=VECTOR('',#359908,0.140000000000002); #97238=VECTOR('',#359913,10.); #97239=VECTOR('',#359914,10.); #97240=VECTOR('',#359915,10.); #97241=VECTOR('',#359916,10.); #97242=VECTOR('',#359923,10.); #97243=VECTOR('',#359930,10.); #97244=VECTOR('',#359933,10.); #97245=VECTOR('',#359934,10.); #97246=VECTOR('',#359935,10.); #97247=VECTOR('',#359942,10.); #97248=VECTOR('',#359949,10.); #97249=VECTOR('',#359952,10.); #97250=VECTOR('',#359953,10.); #97251=VECTOR('',#359954,10.); #97252=VECTOR('',#359969,0.514999999999999); #97253=VECTOR('',#359974,10.); #97254=VECTOR('',#359975,10.); #97255=VECTOR('',#359976,10.); #97256=VECTOR('',#359977,10.); #97257=VECTOR('',#359984,10.); #97258=VECTOR('',#359999,0.465); #97259=VECTOR('',#360004,10.); #97260=VECTOR('',#360005,10.); #97261=VECTOR('',#360006,10.); #97262=VECTOR('',#360007,10.); #97263=VECTOR('',#360014,10.); #97264=VECTOR('',#360029,0.139999999999998); #97265=VECTOR('',#360034,10.); #97266=VECTOR('',#360035,10.); #97267=VECTOR('',#360036,10.); #97268=VECTOR('',#360037,10.); #97269=VECTOR('',#360044,10.); #97270=VECTOR('',#360047,10.); #97271=VECTOR('',#360048,10.); #97272=VECTOR('',#360049,10.); #97273=VECTOR('',#360056,10.); #97274=VECTOR('',#360059,10.); #97275=VECTOR('',#360060,10.); #97276=VECTOR('',#360061,10.); #97277=VECTOR('',#360064,10.); #97278=VECTOR('',#360065,10.); #97279=VECTOR('',#360066,10.); #97280=VECTOR('',#360069,10.); #97281=VECTOR('',#360070,10.); #97282=VECTOR('',#360071,10.); #97283=VECTOR('',#360074,10.); #97284=VECTOR('',#360075,10.); #97285=VECTOR('',#360076,10.); #97286=VECTOR('',#360079,10.); #97287=VECTOR('',#360080,10.); #97288=VECTOR('',#360081,10.); #97289=VECTOR('',#360084,10.); #97290=VECTOR('',#360085,10.); #97291=VECTOR('',#360086,10.); #97292=VECTOR('',#360089,10.); #97293=VECTOR('',#360090,10.); #97294=VECTOR('',#360091,10.); #97295=VECTOR('',#360098,10.); #97296=VECTOR('',#360113,0.139999999999998); #97297=VECTOR('',#360118,10.); #97298=VECTOR('',#360119,10.); #97299=VECTOR('',#360120,10.); #97300=VECTOR('',#360121,10.); #97301=VECTOR('',#360128,10.); #97302=VECTOR('',#360131,10.); #97303=VECTOR('',#360132,10.); #97304=VECTOR('',#360133,10.); #97305=VECTOR('',#360136,10.); #97306=VECTOR('',#360137,10.); #97307=VECTOR('',#360138,10.); #97308=VECTOR('',#360141,10.); #97309=VECTOR('',#360142,10.); #97310=VECTOR('',#360143,10.); #97311=VECTOR('',#360146,10.); #97312=VECTOR('',#360147,10.); #97313=VECTOR('',#360148,10.); #97314=VECTOR('',#360151,10.); #97315=VECTOR('',#360152,10.); #97316=VECTOR('',#360153,10.); #97317=VECTOR('',#360156,10.); #97318=VECTOR('',#360157,10.); #97319=VECTOR('',#360158,10.); #97320=VECTOR('',#360161,10.); #97321=VECTOR('',#360162,10.); #97322=VECTOR('',#360163,10.); #97323=VECTOR('',#360176,10.); #97324=VECTOR('',#360177,10.); #97325=VECTOR('',#360178,10.); #97326=VECTOR('',#360179,10.); #97327=VECTOR('',#360182,10.); #97328=VECTOR('',#360183,10.); #97329=VECTOR('',#360184,10.); #97330=VECTOR('',#360187,10.); #97331=VECTOR('',#360188,10.); #97332=VECTOR('',#360189,10.); #97333=VECTOR('',#360192,10.); #97334=VECTOR('',#360193,10.); #97335=VECTOR('',#360194,10.); #97336=VECTOR('',#360197,10.); #97337=VECTOR('',#360198,10.); #97338=VECTOR('',#360199,10.); #97339=VECTOR('',#360202,10.); #97340=VECTOR('',#360203,10.); #97341=VECTOR('',#360204,10.); #97342=VECTOR('',#360207,10.); #97343=VECTOR('',#360208,10.); #97344=VECTOR('',#360209,10.); #97345=VECTOR('',#360212,10.); #97346=VECTOR('',#360213,10.); #97347=VECTOR('',#360214,10.); #97348=VECTOR('',#360221,10.); #97349=VECTOR('',#360224,10.); #97350=VECTOR('',#360225,10.); #97351=VECTOR('',#360226,10.); #97352=VECTOR('',#360233,10.); #97353=VECTOR('',#360236,10.); #97354=VECTOR('',#360237,10.); #97355=VECTOR('',#360238,10.); #97356=VECTOR('',#360241,10.); #97357=VECTOR('',#360242,10.); #97358=VECTOR('',#360243,10.); #97359=VECTOR('',#360246,10.); #97360=VECTOR('',#360247,10.); #97361=VECTOR('',#360248,10.); #97362=VECTOR('',#360251,10.); #97363=VECTOR('',#360252,10.); #97364=VECTOR('',#360253,10.); #97365=VECTOR('',#360256,10.); #97366=VECTOR('',#360257,10.); #97367=VECTOR('',#360258,10.); #97368=VECTOR('',#360261,10.); #97369=VECTOR('',#360262,10.); #97370=VECTOR('',#360263,10.); #97371=VECTOR('',#360266,10.); #97372=VECTOR('',#360267,10.); #97373=VECTOR('',#360268,10.); #97374=VECTOR('',#360275,10.); #97375=VECTOR('',#360278,10.); #97376=VECTOR('',#360279,10.); #97377=VECTOR('',#360286,10.); #97378=VECTOR('',#360287,10.); #97379=VECTOR('',#360288,10.); #97380=VECTOR('',#360289,10.); #97381=VECTOR('',#360292,10.); #97382=VECTOR('',#360293,10.); #97383=VECTOR('',#360294,10.); #97384=VECTOR('',#360297,10.); #97385=VECTOR('',#360298,10.); #97386=VECTOR('',#360299,10.); #97387=VECTOR('',#360302,10.); #97388=VECTOR('',#360303,10.); #97389=VECTOR('',#360304,10.); #97390=VECTOR('',#360307,10.); #97391=VECTOR('',#360308,10.); #97392=VECTOR('',#360309,10.); #97393=VECTOR('',#360316,10.); #97394=VECTOR('',#360319,10.); #97395=VECTOR('',#360320,10.); #97396=VECTOR('',#360321,10.); #97397=VECTOR('',#360324,10.); #97398=VECTOR('',#360325,10.); #97399=VECTOR('',#360326,10.); #97400=VECTOR('',#360329,10.); #97401=VECTOR('',#360330,10.); #97402=VECTOR('',#360331,10.); #97403=VECTOR('',#360334,10.); #97404=VECTOR('',#360335,10.); #97405=VECTOR('',#360336,10.); #97406=VECTOR('',#360339,10.); #97407=VECTOR('',#360340,10.); #97408=VECTOR('',#360341,10.); #97409=VECTOR('',#360344,10.); #97410=VECTOR('',#360345,10.); #97411=VECTOR('',#360346,10.); #97412=VECTOR('',#360349,10.); #97413=VECTOR('',#360350,10.); #97414=VECTOR('',#360351,10.); #97415=VECTOR('',#360358,10.); #97416=VECTOR('',#360361,10.); #97417=VECTOR('',#360362,10.); #97418=VECTOR('',#360363,10.); #97419=VECTOR('',#360366,10.); #97420=VECTOR('',#360367,10.); #97421=VECTOR('',#360368,10.); #97422=VECTOR('',#360375,10.); #97423=VECTOR('',#360378,10.); #97424=VECTOR('',#360379,10.); #97425=VECTOR('',#360380,10.); #97426=VECTOR('',#360383,10.); #97427=VECTOR('',#360384,10.); #97428=VECTOR('',#360385,10.); #97429=VECTOR('',#360388,10.); #97430=VECTOR('',#360389,10.); #97431=VECTOR('',#360390,10.); #97432=VECTOR('',#360393,10.); #97433=VECTOR('',#360394,10.); #97434=VECTOR('',#360395,10.); #97435=VECTOR('',#360398,10.); #97436=VECTOR('',#360399,10.); #97437=VECTOR('',#360400,10.); #97438=VECTOR('',#360403,10.); #97439=VECTOR('',#360404,10.); #97440=VECTOR('',#360405,10.); #97441=VECTOR('',#360408,10.); #97442=VECTOR('',#360409,10.); #97443=VECTOR('',#360410,10.); #97444=VECTOR('',#360417,10.); #97445=VECTOR('',#360420,10.); #97446=VECTOR('',#360421,10.); #97447=VECTOR('',#360422,10.); #97448=VECTOR('',#360425,10.); #97449=VECTOR('',#360426,10.); #97450=VECTOR('',#360427,10.); #97451=VECTOR('',#360430,10.); #97452=VECTOR('',#360431,10.); #97453=VECTOR('',#360432,10.); #97454=VECTOR('',#360435,10.); #97455=VECTOR('',#360436,10.); #97456=VECTOR('',#360437,10.); #97457=VECTOR('',#360440,10.); #97458=VECTOR('',#360441,10.); #97459=VECTOR('',#360442,10.); #97460=VECTOR('',#360449,10.); #97461=VECTOR('',#360452,10.); #97462=VECTOR('',#360453,10.); #97463=VECTOR('',#360454,10.); #97464=VECTOR('',#360461,10.); #97465=VECTOR('',#360464,10.); #97466=VECTOR('',#360465,10.); #97467=VECTOR('',#360466,10.); #97468=VECTOR('',#360469,10.); #97469=VECTOR('',#360470,10.); #97470=VECTOR('',#360471,10.); #97471=VECTOR('',#360474,10.); #97472=VECTOR('',#360475,10.); #97473=VECTOR('',#360482,10.); #97474=VECTOR('',#360483,10.); #97475=VECTOR('',#360484,10.); #97476=VECTOR('',#360485,10.); #97477=VECTOR('',#360488,10.); #97478=VECTOR('',#360489,10.); #97479=VECTOR('',#360490,10.); #97480=VECTOR('',#360493,10.); #97481=VECTOR('',#360494,10.); #97482=VECTOR('',#360495,10.); #97483=VECTOR('',#360498,10.); #97484=VECTOR('',#360499,10.); #97485=VECTOR('',#360500,10.); #97486=VECTOR('',#360503,10.); #97487=VECTOR('',#360504,10.); #97488=VECTOR('',#360505,10.); #97489=VECTOR('',#360508,10.); #97490=VECTOR('',#360509,10.); #97491=VECTOR('',#360510,10.); #97492=VECTOR('',#360517,10.); #97493=VECTOR('',#360520,10.); #97494=VECTOR('',#360521,10.); #97495=VECTOR('',#360522,10.); #97496=VECTOR('',#360529,10.); #97497=VECTOR('',#360532,10.); #97498=VECTOR('',#360533,10.); #97499=VECTOR('',#360534,10.); #97500=VECTOR('',#360537,10.); #97501=VECTOR('',#360538,10.); #97502=VECTOR('',#360539,10.); #97503=VECTOR('',#360542,10.); #97504=VECTOR('',#360543,10.); #97505=VECTOR('',#360544,10.); #97506=VECTOR('',#360547,10.); #97507=VECTOR('',#360548,10.); #97508=VECTOR('',#360549,10.); #97509=VECTOR('',#360552,10.); #97510=VECTOR('',#360553,10.); #97511=VECTOR('',#360554,10.); #97512=VECTOR('',#360561,10.); #97513=VECTOR('',#360564,10.); #97514=VECTOR('',#360565,10.); #97515=VECTOR('',#360566,10.); #97516=VECTOR('',#360569,10.); #97517=VECTOR('',#360570,10.); #97518=VECTOR('',#360571,10.); #97519=VECTOR('',#360574,10.); #97520=VECTOR('',#360575,10.); #97521=VECTOR('',#360576,10.); #97522=VECTOR('',#360579,10.); #97523=VECTOR('',#360580,10.); #97524=VECTOR('',#360581,10.); #97525=VECTOR('',#360584,10.); #97526=VECTOR('',#360585,10.); #97527=VECTOR('',#360586,10.); #97528=VECTOR('',#360589,10.); #97529=VECTOR('',#360590,10.); #97530=VECTOR('',#360591,10.); #97531=VECTOR('',#360594,10.); #97532=VECTOR('',#360595,10.); #97533=VECTOR('',#360596,10.); #97534=VECTOR('',#360603,10.); #97535=VECTOR('',#360606,10.); #97536=VECTOR('',#360607,10.); #97537=VECTOR('',#360608,10.); #97538=VECTOR('',#360611,10.); #97539=VECTOR('',#360612,10.); #97540=VECTOR('',#360613,10.); #97541=VECTOR('',#360620,10.); #97542=VECTOR('',#360623,10.); #97543=VECTOR('',#360624,10.); #97544=VECTOR('',#360625,10.); #97545=VECTOR('',#360628,10.); #97546=VECTOR('',#360629,10.); #97547=VECTOR('',#360630,10.); #97548=VECTOR('',#360633,10.); #97549=VECTOR('',#360634,10.); #97550=VECTOR('',#360635,10.); #97551=VECTOR('',#360638,10.); #97552=VECTOR('',#360639,10.); #97553=VECTOR('',#360640,10.); #97554=VECTOR('',#360643,10.); #97555=VECTOR('',#360644,10.); #97556=VECTOR('',#360645,10.); #97557=VECTOR('',#360648,10.); #97558=VECTOR('',#360649,10.); #97559=VECTOR('',#360650,10.); #97560=VECTOR('',#360653,10.); #97561=VECTOR('',#360654,10.); #97562=VECTOR('',#360655,10.); #97563=VECTOR('',#360662,10.); #97564=VECTOR('',#360665,10.); #97565=VECTOR('',#360666,10.); #97566=VECTOR('',#360667,10.); #97567=VECTOR('',#360670,10.); #97568=VECTOR('',#360671,10.); #97569=VECTOR('',#360680,0.139999999999998); #97570=VECTOR('',#360685,10.); #97571=VECTOR('',#360686,10.); #97572=VECTOR('',#360687,10.); #97573=VECTOR('',#360688,10.); #97574=VECTOR('',#360695,10.); #97575=VECTOR('',#360698,10.); #97576=VECTOR('',#360699,10.); #97577=VECTOR('',#360700,10.); #97578=VECTOR('',#360703,10.); #97579=VECTOR('',#360704,10.); #97580=VECTOR('',#360705,10.); #97581=VECTOR('',#360712,10.); #97582=VECTOR('',#360715,10.); #97583=VECTOR('',#360716,10.); #97584=VECTOR('',#360717,10.); #97585=VECTOR('',#360720,10.); #97586=VECTOR('',#360721,10.); #97587=VECTOR('',#360722,10.); #97588=VECTOR('',#360729,10.); #97589=VECTOR('',#360732,10.); #97590=VECTOR('',#360733,10.); #97591=VECTOR('',#360734,10.); #97592=VECTOR('',#360737,10.); #97593=VECTOR('',#360738,10.); #97594=VECTOR('',#360739,10.); #97595=VECTOR('',#360742,10.); #97596=VECTOR('',#360743,10.); #97597=VECTOR('',#360744,10.); #97598=VECTOR('',#360747,10.); #97599=VECTOR('',#360748,10.); #97600=VECTOR('',#360749,10.); #97601=VECTOR('',#360752,10.); #97602=VECTOR('',#360753,10.); #97603=VECTOR('',#360754,10.); #97604=VECTOR('',#360757,10.); #97605=VECTOR('',#360758,10.); #97606=VECTOR('',#360759,10.); #97607=VECTOR('',#360762,10.); #97608=VECTOR('',#360763,10.); #97609=VECTOR('',#360764,10.); #97610=VECTOR('',#360767,10.); #97611=VECTOR('',#360768,10.); #97612=VECTOR('',#360769,10.); #97613=VECTOR('',#360776,10.); #97614=VECTOR('',#360779,10.); #97615=VECTOR('',#360780,10.); #97616=VECTOR('',#360781,10.); #97617=VECTOR('',#360784,10.); #97618=VECTOR('',#360785,10.); #97619=VECTOR('',#360786,10.); #97620=VECTOR('',#360793,10.); #97621=VECTOR('',#360796,10.); #97622=VECTOR('',#360797,10.); #97623=VECTOR('',#360798,10.); #97624=VECTOR('',#360813,0.139999999999998); #97625=VECTOR('',#360818,10.); #97626=VECTOR('',#360819,10.); #97627=VECTOR('',#360820,10.); #97628=VECTOR('',#360821,10.); #97629=VECTOR('',#360828,10.); #97630=VECTOR('',#360831,10.); #97631=VECTOR('',#360832,10.); #97632=VECTOR('',#360833,10.); #97633=VECTOR('',#360836,10.); #97634=VECTOR('',#360837,10.); #97635=VECTOR('',#360838,10.); #97636=VECTOR('',#360845,10.); #97637=VECTOR('',#360848,10.); #97638=VECTOR('',#360849,10.); #97639=VECTOR('',#360850,10.); #97640=VECTOR('',#360853,10.); #97641=VECTOR('',#360854,10.); #97642=VECTOR('',#360855,10.); #97643=VECTOR('',#360858,10.); #97644=VECTOR('',#360859,10.); #97645=VECTOR('',#360860,10.); #97646=VECTOR('',#360863,10.); #97647=VECTOR('',#360864,10.); #97648=VECTOR('',#360865,10.); #97649=VECTOR('',#360868,10.); #97650=VECTOR('',#360869,10.); #97651=VECTOR('',#360870,10.); #97652=VECTOR('',#360873,10.); #97653=VECTOR('',#360874,10.); #97654=VECTOR('',#360875,10.); #97655=VECTOR('',#360878,10.); #97656=VECTOR('',#360879,10.); #97657=VECTOR('',#360880,10.); #97658=VECTOR('',#360883,10.); #97659=VECTOR('',#360884,10.); #97660=VECTOR('',#360885,10.); #97661=VECTOR('',#360892,10.); #97662=VECTOR('',#360895,10.); #97663=VECTOR('',#360896,10.); #97664=VECTOR('',#360897,10.); #97665=VECTOR('',#360912,0.139999999999998); #97666=VECTOR('',#360917,10.); #97667=VECTOR('',#360918,10.); #97668=VECTOR('',#360919,10.); #97669=VECTOR('',#360920,10.); #97670=VECTOR('',#360927,10.); #97671=VECTOR('',#360930,10.); #97672=VECTOR('',#360931,10.); #97673=VECTOR('',#360932,10.); #97674=VECTOR('',#360935,10.); #97675=VECTOR('',#360936,10.); #97676=VECTOR('',#360937,10.); #97677=VECTOR('',#360940,10.); #97678=VECTOR('',#360941,10.); #97679=VECTOR('',#360942,10.); #97680=VECTOR('',#360945,10.); #97681=VECTOR('',#360946,10.); #97682=VECTOR('',#360947,10.); #97683=VECTOR('',#360950,10.); #97684=VECTOR('',#360951,10.); #97685=VECTOR('',#360952,10.); #97686=VECTOR('',#360955,10.); #97687=VECTOR('',#360956,10.); #97688=VECTOR('',#360957,10.); #97689=VECTOR('',#360960,10.); #97690=VECTOR('',#360961,10.); #97691=VECTOR('',#360962,10.); #97692=VECTOR('',#360969,10.); #97693=VECTOR('',#360972,10.); #97694=VECTOR('',#360973,10.); #97695=VECTOR('',#360974,10.); #97696=VECTOR('',#360989,0.139999999999998); #97697=VECTOR('',#360994,10.); #97698=VECTOR('',#360995,10.); #97699=VECTOR('',#360996,10.); #97700=VECTOR('',#360997,10.); #97701=VECTOR('',#361004,10.); #97702=VECTOR('',#361007,10.); #97703=VECTOR('',#361008,10.); #97704=VECTOR('',#361009,10.); #97705=VECTOR('',#361016,10.); #97706=VECTOR('',#361019,10.); #97707=VECTOR('',#361020,10.); #97708=VECTOR('',#361021,10.); #97709=VECTOR('',#361024,10.); #97710=VECTOR('',#361025,10.); #97711=VECTOR('',#361026,10.); #97712=VECTOR('',#361029,10.); #97713=VECTOR('',#361030,10.); #97714=VECTOR('',#361031,10.); #97715=VECTOR('',#361034,10.); #97716=VECTOR('',#361035,10.); #97717=VECTOR('',#361036,10.); #97718=VECTOR('',#361039,10.); #97719=VECTOR('',#361040,10.); #97720=VECTOR('',#361041,10.); #97721=VECTOR('',#361044,10.); #97722=VECTOR('',#361045,10.); #97723=VECTOR('',#361046,10.); #97724=VECTOR('',#361049,10.); #97725=VECTOR('',#361050,10.); #97726=VECTOR('',#361051,10.); #97727=VECTOR('',#361054,10.); #97728=VECTOR('',#361055,10.); #97729=VECTOR('',#361056,10.); #97730=VECTOR('',#361059,10.); #97731=VECTOR('',#361060,10.); #97732=VECTOR('',#361061,10.); #97733=VECTOR('',#361076,0.140000000000002); #97734=VECTOR('',#361083,0.140000000000002); #97735=VECTOR('',#361088,10.); #97736=VECTOR('',#361089,10.); #97737=VECTOR('',#361090,10.); #97738=VECTOR('',#361091,10.); #97739=VECTOR('',#361098,10.); #97740=VECTOR('',#361105,10.); #97741=VECTOR('',#361108,10.); #97742=VECTOR('',#361109,10.); #97743=VECTOR('',#361110,10.); #97744=VECTOR('',#361117,10.); #97745=VECTOR('',#361124,10.); #97746=VECTOR('',#361127,10.); #97747=VECTOR('',#361128,10.); #97748=VECTOR('',#361129,10.); #97749=VECTOR('',#361144,0.139999999999998); #97750=VECTOR('',#361149,10.); #97751=VECTOR('',#361150,10.); #97752=VECTOR('',#361151,10.); #97753=VECTOR('',#361152,10.); #97754=VECTOR('',#361159,10.); #97755=VECTOR('',#361162,10.); #97756=VECTOR('',#361163,10.); #97757=VECTOR('',#361164,10.); #97758=VECTOR('',#361167,10.); #97759=VECTOR('',#361168,10.); #97760=VECTOR('',#361169,10.); #97761=VECTOR('',#361176,10.); #97762=VECTOR('',#361179,10.); #97763=VECTOR('',#361180,10.); #97764=VECTOR('',#361181,10.); #97765=VECTOR('',#361184,10.); #97766=VECTOR('',#361185,10.); #97767=VECTOR('',#361186,10.); #97768=VECTOR('',#361189,10.); #97769=VECTOR('',#361190,10.); #97770=VECTOR('',#361191,10.); #97771=VECTOR('',#361194,10.); #97772=VECTOR('',#361195,10.); #97773=VECTOR('',#361196,10.); #97774=VECTOR('',#361199,10.); #97775=VECTOR('',#361200,10.); #97776=VECTOR('',#361201,10.); #97777=VECTOR('',#361204,10.); #97778=VECTOR('',#361205,10.); #97779=VECTOR('',#361206,10.); #97780=VECTOR('',#361209,10.); #97781=VECTOR('',#361210,10.); #97782=VECTOR('',#361211,10.); #97783=VECTOR('',#361214,10.); #97784=VECTOR('',#361215,10.); #97785=VECTOR('',#361216,10.); #97786=VECTOR('',#361223,10.); #97787=VECTOR('',#361226,10.); #97788=VECTOR('',#361227,10.); #97789=VECTOR('',#361228,10.); #97790=VECTOR('',#361243,0.139999999999998); #97791=VECTOR('',#361248,10.); #97792=VECTOR('',#361249,10.); #97793=VECTOR('',#361250,10.); #97794=VECTOR('',#361251,10.); #97795=VECTOR('',#361258,10.); #97796=VECTOR('',#361261,10.); #97797=VECTOR('',#361262,10.); #97798=VECTOR('',#361263,10.); #97799=VECTOR('',#361266,10.); #97800=VECTOR('',#361267,10.); #97801=VECTOR('',#361268,10.); #97802=VECTOR('',#361275,10.); #97803=VECTOR('',#361278,10.); #97804=VECTOR('',#361279,10.); #97805=VECTOR('',#361280,10.); #97806=VECTOR('',#361283,10.); #97807=VECTOR('',#361284,10.); #97808=VECTOR('',#361285,10.); #97809=VECTOR('',#361292,10.); #97810=VECTOR('',#361295,10.); #97811=VECTOR('',#361296,10.); #97812=VECTOR('',#361297,10.); #97813=VECTOR('',#361300,10.); #97814=VECTOR('',#361301,10.); #97815=VECTOR('',#361302,10.); #97816=VECTOR('',#361305,10.); #97817=VECTOR('',#361306,10.); #97818=VECTOR('',#361307,10.); #97819=VECTOR('',#361310,10.); #97820=VECTOR('',#361311,10.); #97821=VECTOR('',#361312,10.); #97822=VECTOR('',#361315,10.); #97823=VECTOR('',#361316,10.); #97824=VECTOR('',#361317,10.); #97825=VECTOR('',#361320,10.); #97826=VECTOR('',#361321,10.); #97827=VECTOR('',#361322,10.); #97828=VECTOR('',#361325,10.); #97829=VECTOR('',#361326,10.); #97830=VECTOR('',#361327,10.); #97831=VECTOR('',#361330,10.); #97832=VECTOR('',#361331,10.); #97833=VECTOR('',#361332,10.); #97834=VECTOR('',#361339,10.); #97835=VECTOR('',#361342,10.); #97836=VECTOR('',#361343,10.); #97837=VECTOR('',#361344,10.); #97838=VECTOR('',#361347,10.); #97839=VECTOR('',#361348,10.); #97840=VECTOR('',#361349,10.); #97841=VECTOR('',#361356,10.); #97842=VECTOR('',#361359,10.); #97843=VECTOR('',#361360,10.); #97844=VECTOR('',#361361,10.); #97845=VECTOR('',#361374,10.); #97846=VECTOR('',#361375,10.); #97847=VECTOR('',#361376,10.); #97848=VECTOR('',#361377,10.); #97849=VECTOR('',#361380,10.); #97850=VECTOR('',#361381,10.); #97851=VECTOR('',#361382,10.); #97852=VECTOR('',#361385,10.); #97853=VECTOR('',#361386,10.); #97854=VECTOR('',#361387,10.); #97855=VECTOR('',#361390,10.); #97856=VECTOR('',#361391,10.); #97857=VECTOR('',#361392,10.); #97858=VECTOR('',#361399,10.); #97859=VECTOR('',#361402,10.); #97860=VECTOR('',#361403,10.); #97861=VECTOR('',#361404,10.); #97862=VECTOR('',#361411,10.); #97863=VECTOR('',#361414,10.); #97864=VECTOR('',#361415,10.); #97865=VECTOR('',#361416,10.); #97866=VECTOR('',#361419,10.); #97867=VECTOR('',#361420,10.); #97868=VECTOR('',#361421,10.); #97869=VECTOR('',#361424,10.); #97870=VECTOR('',#361425,10.); #97871=VECTOR('',#361426,10.); #97872=VECTOR('',#361433,10.); #97873=VECTOR('',#361436,10.); #97874=VECTOR('',#361437,10.); #97875=VECTOR('',#361438,10.); #97876=VECTOR('',#361441,10.); #97877=VECTOR('',#361442,10.); #97878=VECTOR('',#361443,10.); #97879=VECTOR('',#361446,10.); #97880=VECTOR('',#361447,10.); #97881=VECTOR('',#361448,10.); #97882=VECTOR('',#361451,10.); #97883=VECTOR('',#361452,10.); #97884=VECTOR('',#361453,10.); #97885=VECTOR('',#361456,10.); #97886=VECTOR('',#361457,10.); #97887=VECTOR('',#361458,10.); #97888=VECTOR('',#361461,10.); #97889=VECTOR('',#361462,10.); #97890=VECTOR('',#361463,10.); #97891=VECTOR('',#361466,10.); #97892=VECTOR('',#361467,10.); #97893=VECTOR('',#361468,10.); #97894=VECTOR('',#361475,10.); #97895=VECTOR('',#361478,10.); #97896=VECTOR('',#361479,10.); #97897=VECTOR('',#361480,10.); #97898=VECTOR('',#361487,10.); #97899=VECTOR('',#361490,10.); #97900=VECTOR('',#361491,10.); #97901=VECTOR('',#361492,10.); #97902=VECTOR('',#361495,10.); #97903=VECTOR('',#361496,10.); #97904=VECTOR('',#361497,10.); #97905=VECTOR('',#361500,10.); #97906=VECTOR('',#361501,10.); #97907=VECTOR('',#361502,10.); #97908=VECTOR('',#361505,10.); #97909=VECTOR('',#361506,10.); #97910=VECTOR('',#361507,10.); #97911=VECTOR('',#361510,10.); #97912=VECTOR('',#361511,10.); #97913=VECTOR('',#361520,0.139999999999998); #97914=VECTOR('',#361525,10.); #97915=VECTOR('',#361526,10.); #97916=VECTOR('',#361527,10.); #97917=VECTOR('',#361528,10.); #97918=VECTOR('',#361535,10.); #97919=VECTOR('',#361538,10.); #97920=VECTOR('',#361539,10.); #97921=VECTOR('',#361540,10.); #97922=VECTOR('',#361543,10.); #97923=VECTOR('',#361544,10.); #97924=VECTOR('',#361545,10.); #97925=VECTOR('',#361552,10.); #97926=VECTOR('',#361555,10.); #97927=VECTOR('',#361556,10.); #97928=VECTOR('',#361557,10.); #97929=VECTOR('',#361564,10.); #97930=VECTOR('',#361567,10.); #97931=VECTOR('',#361568,10.); #97932=VECTOR('',#361569,10.); #97933=VECTOR('',#361576,10.); #97934=VECTOR('',#361579,10.); #97935=VECTOR('',#361580,10.); #97936=VECTOR('',#361581,10.); #97937=VECTOR('',#361584,10.); #97938=VECTOR('',#361585,10.); #97939=VECTOR('',#361586,10.); #97940=VECTOR('',#361589,10.); #97941=VECTOR('',#361590,10.); #97942=VECTOR('',#361591,10.); #97943=VECTOR('',#361594,10.); #97944=VECTOR('',#361595,10.); #97945=VECTOR('',#361596,10.); #97946=VECTOR('',#361599,10.); #97947=VECTOR('',#361600,10.); #97948=VECTOR('',#361601,10.); #97949=VECTOR('',#361604,10.); #97950=VECTOR('',#361605,10.); #97951=VECTOR('',#361606,10.); #97952=VECTOR('',#361609,10.); #97953=VECTOR('',#361610,10.); #97954=VECTOR('',#361611,10.); #97955=VECTOR('',#361618,10.); #97956=VECTOR('',#361621,10.); #97957=VECTOR('',#361622,10.); #97958=VECTOR('',#361623,10.); #97959=VECTOR('',#361626,10.); #97960=VECTOR('',#361627,10.); #97961=VECTOR('',#361628,10.); #97962=VECTOR('',#361631,10.); #97963=VECTOR('',#361632,10.); #97964=VECTOR('',#361633,10.); #97965=VECTOR('',#361636,10.); #97966=VECTOR('',#361637,10.); #97967=VECTOR('',#361638,10.); #97968=VECTOR('',#361645,10.); #97969=VECTOR('',#361648,10.); #97970=VECTOR('',#361649,10.); #97971=VECTOR('',#361650,10.); #97972=VECTOR('',#361665,0.139999999999998); #97973=VECTOR('',#361670,10.); #97974=VECTOR('',#361671,10.); #97975=VECTOR('',#361672,10.); #97976=VECTOR('',#361673,10.); #97977=VECTOR('',#361680,10.); #97978=VECTOR('',#361683,10.); #97979=VECTOR('',#361684,10.); #97980=VECTOR('',#361685,10.); #97981=VECTOR('',#361688,10.); #97982=VECTOR('',#361689,10.); #97983=VECTOR('',#361690,10.); #97984=VECTOR('',#361693,10.); #97985=VECTOR('',#361694,10.); #97986=VECTOR('',#361695,10.); #97987=VECTOR('',#361698,10.); #97988=VECTOR('',#361699,10.); #97989=VECTOR('',#361700,10.); #97990=VECTOR('',#361703,10.); #97991=VECTOR('',#361704,10.); #97992=VECTOR('',#361705,10.); #97993=VECTOR('',#361708,10.); #97994=VECTOR('',#361709,10.); #97995=VECTOR('',#361710,10.); #97996=VECTOR('',#361713,10.); #97997=VECTOR('',#361714,10.); #97998=VECTOR('',#361715,10.); #97999=VECTOR('',#361722,10.); #98000=VECTOR('',#361735,10.); #98001=VECTOR('',#361736,10.); #98002=VECTOR('',#361737,10.); #98003=VECTOR('',#361738,10.); #98004=VECTOR('',#361741,10.); #98005=VECTOR('',#361742,10.); #98006=VECTOR('',#361743,10.); #98007=VECTOR('',#361746,10.); #98008=VECTOR('',#361747,10.); #98009=VECTOR('',#361748,10.); #98010=VECTOR('',#361751,10.); #98011=VECTOR('',#361752,10.); #98012=VECTOR('',#361753,10.); #98013=VECTOR('',#361756,10.); #98014=VECTOR('',#361757,10.); #98015=VECTOR('',#361758,10.); #98016=VECTOR('',#361765,10.); #98017=VECTOR('',#361768,10.); #98018=VECTOR('',#361769,10.); #98019=VECTOR('',#361770,10.); #98020=VECTOR('',#361773,10.); #98021=VECTOR('',#361774,10.); #98022=VECTOR('',#361775,10.); #98023=VECTOR('',#361778,10.); #98024=VECTOR('',#361779,10.); #98025=VECTOR('',#361780,10.); #98026=VECTOR('',#361787,10.); #98027=VECTOR('',#361790,10.); #98028=VECTOR('',#361791,10.); #98029=VECTOR('',#361792,10.); #98030=VECTOR('',#361799,10.); #98031=VECTOR('',#361802,10.); #98032=VECTOR('',#361803,10.); #98033=VECTOR('',#361804,10.); #98034=VECTOR('',#361811,10.); #98035=VECTOR('',#361814,10.); #98036=VECTOR('',#361815,10.); #98037=VECTOR('',#361816,10.); #98038=VECTOR('',#361819,10.); #98039=VECTOR('',#361820,10.); #98040=VECTOR('',#361821,10.); #98041=VECTOR('',#361828,10.); #98042=VECTOR('',#361831,10.); #98043=VECTOR('',#361832,10.); #98044=VECTOR('',#361833,10.); #98045=VECTOR('',#361836,10.); #98046=VECTOR('',#361837,10.); #98047=VECTOR('',#361838,10.); #98048=VECTOR('',#361841,10.); #98049=VECTOR('',#361842,10.); #98050=VECTOR('',#361843,10.); #98051=VECTOR('',#361846,10.); #98052=VECTOR('',#361847,10.); #98053=VECTOR('',#361848,10.); #98054=VECTOR('',#361851,10.); #98055=VECTOR('',#361852,10.); #98056=VECTOR('',#361853,10.); #98057=VECTOR('',#361856,10.); #98058=VECTOR('',#361857,10.); #98059=VECTOR('',#361858,10.); #98060=VECTOR('',#361861,10.); #98061=VECTOR('',#361862,10.); #98062=VECTOR('',#361863,10.); #98063=VECTOR('',#361870,10.); #98064=VECTOR('',#361873,10.); #98065=VECTOR('',#361874,10.); #98066=VECTOR('',#361875,10.); #98067=VECTOR('',#361878,10.); #98068=VECTOR('',#361879,10.); #98069=VECTOR('',#361880,10.); #98070=VECTOR('',#361887,10.); #98071=VECTOR('',#361890,10.); #98072=VECTOR('',#361891,10.); #98073=VECTOR('',#361892,10.); #98074=VECTOR('',#361895,10.); #98075=VECTOR('',#361896,10.); #98076=VECTOR('',#361897,10.); #98077=VECTOR('',#361900,10.); #98078=VECTOR('',#361901,10.); #98079=VECTOR('',#361902,10.); #98080=VECTOR('',#361905,10.); #98081=VECTOR('',#361906,10.); #98082=VECTOR('',#361907,10.); #98083=VECTOR('',#361914,10.); #98084=VECTOR('',#361917,10.); #98085=VECTOR('',#361918,10.); #98086=VECTOR('',#361919,10.); #98087=VECTOR('',#361926,10.); #98088=VECTOR('',#361929,10.); #98089=VECTOR('',#361930,10.); #98090=VECTOR('',#361931,10.); #98091=VECTOR('',#361934,10.); #98092=VECTOR('',#361935,10.); #98093=VECTOR('',#361936,10.); #98094=VECTOR('',#361939,10.); #98095=VECTOR('',#361940,10.); #98096=VECTOR('',#361947,10.); #98097=VECTOR('',#361948,10.); #98098=VECTOR('',#361949,10.); #98099=VECTOR('',#361950,10.); #98100=VECTOR('',#361953,10.); #98101=VECTOR('',#361954,10.); #98102=VECTOR('',#361955,10.); #98103=VECTOR('',#361958,10.); #98104=VECTOR('',#361959,10.); #98105=VECTOR('',#361960,10.); #98106=VECTOR('',#361963,10.); #98107=VECTOR('',#361964,10.); #98108=VECTOR('',#361965,10.); #98109=VECTOR('',#361968,10.); #98110=VECTOR('',#361969,10.); #98111=VECTOR('',#361970,10.); #98112=VECTOR('',#361973,10.); #98113=VECTOR('',#361974,10.); #98114=VECTOR('',#361975,10.); #98115=VECTOR('',#361982,10.); #98116=VECTOR('',#361985,10.); #98117=VECTOR('',#361986,10.); #98118=VECTOR('',#361987,10.); #98119=VECTOR('',#361994,10.); #98120=VECTOR('',#361997,10.); #98121=VECTOR('',#361998,10.); #98122=VECTOR('',#361999,10.); #98123=VECTOR('',#362002,10.); #98124=VECTOR('',#362003,10.); #98125=VECTOR('',#362004,10.); #98126=VECTOR('',#362007,10.); #98127=VECTOR('',#362008,10.); #98128=VECTOR('',#362009,10.); #98129=VECTOR('',#362012,10.); #98130=VECTOR('',#362013,10.); #98131=VECTOR('',#362014,10.); #98132=VECTOR('',#362021,10.); #98133=VECTOR('',#362024,10.); #98134=VECTOR('',#362025,10.); #98135=VECTOR('',#362026,10.); #98136=VECTOR('',#362029,10.); #98137=VECTOR('',#362030,10.); #98138=VECTOR('',#362031,10.); #98139=VECTOR('',#362038,10.); #98140=VECTOR('',#362041,10.); #98141=VECTOR('',#362042,10.); #98142=VECTOR('',#362043,10.); #98143=VECTOR('',#362046,10.); #98144=VECTOR('',#362047,10.); #98145=VECTOR('',#362048,10.); #98146=VECTOR('',#362051,10.); #98147=VECTOR('',#362052,10.); #98148=VECTOR('',#362053,10.); #98149=VECTOR('',#362056,10.); #98150=VECTOR('',#362057,10.); #98151=VECTOR('',#362058,10.); #98152=VECTOR('',#362061,10.); #98153=VECTOR('',#362062,10.); #98154=VECTOR('',#362063,10.); #98155=VECTOR('',#362066,10.); #98156=VECTOR('',#362067,10.); #98157=VECTOR('',#362068,10.); #98158=VECTOR('',#362071,10.); #98159=VECTOR('',#362072,10.); #98160=VECTOR('',#362073,10.); #98161=VECTOR('',#362080,10.); #98162=VECTOR('',#362083,10.); #98163=VECTOR('',#362084,10.); #98164=VECTOR('',#362085,10.); #98165=VECTOR('',#362088,10.); #98166=VECTOR('',#362089,10.); #98167=VECTOR('',#362090,10.); #98168=VECTOR('',#362097,10.); #98169=VECTOR('',#362100,10.); #98170=VECTOR('',#362101,10.); #98171=VECTOR('',#362102,10.); #98172=VECTOR('',#362109,10.); #98173=VECTOR('',#362112,10.); #98174=VECTOR('',#362113,10.); #98175=VECTOR('',#362114,10.); #98176=VECTOR('',#362121,10.); #98177=VECTOR('',#362124,10.); #98178=VECTOR('',#362125,10.); #98179=VECTOR('',#362126,10.); #98180=VECTOR('',#362129,10.); #98181=VECTOR('',#362130,10.); #98182=VECTOR('',#362131,10.); #98183=VECTOR('',#362134,10.); #98184=VECTOR('',#362135,10.); #98185=VECTOR('',#362136,10.); #98186=VECTOR('',#362143,10.); #98187=VECTOR('',#362146,10.); #98188=VECTOR('',#362147,10.); #98189=VECTOR('',#362148,10.); #98190=VECTOR('',#362151,10.); #98191=VECTOR('',#362152,10.); #98192=VECTOR('',#362159,10.); #98193=VECTOR('',#362160,10.); #98194=VECTOR('',#362161,10.); #98195=VECTOR('',#362162,10.); #98196=VECTOR('',#362165,10.); #98197=VECTOR('',#362166,10.); #98198=VECTOR('',#362167,10.); #98199=VECTOR('',#362170,10.); #98200=VECTOR('',#362171,10.); #98201=VECTOR('',#362172,10.); #98202=VECTOR('',#362175,10.); #98203=VECTOR('',#362176,10.); #98204=VECTOR('',#362177,10.); #98205=VECTOR('',#362184,10.); #98206=VECTOR('',#362187,10.); #98207=VECTOR('',#362188,10.); #98208=VECTOR('',#362189,10.); #98209=VECTOR('',#362192,10.); #98210=VECTOR('',#362193,10.); #98211=VECTOR('',#362194,10.); #98212=VECTOR('',#362201,10.); #98213=VECTOR('',#362204,10.); #98214=VECTOR('',#362205,10.); #98215=VECTOR('',#362206,10.); #98216=VECTOR('',#362209,10.); #98217=VECTOR('',#362210,10.); #98218=VECTOR('',#362211,10.); #98219=VECTOR('',#362214,10.); #98220=VECTOR('',#362215,10.); #98221=VECTOR('',#362216,10.); #98222=VECTOR('',#362219,10.); #98223=VECTOR('',#362220,10.); #98224=VECTOR('',#362221,10.); #98225=VECTOR('',#362224,10.); #98226=VECTOR('',#362225,10.); #98227=VECTOR('',#362226,10.); #98228=VECTOR('',#362229,10.); #98229=VECTOR('',#362230,10.); #98230=VECTOR('',#362231,10.); #98231=VECTOR('',#362234,10.); #98232=VECTOR('',#362235,10.); #98233=VECTOR('',#362236,10.); #98234=VECTOR('',#362243,10.); #98235=VECTOR('',#362246,10.); #98236=VECTOR('',#362247,10.); #98237=VECTOR('',#362248,10.); #98238=VECTOR('',#362251,10.); #98239=VECTOR('',#362252,10.); #98240=VECTOR('',#362253,10.); #98241=VECTOR('',#362256,10.); #98242=VECTOR('',#362257,10.); #98243=VECTOR('',#362258,10.); #98244=VECTOR('',#362261,10.); #98245=VECTOR('',#362262,10.); #98246=VECTOR('',#362271,0.139999999999998); #98247=VECTOR('',#362276,10.); #98248=VECTOR('',#362277,10.); #98249=VECTOR('',#362278,10.); #98250=VECTOR('',#362279,10.); #98251=VECTOR('',#362282,10.); #98252=VECTOR('',#362283,10.); #98253=VECTOR('',#362284,10.); #98254=VECTOR('',#362291,10.); #98255=VECTOR('',#362294,10.); #98256=VECTOR('',#362295,10.); #98257=VECTOR('',#362296,10.); #98258=VECTOR('',#362299,10.); #98259=VECTOR('',#362300,10.); #98260=VECTOR('',#362301,10.); #98261=VECTOR('',#362304,10.); #98262=VECTOR('',#362305,10.); #98263=VECTOR('',#362306,10.); #98264=VECTOR('',#362313,10.); #98265=VECTOR('',#362316,10.); #98266=VECTOR('',#362317,10.); #98267=VECTOR('',#362318,10.); #98268=VECTOR('',#362321,10.); #98269=VECTOR('',#362322,10.); #98270=VECTOR('',#362323,10.); #98271=VECTOR('',#362330,10.); #98272=VECTOR('',#362337,10.); #98273=VECTOR('',#362340,10.); #98274=VECTOR('',#362341,10.); #98275=VECTOR('',#362342,10.); #98276=VECTOR('',#362349,10.); #98277=VECTOR('',#362352,10.); #98278=VECTOR('',#362353,10.); #98279=VECTOR('',#362354,10.); #98280=VECTOR('',#362357,10.); #98281=VECTOR('',#362358,10.); #98282=VECTOR('',#362359,10.); #98283=VECTOR('',#362366,10.); #98284=VECTOR('',#362369,10.); #98285=VECTOR('',#362370,10.); #98286=VECTOR('',#362371,10.); #98287=VECTOR('',#362374,10.); #98288=VECTOR('',#362375,10.); #98289=VECTOR('',#362376,10.); #98290=VECTOR('',#362379,10.); #98291=VECTOR('',#362380,10.); #98292=VECTOR('',#362381,10.); #98293=VECTOR('',#362384,10.); #98294=VECTOR('',#362385,10.); #98295=VECTOR('',#362386,10.); #98296=VECTOR('',#362389,10.); #98297=VECTOR('',#362390,10.); #98298=VECTOR('',#362399,0.139999999999998); #98299=VECTOR('',#362404,10.); #98300=VECTOR('',#362405,10.); #98301=VECTOR('',#362406,10.); #98302=VECTOR('',#362407,10.); #98303=VECTOR('',#362414,10.); #98304=VECTOR('',#362417,10.); #98305=VECTOR('',#362418,10.); #98306=VECTOR('',#362419,10.); #98307=VECTOR('',#362422,10.); #98308=VECTOR('',#362423,10.); #98309=VECTOR('',#362424,10.); #98310=VECTOR('',#362431,10.); #98311=VECTOR('',#362434,10.); #98312=VECTOR('',#362435,10.); #98313=VECTOR('',#362436,10.); #98314=VECTOR('',#362439,10.); #98315=VECTOR('',#362440,10.); #98316=VECTOR('',#362441,10.); #98317=VECTOR('',#362444,10.); #98318=VECTOR('',#362445,10.); #98319=VECTOR('',#362446,10.); #98320=VECTOR('',#362449,10.); #98321=VECTOR('',#362450,10.); #98322=VECTOR('',#362451,10.); #98323=VECTOR('',#362454,10.); #98324=VECTOR('',#362455,10.); #98325=VECTOR('',#362456,10.); #98326=VECTOR('',#362459,10.); #98327=VECTOR('',#362460,10.); #98328=VECTOR('',#362461,10.); #98329=VECTOR('',#362464,10.); #98330=VECTOR('',#362465,10.); #98331=VECTOR('',#362466,10.); #98332=VECTOR('',#362469,10.); #98333=VECTOR('',#362470,10.); #98334=VECTOR('',#362471,10.); #98335=VECTOR('',#362478,10.); #98336=VECTOR('',#362481,10.); #98337=VECTOR('',#362482,10.); #98338=VECTOR('',#362483,10.); #98339=VECTOR('',#362498,0.139999999999998); #98340=VECTOR('',#362503,10.); #98341=VECTOR('',#362504,10.); #98342=VECTOR('',#362505,10.); #98343=VECTOR('',#362506,10.); #98344=VECTOR('',#362513,10.); #98345=VECTOR('',#362520,10.); #98346=VECTOR('',#362523,10.); #98347=VECTOR('',#362524,10.); #98348=VECTOR('',#362525,10.); #98349=VECTOR('',#362528,10.); #98350=VECTOR('',#362529,10.); #98351=VECTOR('',#362530,10.); #98352=VECTOR('',#362533,10.); #98353=VECTOR('',#362534,10.); #98354=VECTOR('',#362535,10.); #98355=VECTOR('',#362538,10.); #98356=VECTOR('',#362539,10.); #98357=VECTOR('',#362540,10.); #98358=VECTOR('',#362543,10.); #98359=VECTOR('',#362544,10.); #98360=VECTOR('',#362545,10.); #98361=VECTOR('',#362548,10.); #98362=VECTOR('',#362549,10.); #98363=VECTOR('',#362550,10.); #98364=VECTOR('',#362553,10.); #98365=VECTOR('',#362554,10.); #98366=VECTOR('',#362555,10.); #98367=VECTOR('',#362562,10.); #98368=VECTOR('',#362565,10.); #98369=VECTOR('',#362566,10.); #98370=VECTOR('',#362567,10.); #98371=VECTOR('',#362582,0.139999999999998); #98372=VECTOR('',#362587,10.); #98373=VECTOR('',#362588,10.); #98374=VECTOR('',#362589,10.); #98375=VECTOR('',#362590,10.); #98376=VECTOR('',#362593,10.); #98377=VECTOR('',#362594,10.); #98378=VECTOR('',#362595,10.); #98379=VECTOR('',#362598,10.); #98380=VECTOR('',#362599,10.); #98381=VECTOR('',#362600,10.); #98382=VECTOR('',#362603,10.); #98383=VECTOR('',#362604,10.); #98384=VECTOR('',#362605,10.); #98385=VECTOR('',#362608,10.); #98386=VECTOR('',#362609,10.); #98387=VECTOR('',#362610,10.); #98388=VECTOR('',#362617,10.); #98389=VECTOR('',#362620,10.); #98390=VECTOR('',#362621,10.); #98391=VECTOR('',#362622,10.); #98392=VECTOR('',#362625,10.); #98393=VECTOR('',#362626,10.); #98394=VECTOR('',#362627,10.); #98395=VECTOR('',#362634,10.); #98396=VECTOR('',#362637,10.); #98397=VECTOR('',#362638,10.); #98398=VECTOR('',#362639,10.); #98399=VECTOR('',#362646,10.); #98400=VECTOR('',#362653,10.); #98401=VECTOR('',#362656,10.); #98402=VECTOR('',#362657,10.); #98403=VECTOR('',#362658,10.); #98404=VECTOR('',#362661,10.); #98405=VECTOR('',#362662,10.); #98406=VECTOR('',#362663,10.); #98407=VECTOR('',#362670,10.); #98408=VECTOR('',#362673,10.); #98409=VECTOR('',#362674,10.); #98410=VECTOR('',#362675,10.); #98411=VECTOR('',#362678,10.); #98412=VECTOR('',#362679,10.); #98413=VECTOR('',#362680,10.); #98414=VECTOR('',#362683,10.); #98415=VECTOR('',#362684,10.); #98416=VECTOR('',#362693,0.139999999999998); #98417=VECTOR('',#362698,10.); #98418=VECTOR('',#362699,10.); #98419=VECTOR('',#362700,10.); #98420=VECTOR('',#362701,10.); #98421=VECTOR('',#362708,10.); #98422=VECTOR('',#362711,10.); #98423=VECTOR('',#362712,10.); #98424=VECTOR('',#362713,10.); #98425=VECTOR('',#362720,10.); #98426=VECTOR('',#362723,10.); #98427=VECTOR('',#362724,10.); #98428=VECTOR('',#362725,10.); #98429=VECTOR('',#362728,10.); #98430=VECTOR('',#362729,10.); #98431=VECTOR('',#362730,10.); #98432=VECTOR('',#362733,10.); #98433=VECTOR('',#362734,10.); #98434=VECTOR('',#362735,10.); #98435=VECTOR('',#362738,10.); #98436=VECTOR('',#362739,10.); #98437=VECTOR('',#362740,10.); #98438=VECTOR('',#362743,10.); #98439=VECTOR('',#362744,10.); #98440=VECTOR('',#362745,10.); #98441=VECTOR('',#362748,10.); #98442=VECTOR('',#362749,10.); #98443=VECTOR('',#362750,10.); #98444=VECTOR('',#362753,10.); #98445=VECTOR('',#362754,10.); #98446=VECTOR('',#362755,10.); #98447=VECTOR('',#362762,10.); #98448=VECTOR('',#362777,0.139999999999998); #98449=VECTOR('',#362782,10.); #98450=VECTOR('',#362783,10.); #98451=VECTOR('',#362784,10.); #98452=VECTOR('',#362785,10.); #98453=VECTOR('',#362788,10.); #98454=VECTOR('',#362789,10.); #98455=VECTOR('',#362790,10.); #98456=VECTOR('',#362793,10.); #98457=VECTOR('',#362794,10.); #98458=VECTOR('',#362795,10.); #98459=VECTOR('',#362798,10.); #98460=VECTOR('',#362799,10.); #98461=VECTOR('',#362800,10.); #98462=VECTOR('',#362803,10.); #98463=VECTOR('',#362804,10.); #98464=VECTOR('',#362805,10.); #98465=VECTOR('',#362808,10.); #98466=VECTOR('',#362809,10.); #98467=VECTOR('',#362810,10.); #98468=VECTOR('',#362817,10.); #98469=VECTOR('',#362820,10.); #98470=VECTOR('',#362821,10.); #98471=VECTOR('',#362822,10.); #98472=VECTOR('',#362825,10.); #98473=VECTOR('',#362826,10.); #98474=VECTOR('',#362827,10.); #98475=VECTOR('',#362834,10.); #98476=VECTOR('',#362841,10.); #98477=VECTOR('',#362844,10.); #98478=VECTOR('',#362845,10.); #98479=VECTOR('',#362846,10.); #98480=VECTOR('',#362853,10.); #98481=VECTOR('',#362856,10.); #98482=VECTOR('',#362857,10.); #98483=VECTOR('',#362858,10.); #98484=VECTOR('',#362861,10.); #98485=VECTOR('',#362862,10.); #98486=VECTOR('',#362863,10.); #98487=VECTOR('',#362870,10.); #98488=VECTOR('',#362873,10.); #98489=VECTOR('',#362874,10.); #98490=VECTOR('',#362875,10.); #98491=VECTOR('',#362878,10.); #98492=VECTOR('',#362879,10.); #98493=VECTOR('',#362888,0.139999999999998); #98494=VECTOR('',#362893,10.); #98495=VECTOR('',#362894,10.); #98496=VECTOR('',#362895,10.); #98497=VECTOR('',#362896,10.); #98498=VECTOR('',#362903,10.); #98499=VECTOR('',#362906,10.); #98500=VECTOR('',#362907,10.); #98501=VECTOR('',#362908,10.); #98502=VECTOR('',#362911,10.); #98503=VECTOR('',#362912,10.); #98504=VECTOR('',#362913,10.); #98505=VECTOR('',#362916,10.); #98506=VECTOR('',#362917,10.); #98507=VECTOR('',#362918,10.); #98508=VECTOR('',#362921,10.); #98509=VECTOR('',#362922,10.); #98510=VECTOR('',#362923,10.); #98511=VECTOR('',#362926,10.); #98512=VECTOR('',#362927,10.); #98513=VECTOR('',#362928,10.); #98514=VECTOR('',#362931,10.); #98515=VECTOR('',#362932,10.); #98516=VECTOR('',#362933,10.); #98517=VECTOR('',#362936,10.); #98518=VECTOR('',#362937,10.); #98519=VECTOR('',#362938,10.); #98520=VECTOR('',#362945,10.); #98521=VECTOR('',#362960,0.140000000000002); #98522=VECTOR('',#362965,10.); #98523=VECTOR('',#362966,10.); #98524=VECTOR('',#362967,10.); #98525=VECTOR('',#362968,10.); #98526=VECTOR('',#362975,10.); #98527=VECTOR('',#362982,10.); #98528=VECTOR('',#362985,10.); #98529=VECTOR('',#362986,10.); #98530=VECTOR('',#362987,10.); #98531=VECTOR('',#362990,10.); #98532=VECTOR('',#362991,10.); #98533=VECTOR('',#362992,10.); #98534=VECTOR('',#362995,10.); #98535=VECTOR('',#362996,10.); #98536=VECTOR('',#362997,10.); #98537=VECTOR('',#363000,10.); #98538=VECTOR('',#363001,10.); #98539=VECTOR('',#363002,10.); #98540=VECTOR('',#363005,10.); #98541=VECTOR('',#363006,10.); #98542=VECTOR('',#363007,10.); #98543=VECTOR('',#363010,10.); #98544=VECTOR('',#363011,10.); #98545=VECTOR('',#363012,10.); #98546=VECTOR('',#363015,10.); #98547=VECTOR('',#363016,10.); #98548=VECTOR('',#363017,10.); #98549=VECTOR('',#363032,0.139999999999998); #98550=VECTOR('',#363037,10.); #98551=VECTOR('',#363038,10.); #98552=VECTOR('',#363039,10.); #98553=VECTOR('',#363040,10.); #98554=VECTOR('',#363043,10.); #98555=VECTOR('',#363044,10.); #98556=VECTOR('',#363045,10.); #98557=VECTOR('',#363048,10.); #98558=VECTOR('',#363049,10.); #98559=VECTOR('',#363050,10.); #98560=VECTOR('',#363053,10.); #98561=VECTOR('',#363054,10.); #98562=VECTOR('',#363055,10.); #98563=VECTOR('',#363058,10.); #98564=VECTOR('',#363059,10.); #98565=VECTOR('',#363060,10.); #98566=VECTOR('',#363067,10.); #98567=VECTOR('',#363070,10.); #98568=VECTOR('',#363071,10.); #98569=VECTOR('',#363072,10.); #98570=VECTOR('',#363075,10.); #98571=VECTOR('',#363076,10.); #98572=VECTOR('',#363077,10.); #98573=VECTOR('',#363080,10.); #98574=VECTOR('',#363081,10.); #98575=VECTOR('',#363082,10.); #98576=VECTOR('',#363085,10.); #98577=VECTOR('',#363086,10.); #98578=VECTOR('',#363087,10.); #98579=VECTOR('',#363090,10.); #98580=VECTOR('',#363091,10.); #98581=VECTOR('',#363092,10.); #98582=VECTOR('',#363099,10.); #98583=VECTOR('',#363106,10.); #98584=VECTOR('',#363109,10.); #98585=VECTOR('',#363110,10.); #98586=VECTOR('',#363111,10.); #98587=VECTOR('',#363118,10.); #98588=VECTOR('',#363121,10.); #98589=VECTOR('',#363122,10.); #98590=VECTOR('',#363123,10.); #98591=VECTOR('',#363130,10.); #98592=VECTOR('',#363133,10.); #98593=VECTOR('',#363134,10.); #98594=VECTOR('',#363135,10.); #98595=VECTOR('',#363142,10.); #98596=VECTOR('',#363145,10.); #98597=VECTOR('',#363146,10.); #98598=VECTOR('',#363147,10.); #98599=VECTOR('',#363154,10.); #98600=VECTOR('',#363157,10.); #98601=VECTOR('',#363158,10.); #98602=VECTOR('',#363159,10.); #98603=VECTOR('',#363162,10.); #98604=VECTOR('',#363163,10.); #98605=VECTOR('',#363172,0.139999999999998); #98606=VECTOR('',#363177,10.); #98607=VECTOR('',#363178,10.); #98608=VECTOR('',#363179,10.); #98609=VECTOR('',#363180,10.); #98610=VECTOR('',#363183,10.); #98611=VECTOR('',#363184,10.); #98612=VECTOR('',#363185,10.); #98613=VECTOR('',#363188,10.); #98614=VECTOR('',#363189,10.); #98615=VECTOR('',#363190,10.); #98616=VECTOR('',#363193,10.); #98617=VECTOR('',#363194,10.); #98618=VECTOR('',#363195,10.); #98619=VECTOR('',#363198,10.); #98620=VECTOR('',#363199,10.); #98621=VECTOR('',#363200,10.); #98622=VECTOR('',#363203,10.); #98623=VECTOR('',#363204,10.); #98624=VECTOR('',#363205,10.); #98625=VECTOR('',#363208,10.); #98626=VECTOR('',#363209,10.); #98627=VECTOR('',#363210,10.); #98628=VECTOR('',#363213,10.); #98629=VECTOR('',#363214,10.); #98630=VECTOR('',#363215,10.); #98631=VECTOR('',#363222,10.); #98632=VECTOR('',#363229,10.); #98633=VECTOR('',#363232,10.); #98634=VECTOR('',#363233,10.); #98635=VECTOR('',#363234,10.); #98636=VECTOR('',#363241,10.); #98637=VECTOR('',#363244,10.); #98638=VECTOR('',#363245,10.); #98639=VECTOR('',#363246,10.); #98640=VECTOR('',#363253,10.); #98641=VECTOR('',#363256,10.); #98642=VECTOR('',#363257,10.); #98643=VECTOR('',#363258,10.); #98644=VECTOR('',#363265,10.); #98645=VECTOR('',#363268,10.); #98646=VECTOR('',#363269,10.); #98647=VECTOR('',#363278,0.140000000000002); #98648=VECTOR('',#363283,10.); #98649=VECTOR('',#363284,10.); #98650=VECTOR('',#363285,10.); #98651=VECTOR('',#363286,10.); #98652=VECTOR('',#363289,10.); #98653=VECTOR('',#363290,10.); #98654=VECTOR('',#363291,10.); #98655=VECTOR('',#363294,10.); #98656=VECTOR('',#363295,10.); #98657=VECTOR('',#363296,10.); #98658=VECTOR('',#363299,10.); #98659=VECTOR('',#363300,10.); #98660=VECTOR('',#363301,10.); #98661=VECTOR('',#363304,10.); #98662=VECTOR('',#363305,10.); #98663=VECTOR('',#363306,10.); #98664=VECTOR('',#363313,10.); #98665=VECTOR('',#363316,10.); #98666=VECTOR('',#363317,10.); #98667=VECTOR('',#363318,10.); #98668=VECTOR('',#363325,10.); #98669=VECTOR('',#363328,10.); #98670=VECTOR('',#363329,10.); #98671=VECTOR('',#363330,10.); #98672=VECTOR('',#363337,10.); #98673=VECTOR('',#363340,10.); #98674=VECTOR('',#363341,10.); #98675=VECTOR('',#363342,10.); #98676=VECTOR('',#363349,10.); #98677=VECTOR('',#363352,10.); #98678=VECTOR('',#363353,10.); #98679=VECTOR('',#363354,10.); #98680=VECTOR('',#363361,10.); #98681=VECTOR('',#363368,10.); #98682=VECTOR('',#363371,10.); #98683=VECTOR('',#363372,10.); #98684=VECTOR('',#363373,10.); #98685=VECTOR('',#363376,10.); #98686=VECTOR('',#363377,10.); #98687=VECTOR('',#363378,10.); #98688=VECTOR('',#363381,10.); #98689=VECTOR('',#363382,10.); #98690=VECTOR('',#363383,10.); #98691=VECTOR('',#363386,10.); #98692=VECTOR('',#363387,10.); #98693=VECTOR('',#363388,10.); #98694=VECTOR('',#363391,10.); #98695=VECTOR('',#363392,10.); #98696=VECTOR('',#363393,10.); #98697=VECTOR('',#363400,10.); #98698=VECTOR('',#363403,10.); #98699=VECTOR('',#363404,10.); #98700=VECTOR('',#363405,10.); #98701=VECTOR('',#363408,10.); #98702=VECTOR('',#363409,10.); #98703=VECTOR('',#363416,10.); #98704=VECTOR('',#363417,10.); #98705=VECTOR('',#363418,10.); #98706=VECTOR('',#363419,10.); #98707=VECTOR('',#363422,10.); #98708=VECTOR('',#363423,10.); #98709=VECTOR('',#363424,10.); #98710=VECTOR('',#363427,10.); #98711=VECTOR('',#363428,10.); #98712=VECTOR('',#363429,10.); #98713=VECTOR('',#363432,10.); #98714=VECTOR('',#363433,10.); #98715=VECTOR('',#363434,10.); #98716=VECTOR('',#363441,10.); #98717=VECTOR('',#363444,10.); #98718=VECTOR('',#363445,10.); #98719=VECTOR('',#363446,10.); #98720=VECTOR('',#363453,10.); #98721=VECTOR('',#363456,10.); #98722=VECTOR('',#363457,10.); #98723=VECTOR('',#363458,10.); #98724=VECTOR('',#363461,10.); #98725=VECTOR('',#363462,10.); #98726=VECTOR('',#363463,10.); #98727=VECTOR('',#363466,10.); #98728=VECTOR('',#363467,10.); #98729=VECTOR('',#363468,10.); #98730=VECTOR('',#363471,10.); #98731=VECTOR('',#363472,10.); #98732=VECTOR('',#363473,10.); #98733=VECTOR('',#363476,10.); #98734=VECTOR('',#363477,10.); #98735=VECTOR('',#363478,10.); #98736=VECTOR('',#363481,10.); #98737=VECTOR('',#363482,10.); #98738=VECTOR('',#363483,10.); #98739=VECTOR('',#363486,10.); #98740=VECTOR('',#363487,10.); #98741=VECTOR('',#363488,10.); #98742=VECTOR('',#363491,10.); #98743=VECTOR('',#363492,10.); #98744=VECTOR('',#363493,10.); #98745=VECTOR('',#363496,10.); #98746=VECTOR('',#363497,10.); #98747=VECTOR('',#363498,10.); #98748=VECTOR('',#363505,10.); #98749=VECTOR('',#363508,10.); #98750=VECTOR('',#363509,10.); #98751=VECTOR('',#363510,10.); #98752=VECTOR('',#363517,10.); #98753=VECTOR('',#363520,10.); #98754=VECTOR('',#363521,10.); #98755=VECTOR('',#363522,10.); #98756=VECTOR('',#363525,10.); #98757=VECTOR('',#363526,10.); #98758=VECTOR('',#363527,10.); #98759=VECTOR('',#363530,10.); #98760=VECTOR('',#363531,10.); #98761=VECTOR('',#363532,10.); #98762=VECTOR('',#363535,10.); #98763=VECTOR('',#363536,10.); #98764=VECTOR('',#363537,10.); #98765=VECTOR('',#363540,10.); #98766=VECTOR('',#363541,10.); #98767=VECTOR('',#363550,0.139999999999998); #98768=VECTOR('',#363555,10.); #98769=VECTOR('',#363556,10.); #98770=VECTOR('',#363557,10.); #98771=VECTOR('',#363558,10.); #98772=VECTOR('',#363561,10.); #98773=VECTOR('',#363562,10.); #98774=VECTOR('',#363563,10.); #98775=VECTOR('',#363566,10.); #98776=VECTOR('',#363567,10.); #98777=VECTOR('',#363568,10.); #98778=VECTOR('',#363571,10.); #98779=VECTOR('',#363572,10.); #98780=VECTOR('',#363581,0.139999999999998); #98781=VECTOR('',#363586,10.); #98782=VECTOR('',#363587,10.); #98783=VECTOR('',#363588,10.); #98784=VECTOR('',#363589,10.); #98785=VECTOR('',#363596,10.); #98786=VECTOR('',#363599,10.); #98787=VECTOR('',#363600,10.); #98788=VECTOR('',#363601,10.); #98789=VECTOR('',#363608,10.); #98790=VECTOR('',#363611,10.); #98791=VECTOR('',#363612,10.); #98792=VECTOR('',#363613,10.); #98793=VECTOR('',#363616,10.); #98794=VECTOR('',#363617,10.); #98795=VECTOR('',#363618,10.); #98796=VECTOR('',#363621,10.); #98797=VECTOR('',#363622,10.); #98798=VECTOR('',#363623,10.); #98799=VECTOR('',#363626,10.); #98800=VECTOR('',#363627,10.); #98801=VECTOR('',#363628,10.); #98802=VECTOR('',#363635,10.); #98803=VECTOR('',#363638,10.); #98804=VECTOR('',#363639,10.); #98805=VECTOR('',#363640,10.); #98806=VECTOR('',#363643,10.); #98807=VECTOR('',#363644,10.); #98808=VECTOR('',#363645,10.); #98809=VECTOR('',#363648,10.); #98810=VECTOR('',#363649,10.); #98811=VECTOR('',#363650,10.); #98812=VECTOR('',#363653,10.); #98813=VECTOR('',#363654,10.); #98814=VECTOR('',#363655,10.); #98815=VECTOR('',#363658,10.); #98816=VECTOR('',#363659,10.); #98817=VECTOR('',#363660,10.); #98818=VECTOR('',#363663,10.); #98819=VECTOR('',#363664,10.); #98820=VECTOR('',#363665,10.); #98821=VECTOR('',#363668,10.); #98822=VECTOR('',#363669,10.); #98823=VECTOR('',#363670,10.); #98824=VECTOR('',#363677,10.); #98825=VECTOR('',#363680,10.); #98826=VECTOR('',#363681,10.); #98827=VECTOR('',#363682,10.); #98828=VECTOR('',#363689,10.); #98829=VECTOR('',#363692,10.); #98830=VECTOR('',#363693,10.); #98831=VECTOR('',#363694,10.); #98832=VECTOR('',#363701,10.); #98833=VECTOR('',#363704,10.); #98834=VECTOR('',#363705,10.); #98835=VECTOR('',#363706,10.); #98836=VECTOR('',#363709,10.); #98837=VECTOR('',#363710,10.); #98838=VECTOR('',#363711,10.); #98839=VECTOR('',#363726,0.139999999999998); #98840=VECTOR('',#363731,10.); #98841=VECTOR('',#363732,10.); #98842=VECTOR('',#363733,10.); #98843=VECTOR('',#363734,10.); #98844=VECTOR('',#363737,10.); #98845=VECTOR('',#363738,10.); #98846=VECTOR('',#363739,10.); #98847=VECTOR('',#363742,10.); #98848=VECTOR('',#363743,10.); #98849=VECTOR('',#363744,10.); #98850=VECTOR('',#363747,10.); #98851=VECTOR('',#363748,10.); #98852=VECTOR('',#363749,10.); #98853=VECTOR('',#363752,10.); #98854=VECTOR('',#363753,10.); #98855=VECTOR('',#363754,10.); #98856=VECTOR('',#363757,10.); #98857=VECTOR('',#363758,10.); #98858=VECTOR('',#363759,10.); #98859=VECTOR('',#363762,10.); #98860=VECTOR('',#363763,10.); #98861=VECTOR('',#363764,10.); #98862=VECTOR('',#363771,10.); #98863=VECTOR('',#363774,10.); #98864=VECTOR('',#363775,10.); #98865=VECTOR('',#363776,10.); #98866=VECTOR('',#363783,10.); #98867=VECTOR('',#363790,10.); #98868=VECTOR('',#363793,10.); #98869=VECTOR('',#363794,10.); #98870=VECTOR('',#363795,10.); #98871=VECTOR('',#363798,10.); #98872=VECTOR('',#363799,10.); #98873=VECTOR('',#363800,10.); #98874=VECTOR('',#363807,10.); #98875=VECTOR('',#363810,10.); #98876=VECTOR('',#363811,10.); #98877=VECTOR('',#363820,0.140000000000002); #98878=VECTOR('',#363825,10.); #98879=VECTOR('',#363826,10.); #98880=VECTOR('',#363827,10.); #98881=VECTOR('',#363828,10.); #98882=VECTOR('',#363831,10.); #98883=VECTOR('',#363832,10.); #98884=VECTOR('',#363833,10.); #98885=VECTOR('',#363836,10.); #98886=VECTOR('',#363837,10.); #98887=VECTOR('',#363838,10.); #98888=VECTOR('',#363841,10.); #98889=VECTOR('',#363842,10.); #98890=VECTOR('',#363843,10.); #98891=VECTOR('',#363846,10.); #98892=VECTOR('',#363847,10.); #98893=VECTOR('',#363848,10.); #98894=VECTOR('',#363851,10.); #98895=VECTOR('',#363852,10.); #98896=VECTOR('',#363853,10.); #98897=VECTOR('',#363856,10.); #98898=VECTOR('',#363857,10.); #98899=VECTOR('',#363858,10.); #98900=VECTOR('',#363861,10.); #98901=VECTOR('',#363862,10.); #98902=VECTOR('',#363863,10.); #98903=VECTOR('',#363866,10.); #98904=VECTOR('',#363867,10.); #98905=VECTOR('',#363868,10.); #98906=VECTOR('',#363871,10.); #98907=VECTOR('',#363872,10.); #98908=VECTOR('',#363873,10.); #98909=VECTOR('',#363880,10.); #98910=VECTOR('',#363883,10.); #98911=VECTOR('',#363884,10.); #98912=VECTOR('',#363885,10.); #98913=VECTOR('',#363892,10.); #98914=VECTOR('',#363895,10.); #98915=VECTOR('',#363896,10.); #98916=VECTOR('',#363897,10.); #98917=VECTOR('',#363900,10.); #98918=VECTOR('',#363901,10.); #98919=VECTOR('',#363902,10.); #98920=VECTOR('',#363905,10.); #98921=VECTOR('',#363906,10.); #98922=VECTOR('',#363907,10.); #98923=VECTOR('',#363914,10.); #98924=VECTOR('',#363917,10.); #98925=VECTOR('',#363918,10.); #98926=VECTOR('',#363919,10.); #98927=VECTOR('',#363926,10.); #98928=VECTOR('',#363929,10.); #98929=VECTOR('',#363930,10.); #98930=VECTOR('',#363931,10.); #98931=VECTOR('',#363934,10.); #98932=VECTOR('',#363935,10.); #98933=VECTOR('',#363936,10.); #98934=VECTOR('',#363939,10.); #98935=VECTOR('',#363940,10.); #98936=VECTOR('',#363941,10.); #98937=VECTOR('',#363948,10.); #98938=VECTOR('',#363955,10.); #98939=VECTOR('',#363958,10.); #98940=VECTOR('',#363959,10.); #98941=VECTOR('',#363960,10.); #98942=VECTOR('',#363967,10.); #98943=VECTOR('',#363970,10.); #98944=VECTOR('',#363971,10.); #98945=VECTOR('',#363972,10.); #98946=VECTOR('',#363979,10.); #98947=VECTOR('',#363982,10.); #98948=VECTOR('',#363983,10.); #98949=VECTOR('',#363984,10.); #98950=VECTOR('',#363987,10.); #98951=VECTOR('',#363988,10.); #98952=VECTOR('',#363989,10.); #98953=VECTOR('',#363992,10.); #98954=VECTOR('',#363993,10.); #98955=VECTOR('',#363994,10.); #98956=VECTOR('',#364001,10.); #98957=VECTOR('',#364004,10.); #98958=VECTOR('',#364005,10.); #98959=VECTOR('',#364006,10.); #98960=VECTOR('',#364013,10.); #98961=VECTOR('',#364016,10.); #98962=VECTOR('',#364017,10.); #98963=VECTOR('',#364018,10.); #98964=VECTOR('',#364021,10.); #98965=VECTOR('',#364022,10.); #98966=VECTOR('',#364023,10.); #98967=VECTOR('',#364026,10.); #98968=VECTOR('',#364027,10.); #98969=VECTOR('',#364028,10.); #98970=VECTOR('',#364031,10.); #98971=VECTOR('',#364032,10.); #98972=VECTOR('',#364033,10.); #98973=VECTOR('',#364036,10.); #98974=VECTOR('',#364037,10.); #98975=VECTOR('',#364038,10.); #98976=VECTOR('',#364041,10.); #98977=VECTOR('',#364042,10.); #98978=VECTOR('',#364043,10.); #98979=VECTOR('',#364046,10.); #98980=VECTOR('',#364047,10.); #98981=VECTOR('',#364048,10.); #98982=VECTOR('',#364051,10.); #98983=VECTOR('',#364052,10.); #98984=VECTOR('',#364053,10.); #98985=VECTOR('',#364056,10.); #98986=VECTOR('',#364057,10.); #98987=VECTOR('',#364066,0.140000000000002); #98988=VECTOR('',#364071,10.); #98989=VECTOR('',#364072,10.); #98990=VECTOR('',#364073,10.); #98991=VECTOR('',#364074,10.); #98992=VECTOR('',#364077,10.); #98993=VECTOR('',#364078,10.); #98994=VECTOR('',#364079,10.); #98995=VECTOR('',#364082,10.); #98996=VECTOR('',#364083,10.); #98997=VECTOR('',#364084,10.); #98998=VECTOR('',#364087,10.); #98999=VECTOR('',#364088,10.); #99000=VECTOR('',#364089,10.); #99001=VECTOR('',#364092,10.); #99002=VECTOR('',#364093,10.); #99003=VECTOR('',#364094,10.); #99004=VECTOR('',#364101,10.); #99005=VECTOR('',#364104,10.); #99006=VECTOR('',#364105,10.); #99007=VECTOR('',#364106,10.); #99008=VECTOR('',#364109,10.); #99009=VECTOR('',#364110,10.); #99010=VECTOR('',#364111,10.); #99011=VECTOR('',#364118,10.); #99012=VECTOR('',#364121,10.); #99013=VECTOR('',#364122,10.); #99014=VECTOR('',#364123,10.); #99015=VECTOR('',#364126,10.); #99016=VECTOR('',#364127,10.); #99017=VECTOR('',#364128,10.); #99018=VECTOR('',#364135,10.); #99019=VECTOR('',#364142,10.); #99020=VECTOR('',#364145,10.); #99021=VECTOR('',#364146,10.); #99022=VECTOR('',#364147,10.); #99023=VECTOR('',#364154,10.); #99024=VECTOR('',#364157,10.); #99025=VECTOR('',#364158,10.); #99026=VECTOR('',#364159,10.); #99027=VECTOR('',#364162,10.); #99028=VECTOR('',#364163,10.); #99029=VECTOR('',#364164,10.); #99030=VECTOR('',#364171,10.); #99031=VECTOR('',#364174,10.); #99032=VECTOR('',#364175,10.); #99033=VECTOR('',#364176,10.); #99034=VECTOR('',#364179,10.); #99035=VECTOR('',#364180,10.); #99036=VECTOR('',#364181,10.); #99037=VECTOR('',#364184,10.); #99038=VECTOR('',#364185,10.); #99039=VECTOR('',#364194,0.139999999999998); #99040=VECTOR('',#364199,10.); #99041=VECTOR('',#364200,10.); #99042=VECTOR('',#364201,10.); #99043=VECTOR('',#364202,10.); #99044=VECTOR('',#364205,10.); #99045=VECTOR('',#364206,10.); #99046=VECTOR('',#364207,10.); #99047=VECTOR('',#364210,10.); #99048=VECTOR('',#364211,10.); #99049=VECTOR('',#364212,10.); #99050=VECTOR('',#364215,10.); #99051=VECTOR('',#364216,10.); #99052=VECTOR('',#364225,0.139999999999998); #99053=VECTOR('',#364230,10.); #99054=VECTOR('',#364231,10.); #99055=VECTOR('',#364232,10.); #99056=VECTOR('',#364233,10.); #99057=VECTOR('',#364236,10.); #99058=VECTOR('',#364237,10.); #99059=VECTOR('',#364238,10.); #99060=VECTOR('',#364241,10.); #99061=VECTOR('',#364242,10.); #99062=VECTOR('',#364243,10.); #99063=VECTOR('',#364246,10.); #99064=VECTOR('',#364247,10.); #99065=VECTOR('',#364256,0.139999999999998); #99066=VECTOR('',#364261,10.); #99067=VECTOR('',#364262,10.); #99068=VECTOR('',#364263,10.); #99069=VECTOR('',#364264,10.); #99070=VECTOR('',#364271,10.); #99071=VECTOR('',#364274,10.); #99072=VECTOR('',#364275,10.); #99073=VECTOR('',#364276,10.); #99074=VECTOR('',#364283,10.); #99075=VECTOR('',#364286,10.); #99076=VECTOR('',#364287,10.); #99077=VECTOR('',#364288,10.); #99078=VECTOR('',#364291,10.); #99079=VECTOR('',#364292,10.); #99080=VECTOR('',#364293,10.); #99081=VECTOR('',#364296,10.); #99082=VECTOR('',#364297,10.); #99083=VECTOR('',#364298,10.); #99084=VECTOR('',#364301,10.); #99085=VECTOR('',#364302,10.); #99086=VECTOR('',#364303,10.); #99087=VECTOR('',#364306,10.); #99088=VECTOR('',#364307,10.); #99089=VECTOR('',#364308,10.); #99090=VECTOR('',#364311,10.); #99091=VECTOR('',#364312,10.); #99092=VECTOR('',#364313,10.); #99093=VECTOR('',#364316,10.); #99094=VECTOR('',#364317,10.); #99095=VECTOR('',#364318,10.); #99096=VECTOR('',#364321,10.); #99097=VECTOR('',#364322,10.); #99098=VECTOR('',#364323,10.); #99099=VECTOR('',#364326,10.); #99100=VECTOR('',#364327,10.); #99101=VECTOR('',#364328,10.); #99102=VECTOR('',#364343,0.465); #99103=VECTOR('',#364348,10.); #99104=VECTOR('',#364349,10.); #99105=VECTOR('',#364350,10.); #99106=VECTOR('',#364351,10.); #99107=VECTOR('',#364358,10.); #99108=VECTOR('',#364373,0.139999999999998); #99109=VECTOR('',#364380,0.465); #99110=VECTOR('',#364385,10.); #99111=VECTOR('',#364386,10.); #99112=VECTOR('',#364387,10.); #99113=VECTOR('',#364388,10.); #99114=VECTOR('',#364395,10.); #99115=VECTOR('',#364398,10.); #99116=VECTOR('',#364399,10.); #99117=VECTOR('',#364400,10.); #99118=VECTOR('',#364407,10.); #99119=VECTOR('',#364414,10.); #99120=VECTOR('',#364417,10.); #99121=VECTOR('',#364418,10.); #99122=VECTOR('',#364419,10.); #99123=VECTOR('',#364434,0.465); #99124=VECTOR('',#364439,10.); #99125=VECTOR('',#364440,10.); #99126=VECTOR('',#364441,10.); #99127=VECTOR('',#364442,10.); #99128=VECTOR('',#364449,10.); #99129=VECTOR('',#364464,0.564999999999998); #99130=VECTOR('',#364469,10.); #99131=VECTOR('',#364470,10.); #99132=VECTOR('',#364471,10.); #99133=VECTOR('',#364472,10.); #99134=VECTOR('',#364475,10.); #99135=VECTOR('',#364476,10.); #99136=VECTOR('',#364477,10.); #99137=VECTOR('',#364480,10.); #99138=VECTOR('',#364481,10.); #99139=VECTOR('',#364482,10.); #99140=VECTOR('',#364485,10.); #99141=VECTOR('',#364486,10.); #99142=VECTOR('',#364495,0.139999999999998); #99143=VECTOR('',#364500,10.); #99144=VECTOR('',#364501,10.); #99145=VECTOR('',#364502,10.); #99146=VECTOR('',#364503,10.); #99147=VECTOR('',#364506,10.); #99148=VECTOR('',#364507,10.); #99149=VECTOR('',#364508,10.); #99150=VECTOR('',#364511,10.); #99151=VECTOR('',#364512,10.); #99152=VECTOR('',#364513,10.); #99153=VECTOR('',#364516,10.); #99154=VECTOR('',#364517,10.); #99155=VECTOR('',#364518,10.); #99156=VECTOR('',#364521,10.); #99157=VECTOR('',#364522,10.); #99158=VECTOR('',#364523,10.); #99159=VECTOR('',#364526,10.); #99160=VECTOR('',#364527,10.); #99161=VECTOR('',#364528,10.); #99162=VECTOR('',#364531,10.); #99163=VECTOR('',#364532,10.); #99164=VECTOR('',#364533,10.); #99165=VECTOR('',#364536,10.); #99166=VECTOR('',#364537,10.); #99167=VECTOR('',#364538,10.); #99168=VECTOR('',#364541,10.); #99169=VECTOR('',#364542,10.); #99170=VECTOR('',#364543,10.); #99171=VECTOR('',#364546,10.); #99172=VECTOR('',#364547,10.); #99173=VECTOR('',#364548,10.); #99174=VECTOR('',#364551,10.); #99175=VECTOR('',#364552,10.); #99176=VECTOR('',#364553,10.); #99177=VECTOR('',#364556,10.); #99178=VECTOR('',#364557,10.); #99179=VECTOR('',#364558,10.); #99180=VECTOR('',#364565,10.); #99181=VECTOR('',#364568,10.); #99182=VECTOR('',#364569,10.); #99183=VECTOR('',#364570,10.); #99184=VECTOR('',#364577,10.); #99185=VECTOR('',#364580,10.); #99186=VECTOR('',#364581,10.); #99187=VECTOR('',#364582,10.); #99188=VECTOR('',#364585,10.); #99189=VECTOR('',#364586,10.); #99190=VECTOR('',#364587,10.); #99191=VECTOR('',#364590,10.); #99192=VECTOR('',#364591,10.); #99193=VECTOR('',#364592,10.); #99194=VECTOR('',#364599,10.); #99195=VECTOR('',#364602,10.); #99196=VECTOR('',#364603,10.); #99197=VECTOR('',#364604,10.); #99198=VECTOR('',#364611,10.); #99199=VECTOR('',#364614,10.); #99200=VECTOR('',#364615,10.); #99201=VECTOR('',#364616,10.); #99202=VECTOR('',#364623,10.); #99203=VECTOR('',#364630,10.); #99204=VECTOR('',#364633,10.); #99205=VECTOR('',#364634,10.); #99206=VECTOR('',#364635,10.); #99207=VECTOR('',#364638,10.); #99208=VECTOR('',#364639,10.); #99209=VECTOR('',#364640,10.); #99210=VECTOR('',#364643,10.); #99211=VECTOR('',#364644,10.); #99212=VECTOR('',#364645,10.); #99213=VECTOR('',#364652,10.); #99214=VECTOR('',#364655,10.); #99215=VECTOR('',#364656,10.); #99216=VECTOR('',#364657,10.); #99217=VECTOR('',#364664,10.); #99218=VECTOR('',#364667,10.); #99219=VECTOR('',#364668,10.); #99220=VECTOR('',#364669,10.); #99221=VECTOR('',#364672,10.); #99222=VECTOR('',#364673,10.); #99223=VECTOR('',#364674,10.); #99224=VECTOR('',#364677,10.); #99225=VECTOR('',#364678,10.); #99226=VECTOR('',#364679,10.); #99227=VECTOR('',#364686,10.); #99228=VECTOR('',#364689,10.); #99229=VECTOR('',#364690,10.); #99230=VECTOR('',#364691,10.); #99231=VECTOR('',#364698,10.); #99232=VECTOR('',#364701,10.); #99233=VECTOR('',#364702,10.); #99234=VECTOR('',#364703,10.); #99235=VECTOR('',#364706,10.); #99236=VECTOR('',#364707,10.); #99237=VECTOR('',#364708,10.); #99238=VECTOR('',#364711,10.); #99239=VECTOR('',#364712,10.); #99240=VECTOR('',#364713,10.); #99241=VECTOR('',#364716,10.); #99242=VECTOR('',#364717,10.); #99243=VECTOR('',#364718,10.); #99244=VECTOR('',#364721,10.); #99245=VECTOR('',#364722,10.); #99246=VECTOR('',#364723,10.); #99247=VECTOR('',#364726,10.); #99248=VECTOR('',#364727,10.); #99249=VECTOR('',#364728,10.); #99250=VECTOR('',#364731,10.); #99251=VECTOR('',#364732,10.); #99252=VECTOR('',#364741,0.139999999999998); #99253=VECTOR('',#364746,10.); #99254=VECTOR('',#364747,10.); #99255=VECTOR('',#364748,10.); #99256=VECTOR('',#364749,10.); #99257=VECTOR('',#364752,10.); #99258=VECTOR('',#364753,10.); #99259=VECTOR('',#364754,10.); #99260=VECTOR('',#364757,10.); #99261=VECTOR('',#364758,10.); #99262=VECTOR('',#364759,10.); #99263=VECTOR('',#364762,10.); #99264=VECTOR('',#364763,10.); #99265=VECTOR('',#364764,10.); #99266=VECTOR('',#364771,10.); #99267=VECTOR('',#364774,10.); #99268=VECTOR('',#364775,10.); #99269=VECTOR('',#364776,10.); #99270=VECTOR('',#364783,10.); #99271=VECTOR('',#364786,10.); #99272=VECTOR('',#364787,10.); #99273=VECTOR('',#364788,10.); #99274=VECTOR('',#364791,10.); #99275=VECTOR('',#364792,10.); #99276=VECTOR('',#364793,10.); #99277=VECTOR('',#364800,10.); #99278=VECTOR('',#364803,10.); #99279=VECTOR('',#364804,10.); #99280=VECTOR('',#364805,10.); #99281=VECTOR('',#364812,10.); #99282=VECTOR('',#364819,10.); #99283=VECTOR('',#364822,10.); #99284=VECTOR('',#364823,10.); #99285=VECTOR('',#364824,10.); #99286=VECTOR('',#364827,10.); #99287=VECTOR('',#364828,10.); #99288=VECTOR('',#364829,10.); #99289=VECTOR('',#364836,10.); #99290=VECTOR('',#364839,10.); #99291=VECTOR('',#364840,10.); #99292=VECTOR('',#364841,10.); #99293=VECTOR('',#364844,10.); #99294=VECTOR('',#364845,10.); #99295=VECTOR('',#364846,10.); #99296=VECTOR('',#364849,10.); #99297=VECTOR('',#364850,10.); #99298=VECTOR('',#364851,10.); #99299=VECTOR('',#364854,10.); #99300=VECTOR('',#364855,10.); #99301=VECTOR('',#364856,10.); #99302=VECTOR('',#364859,10.); #99303=VECTOR('',#364860,10.); #99304=VECTOR('',#364867,10.); #99305=VECTOR('',#364868,10.); #99306=VECTOR('',#364869,10.); #99307=VECTOR('',#364870,10.); #99308=VECTOR('',#364873,10.); #99309=VECTOR('',#364874,10.); #99310=VECTOR('',#364875,10.); #99311=VECTOR('',#364878,10.); #99312=VECTOR('',#364879,10.); #99313=VECTOR('',#364880,10.); #99314=VECTOR('',#364883,10.); #99315=VECTOR('',#364884,10.); #99316=VECTOR('',#364885,10.); #99317=VECTOR('',#364888,10.); #99318=VECTOR('',#364889,10.); #99319=VECTOR('',#364890,10.); #99320=VECTOR('',#364893,10.); #99321=VECTOR('',#364894,10.); #99322=VECTOR('',#364895,10.); #99323=VECTOR('',#364898,10.); #99324=VECTOR('',#364899,10.); #99325=VECTOR('',#364900,10.); #99326=VECTOR('',#364903,10.); #99327=VECTOR('',#364904,10.); #99328=VECTOR('',#364905,10.); #99329=VECTOR('',#364908,10.); #99330=VECTOR('',#364909,10.); #99331=VECTOR('',#364910,10.); #99332=VECTOR('',#364913,10.); #99333=VECTOR('',#364914,10.); #99334=VECTOR('',#364915,10.); #99335=VECTOR('',#364918,10.); #99336=VECTOR('',#364919,10.); #99337=VECTOR('',#364920,10.); #99338=VECTOR('',#364923,10.); #99339=VECTOR('',#364924,10.); #99340=VECTOR('',#364931,10.); #99341=VECTOR('',#364932,10.); #99342=VECTOR('',#364933,10.); #99343=VECTOR('',#364934,10.); #99344=VECTOR('',#364937,10.); #99345=VECTOR('',#364938,10.); #99346=VECTOR('',#364939,10.); #99347=VECTOR('',#364942,10.); #99348=VECTOR('',#364943,10.); #99349=VECTOR('',#364944,10.); #99350=VECTOR('',#364947,10.); #99351=VECTOR('',#364948,10.); #99352=VECTOR('',#364949,10.); #99353=VECTOR('',#364952,10.); #99354=VECTOR('',#364953,10.); #99355=VECTOR('',#364954,10.); #99356=VECTOR('',#364957,10.); #99357=VECTOR('',#364958,10.); #99358=VECTOR('',#364959,10.); #99359=VECTOR('',#364962,10.); #99360=VECTOR('',#364963,10.); #99361=VECTOR('',#364964,10.); #99362=VECTOR('',#364967,10.); #99363=VECTOR('',#364968,10.); #99364=VECTOR('',#364969,10.); #99365=VECTOR('',#364972,10.); #99366=VECTOR('',#364973,10.); #99367=VECTOR('',#364974,10.); #99368=VECTOR('',#364977,10.); #99369=VECTOR('',#364978,10.); #99370=VECTOR('',#364979,10.); #99371=VECTOR('',#364982,10.); #99372=VECTOR('',#364983,10.); #99373=VECTOR('',#364984,10.); #99374=VECTOR('',#364987,10.); #99375=VECTOR('',#364988,10.); #99376=VECTOR('',#364997,0.140000000000002); #99377=VECTOR('',#365002,10.); #99378=VECTOR('',#365003,10.); #99379=VECTOR('',#365004,10.); #99380=VECTOR('',#365005,10.); #99381=VECTOR('',#365008,10.); #99382=VECTOR('',#365009,10.); #99383=VECTOR('',#365010,10.); #99384=VECTOR('',#365013,10.); #99385=VECTOR('',#365014,10.); #99386=VECTOR('',#365015,10.); #99387=VECTOR('',#365018,10.); #99388=VECTOR('',#365019,10.); #99389=VECTOR('',#365020,10.); #99390=VECTOR('',#365027,10.); #99391=VECTOR('',#365030,10.); #99392=VECTOR('',#365031,10.); #99393=VECTOR('',#365032,10.); #99394=VECTOR('',#365039,10.); #99395=VECTOR('',#365042,10.); #99396=VECTOR('',#365043,10.); #99397=VECTOR('',#365044,10.); #99398=VECTOR('',#365051,10.); #99399=VECTOR('',#365054,10.); #99400=VECTOR('',#365055,10.); #99401=VECTOR('',#365056,10.); #99402=VECTOR('',#365063,10.); #99403=VECTOR('',#365070,10.); #99404=VECTOR('',#365073,10.); #99405=VECTOR('',#365074,10.); #99406=VECTOR('',#365075,10.); #99407=VECTOR('',#365078,10.); #99408=VECTOR('',#365079,10.); #99409=VECTOR('',#365080,10.); #99410=VECTOR('',#365083,10.); #99411=VECTOR('',#365084,10.); #99412=VECTOR('',#365085,10.); #99413=VECTOR('',#365088,10.); #99414=VECTOR('',#365089,10.); #99415=VECTOR('',#365090,10.); #99416=VECTOR('',#365093,10.); #99417=VECTOR('',#365094,10.); #99418=VECTOR('',#365103,0.139999999999998); #99419=VECTOR('',#365108,10.); #99420=VECTOR('',#365109,10.); #99421=VECTOR('',#365110,10.); #99422=VECTOR('',#365111,10.); #99423=VECTOR('',#365118,10.); #99424=VECTOR('',#365121,10.); #99425=VECTOR('',#365122,10.); #99426=VECTOR('',#365123,10.); #99427=VECTOR('',#365130,10.); #99428=VECTOR('',#365133,10.); #99429=VECTOR('',#365134,10.); #99430=VECTOR('',#365135,10.); #99431=VECTOR('',#365142,10.); #99432=VECTOR('',#365145,10.); #99433=VECTOR('',#365146,10.); #99434=VECTOR('',#365147,10.); #99435=VECTOR('',#365150,10.); #99436=VECTOR('',#365151,10.); #99437=VECTOR('',#365152,10.); #99438=VECTOR('',#365155,10.); #99439=VECTOR('',#365156,10.); #99440=VECTOR('',#365157,10.); #99441=VECTOR('',#365160,10.); #99442=VECTOR('',#365161,10.); #99443=VECTOR('',#365162,10.); #99444=VECTOR('',#365165,10.); #99445=VECTOR('',#365166,10.); #99446=VECTOR('',#365167,10.); #99447=VECTOR('',#365170,10.); #99448=VECTOR('',#365171,10.); #99449=VECTOR('',#365172,10.); #99450=VECTOR('',#365175,10.); #99451=VECTOR('',#365176,10.); #99452=VECTOR('',#365177,10.); #99453=VECTOR('',#365180,10.); #99454=VECTOR('',#365181,10.); #99455=VECTOR('',#365182,10.); #99456=VECTOR('',#365185,10.); #99457=VECTOR('',#365186,10.); #99458=VECTOR('',#365187,10.); #99459=VECTOR('',#365194,10.); #99460=VECTOR('',#365197,10.); #99461=VECTOR('',#365198,10.); #99462=VECTOR('',#365199,10.); #99463=VECTOR('',#365206,10.); #99464=VECTOR('',#365209,10.); #99465=VECTOR('',#365210,10.); #99466=VECTOR('',#365211,10.); #99467=VECTOR('',#365214,10.); #99468=VECTOR('',#365215,10.); #99469=VECTOR('',#365216,10.); #99470=VECTOR('',#365219,10.); #99471=VECTOR('',#365220,10.); #99472=VECTOR('',#365221,10.); #99473=VECTOR('',#365236,0.139999999999998); #99474=VECTOR('',#365241,10.); #99475=VECTOR('',#365242,10.); #99476=VECTOR('',#365243,10.); #99477=VECTOR('',#365244,10.); #99478=VECTOR('',#365251,10.); #99479=VECTOR('',#365258,10.); #99480=VECTOR('',#365261,10.); #99481=VECTOR('',#365262,10.); #99482=VECTOR('',#365263,10.); #99483=VECTOR('',#365266,10.); #99484=VECTOR('',#365267,10.); #99485=VECTOR('',#365268,10.); #99486=VECTOR('',#365271,10.); #99487=VECTOR('',#365272,10.); #99488=VECTOR('',#365273,10.); #99489=VECTOR('',#365276,10.); #99490=VECTOR('',#365277,10.); #99491=VECTOR('',#365278,10.); #99492=VECTOR('',#365281,10.); #99493=VECTOR('',#365282,10.); #99494=VECTOR('',#365283,10.); #99495=VECTOR('',#365286,10.); #99496=VECTOR('',#365287,10.); #99497=VECTOR('',#365288,10.); #99498=VECTOR('',#365295,10.); #99499=VECTOR('',#365298,10.); #99500=VECTOR('',#365299,10.); #99501=VECTOR('',#365300,10.); #99502=VECTOR('',#365313,10.); #99503=VECTOR('',#365314,10.); #99504=VECTOR('',#365315,10.); #99505=VECTOR('',#365316,10.); #99506=VECTOR('',#365319,10.); #99507=VECTOR('',#365320,10.); #99508=VECTOR('',#365321,10.); #99509=VECTOR('',#365324,10.); #99510=VECTOR('',#365325,10.); #99511=VECTOR('',#365326,10.); #99512=VECTOR('',#365329,10.); #99513=VECTOR('',#365330,10.); #99514=VECTOR('',#365331,10.); #99515=VECTOR('',#365338,10.); #99516=VECTOR('',#365341,10.); #99517=VECTOR('',#365342,10.); #99518=VECTOR('',#365343,10.); #99519=VECTOR('',#365350,10.); #99520=VECTOR('',#365353,10.); #99521=VECTOR('',#365354,10.); #99522=VECTOR('',#365355,10.); #99523=VECTOR('',#365358,10.); #99524=VECTOR('',#365359,10.); #99525=VECTOR('',#365360,10.); #99526=VECTOR('',#365363,10.); #99527=VECTOR('',#365364,10.); #99528=VECTOR('',#365365,10.); #99529=VECTOR('',#365372,10.); #99530=VECTOR('',#365375,10.); #99531=VECTOR('',#365376,10.); #99532=VECTOR('',#365377,10.); #99533=VECTOR('',#365384,10.); #99534=VECTOR('',#365387,10.); #99535=VECTOR('',#365388,10.); #99536=VECTOR('',#365389,10.); #99537=VECTOR('',#365392,10.); #99538=VECTOR('',#365393,10.); #99539=VECTOR('',#365394,10.); #99540=VECTOR('',#365397,10.); #99541=VECTOR('',#365398,10.); #99542=VECTOR('',#365399,10.); #99543=VECTOR('',#365402,10.); #99544=VECTOR('',#365403,10.); #99545=VECTOR('',#365404,10.); #99546=VECTOR('',#365407,10.); #99547=VECTOR('',#365408,10.); #99548=VECTOR('',#365409,10.); #99549=VECTOR('',#365412,10.); #99550=VECTOR('',#365413,10.); #99551=VECTOR('',#365414,10.); #99552=VECTOR('',#365417,10.); #99553=VECTOR('',#365418,10.); #99554=VECTOR('',#365419,10.); #99555=VECTOR('',#365422,10.); #99556=VECTOR('',#365423,10.); #99557=VECTOR('',#365424,10.); #99558=VECTOR('',#365427,10.); #99559=VECTOR('',#365428,10.); #99560=VECTOR('',#365429,10.); #99561=VECTOR('',#365436,10.); #99562=VECTOR('',#365439,10.); #99563=VECTOR('',#365440,10.); #99564=VECTOR('',#365441,10.); #99565=VECTOR('',#365448,10.); #99566=VECTOR('',#365451,10.); #99567=VECTOR('',#365452,10.); #99568=VECTOR('',#365453,10.); #99569=VECTOR('',#365456,10.); #99570=VECTOR('',#365457,10.); #99571=VECTOR('',#365458,10.); #99572=VECTOR('',#365461,10.); #99573=VECTOR('',#365462,10.); #99574=VECTOR('',#365463,10.); #99575=VECTOR('',#365470,10.); #99576=VECTOR('',#365473,10.); #99577=VECTOR('',#365474,10.); #99578=VECTOR('',#365475,10.); #99579=VECTOR('',#365482,10.); #99580=VECTOR('',#365485,10.); #99581=VECTOR('',#365486,10.); #99582=VECTOR('',#365487,10.); #99583=VECTOR('',#365490,10.); #99584=VECTOR('',#365491,10.); #99585=VECTOR('',#365492,10.); #99586=VECTOR('',#365495,10.); #99587=VECTOR('',#365496,10.); #99588=VECTOR('',#365497,10.); #99589=VECTOR('',#365500,10.); #99590=VECTOR('',#365501,10.); #99591=VECTOR('',#365502,10.); #99592=VECTOR('',#365505,10.); #99593=VECTOR('',#365506,10.); #99594=VECTOR('',#365515,0.139999999999998); #99595=VECTOR('',#365520,10.); #99596=VECTOR('',#365521,10.); #99597=VECTOR('',#365522,10.); #99598=VECTOR('',#365523,10.); #99599=VECTOR('',#365530,10.); #99600=VECTOR('',#365533,10.); #99601=VECTOR('',#365534,10.); #99602=VECTOR('',#365535,10.); #99603=VECTOR('',#365538,10.); #99604=VECTOR('',#365539,10.); #99605=VECTOR('',#365540,10.); #99606=VECTOR('',#365543,10.); #99607=VECTOR('',#365544,10.); #99608=VECTOR('',#365545,10.); #99609=VECTOR('',#365548,10.); #99610=VECTOR('',#365549,10.); #99611=VECTOR('',#365550,10.); #99612=VECTOR('',#365553,10.); #99613=VECTOR('',#365554,10.); #99614=VECTOR('',#365555,10.); #99615=VECTOR('',#365558,10.); #99616=VECTOR('',#365559,10.); #99617=VECTOR('',#365560,10.); #99618=VECTOR('',#365563,10.); #99619=VECTOR('',#365564,10.); #99620=VECTOR('',#365565,10.); #99621=VECTOR('',#365580,0.139999999999998); #99622=VECTOR('',#365587,0.139999999999998); #99623=VECTOR('',#365592,10.); #99624=VECTOR('',#365593,10.); #99625=VECTOR('',#365594,10.); #99626=VECTOR('',#365595,10.); #99627=VECTOR('',#365598,10.); #99628=VECTOR('',#365599,10.); #99629=VECTOR('',#365600,10.); #99630=VECTOR('',#365607,10.); #99631=VECTOR('',#365614,10.); #99632=VECTOR('',#365617,10.); #99633=VECTOR('',#365618,10.); #99634=VECTOR('',#365619,10.); #99635=VECTOR('',#365622,10.); #99636=VECTOR('',#365623,10.); #99637=VECTOR('',#365624,10.); #99638=VECTOR('',#365631,10.); #99639=VECTOR('',#365638,10.); #99640=VECTOR('',#365641,10.); #99641=VECTOR('',#365642,10.); #99642=VECTOR('',#365643,10.); #99643=VECTOR('',#365646,10.); #99644=VECTOR('',#365647,10.); #99645=VECTOR('',#365648,10.); #99646=VECTOR('',#365651,10.); #99647=VECTOR('',#365652,10.); #99648=VECTOR('',#365653,10.); #99649=VECTOR('',#365656,10.); #99650=VECTOR('',#365657,10.); #99651=VECTOR('',#365658,10.); #99652=VECTOR('',#365661,10.); #99653=VECTOR('',#365662,10.); #99654=VECTOR('',#365663,10.); #99655=VECTOR('',#365666,10.); #99656=VECTOR('',#365667,10.); #99657=VECTOR('',#365668,10.); #99658=VECTOR('',#365671,10.); #99659=VECTOR('',#365672,10.); #99660=VECTOR('',#365673,10.); #99661=VECTOR('',#365676,10.); #99662=VECTOR('',#365677,10.); #99663=VECTOR('',#365678,10.); #99664=VECTOR('',#365681,10.); #99665=VECTOR('',#365682,10.); #99666=VECTOR('',#365683,10.); #99667=VECTOR('',#365686,10.); #99668=VECTOR('',#365687,10.); #99669=VECTOR('',#365688,10.); #99670=VECTOR('',#365695,10.); #99671=VECTOR('',#365698,10.); #99672=VECTOR('',#365699,10.); #99673=VECTOR('',#365700,10.); #99674=VECTOR('',#365713,10.); #99675=VECTOR('',#365714,10.); #99676=VECTOR('',#365715,10.); #99677=VECTOR('',#365716,10.); #99678=VECTOR('',#365719,10.); #99679=VECTOR('',#365720,10.); #99680=VECTOR('',#365721,10.); #99681=VECTOR('',#365724,10.); #99682=VECTOR('',#365725,10.); #99683=VECTOR('',#365726,10.); #99684=VECTOR('',#365729,10.); #99685=VECTOR('',#365730,10.); #99686=VECTOR('',#365731,10.); #99687=VECTOR('',#365738,10.); #99688=VECTOR('',#365741,10.); #99689=VECTOR('',#365742,10.); #99690=VECTOR('',#365743,10.); #99691=VECTOR('',#365746,10.); #99692=VECTOR('',#365747,10.); #99693=VECTOR('',#365748,10.); #99694=VECTOR('',#365751,10.); #99695=VECTOR('',#365752,10.); #99696=VECTOR('',#365753,10.); #99697=VECTOR('',#365756,10.); #99698=VECTOR('',#365757,10.); #99699=VECTOR('',#365758,10.); #99700=VECTOR('',#365761,10.); #99701=VECTOR('',#365762,10.); #99702=VECTOR('',#365763,10.); #99703=VECTOR('',#365766,10.); #99704=VECTOR('',#365767,10.); #99705=VECTOR('',#365768,10.); #99706=VECTOR('',#365771,10.); #99707=VECTOR('',#365772,10.); #99708=VECTOR('',#365773,10.); #99709=VECTOR('',#365776,10.); #99710=VECTOR('',#365777,10.); #99711=VECTOR('',#365778,10.); #99712=VECTOR('',#365781,10.); #99713=VECTOR('',#365782,10.); #99714=VECTOR('',#365791,0.14); #99715=VECTOR('',#365798,0.14); #99716=VECTOR('',#365805,0.14); #99717=VECTOR('',#365812,0.14); #99718=VECTOR('',#365819,0.14); #99719=VECTOR('',#365826,0.14); #99720=VECTOR('',#365833,0.14); #99721=VECTOR('',#365840,0.14); #99722=VECTOR('',#365847,0.465); #99723=VECTOR('',#365854,0.514999999999999); #99724=VECTOR('',#365861,0.14); #99725=VECTOR('',#365866,10.); #99726=VECTOR('',#365867,10.); #99727=VECTOR('',#365868,10.); #99728=VECTOR('',#365869,10.); #99729=VECTOR('',#365872,10.); #99730=VECTOR('',#365873,10.); #99731=VECTOR('',#365874,10.); #99732=VECTOR('',#365877,10.); #99733=VECTOR('',#365878,10.); #99734=VECTOR('',#365879,10.); #99735=VECTOR('',#365882,10.); #99736=VECTOR('',#365883,10.); #99737=VECTOR('',#365884,10.); #99738=VECTOR('',#365891,10.); #99739=VECTOR('',#365894,10.); #99740=VECTOR('',#365895,10.); #99741=VECTOR('',#365896,10.); #99742=VECTOR('',#365903,10.); #99743=VECTOR('',#365906,10.); #99744=VECTOR('',#365907,10.); #99745=VECTOR('',#365908,10.); #99746=VECTOR('',#365911,10.); #99747=VECTOR('',#365912,10.); #99748=VECTOR('',#365913,10.); #99749=VECTOR('',#365916,10.); #99750=VECTOR('',#365917,10.); #99751=VECTOR('',#365918,10.); #99752=VECTOR('',#365921,10.); #99753=VECTOR('',#365922,10.); #99754=VECTOR('',#365923,10.); #99755=VECTOR('',#365926,10.); #99756=VECTOR('',#365927,10.); #99757=VECTOR('',#365928,10.); #99758=VECTOR('',#365931,10.); #99759=VECTOR('',#365932,10.); #99760=VECTOR('',#365933,10.); #99761=VECTOR('',#365936,10.); #99762=VECTOR('',#365937,10.); #99763=VECTOR('',#365938,10.); #99764=VECTOR('',#365941,10.); #99765=VECTOR('',#365942,10.); #99766=VECTOR('',#365943,10.); #99767=VECTOR('',#365946,10.); #99768=VECTOR('',#365947,10.); #99769=VECTOR('',#365948,10.); #99770=VECTOR('',#365951,10.); #99771=VECTOR('',#365952,10.); #99772=VECTOR('',#365953,10.); #99773=VECTOR('',#365956,10.); #99774=VECTOR('',#365957,10.); #99775=VECTOR('',#365958,10.); #99776=VECTOR('',#365961,10.); #99777=VECTOR('',#365962,10.); #99778=VECTOR('',#365963,10.); #99779=VECTOR('',#365966,10.); #99780=VECTOR('',#365967,10.); #99781=VECTOR('',#365968,10.); #99782=VECTOR('',#365971,10.); #99783=VECTOR('',#365972,10.); #99784=VECTOR('',#365973,10.); #99785=VECTOR('',#365976,10.); #99786=VECTOR('',#365977,10.); #99787=VECTOR('',#365978,10.); #99788=VECTOR('',#365981,10.); #99789=VECTOR('',#365982,10.); #99790=VECTOR('',#365983,10.); #99791=VECTOR('',#365986,10.); #99792=VECTOR('',#365987,10.); #99793=VECTOR('',#365988,10.); #99794=VECTOR('',#365991,10.); #99795=VECTOR('',#365992,10.); #99796=VECTOR('',#365993,10.); #99797=VECTOR('',#365996,10.); #99798=VECTOR('',#365997,10.); #99799=VECTOR('',#365998,10.); #99800=VECTOR('',#366001,10.); #99801=VECTOR('',#366002,10.); #99802=VECTOR('',#366003,10.); #99803=VECTOR('',#366006,10.); #99804=VECTOR('',#366007,10.); #99805=VECTOR('',#366008,10.); #99806=VECTOR('',#366011,10.); #99807=VECTOR('',#366012,10.); #99808=VECTOR('',#366013,10.); #99809=VECTOR('',#366016,10.); #99810=VECTOR('',#366017,10.); #99811=VECTOR('',#366018,10.); #99812=VECTOR('',#366021,10.); #99813=VECTOR('',#366022,10.); #99814=VECTOR('',#366023,10.); #99815=VECTOR('',#366026,10.); #99816=VECTOR('',#366027,10.); #99817=VECTOR('',#366028,10.); #99818=VECTOR('',#366031,10.); #99819=VECTOR('',#366032,10.); #99820=VECTOR('',#366033,10.); #99821=VECTOR('',#366036,10.); #99822=VECTOR('',#366037,10.); #99823=VECTOR('',#366038,10.); #99824=VECTOR('',#366041,10.); #99825=VECTOR('',#366042,10.); #99826=VECTOR('',#366043,10.); #99827=VECTOR('',#366046,10.); #99828=VECTOR('',#366047,10.); #99829=VECTOR('',#366048,10.); #99830=VECTOR('',#366051,10.); #99831=VECTOR('',#366052,10.); #99832=VECTOR('',#366053,10.); #99833=VECTOR('',#366056,10.); #99834=VECTOR('',#366057,10.); #99835=VECTOR('',#366058,10.); #99836=VECTOR('',#366061,10.); #99837=VECTOR('',#366062,10.); #99838=VECTOR('',#366063,10.); #99839=VECTOR('',#366066,10.); #99840=VECTOR('',#366067,10.); #99841=VECTOR('',#366068,10.); #99842=VECTOR('',#366071,10.); #99843=VECTOR('',#366072,10.); #99844=VECTOR('',#366073,10.); #99845=VECTOR('',#366076,10.); #99846=VECTOR('',#366077,10.); #99847=VECTOR('',#366078,10.); #99848=VECTOR('',#366081,10.); #99849=VECTOR('',#366082,10.); #99850=VECTOR('',#366083,10.); #99851=VECTOR('',#366086,10.); #99852=VECTOR('',#366087,10.); #99853=VECTOR('',#366088,10.); #99854=VECTOR('',#366091,10.); #99855=VECTOR('',#366092,10.); #99856=VECTOR('',#366093,10.); #99857=VECTOR('',#366096,10.); #99858=VECTOR('',#366097,10.); #99859=VECTOR('',#366098,10.); #99860=VECTOR('',#366101,10.); #99861=VECTOR('',#366102,10.); #99862=VECTOR('',#366103,10.); #99863=VECTOR('',#366106,10.); #99864=VECTOR('',#366107,10.); #99865=VECTOR('',#366108,10.); #99866=VECTOR('',#366111,10.); #99867=VECTOR('',#366112,10.); #99868=VECTOR('',#366113,10.); #99869=VECTOR('',#366116,10.); #99870=VECTOR('',#366117,10.); #99871=VECTOR('',#366118,10.); #99872=VECTOR('',#366121,10.); #99873=VECTOR('',#366122,10.); #99874=VECTOR('',#366123,10.); #99875=VECTOR('',#366126,10.); #99876=VECTOR('',#366127,10.); #99877=VECTOR('',#366128,10.); #99878=VECTOR('',#366131,10.); #99879=VECTOR('',#366132,10.); #99880=VECTOR('',#366133,10.); #99881=VECTOR('',#366136,10.); #99882=VECTOR('',#366137,10.); #99883=VECTOR('',#366138,10.); #99884=VECTOR('',#366141,10.); #99885=VECTOR('',#366142,10.); #99886=VECTOR('',#366143,10.); #99887=VECTOR('',#366146,10.); #99888=VECTOR('',#366147,10.); #99889=VECTOR('',#366148,10.); #99890=VECTOR('',#366151,10.); #99891=VECTOR('',#366152,10.); #99892=VECTOR('',#366153,10.); #99893=VECTOR('',#366156,10.); #99894=VECTOR('',#366157,10.); #99895=VECTOR('',#366158,10.); #99896=VECTOR('',#366161,10.); #99897=VECTOR('',#366162,10.); #99898=VECTOR('',#366163,10.); #99899=VECTOR('',#366166,10.); #99900=VECTOR('',#366167,10.); #99901=VECTOR('',#366168,10.); #99902=VECTOR('',#366171,10.); #99903=VECTOR('',#366172,10.); #99904=VECTOR('',#366173,10.); #99905=VECTOR('',#366176,10.); #99906=VECTOR('',#366177,10.); #99907=VECTOR('',#366178,10.); #99908=VECTOR('',#366181,10.); #99909=VECTOR('',#366182,10.); #99910=VECTOR('',#366183,10.); #99911=VECTOR('',#366186,10.); #99912=VECTOR('',#366187,10.); #99913=VECTOR('',#366188,10.); #99914=VECTOR('',#366191,10.); #99915=VECTOR('',#366192,10.); #99916=VECTOR('',#366193,10.); #99917=VECTOR('',#366196,10.); #99918=VECTOR('',#366197,10.); #99919=VECTOR('',#366198,10.); #99920=VECTOR('',#366201,10.); #99921=VECTOR('',#366202,10.); #99922=VECTOR('',#366203,10.); #99923=VECTOR('',#366206,10.); #99924=VECTOR('',#366207,10.); #99925=VECTOR('',#366208,10.); #99926=VECTOR('',#366211,10.); #99927=VECTOR('',#366212,10.); #99928=VECTOR('',#366213,10.); #99929=VECTOR('',#366216,10.); #99930=VECTOR('',#366217,10.); #99931=VECTOR('',#366218,10.); #99932=VECTOR('',#366221,10.); #99933=VECTOR('',#366222,10.); #99934=VECTOR('',#366223,10.); #99935=VECTOR('',#366226,10.); #99936=VECTOR('',#366227,10.); #99937=VECTOR('',#366228,10.); #99938=VECTOR('',#366231,10.); #99939=VECTOR('',#366232,10.); #99940=VECTOR('',#366233,10.); #99941=VECTOR('',#366236,10.); #99942=VECTOR('',#366237,10.); #99943=VECTOR('',#366238,10.); #99944=VECTOR('',#366241,10.); #99945=VECTOR('',#366242,10.); #99946=VECTOR('',#366243,10.); #99947=VECTOR('',#366246,10.); #99948=VECTOR('',#366247,10.); #99949=VECTOR('',#366248,10.); #99950=VECTOR('',#366251,10.); #99951=VECTOR('',#366252,10.); #99952=VECTOR('',#366253,10.); #99953=VECTOR('',#366256,10.); #99954=VECTOR('',#366257,10.); #99955=VECTOR('',#366258,10.); #99956=VECTOR('',#366261,10.); #99957=VECTOR('',#366262,10.); #99958=VECTOR('',#366263,10.); #99959=VECTOR('',#366266,10.); #99960=VECTOR('',#366267,10.); #99961=VECTOR('',#366268,10.); #99962=VECTOR('',#366271,10.); #99963=VECTOR('',#366272,10.); #99964=VECTOR('',#366273,10.); #99965=VECTOR('',#366276,10.); #99966=VECTOR('',#366277,10.); #99967=VECTOR('',#366278,10.); #99968=VECTOR('',#366281,10.); #99969=VECTOR('',#366282,10.); #99970=VECTOR('',#366283,10.); #99971=VECTOR('',#366286,10.); #99972=VECTOR('',#366287,10.); #99973=VECTOR('',#366288,10.); #99974=VECTOR('',#366291,10.); #99975=VECTOR('',#366292,10.); #99976=VECTOR('',#366293,10.); #99977=VECTOR('',#366296,10.); #99978=VECTOR('',#366297,10.); #99979=VECTOR('',#366298,10.); #99980=VECTOR('',#366301,10.); #99981=VECTOR('',#366302,10.); #99982=VECTOR('',#366303,10.); #99983=VECTOR('',#366306,10.); #99984=VECTOR('',#366307,10.); #99985=VECTOR('',#366308,10.); #99986=VECTOR('',#366311,10.); #99987=VECTOR('',#366312,10.); #99988=VECTOR('',#366313,10.); #99989=VECTOR('',#366316,10.); #99990=VECTOR('',#366317,10.); #99991=VECTOR('',#366318,10.); #99992=VECTOR('',#366321,10.); #99993=VECTOR('',#366322,10.); #99994=VECTOR('',#366323,10.); #99995=VECTOR('',#366326,10.); #99996=VECTOR('',#366327,10.); #99997=VECTOR('',#366328,10.); #99998=VECTOR('',#366331,10.); #99999=VECTOR('',#366332,10.); #100000=VECTOR('',#366333,10.); #100001=VECTOR('',#366336,10.); #100002=VECTOR('',#366337,10.); #100003=VECTOR('',#366338,10.); #100004=VECTOR('',#366341,10.); #100005=VECTOR('',#366342,10.); #100006=VECTOR('',#366343,10.); #100007=VECTOR('',#366346,10.); #100008=VECTOR('',#366347,10.); #100009=VECTOR('',#366348,10.); #100010=VECTOR('',#366351,10.); #100011=VECTOR('',#366352,10.); #100012=VECTOR('',#366353,10.); #100013=VECTOR('',#366356,10.); #100014=VECTOR('',#366357,10.); #100015=VECTOR('',#366358,10.); #100016=VECTOR('',#366361,10.); #100017=VECTOR('',#366362,10.); #100018=VECTOR('',#366363,10.); #100019=VECTOR('',#366366,10.); #100020=VECTOR('',#366367,10.); #100021=VECTOR('',#366368,10.); #100022=VECTOR('',#366371,10.); #100023=VECTOR('',#366372,10.); #100024=VECTOR('',#366373,10.); #100025=VECTOR('',#366376,10.); #100026=VECTOR('',#366377,10.); #100027=VECTOR('',#366378,10.); #100028=VECTOR('',#366381,10.); #100029=VECTOR('',#366382,10.); #100030=VECTOR('',#366383,10.); #100031=VECTOR('',#366386,10.); #100032=VECTOR('',#366387,10.); #100033=VECTOR('',#366388,10.); #100034=VECTOR('',#366391,10.); #100035=VECTOR('',#366392,10.); #100036=VECTOR('',#366393,10.); #100037=VECTOR('',#366396,10.); #100038=VECTOR('',#366397,10.); #100039=VECTOR('',#366398,10.); #100040=VECTOR('',#366401,10.); #100041=VECTOR('',#366402,10.); #100042=VECTOR('',#366403,10.); #100043=VECTOR('',#366406,10.); #100044=VECTOR('',#366407,10.); #100045=VECTOR('',#366408,10.); #100046=VECTOR('',#366411,10.); #100047=VECTOR('',#366412,10.); #100048=VECTOR('',#366413,10.); #100049=VECTOR('',#366416,10.); #100050=VECTOR('',#366417,10.); #100051=VECTOR('',#366418,10.); #100052=VECTOR('',#366421,10.); #100053=VECTOR('',#366422,10.); #100054=VECTOR('',#366423,10.); #100055=VECTOR('',#366426,10.); #100056=VECTOR('',#366427,10.); #100057=VECTOR('',#366428,10.); #100058=VECTOR('',#366431,10.); #100059=VECTOR('',#366432,10.); #100060=VECTOR('',#366433,10.); #100061=VECTOR('',#366436,10.); #100062=VECTOR('',#366437,10.); #100063=VECTOR('',#366438,10.); #100064=VECTOR('',#366441,10.); #100065=VECTOR('',#366442,10.); #100066=VECTOR('',#366443,10.); #100067=VECTOR('',#366446,10.); #100068=VECTOR('',#366447,10.); #100069=VECTOR('',#366448,10.); #100070=VECTOR('',#366451,10.); #100071=VECTOR('',#366452,10.); #100072=VECTOR('',#366453,10.); #100073=VECTOR('',#366456,10.); #100074=VECTOR('',#366457,10.); #100075=VECTOR('',#366458,10.); #100076=VECTOR('',#366461,10.); #100077=VECTOR('',#366462,10.); #100078=VECTOR('',#366463,10.); #100079=VECTOR('',#366466,10.); #100080=VECTOR('',#366467,10.); #100081=VECTOR('',#366468,10.); #100082=VECTOR('',#366471,10.); #100083=VECTOR('',#366472,10.); #100084=VECTOR('',#366473,10.); #100085=VECTOR('',#366476,10.); #100086=VECTOR('',#366477,10.); #100087=VECTOR('',#366478,10.); #100088=VECTOR('',#366481,10.); #100089=VECTOR('',#366482,10.); #100090=VECTOR('',#366483,10.); #100091=VECTOR('',#366486,10.); #100092=VECTOR('',#366487,10.); #100093=VECTOR('',#366488,10.); #100094=VECTOR('',#366491,10.); #100095=VECTOR('',#366492,10.); #100096=VECTOR('',#366493,10.); #100097=VECTOR('',#366500,10.); #100098=VECTOR('',#366503,10.); #100099=VECTOR('',#366504,10.); #100100=VECTOR('',#366505,10.); #100101=VECTOR('',#366512,10.); #100102=VECTOR('',#366515,10.); #100103=VECTOR('',#366516,10.); #100104=VECTOR('',#366517,10.); #100105=VECTOR('',#366520,10.); #100106=VECTOR('',#366521,10.); #100107=VECTOR('',#366522,10.); #100108=VECTOR('',#366525,10.); #100109=VECTOR('',#366526,10.); #100110=VECTOR('',#366527,10.); #100111=VECTOR('',#366530,10.); #100112=VECTOR('',#366531,10.); #100113=VECTOR('',#366532,10.); #100114=VECTOR('',#366535,10.); #100115=VECTOR('',#366536,10.); #100116=VECTOR('',#366545,0.465); #100117=VECTOR('',#366550,10.); #100118=VECTOR('',#366551,10.); #100119=VECTOR('',#366552,10.); #100120=VECTOR('',#366553,10.); #100121=VECTOR('',#366560,10.); #100122=VECTOR('',#366573,10.); #100123=VECTOR('',#366574,10.); #100124=VECTOR('',#366575,10.); #100125=VECTOR('',#366576,10.); #100126=VECTOR('',#366579,10.); #100127=VECTOR('',#366580,10.); #100128=VECTOR('',#366581,10.); #100129=VECTOR('',#366584,10.); #100130=VECTOR('',#366585,10.); #100131=VECTOR('',#366586,10.); #100132=VECTOR('',#366589,10.); #100133=VECTOR('',#366590,10.); #100134=VECTOR('',#366591,10.); #100135=VECTOR('',#366594,10.); #100136=VECTOR('',#366595,10.); #100137=VECTOR('',#366596,10.); #100138=VECTOR('',#366599,10.); #100139=VECTOR('',#366600,10.); #100140=VECTOR('',#366601,10.); #100141=VECTOR('',#366604,10.); #100142=VECTOR('',#366605,10.); #100143=VECTOR('',#366606,10.); #100144=VECTOR('',#366609,10.); #100145=VECTOR('',#366610,10.); #100146=VECTOR('',#366611,10.); #100147=VECTOR('',#366614,10.); #100148=VECTOR('',#366615,10.); #100149=VECTOR('',#366616,10.); #100150=VECTOR('',#366619,10.); #100151=VECTOR('',#366620,10.); #100152=VECTOR('',#366621,10.); #100153=VECTOR('',#366624,10.); #100154=VECTOR('',#366625,10.); #100155=VECTOR('',#366626,10.); #100156=VECTOR('',#366629,10.); #100157=VECTOR('',#366630,10.); #100158=VECTOR('',#366631,10.); #100159=VECTOR('',#366634,10.); #100160=VECTOR('',#366635,10.); #100161=VECTOR('',#366636,10.); #100162=VECTOR('',#366639,10.); #100163=VECTOR('',#366640,10.); #100164=VECTOR('',#366641,10.); #100165=VECTOR('',#366644,10.); #100166=VECTOR('',#366645,10.); #100167=VECTOR('',#366646,10.); #100168=VECTOR('',#366649,10.); #100169=VECTOR('',#366650,10.); #100170=VECTOR('',#366651,10.); #100171=VECTOR('',#366654,10.); #100172=VECTOR('',#366655,10.); #100173=VECTOR('',#366656,10.); #100174=VECTOR('',#366659,10.); #100175=VECTOR('',#366660,10.); #100176=VECTOR('',#366661,10.); #100177=VECTOR('',#366664,10.); #100178=VECTOR('',#366665,10.); #100179=VECTOR('',#366666,10.); #100180=VECTOR('',#366669,10.); #100181=VECTOR('',#366670,10.); #100182=VECTOR('',#366677,10.); #100183=VECTOR('',#366678,10.); #100184=VECTOR('',#366679,10.); #100185=VECTOR('',#366680,10.); #100186=VECTOR('',#366683,10.); #100187=VECTOR('',#366684,10.); #100188=VECTOR('',#366685,10.); #100189=VECTOR('',#366688,10.); #100190=VECTOR('',#366689,10.); #100191=VECTOR('',#366690,10.); #100192=VECTOR('',#366693,10.); #100193=VECTOR('',#366694,10.); #100194=VECTOR('',#366695,10.); #100195=VECTOR('',#366698,10.); #100196=VECTOR('',#366699,10.); #100197=VECTOR('',#366700,10.); #100198=VECTOR('',#366703,10.); #100199=VECTOR('',#366704,10.); #100200=VECTOR('',#366705,10.); #100201=VECTOR('',#366708,10.); #100202=VECTOR('',#366709,10.); #100203=VECTOR('',#366710,10.); #100204=VECTOR('',#366713,10.); #100205=VECTOR('',#366714,10.); #100206=VECTOR('',#366715,10.); #100207=VECTOR('',#366718,10.); #100208=VECTOR('',#366719,10.); #100209=VECTOR('',#366720,10.); #100210=VECTOR('',#366723,10.); #100211=VECTOR('',#366724,10.); #100212=VECTOR('',#366725,10.); #100213=VECTOR('',#366728,10.); #100214=VECTOR('',#366729,10.); #100215=VECTOR('',#366730,10.); #100216=VECTOR('',#366733,10.); #100217=VECTOR('',#366734,10.); #100218=VECTOR('',#366735,10.); #100219=VECTOR('',#366738,10.); #100220=VECTOR('',#366739,10.); #100221=VECTOR('',#366740,10.); #100222=VECTOR('',#366743,10.); #100223=VECTOR('',#366744,10.); #100224=VECTOR('',#366745,10.); #100225=VECTOR('',#366748,10.); #100226=VECTOR('',#366749,10.); #100227=VECTOR('',#366750,10.); #100228=VECTOR('',#366753,10.); #100229=VECTOR('',#366754,10.); #100230=VECTOR('',#366755,10.); #100231=VECTOR('',#366758,10.); #100232=VECTOR('',#366759,10.); #100233=VECTOR('',#366760,10.); #100234=VECTOR('',#366763,10.); #100235=VECTOR('',#366764,10.); #100236=VECTOR('',#366765,10.); #100237=VECTOR('',#366768,10.); #100238=VECTOR('',#366769,10.); #100239=VECTOR('',#366770,10.); #100240=VECTOR('',#366773,10.); #100241=VECTOR('',#366774,10.); #100242=VECTOR('',#366775,10.); #100243=VECTOR('',#366778,10.); #100244=VECTOR('',#366779,10.); #100245=VECTOR('',#366786,10.); #100246=VECTOR('',#366787,10.); #100247=VECTOR('',#366788,10.); #100248=VECTOR('',#366789,10.); #100249=VECTOR('',#366792,10.); #100250=VECTOR('',#366793,10.); #100251=VECTOR('',#366794,10.); #100252=VECTOR('',#366797,10.); #100253=VECTOR('',#366798,10.); #100254=VECTOR('',#366799,10.); #100255=VECTOR('',#366802,10.); #100256=VECTOR('',#366803,10.); #100257=VECTOR('',#366804,10.); #100258=VECTOR('',#366807,10.); #100259=VECTOR('',#366808,10.); #100260=VECTOR('',#366809,10.); #100261=VECTOR('',#366812,10.); #100262=VECTOR('',#366813,10.); #100263=VECTOR('',#366814,10.); #100264=VECTOR('',#366817,10.); #100265=VECTOR('',#366818,10.); #100266=VECTOR('',#366819,10.); #100267=VECTOR('',#366822,10.); #100268=VECTOR('',#366823,10.); #100269=VECTOR('',#366824,10.); #100270=VECTOR('',#366827,10.); #100271=VECTOR('',#366828,10.); #100272=VECTOR('',#366829,10.); #100273=VECTOR('',#366832,10.); #100274=VECTOR('',#366833,10.); #100275=VECTOR('',#366834,10.); #100276=VECTOR('',#366837,10.); #100277=VECTOR('',#366838,10.); #100278=VECTOR('',#366839,10.); #100279=VECTOR('',#366842,10.); #100280=VECTOR('',#366843,10.); #100281=VECTOR('',#366844,10.); #100282=VECTOR('',#366847,10.); #100283=VECTOR('',#366848,10.); #100284=VECTOR('',#366849,10.); #100285=VECTOR('',#366852,10.); #100286=VECTOR('',#366853,10.); #100287=VECTOR('',#366854,10.); #100288=VECTOR('',#366857,10.); #100289=VECTOR('',#366858,10.); #100290=VECTOR('',#366859,10.); #100291=VECTOR('',#366862,10.); #100292=VECTOR('',#366863,10.); #100293=VECTOR('',#366864,10.); #100294=VECTOR('',#366867,10.); #100295=VECTOR('',#366868,10.); #100296=VECTOR('',#366869,10.); #100297=VECTOR('',#366872,10.); #100298=VECTOR('',#366873,10.); #100299=VECTOR('',#366874,10.); #100300=VECTOR('',#366877,10.); #100301=VECTOR('',#366878,10.); #100302=VECTOR('',#366879,10.); #100303=VECTOR('',#366882,10.); #100304=VECTOR('',#366883,10.); #100305=VECTOR('',#366890,10.); #100306=VECTOR('',#366891,10.); #100307=VECTOR('',#366892,10.); #100308=VECTOR('',#366893,10.); #100309=VECTOR('',#366896,10.); #100310=VECTOR('',#366897,10.); #100311=VECTOR('',#366898,10.); #100312=VECTOR('',#366901,10.); #100313=VECTOR('',#366902,10.); #100314=VECTOR('',#366903,10.); #100315=VECTOR('',#366906,10.); #100316=VECTOR('',#366907,10.); #100317=VECTOR('',#366908,10.); #100318=VECTOR('',#366911,10.); #100319=VECTOR('',#366912,10.); #100320=VECTOR('',#366913,10.); #100321=VECTOR('',#366916,10.); #100322=VECTOR('',#366917,10.); #100323=VECTOR('',#366918,10.); #100324=VECTOR('',#366921,10.); #100325=VECTOR('',#366922,10.); #100326=VECTOR('',#366923,10.); #100327=VECTOR('',#366926,10.); #100328=VECTOR('',#366927,10.); #100329=VECTOR('',#366928,10.); #100330=VECTOR('',#366931,10.); #100331=VECTOR('',#366932,10.); #100332=VECTOR('',#366933,10.); #100333=VECTOR('',#366936,10.); #100334=VECTOR('',#366937,10.); #100335=VECTOR('',#366938,10.); #100336=VECTOR('',#366941,10.); #100337=VECTOR('',#366942,10.); #100338=VECTOR('',#366943,10.); #100339=VECTOR('',#366946,10.); #100340=VECTOR('',#366947,10.); #100341=VECTOR('',#366948,10.); #100342=VECTOR('',#366951,10.); #100343=VECTOR('',#366952,10.); #100344=VECTOR('',#366953,10.); #100345=VECTOR('',#366956,10.); #100346=VECTOR('',#366957,10.); #100347=VECTOR('',#366958,10.); #100348=VECTOR('',#366961,10.); #100349=VECTOR('',#366962,10.); #100350=VECTOR('',#366963,10.); #100351=VECTOR('',#366966,10.); #100352=VECTOR('',#366967,10.); #100353=VECTOR('',#366968,10.); #100354=VECTOR('',#366971,10.); #100355=VECTOR('',#366972,10.); #100356=VECTOR('',#366973,10.); #100357=VECTOR('',#366976,10.); #100358=VECTOR('',#366977,10.); #100359=VECTOR('',#366978,10.); #100360=VECTOR('',#366981,10.); #100361=VECTOR('',#366982,10.); #100362=VECTOR('',#366983,10.); #100363=VECTOR('',#366986,10.); #100364=VECTOR('',#366987,10.); #100365=VECTOR('',#366988,10.); #100366=VECTOR('',#366991,10.); #100367=VECTOR('',#366992,10.); #100368=VECTOR('',#367001,0.140000000000002); #100369=VECTOR('',#367008,0.140000000000002); #100370=VECTOR('',#367015,0.140000000000002); #100371=VECTOR('',#367020,10.); #100372=VECTOR('',#367021,10.); #100373=VECTOR('',#367022,10.); #100374=VECTOR('',#367023,10.); #100375=VECTOR('',#367026,10.); #100376=VECTOR('',#367027,10.); #100377=VECTOR('',#367028,10.); #100378=VECTOR('',#367031,10.); #100379=VECTOR('',#367032,10.); #100380=VECTOR('',#367033,10.); #100381=VECTOR('',#367036,10.); #100382=VECTOR('',#367037,10.); #100383=VECTOR('',#367038,10.); #100384=VECTOR('',#367041,10.); #100385=VECTOR('',#367042,10.); #100386=VECTOR('',#367043,10.); #100387=VECTOR('',#367046,10.); #100388=VECTOR('',#367047,10.); #100389=VECTOR('',#367048,10.); #100390=VECTOR('',#367051,10.); #100391=VECTOR('',#367052,10.); #100392=VECTOR('',#367053,10.); #100393=VECTOR('',#367056,10.); #100394=VECTOR('',#367057,10.); #100395=VECTOR('',#367058,10.); #100396=VECTOR('',#367061,10.); #100397=VECTOR('',#367062,10.); #100398=VECTOR('',#367063,10.); #100399=VECTOR('',#367066,10.); #100400=VECTOR('',#367067,10.); #100401=VECTOR('',#367068,10.); #100402=VECTOR('',#367071,10.); #100403=VECTOR('',#367072,10.); #100404=VECTOR('',#367073,10.); #100405=VECTOR('',#367076,10.); #100406=VECTOR('',#367077,10.); #100407=VECTOR('',#367078,10.); #100408=VECTOR('',#367081,10.); #100409=VECTOR('',#367082,10.); #100410=VECTOR('',#367083,10.); #100411=VECTOR('',#367086,10.); #100412=VECTOR('',#367087,10.); #100413=VECTOR('',#367088,10.); #100414=VECTOR('',#367091,10.); #100415=VECTOR('',#367092,10.); #100416=VECTOR('',#367093,10.); #100417=VECTOR('',#367096,10.); #100418=VECTOR('',#367097,10.); #100419=VECTOR('',#367098,10.); #100420=VECTOR('',#367101,10.); #100421=VECTOR('',#367102,10.); #100422=VECTOR('',#367103,10.); #100423=VECTOR('',#367106,10.); #100424=VECTOR('',#367107,10.); #100425=VECTOR('',#367108,10.); #100426=VECTOR('',#367111,10.); #100427=VECTOR('',#367112,10.); #100428=VECTOR('',#367113,10.); #100429=VECTOR('',#367116,10.); #100430=VECTOR('',#367117,10.); #100431=VECTOR('',#367118,10.); #100432=VECTOR('',#367121,10.); #100433=VECTOR('',#367122,10.); #100434=VECTOR('',#367123,10.); #100435=VECTOR('',#367126,10.); #100436=VECTOR('',#367127,10.); #100437=VECTOR('',#367128,10.); #100438=VECTOR('',#367131,10.); #100439=VECTOR('',#367132,10.); #100440=VECTOR('',#367133,10.); #100441=VECTOR('',#367136,10.); #100442=VECTOR('',#367137,10.); #100443=VECTOR('',#367138,10.); #100444=VECTOR('',#367141,10.); #100445=VECTOR('',#367142,10.); #100446=VECTOR('',#367143,10.); #100447=VECTOR('',#367146,10.); #100448=VECTOR('',#367147,10.); #100449=VECTOR('',#367148,10.); #100450=VECTOR('',#367151,10.); #100451=VECTOR('',#367152,10.); #100452=VECTOR('',#367153,10.); #100453=VECTOR('',#367156,10.); #100454=VECTOR('',#367157,10.); #100455=VECTOR('',#367158,10.); #100456=VECTOR('',#367161,10.); #100457=VECTOR('',#367162,10.); #100458=VECTOR('',#367163,10.); #100459=VECTOR('',#367166,10.); #100460=VECTOR('',#367167,10.); #100461=VECTOR('',#367168,10.); #100462=VECTOR('',#367171,10.); #100463=VECTOR('',#367172,10.); #100464=VECTOR('',#367173,10.); #100465=VECTOR('',#367176,10.); #100466=VECTOR('',#367177,10.); #100467=VECTOR('',#367178,10.); #100468=VECTOR('',#367181,10.); #100469=VECTOR('',#367182,10.); #100470=VECTOR('',#367183,10.); #100471=VECTOR('',#367186,10.); #100472=VECTOR('',#367187,10.); #100473=VECTOR('',#367188,10.); #100474=VECTOR('',#367191,10.); #100475=VECTOR('',#367192,10.); #100476=VECTOR('',#367193,10.); #100477=VECTOR('',#367196,10.); #100478=VECTOR('',#367197,10.); #100479=VECTOR('',#367198,10.); #100480=VECTOR('',#367201,10.); #100481=VECTOR('',#367202,10.); #100482=VECTOR('',#367203,10.); #100483=VECTOR('',#367206,10.); #100484=VECTOR('',#367207,10.); #100485=VECTOR('',#367208,10.); #100486=VECTOR('',#367211,10.); #100487=VECTOR('',#367212,10.); #100488=VECTOR('',#367213,10.); #100489=VECTOR('',#367216,10.); #100490=VECTOR('',#367217,10.); #100491=VECTOR('',#367218,10.); #100492=VECTOR('',#367221,10.); #100493=VECTOR('',#367222,10.); #100494=VECTOR('',#367223,10.); #100495=VECTOR('',#367226,10.); #100496=VECTOR('',#367227,10.); #100497=VECTOR('',#367228,10.); #100498=VECTOR('',#367231,10.); #100499=VECTOR('',#367232,10.); #100500=VECTOR('',#367233,10.); #100501=VECTOR('',#367236,10.); #100502=VECTOR('',#367237,10.); #100503=VECTOR('',#367238,10.); #100504=VECTOR('',#367241,10.); #100505=VECTOR('',#367242,10.); #100506=VECTOR('',#367243,10.); #100507=VECTOR('',#367246,10.); #100508=VECTOR('',#367247,10.); #100509=VECTOR('',#367248,10.); #100510=VECTOR('',#367251,10.); #100511=VECTOR('',#367252,10.); #100512=VECTOR('',#367253,10.); #100513=VECTOR('',#367256,10.); #100514=VECTOR('',#367257,10.); #100515=VECTOR('',#367258,10.); #100516=VECTOR('',#367261,10.); #100517=VECTOR('',#367262,10.); #100518=VECTOR('',#367263,10.); #100519=VECTOR('',#367266,10.); #100520=VECTOR('',#367267,10.); #100521=VECTOR('',#367268,10.); #100522=VECTOR('',#367271,10.); #100523=VECTOR('',#367272,10.); #100524=VECTOR('',#367273,10.); #100525=VECTOR('',#367276,10.); #100526=VECTOR('',#367277,10.); #100527=VECTOR('',#367278,10.); #100528=VECTOR('',#367281,10.); #100529=VECTOR('',#367282,10.); #100530=VECTOR('',#367283,10.); #100531=VECTOR('',#367286,10.); #100532=VECTOR('',#367287,10.); #100533=VECTOR('',#367288,10.); #100534=VECTOR('',#367291,10.); #100535=VECTOR('',#367292,10.); #100536=VECTOR('',#367293,10.); #100537=VECTOR('',#367296,10.); #100538=VECTOR('',#367297,10.); #100539=VECTOR('',#367298,10.); #100540=VECTOR('',#367301,10.); #100541=VECTOR('',#367302,10.); #100542=VECTOR('',#367303,10.); #100543=VECTOR('',#367306,10.); #100544=VECTOR('',#367307,10.); #100545=VECTOR('',#367308,10.); #100546=VECTOR('',#367311,10.); #100547=VECTOR('',#367312,10.); #100548=VECTOR('',#367313,10.); #100549=VECTOR('',#367316,10.); #100550=VECTOR('',#367317,10.); #100551=VECTOR('',#367318,10.); #100552=VECTOR('',#367321,10.); #100553=VECTOR('',#367322,10.); #100554=VECTOR('',#367323,10.); #100555=VECTOR('',#367326,10.); #100556=VECTOR('',#367327,10.); #100557=VECTOR('',#367328,10.); #100558=VECTOR('',#367331,10.); #100559=VECTOR('',#367332,10.); #100560=VECTOR('',#367333,10.); #100561=VECTOR('',#367336,10.); #100562=VECTOR('',#367337,10.); #100563=VECTOR('',#367338,10.); #100564=VECTOR('',#367341,10.); #100565=VECTOR('',#367342,10.); #100566=VECTOR('',#367343,10.); #100567=VECTOR('',#367346,10.); #100568=VECTOR('',#367347,10.); #100569=VECTOR('',#367348,10.); #100570=VECTOR('',#367351,10.); #100571=VECTOR('',#367352,10.); #100572=VECTOR('',#367353,10.); #100573=VECTOR('',#367356,10.); #100574=VECTOR('',#367357,10.); #100575=VECTOR('',#367358,10.); #100576=VECTOR('',#367361,10.); #100577=VECTOR('',#367362,10.); #100578=VECTOR('',#367363,10.); #100579=VECTOR('',#367366,10.); #100580=VECTOR('',#367367,10.); #100581=VECTOR('',#367368,10.); #100582=VECTOR('',#367371,10.); #100583=VECTOR('',#367372,10.); #100584=VECTOR('',#367373,10.); #100585=VECTOR('',#367376,10.); #100586=VECTOR('',#367377,10.); #100587=VECTOR('',#367378,10.); #100588=VECTOR('',#367381,10.); #100589=VECTOR('',#367382,10.); #100590=VECTOR('',#367383,10.); #100591=VECTOR('',#367386,10.); #100592=VECTOR('',#367387,10.); #100593=VECTOR('',#367388,10.); #100594=VECTOR('',#367391,10.); #100595=VECTOR('',#367392,10.); #100596=VECTOR('',#367393,10.); #100597=VECTOR('',#367396,10.); #100598=VECTOR('',#367397,10.); #100599=VECTOR('',#367398,10.); #100600=VECTOR('',#367401,10.); #100601=VECTOR('',#367402,10.); #100602=VECTOR('',#367403,10.); #100603=VECTOR('',#367406,10.); #100604=VECTOR('',#367407,10.); #100605=VECTOR('',#367408,10.); #100606=VECTOR('',#367411,10.); #100607=VECTOR('',#367412,10.); #100608=VECTOR('',#367413,10.); #100609=VECTOR('',#367416,10.); #100610=VECTOR('',#367417,10.); #100611=VECTOR('',#367418,10.); #100612=VECTOR('',#367421,10.); #100613=VECTOR('',#367422,10.); #100614=VECTOR('',#367423,10.); #100615=VECTOR('',#367426,10.); #100616=VECTOR('',#367427,10.); #100617=VECTOR('',#367428,10.); #100618=VECTOR('',#367431,10.); #100619=VECTOR('',#367432,10.); #100620=VECTOR('',#367433,10.); #100621=VECTOR('',#367436,10.); #100622=VECTOR('',#367437,10.); #100623=VECTOR('',#367438,10.); #100624=VECTOR('',#367441,10.); #100625=VECTOR('',#367442,10.); #100626=VECTOR('',#367443,10.); #100627=VECTOR('',#367446,10.); #100628=VECTOR('',#367447,10.); #100629=VECTOR('',#367448,10.); #100630=VECTOR('',#367451,10.); #100631=VECTOR('',#367452,10.); #100632=VECTOR('',#367453,10.); #100633=VECTOR('',#367456,10.); #100634=VECTOR('',#367457,10.); #100635=VECTOR('',#367458,10.); #100636=VECTOR('',#367461,10.); #100637=VECTOR('',#367462,10.); #100638=VECTOR('',#367463,10.); #100639=VECTOR('',#367466,10.); #100640=VECTOR('',#367467,10.); #100641=VECTOR('',#367474,10.); #100642=VECTOR('',#367475,10.); #100643=VECTOR('',#367476,10.); #100644=VECTOR('',#367477,10.); #100645=VECTOR('',#367480,10.); #100646=VECTOR('',#367481,10.); #100647=VECTOR('',#367482,10.); #100648=VECTOR('',#367485,10.); #100649=VECTOR('',#367486,10.); #100650=VECTOR('',#367487,10.); #100651=VECTOR('',#367490,10.); #100652=VECTOR('',#367491,10.); #100653=VECTOR('',#367492,10.); #100654=VECTOR('',#367495,10.); #100655=VECTOR('',#367496,10.); #100656=VECTOR('',#367497,10.); #100657=VECTOR('',#367500,10.); #100658=VECTOR('',#367501,10.); #100659=VECTOR('',#367502,10.); #100660=VECTOR('',#367505,10.); #100661=VECTOR('',#367506,10.); #100662=VECTOR('',#367507,10.); #100663=VECTOR('',#367510,10.); #100664=VECTOR('',#367511,10.); #100665=VECTOR('',#367512,10.); #100666=VECTOR('',#367515,10.); #100667=VECTOR('',#367516,10.); #100668=VECTOR('',#367517,10.); #100669=VECTOR('',#367520,10.); #100670=VECTOR('',#367521,10.); #100671=VECTOR('',#367522,10.); #100672=VECTOR('',#367525,10.); #100673=VECTOR('',#367526,10.); #100674=VECTOR('',#367527,10.); #100675=VECTOR('',#367530,10.); #100676=VECTOR('',#367531,10.); #100677=VECTOR('',#367532,10.); #100678=VECTOR('',#367535,10.); #100679=VECTOR('',#367536,10.); #100680=VECTOR('',#367537,10.); #100681=VECTOR('',#367540,10.); #100682=VECTOR('',#367541,10.); #100683=VECTOR('',#367542,10.); #100684=VECTOR('',#367545,10.); #100685=VECTOR('',#367546,10.); #100686=VECTOR('',#367547,10.); #100687=VECTOR('',#367550,10.); #100688=VECTOR('',#367551,10.); #100689=VECTOR('',#367552,10.); #100690=VECTOR('',#367555,10.); #100691=VECTOR('',#367556,10.); #100692=VECTOR('',#367557,10.); #100693=VECTOR('',#367560,10.); #100694=VECTOR('',#367561,10.); #100695=VECTOR('',#367562,10.); #100696=VECTOR('',#367565,10.); #100697=VECTOR('',#367566,10.); #100698=VECTOR('',#367567,10.); #100699=VECTOR('',#367570,10.); #100700=VECTOR('',#367571,10.); #100701=VECTOR('',#367572,10.); #100702=VECTOR('',#367575,10.); #100703=VECTOR('',#367576,10.); #100704=VECTOR('',#367577,10.); #100705=VECTOR('',#367580,10.); #100706=VECTOR('',#367581,10.); #100707=VECTOR('',#367582,10.); #100708=VECTOR('',#367585,10.); #100709=VECTOR('',#367586,10.); #100710=VECTOR('',#367587,10.); #100711=VECTOR('',#367590,10.); #100712=VECTOR('',#367591,10.); #100713=VECTOR('',#367592,10.); #100714=VECTOR('',#367595,10.); #100715=VECTOR('',#367596,10.); #100716=VECTOR('',#367597,10.); #100717=VECTOR('',#367600,10.); #100718=VECTOR('',#367601,10.); #100719=VECTOR('',#367602,10.); #100720=VECTOR('',#367605,10.); #100721=VECTOR('',#367606,10.); #100722=VECTOR('',#367607,10.); #100723=VECTOR('',#367610,10.); #100724=VECTOR('',#367611,10.); #100725=VECTOR('',#367612,10.); #100726=VECTOR('',#367615,10.); #100727=VECTOR('',#367616,10.); #100728=VECTOR('',#367617,10.); #100729=VECTOR('',#367620,10.); #100730=VECTOR('',#367621,10.); #100731=VECTOR('',#367622,10.); #100732=VECTOR('',#367625,10.); #100733=VECTOR('',#367626,10.); #100734=VECTOR('',#367627,10.); #100735=VECTOR('',#367630,10.); #100736=VECTOR('',#367631,10.); #100737=VECTOR('',#367632,10.); #100738=VECTOR('',#367635,10.); #100739=VECTOR('',#367636,10.); #100740=VECTOR('',#367637,10.); #100741=VECTOR('',#367640,10.); #100742=VECTOR('',#367641,10.); #100743=VECTOR('',#367648,10.); #100744=VECTOR('',#367649,10.); #100745=VECTOR('',#367650,10.); #100746=VECTOR('',#367651,10.); #100747=VECTOR('',#367654,10.); #100748=VECTOR('',#367655,10.); #100749=VECTOR('',#367656,10.); #100750=VECTOR('',#367659,10.); #100751=VECTOR('',#367660,10.); #100752=VECTOR('',#367661,10.); #100753=VECTOR('',#367664,10.); #100754=VECTOR('',#367665,10.); #100755=VECTOR('',#367666,10.); #100756=VECTOR('',#367669,10.); #100757=VECTOR('',#367670,10.); #100758=VECTOR('',#367671,10.); #100759=VECTOR('',#367674,10.); #100760=VECTOR('',#367675,10.); #100761=VECTOR('',#367676,10.); #100762=VECTOR('',#367679,10.); #100763=VECTOR('',#367680,10.); #100764=VECTOR('',#367681,10.); #100765=VECTOR('',#367684,10.); #100766=VECTOR('',#367685,10.); #100767=VECTOR('',#367686,10.); #100768=VECTOR('',#367689,10.); #100769=VECTOR('',#367690,10.); #100770=VECTOR('',#367691,10.); #100771=VECTOR('',#367694,10.); #100772=VECTOR('',#367695,10.); #100773=VECTOR('',#367696,10.); #100774=VECTOR('',#367699,10.); #100775=VECTOR('',#367700,10.); #100776=VECTOR('',#367701,10.); #100777=VECTOR('',#367704,10.); #100778=VECTOR('',#367705,10.); #100779=VECTOR('',#367706,10.); #100780=VECTOR('',#367709,10.); #100781=VECTOR('',#367710,10.); #100782=VECTOR('',#367711,10.); #100783=VECTOR('',#367714,10.); #100784=VECTOR('',#367715,10.); #100785=VECTOR('',#367716,10.); #100786=VECTOR('',#367719,10.); #100787=VECTOR('',#367720,10.); #100788=VECTOR('',#367721,10.); #100789=VECTOR('',#367724,10.); #100790=VECTOR('',#367725,10.); #100791=VECTOR('',#367726,10.); #100792=VECTOR('',#367729,10.); #100793=VECTOR('',#367730,10.); #100794=VECTOR('',#367731,10.); #100795=VECTOR('',#367734,10.); #100796=VECTOR('',#367735,10.); #100797=VECTOR('',#367736,10.); #100798=VECTOR('',#367739,10.); #100799=VECTOR('',#367740,10.); #100800=VECTOR('',#367741,10.); #100801=VECTOR('',#367744,10.); #100802=VECTOR('',#367745,10.); #100803=VECTOR('',#367752,10.); #100804=VECTOR('',#367753,10.); #100805=VECTOR('',#367754,10.); #100806=VECTOR('',#367755,10.); #100807=VECTOR('',#367758,10.); #100808=VECTOR('',#367759,10.); #100809=VECTOR('',#367760,10.); #100810=VECTOR('',#367763,10.); #100811=VECTOR('',#367764,10.); #100812=VECTOR('',#367765,10.); #100813=VECTOR('',#367768,10.); #100814=VECTOR('',#367769,10.); #100815=VECTOR('',#367770,10.); #100816=VECTOR('',#367773,10.); #100817=VECTOR('',#367774,10.); #100818=VECTOR('',#367775,10.); #100819=VECTOR('',#367778,10.); #100820=VECTOR('',#367779,10.); #100821=VECTOR('',#367780,10.); #100822=VECTOR('',#367783,10.); #100823=VECTOR('',#367784,10.); #100824=VECTOR('',#367785,10.); #100825=VECTOR('',#367788,10.); #100826=VECTOR('',#367789,10.); #100827=VECTOR('',#367790,10.); #100828=VECTOR('',#367793,10.); #100829=VECTOR('',#367794,10.); #100830=VECTOR('',#367795,10.); #100831=VECTOR('',#367798,10.); #100832=VECTOR('',#367799,10.); #100833=VECTOR('',#367800,10.); #100834=VECTOR('',#367803,10.); #100835=VECTOR('',#367804,10.); #100836=VECTOR('',#367805,10.); #100837=VECTOR('',#367808,10.); #100838=VECTOR('',#367809,10.); #100839=VECTOR('',#367810,10.); #100840=VECTOR('',#367813,10.); #100841=VECTOR('',#367814,10.); #100842=VECTOR('',#367815,10.); #100843=VECTOR('',#367818,10.); #100844=VECTOR('',#367819,10.); #100845=VECTOR('',#367820,10.); #100846=VECTOR('',#367823,10.); #100847=VECTOR('',#367824,10.); #100848=VECTOR('',#367825,10.); #100849=VECTOR('',#367828,10.); #100850=VECTOR('',#367829,10.); #100851=VECTOR('',#367830,10.); #100852=VECTOR('',#367833,10.); #100853=VECTOR('',#367834,10.); #100854=VECTOR('',#367835,10.); #100855=VECTOR('',#367838,10.); #100856=VECTOR('',#367839,10.); #100857=VECTOR('',#367840,10.); #100858=VECTOR('',#367843,10.); #100859=VECTOR('',#367844,10.); #100860=VECTOR('',#367845,10.); #100861=VECTOR('',#367848,10.); #100862=VECTOR('',#367849,10.); #100863=VECTOR('',#367850,10.); #100864=VECTOR('',#367853,10.); #100865=VECTOR('',#367854,10.); #100866=VECTOR('',#367863,0.140000000000002); #100867=VECTOR('',#367868,10.); #100868=VECTOR('',#367869,10.); #100869=VECTOR('',#367870,10.); #100870=VECTOR('',#367871,10.); #100871=VECTOR('',#367878,10.); #100872=VECTOR('',#367881,10.); #100873=VECTOR('',#367882,10.); #100874=VECTOR('',#367883,10.); #100875=VECTOR('',#367894,0.514999999999999); #100876=VECTOR('',#367901,0.140000000000002); #100877=VECTOR('',#367908,0.140000000000002); #100878=VECTOR('',#367915,0.140000000000002); #100879=VECTOR('',#367922,0.140000000000002); #100880=VECTOR('',#367929,0.140000000000002); #100881=VECTOR('',#367936,0.140000000000002); #100882=VECTOR('',#367943,0.140000000000002); #100883=VECTOR('',#367950,0.140000000000002); #100884=VECTOR('',#367955,10.); #100885=VECTOR('',#367956,10.); #100886=VECTOR('',#367957,10.); #100887=VECTOR('',#367958,10.); #100888=VECTOR('',#367961,10.); #100889=VECTOR('',#367962,10.); #100890=VECTOR('',#367963,10.); #100891=VECTOR('',#367966,10.); #100892=VECTOR('',#367967,10.); #100893=VECTOR('',#367968,10.); #100894=VECTOR('',#367971,10.); #100895=VECTOR('',#367972,10.); #100896=VECTOR('',#367973,10.); #100897=VECTOR('',#367976,10.); #100898=VECTOR('',#367977,10.); #100899=VECTOR('',#367978,10.); #100900=VECTOR('',#367981,10.); #100901=VECTOR('',#367982,10.); #100902=VECTOR('',#367983,10.); #100903=VECTOR('',#367986,10.); #100904=VECTOR('',#367987,10.); #100905=VECTOR('',#367988,10.); #100906=VECTOR('',#367991,10.); #100907=VECTOR('',#367992,10.); #100908=VECTOR('',#367993,10.); #100909=VECTOR('',#367996,10.); #100910=VECTOR('',#367997,10.); #100911=VECTOR('',#367998,10.); #100912=VECTOR('',#368001,10.); #100913=VECTOR('',#368002,10.); #100914=VECTOR('',#368003,10.); #100915=VECTOR('',#368006,10.); #100916=VECTOR('',#368007,10.); #100917=VECTOR('',#368008,10.); #100918=VECTOR('',#368011,10.); #100919=VECTOR('',#368012,10.); #100920=VECTOR('',#368013,10.); #100921=VECTOR('',#368016,10.); #100922=VECTOR('',#368017,10.); #100923=VECTOR('',#368018,10.); #100924=VECTOR('',#368021,10.); #100925=VECTOR('',#368022,10.); #100926=VECTOR('',#368023,10.); #100927=VECTOR('',#368026,10.); #100928=VECTOR('',#368027,10.); #100929=VECTOR('',#368028,10.); #100930=VECTOR('',#368031,10.); #100931=VECTOR('',#368032,10.); #100932=VECTOR('',#368035,10.); #100933=VECTOR('',#368036,10.); #100934=VECTOR('',#368037,10.); #100935=VECTOR('',#368038,10.); #100936=VECTOR('',#368041,10.); #100937=VECTOR('',#368042,10.); #100938=VECTOR('',#368043,10.); #100939=VECTOR('',#368046,10.); #100940=VECTOR('',#368047,10.); #100941=VECTOR('',#368048,10.); #100942=VECTOR('',#368051,10.); #100943=VECTOR('',#368052,10.); #100944=VECTOR('',#368053,10.); #100945=VECTOR('',#368056,10.); #100946=VECTOR('',#368057,10.); #100947=VECTOR('',#368058,10.); #100948=VECTOR('',#368061,10.); #100949=VECTOR('',#368062,10.); #100950=VECTOR('',#368063,10.); #100951=VECTOR('',#368066,10.); #100952=VECTOR('',#368067,10.); #100953=VECTOR('',#368068,10.); #100954=VECTOR('',#368071,10.); #100955=VECTOR('',#368072,10.); #100956=VECTOR('',#368073,10.); #100957=VECTOR('',#368076,10.); #100958=VECTOR('',#368077,10.); #100959=VECTOR('',#368078,10.); #100960=VECTOR('',#368081,10.); #100961=VECTOR('',#368082,10.); #100962=VECTOR('',#368083,10.); #100963=VECTOR('',#368086,10.); #100964=VECTOR('',#368087,10.); #100965=VECTOR('',#368088,10.); #100966=VECTOR('',#368091,10.); #100967=VECTOR('',#368092,10.); #100968=VECTOR('',#368093,10.); #100969=VECTOR('',#368096,10.); #100970=VECTOR('',#368097,10.); #100971=VECTOR('',#368098,10.); #100972=VECTOR('',#368101,10.); #100973=VECTOR('',#368102,10.); #100974=VECTOR('',#368103,10.); #100975=VECTOR('',#368106,10.); #100976=VECTOR('',#368107,10.); #100977=VECTOR('',#368108,10.); #100978=VECTOR('',#368111,10.); #100979=VECTOR('',#368112,10.); #100980=VECTOR('',#368113,10.); #100981=VECTOR('',#368116,10.); #100982=VECTOR('',#368117,10.); #100983=VECTOR('',#368118,10.); #100984=VECTOR('',#368121,10.); #100985=VECTOR('',#368122,10.); #100986=VECTOR('',#368123,10.); #100987=VECTOR('',#368126,10.); #100988=VECTOR('',#368127,10.); #100989=VECTOR('',#368128,10.); #100990=VECTOR('',#368131,10.); #100991=VECTOR('',#368132,10.); #100992=VECTOR('',#368133,10.); #100993=VECTOR('',#368136,10.); #100994=VECTOR('',#368137,10.); #100995=VECTOR('',#368138,10.); #100996=VECTOR('',#368141,10.); #100997=VECTOR('',#368142,10.); #100998=VECTOR('',#368143,10.); #100999=VECTOR('',#368146,10.); #101000=VECTOR('',#368147,10.); #101001=VECTOR('',#368148,10.); #101002=VECTOR('',#368151,10.); #101003=VECTOR('',#368152,10.); #101004=VECTOR('',#368153,10.); #101005=VECTOR('',#368156,10.); #101006=VECTOR('',#368157,10.); #101007=VECTOR('',#368158,10.); #101008=VECTOR('',#368161,10.); #101009=VECTOR('',#368162,10.); #101010=VECTOR('',#368163,10.); #101011=VECTOR('',#368166,10.); #101012=VECTOR('',#368167,10.); #101013=VECTOR('',#368168,10.); #101014=VECTOR('',#368171,10.); #101015=VECTOR('',#368172,10.); #101016=VECTOR('',#368173,10.); #101017=VECTOR('',#368176,10.); #101018=VECTOR('',#368177,10.); #101019=VECTOR('',#368178,10.); #101020=VECTOR('',#368181,10.); #101021=VECTOR('',#368182,10.); #101022=VECTOR('',#368183,10.); #101023=VECTOR('',#368186,10.); #101024=VECTOR('',#368187,10.); #101025=VECTOR('',#368188,10.); #101026=VECTOR('',#368191,10.); #101027=VECTOR('',#368192,10.); #101028=VECTOR('',#368193,10.); #101029=VECTOR('',#368196,10.); #101030=VECTOR('',#368197,10.); #101031=VECTOR('',#368198,10.); #101032=VECTOR('',#368201,10.); #101033=VECTOR('',#368202,10.); #101034=VECTOR('',#368203,10.); #101035=VECTOR('',#368206,10.); #101036=VECTOR('',#368207,10.); #101037=VECTOR('',#368208,10.); #101038=VECTOR('',#368211,10.); #101039=VECTOR('',#368212,10.); #101040=VECTOR('',#368213,10.); #101041=VECTOR('',#368216,10.); #101042=VECTOR('',#368217,10.); #101043=VECTOR('',#368218,10.); #101044=VECTOR('',#368221,10.); #101045=VECTOR('',#368222,10.); #101046=VECTOR('',#368223,10.); #101047=VECTOR('',#368226,10.); #101048=VECTOR('',#368227,10.); #101049=VECTOR('',#368228,10.); #101050=VECTOR('',#368231,10.); #101051=VECTOR('',#368232,10.); #101052=VECTOR('',#368233,10.); #101053=VECTOR('',#368236,10.); #101054=VECTOR('',#368237,10.); #101055=VECTOR('',#368238,10.); #101056=VECTOR('',#368241,10.); #101057=VECTOR('',#368242,10.); #101058=VECTOR('',#368243,10.); #101059=VECTOR('',#368246,10.); #101060=VECTOR('',#368247,10.); #101061=VECTOR('',#368248,10.); #101062=VECTOR('',#368251,10.); #101063=VECTOR('',#368252,10.); #101064=VECTOR('',#368253,10.); #101065=VECTOR('',#368256,10.); #101066=VECTOR('',#368257,10.); #101067=VECTOR('',#368258,10.); #101068=VECTOR('',#368261,10.); #101069=VECTOR('',#368262,10.); #101070=VECTOR('',#368263,10.); #101071=VECTOR('',#368266,10.); #101072=VECTOR('',#368267,10.); #101073=VECTOR('',#368268,10.); #101074=VECTOR('',#368271,10.); #101075=VECTOR('',#368272,10.); #101076=VECTOR('',#368273,10.); #101077=VECTOR('',#368276,10.); #101078=VECTOR('',#368277,10.); #101079=VECTOR('',#368278,10.); #101080=VECTOR('',#368281,10.); #101081=VECTOR('',#368282,10.); #101082=VECTOR('',#368283,10.); #101083=VECTOR('',#368286,10.); #101084=VECTOR('',#368287,10.); #101085=VECTOR('',#368288,10.); #101086=VECTOR('',#368291,10.); #101087=VECTOR('',#368292,10.); #101088=VECTOR('',#368293,10.); #101089=VECTOR('',#368296,10.); #101090=VECTOR('',#368297,10.); #101091=VECTOR('',#368298,10.); #101092=VECTOR('',#368301,10.); #101093=VECTOR('',#368302,10.); #101094=VECTOR('',#368303,10.); #101095=VECTOR('',#368306,10.); #101096=VECTOR('',#368307,10.); #101097=VECTOR('',#368308,10.); #101098=VECTOR('',#368311,10.); #101099=VECTOR('',#368312,10.); #101100=VECTOR('',#368313,10.); #101101=VECTOR('',#368316,10.); #101102=VECTOR('',#368317,10.); #101103=VECTOR('',#368318,10.); #101104=VECTOR('',#368321,10.); #101105=VECTOR('',#368322,10.); #101106=VECTOR('',#368323,10.); #101107=VECTOR('',#368326,10.); #101108=VECTOR('',#368327,10.); #101109=VECTOR('',#368328,10.); #101110=VECTOR('',#368331,10.); #101111=VECTOR('',#368332,10.); #101112=VECTOR('',#368333,10.); #101113=VECTOR('',#368336,10.); #101114=VECTOR('',#368337,10.); #101115=VECTOR('',#368338,10.); #101116=VECTOR('',#368341,10.); #101117=VECTOR('',#368342,10.); #101118=VECTOR('',#368343,10.); #101119=VECTOR('',#368346,10.); #101120=VECTOR('',#368347,10.); #101121=VECTOR('',#368348,10.); #101122=VECTOR('',#368351,10.); #101123=VECTOR('',#368352,10.); #101124=VECTOR('',#368353,10.); #101125=VECTOR('',#368356,10.); #101126=VECTOR('',#368357,10.); #101127=VECTOR('',#368358,10.); #101128=VECTOR('',#368361,10.); #101129=VECTOR('',#368362,10.); #101130=VECTOR('',#368363,10.); #101131=VECTOR('',#368366,10.); #101132=VECTOR('',#368367,10.); #101133=VECTOR('',#368368,10.); #101134=VECTOR('',#368371,10.); #101135=VECTOR('',#368372,10.); #101136=VECTOR('',#368373,10.); #101137=VECTOR('',#368376,10.); #101138=VECTOR('',#368377,10.); #101139=VECTOR('',#368378,10.); #101140=VECTOR('',#368381,10.); #101141=VECTOR('',#368382,10.); #101142=VECTOR('',#368383,10.); #101143=VECTOR('',#368386,10.); #101144=VECTOR('',#368387,10.); #101145=VECTOR('',#368388,10.); #101146=VECTOR('',#368391,10.); #101147=VECTOR('',#368392,10.); #101148=VECTOR('',#368393,10.); #101149=VECTOR('',#368396,10.); #101150=VECTOR('',#368397,10.); #101151=VECTOR('',#368398,10.); #101152=VECTOR('',#368401,10.); #101153=VECTOR('',#368402,10.); #101154=VECTOR('',#368403,10.); #101155=VECTOR('',#368406,10.); #101156=VECTOR('',#368407,10.); #101157=VECTOR('',#368408,10.); #101158=VECTOR('',#368411,10.); #101159=VECTOR('',#368412,10.); #101160=VECTOR('',#368413,10.); #101161=VECTOR('',#368416,10.); #101162=VECTOR('',#368417,10.); #101163=VECTOR('',#368418,10.); #101164=VECTOR('',#368421,10.); #101165=VECTOR('',#368422,10.); #101166=VECTOR('',#368423,10.); #101167=VECTOR('',#368426,10.); #101168=VECTOR('',#368427,10.); #101169=VECTOR('',#368428,10.); #101170=VECTOR('',#368431,10.); #101171=VECTOR('',#368432,10.); #101172=VECTOR('',#368433,10.); #101173=VECTOR('',#368436,10.); #101174=VECTOR('',#368437,10.); #101175=VECTOR('',#368438,10.); #101176=VECTOR('',#368441,10.); #101177=VECTOR('',#368442,10.); #101178=VECTOR('',#368443,10.); #101179=VECTOR('',#368446,10.); #101180=VECTOR('',#368447,10.); #101181=VECTOR('',#368448,10.); #101182=VECTOR('',#368451,10.); #101183=VECTOR('',#368452,10.); #101184=VECTOR('',#368453,10.); #101185=VECTOR('',#368456,10.); #101186=VECTOR('',#368457,10.); #101187=VECTOR('',#368458,10.); #101188=VECTOR('',#368461,10.); #101189=VECTOR('',#368462,10.); #101190=VECTOR('',#368463,10.); #101191=VECTOR('',#368466,10.); #101192=VECTOR('',#368467,10.); #101193=VECTOR('',#368468,10.); #101194=VECTOR('',#368471,10.); #101195=VECTOR('',#368472,10.); #101196=VECTOR('',#368473,10.); #101197=VECTOR('',#368476,10.); #101198=VECTOR('',#368477,10.); #101199=VECTOR('',#368478,10.); #101200=VECTOR('',#368481,10.); #101201=VECTOR('',#368482,10.); #101202=VECTOR('',#368483,10.); #101203=VECTOR('',#368486,10.); #101204=VECTOR('',#368487,10.); #101205=VECTOR('',#368488,10.); #101206=VECTOR('',#368491,10.); #101207=VECTOR('',#368492,10.); #101208=VECTOR('',#368493,10.); #101209=VECTOR('',#368496,10.); #101210=VECTOR('',#368497,10.); #101211=VECTOR('',#368498,10.); #101212=VECTOR('',#368501,10.); #101213=VECTOR('',#368502,10.); #101214=VECTOR('',#368503,10.); #101215=VECTOR('',#368506,10.); #101216=VECTOR('',#368507,10.); #101217=VECTOR('',#368508,10.); #101218=VECTOR('',#368511,10.); #101219=VECTOR('',#368512,10.); #101220=VECTOR('',#368513,10.); #101221=VECTOR('',#368516,10.); #101222=VECTOR('',#368517,10.); #101223=VECTOR('',#368518,10.); #101224=VECTOR('',#368521,10.); #101225=VECTOR('',#368522,10.); #101226=VECTOR('',#368523,10.); #101227=VECTOR('',#368526,10.); #101228=VECTOR('',#368527,10.); #101229=VECTOR('',#368528,10.); #101230=VECTOR('',#368531,10.); #101231=VECTOR('',#368532,10.); #101232=VECTOR('',#368533,10.); #101233=VECTOR('',#368536,10.); #101234=VECTOR('',#368537,10.); #101235=VECTOR('',#368538,10.); #101236=VECTOR('',#368541,10.); #101237=VECTOR('',#368542,10.); #101238=VECTOR('',#368543,10.); #101239=VECTOR('',#368546,10.); #101240=VECTOR('',#368547,10.); #101241=VECTOR('',#368548,10.); #101242=VECTOR('',#368551,10.); #101243=VECTOR('',#368552,10.); #101244=VECTOR('',#368553,10.); #101245=VECTOR('',#368556,10.); #101246=VECTOR('',#368557,10.); #101247=VECTOR('',#368558,10.); #101248=VECTOR('',#368561,10.); #101249=VECTOR('',#368562,10.); #101250=VECTOR('',#368563,10.); #101251=VECTOR('',#368566,10.); #101252=VECTOR('',#368567,10.); #101253=VECTOR('',#368568,10.); #101254=VECTOR('',#368571,10.); #101255=VECTOR('',#368572,10.); #101256=VECTOR('',#368573,10.); #101257=VECTOR('',#368576,10.); #101258=VECTOR('',#368577,10.); #101259=VECTOR('',#368578,10.); #101260=VECTOR('',#368581,10.); #101261=VECTOR('',#368582,10.); #101262=VECTOR('',#368583,10.); #101263=VECTOR('',#368586,10.); #101264=VECTOR('',#368587,10.); #101265=VECTOR('',#368588,10.); #101266=VECTOR('',#368591,10.); #101267=VECTOR('',#368592,10.); #101268=VECTOR('',#368593,10.); #101269=VECTOR('',#368596,10.); #101270=VECTOR('',#368597,10.); #101271=VECTOR('',#368598,10.); #101272=VECTOR('',#368601,10.); #101273=VECTOR('',#368602,10.); #101274=VECTOR('',#368603,10.); #101275=VECTOR('',#368606,10.); #101276=VECTOR('',#368607,10.); #101277=VECTOR('',#368608,10.); #101278=VECTOR('',#368611,10.); #101279=VECTOR('',#368612,10.); #101280=VECTOR('',#368613,10.); #101281=VECTOR('',#368616,10.); #101282=VECTOR('',#368617,10.); #101283=VECTOR('',#368618,10.); #101284=VECTOR('',#368621,10.); #101285=VECTOR('',#368622,10.); #101286=VECTOR('',#368623,10.); #101287=VECTOR('',#368626,10.); #101288=VECTOR('',#368627,10.); #101289=VECTOR('',#368628,10.); #101290=VECTOR('',#368631,10.); #101291=VECTOR('',#368632,10.); #101292=VECTOR('',#368633,10.); #101293=VECTOR('',#368636,10.); #101294=VECTOR('',#368637,10.); #101295=VECTOR('',#368638,10.); #101296=VECTOR('',#368641,10.); #101297=VECTOR('',#368642,10.); #101298=VECTOR('',#368643,10.); #101299=VECTOR('',#368646,10.); #101300=VECTOR('',#368647,10.); #101301=VECTOR('',#368648,10.); #101302=VECTOR('',#368651,10.); #101303=VECTOR('',#368652,10.); #101304=VECTOR('',#368653,10.); #101305=VECTOR('',#368656,10.); #101306=VECTOR('',#368657,10.); #101307=VECTOR('',#368658,10.); #101308=VECTOR('',#368661,10.); #101309=VECTOR('',#368662,10.); #101310=VECTOR('',#368663,10.); #101311=VECTOR('',#368666,10.); #101312=VECTOR('',#368667,10.); #101313=VECTOR('',#368668,10.); #101314=VECTOR('',#368671,10.); #101315=VECTOR('',#368672,10.); #101316=VECTOR('',#368673,10.); #101317=VECTOR('',#368676,10.); #101318=VECTOR('',#368677,10.); #101319=VECTOR('',#368678,10.); #101320=VECTOR('',#368681,10.); #101321=VECTOR('',#368682,10.); #101322=VECTOR('',#368683,10.); #101323=VECTOR('',#368686,10.); #101324=VECTOR('',#368687,10.); #101325=VECTOR('',#368688,10.); #101326=VECTOR('',#368691,10.); #101327=VECTOR('',#368692,10.); #101328=VECTOR('',#368693,10.); #101329=VECTOR('',#368696,10.); #101330=VECTOR('',#368697,10.); #101331=VECTOR('',#368698,10.); #101332=VECTOR('',#368701,10.); #101333=VECTOR('',#368702,10.); #101334=VECTOR('',#368703,10.); #101335=VECTOR('',#368706,10.); #101336=VECTOR('',#368707,10.); #101337=VECTOR('',#368708,10.); #101338=VECTOR('',#368711,10.); #101339=VECTOR('',#368712,10.); #101340=VECTOR('',#368713,10.); #101341=VECTOR('',#368716,10.); #101342=VECTOR('',#368717,10.); #101343=VECTOR('',#368718,10.); #101344=VECTOR('',#368721,10.); #101345=VECTOR('',#368722,10.); #101346=VECTOR('',#368723,10.); #101347=VECTOR('',#368726,10.); #101348=VECTOR('',#368727,10.); #101349=VECTOR('',#368728,10.); #101350=VECTOR('',#368731,10.); #101351=VECTOR('',#368732,10.); #101352=VECTOR('',#368733,10.); #101353=VECTOR('',#368736,10.); #101354=VECTOR('',#368737,10.); #101355=VECTOR('',#368738,10.); #101356=VECTOR('',#368741,10.); #101357=VECTOR('',#368742,10.); #101358=VECTOR('',#368743,10.); #101359=VECTOR('',#368746,10.); #101360=VECTOR('',#368747,10.); #101361=VECTOR('',#368748,10.); #101362=VECTOR('',#368751,10.); #101363=VECTOR('',#368752,10.); #101364=VECTOR('',#368753,10.); #101365=VECTOR('',#368756,10.); #101366=VECTOR('',#368757,10.); #101367=VECTOR('',#368758,10.); #101368=VECTOR('',#368761,10.); #101369=VECTOR('',#368762,10.); #101370=VECTOR('',#368763,10.); #101371=VECTOR('',#368766,10.); #101372=VECTOR('',#368767,10.); #101373=VECTOR('',#368768,10.); #101374=VECTOR('',#368771,10.); #101375=VECTOR('',#368772,10.); #101376=VECTOR('',#368773,10.); #101377=VECTOR('',#368776,10.); #101378=VECTOR('',#368777,10.); #101379=VECTOR('',#368778,10.); #101380=VECTOR('',#368781,10.); #101381=VECTOR('',#368782,10.); #101382=VECTOR('',#368783,10.); #101383=VECTOR('',#368786,10.); #101384=VECTOR('',#368787,10.); #101385=VECTOR('',#368788,10.); #101386=VECTOR('',#368791,10.); #101387=VECTOR('',#368792,10.); #101388=VECTOR('',#368793,10.); #101389=VECTOR('',#368796,10.); #101390=VECTOR('',#368797,10.); #101391=VECTOR('',#368798,10.); #101392=VECTOR('',#368801,10.); #101393=VECTOR('',#368802,10.); #101394=VECTOR('',#368803,10.); #101395=VECTOR('',#368806,10.); #101396=VECTOR('',#368807,10.); #101397=VECTOR('',#368808,10.); #101398=VECTOR('',#368811,10.); #101399=VECTOR('',#368812,10.); #101400=VECTOR('',#368813,10.); #101401=VECTOR('',#368816,10.); #101402=VECTOR('',#368817,10.); #101403=VECTOR('',#368818,10.); #101404=VECTOR('',#368821,10.); #101405=VECTOR('',#368822,10.); #101406=VECTOR('',#368823,10.); #101407=VECTOR('',#368826,10.); #101408=VECTOR('',#368827,10.); #101409=VECTOR('',#368828,10.); #101410=VECTOR('',#368831,10.); #101411=VECTOR('',#368832,10.); #101412=VECTOR('',#368833,10.); #101413=VECTOR('',#368836,10.); #101414=VECTOR('',#368837,10.); #101415=VECTOR('',#368838,10.); #101416=VECTOR('',#368841,10.); #101417=VECTOR('',#368842,10.); #101418=VECTOR('',#368843,10.); #101419=VECTOR('',#368846,10.); #101420=VECTOR('',#368847,10.); #101421=VECTOR('',#368848,10.); #101422=VECTOR('',#368851,10.); #101423=VECTOR('',#368852,10.); #101424=VECTOR('',#368853,10.); #101425=VECTOR('',#368856,10.); #101426=VECTOR('',#368857,10.); #101427=VECTOR('',#368858,10.); #101428=VECTOR('',#368861,10.); #101429=VECTOR('',#368862,10.); #101430=VECTOR('',#368863,10.); #101431=VECTOR('',#368866,10.); #101432=VECTOR('',#368867,10.); #101433=VECTOR('',#368868,10.); #101434=VECTOR('',#368871,10.); #101435=VECTOR('',#368872,10.); #101436=VECTOR('',#368873,10.); #101437=VECTOR('',#368876,10.); #101438=VECTOR('',#368877,10.); #101439=VECTOR('',#368878,10.); #101440=VECTOR('',#368881,10.); #101441=VECTOR('',#368882,10.); #101442=VECTOR('',#368883,10.); #101443=VECTOR('',#368886,10.); #101444=VECTOR('',#368887,10.); #101445=VECTOR('',#368888,10.); #101446=VECTOR('',#368891,10.); #101447=VECTOR('',#368892,10.); #101448=VECTOR('',#368893,10.); #101449=VECTOR('',#368896,10.); #101450=VECTOR('',#368897,10.); #101451=VECTOR('',#368898,10.); #101452=VECTOR('',#368901,10.); #101453=VECTOR('',#368902,10.); #101454=VECTOR('',#368903,10.); #101455=VECTOR('',#368906,10.); #101456=VECTOR('',#368907,10.); #101457=VECTOR('',#368908,10.); #101458=VECTOR('',#368911,10.); #101459=VECTOR('',#368912,10.); #101460=VECTOR('',#368913,10.); #101461=VECTOR('',#368916,10.); #101462=VECTOR('',#368917,10.); #101463=VECTOR('',#368918,10.); #101464=VECTOR('',#368921,10.); #101465=VECTOR('',#368922,10.); #101466=VECTOR('',#368923,10.); #101467=VECTOR('',#368926,10.); #101468=VECTOR('',#368927,10.); #101469=VECTOR('',#368928,10.); #101470=VECTOR('',#368931,10.); #101471=VECTOR('',#368932,10.); #101472=VECTOR('',#368933,10.); #101473=VECTOR('',#368936,10.); #101474=VECTOR('',#368937,10.); #101475=VECTOR('',#368938,10.); #101476=VECTOR('',#368941,10.); #101477=VECTOR('',#368942,10.); #101478=VECTOR('',#368943,10.); #101479=VECTOR('',#368946,10.); #101480=VECTOR('',#368947,10.); #101481=VECTOR('',#368948,10.); #101482=VECTOR('',#368951,10.); #101483=VECTOR('',#368952,10.); #101484=VECTOR('',#368953,10.); #101485=VECTOR('',#368956,10.); #101486=VECTOR('',#368957,10.); #101487=VECTOR('',#368958,10.); #101488=VECTOR('',#368961,10.); #101489=VECTOR('',#368962,10.); #101490=VECTOR('',#368963,10.); #101491=VECTOR('',#368966,10.); #101492=VECTOR('',#368967,10.); #101493=VECTOR('',#368968,10.); #101494=VECTOR('',#368971,10.); #101495=VECTOR('',#368972,10.); #101496=VECTOR('',#368973,10.); #101497=VECTOR('',#368976,10.); #101498=VECTOR('',#368977,10.); #101499=VECTOR('',#368978,10.); #101500=VECTOR('',#368981,10.); #101501=VECTOR('',#368982,10.); #101502=VECTOR('',#368983,10.); #101503=VECTOR('',#368986,10.); #101504=VECTOR('',#368987,10.); #101505=VECTOR('',#368988,10.); #101506=VECTOR('',#368991,10.); #101507=VECTOR('',#368992,10.); #101508=VECTOR('',#368993,10.); #101509=VECTOR('',#368996,10.); #101510=VECTOR('',#368997,10.); #101511=VECTOR('',#368998,10.); #101512=VECTOR('',#369001,10.); #101513=VECTOR('',#369002,10.); #101514=VECTOR('',#369003,10.); #101515=VECTOR('',#369006,10.); #101516=VECTOR('',#369007,10.); #101517=VECTOR('',#369008,10.); #101518=VECTOR('',#369011,10.); #101519=VECTOR('',#369012,10.); #101520=VECTOR('',#369013,10.); #101521=VECTOR('',#369016,10.); #101522=VECTOR('',#369017,10.); #101523=VECTOR('',#369018,10.); #101524=VECTOR('',#369021,10.); #101525=VECTOR('',#369022,10.); #101526=VECTOR('',#369023,10.); #101527=VECTOR('',#369026,10.); #101528=VECTOR('',#369027,10.); #101529=VECTOR('',#369028,10.); #101530=VECTOR('',#369031,10.); #101531=VECTOR('',#369032,10.); #101532=VECTOR('',#369033,10.); #101533=VECTOR('',#369036,10.); #101534=VECTOR('',#369037,10.); #101535=VECTOR('',#369038,10.); #101536=VECTOR('',#369041,10.); #101537=VECTOR('',#369042,10.); #101538=VECTOR('',#369043,10.); #101539=VECTOR('',#369046,10.); #101540=VECTOR('',#369047,10.); #101541=VECTOR('',#369048,10.); #101542=VECTOR('',#369051,10.); #101543=VECTOR('',#369052,10.); #101544=VECTOR('',#369053,10.); #101545=VECTOR('',#369056,10.); #101546=VECTOR('',#369057,10.); #101547=VECTOR('',#369058,10.); #101548=VECTOR('',#369061,10.); #101549=VECTOR('',#369062,10.); #101550=VECTOR('',#369063,10.); #101551=VECTOR('',#369066,10.); #101552=VECTOR('',#369067,10.); #101553=VECTOR('',#369068,10.); #101554=VECTOR('',#369071,10.); #101555=VECTOR('',#369072,10.); #101556=VECTOR('',#369073,10.); #101557=VECTOR('',#369076,10.); #101558=VECTOR('',#369077,10.); #101559=VECTOR('',#369078,10.); #101560=VECTOR('',#369081,10.); #101561=VECTOR('',#369082,10.); #101562=VECTOR('',#369083,10.); #101563=VECTOR('',#369086,10.); #101564=VECTOR('',#369087,10.); #101565=VECTOR('',#369094,10.); #101566=VECTOR('',#369095,10.); #101567=VECTOR('',#369096,10.); #101568=VECTOR('',#369097,10.); #101569=VECTOR('',#369100,10.); #101570=VECTOR('',#369101,10.); #101571=VECTOR('',#369102,10.); #101572=VECTOR('',#369105,10.); #101573=VECTOR('',#369106,10.); #101574=VECTOR('',#369107,10.); #101575=VECTOR('',#369110,10.); #101576=VECTOR('',#369111,10.); #101577=VECTOR('',#369112,10.); #101578=VECTOR('',#369115,10.); #101579=VECTOR('',#369116,10.); #101580=VECTOR('',#369117,10.); #101581=VECTOR('',#369120,10.); #101582=VECTOR('',#369121,10.); #101583=VECTOR('',#369122,10.); #101584=VECTOR('',#369125,10.); #101585=VECTOR('',#369126,10.); #101586=VECTOR('',#369127,10.); #101587=VECTOR('',#369130,10.); #101588=VECTOR('',#369131,10.); #101589=VECTOR('',#369132,10.); #101590=VECTOR('',#369135,10.); #101591=VECTOR('',#369136,10.); #101592=VECTOR('',#369137,10.); #101593=VECTOR('',#369140,10.); #101594=VECTOR('',#369141,10.); #101595=VECTOR('',#369142,10.); #101596=VECTOR('',#369145,10.); #101597=VECTOR('',#369146,10.); #101598=VECTOR('',#369147,10.); #101599=VECTOR('',#369150,10.); #101600=VECTOR('',#369151,10.); #101601=VECTOR('',#369152,10.); #101602=VECTOR('',#369155,10.); #101603=VECTOR('',#369156,10.); #101604=VECTOR('',#369157,10.); #101605=VECTOR('',#369160,10.); #101606=VECTOR('',#369161,10.); #101607=VECTOR('',#369162,10.); #101608=VECTOR('',#369165,10.); #101609=VECTOR('',#369166,10.); #101610=VECTOR('',#369167,10.); #101611=VECTOR('',#369170,10.); #101612=VECTOR('',#369171,10.); #101613=VECTOR('',#369172,10.); #101614=VECTOR('',#369175,10.); #101615=VECTOR('',#369176,10.); #101616=VECTOR('',#369177,10.); #101617=VECTOR('',#369180,10.); #101618=VECTOR('',#369181,10.); #101619=VECTOR('',#369182,10.); #101620=VECTOR('',#369185,10.); #101621=VECTOR('',#369186,10.); #101622=VECTOR('',#369187,10.); #101623=VECTOR('',#369190,10.); #101624=VECTOR('',#369191,10.); #101625=VECTOR('',#369192,10.); #101626=VECTOR('',#369195,10.); #101627=VECTOR('',#369196,10.); #101628=VECTOR('',#369203,10.); #101629=VECTOR('',#369204,10.); #101630=VECTOR('',#369205,10.); #101631=VECTOR('',#369206,10.); #101632=VECTOR('',#369209,10.); #101633=VECTOR('',#369210,10.); #101634=VECTOR('',#369211,10.); #101635=VECTOR('',#369214,10.); #101636=VECTOR('',#369215,10.); #101637=VECTOR('',#369216,10.); #101638=VECTOR('',#369219,10.); #101639=VECTOR('',#369220,10.); #101640=VECTOR('',#369221,10.); #101641=VECTOR('',#369224,10.); #101642=VECTOR('',#369225,10.); #101643=VECTOR('',#369226,10.); #101644=VECTOR('',#369229,10.); #101645=VECTOR('',#369230,10.); #101646=VECTOR('',#369231,10.); #101647=VECTOR('',#369234,10.); #101648=VECTOR('',#369235,10.); #101649=VECTOR('',#369236,10.); #101650=VECTOR('',#369239,10.); #101651=VECTOR('',#369240,10.); #101652=VECTOR('',#369241,10.); #101653=VECTOR('',#369244,10.); #101654=VECTOR('',#369245,10.); #101655=VECTOR('',#369246,10.); #101656=VECTOR('',#369249,10.); #101657=VECTOR('',#369250,10.); #101658=VECTOR('',#369251,10.); #101659=VECTOR('',#369254,10.); #101660=VECTOR('',#369255,10.); #101661=VECTOR('',#369256,10.); #101662=VECTOR('',#369259,10.); #101663=VECTOR('',#369260,10.); #101664=VECTOR('',#369261,10.); #101665=VECTOR('',#369264,10.); #101666=VECTOR('',#369265,10.); #101667=VECTOR('',#369266,10.); #101668=VECTOR('',#369269,10.); #101669=VECTOR('',#369270,10.); #101670=VECTOR('',#369271,10.); #101671=VECTOR('',#369274,10.); #101672=VECTOR('',#369275,10.); #101673=VECTOR('',#369276,10.); #101674=VECTOR('',#369279,10.); #101675=VECTOR('',#369280,10.); #101676=VECTOR('',#369281,10.); #101677=VECTOR('',#369284,10.); #101678=VECTOR('',#369285,10.); #101679=VECTOR('',#369286,10.); #101680=VECTOR('',#369289,10.); #101681=VECTOR('',#369290,10.); #101682=VECTOR('',#369291,10.); #101683=VECTOR('',#369294,10.); #101684=VECTOR('',#369295,10.); #101685=VECTOR('',#369296,10.); #101686=VECTOR('',#369299,10.); #101687=VECTOR('',#369300,10.); #101688=VECTOR('',#369309,0.139999999999998); #101689=VECTOR('',#369316,0.139999999999998); #101690=VECTOR('',#369323,0.139999999999998); #101691=VECTOR('',#369330,0.465); #101692=VECTOR('',#369337,0.139999999999998); #101693=VECTOR('',#369344,0.139999999999998); #101694=VECTOR('',#369351,0.139999999999998); #101695=VECTOR('',#369358,0.139999999999998); #101696=VECTOR('',#369365,0.139999999999998); #101697=VECTOR('',#369372,0.139999999999998); #101698=VECTOR('',#369379,0.14); #101699=VECTOR('',#369386,0.14); #101700=VECTOR('',#369393,0.14); #101701=VECTOR('',#369400,0.14); #101702=VECTOR('',#369407,0.140000000000002); #101703=VECTOR('',#369414,0.140000000000002); #101704=VECTOR('',#369421,0.140000000000002); #101705=VECTOR('',#369428,0.140000000000002); #101706=VECTOR('',#369435,0.140000000000002); #101707=VECTOR('',#369442,0.140000000000002); #101708=VECTOR('',#369449,0.140000000000002); #101709=VECTOR('',#369456,0.140000000000002); #101710=VECTOR('',#369463,0.140000000000002); #101711=VECTOR('',#369470,0.140000000000002); #101712=VECTOR('',#369477,0.140000000000002); #101713=VECTOR('',#369484,0.140000000000002); #101714=VECTOR('',#369491,0.14); #101715=VECTOR('',#369498,0.14); #101716=VECTOR('',#369505,0.14); #101717=VECTOR('',#369512,0.14); #101718=VECTOR('',#369519,0.14); #101719=VECTOR('',#369526,0.14); #101720=VECTOR('',#369533,0.14); #101721=VECTOR('',#369540,0.14); #101722=VECTOR('',#369547,0.465); #101723=VECTOR('',#369552,10.); #101724=VECTOR('',#369553,10.); #101725=VECTOR('',#369554,10.); #101726=VECTOR('',#369555,10.); #101727=VECTOR('',#369562,10.); #101728=VECTOR('',#369565,10.); #101729=VECTOR('',#369566,10.); #101730=VECTOR('',#369567,10.); #101731=VECTOR('',#369578,0.114999999999998); #101732=VECTOR('',#369585,0.114999999999998); #101733=VECTOR('',#369592,0.114999999999998); #101734=VECTOR('',#369599,0.114999999999998); #101735=VECTOR('',#369604,10.); #101736=VECTOR('',#369605,10.); #101737=VECTOR('',#369606,10.); #101738=VECTOR('',#369607,10.); #101739=VECTOR('',#369614,10.); #101740=VECTOR('',#369617,10.); #101741=VECTOR('',#369618,10.); #101742=VECTOR('',#369619,10.); #101743=VECTOR('',#369628,10.); #101744=VECTOR('',#369629,10.); #101745=VECTOR('',#369630,10.); #101746=VECTOR('',#369631,10.); #101747=VECTOR('',#369638,10.); #101748=VECTOR('',#369641,10.); #101749=VECTOR('',#369642,10.); #101750=VECTOR('',#369643,10.); #101751=VECTOR('',#369652,10.); #101752=VECTOR('',#369653,10.); #101753=VECTOR('',#369654,10.); #101754=VECTOR('',#369655,10.); #101755=VECTOR('',#369662,10.); #101756=VECTOR('',#369665,10.); #101757=VECTOR('',#369666,10.); #101758=VECTOR('',#369667,10.); #101759=VECTOR('',#369678,0.140000000000002); #101760=VECTOR('',#369685,0.140000000000002); #101761=VECTOR('',#369692,0.564999999999998); #101762=VECTOR('',#369699,0.14); #101763=VECTOR('',#369706,0.140000000000002); #101764=VECTOR('',#369713,0.140000000000002); #101765=VECTOR('',#369720,0.140000000000002); #101766=VECTOR('',#369727,0.140000000000002); #101767=VECTOR('',#369734,0.140000000000002); #101768=VECTOR('',#369741,0.140000000000002); #101769=VECTOR('',#369748,0.140000000000002); #101770=VECTOR('',#369755,0.14); #101771=VECTOR('',#369762,0.14); #101772=VECTOR('',#369769,0.14); #101773=VECTOR('',#369776,0.14); #101774=VECTOR('',#369783,0.14); #101775=VECTOR('',#369790,0.14); #101776=VECTOR('',#369797,0.14); #101777=VECTOR('',#369804,0.14); #101778=VECTOR('',#369809,10.); #101779=VECTOR('',#369810,10.); #101780=VECTOR('',#369811,10.); #101781=VECTOR('',#369812,10.); #101782=VECTOR('',#369815,10.); #101783=VECTOR('',#369816,10.); #101784=VECTOR('',#369817,10.); #101785=VECTOR('',#369820,10.); #101786=VECTOR('',#369821,10.); #101787=VECTOR('',#369822,10.); #101788=VECTOR('',#369825,10.); #101789=VECTOR('',#369826,10.); #101790=VECTOR('',#369827,10.); #101791=VECTOR('',#369830,10.); #101792=VECTOR('',#369831,10.); #101793=VECTOR('',#369832,10.); #101794=VECTOR('',#369835,10.); #101795=VECTOR('',#369836,10.); #101796=VECTOR('',#369837,10.); #101797=VECTOR('',#369840,10.); #101798=VECTOR('',#369841,10.); #101799=VECTOR('',#369842,10.); #101800=VECTOR('',#369845,10.); #101801=VECTOR('',#369846,10.); #101802=VECTOR('',#369849,10.); #101803=VECTOR('',#369850,10.); #101804=VECTOR('',#369851,10.); #101805=VECTOR('',#369852,10.); #101806=VECTOR('',#369855,10.); #101807=VECTOR('',#369856,10.); #101808=VECTOR('',#369857,10.); #101809=VECTOR('',#369860,10.); #101810=VECTOR('',#369861,10.); #101811=VECTOR('',#369862,10.); #101812=VECTOR('',#369865,10.); #101813=VECTOR('',#369866,10.); #101814=VECTOR('',#369867,10.); #101815=VECTOR('',#369870,10.); #101816=VECTOR('',#369871,10.); #101817=VECTOR('',#369872,10.); #101818=VECTOR('',#369875,10.); #101819=VECTOR('',#369876,10.); #101820=VECTOR('',#369877,10.); #101821=VECTOR('',#369880,10.); #101822=VECTOR('',#369881,10.); #101823=VECTOR('',#369882,10.); #101824=VECTOR('',#369885,10.); #101825=VECTOR('',#369886,10.); #101826=VECTOR('',#369887,10.); #101827=VECTOR('',#369890,10.); #101828=VECTOR('',#369891,10.); #101829=VECTOR('',#369892,10.); #101830=VECTOR('',#369895,10.); #101831=VECTOR('',#369896,10.); #101832=VECTOR('',#369897,10.); #101833=VECTOR('',#369900,10.); #101834=VECTOR('',#369901,10.); #101835=VECTOR('',#369902,10.); #101836=VECTOR('',#369905,10.); #101837=VECTOR('',#369906,10.); #101838=VECTOR('',#369907,10.); #101839=VECTOR('',#369910,10.); #101840=VECTOR('',#369911,10.); #101841=VECTOR('',#369912,10.); #101842=VECTOR('',#369915,10.); #101843=VECTOR('',#369916,10.); #101844=VECTOR('',#369917,10.); #101845=VECTOR('',#369920,10.); #101846=VECTOR('',#369921,10.); #101847=VECTOR('',#369922,10.); #101848=VECTOR('',#369925,10.); #101849=VECTOR('',#369926,10.); #101850=VECTOR('',#369929,10.); #101851=VECTOR('',#369930,10.); #101852=VECTOR('',#369931,10.); #101853=VECTOR('',#369932,10.); #101854=VECTOR('',#369935,10.); #101855=VECTOR('',#369936,10.); #101856=VECTOR('',#369937,10.); #101857=VECTOR('',#369940,10.); #101858=VECTOR('',#369941,10.); #101859=VECTOR('',#369942,10.); #101860=VECTOR('',#369945,10.); #101861=VECTOR('',#369946,10.); #101862=VECTOR('',#369947,10.); #101863=VECTOR('',#369950,10.); #101864=VECTOR('',#369951,10.); #101865=VECTOR('',#369952,10.); #101866=VECTOR('',#369955,10.); #101867=VECTOR('',#369956,10.); #101868=VECTOR('',#369957,10.); #101869=VECTOR('',#369960,10.); #101870=VECTOR('',#369961,10.); #101871=VECTOR('',#369962,10.); #101872=VECTOR('',#369965,10.); #101873=VECTOR('',#369966,10.); #101874=VECTOR('',#369967,10.); #101875=VECTOR('',#369970,10.); #101876=VECTOR('',#369971,10.); #101877=VECTOR('',#369972,10.); #101878=VECTOR('',#369975,10.); #101879=VECTOR('',#369976,10.); #101880=VECTOR('',#369977,10.); #101881=VECTOR('',#369980,10.); #101882=VECTOR('',#369981,10.); #101883=VECTOR('',#369982,10.); #101884=VECTOR('',#369985,10.); #101885=VECTOR('',#369986,10.); #101886=VECTOR('',#369987,10.); #101887=VECTOR('',#369990,10.); #101888=VECTOR('',#369991,10.); #101889=VECTOR('',#369992,10.); #101890=VECTOR('',#369995,10.); #101891=VECTOR('',#369996,10.); #101892=VECTOR('',#369997,10.); #101893=VECTOR('',#370000,10.); #101894=VECTOR('',#370001,10.); #101895=VECTOR('',#370002,10.); #101896=VECTOR('',#370005,10.); #101897=VECTOR('',#370006,10.); #101898=VECTOR('',#370009,10.); #101899=VECTOR('',#370010,10.); #101900=VECTOR('',#370011,10.); #101901=VECTOR('',#370012,10.); #101902=VECTOR('',#370015,10.); #101903=VECTOR('',#370016,10.); #101904=VECTOR('',#370017,10.); #101905=VECTOR('',#370020,10.); #101906=VECTOR('',#370021,10.); #101907=VECTOR('',#370022,10.); #101908=VECTOR('',#370025,10.); #101909=VECTOR('',#370026,10.); #101910=VECTOR('',#370027,10.); #101911=VECTOR('',#370030,10.); #101912=VECTOR('',#370031,10.); #101913=VECTOR('',#370032,10.); #101914=VECTOR('',#370035,10.); #101915=VECTOR('',#370036,10.); #101916=VECTOR('',#370037,10.); #101917=VECTOR('',#370040,10.); #101918=VECTOR('',#370041,10.); #101919=VECTOR('',#370042,10.); #101920=VECTOR('',#370045,10.); #101921=VECTOR('',#370046,10.); #101922=VECTOR('',#370047,10.); #101923=VECTOR('',#370050,10.); #101924=VECTOR('',#370051,10.); #101925=VECTOR('',#370052,10.); #101926=VECTOR('',#370055,10.); #101927=VECTOR('',#370056,10.); #101928=VECTOR('',#370057,10.); #101929=VECTOR('',#370060,10.); #101930=VECTOR('',#370061,10.); #101931=VECTOR('',#370062,10.); #101932=VECTOR('',#370065,10.); #101933=VECTOR('',#370066,10.); #101934=VECTOR('',#370067,10.); #101935=VECTOR('',#370070,10.); #101936=VECTOR('',#370071,10.); #101937=VECTOR('',#370072,10.); #101938=VECTOR('',#370075,10.); #101939=VECTOR('',#370076,10.); #101940=VECTOR('',#370077,10.); #101941=VECTOR('',#370080,10.); #101942=VECTOR('',#370081,10.); #101943=VECTOR('',#370082,10.); #101944=VECTOR('',#370085,10.); #101945=VECTOR('',#370086,10.); #101946=VECTOR('',#370087,10.); #101947=VECTOR('',#370090,10.); #101948=VECTOR('',#370091,10.); #101949=VECTOR('',#370092,10.); #101950=VECTOR('',#370095,10.); #101951=VECTOR('',#370096,10.); #101952=VECTOR('',#370097,10.); #101953=VECTOR('',#370100,10.); #101954=VECTOR('',#370101,10.); #101955=VECTOR('',#370102,10.); #101956=VECTOR('',#370105,10.); #101957=VECTOR('',#370106,10.); #101958=VECTOR('',#370107,10.); #101959=VECTOR('',#370110,10.); #101960=VECTOR('',#370111,10.); #101961=VECTOR('',#370112,10.); #101962=VECTOR('',#370115,10.); #101963=VECTOR('',#370116,10.); #101964=VECTOR('',#370117,10.); #101965=VECTOR('',#370120,10.); #101966=VECTOR('',#370121,10.); #101967=VECTOR('',#370122,10.); #101968=VECTOR('',#370125,10.); #101969=VECTOR('',#370126,10.); #101970=VECTOR('',#370127,10.); #101971=VECTOR('',#370130,10.); #101972=VECTOR('',#370131,10.); #101973=VECTOR('',#370132,10.); #101974=VECTOR('',#370135,10.); #101975=VECTOR('',#370136,10.); #101976=VECTOR('',#370137,10.); #101977=VECTOR('',#370140,10.); #101978=VECTOR('',#370141,10.); #101979=VECTOR('',#370142,10.); #101980=VECTOR('',#370145,10.); #101981=VECTOR('',#370146,10.); #101982=VECTOR('',#370147,10.); #101983=VECTOR('',#370150,10.); #101984=VECTOR('',#370151,10.); #101985=VECTOR('',#370152,10.); #101986=VECTOR('',#370155,10.); #101987=VECTOR('',#370156,10.); #101988=VECTOR('',#370157,10.); #101989=VECTOR('',#370160,10.); #101990=VECTOR('',#370161,10.); #101991=VECTOR('',#370162,10.); #101992=VECTOR('',#370165,10.); #101993=VECTOR('',#370166,10.); #101994=VECTOR('',#370167,10.); #101995=VECTOR('',#370170,10.); #101996=VECTOR('',#370171,10.); #101997=VECTOR('',#370172,10.); #101998=VECTOR('',#370175,10.); #101999=VECTOR('',#370176,10.); #102000=VECTOR('',#370177,10.); #102001=VECTOR('',#370180,10.); #102002=VECTOR('',#370181,10.); #102003=VECTOR('',#370182,10.); #102004=VECTOR('',#370185,10.); #102005=VECTOR('',#370186,10.); #102006=VECTOR('',#370189,10.); #102007=VECTOR('',#370190,10.); #102008=VECTOR('',#370191,10.); #102009=VECTOR('',#370192,10.); #102010=VECTOR('',#370195,10.); #102011=VECTOR('',#370196,10.); #102012=VECTOR('',#370197,10.); #102013=VECTOR('',#370200,10.); #102014=VECTOR('',#370201,10.); #102015=VECTOR('',#370202,10.); #102016=VECTOR('',#370205,10.); #102017=VECTOR('',#370206,10.); #102018=VECTOR('',#370207,10.); #102019=VECTOR('',#370210,10.); #102020=VECTOR('',#370211,10.); #102021=VECTOR('',#370212,10.); #102022=VECTOR('',#370215,10.); #102023=VECTOR('',#370216,10.); #102024=VECTOR('',#370217,10.); #102025=VECTOR('',#370220,10.); #102026=VECTOR('',#370221,10.); #102027=VECTOR('',#370222,10.); #102028=VECTOR('',#370225,10.); #102029=VECTOR('',#370226,10.); #102030=VECTOR('',#370227,10.); #102031=VECTOR('',#370230,10.); #102032=VECTOR('',#370231,10.); #102033=VECTOR('',#370232,10.); #102034=VECTOR('',#370235,10.); #102035=VECTOR('',#370236,10.); #102036=VECTOR('',#370237,10.); #102037=VECTOR('',#370240,10.); #102038=VECTOR('',#370241,10.); #102039=VECTOR('',#370242,10.); #102040=VECTOR('',#370245,10.); #102041=VECTOR('',#370246,10.); #102042=VECTOR('',#370247,10.); #102043=VECTOR('',#370250,10.); #102044=VECTOR('',#370251,10.); #102045=VECTOR('',#370252,10.); #102046=VECTOR('',#370255,10.); #102047=VECTOR('',#370256,10.); #102048=VECTOR('',#370257,10.); #102049=VECTOR('',#370260,10.); #102050=VECTOR('',#370261,10.); #102051=VECTOR('',#370262,10.); #102052=VECTOR('',#370265,10.); #102053=VECTOR('',#370266,10.); #102054=VECTOR('',#370267,10.); #102055=VECTOR('',#370270,10.); #102056=VECTOR('',#370271,10.); #102057=VECTOR('',#370272,10.); #102058=VECTOR('',#370275,10.); #102059=VECTOR('',#370276,10.); #102060=VECTOR('',#370277,10.); #102061=VECTOR('',#370280,10.); #102062=VECTOR('',#370281,10.); #102063=VECTOR('',#370282,10.); #102064=VECTOR('',#370285,10.); #102065=VECTOR('',#370286,10.); #102066=VECTOR('',#370287,10.); #102067=VECTOR('',#370290,10.); #102068=VECTOR('',#370291,10.); #102069=VECTOR('',#370292,10.); #102070=VECTOR('',#370295,10.); #102071=VECTOR('',#370296,10.); #102072=VECTOR('',#370297,10.); #102073=VECTOR('',#370300,10.); #102074=VECTOR('',#370301,10.); #102075=VECTOR('',#370302,10.); #102076=VECTOR('',#370305,10.); #102077=VECTOR('',#370306,10.); #102078=VECTOR('',#370307,10.); #102079=VECTOR('',#370310,10.); #102080=VECTOR('',#370311,10.); #102081=VECTOR('',#370312,10.); #102082=VECTOR('',#370315,10.); #102083=VECTOR('',#370316,10.); #102084=VECTOR('',#370317,10.); #102085=VECTOR('',#370320,10.); #102086=VECTOR('',#370321,10.); #102087=VECTOR('',#370322,10.); #102088=VECTOR('',#370325,10.); #102089=VECTOR('',#370326,10.); #102090=VECTOR('',#370327,10.); #102091=VECTOR('',#370330,10.); #102092=VECTOR('',#370331,10.); #102093=VECTOR('',#370332,10.); #102094=VECTOR('',#370335,10.); #102095=VECTOR('',#370336,10.); #102096=VECTOR('',#370337,10.); #102097=VECTOR('',#370340,10.); #102098=VECTOR('',#370341,10.); #102099=VECTOR('',#370342,10.); #102100=VECTOR('',#370345,10.); #102101=VECTOR('',#370346,10.); #102102=VECTOR('',#370347,10.); #102103=VECTOR('',#370350,10.); #102104=VECTOR('',#370351,10.); #102105=VECTOR('',#370352,10.); #102106=VECTOR('',#370355,10.); #102107=VECTOR('',#370356,10.); #102108=VECTOR('',#370357,10.); #102109=VECTOR('',#370360,10.); #102110=VECTOR('',#370361,10.); #102111=VECTOR('',#370362,10.); #102112=VECTOR('',#370365,10.); #102113=VECTOR('',#370366,10.); #102114=VECTOR('',#370369,10.); #102115=VECTOR('',#370370,10.); #102116=VECTOR('',#370371,10.); #102117=VECTOR('',#370372,10.); #102118=VECTOR('',#370375,10.); #102119=VECTOR('',#370376,10.); #102120=VECTOR('',#370377,10.); #102121=VECTOR('',#370380,10.); #102122=VECTOR('',#370381,10.); #102123=VECTOR('',#370382,10.); #102124=VECTOR('',#370385,10.); #102125=VECTOR('',#370386,10.); #102126=VECTOR('',#370387,10.); #102127=VECTOR('',#370390,10.); #102128=VECTOR('',#370391,10.); #102129=VECTOR('',#370392,10.); #102130=VECTOR('',#370395,10.); #102131=VECTOR('',#370396,10.); #102132=VECTOR('',#370397,10.); #102133=VECTOR('',#370400,10.); #102134=VECTOR('',#370401,10.); #102135=VECTOR('',#370402,10.); #102136=VECTOR('',#370405,10.); #102137=VECTOR('',#370406,10.); #102138=VECTOR('',#370407,10.); #102139=VECTOR('',#370410,10.); #102140=VECTOR('',#370411,10.); #102141=VECTOR('',#370412,10.); #102142=VECTOR('',#370415,10.); #102143=VECTOR('',#370416,10.); #102144=VECTOR('',#370417,10.); #102145=VECTOR('',#370420,10.); #102146=VECTOR('',#370421,10.); #102147=VECTOR('',#370422,10.); #102148=VECTOR('',#370425,10.); #102149=VECTOR('',#370426,10.); #102150=VECTOR('',#370427,10.); #102151=VECTOR('',#370430,10.); #102152=VECTOR('',#370431,10.); #102153=VECTOR('',#370432,10.); #102154=VECTOR('',#370435,10.); #102155=VECTOR('',#370436,10.); #102156=VECTOR('',#370437,10.); #102157=VECTOR('',#370440,10.); #102158=VECTOR('',#370441,10.); #102159=VECTOR('',#370442,10.); #102160=VECTOR('',#370445,10.); #102161=VECTOR('',#370446,10.); #102162=VECTOR('',#370447,10.); #102163=VECTOR('',#370450,10.); #102164=VECTOR('',#370451,10.); #102165=VECTOR('',#370452,10.); #102166=VECTOR('',#370455,10.); #102167=VECTOR('',#370456,10.); #102168=VECTOR('',#370457,10.); #102169=VECTOR('',#370460,10.); #102170=VECTOR('',#370461,10.); #102171=VECTOR('',#370462,10.); #102172=VECTOR('',#370465,10.); #102173=VECTOR('',#370466,10.); #102174=VECTOR('',#370467,10.); #102175=VECTOR('',#370470,10.); #102176=VECTOR('',#370471,10.); #102177=VECTOR('',#370472,10.); #102178=VECTOR('',#370475,10.); #102179=VECTOR('',#370476,10.); #102180=VECTOR('',#370477,10.); #102181=VECTOR('',#370480,10.); #102182=VECTOR('',#370481,10.); #102183=VECTOR('',#370482,10.); #102184=VECTOR('',#370485,10.); #102185=VECTOR('',#370486,10.); #102186=VECTOR('',#370487,10.); #102187=VECTOR('',#370490,10.); #102188=VECTOR('',#370491,10.); #102189=VECTOR('',#370492,10.); #102190=VECTOR('',#370495,10.); #102191=VECTOR('',#370496,10.); #102192=VECTOR('',#370497,10.); #102193=VECTOR('',#370500,10.); #102194=VECTOR('',#370501,10.); #102195=VECTOR('',#370502,10.); #102196=VECTOR('',#370505,10.); #102197=VECTOR('',#370506,10.); #102198=VECTOR('',#370507,10.); #102199=VECTOR('',#370510,10.); #102200=VECTOR('',#370511,10.); #102201=VECTOR('',#370512,10.); #102202=VECTOR('',#370515,10.); #102203=VECTOR('',#370516,10.); #102204=VECTOR('',#370517,10.); #102205=VECTOR('',#370520,10.); #102206=VECTOR('',#370521,10.); #102207=VECTOR('',#370522,10.); #102208=VECTOR('',#370525,10.); #102209=VECTOR('',#370526,10.); #102210=VECTOR('',#370527,10.); #102211=VECTOR('',#370530,10.); #102212=VECTOR('',#370531,10.); #102213=VECTOR('',#370532,10.); #102214=VECTOR('',#370535,10.); #102215=VECTOR('',#370536,10.); #102216=VECTOR('',#370537,10.); #102217=VECTOR('',#370540,10.); #102218=VECTOR('',#370541,10.); #102219=VECTOR('',#370542,10.); #102220=VECTOR('',#370545,10.); #102221=VECTOR('',#370546,10.); #102222=VECTOR('',#370547,10.); #102223=VECTOR('',#370550,10.); #102224=VECTOR('',#370551,10.); #102225=VECTOR('',#370552,10.); #102226=VECTOR('',#370555,10.); #102227=VECTOR('',#370556,10.); #102228=VECTOR('',#370557,10.); #102229=VECTOR('',#370560,10.); #102230=VECTOR('',#370561,10.); #102231=VECTOR('',#370562,10.); #102232=VECTOR('',#370565,10.); #102233=VECTOR('',#370566,10.); #102234=VECTOR('',#370567,10.); #102235=VECTOR('',#370570,10.); #102236=VECTOR('',#370571,10.); #102237=VECTOR('',#370572,10.); #102238=VECTOR('',#370575,10.); #102239=VECTOR('',#370576,10.); #102240=VECTOR('',#370577,10.); #102241=VECTOR('',#370580,10.); #102242=VECTOR('',#370581,10.); #102243=VECTOR('',#370582,10.); #102244=VECTOR('',#370585,10.); #102245=VECTOR('',#370586,10.); #102246=VECTOR('',#370587,10.); #102247=VECTOR('',#370590,10.); #102248=VECTOR('',#370591,10.); #102249=VECTOR('',#370592,10.); #102250=VECTOR('',#370595,10.); #102251=VECTOR('',#370596,10.); #102252=VECTOR('',#370597,10.); #102253=VECTOR('',#370600,10.); #102254=VECTOR('',#370601,10.); #102255=VECTOR('',#370602,10.); #102256=VECTOR('',#370605,10.); #102257=VECTOR('',#370606,10.); #102258=VECTOR('',#370607,10.); #102259=VECTOR('',#370610,10.); #102260=VECTOR('',#370611,10.); #102261=VECTOR('',#370612,10.); #102262=VECTOR('',#370615,10.); #102263=VECTOR('',#370616,10.); #102264=VECTOR('',#370617,10.); #102265=VECTOR('',#370620,10.); #102266=VECTOR('',#370621,10.); #102267=VECTOR('',#370622,10.); #102268=VECTOR('',#370625,10.); #102269=VECTOR('',#370626,10.); #102270=VECTOR('',#370627,10.); #102271=VECTOR('',#370630,10.); #102272=VECTOR('',#370631,10.); #102273=VECTOR('',#370632,10.); #102274=VECTOR('',#370635,10.); #102275=VECTOR('',#370636,10.); #102276=VECTOR('',#370637,10.); #102277=VECTOR('',#370640,10.); #102278=VECTOR('',#370641,10.); #102279=VECTOR('',#370642,10.); #102280=VECTOR('',#370645,10.); #102281=VECTOR('',#370646,10.); #102282=VECTOR('',#370647,10.); #102283=VECTOR('',#370650,10.); #102284=VECTOR('',#370651,10.); #102285=VECTOR('',#370652,10.); #102286=VECTOR('',#370655,10.); #102287=VECTOR('',#370656,10.); #102288=VECTOR('',#370657,10.); #102289=VECTOR('',#370660,10.); #102290=VECTOR('',#370661,10.); #102291=VECTOR('',#370662,10.); #102292=VECTOR('',#370665,10.); #102293=VECTOR('',#370666,10.); #102294=VECTOR('',#370667,10.); #102295=VECTOR('',#370670,10.); #102296=VECTOR('',#370671,10.); #102297=VECTOR('',#370672,10.); #102298=VECTOR('',#370675,10.); #102299=VECTOR('',#370676,10.); #102300=VECTOR('',#370677,10.); #102301=VECTOR('',#370680,10.); #102302=VECTOR('',#370681,10.); #102303=VECTOR('',#370682,10.); #102304=VECTOR('',#370685,10.); #102305=VECTOR('',#370686,10.); #102306=VECTOR('',#370687,10.); #102307=VECTOR('',#370690,10.); #102308=VECTOR('',#370691,10.); #102309=VECTOR('',#370692,10.); #102310=VECTOR('',#370695,10.); #102311=VECTOR('',#370696,10.); #102312=VECTOR('',#370697,10.); #102313=VECTOR('',#370700,10.); #102314=VECTOR('',#370701,10.); #102315=VECTOR('',#370702,10.); #102316=VECTOR('',#370705,10.); #102317=VECTOR('',#370706,10.); #102318=VECTOR('',#370707,10.); #102319=VECTOR('',#370710,10.); #102320=VECTOR('',#370711,10.); #102321=VECTOR('',#370712,10.); #102322=VECTOR('',#370715,10.); #102323=VECTOR('',#370716,10.); #102324=VECTOR('',#370717,10.); #102325=VECTOR('',#370720,10.); #102326=VECTOR('',#370721,10.); #102327=VECTOR('',#370722,10.); #102328=VECTOR('',#370725,10.); #102329=VECTOR('',#370726,10.); #102330=VECTOR('',#370727,10.); #102331=VECTOR('',#370730,10.); #102332=VECTOR('',#370731,10.); #102333=VECTOR('',#370732,10.); #102334=VECTOR('',#370735,10.); #102335=VECTOR('',#370736,10.); #102336=VECTOR('',#370737,10.); #102337=VECTOR('',#370740,10.); #102338=VECTOR('',#370741,10.); #102339=VECTOR('',#370742,10.); #102340=VECTOR('',#370745,10.); #102341=VECTOR('',#370746,10.); #102342=VECTOR('',#370747,10.); #102343=VECTOR('',#370750,10.); #102344=VECTOR('',#370751,10.); #102345=VECTOR('',#370752,10.); #102346=VECTOR('',#370755,10.); #102347=VECTOR('',#370756,10.); #102348=VECTOR('',#370757,10.); #102349=VECTOR('',#370760,10.); #102350=VECTOR('',#370761,10.); #102351=VECTOR('',#370762,10.); #102352=VECTOR('',#370765,10.); #102353=VECTOR('',#370766,10.); #102354=VECTOR('',#370767,10.); #102355=VECTOR('',#370770,10.); #102356=VECTOR('',#370771,10.); #102357=VECTOR('',#370772,10.); #102358=VECTOR('',#370775,10.); #102359=VECTOR('',#370776,10.); #102360=VECTOR('',#370777,10.); #102361=VECTOR('',#370780,10.); #102362=VECTOR('',#370781,10.); #102363=VECTOR('',#370782,10.); #102364=VECTOR('',#370785,10.); #102365=VECTOR('',#370786,10.); #102366=VECTOR('',#370787,10.); #102367=VECTOR('',#370790,10.); #102368=VECTOR('',#370791,10.); #102369=VECTOR('',#370792,10.); #102370=VECTOR('',#370795,10.); #102371=VECTOR('',#370796,10.); #102372=VECTOR('',#370797,10.); #102373=VECTOR('',#370800,10.); #102374=VECTOR('',#370801,10.); #102375=VECTOR('',#370802,10.); #102376=VECTOR('',#370805,10.); #102377=VECTOR('',#370806,10.); #102378=VECTOR('',#370807,10.); #102379=VECTOR('',#370810,10.); #102380=VECTOR('',#370811,10.); #102381=VECTOR('',#370812,10.); #102382=VECTOR('',#370815,10.); #102383=VECTOR('',#370816,10.); #102384=VECTOR('',#370817,10.); #102385=VECTOR('',#370820,10.); #102386=VECTOR('',#370821,10.); #102387=VECTOR('',#370822,10.); #102388=VECTOR('',#370825,10.); #102389=VECTOR('',#370826,10.); #102390=VECTOR('',#370827,10.); #102391=VECTOR('',#370830,10.); #102392=VECTOR('',#370831,10.); #102393=VECTOR('',#370832,10.); #102394=VECTOR('',#370835,10.); #102395=VECTOR('',#370836,10.); #102396=VECTOR('',#370837,10.); #102397=VECTOR('',#370840,10.); #102398=VECTOR('',#370841,10.); #102399=VECTOR('',#370842,10.); #102400=VECTOR('',#370845,10.); #102401=VECTOR('',#370846,10.); #102402=VECTOR('',#370847,10.); #102403=VECTOR('',#370850,10.); #102404=VECTOR('',#370851,10.); #102405=VECTOR('',#370852,10.); #102406=VECTOR('',#370855,10.); #102407=VECTOR('',#370856,10.); #102408=VECTOR('',#370857,10.); #102409=VECTOR('',#370860,10.); #102410=VECTOR('',#370861,10.); #102411=VECTOR('',#370862,10.); #102412=VECTOR('',#370865,10.); #102413=VECTOR('',#370866,10.); #102414=VECTOR('',#370867,10.); #102415=VECTOR('',#370870,10.); #102416=VECTOR('',#370871,10.); #102417=VECTOR('',#370872,10.); #102418=VECTOR('',#370875,10.); #102419=VECTOR('',#370876,10.); #102420=VECTOR('',#370877,10.); #102421=VECTOR('',#370880,10.); #102422=VECTOR('',#370881,10.); #102423=VECTOR('',#370882,10.); #102424=VECTOR('',#370885,10.); #102425=VECTOR('',#370886,10.); #102426=VECTOR('',#370887,10.); #102427=VECTOR('',#370890,10.); #102428=VECTOR('',#370891,10.); #102429=VECTOR('',#370892,10.); #102430=VECTOR('',#370895,10.); #102431=VECTOR('',#370896,10.); #102432=VECTOR('',#370897,10.); #102433=VECTOR('',#370900,10.); #102434=VECTOR('',#370901,10.); #102435=VECTOR('',#370902,10.); #102436=VECTOR('',#370905,10.); #102437=VECTOR('',#370906,10.); #102438=VECTOR('',#370907,10.); #102439=VECTOR('',#370910,10.); #102440=VECTOR('',#370911,10.); #102441=VECTOR('',#370912,10.); #102442=VECTOR('',#370915,10.); #102443=VECTOR('',#370916,10.); #102444=VECTOR('',#370917,10.); #102445=VECTOR('',#370920,10.); #102446=VECTOR('',#370921,10.); #102447=VECTOR('',#370922,10.); #102448=VECTOR('',#370925,10.); #102449=VECTOR('',#370926,10.); #102450=VECTOR('',#370927,10.); #102451=VECTOR('',#370930,10.); #102452=VECTOR('',#370931,10.); #102453=VECTOR('',#370932,10.); #102454=VECTOR('',#370935,10.); #102455=VECTOR('',#370936,10.); #102456=VECTOR('',#370937,10.); #102457=VECTOR('',#370940,10.); #102458=VECTOR('',#370941,10.); #102459=VECTOR('',#370942,10.); #102460=VECTOR('',#370945,10.); #102461=VECTOR('',#370946,10.); #102462=VECTOR('',#370947,10.); #102463=VECTOR('',#370950,10.); #102464=VECTOR('',#370951,10.); #102465=VECTOR('',#370952,10.); #102466=VECTOR('',#370955,10.); #102467=VECTOR('',#370956,10.); #102468=VECTOR('',#370957,10.); #102469=VECTOR('',#370960,10.); #102470=VECTOR('',#370961,10.); #102471=VECTOR('',#370962,10.); #102472=VECTOR('',#370965,10.); #102473=VECTOR('',#370966,10.); #102474=VECTOR('',#370967,10.); #102475=VECTOR('',#370970,10.); #102476=VECTOR('',#370971,10.); #102477=VECTOR('',#370972,10.); #102478=VECTOR('',#370975,10.); #102479=VECTOR('',#370976,10.); #102480=VECTOR('',#370977,10.); #102481=VECTOR('',#370980,10.); #102482=VECTOR('',#370981,10.); #102483=VECTOR('',#370982,10.); #102484=VECTOR('',#370985,10.); #102485=VECTOR('',#370986,10.); #102486=VECTOR('',#370987,10.); #102487=VECTOR('',#370990,10.); #102488=VECTOR('',#370991,10.); #102489=VECTOR('',#370992,10.); #102490=VECTOR('',#370995,10.); #102491=VECTOR('',#370996,10.); #102492=VECTOR('',#370997,10.); #102493=VECTOR('',#371000,10.); #102494=VECTOR('',#371001,10.); #102495=VECTOR('',#371002,10.); #102496=VECTOR('',#371005,10.); #102497=VECTOR('',#371006,10.); #102498=VECTOR('',#371007,10.); #102499=VECTOR('',#371010,10.); #102500=VECTOR('',#371011,10.); #102501=VECTOR('',#371012,10.); #102502=VECTOR('',#371015,10.); #102503=VECTOR('',#371016,10.); #102504=VECTOR('',#371017,10.); #102505=VECTOR('',#371020,10.); #102506=VECTOR('',#371021,10.); #102507=VECTOR('',#371022,10.); #102508=VECTOR('',#371025,10.); #102509=VECTOR('',#371026,10.); #102510=VECTOR('',#371027,10.); #102511=VECTOR('',#371030,10.); #102512=VECTOR('',#371031,10.); #102513=VECTOR('',#371032,10.); #102514=VECTOR('',#371035,10.); #102515=VECTOR('',#371036,10.); #102516=VECTOR('',#371037,10.); #102517=VECTOR('',#371040,10.); #102518=VECTOR('',#371041,10.); #102519=VECTOR('',#371042,10.); #102520=VECTOR('',#371045,10.); #102521=VECTOR('',#371046,10.); #102522=VECTOR('',#371047,10.); #102523=VECTOR('',#371050,10.); #102524=VECTOR('',#371051,10.); #102525=VECTOR('',#371052,10.); #102526=VECTOR('',#371055,10.); #102527=VECTOR('',#371056,10.); #102528=VECTOR('',#371057,10.); #102529=VECTOR('',#371060,10.); #102530=VECTOR('',#371061,10.); #102531=VECTOR('',#371062,10.); #102532=VECTOR('',#371065,10.); #102533=VECTOR('',#371066,10.); #102534=VECTOR('',#371067,10.); #102535=VECTOR('',#371070,10.); #102536=VECTOR('',#371071,10.); #102537=VECTOR('',#371072,10.); #102538=VECTOR('',#371075,10.); #102539=VECTOR('',#371076,10.); #102540=VECTOR('',#371077,10.); #102541=VECTOR('',#371080,10.); #102542=VECTOR('',#371081,10.); #102543=VECTOR('',#371082,10.); #102544=VECTOR('',#371085,10.); #102545=VECTOR('',#371086,10.); #102546=VECTOR('',#371087,10.); #102547=VECTOR('',#371090,10.); #102548=VECTOR('',#371091,10.); #102549=VECTOR('',#371092,10.); #102550=VECTOR('',#371095,10.); #102551=VECTOR('',#371096,10.); #102552=VECTOR('',#371097,10.); #102553=VECTOR('',#371100,10.); #102554=VECTOR('',#371101,10.); #102555=VECTOR('',#371102,10.); #102556=VECTOR('',#371105,10.); #102557=VECTOR('',#371106,10.); #102558=VECTOR('',#371107,10.); #102559=VECTOR('',#371110,10.); #102560=VECTOR('',#371111,10.); #102561=VECTOR('',#371112,10.); #102562=VECTOR('',#371115,10.); #102563=VECTOR('',#371116,10.); #102564=VECTOR('',#371117,10.); #102565=VECTOR('',#371120,10.); #102566=VECTOR('',#371121,10.); #102567=VECTOR('',#371122,10.); #102568=VECTOR('',#371125,10.); #102569=VECTOR('',#371126,10.); #102570=VECTOR('',#371127,10.); #102571=VECTOR('',#371130,10.); #102572=VECTOR('',#371131,10.); #102573=VECTOR('',#371132,10.); #102574=VECTOR('',#371135,10.); #102575=VECTOR('',#371136,10.); #102576=VECTOR('',#371137,10.); #102577=VECTOR('',#371140,10.); #102578=VECTOR('',#371141,10.); #102579=VECTOR('',#371142,10.); #102580=VECTOR('',#371145,10.); #102581=VECTOR('',#371146,10.); #102582=VECTOR('',#371147,10.); #102583=VECTOR('',#371150,10.); #102584=VECTOR('',#371151,10.); #102585=VECTOR('',#371152,10.); #102586=VECTOR('',#371155,10.); #102587=VECTOR('',#371156,10.); #102588=VECTOR('',#371157,10.); #102589=VECTOR('',#371160,10.); #102590=VECTOR('',#371161,10.); #102591=VECTOR('',#371162,10.); #102592=VECTOR('',#371165,10.); #102593=VECTOR('',#371166,10.); #102594=VECTOR('',#371167,10.); #102595=VECTOR('',#371170,10.); #102596=VECTOR('',#371171,10.); #102597=VECTOR('',#371172,10.); #102598=VECTOR('',#371175,10.); #102599=VECTOR('',#371176,10.); #102600=VECTOR('',#371177,10.); #102601=VECTOR('',#371180,10.); #102602=VECTOR('',#371181,10.); #102603=VECTOR('',#371182,10.); #102604=VECTOR('',#371185,10.); #102605=VECTOR('',#371186,10.); #102606=VECTOR('',#371187,10.); #102607=VECTOR('',#371190,10.); #102608=VECTOR('',#371191,10.); #102609=VECTOR('',#371192,10.); #102610=VECTOR('',#371195,10.); #102611=VECTOR('',#371196,10.); #102612=VECTOR('',#371197,10.); #102613=VECTOR('',#371200,10.); #102614=VECTOR('',#371201,10.); #102615=VECTOR('',#371202,10.); #102616=VECTOR('',#371205,10.); #102617=VECTOR('',#371206,10.); #102618=VECTOR('',#371207,10.); #102619=VECTOR('',#371210,10.); #102620=VECTOR('',#371211,10.); #102621=VECTOR('',#371212,10.); #102622=VECTOR('',#371215,10.); #102623=VECTOR('',#371216,10.); #102624=VECTOR('',#371217,10.); #102625=VECTOR('',#371220,10.); #102626=VECTOR('',#371221,10.); #102627=VECTOR('',#371222,10.); #102628=VECTOR('',#371225,10.); #102629=VECTOR('',#371226,10.); #102630=VECTOR('',#371227,10.); #102631=VECTOR('',#371230,10.); #102632=VECTOR('',#371231,10.); #102633=VECTOR('',#371232,10.); #102634=VECTOR('',#371235,10.); #102635=VECTOR('',#371236,10.); #102636=VECTOR('',#371237,10.); #102637=VECTOR('',#371240,10.); #102638=VECTOR('',#371241,10.); #102639=VECTOR('',#371242,10.); #102640=VECTOR('',#371245,10.); #102641=VECTOR('',#371246,10.); #102642=VECTOR('',#371247,10.); #102643=VECTOR('',#371250,10.); #102644=VECTOR('',#371251,10.); #102645=VECTOR('',#371252,10.); #102646=VECTOR('',#371255,10.); #102647=VECTOR('',#371256,10.); #102648=VECTOR('',#371257,10.); #102649=VECTOR('',#371260,10.); #102650=VECTOR('',#371261,10.); #102651=VECTOR('',#371262,10.); #102652=VECTOR('',#371265,10.); #102653=VECTOR('',#371266,10.); #102654=VECTOR('',#371267,10.); #102655=VECTOR('',#371270,10.); #102656=VECTOR('',#371271,10.); #102657=VECTOR('',#371272,10.); #102658=VECTOR('',#371275,10.); #102659=VECTOR('',#371276,10.); #102660=VECTOR('',#371277,10.); #102661=VECTOR('',#371280,10.); #102662=VECTOR('',#371281,10.); #102663=VECTOR('',#371282,10.); #102664=VECTOR('',#371285,10.); #102665=VECTOR('',#371286,10.); #102666=VECTOR('',#371287,10.); #102667=VECTOR('',#371290,10.); #102668=VECTOR('',#371291,10.); #102669=VECTOR('',#371292,10.); #102670=VECTOR('',#371295,10.); #102671=VECTOR('',#371296,10.); #102672=VECTOR('',#371297,10.); #102673=VECTOR('',#371300,10.); #102674=VECTOR('',#371301,10.); #102675=VECTOR('',#371302,10.); #102676=VECTOR('',#371305,10.); #102677=VECTOR('',#371306,10.); #102678=VECTOR('',#371307,10.); #102679=VECTOR('',#371310,10.); #102680=VECTOR('',#371311,10.); #102681=VECTOR('',#371312,10.); #102682=VECTOR('',#371315,10.); #102683=VECTOR('',#371316,10.); #102684=VECTOR('',#371317,10.); #102685=VECTOR('',#371320,10.); #102686=VECTOR('',#371321,10.); #102687=VECTOR('',#371322,10.); #102688=VECTOR('',#371325,10.); #102689=VECTOR('',#371326,10.); #102690=VECTOR('',#371327,10.); #102691=VECTOR('',#371330,10.); #102692=VECTOR('',#371331,10.); #102693=VECTOR('',#371332,10.); #102694=VECTOR('',#371335,10.); #102695=VECTOR('',#371336,10.); #102696=VECTOR('',#371337,10.); #102697=VECTOR('',#371340,10.); #102698=VECTOR('',#371341,10.); #102699=VECTOR('',#371342,10.); #102700=VECTOR('',#371345,10.); #102701=VECTOR('',#371346,10.); #102702=VECTOR('',#371347,10.); #102703=VECTOR('',#371350,10.); #102704=VECTOR('',#371351,10.); #102705=VECTOR('',#371352,10.); #102706=VECTOR('',#371355,10.); #102707=VECTOR('',#371356,10.); #102708=VECTOR('',#371357,10.); #102709=VECTOR('',#371360,10.); #102710=VECTOR('',#371361,10.); #102711=VECTOR('',#371362,10.); #102712=VECTOR('',#371365,10.); #102713=VECTOR('',#371366,10.); #102714=VECTOR('',#371367,10.); #102715=VECTOR('',#371370,10.); #102716=VECTOR('',#371371,10.); #102717=VECTOR('',#371372,10.); #102718=VECTOR('',#371375,10.); #102719=VECTOR('',#371376,10.); #102720=VECTOR('',#371377,10.); #102721=VECTOR('',#371380,10.); #102722=VECTOR('',#371381,10.); #102723=VECTOR('',#371382,10.); #102724=VECTOR('',#371385,10.); #102725=VECTOR('',#371386,10.); #102726=VECTOR('',#371387,10.); #102727=VECTOR('',#371390,10.); #102728=VECTOR('',#371391,10.); #102729=VECTOR('',#371392,10.); #102730=VECTOR('',#371395,10.); #102731=VECTOR('',#371396,10.); #102732=VECTOR('',#371397,10.); #102733=VECTOR('',#371400,10.); #102734=VECTOR('',#371401,10.); #102735=VECTOR('',#371402,10.); #102736=VECTOR('',#371405,10.); #102737=VECTOR('',#371406,10.); #102738=VECTOR('',#371407,10.); #102739=VECTOR('',#371410,10.); #102740=VECTOR('',#371411,10.); #102741=VECTOR('',#371412,10.); #102742=VECTOR('',#371415,10.); #102743=VECTOR('',#371416,10.); #102744=VECTOR('',#371417,10.); #102745=VECTOR('',#371420,10.); #102746=VECTOR('',#371421,10.); #102747=VECTOR('',#371422,10.); #102748=VECTOR('',#371425,10.); #102749=VECTOR('',#371426,10.); #102750=VECTOR('',#371427,10.); #102751=VECTOR('',#371430,10.); #102752=VECTOR('',#371431,10.); #102753=VECTOR('',#371432,10.); #102754=VECTOR('',#371435,10.); #102755=VECTOR('',#371436,10.); #102756=VECTOR('',#371437,10.); #102757=VECTOR('',#371440,10.); #102758=VECTOR('',#371441,10.); #102759=VECTOR('',#371442,10.); #102760=VECTOR('',#371445,10.); #102761=VECTOR('',#371446,10.); #102762=VECTOR('',#371447,10.); #102763=VECTOR('',#371450,10.); #102764=VECTOR('',#371451,10.); #102765=VECTOR('',#371452,10.); #102766=VECTOR('',#371455,10.); #102767=VECTOR('',#371456,10.); #102768=VECTOR('',#371457,10.); #102769=VECTOR('',#371460,10.); #102770=VECTOR('',#371461,10.); #102771=VECTOR('',#371462,10.); #102772=VECTOR('',#371465,10.); #102773=VECTOR('',#371466,10.); #102774=VECTOR('',#371467,10.); #102775=VECTOR('',#371470,10.); #102776=VECTOR('',#371471,10.); #102777=VECTOR('',#371472,10.); #102778=VECTOR('',#371475,10.); #102779=VECTOR('',#371476,10.); #102780=VECTOR('',#371477,10.); #102781=VECTOR('',#371480,10.); #102782=VECTOR('',#371481,10.); #102783=VECTOR('',#371482,10.); #102784=VECTOR('',#371485,10.); #102785=VECTOR('',#371486,10.); #102786=VECTOR('',#371487,10.); #102787=VECTOR('',#371490,10.); #102788=VECTOR('',#371491,10.); #102789=VECTOR('',#371492,10.); #102790=VECTOR('',#371495,10.); #102791=VECTOR('',#371496,10.); #102792=VECTOR('',#371497,10.); #102793=VECTOR('',#371500,10.); #102794=VECTOR('',#371501,10.); #102795=VECTOR('',#371502,10.); #102796=VECTOR('',#371505,10.); #102797=VECTOR('',#371506,10.); #102798=VECTOR('',#371507,10.); #102799=VECTOR('',#371510,10.); #102800=VECTOR('',#371511,10.); #102801=VECTOR('',#371512,10.); #102802=VECTOR('',#371515,10.); #102803=VECTOR('',#371516,10.); #102804=VECTOR('',#371517,10.); #102805=VECTOR('',#371520,10.); #102806=VECTOR('',#371521,10.); #102807=VECTOR('',#371522,10.); #102808=VECTOR('',#371525,10.); #102809=VECTOR('',#371526,10.); #102810=VECTOR('',#371527,10.); #102811=VECTOR('',#371530,10.); #102812=VECTOR('',#371531,10.); #102813=VECTOR('',#371532,10.); #102814=VECTOR('',#371535,10.); #102815=VECTOR('',#371536,10.); #102816=VECTOR('',#371537,10.); #102817=VECTOR('',#371540,10.); #102818=VECTOR('',#371541,10.); #102819=VECTOR('',#371542,10.); #102820=VECTOR('',#371545,10.); #102821=VECTOR('',#371546,10.); #102822=VECTOR('',#371547,10.); #102823=VECTOR('',#371550,10.); #102824=VECTOR('',#371551,10.); #102825=VECTOR('',#371552,10.); #102826=VECTOR('',#371555,10.); #102827=VECTOR('',#371556,10.); #102828=VECTOR('',#371557,10.); #102829=VECTOR('',#371560,10.); #102830=VECTOR('',#371561,10.); #102831=VECTOR('',#371562,10.); #102832=VECTOR('',#371565,10.); #102833=VECTOR('',#371566,10.); #102834=VECTOR('',#371567,10.); #102835=VECTOR('',#371570,10.); #102836=VECTOR('',#371571,10.); #102837=VECTOR('',#371572,10.); #102838=VECTOR('',#371575,10.); #102839=VECTOR('',#371576,10.); #102840=VECTOR('',#371577,10.); #102841=VECTOR('',#371580,10.); #102842=VECTOR('',#371581,10.); #102843=VECTOR('',#371582,10.); #102844=VECTOR('',#371585,10.); #102845=VECTOR('',#371586,10.); #102846=VECTOR('',#371587,10.); #102847=VECTOR('',#371590,10.); #102848=VECTOR('',#371591,10.); #102849=VECTOR('',#371592,10.); #102850=VECTOR('',#371595,10.); #102851=VECTOR('',#371596,10.); #102852=VECTOR('',#371597,10.); #102853=VECTOR('',#371600,10.); #102854=VECTOR('',#371601,10.); #102855=VECTOR('',#371602,10.); #102856=VECTOR('',#371605,10.); #102857=VECTOR('',#371606,10.); #102858=VECTOR('',#371607,10.); #102859=VECTOR('',#371610,10.); #102860=VECTOR('',#371611,10.); #102861=VECTOR('',#371612,10.); #102862=VECTOR('',#371615,10.); #102863=VECTOR('',#371616,10.); #102864=VECTOR('',#371617,10.); #102865=VECTOR('',#371620,10.); #102866=VECTOR('',#371621,10.); #102867=VECTOR('',#371622,10.); #102868=VECTOR('',#371625,10.); #102869=VECTOR('',#371626,10.); #102870=VECTOR('',#371627,10.); #102871=VECTOR('',#371630,10.); #102872=VECTOR('',#371631,10.); #102873=VECTOR('',#371632,10.); #102874=VECTOR('',#371635,10.); #102875=VECTOR('',#371636,10.); #102876=VECTOR('',#371637,10.); #102877=VECTOR('',#371640,10.); #102878=VECTOR('',#371641,10.); #102879=VECTOR('',#371642,10.); #102880=VECTOR('',#371645,10.); #102881=VECTOR('',#371646,10.); #102882=VECTOR('',#371647,10.); #102883=VECTOR('',#371650,10.); #102884=VECTOR('',#371651,10.); #102885=VECTOR('',#371652,10.); #102886=VECTOR('',#371655,10.); #102887=VECTOR('',#371656,10.); #102888=VECTOR('',#371657,10.); #102889=VECTOR('',#371660,10.); #102890=VECTOR('',#371661,10.); #102891=VECTOR('',#371662,10.); #102892=VECTOR('',#371665,10.); #102893=VECTOR('',#371666,10.); #102894=VECTOR('',#371667,10.); #102895=VECTOR('',#371670,10.); #102896=VECTOR('',#371671,10.); #102897=VECTOR('',#371672,10.); #102898=VECTOR('',#371675,10.); #102899=VECTOR('',#371676,10.); #102900=VECTOR('',#371677,10.); #102901=VECTOR('',#371680,10.); #102902=VECTOR('',#371681,10.); #102903=VECTOR('',#371682,10.); #102904=VECTOR('',#371685,10.); #102905=VECTOR('',#371686,10.); #102906=VECTOR('',#371687,10.); #102907=VECTOR('',#371690,10.); #102908=VECTOR('',#371691,10.); #102909=VECTOR('',#371692,10.); #102910=VECTOR('',#371695,10.); #102911=VECTOR('',#371696,10.); #102912=VECTOR('',#371697,10.); #102913=VECTOR('',#371700,10.); #102914=VECTOR('',#371701,10.); #102915=VECTOR('',#371702,10.); #102916=VECTOR('',#371705,10.); #102917=VECTOR('',#371706,10.); #102918=VECTOR('',#371707,10.); #102919=VECTOR('',#371710,10.); #102920=VECTOR('',#371711,10.); #102921=VECTOR('',#371712,10.); #102922=VECTOR('',#371715,10.); #102923=VECTOR('',#371716,10.); #102924=VECTOR('',#371717,10.); #102925=VECTOR('',#371720,10.); #102926=VECTOR('',#371721,10.); #102927=VECTOR('',#371722,10.); #102928=VECTOR('',#371725,10.); #102929=VECTOR('',#371726,10.); #102930=VECTOR('',#371727,10.); #102931=VECTOR('',#371730,10.); #102932=VECTOR('',#371731,10.); #102933=VECTOR('',#371732,10.); #102934=VECTOR('',#371735,10.); #102935=VECTOR('',#371736,10.); #102936=VECTOR('',#371737,10.); #102937=VECTOR('',#371740,10.); #102938=VECTOR('',#371741,10.); #102939=VECTOR('',#371742,10.); #102940=VECTOR('',#371745,10.); #102941=VECTOR('',#371746,10.); #102942=VECTOR('',#371747,10.); #102943=VECTOR('',#371750,10.); #102944=VECTOR('',#371751,10.); #102945=VECTOR('',#371752,10.); #102946=VECTOR('',#371755,10.); #102947=VECTOR('',#371756,10.); #102948=VECTOR('',#371757,10.); #102949=VECTOR('',#371760,10.); #102950=VECTOR('',#371761,10.); #102951=VECTOR('',#371762,10.); #102952=VECTOR('',#371765,10.); #102953=VECTOR('',#371766,10.); #102954=VECTOR('',#371767,10.); #102955=VECTOR('',#371770,10.); #102956=VECTOR('',#371771,10.); #102957=VECTOR('',#371772,10.); #102958=VECTOR('',#371775,10.); #102959=VECTOR('',#371776,10.); #102960=VECTOR('',#371777,10.); #102961=VECTOR('',#371780,10.); #102962=VECTOR('',#371781,10.); #102963=VECTOR('',#371782,10.); #102964=VECTOR('',#371785,10.); #102965=VECTOR('',#371786,10.); #102966=VECTOR('',#371787,10.); #102967=VECTOR('',#371790,10.); #102968=VECTOR('',#371791,10.); #102969=VECTOR('',#371792,10.); #102970=VECTOR('',#371795,10.); #102971=VECTOR('',#371796,10.); #102972=VECTOR('',#371797,10.); #102973=VECTOR('',#371800,10.); #102974=VECTOR('',#371801,10.); #102975=VECTOR('',#371802,10.); #102976=VECTOR('',#371805,10.); #102977=VECTOR('',#371806,10.); #102978=VECTOR('',#371807,10.); #102979=VECTOR('',#371810,10.); #102980=VECTOR('',#371811,10.); #102981=VECTOR('',#371812,10.); #102982=VECTOR('',#371815,10.); #102983=VECTOR('',#371816,10.); #102984=VECTOR('',#371817,10.); #102985=VECTOR('',#371820,10.); #102986=VECTOR('',#371821,10.); #102987=VECTOR('',#371822,10.); #102988=VECTOR('',#371825,10.); #102989=VECTOR('',#371826,10.); #102990=VECTOR('',#371827,10.); #102991=VECTOR('',#371830,10.); #102992=VECTOR('',#371831,10.); #102993=VECTOR('',#371832,10.); #102994=VECTOR('',#371835,10.); #102995=VECTOR('',#371836,10.); #102996=VECTOR('',#371837,10.); #102997=VECTOR('',#371840,10.); #102998=VECTOR('',#371841,10.); #102999=VECTOR('',#371842,10.); #103000=VECTOR('',#371845,10.); #103001=VECTOR('',#371846,10.); #103002=VECTOR('',#371847,10.); #103003=VECTOR('',#371850,10.); #103004=VECTOR('',#371851,10.); #103005=VECTOR('',#371852,10.); #103006=VECTOR('',#371855,10.); #103007=VECTOR('',#371856,10.); #103008=VECTOR('',#371857,10.); #103009=VECTOR('',#371860,10.); #103010=VECTOR('',#371861,10.); #103011=VECTOR('',#371862,10.); #103012=VECTOR('',#371865,10.); #103013=VECTOR('',#371866,10.); #103014=VECTOR('',#371867,10.); #103015=VECTOR('',#371870,10.); #103016=VECTOR('',#371871,10.); #103017=VECTOR('',#371872,10.); #103018=VECTOR('',#371875,10.); #103019=VECTOR('',#371876,10.); #103020=VECTOR('',#371877,10.); #103021=VECTOR('',#371880,10.); #103022=VECTOR('',#371881,10.); #103023=VECTOR('',#371882,10.); #103024=VECTOR('',#371885,10.); #103025=VECTOR('',#371886,10.); #103026=VECTOR('',#371887,10.); #103027=VECTOR('',#371890,10.); #103028=VECTOR('',#371891,10.); #103029=VECTOR('',#371892,10.); #103030=VECTOR('',#371895,10.); #103031=VECTOR('',#371896,10.); #103032=VECTOR('',#371897,10.); #103033=VECTOR('',#371900,10.); #103034=VECTOR('',#371901,10.); #103035=VECTOR('',#371902,10.); #103036=VECTOR('',#371905,10.); #103037=VECTOR('',#371906,10.); #103038=VECTOR('',#371907,10.); #103039=VECTOR('',#371910,10.); #103040=VECTOR('',#371911,10.); #103041=VECTOR('',#371912,10.); #103042=VECTOR('',#371915,10.); #103043=VECTOR('',#371916,10.); #103044=VECTOR('',#371917,10.); #103045=VECTOR('',#371920,10.); #103046=VECTOR('',#371921,10.); #103047=VECTOR('',#371922,10.); #103048=VECTOR('',#371925,10.); #103049=VECTOR('',#371926,10.); #103050=VECTOR('',#371927,10.); #103051=VECTOR('',#371930,10.); #103052=VECTOR('',#371931,10.); #103053=VECTOR('',#371932,10.); #103054=VECTOR('',#371935,10.); #103055=VECTOR('',#371936,10.); #103056=VECTOR('',#371937,10.); #103057=VECTOR('',#371940,10.); #103058=VECTOR('',#371941,10.); #103059=VECTOR('',#371942,10.); #103060=VECTOR('',#371945,10.); #103061=VECTOR('',#371946,10.); #103062=VECTOR('',#371947,10.); #103063=VECTOR('',#371950,10.); #103064=VECTOR('',#371951,10.); #103065=VECTOR('',#371952,10.); #103066=VECTOR('',#371955,10.); #103067=VECTOR('',#371956,10.); #103068=VECTOR('',#371957,10.); #103069=VECTOR('',#371960,10.); #103070=VECTOR('',#371961,10.); #103071=VECTOR('',#371962,10.); #103072=VECTOR('',#371965,10.); #103073=VECTOR('',#371966,10.); #103074=VECTOR('',#371967,10.); #103075=VECTOR('',#371970,10.); #103076=VECTOR('',#371971,10.); #103077=VECTOR('',#371972,10.); #103078=VECTOR('',#371975,10.); #103079=VECTOR('',#371976,10.); #103080=VECTOR('',#371977,10.); #103081=VECTOR('',#371980,10.); #103082=VECTOR('',#371981,10.); #103083=VECTOR('',#371982,10.); #103084=VECTOR('',#371985,10.); #103085=VECTOR('',#371986,10.); #103086=VECTOR('',#371987,10.); #103087=VECTOR('',#371990,10.); #103088=VECTOR('',#371991,10.); #103089=VECTOR('',#371992,10.); #103090=VECTOR('',#371995,10.); #103091=VECTOR('',#371996,10.); #103092=VECTOR('',#371997,10.); #103093=VECTOR('',#372000,10.); #103094=VECTOR('',#372001,10.); #103095=VECTOR('',#372002,10.); #103096=VECTOR('',#372005,10.); #103097=VECTOR('',#372006,10.); #103098=VECTOR('',#372007,10.); #103099=VECTOR('',#372010,10.); #103100=VECTOR('',#372011,10.); #103101=VECTOR('',#372012,10.); #103102=VECTOR('',#372015,10.); #103103=VECTOR('',#372016,10.); #103104=VECTOR('',#372017,10.); #103105=VECTOR('',#372020,10.); #103106=VECTOR('',#372021,10.); #103107=VECTOR('',#372022,10.); #103108=VECTOR('',#372025,10.); #103109=VECTOR('',#372026,10.); #103110=VECTOR('',#372027,10.); #103111=VECTOR('',#372030,10.); #103112=VECTOR('',#372031,10.); #103113=VECTOR('',#372032,10.); #103114=VECTOR('',#372035,10.); #103115=VECTOR('',#372036,10.); #103116=VECTOR('',#372037,10.); #103117=VECTOR('',#372040,10.); #103118=VECTOR('',#372041,10.); #103119=VECTOR('',#372042,10.); #103120=VECTOR('',#372045,10.); #103121=VECTOR('',#372046,10.); #103122=VECTOR('',#372047,10.); #103123=VECTOR('',#372050,10.); #103124=VECTOR('',#372051,10.); #103125=VECTOR('',#372052,10.); #103126=VECTOR('',#372055,10.); #103127=VECTOR('',#372056,10.); #103128=VECTOR('',#372057,10.); #103129=VECTOR('',#372060,10.); #103130=VECTOR('',#372061,10.); #103131=VECTOR('',#372062,10.); #103132=VECTOR('',#372065,10.); #103133=VECTOR('',#372066,10.); #103134=VECTOR('',#372067,10.); #103135=VECTOR('',#372070,10.); #103136=VECTOR('',#372071,10.); #103137=VECTOR('',#372072,10.); #103138=VECTOR('',#372075,10.); #103139=VECTOR('',#372076,10.); #103140=VECTOR('',#372077,10.); #103141=VECTOR('',#372080,10.); #103142=VECTOR('',#372081,10.); #103143=VECTOR('',#372082,10.); #103144=VECTOR('',#372085,10.); #103145=VECTOR('',#372086,10.); #103146=VECTOR('',#372087,10.); #103147=VECTOR('',#372090,10.); #103148=VECTOR('',#372091,10.); #103149=VECTOR('',#372092,10.); #103150=VECTOR('',#372095,10.); #103151=VECTOR('',#372096,10.); #103152=VECTOR('',#372097,10.); #103153=VECTOR('',#372100,10.); #103154=VECTOR('',#372101,10.); #103155=VECTOR('',#372102,10.); #103156=VECTOR('',#372105,10.); #103157=VECTOR('',#372106,10.); #103158=VECTOR('',#372107,10.); #103159=VECTOR('',#372110,10.); #103160=VECTOR('',#372111,10.); #103161=VECTOR('',#372112,10.); #103162=VECTOR('',#372115,10.); #103163=VECTOR('',#372116,10.); #103164=VECTOR('',#372117,10.); #103165=VECTOR('',#372120,10.); #103166=VECTOR('',#372121,10.); #103167=VECTOR('',#372122,10.); #103168=VECTOR('',#372125,10.); #103169=VECTOR('',#372126,10.); #103170=VECTOR('',#372127,10.); #103171=VECTOR('',#372130,10.); #103172=VECTOR('',#372131,10.); #103173=VECTOR('',#372132,10.); #103174=VECTOR('',#372135,10.); #103175=VECTOR('',#372136,10.); #103176=VECTOR('',#372137,10.); #103177=VECTOR('',#372140,10.); #103178=VECTOR('',#372141,10.); #103179=VECTOR('',#372142,10.); #103180=VECTOR('',#372145,10.); #103181=VECTOR('',#372146,10.); #103182=VECTOR('',#372147,10.); #103183=VECTOR('',#372150,10.); #103184=VECTOR('',#372151,10.); #103185=VECTOR('',#372152,10.); #103186=VECTOR('',#372155,10.); #103187=VECTOR('',#372156,10.); #103188=VECTOR('',#372157,10.); #103189=VECTOR('',#372160,10.); #103190=VECTOR('',#372161,10.); #103191=VECTOR('',#372162,10.); #103192=VECTOR('',#372165,10.); #103193=VECTOR('',#372166,10.); #103194=VECTOR('',#372167,10.); #103195=VECTOR('',#372170,10.); #103196=VECTOR('',#372171,10.); #103197=VECTOR('',#372172,10.); #103198=VECTOR('',#372175,10.); #103199=VECTOR('',#372176,10.); #103200=VECTOR('',#372177,10.); #103201=VECTOR('',#372180,10.); #103202=VECTOR('',#372181,10.); #103203=VECTOR('',#372182,10.); #103204=VECTOR('',#372185,10.); #103205=VECTOR('',#372186,10.); #103206=VECTOR('',#372187,10.); #103207=VECTOR('',#372190,10.); #103208=VECTOR('',#372191,10.); #103209=VECTOR('',#372192,10.); #103210=VECTOR('',#372195,10.); #103211=VECTOR('',#372196,10.); #103212=VECTOR('',#372197,10.); #103213=VECTOR('',#372200,10.); #103214=VECTOR('',#372201,10.); #103215=VECTOR('',#372202,10.); #103216=VECTOR('',#372205,10.); #103217=VECTOR('',#372206,10.); #103218=VECTOR('',#372207,10.); #103219=VECTOR('',#372210,10.); #103220=VECTOR('',#372211,10.); #103221=VECTOR('',#372212,10.); #103222=VECTOR('',#372215,10.); #103223=VECTOR('',#372216,10.); #103224=VECTOR('',#372217,10.); #103225=VECTOR('',#372220,10.); #103226=VECTOR('',#372221,10.); #103227=VECTOR('',#372222,10.); #103228=VECTOR('',#372225,10.); #103229=VECTOR('',#372226,10.); #103230=VECTOR('',#372227,10.); #103231=VECTOR('',#372230,10.); #103232=VECTOR('',#372231,10.); #103233=VECTOR('',#372232,10.); #103234=VECTOR('',#372235,10.); #103235=VECTOR('',#372236,10.); #103236=VECTOR('',#372237,10.); #103237=VECTOR('',#372240,10.); #103238=VECTOR('',#372241,10.); #103239=VECTOR('',#372242,10.); #103240=VECTOR('',#372245,10.); #103241=VECTOR('',#372246,10.); #103242=VECTOR('',#372247,10.); #103243=VECTOR('',#372250,10.); #103244=VECTOR('',#372251,10.); #103245=VECTOR('',#372252,10.); #103246=VECTOR('',#372255,10.); #103247=VECTOR('',#372256,10.); #103248=VECTOR('',#372257,10.); #103249=VECTOR('',#372260,10.); #103250=VECTOR('',#372261,10.); #103251=VECTOR('',#372262,10.); #103252=VECTOR('',#372265,10.); #103253=VECTOR('',#372266,10.); #103254=VECTOR('',#372267,10.); #103255=VECTOR('',#372270,10.); #103256=VECTOR('',#372271,10.); #103257=VECTOR('',#372272,10.); #103258=VECTOR('',#372275,10.); #103259=VECTOR('',#372276,10.); #103260=VECTOR('',#372277,10.); #103261=VECTOR('',#372280,10.); #103262=VECTOR('',#372281,10.); #103263=VECTOR('',#372282,10.); #103264=VECTOR('',#372285,10.); #103265=VECTOR('',#372286,10.); #103266=VECTOR('',#372287,10.); #103267=VECTOR('',#372290,10.); #103268=VECTOR('',#372291,10.); #103269=VECTOR('',#372292,10.); #103270=VECTOR('',#372295,10.); #103271=VECTOR('',#372296,10.); #103272=VECTOR('',#372297,10.); #103273=VECTOR('',#372300,10.); #103274=VECTOR('',#372301,10.); #103275=VECTOR('',#372302,10.); #103276=VECTOR('',#372305,10.); #103277=VECTOR('',#372306,10.); #103278=VECTOR('',#372307,10.); #103279=VECTOR('',#372310,10.); #103280=VECTOR('',#372311,10.); #103281=VECTOR('',#372312,10.); #103282=VECTOR('',#372315,10.); #103283=VECTOR('',#372316,10.); #103284=VECTOR('',#372317,10.); #103285=VECTOR('',#372320,10.); #103286=VECTOR('',#372321,10.); #103287=VECTOR('',#372322,10.); #103288=VECTOR('',#372325,10.); #103289=VECTOR('',#372326,10.); #103290=VECTOR('',#372327,10.); #103291=VECTOR('',#372330,10.); #103292=VECTOR('',#372331,10.); #103293=VECTOR('',#372332,10.); #103294=VECTOR('',#372335,10.); #103295=VECTOR('',#372336,10.); #103296=VECTOR('',#372337,10.); #103297=VECTOR('',#372340,10.); #103298=VECTOR('',#372341,10.); #103299=VECTOR('',#372342,10.); #103300=VECTOR('',#372345,10.); #103301=VECTOR('',#372346,10.); #103302=VECTOR('',#372347,10.); #103303=VECTOR('',#372350,10.); #103304=VECTOR('',#372351,10.); #103305=VECTOR('',#372352,10.); #103306=VECTOR('',#372355,10.); #103307=VECTOR('',#372356,10.); #103308=VECTOR('',#372357,10.); #103309=VECTOR('',#372360,10.); #103310=VECTOR('',#372361,10.); #103311=VECTOR('',#372362,10.); #103312=VECTOR('',#372365,10.); #103313=VECTOR('',#372366,10.); #103314=VECTOR('',#372367,10.); #103315=VECTOR('',#372370,10.); #103316=VECTOR('',#372371,10.); #103317=VECTOR('',#372372,10.); #103318=VECTOR('',#372375,10.); #103319=VECTOR('',#372376,10.); #103320=VECTOR('',#372377,10.); #103321=VECTOR('',#372380,10.); #103322=VECTOR('',#372381,10.); #103323=VECTOR('',#372382,10.); #103324=VECTOR('',#372385,10.); #103325=VECTOR('',#372386,10.); #103326=VECTOR('',#372387,10.); #103327=VECTOR('',#372390,10.); #103328=VECTOR('',#372391,10.); #103329=VECTOR('',#372392,10.); #103330=VECTOR('',#372395,10.); #103331=VECTOR('',#372396,10.); #103332=VECTOR('',#372397,10.); #103333=VECTOR('',#372400,10.); #103334=VECTOR('',#372401,10.); #103335=VECTOR('',#372402,10.); #103336=VECTOR('',#372405,10.); #103337=VECTOR('',#372406,10.); #103338=VECTOR('',#372407,10.); #103339=VECTOR('',#372410,10.); #103340=VECTOR('',#372411,10.); #103341=VECTOR('',#372412,10.); #103342=VECTOR('',#372415,10.); #103343=VECTOR('',#372416,10.); #103344=VECTOR('',#372417,10.); #103345=VECTOR('',#372420,10.); #103346=VECTOR('',#372421,10.); #103347=VECTOR('',#372422,10.); #103348=VECTOR('',#372425,10.); #103349=VECTOR('',#372426,10.); #103350=VECTOR('',#372427,10.); #103351=VECTOR('',#372430,10.); #103352=VECTOR('',#372431,10.); #103353=VECTOR('',#372432,10.); #103354=VECTOR('',#372435,10.); #103355=VECTOR('',#372436,10.); #103356=VECTOR('',#372437,10.); #103357=VECTOR('',#372440,10.); #103358=VECTOR('',#372441,10.); #103359=VECTOR('',#372442,10.); #103360=VECTOR('',#372445,10.); #103361=VECTOR('',#372446,10.); #103362=VECTOR('',#372447,10.); #103363=VECTOR('',#372450,10.); #103364=VECTOR('',#372451,10.); #103365=VECTOR('',#372452,10.); #103366=VECTOR('',#372455,10.); #103367=VECTOR('',#372456,10.); #103368=VECTOR('',#372457,10.); #103369=VECTOR('',#372460,10.); #103370=VECTOR('',#372461,10.); #103371=VECTOR('',#372462,10.); #103372=VECTOR('',#372465,10.); #103373=VECTOR('',#372466,10.); #103374=VECTOR('',#372467,10.); #103375=VECTOR('',#372470,10.); #103376=VECTOR('',#372471,10.); #103377=VECTOR('',#372472,10.); #103378=VECTOR('',#372475,10.); #103379=VECTOR('',#372476,10.); #103380=VECTOR('',#372477,10.); #103381=VECTOR('',#372480,10.); #103382=VECTOR('',#372481,10.); #103383=VECTOR('',#372482,10.); #103384=VECTOR('',#372485,10.); #103385=VECTOR('',#372486,10.); #103386=VECTOR('',#372487,10.); #103387=VECTOR('',#372490,10.); #103388=VECTOR('',#372491,10.); #103389=VECTOR('',#372492,10.); #103390=VECTOR('',#372495,10.); #103391=VECTOR('',#372496,10.); #103392=VECTOR('',#372497,10.); #103393=VECTOR('',#372500,10.); #103394=VECTOR('',#372501,10.); #103395=VECTOR('',#372502,10.); #103396=VECTOR('',#372505,10.); #103397=VECTOR('',#372506,10.); #103398=VECTOR('',#372507,10.); #103399=VECTOR('',#372510,10.); #103400=VECTOR('',#372511,10.); #103401=VECTOR('',#372512,10.); #103402=VECTOR('',#372515,10.); #103403=VECTOR('',#372516,10.); #103404=VECTOR('',#372517,10.); #103405=VECTOR('',#372520,10.); #103406=VECTOR('',#372521,10.); #103407=VECTOR('',#372522,10.); #103408=VECTOR('',#372525,10.); #103409=VECTOR('',#372526,10.); #103410=VECTOR('',#372527,10.); #103411=VECTOR('',#372530,10.); #103412=VECTOR('',#372531,10.); #103413=VECTOR('',#372532,10.); #103414=VECTOR('',#372535,10.); #103415=VECTOR('',#372536,10.); #103416=VECTOR('',#372537,10.); #103417=VECTOR('',#372540,10.); #103418=VECTOR('',#372541,10.); #103419=VECTOR('',#372542,10.); #103420=VECTOR('',#372545,10.); #103421=VECTOR('',#372546,10.); #103422=VECTOR('',#372547,10.); #103423=VECTOR('',#372550,10.); #103424=VECTOR('',#372551,10.); #103425=VECTOR('',#372552,10.); #103426=VECTOR('',#372555,10.); #103427=VECTOR('',#372556,10.); #103428=VECTOR('',#372557,10.); #103429=VECTOR('',#372560,10.); #103430=VECTOR('',#372561,10.); #103431=VECTOR('',#372562,10.); #103432=VECTOR('',#372565,10.); #103433=VECTOR('',#372566,10.); #103434=VECTOR('',#372567,10.); #103435=VECTOR('',#372570,10.); #103436=VECTOR('',#372571,10.); #103437=VECTOR('',#372572,10.); #103438=VECTOR('',#372575,10.); #103439=VECTOR('',#372576,10.); #103440=VECTOR('',#372577,10.); #103441=VECTOR('',#372580,10.); #103442=VECTOR('',#372581,10.); #103443=VECTOR('',#372582,10.); #103444=VECTOR('',#372585,10.); #103445=VECTOR('',#372586,10.); #103446=VECTOR('',#372587,10.); #103447=VECTOR('',#372590,10.); #103448=VECTOR('',#372591,10.); #103449=VECTOR('',#372592,10.); #103450=VECTOR('',#372595,10.); #103451=VECTOR('',#372596,10.); #103452=VECTOR('',#372597,10.); #103453=VECTOR('',#372600,10.); #103454=VECTOR('',#372601,10.); #103455=VECTOR('',#372602,10.); #103456=VECTOR('',#372605,10.); #103457=VECTOR('',#372606,10.); #103458=VECTOR('',#372607,10.); #103459=VECTOR('',#372610,10.); #103460=VECTOR('',#372611,10.); #103461=VECTOR('',#372612,10.); #103462=VECTOR('',#372615,10.); #103463=VECTOR('',#372616,10.); #103464=VECTOR('',#372617,10.); #103465=VECTOR('',#372620,10.); #103466=VECTOR('',#372621,10.); #103467=VECTOR('',#372622,10.); #103468=VECTOR('',#372625,10.); #103469=VECTOR('',#372626,10.); #103470=VECTOR('',#372627,10.); #103471=VECTOR('',#372630,10.); #103472=VECTOR('',#372631,10.); #103473=VECTOR('',#372632,10.); #103474=VECTOR('',#372635,10.); #103475=VECTOR('',#372636,10.); #103476=VECTOR('',#372637,10.); #103477=VECTOR('',#372640,10.); #103478=VECTOR('',#372641,10.); #103479=VECTOR('',#372642,10.); #103480=VECTOR('',#372645,10.); #103481=VECTOR('',#372646,10.); #103482=VECTOR('',#372647,10.); #103483=VECTOR('',#372650,10.); #103484=VECTOR('',#372651,10.); #103485=VECTOR('',#372652,10.); #103486=VECTOR('',#372655,10.); #103487=VECTOR('',#372656,10.); #103488=VECTOR('',#372657,10.); #103489=VECTOR('',#372660,10.); #103490=VECTOR('',#372661,10.); #103491=VECTOR('',#372662,10.); #103492=VECTOR('',#372665,10.); #103493=VECTOR('',#372666,10.); #103494=VECTOR('',#372667,10.); #103495=VECTOR('',#372670,10.); #103496=VECTOR('',#372671,10.); #103497=VECTOR('',#372672,10.); #103498=VECTOR('',#372675,10.); #103499=VECTOR('',#372676,10.); #103500=VECTOR('',#372677,10.); #103501=VECTOR('',#372680,10.); #103502=VECTOR('',#372681,10.); #103503=VECTOR('',#372682,10.); #103504=VECTOR('',#372685,10.); #103505=VECTOR('',#372686,10.); #103506=VECTOR('',#372687,10.); #103507=VECTOR('',#372690,10.); #103508=VECTOR('',#372691,10.); #103509=VECTOR('',#372692,10.); #103510=VECTOR('',#372695,10.); #103511=VECTOR('',#372696,10.); #103512=VECTOR('',#372697,10.); #103513=VECTOR('',#372700,10.); #103514=VECTOR('',#372701,10.); #103515=VECTOR('',#372702,10.); #103516=VECTOR('',#372705,10.); #103517=VECTOR('',#372706,10.); #103518=VECTOR('',#372707,10.); #103519=VECTOR('',#372710,10.); #103520=VECTOR('',#372711,10.); #103521=VECTOR('',#372712,10.); #103522=VECTOR('',#372715,10.); #103523=VECTOR('',#372716,10.); #103524=VECTOR('',#372717,10.); #103525=VECTOR('',#372720,10.); #103526=VECTOR('',#372721,10.); #103527=VECTOR('',#372722,10.); #103528=VECTOR('',#372725,10.); #103529=VECTOR('',#372726,10.); #103530=VECTOR('',#372727,10.); #103531=VECTOR('',#372730,10.); #103532=VECTOR('',#372731,10.); #103533=VECTOR('',#372732,10.); #103534=VECTOR('',#372735,10.); #103535=VECTOR('',#372736,10.); #103536=VECTOR('',#372737,10.); #103537=VECTOR('',#372740,10.); #103538=VECTOR('',#372741,10.); #103539=VECTOR('',#372742,10.); #103540=VECTOR('',#372745,10.); #103541=VECTOR('',#372746,10.); #103542=VECTOR('',#372747,10.); #103543=VECTOR('',#372750,10.); #103544=VECTOR('',#372751,10.); #103545=VECTOR('',#372752,10.); #103546=VECTOR('',#372755,10.); #103547=VECTOR('',#372756,10.); #103548=VECTOR('',#372757,10.); #103549=VECTOR('',#372760,10.); #103550=VECTOR('',#372761,10.); #103551=VECTOR('',#372762,10.); #103552=VECTOR('',#372765,10.); #103553=VECTOR('',#372766,10.); #103554=VECTOR('',#372767,10.); #103555=VECTOR('',#372770,10.); #103556=VECTOR('',#372771,10.); #103557=VECTOR('',#372772,10.); #103558=VECTOR('',#372775,10.); #103559=VECTOR('',#372776,10.); #103560=VECTOR('',#372777,10.); #103561=VECTOR('',#372780,10.); #103562=VECTOR('',#372781,10.); #103563=VECTOR('',#372782,10.); #103564=VECTOR('',#372785,10.); #103565=VECTOR('',#372786,10.); #103566=VECTOR('',#372787,10.); #103567=VECTOR('',#372790,10.); #103568=VECTOR('',#372791,10.); #103569=VECTOR('',#372792,10.); #103570=VECTOR('',#372795,10.); #103571=VECTOR('',#372796,10.); #103572=VECTOR('',#372797,10.); #103573=VECTOR('',#372800,10.); #103574=VECTOR('',#372801,10.); #103575=VECTOR('',#372802,10.); #103576=VECTOR('',#372805,10.); #103577=VECTOR('',#372806,10.); #103578=VECTOR('',#372807,10.); #103579=VECTOR('',#372810,10.); #103580=VECTOR('',#372811,10.); #103581=VECTOR('',#372812,10.); #103582=VECTOR('',#372815,10.); #103583=VECTOR('',#372816,10.); #103584=VECTOR('',#372817,10.); #103585=VECTOR('',#372820,10.); #103586=VECTOR('',#372821,10.); #103587=VECTOR('',#372822,10.); #103588=VECTOR('',#372825,10.); #103589=VECTOR('',#372826,10.); #103590=VECTOR('',#372827,10.); #103591=VECTOR('',#372830,10.); #103592=VECTOR('',#372831,10.); #103593=VECTOR('',#372832,10.); #103594=VECTOR('',#372835,10.); #103595=VECTOR('',#372836,10.); #103596=VECTOR('',#372837,10.); #103597=VECTOR('',#372840,10.); #103598=VECTOR('',#372841,10.); #103599=VECTOR('',#372842,10.); #103600=VECTOR('',#372845,10.); #103601=VECTOR('',#372846,10.); #103602=VECTOR('',#372847,10.); #103603=VECTOR('',#372850,10.); #103604=VECTOR('',#372851,10.); #103605=VECTOR('',#372852,10.); #103606=VECTOR('',#372855,10.); #103607=VECTOR('',#372856,10.); #103608=VECTOR('',#372857,10.); #103609=VECTOR('',#372860,10.); #103610=VECTOR('',#372861,10.); #103611=VECTOR('',#372862,10.); #103612=VECTOR('',#372865,10.); #103613=VECTOR('',#372866,10.); #103614=VECTOR('',#372867,10.); #103615=VECTOR('',#372870,10.); #103616=VECTOR('',#372871,10.); #103617=VECTOR('',#372872,10.); #103618=VECTOR('',#372875,10.); #103619=VECTOR('',#372876,10.); #103620=VECTOR('',#372877,10.); #103621=VECTOR('',#372880,10.); #103622=VECTOR('',#372881,10.); #103623=VECTOR('',#372882,10.); #103624=VECTOR('',#372885,10.); #103625=VECTOR('',#372886,10.); #103626=VECTOR('',#372887,10.); #103627=VECTOR('',#372890,10.); #103628=VECTOR('',#372891,10.); #103629=VECTOR('',#372892,10.); #103630=VECTOR('',#372895,10.); #103631=VECTOR('',#372896,10.); #103632=VECTOR('',#372897,10.); #103633=VECTOR('',#372900,10.); #103634=VECTOR('',#372901,10.); #103635=VECTOR('',#372902,10.); #103636=VECTOR('',#372905,10.); #103637=VECTOR('',#372906,10.); #103638=VECTOR('',#372907,10.); #103639=VECTOR('',#372910,10.); #103640=VECTOR('',#372911,10.); #103641=VECTOR('',#372912,10.); #103642=VECTOR('',#372915,10.); #103643=VECTOR('',#372916,10.); #103644=VECTOR('',#372917,10.); #103645=VECTOR('',#372920,10.); #103646=VECTOR('',#372921,10.); #103647=VECTOR('',#372922,10.); #103648=VECTOR('',#372925,10.); #103649=VECTOR('',#372926,10.); #103650=VECTOR('',#372927,10.); #103651=VECTOR('',#372930,10.); #103652=VECTOR('',#372931,10.); #103653=VECTOR('',#372932,10.); #103654=VECTOR('',#372935,10.); #103655=VECTOR('',#372936,10.); #103656=VECTOR('',#372937,10.); #103657=VECTOR('',#372940,10.); #103658=VECTOR('',#372941,10.); #103659=VECTOR('',#372942,10.); #103660=VECTOR('',#372945,10.); #103661=VECTOR('',#372946,10.); #103662=VECTOR('',#372947,10.); #103663=VECTOR('',#372950,10.); #103664=VECTOR('',#372951,10.); #103665=VECTOR('',#372952,10.); #103666=VECTOR('',#372955,10.); #103667=VECTOR('',#372956,10.); #103668=VECTOR('',#372957,10.); #103669=VECTOR('',#372960,10.); #103670=VECTOR('',#372961,10.); #103671=VECTOR('',#372962,10.); #103672=VECTOR('',#372965,10.); #103673=VECTOR('',#372966,10.); #103674=VECTOR('',#372967,10.); #103675=VECTOR('',#372970,10.); #103676=VECTOR('',#372971,10.); #103677=VECTOR('',#372972,10.); #103678=VECTOR('',#372975,10.); #103679=VECTOR('',#372976,10.); #103680=VECTOR('',#372977,10.); #103681=VECTOR('',#372980,10.); #103682=VECTOR('',#372981,10.); #103683=VECTOR('',#372982,10.); #103684=VECTOR('',#372985,10.); #103685=VECTOR('',#372986,10.); #103686=VECTOR('',#372987,10.); #103687=VECTOR('',#372990,10.); #103688=VECTOR('',#372991,10.); #103689=VECTOR('',#372992,10.); #103690=VECTOR('',#372995,10.); #103691=VECTOR('',#372996,10.); #103692=VECTOR('',#372997,10.); #103693=VECTOR('',#373000,10.); #103694=VECTOR('',#373001,10.); #103695=VECTOR('',#373002,10.); #103696=VECTOR('',#373005,10.); #103697=VECTOR('',#373006,10.); #103698=VECTOR('',#373007,10.); #103699=VECTOR('',#373010,10.); #103700=VECTOR('',#373011,10.); #103701=VECTOR('',#373012,10.); #103702=VECTOR('',#373015,10.); #103703=VECTOR('',#373016,10.); #103704=VECTOR('',#373017,10.); #103705=VECTOR('',#373020,10.); #103706=VECTOR('',#373021,10.); #103707=VECTOR('',#373022,10.); #103708=VECTOR('',#373025,10.); #103709=VECTOR('',#373026,10.); #103710=VECTOR('',#373027,10.); #103711=VECTOR('',#373030,10.); #103712=VECTOR('',#373031,10.); #103713=VECTOR('',#373032,10.); #103714=VECTOR('',#373035,10.); #103715=VECTOR('',#373036,10.); #103716=VECTOR('',#373037,10.); #103717=VECTOR('',#373040,10.); #103718=VECTOR('',#373041,10.); #103719=VECTOR('',#373042,10.); #103720=VECTOR('',#373045,10.); #103721=VECTOR('',#373046,10.); #103722=VECTOR('',#373047,10.); #103723=VECTOR('',#373050,10.); #103724=VECTOR('',#373051,10.); #103725=VECTOR('',#373052,10.); #103726=VECTOR('',#373055,10.); #103727=VECTOR('',#373056,10.); #103728=VECTOR('',#373057,10.); #103729=VECTOR('',#373060,10.); #103730=VECTOR('',#373061,10.); #103731=VECTOR('',#373062,10.); #103732=VECTOR('',#373065,10.); #103733=VECTOR('',#373066,10.); #103734=VECTOR('',#373067,10.); #103735=VECTOR('',#373070,10.); #103736=VECTOR('',#373071,10.); #103737=VECTOR('',#373072,10.); #103738=VECTOR('',#373075,10.); #103739=VECTOR('',#373076,10.); #103740=VECTOR('',#373077,10.); #103741=VECTOR('',#373080,10.); #103742=VECTOR('',#373081,10.); #103743=VECTOR('',#373082,10.); #103744=VECTOR('',#373085,10.); #103745=VECTOR('',#373086,10.); #103746=VECTOR('',#373087,10.); #103747=VECTOR('',#373090,10.); #103748=VECTOR('',#373091,10.); #103749=VECTOR('',#373092,10.); #103750=VECTOR('',#373095,10.); #103751=VECTOR('',#373096,10.); #103752=VECTOR('',#373097,10.); #103753=VECTOR('',#373100,10.); #103754=VECTOR('',#373101,10.); #103755=VECTOR('',#373102,10.); #103756=VECTOR('',#373105,10.); #103757=VECTOR('',#373106,10.); #103758=VECTOR('',#373107,10.); #103759=VECTOR('',#373110,10.); #103760=VECTOR('',#373111,10.); #103761=VECTOR('',#373112,10.); #103762=VECTOR('',#373115,10.); #103763=VECTOR('',#373116,10.); #103764=VECTOR('',#373117,10.); #103765=VECTOR('',#373120,10.); #103766=VECTOR('',#373121,10.); #103767=VECTOR('',#373122,10.); #103768=VECTOR('',#373125,10.); #103769=VECTOR('',#373126,10.); #103770=VECTOR('',#373127,10.); #103771=VECTOR('',#373130,10.); #103772=VECTOR('',#373131,10.); #103773=VECTOR('',#373132,10.); #103774=VECTOR('',#373135,10.); #103775=VECTOR('',#373136,10.); #103776=VECTOR('',#373137,10.); #103777=VECTOR('',#373140,10.); #103778=VECTOR('',#373141,10.); #103779=VECTOR('',#373142,10.); #103780=VECTOR('',#373145,10.); #103781=VECTOR('',#373146,10.); #103782=VECTOR('',#373147,10.); #103783=VECTOR('',#373150,10.); #103784=VECTOR('',#373151,10.); #103785=VECTOR('',#373152,10.); #103786=VECTOR('',#373155,10.); #103787=VECTOR('',#373156,10.); #103788=VECTOR('',#373157,10.); #103789=VECTOR('',#373160,10.); #103790=VECTOR('',#373161,10.); #103791=VECTOR('',#373162,10.); #103792=VECTOR('',#373165,10.); #103793=VECTOR('',#373166,10.); #103794=VECTOR('',#373167,10.); #103795=VECTOR('',#373170,10.); #103796=VECTOR('',#373171,10.); #103797=VECTOR('',#373172,10.); #103798=VECTOR('',#373175,10.); #103799=VECTOR('',#373176,10.); #103800=VECTOR('',#373177,10.); #103801=VECTOR('',#373180,10.); #103802=VECTOR('',#373181,10.); #103803=VECTOR('',#373182,10.); #103804=VECTOR('',#373185,10.); #103805=VECTOR('',#373186,10.); #103806=VECTOR('',#373187,10.); #103807=VECTOR('',#373190,10.); #103808=VECTOR('',#373191,10.); #103809=VECTOR('',#373192,10.); #103810=VECTOR('',#373195,10.); #103811=VECTOR('',#373196,10.); #103812=VECTOR('',#373197,10.); #103813=VECTOR('',#373200,10.); #103814=VECTOR('',#373201,10.); #103815=VECTOR('',#373202,10.); #103816=VECTOR('',#373205,10.); #103817=VECTOR('',#373206,10.); #103818=VECTOR('',#373207,10.); #103819=VECTOR('',#373210,10.); #103820=VECTOR('',#373211,10.); #103821=VECTOR('',#373212,10.); #103822=VECTOR('',#373215,10.); #103823=VECTOR('',#373216,10.); #103824=VECTOR('',#373217,10.); #103825=VECTOR('',#373220,10.); #103826=VECTOR('',#373221,10.); #103827=VECTOR('',#373222,10.); #103828=VECTOR('',#373225,10.); #103829=VECTOR('',#373226,10.); #103830=VECTOR('',#373227,10.); #103831=VECTOR('',#373230,10.); #103832=VECTOR('',#373231,10.); #103833=VECTOR('',#373232,10.); #103834=VECTOR('',#373235,10.); #103835=VECTOR('',#373236,10.); #103836=VECTOR('',#373237,10.); #103837=VECTOR('',#373240,10.); #103838=VECTOR('',#373241,10.); #103839=VECTOR('',#373242,10.); #103840=VECTOR('',#373245,10.); #103841=VECTOR('',#373246,10.); #103842=VECTOR('',#373247,10.); #103843=VECTOR('',#373250,10.); #103844=VECTOR('',#373251,10.); #103845=VECTOR('',#373252,10.); #103846=VECTOR('',#373255,10.); #103847=VECTOR('',#373256,10.); #103848=VECTOR('',#373259,10.); #103849=VECTOR('',#373260,10.); #103850=VECTOR('',#373261,10.); #103851=VECTOR('',#373262,10.); #103852=VECTOR('',#373265,10.); #103853=VECTOR('',#373266,10.); #103854=VECTOR('',#373267,10.); #103855=VECTOR('',#373270,10.); #103856=VECTOR('',#373271,10.); #103857=VECTOR('',#373272,10.); #103858=VECTOR('',#373275,10.); #103859=VECTOR('',#373276,10.); #103860=VECTOR('',#373277,10.); #103861=VECTOR('',#373280,10.); #103862=VECTOR('',#373281,10.); #103863=VECTOR('',#373282,10.); #103864=VECTOR('',#373285,10.); #103865=VECTOR('',#373286,10.); #103866=VECTOR('',#373287,10.); #103867=VECTOR('',#373290,10.); #103868=VECTOR('',#373291,10.); #103869=VECTOR('',#373292,10.); #103870=VECTOR('',#373295,10.); #103871=VECTOR('',#373296,10.); #103872=VECTOR('',#373297,10.); #103873=VECTOR('',#373300,10.); #103874=VECTOR('',#373301,10.); #103875=VECTOR('',#373302,10.); #103876=VECTOR('',#373305,10.); #103877=VECTOR('',#373306,10.); #103878=VECTOR('',#373307,10.); #103879=VECTOR('',#373310,10.); #103880=VECTOR('',#373311,10.); #103881=VECTOR('',#373312,10.); #103882=VECTOR('',#373315,10.); #103883=VECTOR('',#373316,10.); #103884=VECTOR('',#373317,10.); #103885=VECTOR('',#373320,10.); #103886=VECTOR('',#373321,10.); #103887=VECTOR('',#373322,10.); #103888=VECTOR('',#373325,10.); #103889=VECTOR('',#373326,10.); #103890=VECTOR('',#373327,10.); #103891=VECTOR('',#373330,10.); #103892=VECTOR('',#373331,10.); #103893=VECTOR('',#373332,10.); #103894=VECTOR('',#373335,10.); #103895=VECTOR('',#373336,10.); #103896=VECTOR('',#373337,10.); #103897=VECTOR('',#373340,10.); #103898=VECTOR('',#373341,10.); #103899=VECTOR('',#373342,10.); #103900=VECTOR('',#373345,10.); #103901=VECTOR('',#373346,10.); #103902=VECTOR('',#373347,10.); #103903=VECTOR('',#373350,10.); #103904=VECTOR('',#373351,10.); #103905=VECTOR('',#373352,10.); #103906=VECTOR('',#373355,10.); #103907=VECTOR('',#373356,10.); #103908=VECTOR('',#373357,10.); #103909=VECTOR('',#373360,10.); #103910=VECTOR('',#373361,10.); #103911=VECTOR('',#373362,10.); #103912=VECTOR('',#373365,10.); #103913=VECTOR('',#373366,10.); #103914=VECTOR('',#373367,10.); #103915=VECTOR('',#373370,10.); #103916=VECTOR('',#373371,10.); #103917=VECTOR('',#373372,10.); #103918=VECTOR('',#373375,10.); #103919=VECTOR('',#373376,10.); #103920=VECTOR('',#373377,10.); #103921=VECTOR('',#373380,10.); #103922=VECTOR('',#373381,10.); #103923=VECTOR('',#373382,10.); #103924=VECTOR('',#373385,10.); #103925=VECTOR('',#373386,10.); #103926=VECTOR('',#373387,10.); #103927=VECTOR('',#373390,10.); #103928=VECTOR('',#373391,10.); #103929=VECTOR('',#373392,10.); #103930=VECTOR('',#373395,10.); #103931=VECTOR('',#373396,10.); #103932=VECTOR('',#373397,10.); #103933=VECTOR('',#373400,10.); #103934=VECTOR('',#373401,10.); #103935=VECTOR('',#373402,10.); #103936=VECTOR('',#373405,10.); #103937=VECTOR('',#373406,10.); #103938=VECTOR('',#373407,10.); #103939=VECTOR('',#373410,10.); #103940=VECTOR('',#373411,10.); #103941=VECTOR('',#373412,10.); #103942=VECTOR('',#373415,10.); #103943=VECTOR('',#373416,10.); #103944=VECTOR('',#373417,10.); #103945=VECTOR('',#373420,10.); #103946=VECTOR('',#373421,10.); #103947=VECTOR('',#373422,10.); #103948=VECTOR('',#373425,10.); #103949=VECTOR('',#373426,10.); #103950=VECTOR('',#373427,10.); #103951=VECTOR('',#373430,10.); #103952=VECTOR('',#373431,10.); #103953=VECTOR('',#373432,10.); #103954=VECTOR('',#373435,10.); #103955=VECTOR('',#373436,10.); #103956=VECTOR('',#373437,10.); #103957=VECTOR('',#373440,10.); #103958=VECTOR('',#373441,10.); #103959=VECTOR('',#373442,10.); #103960=VECTOR('',#373445,10.); #103961=VECTOR('',#373446,10.); #103962=VECTOR('',#373447,10.); #103963=VECTOR('',#373450,10.); #103964=VECTOR('',#373451,10.); #103965=VECTOR('',#373452,10.); #103966=VECTOR('',#373455,10.); #103967=VECTOR('',#373456,10.); #103968=VECTOR('',#373457,10.); #103969=VECTOR('',#373460,10.); #103970=VECTOR('',#373461,10.); #103971=VECTOR('',#373462,10.); #103972=VECTOR('',#373465,10.); #103973=VECTOR('',#373466,10.); #103974=VECTOR('',#373467,10.); #103975=VECTOR('',#373470,10.); #103976=VECTOR('',#373471,10.); #103977=VECTOR('',#373472,10.); #103978=VECTOR('',#373475,10.); #103979=VECTOR('',#373476,10.); #103980=VECTOR('',#373477,10.); #103981=VECTOR('',#373480,10.); #103982=VECTOR('',#373481,10.); #103983=VECTOR('',#373482,10.); #103984=VECTOR('',#373485,10.); #103985=VECTOR('',#373486,10.); #103986=VECTOR('',#373487,10.); #103987=VECTOR('',#373490,10.); #103988=VECTOR('',#373491,10.); #103989=VECTOR('',#373492,10.); #103990=VECTOR('',#373495,10.); #103991=VECTOR('',#373496,10.); #103992=VECTOR('',#373497,10.); #103993=VECTOR('',#373500,10.); #103994=VECTOR('',#373501,10.); #103995=VECTOR('',#373502,10.); #103996=VECTOR('',#373505,10.); #103997=VECTOR('',#373506,10.); #103998=VECTOR('',#373507,10.); #103999=VECTOR('',#373510,10.); #104000=VECTOR('',#373511,10.); #104001=VECTOR('',#373512,10.); #104002=VECTOR('',#373515,10.); #104003=VECTOR('',#373516,10.); #104004=VECTOR('',#373517,10.); #104005=VECTOR('',#373520,10.); #104006=VECTOR('',#373521,10.); #104007=VECTOR('',#373522,10.); #104008=VECTOR('',#373525,10.); #104009=VECTOR('',#373526,10.); #104010=VECTOR('',#373527,10.); #104011=VECTOR('',#373530,10.); #104012=VECTOR('',#373531,10.); #104013=VECTOR('',#373532,10.); #104014=VECTOR('',#373535,10.); #104015=VECTOR('',#373536,10.); #104016=VECTOR('',#373537,10.); #104017=VECTOR('',#373540,10.); #104018=VECTOR('',#373541,10.); #104019=VECTOR('',#373542,10.); #104020=VECTOR('',#373545,10.); #104021=VECTOR('',#373546,10.); #104022=VECTOR('',#373547,10.); #104023=VECTOR('',#373550,10.); #104024=VECTOR('',#373551,10.); #104025=VECTOR('',#373552,10.); #104026=VECTOR('',#373555,10.); #104027=VECTOR('',#373556,10.); #104028=VECTOR('',#373557,10.); #104029=VECTOR('',#373560,10.); #104030=VECTOR('',#373561,10.); #104031=VECTOR('',#373562,10.); #104032=VECTOR('',#373565,10.); #104033=VECTOR('',#373566,10.); #104034=VECTOR('',#373567,10.); #104035=VECTOR('',#373570,10.); #104036=VECTOR('',#373571,10.); #104037=VECTOR('',#373572,10.); #104038=VECTOR('',#373575,10.); #104039=VECTOR('',#373576,10.); #104040=VECTOR('',#373577,10.); #104041=VECTOR('',#373580,10.); #104042=VECTOR('',#373581,10.); #104043=VECTOR('',#373582,10.); #104044=VECTOR('',#373585,10.); #104045=VECTOR('',#373586,10.); #104046=VECTOR('',#373587,10.); #104047=VECTOR('',#373590,10.); #104048=VECTOR('',#373591,10.); #104049=VECTOR('',#373592,10.); #104050=VECTOR('',#373595,10.); #104051=VECTOR('',#373596,10.); #104052=VECTOR('',#373597,10.); #104053=VECTOR('',#373600,10.); #104054=VECTOR('',#373601,10.); #104055=VECTOR('',#373602,10.); #104056=VECTOR('',#373605,10.); #104057=VECTOR('',#373606,10.); #104058=VECTOR('',#373607,10.); #104059=VECTOR('',#373610,10.); #104060=VECTOR('',#373611,10.); #104061=VECTOR('',#373612,10.); #104062=VECTOR('',#373615,10.); #104063=VECTOR('',#373616,10.); #104064=VECTOR('',#373617,10.); #104065=VECTOR('',#373620,10.); #104066=VECTOR('',#373621,10.); #104067=VECTOR('',#373622,10.); #104068=VECTOR('',#373625,10.); #104069=VECTOR('',#373626,10.); #104070=VECTOR('',#373627,10.); #104071=VECTOR('',#373630,10.); #104072=VECTOR('',#373631,10.); #104073=VECTOR('',#373632,10.); #104074=VECTOR('',#373635,10.); #104075=VECTOR('',#373636,10.); #104076=VECTOR('',#373637,10.); #104077=VECTOR('',#373640,10.); #104078=VECTOR('',#373641,10.); #104079=VECTOR('',#373642,10.); #104080=VECTOR('',#373645,10.); #104081=VECTOR('',#373646,10.); #104082=VECTOR('',#373647,10.); #104083=VECTOR('',#373650,10.); #104084=VECTOR('',#373651,10.); #104085=VECTOR('',#373652,10.); #104086=VECTOR('',#373655,10.); #104087=VECTOR('',#373656,10.); #104088=VECTOR('',#373657,10.); #104089=VECTOR('',#373660,10.); #104090=VECTOR('',#373661,10.); #104091=VECTOR('',#373662,10.); #104092=VECTOR('',#373665,10.); #104093=VECTOR('',#373666,10.); #104094=VECTOR('',#373667,10.); #104095=VECTOR('',#373670,10.); #104096=VECTOR('',#373671,10.); #104097=VECTOR('',#373672,10.); #104098=VECTOR('',#373675,10.); #104099=VECTOR('',#373676,10.); #104100=VECTOR('',#373677,10.); #104101=VECTOR('',#373680,10.); #104102=VECTOR('',#373681,10.); #104103=VECTOR('',#373682,10.); #104104=VECTOR('',#373685,10.); #104105=VECTOR('',#373686,10.); #104106=VECTOR('',#373687,10.); #104107=VECTOR('',#373690,10.); #104108=VECTOR('',#373691,10.); #104109=VECTOR('',#373692,10.); #104110=VECTOR('',#373695,10.); #104111=VECTOR('',#373696,10.); #104112=VECTOR('',#373697,10.); #104113=VECTOR('',#373700,10.); #104114=VECTOR('',#373701,10.); #104115=VECTOR('',#373702,10.); #104116=VECTOR('',#373705,10.); #104117=VECTOR('',#373706,10.); #104118=VECTOR('',#373707,10.); #104119=VECTOR('',#373710,10.); #104120=VECTOR('',#373711,10.); #104121=VECTOR('',#373712,10.); #104122=VECTOR('',#373715,10.); #104123=VECTOR('',#373716,10.); #104124=VECTOR('',#373717,10.); #104125=VECTOR('',#373720,10.); #104126=VECTOR('',#373721,10.); #104127=VECTOR('',#373722,10.); #104128=VECTOR('',#373725,10.); #104129=VECTOR('',#373726,10.); #104130=VECTOR('',#373727,10.); #104131=VECTOR('',#373730,10.); #104132=VECTOR('',#373731,10.); #104133=VECTOR('',#373732,10.); #104134=VECTOR('',#373735,10.); #104135=VECTOR('',#373736,10.); #104136=VECTOR('',#373737,10.); #104137=VECTOR('',#373740,10.); #104138=VECTOR('',#373741,10.); #104139=VECTOR('',#373742,10.); #104140=VECTOR('',#373745,10.); #104141=VECTOR('',#373746,10.); #104142=VECTOR('',#373747,10.); #104143=VECTOR('',#373750,10.); #104144=VECTOR('',#373751,10.); #104145=VECTOR('',#373752,10.); #104146=VECTOR('',#373755,10.); #104147=VECTOR('',#373756,10.); #104148=VECTOR('',#373757,10.); #104149=VECTOR('',#373760,10.); #104150=VECTOR('',#373761,10.); #104151=VECTOR('',#373762,10.); #104152=VECTOR('',#373765,10.); #104153=VECTOR('',#373766,10.); #104154=VECTOR('',#373767,10.); #104155=VECTOR('',#373770,10.); #104156=VECTOR('',#373771,10.); #104157=VECTOR('',#373772,10.); #104158=VECTOR('',#373775,10.); #104159=VECTOR('',#373776,10.); #104160=VECTOR('',#373777,10.); #104161=VECTOR('',#373780,10.); #104162=VECTOR('',#373781,10.); #104163=VECTOR('',#373782,10.); #104164=VECTOR('',#373785,10.); #104165=VECTOR('',#373786,10.); #104166=VECTOR('',#373787,10.); #104167=VECTOR('',#373790,10.); #104168=VECTOR('',#373791,10.); #104169=VECTOR('',#373792,10.); #104170=VECTOR('',#373795,10.); #104171=VECTOR('',#373796,10.); #104172=VECTOR('',#373797,10.); #104173=VECTOR('',#373800,10.); #104174=VECTOR('',#373801,10.); #104175=VECTOR('',#373802,10.); #104176=VECTOR('',#373805,10.); #104177=VECTOR('',#373806,10.); #104178=VECTOR('',#373807,10.); #104179=VECTOR('',#373810,10.); #104180=VECTOR('',#373811,10.); #104181=VECTOR('',#373812,10.); #104182=VECTOR('',#373815,10.); #104183=VECTOR('',#373816,10.); #104184=VECTOR('',#373817,10.); #104185=VECTOR('',#373820,10.); #104186=VECTOR('',#373821,10.); #104187=VECTOR('',#373822,10.); #104188=VECTOR('',#373825,10.); #104189=VECTOR('',#373826,10.); #104190=VECTOR('',#373827,10.); #104191=VECTOR('',#373830,10.); #104192=VECTOR('',#373831,10.); #104193=VECTOR('',#373832,10.); #104194=VECTOR('',#373835,10.); #104195=VECTOR('',#373836,10.); #104196=VECTOR('',#373837,10.); #104197=VECTOR('',#373840,10.); #104198=VECTOR('',#373841,10.); #104199=VECTOR('',#373842,10.); #104200=VECTOR('',#373845,10.); #104201=VECTOR('',#373846,10.); #104202=VECTOR('',#373847,10.); #104203=VECTOR('',#373850,10.); #104204=VECTOR('',#373851,10.); #104205=VECTOR('',#373854,10.); #104206=VECTOR('',#373855,10.); #104207=VECTOR('',#373856,10.); #104208=VECTOR('',#373857,10.); #104209=VECTOR('',#373860,10.); #104210=VECTOR('',#373861,10.); #104211=VECTOR('',#373862,10.); #104212=VECTOR('',#373865,10.); #104213=VECTOR('',#373866,10.); #104214=VECTOR('',#373867,10.); #104215=VECTOR('',#373870,10.); #104216=VECTOR('',#373871,10.); #104217=VECTOR('',#373872,10.); #104218=VECTOR('',#373875,10.); #104219=VECTOR('',#373876,10.); #104220=VECTOR('',#373877,10.); #104221=VECTOR('',#373880,10.); #104222=VECTOR('',#373881,10.); #104223=VECTOR('',#373882,10.); #104224=VECTOR('',#373885,10.); #104225=VECTOR('',#373886,10.); #104226=VECTOR('',#373887,10.); #104227=VECTOR('',#373890,10.); #104228=VECTOR('',#373891,10.); #104229=VECTOR('',#373894,10.); #104230=VECTOR('',#373895,10.); #104231=VECTOR('',#373896,10.); #104232=VECTOR('',#373897,10.); #104233=VECTOR('',#373900,10.); #104234=VECTOR('',#373901,10.); #104235=VECTOR('',#373902,10.); #104236=VECTOR('',#373905,10.); #104237=VECTOR('',#373906,10.); #104238=VECTOR('',#373907,10.); #104239=VECTOR('',#373910,10.); #104240=VECTOR('',#373911,10.); #104241=VECTOR('',#373912,10.); #104242=VECTOR('',#373915,10.); #104243=VECTOR('',#373916,10.); #104244=VECTOR('',#373917,10.); #104245=VECTOR('',#373920,10.); #104246=VECTOR('',#373921,10.); #104247=VECTOR('',#373922,10.); #104248=VECTOR('',#373925,10.); #104249=VECTOR('',#373926,10.); #104250=VECTOR('',#373927,10.); #104251=VECTOR('',#373930,10.); #104252=VECTOR('',#373931,10.); #104253=VECTOR('',#373932,10.); #104254=VECTOR('',#373935,10.); #104255=VECTOR('',#373936,10.); #104256=VECTOR('',#373937,10.); #104257=VECTOR('',#373940,10.); #104258=VECTOR('',#373941,10.); #104259=VECTOR('',#373942,10.); #104260=VECTOR('',#373945,10.); #104261=VECTOR('',#373946,10.); #104262=VECTOR('',#373947,10.); #104263=VECTOR('',#373950,10.); #104264=VECTOR('',#373951,10.); #104265=VECTOR('',#373952,10.); #104266=VECTOR('',#373955,10.); #104267=VECTOR('',#373956,10.); #104268=VECTOR('',#373957,10.); #104269=VECTOR('',#373960,10.); #104270=VECTOR('',#373961,10.); #104271=VECTOR('',#373962,10.); #104272=VECTOR('',#373965,10.); #104273=VECTOR('',#373966,10.); #104274=VECTOR('',#373967,10.); #104275=VECTOR('',#373970,10.); #104276=VECTOR('',#373971,10.); #104277=VECTOR('',#373972,10.); #104278=VECTOR('',#373975,10.); #104279=VECTOR('',#373976,10.); #104280=VECTOR('',#373977,10.); #104281=VECTOR('',#373980,10.); #104282=VECTOR('',#373981,10.); #104283=VECTOR('',#373982,10.); #104284=VECTOR('',#373985,10.); #104285=VECTOR('',#373986,10.); #104286=VECTOR('',#373987,10.); #104287=VECTOR('',#373990,10.); #104288=VECTOR('',#373991,10.); #104289=VECTOR('',#373992,10.); #104290=VECTOR('',#373995,10.); #104291=VECTOR('',#373996,10.); #104292=VECTOR('',#373997,10.); #104293=VECTOR('',#374000,10.); #104294=VECTOR('',#374001,10.); #104295=VECTOR('',#374002,10.); #104296=VECTOR('',#374005,10.); #104297=VECTOR('',#374006,10.); #104298=VECTOR('',#374007,10.); #104299=VECTOR('',#374010,10.); #104300=VECTOR('',#374011,10.); #104301=VECTOR('',#374012,10.); #104302=VECTOR('',#374015,10.); #104303=VECTOR('',#374016,10.); #104304=VECTOR('',#374017,10.); #104305=VECTOR('',#374020,10.); #104306=VECTOR('',#374021,10.); #104307=VECTOR('',#374022,10.); #104308=VECTOR('',#374025,10.); #104309=VECTOR('',#374026,10.); #104310=VECTOR('',#374027,10.); #104311=VECTOR('',#374030,10.); #104312=VECTOR('',#374031,10.); #104313=VECTOR('',#374032,10.); #104314=VECTOR('',#374035,10.); #104315=VECTOR('',#374036,10.); #104316=VECTOR('',#374037,10.); #104317=VECTOR('',#374040,10.); #104318=VECTOR('',#374041,10.); #104319=VECTOR('',#374042,10.); #104320=VECTOR('',#374045,10.); #104321=VECTOR('',#374046,10.); #104322=VECTOR('',#374047,10.); #104323=VECTOR('',#374050,10.); #104324=VECTOR('',#374051,10.); #104325=VECTOR('',#374052,10.); #104326=VECTOR('',#374055,10.); #104327=VECTOR('',#374056,10.); #104328=VECTOR('',#374057,10.); #104329=VECTOR('',#374060,10.); #104330=VECTOR('',#374061,10.); #104331=VECTOR('',#374062,10.); #104332=VECTOR('',#374065,10.); #104333=VECTOR('',#374066,10.); #104334=VECTOR('',#374067,10.); #104335=VECTOR('',#374070,10.); #104336=VECTOR('',#374071,10.); #104337=VECTOR('',#374072,10.); #104338=VECTOR('',#374075,10.); #104339=VECTOR('',#374076,10.); #104340=VECTOR('',#374077,10.); #104341=VECTOR('',#374080,10.); #104342=VECTOR('',#374081,10.); #104343=VECTOR('',#374082,10.); #104344=VECTOR('',#374085,10.); #104345=VECTOR('',#374086,10.); #104346=VECTOR('',#374087,10.); #104347=VECTOR('',#374090,10.); #104348=VECTOR('',#374091,10.); #104349=VECTOR('',#374092,10.); #104350=VECTOR('',#374095,10.); #104351=VECTOR('',#374096,10.); #104352=VECTOR('',#374097,10.); #104353=VECTOR('',#374100,10.); #104354=VECTOR('',#374101,10.); #104355=VECTOR('',#374102,10.); #104356=VECTOR('',#374105,10.); #104357=VECTOR('',#374106,10.); #104358=VECTOR('',#374107,10.); #104359=VECTOR('',#374110,10.); #104360=VECTOR('',#374111,10.); #104361=VECTOR('',#374112,10.); #104362=VECTOR('',#374115,10.); #104363=VECTOR('',#374116,10.); #104364=VECTOR('',#374117,10.); #104365=VECTOR('',#374120,10.); #104366=VECTOR('',#374121,10.); #104367=VECTOR('',#374122,10.); #104368=VECTOR('',#374125,10.); #104369=VECTOR('',#374126,10.); #104370=VECTOR('',#374127,10.); #104371=VECTOR('',#374130,10.); #104372=VECTOR('',#374131,10.); #104373=VECTOR('',#374132,10.); #104374=VECTOR('',#374135,10.); #104375=VECTOR('',#374136,10.); #104376=VECTOR('',#374137,10.); #104377=VECTOR('',#374140,10.); #104378=VECTOR('',#374141,10.); #104379=VECTOR('',#374142,10.); #104380=VECTOR('',#374145,10.); #104381=VECTOR('',#374146,10.); #104382=VECTOR('',#374147,10.); #104383=VECTOR('',#374150,10.); #104384=VECTOR('',#374151,10.); #104385=VECTOR('',#374152,10.); #104386=VECTOR('',#374155,10.); #104387=VECTOR('',#374156,10.); #104388=VECTOR('',#374157,10.); #104389=VECTOR('',#374160,10.); #104390=VECTOR('',#374161,10.); #104391=VECTOR('',#374162,10.); #104392=VECTOR('',#374165,10.); #104393=VECTOR('',#374166,10.); #104394=VECTOR('',#374167,10.); #104395=VECTOR('',#374170,10.); #104396=VECTOR('',#374171,10.); #104397=VECTOR('',#374172,10.); #104398=VECTOR('',#374175,10.); #104399=VECTOR('',#374176,10.); #104400=VECTOR('',#374177,10.); #104401=VECTOR('',#374180,10.); #104402=VECTOR('',#374181,10.); #104403=VECTOR('',#374182,10.); #104404=VECTOR('',#374185,10.); #104405=VECTOR('',#374186,10.); #104406=VECTOR('',#374187,10.); #104407=VECTOR('',#374190,10.); #104408=VECTOR('',#374191,10.); #104409=VECTOR('',#374192,10.); #104410=VECTOR('',#374195,10.); #104411=VECTOR('',#374196,10.); #104412=VECTOR('',#374197,10.); #104413=VECTOR('',#374200,10.); #104414=VECTOR('',#374201,10.); #104415=VECTOR('',#374202,10.); #104416=VECTOR('',#374205,10.); #104417=VECTOR('',#374206,10.); #104418=VECTOR('',#374207,10.); #104419=VECTOR('',#374210,10.); #104420=VECTOR('',#374211,10.); #104421=VECTOR('',#374212,10.); #104422=VECTOR('',#374215,10.); #104423=VECTOR('',#374216,10.); #104424=VECTOR('',#374217,10.); #104425=VECTOR('',#374220,10.); #104426=VECTOR('',#374221,10.); #104427=VECTOR('',#374222,10.); #104428=VECTOR('',#374225,10.); #104429=VECTOR('',#374226,10.); #104430=VECTOR('',#374227,10.); #104431=VECTOR('',#374230,10.); #104432=VECTOR('',#374231,10.); #104433=VECTOR('',#374232,10.); #104434=VECTOR('',#374235,10.); #104435=VECTOR('',#374236,10.); #104436=VECTOR('',#374237,10.); #104437=VECTOR('',#374240,10.); #104438=VECTOR('',#374241,10.); #104439=VECTOR('',#374242,10.); #104440=VECTOR('',#374245,10.); #104441=VECTOR('',#374246,10.); #104442=VECTOR('',#374247,10.); #104443=VECTOR('',#374250,10.); #104444=VECTOR('',#374251,10.); #104445=VECTOR('',#374252,10.); #104446=VECTOR('',#374255,10.); #104447=VECTOR('',#374256,10.); #104448=VECTOR('',#374257,10.); #104449=VECTOR('',#374260,10.); #104450=VECTOR('',#374261,10.); #104451=VECTOR('',#374262,10.); #104452=VECTOR('',#374265,10.); #104453=VECTOR('',#374266,10.); #104454=VECTOR('',#374267,10.); #104455=VECTOR('',#374270,10.); #104456=VECTOR('',#374271,10.); #104457=VECTOR('',#374272,10.); #104458=VECTOR('',#374275,10.); #104459=VECTOR('',#374276,10.); #104460=VECTOR('',#374277,10.); #104461=VECTOR('',#374280,10.); #104462=VECTOR('',#374281,10.); #104463=VECTOR('',#374282,10.); #104464=VECTOR('',#374285,10.); #104465=VECTOR('',#374286,10.); #104466=VECTOR('',#374287,10.); #104467=VECTOR('',#374290,10.); #104468=VECTOR('',#374291,10.); #104469=VECTOR('',#374292,10.); #104470=VECTOR('',#374295,10.); #104471=VECTOR('',#374296,10.); #104472=VECTOR('',#374297,10.); #104473=VECTOR('',#374300,10.); #104474=VECTOR('',#374301,10.); #104475=VECTOR('',#374302,10.); #104476=VECTOR('',#374305,10.); #104477=VECTOR('',#374306,10.); #104478=VECTOR('',#374307,10.); #104479=VECTOR('',#374310,10.); #104480=VECTOR('',#374311,10.); #104481=VECTOR('',#374312,10.); #104482=VECTOR('',#374315,10.); #104483=VECTOR('',#374316,10.); #104484=VECTOR('',#374317,10.); #104485=VECTOR('',#374320,10.); #104486=VECTOR('',#374321,10.); #104487=VECTOR('',#374322,10.); #104488=VECTOR('',#374325,10.); #104489=VECTOR('',#374326,10.); #104490=VECTOR('',#374327,10.); #104491=VECTOR('',#374330,10.); #104492=VECTOR('',#374331,10.); #104493=VECTOR('',#374332,10.); #104494=VECTOR('',#374335,10.); #104495=VECTOR('',#374336,10.); #104496=VECTOR('',#374337,10.); #104497=VECTOR('',#374340,10.); #104498=VECTOR('',#374341,10.); #104499=VECTOR('',#374342,10.); #104500=VECTOR('',#374345,10.); #104501=VECTOR('',#374346,10.); #104502=VECTOR('',#374347,10.); #104503=VECTOR('',#374350,10.); #104504=VECTOR('',#374351,10.); #104505=VECTOR('',#374352,10.); #104506=VECTOR('',#374355,10.); #104507=VECTOR('',#374356,10.); #104508=VECTOR('',#374357,10.); #104509=VECTOR('',#374360,10.); #104510=VECTOR('',#374361,10.); #104511=VECTOR('',#374362,10.); #104512=VECTOR('',#374365,10.); #104513=VECTOR('',#374366,10.); #104514=VECTOR('',#374367,10.); #104515=VECTOR('',#374370,10.); #104516=VECTOR('',#374371,10.); #104517=VECTOR('',#374372,10.); #104518=VECTOR('',#374375,10.); #104519=VECTOR('',#374376,10.); #104520=VECTOR('',#374377,10.); #104521=VECTOR('',#374380,10.); #104522=VECTOR('',#374381,10.); #104523=VECTOR('',#374382,10.); #104524=VECTOR('',#374385,10.); #104525=VECTOR('',#374386,10.); #104526=VECTOR('',#374387,10.); #104527=VECTOR('',#374390,10.); #104528=VECTOR('',#374391,10.); #104529=VECTOR('',#374392,10.); #104530=VECTOR('',#374395,10.); #104531=VECTOR('',#374396,10.); #104532=VECTOR('',#374397,10.); #104533=VECTOR('',#374400,10.); #104534=VECTOR('',#374401,10.); #104535=VECTOR('',#374402,10.); #104536=VECTOR('',#374405,10.); #104537=VECTOR('',#374406,10.); #104538=VECTOR('',#374407,10.); #104539=VECTOR('',#374410,10.); #104540=VECTOR('',#374411,10.); #104541=VECTOR('',#374412,10.); #104542=VECTOR('',#374415,10.); #104543=VECTOR('',#374416,10.); #104544=VECTOR('',#374417,10.); #104545=VECTOR('',#374420,10.); #104546=VECTOR('',#374421,10.); #104547=VECTOR('',#374422,10.); #104548=VECTOR('',#374425,10.); #104549=VECTOR('',#374426,10.); #104550=VECTOR('',#374427,10.); #104551=VECTOR('',#374430,10.); #104552=VECTOR('',#374431,10.); #104553=VECTOR('',#374432,10.); #104554=VECTOR('',#374435,10.); #104555=VECTOR('',#374436,10.); #104556=VECTOR('',#374437,10.); #104557=VECTOR('',#374440,10.); #104558=VECTOR('',#374441,10.); #104559=VECTOR('',#374442,10.); #104560=VECTOR('',#374445,10.); #104561=VECTOR('',#374446,10.); #104562=VECTOR('',#374447,10.); #104563=VECTOR('',#374450,10.); #104564=VECTOR('',#374451,10.); #104565=VECTOR('',#374452,10.); #104566=VECTOR('',#374455,10.); #104567=VECTOR('',#374456,10.); #104568=VECTOR('',#374457,10.); #104569=VECTOR('',#374460,10.); #104570=VECTOR('',#374461,10.); #104571=VECTOR('',#374462,10.); #104572=VECTOR('',#374465,10.); #104573=VECTOR('',#374466,10.); #104574=VECTOR('',#374467,10.); #104575=VECTOR('',#374470,10.); #104576=VECTOR('',#374471,10.); #104577=VECTOR('',#374472,10.); #104578=VECTOR('',#374475,10.); #104579=VECTOR('',#374476,10.); #104580=VECTOR('',#374477,10.); #104581=VECTOR('',#374480,10.); #104582=VECTOR('',#374481,10.); #104583=VECTOR('',#374482,10.); #104584=VECTOR('',#374485,10.); #104585=VECTOR('',#374486,10.); #104586=VECTOR('',#374487,10.); #104587=VECTOR('',#374490,10.); #104588=VECTOR('',#374491,10.); #104589=VECTOR('',#374498,10.); #104590=VECTOR('',#374499,10.); #104591=VECTOR('',#374500,10.); #104592=VECTOR('',#374501,10.); #104593=VECTOR('',#374504,10.); #104594=VECTOR('',#374505,10.); #104595=VECTOR('',#374506,10.); #104596=VECTOR('',#374509,10.); #104597=VECTOR('',#374510,10.); #104598=VECTOR('',#374511,10.); #104599=VECTOR('',#374514,10.); #104600=VECTOR('',#374515,10.); #104601=VECTOR('',#374516,10.); #104602=VECTOR('',#374519,10.); #104603=VECTOR('',#374520,10.); #104604=VECTOR('',#374521,10.); #104605=VECTOR('',#374524,10.); #104606=VECTOR('',#374525,10.); #104607=VECTOR('',#374526,10.); #104608=VECTOR('',#374529,10.); #104609=VECTOR('',#374530,10.); #104610=VECTOR('',#374531,10.); #104611=VECTOR('',#374534,10.); #104612=VECTOR('',#374535,10.); #104613=VECTOR('',#374536,10.); #104614=VECTOR('',#374539,10.); #104615=VECTOR('',#374540,10.); #104616=VECTOR('',#374541,10.); #104617=VECTOR('',#374544,10.); #104618=VECTOR('',#374545,10.); #104619=VECTOR('',#374546,10.); #104620=VECTOR('',#374549,10.); #104621=VECTOR('',#374550,10.); #104622=VECTOR('',#374551,10.); #104623=VECTOR('',#374554,10.); #104624=VECTOR('',#374555,10.); #104625=VECTOR('',#374556,10.); #104626=VECTOR('',#374559,10.); #104627=VECTOR('',#374560,10.); #104628=VECTOR('',#374561,10.); #104629=VECTOR('',#374564,10.); #104630=VECTOR('',#374565,10.); #104631=VECTOR('',#374566,10.); #104632=VECTOR('',#374569,10.); #104633=VECTOR('',#374570,10.); #104634=VECTOR('',#374571,10.); #104635=VECTOR('',#374574,10.); #104636=VECTOR('',#374575,10.); #104637=VECTOR('',#374576,10.); #104638=VECTOR('',#374579,10.); #104639=VECTOR('',#374580,10.); #104640=VECTOR('',#374581,10.); #104641=VECTOR('',#374584,10.); #104642=VECTOR('',#374585,10.); #104643=VECTOR('',#374586,10.); #104644=VECTOR('',#374589,10.); #104645=VECTOR('',#374590,10.); #104646=VECTOR('',#374591,10.); #104647=VECTOR('',#374594,10.); #104648=VECTOR('',#374595,10.); #104649=VECTOR('',#374596,10.); #104650=VECTOR('',#374599,10.); #104651=VECTOR('',#374600,10.); #104652=VECTOR('',#374607,10.); #104653=VECTOR('',#374608,10.); #104654=VECTOR('',#374609,10.); #104655=VECTOR('',#374610,10.); #104656=VECTOR('',#374613,10.); #104657=VECTOR('',#374614,10.); #104658=VECTOR('',#374615,10.); #104659=VECTOR('',#374618,10.); #104660=VECTOR('',#374619,10.); #104661=VECTOR('',#374620,10.); #104662=VECTOR('',#374623,10.); #104663=VECTOR('',#374624,10.); #104664=VECTOR('',#374625,10.); #104665=VECTOR('',#374628,10.); #104666=VECTOR('',#374629,10.); #104667=VECTOR('',#374630,10.); #104668=VECTOR('',#374633,10.); #104669=VECTOR('',#374634,10.); #104670=VECTOR('',#374635,10.); #104671=VECTOR('',#374638,10.); #104672=VECTOR('',#374639,10.); #104673=VECTOR('',#374640,10.); #104674=VECTOR('',#374643,10.); #104675=VECTOR('',#374644,10.); #104676=VECTOR('',#374645,10.); #104677=VECTOR('',#374648,10.); #104678=VECTOR('',#374649,10.); #104679=VECTOR('',#374650,10.); #104680=VECTOR('',#374653,10.); #104681=VECTOR('',#374654,10.); #104682=VECTOR('',#374655,10.); #104683=VECTOR('',#374658,10.); #104684=VECTOR('',#374659,10.); #104685=VECTOR('',#374660,10.); #104686=VECTOR('',#374663,10.); #104687=VECTOR('',#374664,10.); #104688=VECTOR('',#374665,10.); #104689=VECTOR('',#374668,10.); #104690=VECTOR('',#374669,10.); #104691=VECTOR('',#374670,10.); #104692=VECTOR('',#374673,10.); #104693=VECTOR('',#374674,10.); #104694=VECTOR('',#374675,10.); #104695=VECTOR('',#374678,10.); #104696=VECTOR('',#374679,10.); #104697=VECTOR('',#374680,10.); #104698=VECTOR('',#374683,10.); #104699=VECTOR('',#374684,10.); #104700=VECTOR('',#374685,10.); #104701=VECTOR('',#374688,10.); #104702=VECTOR('',#374689,10.); #104703=VECTOR('',#374690,10.); #104704=VECTOR('',#374693,10.); #104705=VECTOR('',#374694,10.); #104706=VECTOR('',#374695,10.); #104707=VECTOR('',#374698,10.); #104708=VECTOR('',#374699,10.); #104709=VECTOR('',#374700,10.); #104710=VECTOR('',#374703,10.); #104711=VECTOR('',#374704,10.); #104712=VECTOR('',#374705,10.); #104713=VECTOR('',#374708,10.); #104714=VECTOR('',#374709,10.); #104715=VECTOR('',#374710,10.); #104716=VECTOR('',#374713,10.); #104717=VECTOR('',#374714,10.); #104718=VECTOR('',#374715,10.); #104719=VECTOR('',#374718,10.); #104720=VECTOR('',#374719,10.); #104721=VECTOR('',#374720,10.); #104722=VECTOR('',#374723,10.); #104723=VECTOR('',#374724,10.); #104724=VECTOR('',#374725,10.); #104725=VECTOR('',#374728,10.); #104726=VECTOR('',#374729,10.); #104727=VECTOR('',#374730,10.); #104728=VECTOR('',#374733,10.); #104729=VECTOR('',#374734,10.); #104730=VECTOR('',#374741,10.); #104731=VECTOR('',#374742,10.); #104732=VECTOR('',#374743,10.); #104733=VECTOR('',#374744,10.); #104734=VECTOR('',#374747,10.); #104735=VECTOR('',#374748,10.); #104736=VECTOR('',#374749,10.); #104737=VECTOR('',#374752,10.); #104738=VECTOR('',#374753,10.); #104739=VECTOR('',#374754,10.); #104740=VECTOR('',#374757,10.); #104741=VECTOR('',#374758,10.); #104742=VECTOR('',#374759,10.); #104743=VECTOR('',#374762,10.); #104744=VECTOR('',#374763,10.); #104745=VECTOR('',#374764,10.); #104746=VECTOR('',#374767,10.); #104747=VECTOR('',#374768,10.); #104748=VECTOR('',#374769,10.); #104749=VECTOR('',#374772,10.); #104750=VECTOR('',#374773,10.); #104751=VECTOR('',#374774,10.); #104752=VECTOR('',#374777,10.); #104753=VECTOR('',#374778,10.); #104754=VECTOR('',#374779,10.); #104755=VECTOR('',#374782,10.); #104756=VECTOR('',#374783,10.); #104757=VECTOR('',#374784,10.); #104758=VECTOR('',#374787,10.); #104759=VECTOR('',#374788,10.); #104760=VECTOR('',#374789,10.); #104761=VECTOR('',#374792,10.); #104762=VECTOR('',#374793,10.); #104763=VECTOR('',#374794,10.); #104764=VECTOR('',#374797,10.); #104765=VECTOR('',#374798,10.); #104766=VECTOR('',#374799,10.); #104767=VECTOR('',#374802,10.); #104768=VECTOR('',#374803,10.); #104769=VECTOR('',#374804,10.); #104770=VECTOR('',#374807,10.); #104771=VECTOR('',#374808,10.); #104772=VECTOR('',#374809,10.); #104773=VECTOR('',#374812,10.); #104774=VECTOR('',#374813,10.); #104775=VECTOR('',#374814,10.); #104776=VECTOR('',#374817,10.); #104777=VECTOR('',#374818,10.); #104778=VECTOR('',#374819,10.); #104779=VECTOR('',#374822,10.); #104780=VECTOR('',#374823,10.); #104781=VECTOR('',#374824,10.); #104782=VECTOR('',#374827,10.); #104783=VECTOR('',#374828,10.); #104784=VECTOR('',#374829,10.); #104785=VECTOR('',#374832,10.); #104786=VECTOR('',#374833,10.); #104787=VECTOR('',#374834,10.); #104788=VECTOR('',#374837,10.); #104789=VECTOR('',#374838,10.); #104790=VECTOR('',#374845,10.); #104791=VECTOR('',#374846,10.); #104792=VECTOR('',#374847,10.); #104793=VECTOR('',#374848,10.); #104794=VECTOR('',#374851,10.); #104795=VECTOR('',#374852,10.); #104796=VECTOR('',#374853,10.); #104797=VECTOR('',#374856,10.); #104798=VECTOR('',#374857,10.); #104799=VECTOR('',#374858,10.); #104800=VECTOR('',#374861,10.); #104801=VECTOR('',#374862,10.); #104802=VECTOR('',#374863,10.); #104803=VECTOR('',#374866,10.); #104804=VECTOR('',#374867,10.); #104805=VECTOR('',#374868,10.); #104806=VECTOR('',#374871,10.); #104807=VECTOR('',#374872,10.); #104808=VECTOR('',#374873,10.); #104809=VECTOR('',#374876,10.); #104810=VECTOR('',#374877,10.); #104811=VECTOR('',#374878,10.); #104812=VECTOR('',#374881,10.); #104813=VECTOR('',#374882,10.); #104814=VECTOR('',#374883,10.); #104815=VECTOR('',#374886,10.); #104816=VECTOR('',#374887,10.); #104817=VECTOR('',#374888,10.); #104818=VECTOR('',#374891,10.); #104819=VECTOR('',#374892,10.); #104820=VECTOR('',#374893,10.); #104821=VECTOR('',#374896,10.); #104822=VECTOR('',#374897,10.); #104823=VECTOR('',#374898,10.); #104824=VECTOR('',#374901,10.); #104825=VECTOR('',#374902,10.); #104826=VECTOR('',#374903,10.); #104827=VECTOR('',#374906,10.); #104828=VECTOR('',#374907,10.); #104829=VECTOR('',#374908,10.); #104830=VECTOR('',#374911,10.); #104831=VECTOR('',#374912,10.); #104832=VECTOR('',#374913,10.); #104833=VECTOR('',#374916,10.); #104834=VECTOR('',#374917,10.); #104835=VECTOR('',#374918,10.); #104836=VECTOR('',#374921,10.); #104837=VECTOR('',#374922,10.); #104838=VECTOR('',#374923,10.); #104839=VECTOR('',#374926,10.); #104840=VECTOR('',#374927,10.); #104841=VECTOR('',#374928,10.); #104842=VECTOR('',#374931,10.); #104843=VECTOR('',#374932,10.); #104844=VECTOR('',#374933,10.); #104845=VECTOR('',#374936,10.); #104846=VECTOR('',#374937,10.); #104847=VECTOR('',#374938,10.); #104848=VECTOR('',#374941,10.); #104849=VECTOR('',#374942,10.); #104850=VECTOR('',#374943,10.); #104851=VECTOR('',#374946,10.); #104852=VECTOR('',#374947,10.); #104853=VECTOR('',#374948,10.); #104854=VECTOR('',#374951,10.); #104855=VECTOR('',#374952,10.); #104856=VECTOR('',#374953,10.); #104857=VECTOR('',#374956,10.); #104858=VECTOR('',#374957,10.); #104859=VECTOR('',#374964,10.); #104860=VECTOR('',#374965,10.); #104861=VECTOR('',#374966,10.); #104862=VECTOR('',#374967,10.); #104863=VECTOR('',#374970,10.); #104864=VECTOR('',#374971,10.); #104865=VECTOR('',#374972,10.); #104866=VECTOR('',#374975,10.); #104867=VECTOR('',#374976,10.); #104868=VECTOR('',#374977,10.); #104869=VECTOR('',#374980,10.); #104870=VECTOR('',#374981,10.); #104871=VECTOR('',#374982,10.); #104872=VECTOR('',#374985,10.); #104873=VECTOR('',#374986,10.); #104874=VECTOR('',#374987,10.); #104875=VECTOR('',#374990,10.); #104876=VECTOR('',#374991,10.); #104877=VECTOR('',#374992,10.); #104878=VECTOR('',#374995,10.); #104879=VECTOR('',#374996,10.); #104880=VECTOR('',#374997,10.); #104881=VECTOR('',#375000,10.); #104882=VECTOR('',#375001,10.); #104883=VECTOR('',#375002,10.); #104884=VECTOR('',#375005,10.); #104885=VECTOR('',#375006,10.); #104886=VECTOR('',#375007,10.); #104887=VECTOR('',#375010,10.); #104888=VECTOR('',#375011,10.); #104889=VECTOR('',#375012,10.); #104890=VECTOR('',#375015,10.); #104891=VECTOR('',#375016,10.); #104892=VECTOR('',#375017,10.); #104893=VECTOR('',#375020,10.); #104894=VECTOR('',#375021,10.); #104895=VECTOR('',#375022,10.); #104896=VECTOR('',#375025,10.); #104897=VECTOR('',#375026,10.); #104898=VECTOR('',#375027,10.); #104899=VECTOR('',#375030,10.); #104900=VECTOR('',#375031,10.); #104901=VECTOR('',#375032,10.); #104902=VECTOR('',#375035,10.); #104903=VECTOR('',#375036,10.); #104904=VECTOR('',#375037,10.); #104905=VECTOR('',#375040,10.); #104906=VECTOR('',#375041,10.); #104907=VECTOR('',#375042,10.); #104908=VECTOR('',#375045,10.); #104909=VECTOR('',#375046,10.); #104910=VECTOR('',#375047,10.); #104911=VECTOR('',#375050,10.); #104912=VECTOR('',#375051,10.); #104913=VECTOR('',#375052,10.); #104914=VECTOR('',#375055,10.); #104915=VECTOR('',#375056,10.); #104916=VECTOR('',#375057,10.); #104917=VECTOR('',#375060,10.); #104918=VECTOR('',#375061,10.); #104919=VECTOR('',#375062,10.); #104920=VECTOR('',#375065,10.); #104921=VECTOR('',#375066,10.); #104922=VECTOR('',#375073,10.); #104923=VECTOR('',#375074,10.); #104924=VECTOR('',#375075,10.); #104925=VECTOR('',#375076,10.); #104926=VECTOR('',#375079,10.); #104927=VECTOR('',#375080,10.); #104928=VECTOR('',#375081,10.); #104929=VECTOR('',#375084,10.); #104930=VECTOR('',#375085,10.); #104931=VECTOR('',#375086,10.); #104932=VECTOR('',#375089,10.); #104933=VECTOR('',#375090,10.); #104934=VECTOR('',#375091,10.); #104935=VECTOR('',#375094,10.); #104936=VECTOR('',#375095,10.); #104937=VECTOR('',#375096,10.); #104938=VECTOR('',#375099,10.); #104939=VECTOR('',#375100,10.); #104940=VECTOR('',#375101,10.); #104941=VECTOR('',#375104,10.); #104942=VECTOR('',#375105,10.); #104943=VECTOR('',#375106,10.); #104944=VECTOR('',#375109,10.); #104945=VECTOR('',#375110,10.); #104946=VECTOR('',#375111,10.); #104947=VECTOR('',#375114,10.); #104948=VECTOR('',#375115,10.); #104949=VECTOR('',#375116,10.); #104950=VECTOR('',#375119,10.); #104951=VECTOR('',#375120,10.); #104952=VECTOR('',#375121,10.); #104953=VECTOR('',#375124,10.); #104954=VECTOR('',#375125,10.); #104955=VECTOR('',#375126,10.); #104956=VECTOR('',#375129,10.); #104957=VECTOR('',#375130,10.); #104958=VECTOR('',#375131,10.); #104959=VECTOR('',#375134,10.); #104960=VECTOR('',#375135,10.); #104961=VECTOR('',#375136,10.); #104962=VECTOR('',#375139,10.); #104963=VECTOR('',#375140,10.); #104964=VECTOR('',#375141,10.); #104965=VECTOR('',#375144,10.); #104966=VECTOR('',#375145,10.); #104967=VECTOR('',#375146,10.); #104968=VECTOR('',#375149,10.); #104969=VECTOR('',#375150,10.); #104970=VECTOR('',#375151,10.); #104971=VECTOR('',#375154,10.); #104972=VECTOR('',#375155,10.); #104973=VECTOR('',#375156,10.); #104974=VECTOR('',#375159,10.); #104975=VECTOR('',#375160,10.); #104976=VECTOR('',#375161,10.); #104977=VECTOR('',#375164,10.); #104978=VECTOR('',#375165,10.); #104979=VECTOR('',#375166,10.); #104980=VECTOR('',#375169,10.); #104981=VECTOR('',#375170,10.); #104982=VECTOR('',#375171,10.); #104983=VECTOR('',#375174,10.); #104984=VECTOR('',#375175,10.); #104985=VECTOR('',#375176,10.); #104986=VECTOR('',#375179,10.); #104987=VECTOR('',#375180,10.); #104988=VECTOR('',#375181,10.); #104989=VECTOR('',#375184,10.); #104990=VECTOR('',#375185,10.); #104991=VECTOR('',#375186,10.); #104992=VECTOR('',#375189,10.); #104993=VECTOR('',#375190,10.); #104994=VECTOR('',#375191,10.); #104995=VECTOR('',#375194,10.); #104996=VECTOR('',#375195,10.); #104997=VECTOR('',#375196,10.); #104998=VECTOR('',#375199,10.); #104999=VECTOR('',#375200,10.); #105000=VECTOR('',#375201,10.); #105001=VECTOR('',#375204,10.); #105002=VECTOR('',#375205,10.); #105003=VECTOR('',#375206,10.); #105004=VECTOR('',#375209,10.); #105005=VECTOR('',#375210,10.); #105006=VECTOR('',#375211,10.); #105007=VECTOR('',#375214,10.); #105008=VECTOR('',#375215,10.); #105009=VECTOR('',#375216,10.); #105010=VECTOR('',#375219,10.); #105011=VECTOR('',#375220,10.); #105012=VECTOR('',#375227,10.); #105013=VECTOR('',#375228,10.); #105014=VECTOR('',#375229,10.); #105015=VECTOR('',#375230,10.); #105016=VECTOR('',#375233,10.); #105017=VECTOR('',#375234,10.); #105018=VECTOR('',#375235,10.); #105019=VECTOR('',#375238,10.); #105020=VECTOR('',#375239,10.); #105021=VECTOR('',#375240,10.); #105022=VECTOR('',#375243,10.); #105023=VECTOR('',#375244,10.); #105024=VECTOR('',#375245,10.); #105025=VECTOR('',#375248,10.); #105026=VECTOR('',#375249,10.); #105027=VECTOR('',#375250,10.); #105028=VECTOR('',#375253,10.); #105029=VECTOR('',#375254,10.); #105030=VECTOR('',#375255,10.); #105031=VECTOR('',#375258,10.); #105032=VECTOR('',#375259,10.); #105033=VECTOR('',#375260,10.); #105034=VECTOR('',#375263,10.); #105035=VECTOR('',#375264,10.); #105036=VECTOR('',#375265,10.); #105037=VECTOR('',#375268,10.); #105038=VECTOR('',#375269,10.); #105039=VECTOR('',#375270,10.); #105040=VECTOR('',#375273,10.); #105041=VECTOR('',#375274,10.); #105042=VECTOR('',#375275,10.); #105043=VECTOR('',#375278,10.); #105044=VECTOR('',#375279,10.); #105045=VECTOR('',#375280,10.); #105046=VECTOR('',#375283,10.); #105047=VECTOR('',#375284,10.); #105048=VECTOR('',#375285,10.); #105049=VECTOR('',#375288,10.); #105050=VECTOR('',#375289,10.); #105051=VECTOR('',#375290,10.); #105052=VECTOR('',#375293,10.); #105053=VECTOR('',#375294,10.); #105054=VECTOR('',#375295,10.); #105055=VECTOR('',#375298,10.); #105056=VECTOR('',#375299,10.); #105057=VECTOR('',#375300,10.); #105058=VECTOR('',#375303,10.); #105059=VECTOR('',#375304,10.); #105060=VECTOR('',#375305,10.); #105061=VECTOR('',#375308,10.); #105062=VECTOR('',#375309,10.); #105063=VECTOR('',#375310,10.); #105064=VECTOR('',#375313,10.); #105065=VECTOR('',#375314,10.); #105066=VECTOR('',#375315,10.); #105067=VECTOR('',#375318,10.); #105068=VECTOR('',#375319,10.); #105069=VECTOR('',#375320,10.); #105070=VECTOR('',#375323,10.); #105071=VECTOR('',#375324,10.); #105072=VECTOR('',#375331,10.); #105073=VECTOR('',#375332,10.); #105074=VECTOR('',#375333,10.); #105075=VECTOR('',#375334,10.); #105076=VECTOR('',#375337,10.); #105077=VECTOR('',#375338,10.); #105078=VECTOR('',#375339,10.); #105079=VECTOR('',#375342,10.); #105080=VECTOR('',#375343,10.); #105081=VECTOR('',#375344,10.); #105082=VECTOR('',#375347,10.); #105083=VECTOR('',#375348,10.); #105084=VECTOR('',#375349,10.); #105085=VECTOR('',#375352,10.); #105086=VECTOR('',#375353,10.); #105087=VECTOR('',#375354,10.); #105088=VECTOR('',#375357,10.); #105089=VECTOR('',#375358,10.); #105090=VECTOR('',#375359,10.); #105091=VECTOR('',#375362,10.); #105092=VECTOR('',#375363,10.); #105093=VECTOR('',#375364,10.); #105094=VECTOR('',#375367,10.); #105095=VECTOR('',#375368,10.); #105096=VECTOR('',#375369,10.); #105097=VECTOR('',#375372,10.); #105098=VECTOR('',#375373,10.); #105099=VECTOR('',#375374,10.); #105100=VECTOR('',#375377,10.); #105101=VECTOR('',#375378,10.); #105102=VECTOR('',#375379,10.); #105103=VECTOR('',#375382,10.); #105104=VECTOR('',#375383,10.); #105105=VECTOR('',#375384,10.); #105106=VECTOR('',#375387,10.); #105107=VECTOR('',#375388,10.); #105108=VECTOR('',#375389,10.); #105109=VECTOR('',#375392,10.); #105110=VECTOR('',#375393,10.); #105111=VECTOR('',#375394,10.); #105112=VECTOR('',#375397,10.); #105113=VECTOR('',#375398,10.); #105114=VECTOR('',#375399,10.); #105115=VECTOR('',#375402,10.); #105116=VECTOR('',#375403,10.); #105117=VECTOR('',#375404,10.); #105118=VECTOR('',#375407,10.); #105119=VECTOR('',#375408,10.); #105120=VECTOR('',#375409,10.); #105121=VECTOR('',#375412,10.); #105122=VECTOR('',#375413,10.); #105123=VECTOR('',#375414,10.); #105124=VECTOR('',#375417,10.); #105125=VECTOR('',#375418,10.); #105126=VECTOR('',#375419,10.); #105127=VECTOR('',#375422,10.); #105128=VECTOR('',#375423,10.); #105129=VECTOR('',#375424,10.); #105130=VECTOR('',#375427,10.); #105131=VECTOR('',#375428,10.); #105132=VECTOR('',#375429,10.); #105133=VECTOR('',#375432,10.); #105134=VECTOR('',#375433,10.); #105135=VECTOR('',#375434,10.); #105136=VECTOR('',#375437,10.); #105137=VECTOR('',#375438,10.); #105138=VECTOR('',#375439,10.); #105139=VECTOR('',#375442,10.); #105140=VECTOR('',#375443,10.); #105141=VECTOR('',#375444,10.); #105142=VECTOR('',#375447,10.); #105143=VECTOR('',#375448,10.); #105144=VECTOR('',#375449,10.); #105145=VECTOR('',#375452,10.); #105146=VECTOR('',#375453,10.); #105147=VECTOR('',#375454,10.); #105148=VECTOR('',#375457,10.); #105149=VECTOR('',#375458,10.); #105150=VECTOR('',#375459,10.); #105151=VECTOR('',#375462,10.); #105152=VECTOR('',#375463,10.); #105153=VECTOR('',#375464,10.); #105154=VECTOR('',#375467,10.); #105155=VECTOR('',#375468,10.); #105156=VECTOR('',#375469,10.); #105157=VECTOR('',#375472,10.); #105158=VECTOR('',#375473,10.); #105159=VECTOR('',#375474,10.); #105160=VECTOR('',#375477,10.); #105161=VECTOR('',#375478,10.); #105162=VECTOR('',#375479,10.); #105163=VECTOR('',#375482,10.); #105164=VECTOR('',#375483,10.); #105165=VECTOR('',#375484,10.); #105166=VECTOR('',#375487,10.); #105167=VECTOR('',#375488,10.); #105168=VECTOR('',#375489,10.); #105169=VECTOR('',#375492,10.); #105170=VECTOR('',#375493,10.); #105171=VECTOR('',#375502,0.139999999999998); #105172=VECTOR('',#375509,0.139999999999998); #105173=VECTOR('',#375516,0.139999999999998); #105174=VECTOR('',#375521,10.); #105175=VECTOR('',#375522,10.); #105176=VECTOR('',#375523,10.); #105177=VECTOR('',#375524,10.); #105178=VECTOR('',#375527,10.); #105179=VECTOR('',#375528,10.); #105180=VECTOR('',#375529,10.); #105181=VECTOR('',#375532,10.); #105182=VECTOR('',#375533,10.); #105183=VECTOR('',#375534,10.); #105184=VECTOR('',#375537,10.); #105185=VECTOR('',#375538,10.); #105186=VECTOR('',#375539,10.); #105187=VECTOR('',#375542,10.); #105188=VECTOR('',#375543,10.); #105189=VECTOR('',#375544,10.); #105190=VECTOR('',#375547,10.); #105191=VECTOR('',#375548,10.); #105192=VECTOR('',#375549,10.); #105193=VECTOR('',#375552,10.); #105194=VECTOR('',#375553,10.); #105195=VECTOR('',#375554,10.); #105196=VECTOR('',#375557,10.); #105197=VECTOR('',#375558,10.); #105198=VECTOR('',#375559,10.); #105199=VECTOR('',#375562,10.); #105200=VECTOR('',#375563,10.); #105201=VECTOR('',#375564,10.); #105202=VECTOR('',#375567,10.); #105203=VECTOR('',#375568,10.); #105204=VECTOR('',#375569,10.); #105205=VECTOR('',#375572,10.); #105206=VECTOR('',#375573,10.); #105207=VECTOR('',#375574,10.); #105208=VECTOR('',#375577,10.); #105209=VECTOR('',#375578,10.); #105210=VECTOR('',#375579,10.); #105211=VECTOR('',#375582,10.); #105212=VECTOR('',#375583,10.); #105213=VECTOR('',#375584,10.); #105214=VECTOR('',#375587,10.); #105215=VECTOR('',#375588,10.); #105216=VECTOR('',#375589,10.); #105217=VECTOR('',#375592,10.); #105218=VECTOR('',#375593,10.); #105219=VECTOR('',#375594,10.); #105220=VECTOR('',#375597,10.); #105221=VECTOR('',#375598,10.); #105222=VECTOR('',#375599,10.); #105223=VECTOR('',#375602,10.); #105224=VECTOR('',#375603,10.); #105225=VECTOR('',#375604,10.); #105226=VECTOR('',#375607,10.); #105227=VECTOR('',#375608,10.); #105228=VECTOR('',#375609,10.); #105229=VECTOR('',#375612,10.); #105230=VECTOR('',#375613,10.); #105231=VECTOR('',#375614,10.); #105232=VECTOR('',#375617,10.); #105233=VECTOR('',#375618,10.); #105234=VECTOR('',#375619,10.); #105235=VECTOR('',#375622,10.); #105236=VECTOR('',#375623,10.); #105237=VECTOR('',#375624,10.); #105238=VECTOR('',#375627,10.); #105239=VECTOR('',#375628,10.); #105240=VECTOR('',#375629,10.); #105241=VECTOR('',#375632,10.); #105242=VECTOR('',#375633,10.); #105243=VECTOR('',#375634,10.); #105244=VECTOR('',#375637,10.); #105245=VECTOR('',#375638,10.); #105246=VECTOR('',#375639,10.); #105247=VECTOR('',#375642,10.); #105248=VECTOR('',#375643,10.); #105249=VECTOR('',#375644,10.); #105250=VECTOR('',#375647,10.); #105251=VECTOR('',#375648,10.); #105252=VECTOR('',#375649,10.); #105253=VECTOR('',#375652,10.); #105254=VECTOR('',#375653,10.); #105255=VECTOR('',#375654,10.); #105256=VECTOR('',#375657,10.); #105257=VECTOR('',#375658,10.); #105258=VECTOR('',#375659,10.); #105259=VECTOR('',#375662,10.); #105260=VECTOR('',#375663,10.); #105261=VECTOR('',#375664,10.); #105262=VECTOR('',#375667,10.); #105263=VECTOR('',#375668,10.); #105264=VECTOR('',#375669,10.); #105265=VECTOR('',#375672,10.); #105266=VECTOR('',#375673,10.); #105267=VECTOR('',#375674,10.); #105268=VECTOR('',#375677,10.); #105269=VECTOR('',#375678,10.); #105270=VECTOR('',#375679,10.); #105271=VECTOR('',#375682,10.); #105272=VECTOR('',#375683,10.); #105273=VECTOR('',#375684,10.); #105274=VECTOR('',#375687,10.); #105275=VECTOR('',#375688,10.); #105276=VECTOR('',#375689,10.); #105277=VECTOR('',#375692,10.); #105278=VECTOR('',#375693,10.); #105279=VECTOR('',#375694,10.); #105280=VECTOR('',#375697,10.); #105281=VECTOR('',#375698,10.); #105282=VECTOR('',#375699,10.); #105283=VECTOR('',#375702,10.); #105284=VECTOR('',#375703,10.); #105285=VECTOR('',#375704,10.); #105286=VECTOR('',#375707,10.); #105287=VECTOR('',#375708,10.); #105288=VECTOR('',#375709,10.); #105289=VECTOR('',#375712,10.); #105290=VECTOR('',#375713,10.); #105291=VECTOR('',#375714,10.); #105292=VECTOR('',#375717,10.); #105293=VECTOR('',#375718,10.); #105294=VECTOR('',#375719,10.); #105295=VECTOR('',#375722,10.); #105296=VECTOR('',#375723,10.); #105297=VECTOR('',#375724,10.); #105298=VECTOR('',#375727,10.); #105299=VECTOR('',#375728,10.); #105300=VECTOR('',#375729,10.); #105301=VECTOR('',#375732,10.); #105302=VECTOR('',#375733,10.); #105303=VECTOR('',#375734,10.); #105304=VECTOR('',#375737,10.); #105305=VECTOR('',#375738,10.); #105306=VECTOR('',#375739,10.); #105307=VECTOR('',#375742,10.); #105308=VECTOR('',#375743,10.); #105309=VECTOR('',#375744,10.); #105310=VECTOR('',#375747,10.); #105311=VECTOR('',#375748,10.); #105312=VECTOR('',#375749,10.); #105313=VECTOR('',#375752,10.); #105314=VECTOR('',#375753,10.); #105315=VECTOR('',#375754,10.); #105316=VECTOR('',#375757,10.); #105317=VECTOR('',#375758,10.); #105318=VECTOR('',#375759,10.); #105319=VECTOR('',#375762,10.); #105320=VECTOR('',#375763,10.); #105321=VECTOR('',#375764,10.); #105322=VECTOR('',#375767,10.); #105323=VECTOR('',#375768,10.); #105324=VECTOR('',#375769,10.); #105325=VECTOR('',#375772,10.); #105326=VECTOR('',#375773,10.); #105327=VECTOR('',#375774,10.); #105328=VECTOR('',#375777,10.); #105329=VECTOR('',#375778,10.); #105330=VECTOR('',#375779,10.); #105331=VECTOR('',#375782,10.); #105332=VECTOR('',#375783,10.); #105333=VECTOR('',#375784,10.); #105334=VECTOR('',#375787,10.); #105335=VECTOR('',#375788,10.); #105336=VECTOR('',#375789,10.); #105337=VECTOR('',#375792,10.); #105338=VECTOR('',#375793,10.); #105339=VECTOR('',#375794,10.); #105340=VECTOR('',#375797,10.); #105341=VECTOR('',#375798,10.); #105342=VECTOR('',#375799,10.); #105343=VECTOR('',#375802,10.); #105344=VECTOR('',#375803,10.); #105345=VECTOR('',#375804,10.); #105346=VECTOR('',#375807,10.); #105347=VECTOR('',#375808,10.); #105348=VECTOR('',#375809,10.); #105349=VECTOR('',#375812,10.); #105350=VECTOR('',#375813,10.); #105351=VECTOR('',#375814,10.); #105352=VECTOR('',#375817,10.); #105353=VECTOR('',#375818,10.); #105354=VECTOR('',#375819,10.); #105355=VECTOR('',#375822,10.); #105356=VECTOR('',#375823,10.); #105357=VECTOR('',#375824,10.); #105358=VECTOR('',#375827,10.); #105359=VECTOR('',#375828,10.); #105360=VECTOR('',#375829,10.); #105361=VECTOR('',#375832,10.); #105362=VECTOR('',#375833,10.); #105363=VECTOR('',#375834,10.); #105364=VECTOR('',#375837,10.); #105365=VECTOR('',#375838,10.); #105366=VECTOR('',#375839,10.); #105367=VECTOR('',#375842,10.); #105368=VECTOR('',#375843,10.); #105369=VECTOR('',#375844,10.); #105370=VECTOR('',#375847,10.); #105371=VECTOR('',#375848,10.); #105372=VECTOR('',#375849,10.); #105373=VECTOR('',#375852,10.); #105374=VECTOR('',#375853,10.); #105375=VECTOR('',#375854,10.); #105376=VECTOR('',#375857,10.); #105377=VECTOR('',#375858,10.); #105378=VECTOR('',#375859,10.); #105379=VECTOR('',#375862,10.); #105380=VECTOR('',#375863,10.); #105381=VECTOR('',#375864,10.); #105382=VECTOR('',#375867,10.); #105383=VECTOR('',#375868,10.); #105384=VECTOR('',#375869,10.); #105385=VECTOR('',#375872,10.); #105386=VECTOR('',#375873,10.); #105387=VECTOR('',#375874,10.); #105388=VECTOR('',#375877,10.); #105389=VECTOR('',#375878,10.); #105390=VECTOR('',#375879,10.); #105391=VECTOR('',#375882,10.); #105392=VECTOR('',#375883,10.); #105393=VECTOR('',#375884,10.); #105394=VECTOR('',#375887,10.); #105395=VECTOR('',#375888,10.); #105396=VECTOR('',#375889,10.); #105397=VECTOR('',#375892,10.); #105398=VECTOR('',#375893,10.); #105399=VECTOR('',#375894,10.); #105400=VECTOR('',#375897,10.); #105401=VECTOR('',#375898,10.); #105402=VECTOR('',#375899,10.); #105403=VECTOR('',#375902,10.); #105404=VECTOR('',#375903,10.); #105405=VECTOR('',#375904,10.); #105406=VECTOR('',#375907,10.); #105407=VECTOR('',#375908,10.); #105408=VECTOR('',#375909,10.); #105409=VECTOR('',#375912,10.); #105410=VECTOR('',#375913,10.); #105411=VECTOR('',#375914,10.); #105412=VECTOR('',#375917,10.); #105413=VECTOR('',#375918,10.); #105414=VECTOR('',#375919,10.); #105415=VECTOR('',#375922,10.); #105416=VECTOR('',#375923,10.); #105417=VECTOR('',#375924,10.); #105418=VECTOR('',#375927,10.); #105419=VECTOR('',#375928,10.); #105420=VECTOR('',#375929,10.); #105421=VECTOR('',#375932,10.); #105422=VECTOR('',#375933,10.); #105423=VECTOR('',#375934,10.); #105424=VECTOR('',#375937,10.); #105425=VECTOR('',#375938,10.); #105426=VECTOR('',#375939,10.); #105427=VECTOR('',#375942,10.); #105428=VECTOR('',#375943,10.); #105429=VECTOR('',#375944,10.); #105430=VECTOR('',#375947,10.); #105431=VECTOR('',#375948,10.); #105432=VECTOR('',#375949,10.); #105433=VECTOR('',#375952,10.); #105434=VECTOR('',#375953,10.); #105435=VECTOR('',#375960,10.); #105436=VECTOR('',#375961,10.); #105437=VECTOR('',#375962,10.); #105438=VECTOR('',#375963,10.); #105439=VECTOR('',#375966,10.); #105440=VECTOR('',#375967,10.); #105441=VECTOR('',#375968,10.); #105442=VECTOR('',#375971,10.); #105443=VECTOR('',#375972,10.); #105444=VECTOR('',#375973,10.); #105445=VECTOR('',#375976,10.); #105446=VECTOR('',#375977,10.); #105447=VECTOR('',#375978,10.); #105448=VECTOR('',#375981,10.); #105449=VECTOR('',#375982,10.); #105450=VECTOR('',#375983,10.); #105451=VECTOR('',#375986,10.); #105452=VECTOR('',#375987,10.); #105453=VECTOR('',#375988,10.); #105454=VECTOR('',#375991,10.); #105455=VECTOR('',#375992,10.); #105456=VECTOR('',#375993,10.); #105457=VECTOR('',#375996,10.); #105458=VECTOR('',#375997,10.); #105459=VECTOR('',#375998,10.); #105460=VECTOR('',#376001,10.); #105461=VECTOR('',#376002,10.); #105462=VECTOR('',#376003,10.); #105463=VECTOR('',#376006,10.); #105464=VECTOR('',#376007,10.); #105465=VECTOR('',#376008,10.); #105466=VECTOR('',#376011,10.); #105467=VECTOR('',#376012,10.); #105468=VECTOR('',#376013,10.); #105469=VECTOR('',#376016,10.); #105470=VECTOR('',#376017,10.); #105471=VECTOR('',#376018,10.); #105472=VECTOR('',#376021,10.); #105473=VECTOR('',#376022,10.); #105474=VECTOR('',#376023,10.); #105475=VECTOR('',#376026,10.); #105476=VECTOR('',#376027,10.); #105477=VECTOR('',#376028,10.); #105478=VECTOR('',#376031,10.); #105479=VECTOR('',#376032,10.); #105480=VECTOR('',#376033,10.); #105481=VECTOR('',#376036,10.); #105482=VECTOR('',#376037,10.); #105483=VECTOR('',#376038,10.); #105484=VECTOR('',#376041,10.); #105485=VECTOR('',#376042,10.); #105486=VECTOR('',#376043,10.); #105487=VECTOR('',#376046,10.); #105488=VECTOR('',#376047,10.); #105489=VECTOR('',#376048,10.); #105490=VECTOR('',#376051,10.); #105491=VECTOR('',#376052,10.); #105492=VECTOR('',#376053,10.); #105493=VECTOR('',#376056,10.); #105494=VECTOR('',#376057,10.); #105495=VECTOR('',#376058,10.); #105496=VECTOR('',#376061,10.); #105497=VECTOR('',#376062,10.); #105498=VECTOR('',#376063,10.); #105499=VECTOR('',#376066,10.); #105500=VECTOR('',#376067,10.); #105501=VECTOR('',#376068,10.); #105502=VECTOR('',#376071,10.); #105503=VECTOR('',#376072,10.); #105504=VECTOR('',#376073,10.); #105505=VECTOR('',#376076,10.); #105506=VECTOR('',#376077,10.); #105507=VECTOR('',#376078,10.); #105508=VECTOR('',#376081,10.); #105509=VECTOR('',#376082,10.); #105510=VECTOR('',#376083,10.); #105511=VECTOR('',#376086,10.); #105512=VECTOR('',#376087,10.); #105513=VECTOR('',#376088,10.); #105514=VECTOR('',#376091,10.); #105515=VECTOR('',#376092,10.); #105516=VECTOR('',#376093,10.); #105517=VECTOR('',#376096,10.); #105518=VECTOR('',#376097,10.); #105519=VECTOR('',#376098,10.); #105520=VECTOR('',#376101,10.); #105521=VECTOR('',#376102,10.); #105522=VECTOR('',#376109,10.); #105523=VECTOR('',#376110,10.); #105524=VECTOR('',#376111,10.); #105525=VECTOR('',#376112,10.); #105526=VECTOR('',#376115,10.); #105527=VECTOR('',#376116,10.); #105528=VECTOR('',#376117,10.); #105529=VECTOR('',#376120,10.); #105530=VECTOR('',#376121,10.); #105531=VECTOR('',#376122,10.); #105532=VECTOR('',#376125,10.); #105533=VECTOR('',#376126,10.); #105534=VECTOR('',#376127,10.); #105535=VECTOR('',#376130,10.); #105536=VECTOR('',#376131,10.); #105537=VECTOR('',#376132,10.); #105538=VECTOR('',#376135,10.); #105539=VECTOR('',#376136,10.); #105540=VECTOR('',#376137,10.); #105541=VECTOR('',#376140,10.); #105542=VECTOR('',#376141,10.); #105543=VECTOR('',#376142,10.); #105544=VECTOR('',#376145,10.); #105545=VECTOR('',#376146,10.); #105546=VECTOR('',#376147,10.); #105547=VECTOR('',#376150,10.); #105548=VECTOR('',#376151,10.); #105549=VECTOR('',#376152,10.); #105550=VECTOR('',#376155,10.); #105551=VECTOR('',#376156,10.); #105552=VECTOR('',#376157,10.); #105553=VECTOR('',#376160,10.); #105554=VECTOR('',#376161,10.); #105555=VECTOR('',#376162,10.); #105556=VECTOR('',#376165,10.); #105557=VECTOR('',#376166,10.); #105558=VECTOR('',#376167,10.); #105559=VECTOR('',#376170,10.); #105560=VECTOR('',#376171,10.); #105561=VECTOR('',#376172,10.); #105562=VECTOR('',#376175,10.); #105563=VECTOR('',#376176,10.); #105564=VECTOR('',#376177,10.); #105565=VECTOR('',#376180,10.); #105566=VECTOR('',#376181,10.); #105567=VECTOR('',#376182,10.); #105568=VECTOR('',#376185,10.); #105569=VECTOR('',#376186,10.); #105570=VECTOR('',#376187,10.); #105571=VECTOR('',#376190,10.); #105572=VECTOR('',#376191,10.); #105573=VECTOR('',#376192,10.); #105574=VECTOR('',#376195,10.); #105575=VECTOR('',#376196,10.); #105576=VECTOR('',#376197,10.); #105577=VECTOR('',#376200,10.); #105578=VECTOR('',#376201,10.); #105579=VECTOR('',#376210,0.139999999999998); #105580=VECTOR('',#376217,0.139999999999998); #105581=VECTOR('',#376224,0.139999999999998); #105582=VECTOR('',#376231,0.139999999999998); #105583=VECTOR('',#376238,0.139999999999998); #105584=VECTOR('',#376245,0.139999999999998); #105585=VECTOR('',#376252,0.139999999999998); #105586=VECTOR('',#376259,0.139999999999998); #105587=VECTOR('',#376266,0.139999999999998); #105588=VECTOR('',#376273,0.139999999999998); #105589=VECTOR('',#376280,0.139999999999998); #105590=VECTOR('',#376287,0.139999999999998); #105591=VECTOR('',#376294,0.139999999999998); #105592=VECTOR('',#376301,0.139999999999998); #105593=VECTOR('',#376308,0.139999999999998); #105594=VECTOR('',#376315,0.139999999999998); #105595=VECTOR('',#376322,0.139999999999998); #105596=VECTOR('',#376329,0.139999999999998); #105597=VECTOR('',#376336,0.139999999999998); #105598=VECTOR('',#376343,0.139999999999998); #105599=VECTOR('',#376350,0.139999999999998); #105600=VECTOR('',#376357,0.139999999999998); #105601=VECTOR('',#376364,0.139999999999998); #105602=VECTOR('',#376371,0.139999999999998); #105603=VECTOR('',#376378,0.139999999999998); #105604=VECTOR('',#376385,0.139999999999998); #105605=VECTOR('',#376392,0.139999999999998); #105606=VECTOR('',#376399,0.139999999999998); #105607=VECTOR('',#376406,0.139999999999998); #105608=VECTOR('',#376413,0.139999999999998); #105609=VECTOR('',#376420,0.139999999999998); #105610=VECTOR('',#376427,0.139999999999998); #105611=VECTOR('',#376434,0.139999999999998); #105612=VECTOR('',#376441,0.139999999999998); #105613=VECTOR('',#376448,0.139999999999998); #105614=VECTOR('',#376455,0.139999999999998); #105615=VECTOR('',#376462,0.139999999999998); #105616=VECTOR('',#376469,0.139999999999998); #105617=VECTOR('',#376476,0.139999999999998); #105618=VECTOR('',#376483,0.139999999999998); #105619=VECTOR('',#376490,0.139999999999998); #105620=VECTOR('',#376497,0.139999999999998); #105621=VECTOR('',#376504,0.139999999999998); #105622=VECTOR('',#376511,0.139999999999998); #105623=VECTOR('',#376518,0.139999999999998); #105624=VECTOR('',#376525,0.139999999999998); #105625=VECTOR('',#376532,0.139999999999998); #105626=VECTOR('',#376539,0.139999999999998); #105627=VECTOR('',#376546,0.139999999999998); #105628=VECTOR('',#376551,10.); #105629=VECTOR('',#376552,10.); #105630=VECTOR('',#376553,10.); #105631=VECTOR('',#376554,10.); #105632=VECTOR('',#376557,10.); #105633=VECTOR('',#376558,10.); #105634=VECTOR('',#376559,10.); #105635=VECTOR('',#376562,10.); #105636=VECTOR('',#376563,10.); #105637=VECTOR('',#376564,10.); #105638=VECTOR('',#376567,10.); #105639=VECTOR('',#376568,10.); #105640=VECTOR('',#376569,10.); #105641=VECTOR('',#376572,10.); #105642=VECTOR('',#376573,10.); #105643=VECTOR('',#376574,10.); #105644=VECTOR('',#376577,10.); #105645=VECTOR('',#376578,10.); #105646=VECTOR('',#376579,10.); #105647=VECTOR('',#376582,10.); #105648=VECTOR('',#376583,10.); #105649=VECTOR('',#376584,10.); #105650=VECTOR('',#376587,10.); #105651=VECTOR('',#376588,10.); #105652=VECTOR('',#376589,10.); #105653=VECTOR('',#376592,10.); #105654=VECTOR('',#376593,10.); #105655=VECTOR('',#376594,10.); #105656=VECTOR('',#376597,10.); #105657=VECTOR('',#376598,10.); #105658=VECTOR('',#376599,10.); #105659=VECTOR('',#376602,10.); #105660=VECTOR('',#376603,10.); #105661=VECTOR('',#376604,10.); #105662=VECTOR('',#376607,10.); #105663=VECTOR('',#376608,10.); #105664=VECTOR('',#376609,10.); #105665=VECTOR('',#376612,10.); #105666=VECTOR('',#376613,10.); #105667=VECTOR('',#376614,10.); #105668=VECTOR('',#376617,10.); #105669=VECTOR('',#376618,10.); #105670=VECTOR('',#376619,10.); #105671=VECTOR('',#376622,10.); #105672=VECTOR('',#376623,10.); #105673=VECTOR('',#376624,10.); #105674=VECTOR('',#376627,10.); #105675=VECTOR('',#376628,10.); #105676=VECTOR('',#376629,10.); #105677=VECTOR('',#376632,10.); #105678=VECTOR('',#376633,10.); #105679=VECTOR('',#376634,10.); #105680=VECTOR('',#376637,10.); #105681=VECTOR('',#376638,10.); #105682=VECTOR('',#376639,10.); #105683=VECTOR('',#376642,10.); #105684=VECTOR('',#376643,10.); #105685=VECTOR('',#376644,10.); #105686=VECTOR('',#376647,10.); #105687=VECTOR('',#376648,10.); #105688=VECTOR('',#376649,10.); #105689=VECTOR('',#376652,10.); #105690=VECTOR('',#376653,10.); #105691=VECTOR('',#376654,10.); #105692=VECTOR('',#376657,10.); #105693=VECTOR('',#376658,10.); #105694=VECTOR('',#376659,10.); #105695=VECTOR('',#376662,10.); #105696=VECTOR('',#376663,10.); #105697=VECTOR('',#376664,10.); #105698=VECTOR('',#376667,10.); #105699=VECTOR('',#376668,10.); #105700=VECTOR('',#376669,10.); #105701=VECTOR('',#376672,10.); #105702=VECTOR('',#376673,10.); #105703=VECTOR('',#376674,10.); #105704=VECTOR('',#376677,10.); #105705=VECTOR('',#376678,10.); #105706=VECTOR('',#376679,10.); #105707=VECTOR('',#376682,10.); #105708=VECTOR('',#376683,10.); #105709=VECTOR('',#376684,10.); #105710=VECTOR('',#376687,10.); #105711=VECTOR('',#376688,10.); #105712=VECTOR('',#376689,10.); #105713=VECTOR('',#376692,10.); #105714=VECTOR('',#376693,10.); #105715=VECTOR('',#376694,10.); #105716=VECTOR('',#376697,10.); #105717=VECTOR('',#376698,10.); #105718=VECTOR('',#376699,10.); #105719=VECTOR('',#376702,10.); #105720=VECTOR('',#376703,10.); #105721=VECTOR('',#376704,10.); #105722=VECTOR('',#376707,10.); #105723=VECTOR('',#376708,10.); #105724=VECTOR('',#376709,10.); #105725=VECTOR('',#376712,10.); #105726=VECTOR('',#376713,10.); #105727=VECTOR('',#376714,10.); #105728=VECTOR('',#376717,10.); #105729=VECTOR('',#376718,10.); #105730=VECTOR('',#376719,10.); #105731=VECTOR('',#376722,10.); #105732=VECTOR('',#376723,10.); #105733=VECTOR('',#376724,10.); #105734=VECTOR('',#376727,10.); #105735=VECTOR('',#376728,10.); #105736=VECTOR('',#376729,10.); #105737=VECTOR('',#376732,10.); #105738=VECTOR('',#376733,10.); #105739=VECTOR('',#376734,10.); #105740=VECTOR('',#376737,10.); #105741=VECTOR('',#376738,10.); #105742=VECTOR('',#376739,10.); #105743=VECTOR('',#376742,10.); #105744=VECTOR('',#376743,10.); #105745=VECTOR('',#376744,10.); #105746=VECTOR('',#376747,10.); #105747=VECTOR('',#376748,10.); #105748=VECTOR('',#376749,10.); #105749=VECTOR('',#376752,10.); #105750=VECTOR('',#376753,10.); #105751=VECTOR('',#376754,10.); #105752=VECTOR('',#376757,10.); #105753=VECTOR('',#376758,10.); #105754=VECTOR('',#376759,10.); #105755=VECTOR('',#376762,10.); #105756=VECTOR('',#376763,10.); #105757=VECTOR('',#376764,10.); #105758=VECTOR('',#376767,10.); #105759=VECTOR('',#376768,10.); #105760=VECTOR('',#376769,10.); #105761=VECTOR('',#376772,10.); #105762=VECTOR('',#376773,10.); #105763=VECTOR('',#376774,10.); #105764=VECTOR('',#376777,10.); #105765=VECTOR('',#376778,10.); #105766=VECTOR('',#376779,10.); #105767=VECTOR('',#376782,10.); #105768=VECTOR('',#376783,10.); #105769=VECTOR('',#376784,10.); #105770=VECTOR('',#376787,10.); #105771=VECTOR('',#376788,10.); #105772=VECTOR('',#376789,10.); #105773=VECTOR('',#376792,10.); #105774=VECTOR('',#376793,10.); #105775=VECTOR('',#376794,10.); #105776=VECTOR('',#376797,10.); #105777=VECTOR('',#376798,10.); #105778=VECTOR('',#376799,10.); #105779=VECTOR('',#376802,10.); #105780=VECTOR('',#376803,10.); #105781=VECTOR('',#376804,10.); #105782=VECTOR('',#376807,10.); #105783=VECTOR('',#376808,10.); #105784=VECTOR('',#376809,10.); #105785=VECTOR('',#376812,10.); #105786=VECTOR('',#376813,10.); #105787=VECTOR('',#376814,10.); #105788=VECTOR('',#376817,10.); #105789=VECTOR('',#376818,10.); #105790=VECTOR('',#376819,10.); #105791=VECTOR('',#376822,10.); #105792=VECTOR('',#376823,10.); #105793=VECTOR('',#376824,10.); #105794=VECTOR('',#376827,10.); #105795=VECTOR('',#376828,10.); #105796=VECTOR('',#376829,10.); #105797=VECTOR('',#376832,10.); #105798=VECTOR('',#376833,10.); #105799=VECTOR('',#376834,10.); #105800=VECTOR('',#376837,10.); #105801=VECTOR('',#376838,10.); #105802=VECTOR('',#376839,10.); #105803=VECTOR('',#376842,10.); #105804=VECTOR('',#376843,10.); #105805=VECTOR('',#376844,10.); #105806=VECTOR('',#376847,10.); #105807=VECTOR('',#376848,10.); #105808=VECTOR('',#376849,10.); #105809=VECTOR('',#376852,10.); #105810=VECTOR('',#376853,10.); #105811=VECTOR('',#376854,10.); #105812=VECTOR('',#376857,10.); #105813=VECTOR('',#376858,10.); #105814=VECTOR('',#376859,10.); #105815=VECTOR('',#376862,10.); #105816=VECTOR('',#376863,10.); #105817=VECTOR('',#376864,10.); #105818=VECTOR('',#376867,10.); #105819=VECTOR('',#376868,10.); #105820=VECTOR('',#376869,10.); #105821=VECTOR('',#376872,10.); #105822=VECTOR('',#376873,10.); #105823=VECTOR('',#376874,10.); #105824=VECTOR('',#376877,10.); #105825=VECTOR('',#376878,10.); #105826=VECTOR('',#376879,10.); #105827=VECTOR('',#376882,10.); #105828=VECTOR('',#376883,10.); #105829=VECTOR('',#376884,10.); #105830=VECTOR('',#376887,10.); #105831=VECTOR('',#376888,10.); #105832=VECTOR('',#376889,10.); #105833=VECTOR('',#376892,10.); #105834=VECTOR('',#376893,10.); #105835=VECTOR('',#376894,10.); #105836=VECTOR('',#376897,10.); #105837=VECTOR('',#376898,10.); #105838=VECTOR('',#376899,10.); #105839=VECTOR('',#376902,10.); #105840=VECTOR('',#376903,10.); #105841=VECTOR('',#376904,10.); #105842=VECTOR('',#376907,10.); #105843=VECTOR('',#376908,10.); #105844=VECTOR('',#376909,10.); #105845=VECTOR('',#376912,10.); #105846=VECTOR('',#376913,10.); #105847=VECTOR('',#376914,10.); #105848=VECTOR('',#376917,10.); #105849=VECTOR('',#376918,10.); #105850=VECTOR('',#376919,10.); #105851=VECTOR('',#376922,10.); #105852=VECTOR('',#376923,10.); #105853=VECTOR('',#376924,10.); #105854=VECTOR('',#376927,10.); #105855=VECTOR('',#376928,10.); #105856=VECTOR('',#376929,10.); #105857=VECTOR('',#376932,10.); #105858=VECTOR('',#376933,10.); #105859=VECTOR('',#376934,10.); #105860=VECTOR('',#376937,10.); #105861=VECTOR('',#376938,10.); #105862=VECTOR('',#376939,10.); #105863=VECTOR('',#376942,10.); #105864=VECTOR('',#376943,10.); #105865=VECTOR('',#376944,10.); #105866=VECTOR('',#376947,10.); #105867=VECTOR('',#376948,10.); #105868=VECTOR('',#376949,10.); #105869=VECTOR('',#376952,10.); #105870=VECTOR('',#376953,10.); #105871=VECTOR('',#376954,10.); #105872=VECTOR('',#376957,10.); #105873=VECTOR('',#376958,10.); #105874=VECTOR('',#376959,10.); #105875=VECTOR('',#376962,10.); #105876=VECTOR('',#376963,10.); #105877=VECTOR('',#376964,10.); #105878=VECTOR('',#376967,10.); #105879=VECTOR('',#376968,10.); #105880=VECTOR('',#376969,10.); #105881=VECTOR('',#376972,10.); #105882=VECTOR('',#376973,10.); #105883=VECTOR('',#376974,10.); #105884=VECTOR('',#376977,10.); #105885=VECTOR('',#376978,10.); #105886=VECTOR('',#376979,10.); #105887=VECTOR('',#376982,10.); #105888=VECTOR('',#376983,10.); #105889=VECTOR('',#376984,10.); #105890=VECTOR('',#376987,10.); #105891=VECTOR('',#376988,10.); #105892=VECTOR('',#376989,10.); #105893=VECTOR('',#376992,10.); #105894=VECTOR('',#376993,10.); #105895=VECTOR('',#376994,10.); #105896=VECTOR('',#376997,10.); #105897=VECTOR('',#376998,10.); #105898=VECTOR('',#376999,10.); #105899=VECTOR('',#377002,10.); #105900=VECTOR('',#377003,10.); #105901=VECTOR('',#377004,10.); #105902=VECTOR('',#377007,10.); #105903=VECTOR('',#377008,10.); #105904=VECTOR('',#377009,10.); #105905=VECTOR('',#377012,10.); #105906=VECTOR('',#377013,10.); #105907=VECTOR('',#377014,10.); #105908=VECTOR('',#377017,10.); #105909=VECTOR('',#377018,10.); #105910=VECTOR('',#377019,10.); #105911=VECTOR('',#377022,10.); #105912=VECTOR('',#377023,10.); #105913=VECTOR('',#377024,10.); #105914=VECTOR('',#377027,10.); #105915=VECTOR('',#377028,10.); #105916=VECTOR('',#377029,10.); #105917=VECTOR('',#377032,10.); #105918=VECTOR('',#377033,10.); #105919=VECTOR('',#377034,10.); #105920=VECTOR('',#377037,10.); #105921=VECTOR('',#377038,10.); #105922=VECTOR('',#377039,10.); #105923=VECTOR('',#377042,10.); #105924=VECTOR('',#377043,10.); #105925=VECTOR('',#377044,10.); #105926=VECTOR('',#377047,10.); #105927=VECTOR('',#377048,10.); #105928=VECTOR('',#377049,10.); #105929=VECTOR('',#377052,10.); #105930=VECTOR('',#377053,10.); #105931=VECTOR('',#377054,10.); #105932=VECTOR('',#377057,10.); #105933=VECTOR('',#377058,10.); #105934=VECTOR('',#377059,10.); #105935=VECTOR('',#377062,10.); #105936=VECTOR('',#377063,10.); #105937=VECTOR('',#377064,10.); #105938=VECTOR('',#377067,10.); #105939=VECTOR('',#377068,10.); #105940=VECTOR('',#377069,10.); #105941=VECTOR('',#377072,10.); #105942=VECTOR('',#377073,10.); #105943=VECTOR('',#377074,10.); #105944=VECTOR('',#377077,10.); #105945=VECTOR('',#377078,10.); #105946=VECTOR('',#377079,10.); #105947=VECTOR('',#377082,10.); #105948=VECTOR('',#377083,10.); #105949=VECTOR('',#377084,10.); #105950=VECTOR('',#377087,10.); #105951=VECTOR('',#377088,10.); #105952=VECTOR('',#377089,10.); #105953=VECTOR('',#377092,10.); #105954=VECTOR('',#377093,10.); #105955=VECTOR('',#377094,10.); #105956=VECTOR('',#377097,10.); #105957=VECTOR('',#377098,10.); #105958=VECTOR('',#377099,10.); #105959=VECTOR('',#377102,10.); #105960=VECTOR('',#377103,10.); #105961=VECTOR('',#377104,10.); #105962=VECTOR('',#377107,10.); #105963=VECTOR('',#377108,10.); #105964=VECTOR('',#377109,10.); #105965=VECTOR('',#377112,10.); #105966=VECTOR('',#377113,10.); #105967=VECTOR('',#377114,10.); #105968=VECTOR('',#377117,10.); #105969=VECTOR('',#377118,10.); #105970=VECTOR('',#377119,10.); #105971=VECTOR('',#377122,10.); #105972=VECTOR('',#377123,10.); #105973=VECTOR('',#377124,10.); #105974=VECTOR('',#377127,10.); #105975=VECTOR('',#377128,10.); #105976=VECTOR('',#377129,10.); #105977=VECTOR('',#377132,10.); #105978=VECTOR('',#377133,10.); #105979=VECTOR('',#377134,10.); #105980=VECTOR('',#377137,10.); #105981=VECTOR('',#377138,10.); #105982=VECTOR('',#377139,10.); #105983=VECTOR('',#377142,10.); #105984=VECTOR('',#377143,10.); #105985=VECTOR('',#377144,10.); #105986=VECTOR('',#377147,10.); #105987=VECTOR('',#377148,10.); #105988=VECTOR('',#377149,10.); #105989=VECTOR('',#377152,10.); #105990=VECTOR('',#377153,10.); #105991=VECTOR('',#377154,10.); #105992=VECTOR('',#377157,10.); #105993=VECTOR('',#377158,10.); #105994=VECTOR('',#377159,10.); #105995=VECTOR('',#377162,10.); #105996=VECTOR('',#377163,10.); #105997=VECTOR('',#377164,10.); #105998=VECTOR('',#377167,10.); #105999=VECTOR('',#377168,10.); #106000=VECTOR('',#377169,10.); #106001=VECTOR('',#377172,10.); #106002=VECTOR('',#377173,10.); #106003=VECTOR('',#377174,10.); #106004=VECTOR('',#377177,10.); #106005=VECTOR('',#377178,10.); #106006=VECTOR('',#377179,10.); #106007=VECTOR('',#377182,10.); #106008=VECTOR('',#377183,10.); #106009=VECTOR('',#377184,10.); #106010=VECTOR('',#377187,10.); #106011=VECTOR('',#377188,10.); #106012=VECTOR('',#377189,10.); #106013=VECTOR('',#377192,10.); #106014=VECTOR('',#377193,10.); #106015=VECTOR('',#377194,10.); #106016=VECTOR('',#377197,10.); #106017=VECTOR('',#377198,10.); #106018=VECTOR('',#377199,10.); #106019=VECTOR('',#377202,10.); #106020=VECTOR('',#377203,10.); #106021=VECTOR('',#377204,10.); #106022=VECTOR('',#377207,10.); #106023=VECTOR('',#377208,10.); #106024=VECTOR('',#377209,10.); #106025=VECTOR('',#377212,10.); #106026=VECTOR('',#377213,10.); #106027=VECTOR('',#377214,10.); #106028=VECTOR('',#377217,10.); #106029=VECTOR('',#377218,10.); #106030=VECTOR('',#377219,10.); #106031=VECTOR('',#377222,10.); #106032=VECTOR('',#377223,10.); #106033=VECTOR('',#377224,10.); #106034=VECTOR('',#377227,10.); #106035=VECTOR('',#377228,10.); #106036=VECTOR('',#377229,10.); #106037=VECTOR('',#377232,10.); #106038=VECTOR('',#377233,10.); #106039=VECTOR('',#377234,10.); #106040=VECTOR('',#377237,10.); #106041=VECTOR('',#377238,10.); #106042=VECTOR('',#377239,10.); #106043=VECTOR('',#377242,10.); #106044=VECTOR('',#377243,10.); #106045=VECTOR('',#377244,10.); #106046=VECTOR('',#377247,10.); #106047=VECTOR('',#377248,10.); #106048=VECTOR('',#377249,10.); #106049=VECTOR('',#377252,10.); #106050=VECTOR('',#377253,10.); #106051=VECTOR('',#377254,10.); #106052=VECTOR('',#377257,10.); #106053=VECTOR('',#377258,10.); #106054=VECTOR('',#377259,10.); #106055=VECTOR('',#377262,10.); #106056=VECTOR('',#377263,10.); #106057=VECTOR('',#377264,10.); #106058=VECTOR('',#377267,10.); #106059=VECTOR('',#377268,10.); #106060=VECTOR('',#377269,10.); #106061=VECTOR('',#377272,10.); #106062=VECTOR('',#377273,10.); #106063=VECTOR('',#377274,10.); #106064=VECTOR('',#377277,10.); #106065=VECTOR('',#377278,10.); #106066=VECTOR('',#377279,10.); #106067=VECTOR('',#377282,10.); #106068=VECTOR('',#377283,10.); #106069=VECTOR('',#377284,10.); #106070=VECTOR('',#377287,10.); #106071=VECTOR('',#377288,10.); #106072=VECTOR('',#377289,10.); #106073=VECTOR('',#377292,10.); #106074=VECTOR('',#377293,10.); #106075=VECTOR('',#377294,10.); #106076=VECTOR('',#377297,10.); #106077=VECTOR('',#377298,10.); #106078=VECTOR('',#377299,10.); #106079=VECTOR('',#377302,10.); #106080=VECTOR('',#377303,10.); #106081=VECTOR('',#377304,10.); #106082=VECTOR('',#377307,10.); #106083=VECTOR('',#377308,10.); #106084=VECTOR('',#377309,10.); #106085=VECTOR('',#377312,10.); #106086=VECTOR('',#377313,10.); #106087=VECTOR('',#377314,10.); #106088=VECTOR('',#377317,10.); #106089=VECTOR('',#377318,10.); #106090=VECTOR('',#377319,10.); #106091=VECTOR('',#377322,10.); #106092=VECTOR('',#377323,10.); #106093=VECTOR('',#377324,10.); #106094=VECTOR('',#377327,10.); #106095=VECTOR('',#377328,10.); #106096=VECTOR('',#377329,10.); #106097=VECTOR('',#377332,10.); #106098=VECTOR('',#377333,10.); #106099=VECTOR('',#377334,10.); #106100=VECTOR('',#377337,10.); #106101=VECTOR('',#377338,10.); #106102=VECTOR('',#377339,10.); #106103=VECTOR('',#377342,10.); #106104=VECTOR('',#377343,10.); #106105=VECTOR('',#377344,10.); #106106=VECTOR('',#377347,10.); #106107=VECTOR('',#377348,10.); #106108=VECTOR('',#377349,10.); #106109=VECTOR('',#377352,10.); #106110=VECTOR('',#377353,10.); #106111=VECTOR('',#377354,10.); #106112=VECTOR('',#377357,10.); #106113=VECTOR('',#377358,10.); #106114=VECTOR('',#377359,10.); #106115=VECTOR('',#377362,10.); #106116=VECTOR('',#377363,10.); #106117=VECTOR('',#377364,10.); #106118=VECTOR('',#377367,10.); #106119=VECTOR('',#377368,10.); #106120=VECTOR('',#377369,10.); #106121=VECTOR('',#377372,10.); #106122=VECTOR('',#377373,10.); #106123=VECTOR('',#377374,10.); #106124=VECTOR('',#377377,10.); #106125=VECTOR('',#377378,10.); #106126=VECTOR('',#377379,10.); #106127=VECTOR('',#377382,10.); #106128=VECTOR('',#377383,10.); #106129=VECTOR('',#377384,10.); #106130=VECTOR('',#377387,10.); #106131=VECTOR('',#377388,10.); #106132=VECTOR('',#377389,10.); #106133=VECTOR('',#377392,10.); #106134=VECTOR('',#377393,10.); #106135=VECTOR('',#377394,10.); #106136=VECTOR('',#377397,10.); #106137=VECTOR('',#377398,10.); #106138=VECTOR('',#377399,10.); #106139=VECTOR('',#377402,10.); #106140=VECTOR('',#377403,10.); #106141=VECTOR('',#377404,10.); #106142=VECTOR('',#377407,10.); #106143=VECTOR('',#377408,10.); #106144=VECTOR('',#377409,10.); #106145=VECTOR('',#377412,10.); #106146=VECTOR('',#377413,10.); #106147=VECTOR('',#377414,10.); #106148=VECTOR('',#377417,10.); #106149=VECTOR('',#377418,10.); #106150=VECTOR('',#377419,10.); #106151=VECTOR('',#377422,10.); #106152=VECTOR('',#377423,10.); #106153=VECTOR('',#377424,10.); #106154=VECTOR('',#377427,10.); #106155=VECTOR('',#377428,10.); #106156=VECTOR('',#377429,10.); #106157=VECTOR('',#377432,10.); #106158=VECTOR('',#377433,10.); #106159=VECTOR('',#377434,10.); #106160=VECTOR('',#377437,10.); #106161=VECTOR('',#377438,10.); #106162=VECTOR('',#377439,10.); #106163=VECTOR('',#377442,10.); #106164=VECTOR('',#377443,10.); #106165=VECTOR('',#377444,10.); #106166=VECTOR('',#377447,10.); #106167=VECTOR('',#377448,10.); #106168=VECTOR('',#377449,10.); #106169=VECTOR('',#377452,10.); #106170=VECTOR('',#377453,10.); #106171=VECTOR('',#377454,10.); #106172=VECTOR('',#377457,10.); #106173=VECTOR('',#377458,10.); #106174=VECTOR('',#377459,10.); #106175=VECTOR('',#377462,10.); #106176=VECTOR('',#377463,10.); #106177=VECTOR('',#377464,10.); #106178=VECTOR('',#377467,10.); #106179=VECTOR('',#377468,10.); #106180=VECTOR('',#377469,10.); #106181=VECTOR('',#377472,10.); #106182=VECTOR('',#377473,10.); #106183=VECTOR('',#377474,10.); #106184=VECTOR('',#377477,10.); #106185=VECTOR('',#377478,10.); #106186=VECTOR('',#377479,10.); #106187=VECTOR('',#377482,10.); #106188=VECTOR('',#377483,10.); #106189=VECTOR('',#377484,10.); #106190=VECTOR('',#377487,10.); #106191=VECTOR('',#377488,10.); #106192=VECTOR('',#377489,10.); #106193=VECTOR('',#377492,10.); #106194=VECTOR('',#377493,10.); #106195=VECTOR('',#377494,10.); #106196=VECTOR('',#377497,10.); #106197=VECTOR('',#377498,10.); #106198=VECTOR('',#377499,10.); #106199=VECTOR('',#377502,10.); #106200=VECTOR('',#377503,10.); #106201=VECTOR('',#377504,10.); #106202=VECTOR('',#377507,10.); #106203=VECTOR('',#377508,10.); #106204=VECTOR('',#377509,10.); #106205=VECTOR('',#377512,10.); #106206=VECTOR('',#377513,10.); #106207=VECTOR('',#377514,10.); #106208=VECTOR('',#377517,10.); #106209=VECTOR('',#377518,10.); #106210=VECTOR('',#377519,10.); #106211=VECTOR('',#377522,10.); #106212=VECTOR('',#377523,10.); #106213=VECTOR('',#377524,10.); #106214=VECTOR('',#377527,10.); #106215=VECTOR('',#377528,10.); #106216=VECTOR('',#377529,10.); #106217=VECTOR('',#377532,10.); #106218=VECTOR('',#377533,10.); #106219=VECTOR('',#377534,10.); #106220=VECTOR('',#377537,10.); #106221=VECTOR('',#377538,10.); #106222=VECTOR('',#377539,10.); #106223=VECTOR('',#377542,10.); #106224=VECTOR('',#377543,10.); #106225=VECTOR('',#377544,10.); #106226=VECTOR('',#377547,10.); #106227=VECTOR('',#377548,10.); #106228=VECTOR('',#377549,10.); #106229=VECTOR('',#377552,10.); #106230=VECTOR('',#377553,10.); #106231=VECTOR('',#377554,10.); #106232=VECTOR('',#377557,10.); #106233=VECTOR('',#377558,10.); #106234=VECTOR('',#377559,10.); #106235=VECTOR('',#377562,10.); #106236=VECTOR('',#377563,10.); #106237=VECTOR('',#377564,10.); #106238=VECTOR('',#377567,10.); #106239=VECTOR('',#377568,10.); #106240=VECTOR('',#377569,10.); #106241=VECTOR('',#377572,10.); #106242=VECTOR('',#377573,10.); #106243=VECTOR('',#377574,10.); #106244=VECTOR('',#377577,10.); #106245=VECTOR('',#377578,10.); #106246=VECTOR('',#377579,10.); #106247=VECTOR('',#377582,10.); #106248=VECTOR('',#377583,10.); #106249=VECTOR('',#377584,10.); #106250=VECTOR('',#377587,10.); #106251=VECTOR('',#377588,10.); #106252=VECTOR('',#377589,10.); #106253=VECTOR('',#377592,10.); #106254=VECTOR('',#377593,10.); #106255=VECTOR('',#377594,10.); #106256=VECTOR('',#377597,10.); #106257=VECTOR('',#377598,10.); #106258=VECTOR('',#377599,10.); #106259=VECTOR('',#377602,10.); #106260=VECTOR('',#377603,10.); #106261=VECTOR('',#377604,10.); #106262=VECTOR('',#377607,10.); #106263=VECTOR('',#377608,10.); #106264=VECTOR('',#377609,10.); #106265=VECTOR('',#377612,10.); #106266=VECTOR('',#377613,10.); #106267=VECTOR('',#377614,10.); #106268=VECTOR('',#377617,10.); #106269=VECTOR('',#377618,10.); #106270=VECTOR('',#377619,10.); #106271=VECTOR('',#377622,10.); #106272=VECTOR('',#377623,10.); #106273=VECTOR('',#377624,10.); #106274=VECTOR('',#377627,10.); #106275=VECTOR('',#377628,10.); #106276=VECTOR('',#377629,10.); #106277=VECTOR('',#377632,10.); #106278=VECTOR('',#377633,10.); #106279=VECTOR('',#377634,10.); #106280=VECTOR('',#377637,10.); #106281=VECTOR('',#377638,10.); #106282=VECTOR('',#377639,10.); #106283=VECTOR('',#377642,10.); #106284=VECTOR('',#377643,10.); #106285=VECTOR('',#377644,10.); #106286=VECTOR('',#377647,10.); #106287=VECTOR('',#377648,10.); #106288=VECTOR('',#377649,10.); #106289=VECTOR('',#377652,10.); #106290=VECTOR('',#377653,10.); #106291=VECTOR('',#377654,10.); #106292=VECTOR('',#377657,10.); #106293=VECTOR('',#377658,10.); #106294=VECTOR('',#377659,10.); #106295=VECTOR('',#377662,10.); #106296=VECTOR('',#377663,10.); #106297=VECTOR('',#377664,10.); #106298=VECTOR('',#377667,10.); #106299=VECTOR('',#377668,10.); #106300=VECTOR('',#377669,10.); #106301=VECTOR('',#377672,10.); #106302=VECTOR('',#377673,10.); #106303=VECTOR('',#377674,10.); #106304=VECTOR('',#377677,10.); #106305=VECTOR('',#377678,10.); #106306=VECTOR('',#377679,10.); #106307=VECTOR('',#377682,10.); #106308=VECTOR('',#377683,10.); #106309=VECTOR('',#377684,10.); #106310=VECTOR('',#377687,10.); #106311=VECTOR('',#377688,10.); #106312=VECTOR('',#377689,10.); #106313=VECTOR('',#377692,10.); #106314=VECTOR('',#377693,10.); #106315=VECTOR('',#377694,10.); #106316=VECTOR('',#377697,10.); #106317=VECTOR('',#377698,10.); #106318=VECTOR('',#377699,10.); #106319=VECTOR('',#377702,10.); #106320=VECTOR('',#377703,10.); #106321=VECTOR('',#377704,10.); #106322=VECTOR('',#377707,10.); #106323=VECTOR('',#377708,10.); #106324=VECTOR('',#377709,10.); #106325=VECTOR('',#377712,10.); #106326=VECTOR('',#377713,10.); #106327=VECTOR('',#377714,10.); #106328=VECTOR('',#377717,10.); #106329=VECTOR('',#377718,10.); #106330=VECTOR('',#377719,10.); #106331=VECTOR('',#377722,10.); #106332=VECTOR('',#377723,10.); #106333=VECTOR('',#377724,10.); #106334=VECTOR('',#377727,10.); #106335=VECTOR('',#377728,10.); #106336=VECTOR('',#377729,10.); #106337=VECTOR('',#377732,10.); #106338=VECTOR('',#377733,10.); #106339=VECTOR('',#377734,10.); #106340=VECTOR('',#377737,10.); #106341=VECTOR('',#377738,10.); #106342=VECTOR('',#377739,10.); #106343=VECTOR('',#377742,10.); #106344=VECTOR('',#377743,10.); #106345=VECTOR('',#377744,10.); #106346=VECTOR('',#377747,10.); #106347=VECTOR('',#377748,10.); #106348=VECTOR('',#377749,10.); #106349=VECTOR('',#377752,10.); #106350=VECTOR('',#377753,10.); #106351=VECTOR('',#377754,10.); #106352=VECTOR('',#377757,10.); #106353=VECTOR('',#377758,10.); #106354=VECTOR('',#377759,10.); #106355=VECTOR('',#377762,10.); #106356=VECTOR('',#377763,10.); #106357=VECTOR('',#377764,10.); #106358=VECTOR('',#377767,10.); #106359=VECTOR('',#377768,10.); #106360=VECTOR('',#377769,10.); #106361=VECTOR('',#377772,10.); #106362=VECTOR('',#377773,10.); #106363=VECTOR('',#377774,10.); #106364=VECTOR('',#377777,10.); #106365=VECTOR('',#377778,10.); #106366=VECTOR('',#377779,10.); #106367=VECTOR('',#377782,10.); #106368=VECTOR('',#377783,10.); #106369=VECTOR('',#377784,10.); #106370=VECTOR('',#377787,10.); #106371=VECTOR('',#377788,10.); #106372=VECTOR('',#377789,10.); #106373=VECTOR('',#377792,10.); #106374=VECTOR('',#377793,10.); #106375=VECTOR('',#377794,10.); #106376=VECTOR('',#377797,10.); #106377=VECTOR('',#377798,10.); #106378=VECTOR('',#377799,10.); #106379=VECTOR('',#377802,10.); #106380=VECTOR('',#377803,10.); #106381=VECTOR('',#377804,10.); #106382=VECTOR('',#377807,10.); #106383=VECTOR('',#377808,10.); #106384=VECTOR('',#377809,10.); #106385=VECTOR('',#377812,10.); #106386=VECTOR('',#377813,10.); #106387=VECTOR('',#377814,10.); #106388=VECTOR('',#377817,10.); #106389=VECTOR('',#377818,10.); #106390=VECTOR('',#377819,10.); #106391=VECTOR('',#377822,10.); #106392=VECTOR('',#377823,10.); #106393=VECTOR('',#377824,10.); #106394=VECTOR('',#377827,10.); #106395=VECTOR('',#377828,10.); #106396=VECTOR('',#377829,10.); #106397=VECTOR('',#377832,10.); #106398=VECTOR('',#377833,10.); #106399=VECTOR('',#377834,10.); #106400=VECTOR('',#377837,10.); #106401=VECTOR('',#377838,10.); #106402=VECTOR('',#377839,10.); #106403=VECTOR('',#377842,10.); #106404=VECTOR('',#377843,10.); #106405=VECTOR('',#377844,10.); #106406=VECTOR('',#377847,10.); #106407=VECTOR('',#377848,10.); #106408=VECTOR('',#377849,10.); #106409=VECTOR('',#377852,10.); #106410=VECTOR('',#377853,10.); #106411=VECTOR('',#377854,10.); #106412=VECTOR('',#377857,10.); #106413=VECTOR('',#377858,10.); #106414=VECTOR('',#377859,10.); #106415=VECTOR('',#377862,10.); #106416=VECTOR('',#377863,10.); #106417=VECTOR('',#377864,10.); #106418=VECTOR('',#377867,10.); #106419=VECTOR('',#377868,10.); #106420=VECTOR('',#377869,10.); #106421=VECTOR('',#377872,10.); #106422=VECTOR('',#377873,10.); #106423=VECTOR('',#377874,10.); #106424=VECTOR('',#377877,10.); #106425=VECTOR('',#377878,10.); #106426=VECTOR('',#377879,10.); #106427=VECTOR('',#377882,10.); #106428=VECTOR('',#377883,10.); #106429=VECTOR('',#377884,10.); #106430=VECTOR('',#377887,10.); #106431=VECTOR('',#377888,10.); #106432=VECTOR('',#377889,10.); #106433=VECTOR('',#377892,10.); #106434=VECTOR('',#377893,10.); #106435=VECTOR('',#377894,10.); #106436=VECTOR('',#377897,10.); #106437=VECTOR('',#377898,10.); #106438=VECTOR('',#377899,10.); #106439=VECTOR('',#377902,10.); #106440=VECTOR('',#377903,10.); #106441=VECTOR('',#377904,10.); #106442=VECTOR('',#377907,10.); #106443=VECTOR('',#377908,10.); #106444=VECTOR('',#377909,10.); #106445=VECTOR('',#377912,10.); #106446=VECTOR('',#377913,10.); #106447=VECTOR('',#377914,10.); #106448=VECTOR('',#377917,10.); #106449=VECTOR('',#377918,10.); #106450=VECTOR('',#377919,10.); #106451=VECTOR('',#377922,10.); #106452=VECTOR('',#377923,10.); #106453=VECTOR('',#377924,10.); #106454=VECTOR('',#377927,10.); #106455=VECTOR('',#377928,10.); #106456=VECTOR('',#377929,10.); #106457=VECTOR('',#377932,10.); #106458=VECTOR('',#377933,10.); #106459=VECTOR('',#377934,10.); #106460=VECTOR('',#377937,10.); #106461=VECTOR('',#377938,10.); #106462=VECTOR('',#377939,10.); #106463=VECTOR('',#377942,10.); #106464=VECTOR('',#377943,10.); #106465=VECTOR('',#377944,10.); #106466=VECTOR('',#377947,10.); #106467=VECTOR('',#377948,10.); #106468=VECTOR('',#377949,10.); #106469=VECTOR('',#377952,10.); #106470=VECTOR('',#377953,10.); #106471=VECTOR('',#377954,10.); #106472=VECTOR('',#377957,10.); #106473=VECTOR('',#377958,10.); #106474=VECTOR('',#377959,10.); #106475=VECTOR('',#377962,10.); #106476=VECTOR('',#377963,10.); #106477=VECTOR('',#377964,10.); #106478=VECTOR('',#377967,10.); #106479=VECTOR('',#377968,10.); #106480=VECTOR('',#377969,10.); #106481=VECTOR('',#377972,10.); #106482=VECTOR('',#377973,10.); #106483=VECTOR('',#377974,10.); #106484=VECTOR('',#377977,10.); #106485=VECTOR('',#377978,10.); #106486=VECTOR('',#377979,10.); #106487=VECTOR('',#377982,10.); #106488=VECTOR('',#377983,10.); #106489=VECTOR('',#377984,10.); #106490=VECTOR('',#377987,10.); #106491=VECTOR('',#377988,10.); #106492=VECTOR('',#377989,10.); #106493=VECTOR('',#377992,10.); #106494=VECTOR('',#377993,10.); #106495=VECTOR('',#377994,10.); #106496=VECTOR('',#377997,10.); #106497=VECTOR('',#377998,10.); #106498=VECTOR('',#377999,10.); #106499=VECTOR('',#378002,10.); #106500=VECTOR('',#378003,10.); #106501=VECTOR('',#378004,10.); #106502=VECTOR('',#378007,10.); #106503=VECTOR('',#378008,10.); #106504=VECTOR('',#378009,10.); #106505=VECTOR('',#378012,10.); #106506=VECTOR('',#378013,10.); #106507=VECTOR('',#378014,10.); #106508=VECTOR('',#378017,10.); #106509=VECTOR('',#378018,10.); #106510=VECTOR('',#378019,10.); #106511=VECTOR('',#378022,10.); #106512=VECTOR('',#378023,10.); #106513=VECTOR('',#378024,10.); #106514=VECTOR('',#378027,10.); #106515=VECTOR('',#378028,10.); #106516=VECTOR('',#378035,10.); #106517=VECTOR('',#378036,10.); #106518=VECTOR('',#378037,10.); #106519=VECTOR('',#378038,10.); #106520=VECTOR('',#378041,10.); #106521=VECTOR('',#378042,10.); #106522=VECTOR('',#378043,10.); #106523=VECTOR('',#378046,10.); #106524=VECTOR('',#378047,10.); #106525=VECTOR('',#378048,10.); #106526=VECTOR('',#378051,10.); #106527=VECTOR('',#378052,10.); #106528=VECTOR('',#378053,10.); #106529=VECTOR('',#378056,10.); #106530=VECTOR('',#378057,10.); #106531=VECTOR('',#378058,10.); #106532=VECTOR('',#378061,10.); #106533=VECTOR('',#378062,10.); #106534=VECTOR('',#378063,10.); #106535=VECTOR('',#378066,10.); #106536=VECTOR('',#378067,10.); #106537=VECTOR('',#378068,10.); #106538=VECTOR('',#378071,10.); #106539=VECTOR('',#378072,10.); #106540=VECTOR('',#378073,10.); #106541=VECTOR('',#378076,10.); #106542=VECTOR('',#378077,10.); #106543=VECTOR('',#378078,10.); #106544=VECTOR('',#378081,10.); #106545=VECTOR('',#378082,10.); #106546=VECTOR('',#378083,10.); #106547=VECTOR('',#378086,10.); #106548=VECTOR('',#378087,10.); #106549=VECTOR('',#378088,10.); #106550=VECTOR('',#378091,10.); #106551=VECTOR('',#378092,10.); #106552=VECTOR('',#378093,10.); #106553=VECTOR('',#378096,10.); #106554=VECTOR('',#378097,10.); #106555=VECTOR('',#378098,10.); #106556=VECTOR('',#378101,10.); #106557=VECTOR('',#378102,10.); #106558=VECTOR('',#378103,10.); #106559=VECTOR('',#378106,10.); #106560=VECTOR('',#378107,10.); #106561=VECTOR('',#378108,10.); #106562=VECTOR('',#378111,10.); #106563=VECTOR('',#378112,10.); #106564=VECTOR('',#378113,10.); #106565=VECTOR('',#378116,10.); #106566=VECTOR('',#378117,10.); #106567=VECTOR('',#378118,10.); #106568=VECTOR('',#378121,10.); #106569=VECTOR('',#378122,10.); #106570=VECTOR('',#378123,10.); #106571=VECTOR('',#378126,10.); #106572=VECTOR('',#378127,10.); #106573=VECTOR('',#378128,10.); #106574=VECTOR('',#378131,10.); #106575=VECTOR('',#378132,10.); #106576=VECTOR('',#378133,10.); #106577=VECTOR('',#378136,10.); #106578=VECTOR('',#378137,10.); #106579=VECTOR('',#378144,10.); #106580=VECTOR('',#378145,10.); #106581=VECTOR('',#378146,10.); #106582=VECTOR('',#378147,10.); #106583=VECTOR('',#378150,10.); #106584=VECTOR('',#378151,10.); #106585=VECTOR('',#378152,10.); #106586=VECTOR('',#378155,10.); #106587=VECTOR('',#378156,10.); #106588=VECTOR('',#378157,10.); #106589=VECTOR('',#378160,10.); #106590=VECTOR('',#378161,10.); #106591=VECTOR('',#378162,10.); #106592=VECTOR('',#378165,10.); #106593=VECTOR('',#378166,10.); #106594=VECTOR('',#378167,10.); #106595=VECTOR('',#378170,10.); #106596=VECTOR('',#378171,10.); #106597=VECTOR('',#378172,10.); #106598=VECTOR('',#378175,10.); #106599=VECTOR('',#378176,10.); #106600=VECTOR('',#378177,10.); #106601=VECTOR('',#378180,10.); #106602=VECTOR('',#378181,10.); #106603=VECTOR('',#378182,10.); #106604=VECTOR('',#378185,10.); #106605=VECTOR('',#378186,10.); #106606=VECTOR('',#378187,10.); #106607=VECTOR('',#378190,10.); #106608=VECTOR('',#378191,10.); #106609=VECTOR('',#378192,10.); #106610=VECTOR('',#378195,10.); #106611=VECTOR('',#378196,10.); #106612=VECTOR('',#378197,10.); #106613=VECTOR('',#378200,10.); #106614=VECTOR('',#378201,10.); #106615=VECTOR('',#378202,10.); #106616=VECTOR('',#378205,10.); #106617=VECTOR('',#378206,10.); #106618=VECTOR('',#378207,10.); #106619=VECTOR('',#378210,10.); #106620=VECTOR('',#378211,10.); #106621=VECTOR('',#378212,10.); #106622=VECTOR('',#378215,10.); #106623=VECTOR('',#378216,10.); #106624=VECTOR('',#378217,10.); #106625=VECTOR('',#378220,10.); #106626=VECTOR('',#378221,10.); #106627=VECTOR('',#378222,10.); #106628=VECTOR('',#378225,10.); #106629=VECTOR('',#378226,10.); #106630=VECTOR('',#378227,10.); #106631=VECTOR('',#378230,10.); #106632=VECTOR('',#378231,10.); #106633=VECTOR('',#378232,10.); #106634=VECTOR('',#378235,10.); #106635=VECTOR('',#378236,10.); #106636=VECTOR('',#378237,10.); #106637=VECTOR('',#378240,10.); #106638=VECTOR('',#378241,10.); #106639=VECTOR('',#378242,10.); #106640=VECTOR('',#378245,10.); #106641=VECTOR('',#378246,10.); #106642=VECTOR('',#378253,10.); #106643=VECTOR('',#378254,10.); #106644=VECTOR('',#378255,10.); #106645=VECTOR('',#378256,10.); #106646=VECTOR('',#378259,10.); #106647=VECTOR('',#378260,10.); #106648=VECTOR('',#378261,10.); #106649=VECTOR('',#378264,10.); #106650=VECTOR('',#378265,10.); #106651=VECTOR('',#378266,10.); #106652=VECTOR('',#378269,10.); #106653=VECTOR('',#378270,10.); #106654=VECTOR('',#378271,10.); #106655=VECTOR('',#378274,10.); #106656=VECTOR('',#378275,10.); #106657=VECTOR('',#378276,10.); #106658=VECTOR('',#378279,10.); #106659=VECTOR('',#378280,10.); #106660=VECTOR('',#378281,10.); #106661=VECTOR('',#378284,10.); #106662=VECTOR('',#378285,10.); #106663=VECTOR('',#378286,10.); #106664=VECTOR('',#378289,10.); #106665=VECTOR('',#378290,10.); #106666=VECTOR('',#378291,10.); #106667=VECTOR('',#378294,10.); #106668=VECTOR('',#378295,10.); #106669=VECTOR('',#378296,10.); #106670=VECTOR('',#378299,10.); #106671=VECTOR('',#378300,10.); #106672=VECTOR('',#378301,10.); #106673=VECTOR('',#378304,10.); #106674=VECTOR('',#378305,10.); #106675=VECTOR('',#378306,10.); #106676=VECTOR('',#378309,10.); #106677=VECTOR('',#378310,10.); #106678=VECTOR('',#378311,10.); #106679=VECTOR('',#378314,10.); #106680=VECTOR('',#378315,10.); #106681=VECTOR('',#378316,10.); #106682=VECTOR('',#378319,10.); #106683=VECTOR('',#378320,10.); #106684=VECTOR('',#378321,10.); #106685=VECTOR('',#378324,10.); #106686=VECTOR('',#378325,10.); #106687=VECTOR('',#378326,10.); #106688=VECTOR('',#378329,10.); #106689=VECTOR('',#378330,10.); #106690=VECTOR('',#378331,10.); #106691=VECTOR('',#378334,10.); #106692=VECTOR('',#378335,10.); #106693=VECTOR('',#378336,10.); #106694=VECTOR('',#378339,10.); #106695=VECTOR('',#378340,10.); #106696=VECTOR('',#378341,10.); #106697=VECTOR('',#378344,10.); #106698=VECTOR('',#378345,10.); #106699=VECTOR('',#378352,10.); #106700=VECTOR('',#378353,10.); #106701=VECTOR('',#378354,10.); #106702=VECTOR('',#378355,10.); #106703=VECTOR('',#378358,10.); #106704=VECTOR('',#378359,10.); #106705=VECTOR('',#378360,10.); #106706=VECTOR('',#378363,10.); #106707=VECTOR('',#378364,10.); #106708=VECTOR('',#378365,10.); #106709=VECTOR('',#378368,10.); #106710=VECTOR('',#378369,10.); #106711=VECTOR('',#378370,10.); #106712=VECTOR('',#378373,10.); #106713=VECTOR('',#378374,10.); #106714=VECTOR('',#378375,10.); #106715=VECTOR('',#378378,10.); #106716=VECTOR('',#378379,10.); #106717=VECTOR('',#378380,10.); #106718=VECTOR('',#378383,10.); #106719=VECTOR('',#378384,10.); #106720=VECTOR('',#378385,10.); #106721=VECTOR('',#378388,10.); #106722=VECTOR('',#378389,10.); #106723=VECTOR('',#378390,10.); #106724=VECTOR('',#378393,10.); #106725=VECTOR('',#378394,10.); #106726=VECTOR('',#378395,10.); #106727=VECTOR('',#378398,10.); #106728=VECTOR('',#378399,10.); #106729=VECTOR('',#378400,10.); #106730=VECTOR('',#378403,10.); #106731=VECTOR('',#378404,10.); #106732=VECTOR('',#378405,10.); #106733=VECTOR('',#378408,10.); #106734=VECTOR('',#378409,10.); #106735=VECTOR('',#378410,10.); #106736=VECTOR('',#378413,10.); #106737=VECTOR('',#378414,10.); #106738=VECTOR('',#378415,10.); #106739=VECTOR('',#378418,10.); #106740=VECTOR('',#378419,10.); #106741=VECTOR('',#378420,10.); #106742=VECTOR('',#378423,10.); #106743=VECTOR('',#378424,10.); #106744=VECTOR('',#378425,10.); #106745=VECTOR('',#378428,10.); #106746=VECTOR('',#378429,10.); #106747=VECTOR('',#378430,10.); #106748=VECTOR('',#378433,10.); #106749=VECTOR('',#378434,10.); #106750=VECTOR('',#378435,10.); #106751=VECTOR('',#378438,10.); #106752=VECTOR('',#378439,10.); #106753=VECTOR('',#378440,10.); #106754=VECTOR('',#378443,10.); #106755=VECTOR('',#378444,10.); #106756=VECTOR('',#378451,10.); #106757=VECTOR('',#378452,10.); #106758=VECTOR('',#378453,10.); #106759=VECTOR('',#378454,10.); #106760=VECTOR('',#378457,10.); #106761=VECTOR('',#378458,10.); #106762=VECTOR('',#378459,10.); #106763=VECTOR('',#378462,10.); #106764=VECTOR('',#378463,10.); #106765=VECTOR('',#378464,10.); #106766=VECTOR('',#378467,10.); #106767=VECTOR('',#378468,10.); #106768=VECTOR('',#378469,10.); #106769=VECTOR('',#378472,10.); #106770=VECTOR('',#378473,10.); #106771=VECTOR('',#378474,10.); #106772=VECTOR('',#378477,10.); #106773=VECTOR('',#378478,10.); #106774=VECTOR('',#378479,10.); #106775=VECTOR('',#378482,10.); #106776=VECTOR('',#378483,10.); #106777=VECTOR('',#378484,10.); #106778=VECTOR('',#378487,10.); #106779=VECTOR('',#378488,10.); #106780=VECTOR('',#378489,10.); #106781=VECTOR('',#378492,10.); #106782=VECTOR('',#378493,10.); #106783=VECTOR('',#378494,10.); #106784=VECTOR('',#378497,10.); #106785=VECTOR('',#378498,10.); #106786=VECTOR('',#378499,10.); #106787=VECTOR('',#378502,10.); #106788=VECTOR('',#378503,10.); #106789=VECTOR('',#378504,10.); #106790=VECTOR('',#378507,10.); #106791=VECTOR('',#378508,10.); #106792=VECTOR('',#378509,10.); #106793=VECTOR('',#378512,10.); #106794=VECTOR('',#378513,10.); #106795=VECTOR('',#378514,10.); #106796=VECTOR('',#378517,10.); #106797=VECTOR('',#378518,10.); #106798=VECTOR('',#378519,10.); #106799=VECTOR('',#378522,10.); #106800=VECTOR('',#378523,10.); #106801=VECTOR('',#378524,10.); #106802=VECTOR('',#378527,10.); #106803=VECTOR('',#378528,10.); #106804=VECTOR('',#378529,10.); #106805=VECTOR('',#378532,10.); #106806=VECTOR('',#378533,10.); #106807=VECTOR('',#378534,10.); #106808=VECTOR('',#378537,10.); #106809=VECTOR('',#378538,10.); #106810=VECTOR('',#378539,10.); #106811=VECTOR('',#378542,10.); #106812=VECTOR('',#378543,10.); #106813=VECTOR('',#378550,10.); #106814=VECTOR('',#378551,10.); #106815=VECTOR('',#378552,10.); #106816=VECTOR('',#378553,10.); #106817=VECTOR('',#378556,10.); #106818=VECTOR('',#378557,10.); #106819=VECTOR('',#378558,10.); #106820=VECTOR('',#378561,10.); #106821=VECTOR('',#378562,10.); #106822=VECTOR('',#378563,10.); #106823=VECTOR('',#378566,10.); #106824=VECTOR('',#378567,10.); #106825=VECTOR('',#378568,10.); #106826=VECTOR('',#378571,10.); #106827=VECTOR('',#378572,10.); #106828=VECTOR('',#378573,10.); #106829=VECTOR('',#378576,10.); #106830=VECTOR('',#378577,10.); #106831=VECTOR('',#378578,10.); #106832=VECTOR('',#378581,10.); #106833=VECTOR('',#378582,10.); #106834=VECTOR('',#378583,10.); #106835=VECTOR('',#378586,10.); #106836=VECTOR('',#378587,10.); #106837=VECTOR('',#378588,10.); #106838=VECTOR('',#378591,10.); #106839=VECTOR('',#378592,10.); #106840=VECTOR('',#378593,10.); #106841=VECTOR('',#378596,10.); #106842=VECTOR('',#378597,10.); #106843=VECTOR('',#378598,10.); #106844=VECTOR('',#378601,10.); #106845=VECTOR('',#378602,10.); #106846=VECTOR('',#378603,10.); #106847=VECTOR('',#378606,10.); #106848=VECTOR('',#378607,10.); #106849=VECTOR('',#378608,10.); #106850=VECTOR('',#378611,10.); #106851=VECTOR('',#378612,10.); #106852=VECTOR('',#378613,10.); #106853=VECTOR('',#378616,10.); #106854=VECTOR('',#378617,10.); #106855=VECTOR('',#378618,10.); #106856=VECTOR('',#378621,10.); #106857=VECTOR('',#378622,10.); #106858=VECTOR('',#378623,10.); #106859=VECTOR('',#378626,10.); #106860=VECTOR('',#378627,10.); #106861=VECTOR('',#378628,10.); #106862=VECTOR('',#378631,10.); #106863=VECTOR('',#378632,10.); #106864=VECTOR('',#378633,10.); #106865=VECTOR('',#378636,10.); #106866=VECTOR('',#378637,10.); #106867=VECTOR('',#378638,10.); #106868=VECTOR('',#378641,10.); #106869=VECTOR('',#378642,10.); #106870=VECTOR('',#378643,10.); #106871=VECTOR('',#378646,10.); #106872=VECTOR('',#378647,10.); #106873=VECTOR('',#378648,10.); #106874=VECTOR('',#378651,10.); #106875=VECTOR('',#378652,10.); #106876=VECTOR('',#378653,10.); #106877=VECTOR('',#378656,10.); #106878=VECTOR('',#378657,10.); #106879=VECTOR('',#378658,10.); #106880=VECTOR('',#378661,10.); #106881=VECTOR('',#378662,10.); #106882=VECTOR('',#378663,10.); #106883=VECTOR('',#378666,10.); #106884=VECTOR('',#378667,10.); #106885=VECTOR('',#378668,10.); #106886=VECTOR('',#378671,10.); #106887=VECTOR('',#378672,10.); #106888=VECTOR('',#378673,10.); #106889=VECTOR('',#378676,10.); #106890=VECTOR('',#378677,10.); #106891=VECTOR('',#378678,10.); #106892=VECTOR('',#378681,10.); #106893=VECTOR('',#378682,10.); #106894=VECTOR('',#378683,10.); #106895=VECTOR('',#378686,10.); #106896=VECTOR('',#378687,10.); #106897=VECTOR('',#378688,10.); #106898=VECTOR('',#378691,10.); #106899=VECTOR('',#378692,10.); #106900=VECTOR('',#378693,10.); #106901=VECTOR('',#378696,10.); #106902=VECTOR('',#378697,10.); #106903=VECTOR('',#378698,10.); #106904=VECTOR('',#378701,10.); #106905=VECTOR('',#378702,10.); #106906=VECTOR('',#378703,10.); #106907=VECTOR('',#378706,10.); #106908=VECTOR('',#378707,10.); #106909=VECTOR('',#378708,10.); #106910=VECTOR('',#378711,10.); #106911=VECTOR('',#378712,10.); #106912=VECTOR('',#378713,10.); #106913=VECTOR('',#378716,10.); #106914=VECTOR('',#378717,10.); #106915=VECTOR('',#378718,10.); #106916=VECTOR('',#378721,10.); #106917=VECTOR('',#378722,10.); #106918=VECTOR('',#378723,10.); #106919=VECTOR('',#378726,10.); #106920=VECTOR('',#378727,10.); #106921=VECTOR('',#378728,10.); #106922=VECTOR('',#378731,10.); #106923=VECTOR('',#378732,10.); #106924=VECTOR('',#378739,10.); #106925=VECTOR('',#378740,10.); #106926=VECTOR('',#378741,10.); #106927=VECTOR('',#378742,10.); #106928=VECTOR('',#378745,10.); #106929=VECTOR('',#378746,10.); #106930=VECTOR('',#378747,10.); #106931=VECTOR('',#378750,10.); #106932=VECTOR('',#378751,10.); #106933=VECTOR('',#378752,10.); #106934=VECTOR('',#378755,10.); #106935=VECTOR('',#378756,10.); #106936=VECTOR('',#378757,10.); #106937=VECTOR('',#378760,10.); #106938=VECTOR('',#378761,10.); #106939=VECTOR('',#378762,10.); #106940=VECTOR('',#378765,10.); #106941=VECTOR('',#378766,10.); #106942=VECTOR('',#378767,10.); #106943=VECTOR('',#378770,10.); #106944=VECTOR('',#378771,10.); #106945=VECTOR('',#378772,10.); #106946=VECTOR('',#378775,10.); #106947=VECTOR('',#378776,10.); #106948=VECTOR('',#378777,10.); #106949=VECTOR('',#378780,10.); #106950=VECTOR('',#378781,10.); #106951=VECTOR('',#378782,10.); #106952=VECTOR('',#378785,10.); #106953=VECTOR('',#378786,10.); #106954=VECTOR('',#378787,10.); #106955=VECTOR('',#378790,10.); #106956=VECTOR('',#378791,10.); #106957=VECTOR('',#378792,10.); #106958=VECTOR('',#378795,10.); #106959=VECTOR('',#378796,10.); #106960=VECTOR('',#378797,10.); #106961=VECTOR('',#378800,10.); #106962=VECTOR('',#378801,10.); #106963=VECTOR('',#378802,10.); #106964=VECTOR('',#378805,10.); #106965=VECTOR('',#378806,10.); #106966=VECTOR('',#378807,10.); #106967=VECTOR('',#378810,10.); #106968=VECTOR('',#378811,10.); #106969=VECTOR('',#378812,10.); #106970=VECTOR('',#378815,10.); #106971=VECTOR('',#378816,10.); #106972=VECTOR('',#378817,10.); #106973=VECTOR('',#378820,10.); #106974=VECTOR('',#378821,10.); #106975=VECTOR('',#378822,10.); #106976=VECTOR('',#378825,10.); #106977=VECTOR('',#378826,10.); #106978=VECTOR('',#378827,10.); #106979=VECTOR('',#378830,10.); #106980=VECTOR('',#378831,10.); #106981=VECTOR('',#378832,10.); #106982=VECTOR('',#378835,10.); #106983=VECTOR('',#378836,10.); #106984=VECTOR('',#378843,10.); #106985=VECTOR('',#378844,10.); #106986=VECTOR('',#378845,10.); #106987=VECTOR('',#378846,10.); #106988=VECTOR('',#378849,10.); #106989=VECTOR('',#378850,10.); #106990=VECTOR('',#378851,10.); #106991=VECTOR('',#378854,10.); #106992=VECTOR('',#378855,10.); #106993=VECTOR('',#378856,10.); #106994=VECTOR('',#378859,10.); #106995=VECTOR('',#378860,10.); #106996=VECTOR('',#378861,10.); #106997=VECTOR('',#378864,10.); #106998=VECTOR('',#378865,10.); #106999=VECTOR('',#378866,10.); #107000=VECTOR('',#378869,10.); #107001=VECTOR('',#378870,10.); #107002=VECTOR('',#378871,10.); #107003=VECTOR('',#378874,10.); #107004=VECTOR('',#378875,10.); #107005=VECTOR('',#378876,10.); #107006=VECTOR('',#378879,10.); #107007=VECTOR('',#378880,10.); #107008=VECTOR('',#378881,10.); #107009=VECTOR('',#378884,10.); #107010=VECTOR('',#378885,10.); #107011=VECTOR('',#378886,10.); #107012=VECTOR('',#378889,10.); #107013=VECTOR('',#378890,10.); #107014=VECTOR('',#378891,10.); #107015=VECTOR('',#378894,10.); #107016=VECTOR('',#378895,10.); #107017=VECTOR('',#378896,10.); #107018=VECTOR('',#378899,10.); #107019=VECTOR('',#378900,10.); #107020=VECTOR('',#378901,10.); #107021=VECTOR('',#378904,10.); #107022=VECTOR('',#378905,10.); #107023=VECTOR('',#378906,10.); #107024=VECTOR('',#378909,10.); #107025=VECTOR('',#378910,10.); #107026=VECTOR('',#378911,10.); #107027=VECTOR('',#378914,10.); #107028=VECTOR('',#378915,10.); #107029=VECTOR('',#378916,10.); #107030=VECTOR('',#378919,10.); #107031=VECTOR('',#378920,10.); #107032=VECTOR('',#378921,10.); #107033=VECTOR('',#378924,10.); #107034=VECTOR('',#378925,10.); #107035=VECTOR('',#378926,10.); #107036=VECTOR('',#378929,10.); #107037=VECTOR('',#378930,10.); #107038=VECTOR('',#378931,10.); #107039=VECTOR('',#378934,10.); #107040=VECTOR('',#378935,10.); #107041=VECTOR('',#378936,10.); #107042=VECTOR('',#378939,10.); #107043=VECTOR('',#378940,10.); #107044=VECTOR('',#378941,10.); #107045=VECTOR('',#378944,10.); #107046=VECTOR('',#378945,10.); #107047=VECTOR('',#378946,10.); #107048=VECTOR('',#378949,10.); #107049=VECTOR('',#378950,10.); #107050=VECTOR('',#378951,10.); #107051=VECTOR('',#378954,10.); #107052=VECTOR('',#378955,10.); #107053=VECTOR('',#378956,10.); #107054=VECTOR('',#378959,10.); #107055=VECTOR('',#378960,10.); #107056=VECTOR('',#378961,10.); #107057=VECTOR('',#378964,10.); #107058=VECTOR('',#378965,10.); #107059=VECTOR('',#378966,10.); #107060=VECTOR('',#378969,10.); #107061=VECTOR('',#378970,10.); #107062=VECTOR('',#378971,10.); #107063=VECTOR('',#378974,10.); #107064=VECTOR('',#378975,10.); #107065=VECTOR('',#378976,10.); #107066=VECTOR('',#378979,10.); #107067=VECTOR('',#378980,10.); #107068=VECTOR('',#378981,10.); #107069=VECTOR('',#378984,10.); #107070=VECTOR('',#378985,10.); #107071=VECTOR('',#378986,10.); #107072=VECTOR('',#378989,10.); #107073=VECTOR('',#378990,10.); #107074=VECTOR('',#378991,10.); #107075=VECTOR('',#378994,10.); #107076=VECTOR('',#378995,10.); #107077=VECTOR('',#378996,10.); #107078=VECTOR('',#378999,10.); #107079=VECTOR('',#379000,10.); #107080=VECTOR('',#379001,10.); #107081=VECTOR('',#379004,10.); #107082=VECTOR('',#379005,10.); #107083=VECTOR('',#379006,10.); #107084=VECTOR('',#379009,10.); #107085=VECTOR('',#379010,10.); #107086=VECTOR('',#379011,10.); #107087=VECTOR('',#379014,10.); #107088=VECTOR('',#379015,10.); #107089=VECTOR('',#379016,10.); #107090=VECTOR('',#379019,10.); #107091=VECTOR('',#379020,10.); #107092=VECTOR('',#379021,10.); #107093=VECTOR('',#379024,10.); #107094=VECTOR('',#379025,10.); #107095=VECTOR('',#379026,10.); #107096=VECTOR('',#379029,10.); #107097=VECTOR('',#379030,10.); #107098=VECTOR('',#379031,10.); #107099=VECTOR('',#379034,10.); #107100=VECTOR('',#379035,10.); #107101=VECTOR('',#379036,10.); #107102=VECTOR('',#379039,10.); #107103=VECTOR('',#379040,10.); #107104=VECTOR('',#379041,10.); #107105=VECTOR('',#379044,10.); #107106=VECTOR('',#379045,10.); #107107=VECTOR('',#379046,10.); #107108=VECTOR('',#379049,10.); #107109=VECTOR('',#379050,10.); #107110=VECTOR('',#379051,10.); #107111=VECTOR('',#379054,10.); #107112=VECTOR('',#379055,10.); #107113=VECTOR('',#379056,10.); #107114=VECTOR('',#379059,10.); #107115=VECTOR('',#379060,10.); #107116=VECTOR('',#379061,10.); #107117=VECTOR('',#379064,10.); #107118=VECTOR('',#379065,10.); #107119=VECTOR('',#379066,10.); #107120=VECTOR('',#379069,10.); #107121=VECTOR('',#379070,10.); #107122=VECTOR('',#379071,10.); #107123=VECTOR('',#379074,10.); #107124=VECTOR('',#379075,10.); #107125=VECTOR('',#379076,10.); #107126=VECTOR('',#379079,10.); #107127=VECTOR('',#379080,10.); #107128=VECTOR('',#379087,10.); #107129=VECTOR('',#379088,10.); #107130=VECTOR('',#379089,10.); #107131=VECTOR('',#379090,10.); #107132=VECTOR('',#379093,10.); #107133=VECTOR('',#379094,10.); #107134=VECTOR('',#379095,10.); #107135=VECTOR('',#379098,10.); #107136=VECTOR('',#379099,10.); #107137=VECTOR('',#379100,10.); #107138=VECTOR('',#379103,10.); #107139=VECTOR('',#379104,10.); #107140=VECTOR('',#379105,10.); #107141=VECTOR('',#379108,10.); #107142=VECTOR('',#379109,10.); #107143=VECTOR('',#379110,10.); #107144=VECTOR('',#379113,10.); #107145=VECTOR('',#379114,10.); #107146=VECTOR('',#379115,10.); #107147=VECTOR('',#379118,10.); #107148=VECTOR('',#379119,10.); #107149=VECTOR('',#379120,10.); #107150=VECTOR('',#379123,10.); #107151=VECTOR('',#379124,10.); #107152=VECTOR('',#379125,10.); #107153=VECTOR('',#379128,10.); #107154=VECTOR('',#379129,10.); #107155=VECTOR('',#379130,10.); #107156=VECTOR('',#379133,10.); #107157=VECTOR('',#379134,10.); #107158=VECTOR('',#379135,10.); #107159=VECTOR('',#379138,10.); #107160=VECTOR('',#379139,10.); #107161=VECTOR('',#379140,10.); #107162=VECTOR('',#379143,10.); #107163=VECTOR('',#379144,10.); #107164=VECTOR('',#379145,10.); #107165=VECTOR('',#379148,10.); #107166=VECTOR('',#379149,10.); #107167=VECTOR('',#379150,10.); #107168=VECTOR('',#379153,10.); #107169=VECTOR('',#379154,10.); #107170=VECTOR('',#379155,10.); #107171=VECTOR('',#379158,10.); #107172=VECTOR('',#379159,10.); #107173=VECTOR('',#379160,10.); #107174=VECTOR('',#379163,10.); #107175=VECTOR('',#379164,10.); #107176=VECTOR('',#379165,10.); #107177=VECTOR('',#379168,10.); #107178=VECTOR('',#379169,10.); #107179=VECTOR('',#379170,10.); #107180=VECTOR('',#379173,10.); #107181=VECTOR('',#379174,10.); #107182=VECTOR('',#379175,10.); #107183=VECTOR('',#379178,10.); #107184=VECTOR('',#379179,10.); #107185=VECTOR('',#379180,10.); #107186=VECTOR('',#379183,10.); #107187=VECTOR('',#379184,10.); #107188=VECTOR('',#379191,10.); #107189=VECTOR('',#379192,10.); #107190=VECTOR('',#379193,10.); #107191=VECTOR('',#379194,10.); #107192=VECTOR('',#379197,10.); #107193=VECTOR('',#379198,10.); #107194=VECTOR('',#379199,10.); #107195=VECTOR('',#379202,10.); #107196=VECTOR('',#379203,10.); #107197=VECTOR('',#379204,10.); #107198=VECTOR('',#379207,10.); #107199=VECTOR('',#379208,10.); #107200=VECTOR('',#379209,10.); #107201=VECTOR('',#379212,10.); #107202=VECTOR('',#379213,10.); #107203=VECTOR('',#379214,10.); #107204=VECTOR('',#379217,10.); #107205=VECTOR('',#379218,10.); #107206=VECTOR('',#379219,10.); #107207=VECTOR('',#379222,10.); #107208=VECTOR('',#379223,10.); #107209=VECTOR('',#379224,10.); #107210=VECTOR('',#379227,10.); #107211=VECTOR('',#379228,10.); #107212=VECTOR('',#379229,10.); #107213=VECTOR('',#379232,10.); #107214=VECTOR('',#379233,10.); #107215=VECTOR('',#379234,10.); #107216=VECTOR('',#379237,10.); #107217=VECTOR('',#379238,10.); #107218=VECTOR('',#379239,10.); #107219=VECTOR('',#379242,10.); #107220=VECTOR('',#379243,10.); #107221=VECTOR('',#379244,10.); #107222=VECTOR('',#379247,10.); #107223=VECTOR('',#379248,10.); #107224=VECTOR('',#379249,10.); #107225=VECTOR('',#379252,10.); #107226=VECTOR('',#379253,10.); #107227=VECTOR('',#379254,10.); #107228=VECTOR('',#379257,10.); #107229=VECTOR('',#379258,10.); #107230=VECTOR('',#379259,10.); #107231=VECTOR('',#379262,10.); #107232=VECTOR('',#379263,10.); #107233=VECTOR('',#379264,10.); #107234=VECTOR('',#379267,10.); #107235=VECTOR('',#379268,10.); #107236=VECTOR('',#379269,10.); #107237=VECTOR('',#379272,10.); #107238=VECTOR('',#379273,10.); #107239=VECTOR('',#379274,10.); #107240=VECTOR('',#379277,10.); #107241=VECTOR('',#379278,10.); #107242=VECTOR('',#379279,10.); #107243=VECTOR('',#379282,10.); #107244=VECTOR('',#379283,10.); #107245=VECTOR('',#379284,10.); #107246=VECTOR('',#379287,10.); #107247=VECTOR('',#379288,10.); #107248=VECTOR('',#379289,10.); #107249=VECTOR('',#379292,10.); #107250=VECTOR('',#379293,10.); #107251=VECTOR('',#379294,10.); #107252=VECTOR('',#379297,10.); #107253=VECTOR('',#379298,10.); #107254=VECTOR('',#379299,10.); #107255=VECTOR('',#379302,10.); #107256=VECTOR('',#379303,10.); #107257=VECTOR('',#379304,10.); #107258=VECTOR('',#379307,10.); #107259=VECTOR('',#379308,10.); #107260=VECTOR('',#379309,10.); #107261=VECTOR('',#379312,10.); #107262=VECTOR('',#379313,10.); #107263=VECTOR('',#379314,10.); #107264=VECTOR('',#379317,10.); #107265=VECTOR('',#379318,10.); #107266=VECTOR('',#379319,10.); #107267=VECTOR('',#379322,10.); #107268=VECTOR('',#379323,10.); #107269=VECTOR('',#379324,10.); #107270=VECTOR('',#379327,10.); #107271=VECTOR('',#379328,10.); #107272=VECTOR('',#379329,10.); #107273=VECTOR('',#379332,10.); #107274=VECTOR('',#379333,10.); #107275=VECTOR('',#379334,10.); #107276=VECTOR('',#379337,10.); #107277=VECTOR('',#379338,10.); #107278=VECTOR('',#379339,10.); #107279=VECTOR('',#379342,10.); #107280=VECTOR('',#379343,10.); #107281=VECTOR('',#379350,10.); #107282=VECTOR('',#379351,10.); #107283=VECTOR('',#379352,10.); #107284=VECTOR('',#379353,10.); #107285=VECTOR('',#379356,10.); #107286=VECTOR('',#379357,10.); #107287=VECTOR('',#379358,10.); #107288=VECTOR('',#379361,10.); #107289=VECTOR('',#379362,10.); #107290=VECTOR('',#379363,10.); #107291=VECTOR('',#379366,10.); #107292=VECTOR('',#379367,10.); #107293=VECTOR('',#379368,10.); #107294=VECTOR('',#379371,10.); #107295=VECTOR('',#379372,10.); #107296=VECTOR('',#379373,10.); #107297=VECTOR('',#379376,10.); #107298=VECTOR('',#379377,10.); #107299=VECTOR('',#379378,10.); #107300=VECTOR('',#379381,10.); #107301=VECTOR('',#379382,10.); #107302=VECTOR('',#379383,10.); #107303=VECTOR('',#379386,10.); #107304=VECTOR('',#379387,10.); #107305=VECTOR('',#379388,10.); #107306=VECTOR('',#379391,10.); #107307=VECTOR('',#379392,10.); #107308=VECTOR('',#379393,10.); #107309=VECTOR('',#379396,10.); #107310=VECTOR('',#379397,10.); #107311=VECTOR('',#379398,10.); #107312=VECTOR('',#379401,10.); #107313=VECTOR('',#379402,10.); #107314=VECTOR('',#379403,10.); #107315=VECTOR('',#379406,10.); #107316=VECTOR('',#379407,10.); #107317=VECTOR('',#379408,10.); #107318=VECTOR('',#379411,10.); #107319=VECTOR('',#379412,10.); #107320=VECTOR('',#379413,10.); #107321=VECTOR('',#379416,10.); #107322=VECTOR('',#379417,10.); #107323=VECTOR('',#379418,10.); #107324=VECTOR('',#379421,10.); #107325=VECTOR('',#379422,10.); #107326=VECTOR('',#379423,10.); #107327=VECTOR('',#379426,10.); #107328=VECTOR('',#379427,10.); #107329=VECTOR('',#379428,10.); #107330=VECTOR('',#379431,10.); #107331=VECTOR('',#379432,10.); #107332=VECTOR('',#379433,10.); #107333=VECTOR('',#379436,10.); #107334=VECTOR('',#379437,10.); #107335=VECTOR('',#379438,10.); #107336=VECTOR('',#379441,10.); #107337=VECTOR('',#379442,10.); #107338=VECTOR('',#379443,10.); #107339=VECTOR('',#379446,10.); #107340=VECTOR('',#379447,10.); #107341=VECTOR('',#379454,10.); #107342=VECTOR('',#379455,10.); #107343=VECTOR('',#379456,10.); #107344=VECTOR('',#379457,10.); #107345=VECTOR('',#379460,10.); #107346=VECTOR('',#379461,10.); #107347=VECTOR('',#379462,10.); #107348=VECTOR('',#379465,10.); #107349=VECTOR('',#379466,10.); #107350=VECTOR('',#379467,10.); #107351=VECTOR('',#379470,10.); #107352=VECTOR('',#379471,10.); #107353=VECTOR('',#379472,10.); #107354=VECTOR('',#379475,10.); #107355=VECTOR('',#379476,10.); #107356=VECTOR('',#379477,10.); #107357=VECTOR('',#379480,10.); #107358=VECTOR('',#379481,10.); #107359=VECTOR('',#379482,10.); #107360=VECTOR('',#379485,10.); #107361=VECTOR('',#379486,10.); #107362=VECTOR('',#379487,10.); #107363=VECTOR('',#379490,10.); #107364=VECTOR('',#379491,10.); #107365=VECTOR('',#379492,10.); #107366=VECTOR('',#379495,10.); #107367=VECTOR('',#379496,10.); #107368=VECTOR('',#379497,10.); #107369=VECTOR('',#379500,10.); #107370=VECTOR('',#379501,10.); #107371=VECTOR('',#379502,10.); #107372=VECTOR('',#379505,10.); #107373=VECTOR('',#379506,10.); #107374=VECTOR('',#379507,10.); #107375=VECTOR('',#379510,10.); #107376=VECTOR('',#379511,10.); #107377=VECTOR('',#379512,10.); #107378=VECTOR('',#379515,10.); #107379=VECTOR('',#379516,10.); #107380=VECTOR('',#379517,10.); #107381=VECTOR('',#379520,10.); #107382=VECTOR('',#379521,10.); #107383=VECTOR('',#379522,10.); #107384=VECTOR('',#379525,10.); #107385=VECTOR('',#379526,10.); #107386=VECTOR('',#379527,10.); #107387=VECTOR('',#379530,10.); #107388=VECTOR('',#379531,10.); #107389=VECTOR('',#379532,10.); #107390=VECTOR('',#379535,10.); #107391=VECTOR('',#379536,10.); #107392=VECTOR('',#379537,10.); #107393=VECTOR('',#379540,10.); #107394=VECTOR('',#379541,10.); #107395=VECTOR('',#379542,10.); #107396=VECTOR('',#379545,10.); #107397=VECTOR('',#379546,10.); #107398=VECTOR('',#379547,10.); #107399=VECTOR('',#379550,10.); #107400=VECTOR('',#379551,10.); #107401=VECTOR('',#379552,10.); #107402=VECTOR('',#379555,10.); #107403=VECTOR('',#379556,10.); #107404=VECTOR('',#379557,10.); #107405=VECTOR('',#379560,10.); #107406=VECTOR('',#379561,10.); #107407=VECTOR('',#379562,10.); #107408=VECTOR('',#379565,10.); #107409=VECTOR('',#379566,10.); #107410=VECTOR('',#379567,10.); #107411=VECTOR('',#379570,10.); #107412=VECTOR('',#379571,10.); #107413=VECTOR('',#379572,10.); #107414=VECTOR('',#379575,10.); #107415=VECTOR('',#379576,10.); #107416=VECTOR('',#379577,10.); #107417=VECTOR('',#379580,10.); #107418=VECTOR('',#379581,10.); #107419=VECTOR('',#379582,10.); #107420=VECTOR('',#379585,10.); #107421=VECTOR('',#379586,10.); #107422=VECTOR('',#379587,10.); #107423=VECTOR('',#379590,10.); #107424=VECTOR('',#379591,10.); #107425=VECTOR('',#379592,10.); #107426=VECTOR('',#379595,10.); #107427=VECTOR('',#379596,10.); #107428=VECTOR('',#379597,10.); #107429=VECTOR('',#379600,10.); #107430=VECTOR('',#379601,10.); #107431=VECTOR('',#379602,10.); #107432=VECTOR('',#379605,10.); #107433=VECTOR('',#379606,10.); #107434=VECTOR('',#379613,10.); #107435=VECTOR('',#379614,10.); #107436=VECTOR('',#379615,10.); #107437=VECTOR('',#379616,10.); #107438=VECTOR('',#379619,10.); #107439=VECTOR('',#379620,10.); #107440=VECTOR('',#379621,10.); #107441=VECTOR('',#379624,10.); #107442=VECTOR('',#379625,10.); #107443=VECTOR('',#379626,10.); #107444=VECTOR('',#379629,10.); #107445=VECTOR('',#379630,10.); #107446=VECTOR('',#379631,10.); #107447=VECTOR('',#379634,10.); #107448=VECTOR('',#379635,10.); #107449=VECTOR('',#379636,10.); #107450=VECTOR('',#379639,10.); #107451=VECTOR('',#379640,10.); #107452=VECTOR('',#379641,10.); #107453=VECTOR('',#379644,10.); #107454=VECTOR('',#379645,10.); #107455=VECTOR('',#379646,10.); #107456=VECTOR('',#379649,10.); #107457=VECTOR('',#379650,10.); #107458=VECTOR('',#379651,10.); #107459=VECTOR('',#379654,10.); #107460=VECTOR('',#379655,10.); #107461=VECTOR('',#379656,10.); #107462=VECTOR('',#379659,10.); #107463=VECTOR('',#379660,10.); #107464=VECTOR('',#379661,10.); #107465=VECTOR('',#379664,10.); #107466=VECTOR('',#379665,10.); #107467=VECTOR('',#379666,10.); #107468=VECTOR('',#379669,10.); #107469=VECTOR('',#379670,10.); #107470=VECTOR('',#379671,10.); #107471=VECTOR('',#379674,10.); #107472=VECTOR('',#379675,10.); #107473=VECTOR('',#379676,10.); #107474=VECTOR('',#379679,10.); #107475=VECTOR('',#379680,10.); #107476=VECTOR('',#379681,10.); #107477=VECTOR('',#379684,10.); #107478=VECTOR('',#379685,10.); #107479=VECTOR('',#379686,10.); #107480=VECTOR('',#379689,10.); #107481=VECTOR('',#379690,10.); #107482=VECTOR('',#379691,10.); #107483=VECTOR('',#379694,10.); #107484=VECTOR('',#379695,10.); #107485=VECTOR('',#379696,10.); #107486=VECTOR('',#379699,10.); #107487=VECTOR('',#379700,10.); #107488=VECTOR('',#379701,10.); #107489=VECTOR('',#379704,10.); #107490=VECTOR('',#379705,10.); #107491=VECTOR('',#379706,10.); #107492=VECTOR('',#379709,10.); #107493=VECTOR('',#379710,10.); #107494=VECTOR('',#379711,10.); #107495=VECTOR('',#379714,10.); #107496=VECTOR('',#379715,10.); #107497=VECTOR('',#379722,10.); #107498=VECTOR('',#379723,10.); #107499=VECTOR('',#379724,10.); #107500=VECTOR('',#379725,10.); #107501=VECTOR('',#379728,10.); #107502=VECTOR('',#379729,10.); #107503=VECTOR('',#379730,10.); #107504=VECTOR('',#379733,10.); #107505=VECTOR('',#379734,10.); #107506=VECTOR('',#379735,10.); #107507=VECTOR('',#379738,10.); #107508=VECTOR('',#379739,10.); #107509=VECTOR('',#379740,10.); #107510=VECTOR('',#379743,10.); #107511=VECTOR('',#379744,10.); #107512=VECTOR('',#379745,10.); #107513=VECTOR('',#379748,10.); #107514=VECTOR('',#379749,10.); #107515=VECTOR('',#379750,10.); #107516=VECTOR('',#379753,10.); #107517=VECTOR('',#379754,10.); #107518=VECTOR('',#379755,10.); #107519=VECTOR('',#379758,10.); #107520=VECTOR('',#379759,10.); #107521=VECTOR('',#379760,10.); #107522=VECTOR('',#379763,10.); #107523=VECTOR('',#379764,10.); #107524=VECTOR('',#379765,10.); #107525=VECTOR('',#379768,10.); #107526=VECTOR('',#379769,10.); #107527=VECTOR('',#379770,10.); #107528=VECTOR('',#379773,10.); #107529=VECTOR('',#379774,10.); #107530=VECTOR('',#379775,10.); #107531=VECTOR('',#379778,10.); #107532=VECTOR('',#379779,10.); #107533=VECTOR('',#379780,10.); #107534=VECTOR('',#379783,10.); #107535=VECTOR('',#379784,10.); #107536=VECTOR('',#379785,10.); #107537=VECTOR('',#379788,10.); #107538=VECTOR('',#379789,10.); #107539=VECTOR('',#379790,10.); #107540=VECTOR('',#379793,10.); #107541=VECTOR('',#379794,10.); #107542=VECTOR('',#379795,10.); #107543=VECTOR('',#379798,10.); #107544=VECTOR('',#379799,10.); #107545=VECTOR('',#379800,10.); #107546=VECTOR('',#379803,10.); #107547=VECTOR('',#379804,10.); #107548=VECTOR('',#379805,10.); #107549=VECTOR('',#379808,10.); #107550=VECTOR('',#379809,10.); #107551=VECTOR('',#379810,10.); #107552=VECTOR('',#379813,10.); #107553=VECTOR('',#379814,10.); #107554=VECTOR('',#379815,10.); #107555=VECTOR('',#379818,10.); #107556=VECTOR('',#379819,10.); #107557=VECTOR('',#379820,10.); #107558=VECTOR('',#379823,10.); #107559=VECTOR('',#379824,10.); #107560=VECTOR('',#379825,10.); #107561=VECTOR('',#379828,10.); #107562=VECTOR('',#379829,10.); #107563=VECTOR('',#379830,10.); #107564=VECTOR('',#379833,10.); #107565=VECTOR('',#379834,10.); #107566=VECTOR('',#379835,10.); #107567=VECTOR('',#379838,10.); #107568=VECTOR('',#379839,10.); #107569=VECTOR('',#379840,10.); #107570=VECTOR('',#379843,10.); #107571=VECTOR('',#379844,10.); #107572=VECTOR('',#379845,10.); #107573=VECTOR('',#379848,10.); #107574=VECTOR('',#379849,10.); #107575=VECTOR('',#379850,10.); #107576=VECTOR('',#379853,10.); #107577=VECTOR('',#379854,10.); #107578=VECTOR('',#379855,10.); #107579=VECTOR('',#379858,10.); #107580=VECTOR('',#379859,10.); #107581=VECTOR('',#379860,10.); #107582=VECTOR('',#379863,10.); #107583=VECTOR('',#379864,10.); #107584=VECTOR('',#379865,10.); #107585=VECTOR('',#379868,10.); #107586=VECTOR('',#379869,10.); #107587=VECTOR('',#379870,10.); #107588=VECTOR('',#379873,10.); #107589=VECTOR('',#379874,10.); #107590=VECTOR('',#379875,10.); #107591=VECTOR('',#379878,10.); #107592=VECTOR('',#379879,10.); #107593=VECTOR('',#379880,10.); #107594=VECTOR('',#379883,10.); #107595=VECTOR('',#379884,10.); #107596=VECTOR('',#379885,10.); #107597=VECTOR('',#379888,10.); #107598=VECTOR('',#379889,10.); #107599=VECTOR('',#379890,10.); #107600=VECTOR('',#379893,10.); #107601=VECTOR('',#379894,10.); #107602=VECTOR('',#379895,10.); #107603=VECTOR('',#379898,10.); #107604=VECTOR('',#379899,10.); #107605=VECTOR('',#379900,10.); #107606=VECTOR('',#379903,10.); #107607=VECTOR('',#379904,10.); #107608=VECTOR('',#379905,10.); #107609=VECTOR('',#379908,10.); #107610=VECTOR('',#379909,10.); #107611=VECTOR('',#379910,10.); #107612=VECTOR('',#379913,10.); #107613=VECTOR('',#379914,10.); #107614=VECTOR('',#379915,10.); #107615=VECTOR('',#379918,10.); #107616=VECTOR('',#379919,10.); #107617=VECTOR('',#379926,10.); #107618=VECTOR('',#379927,10.); #107619=VECTOR('',#379928,10.); #107620=VECTOR('',#379929,10.); #107621=VECTOR('',#379932,10.); #107622=VECTOR('',#379933,10.); #107623=VECTOR('',#379934,10.); #107624=VECTOR('',#379937,10.); #107625=VECTOR('',#379938,10.); #107626=VECTOR('',#379939,10.); #107627=VECTOR('',#379942,10.); #107628=VECTOR('',#379943,10.); #107629=VECTOR('',#379944,10.); #107630=VECTOR('',#379947,10.); #107631=VECTOR('',#379948,10.); #107632=VECTOR('',#379949,10.); #107633=VECTOR('',#379952,10.); #107634=VECTOR('',#379953,10.); #107635=VECTOR('',#379954,10.); #107636=VECTOR('',#379957,10.); #107637=VECTOR('',#379958,10.); #107638=VECTOR('',#379959,10.); #107639=VECTOR('',#379962,10.); #107640=VECTOR('',#379963,10.); #107641=VECTOR('',#379964,10.); #107642=VECTOR('',#379967,10.); #107643=VECTOR('',#379968,10.); #107644=VECTOR('',#379969,10.); #107645=VECTOR('',#379972,10.); #107646=VECTOR('',#379973,10.); #107647=VECTOR('',#379974,10.); #107648=VECTOR('',#379977,10.); #107649=VECTOR('',#379978,10.); #107650=VECTOR('',#379979,10.); #107651=VECTOR('',#379982,10.); #107652=VECTOR('',#379983,10.); #107653=VECTOR('',#379984,10.); #107654=VECTOR('',#379987,10.); #107655=VECTOR('',#379988,10.); #107656=VECTOR('',#379989,10.); #107657=VECTOR('',#379992,10.); #107658=VECTOR('',#379993,10.); #107659=VECTOR('',#379994,10.); #107660=VECTOR('',#379997,10.); #107661=VECTOR('',#379998,10.); #107662=VECTOR('',#379999,10.); #107663=VECTOR('',#380002,10.); #107664=VECTOR('',#380003,10.); #107665=VECTOR('',#380004,10.); #107666=VECTOR('',#380007,10.); #107667=VECTOR('',#380008,10.); #107668=VECTOR('',#380009,10.); #107669=VECTOR('',#380012,10.); #107670=VECTOR('',#380013,10.); #107671=VECTOR('',#380014,10.); #107672=VECTOR('',#380017,10.); #107673=VECTOR('',#380018,10.); #107674=VECTOR('',#380019,10.); #107675=VECTOR('',#380022,10.); #107676=VECTOR('',#380023,10.); #107677=VECTOR('',#380030,10.); #107678=VECTOR('',#380031,10.); #107679=VECTOR('',#380032,10.); #107680=VECTOR('',#380033,10.); #107681=VECTOR('',#380036,10.); #107682=VECTOR('',#380037,10.); #107683=VECTOR('',#380038,10.); #107684=VECTOR('',#380041,10.); #107685=VECTOR('',#380042,10.); #107686=VECTOR('',#380043,10.); #107687=VECTOR('',#380046,10.); #107688=VECTOR('',#380047,10.); #107689=VECTOR('',#380048,10.); #107690=VECTOR('',#380051,10.); #107691=VECTOR('',#380052,10.); #107692=VECTOR('',#380053,10.); #107693=VECTOR('',#380056,10.); #107694=VECTOR('',#380057,10.); #107695=VECTOR('',#380058,10.); #107696=VECTOR('',#380061,10.); #107697=VECTOR('',#380062,10.); #107698=VECTOR('',#380063,10.); #107699=VECTOR('',#380066,10.); #107700=VECTOR('',#380067,10.); #107701=VECTOR('',#380068,10.); #107702=VECTOR('',#380071,10.); #107703=VECTOR('',#380072,10.); #107704=VECTOR('',#380073,10.); #107705=VECTOR('',#380076,10.); #107706=VECTOR('',#380077,10.); #107707=VECTOR('',#380078,10.); #107708=VECTOR('',#380081,10.); #107709=VECTOR('',#380082,10.); #107710=VECTOR('',#380083,10.); #107711=VECTOR('',#380086,10.); #107712=VECTOR('',#380087,10.); #107713=VECTOR('',#380088,10.); #107714=VECTOR('',#380091,10.); #107715=VECTOR('',#380092,10.); #107716=VECTOR('',#380093,10.); #107717=VECTOR('',#380096,10.); #107718=VECTOR('',#380097,10.); #107719=VECTOR('',#380098,10.); #107720=VECTOR('',#380101,10.); #107721=VECTOR('',#380102,10.); #107722=VECTOR('',#380103,10.); #107723=VECTOR('',#380106,10.); #107724=VECTOR('',#380107,10.); #107725=VECTOR('',#380108,10.); #107726=VECTOR('',#380111,10.); #107727=VECTOR('',#380112,10.); #107728=VECTOR('',#380113,10.); #107729=VECTOR('',#380116,10.); #107730=VECTOR('',#380117,10.); #107731=VECTOR('',#380118,10.); #107732=VECTOR('',#380121,10.); #107733=VECTOR('',#380122,10.); #107734=VECTOR('',#380123,10.); #107735=VECTOR('',#380126,10.); #107736=VECTOR('',#380127,10.); #107737=VECTOR('',#380134,10.); #107738=VECTOR('',#380135,10.); #107739=VECTOR('',#380136,10.); #107740=VECTOR('',#380137,10.); #107741=VECTOR('',#380140,10.); #107742=VECTOR('',#380141,10.); #107743=VECTOR('',#380142,10.); #107744=VECTOR('',#380145,10.); #107745=VECTOR('',#380146,10.); #107746=VECTOR('',#380147,10.); #107747=VECTOR('',#380150,10.); #107748=VECTOR('',#380151,10.); #107749=VECTOR('',#380152,10.); #107750=VECTOR('',#380155,10.); #107751=VECTOR('',#380156,10.); #107752=VECTOR('',#380157,10.); #107753=VECTOR('',#380160,10.); #107754=VECTOR('',#380161,10.); #107755=VECTOR('',#380162,10.); #107756=VECTOR('',#380165,10.); #107757=VECTOR('',#380166,10.); #107758=VECTOR('',#380167,10.); #107759=VECTOR('',#380170,10.); #107760=VECTOR('',#380171,10.); #107761=VECTOR('',#380172,10.); #107762=VECTOR('',#380175,10.); #107763=VECTOR('',#380176,10.); #107764=VECTOR('',#380177,10.); #107765=VECTOR('',#380180,10.); #107766=VECTOR('',#380181,10.); #107767=VECTOR('',#380182,10.); #107768=VECTOR('',#380185,10.); #107769=VECTOR('',#380186,10.); #107770=VECTOR('',#380187,10.); #107771=VECTOR('',#380190,10.); #107772=VECTOR('',#380191,10.); #107773=VECTOR('',#380192,10.); #107774=VECTOR('',#380195,10.); #107775=VECTOR('',#380196,10.); #107776=VECTOR('',#380197,10.); #107777=VECTOR('',#380200,10.); #107778=VECTOR('',#380201,10.); #107779=VECTOR('',#380202,10.); #107780=VECTOR('',#380205,10.); #107781=VECTOR('',#380206,10.); #107782=VECTOR('',#380207,10.); #107783=VECTOR('',#380210,10.); #107784=VECTOR('',#380211,10.); #107785=VECTOR('',#380212,10.); #107786=VECTOR('',#380215,10.); #107787=VECTOR('',#380216,10.); #107788=VECTOR('',#380217,10.); #107789=VECTOR('',#380220,10.); #107790=VECTOR('',#380221,10.); #107791=VECTOR('',#380222,10.); #107792=VECTOR('',#380225,10.); #107793=VECTOR('',#380226,10.); #107794=VECTOR('',#380227,10.); #107795=VECTOR('',#380230,10.); #107796=VECTOR('',#380231,10.); #107797=VECTOR('',#380238,10.); #107798=VECTOR('',#380239,10.); #107799=VECTOR('',#380240,10.); #107800=VECTOR('',#380241,10.); #107801=VECTOR('',#380244,10.); #107802=VECTOR('',#380245,10.); #107803=VECTOR('',#380246,10.); #107804=VECTOR('',#380249,10.); #107805=VECTOR('',#380250,10.); #107806=VECTOR('',#380251,10.); #107807=VECTOR('',#380254,10.); #107808=VECTOR('',#380255,10.); #107809=VECTOR('',#380256,10.); #107810=VECTOR('',#380259,10.); #107811=VECTOR('',#380260,10.); #107812=VECTOR('',#380261,10.); #107813=VECTOR('',#380264,10.); #107814=VECTOR('',#380265,10.); #107815=VECTOR('',#380266,10.); #107816=VECTOR('',#380269,10.); #107817=VECTOR('',#380270,10.); #107818=VECTOR('',#380271,10.); #107819=VECTOR('',#380274,10.); #107820=VECTOR('',#380275,10.); #107821=VECTOR('',#380276,10.); #107822=VECTOR('',#380279,10.); #107823=VECTOR('',#380280,10.); #107824=VECTOR('',#380281,10.); #107825=VECTOR('',#380284,10.); #107826=VECTOR('',#380285,10.); #107827=VECTOR('',#380286,10.); #107828=VECTOR('',#380289,10.); #107829=VECTOR('',#380290,10.); #107830=VECTOR('',#380291,10.); #107831=VECTOR('',#380294,10.); #107832=VECTOR('',#380295,10.); #107833=VECTOR('',#380296,10.); #107834=VECTOR('',#380299,10.); #107835=VECTOR('',#380300,10.); #107836=VECTOR('',#380301,10.); #107837=VECTOR('',#380304,10.); #107838=VECTOR('',#380305,10.); #107839=VECTOR('',#380306,10.); #107840=VECTOR('',#380309,10.); #107841=VECTOR('',#380310,10.); #107842=VECTOR('',#380311,10.); #107843=VECTOR('',#380314,10.); #107844=VECTOR('',#380315,10.); #107845=VECTOR('',#380316,10.); #107846=VECTOR('',#380319,10.); #107847=VECTOR('',#380320,10.); #107848=VECTOR('',#380321,10.); #107849=VECTOR('',#380324,10.); #107850=VECTOR('',#380325,10.); #107851=VECTOR('',#380326,10.); #107852=VECTOR('',#380329,10.); #107853=VECTOR('',#380330,10.); #107854=VECTOR('',#380331,10.); #107855=VECTOR('',#380334,10.); #107856=VECTOR('',#380335,10.); #107857=VECTOR('',#380336,10.); #107858=VECTOR('',#380339,10.); #107859=VECTOR('',#380340,10.); #107860=VECTOR('',#380341,10.); #107861=VECTOR('',#380344,10.); #107862=VECTOR('',#380345,10.); #107863=VECTOR('',#380346,10.); #107864=VECTOR('',#380349,10.); #107865=VECTOR('',#380350,10.); #107866=VECTOR('',#380351,10.); #107867=VECTOR('',#380354,10.); #107868=VECTOR('',#380355,10.); #107869=VECTOR('',#380356,10.); #107870=VECTOR('',#380359,10.); #107871=VECTOR('',#380360,10.); #107872=VECTOR('',#380361,10.); #107873=VECTOR('',#380364,10.); #107874=VECTOR('',#380365,10.); #107875=VECTOR('',#380366,10.); #107876=VECTOR('',#380369,10.); #107877=VECTOR('',#380370,10.); #107878=VECTOR('',#380371,10.); #107879=VECTOR('',#380374,10.); #107880=VECTOR('',#380375,10.); #107881=VECTOR('',#380376,10.); #107882=VECTOR('',#380379,10.); #107883=VECTOR('',#380380,10.); #107884=VECTOR('',#380381,10.); #107885=VECTOR('',#380384,10.); #107886=VECTOR('',#380385,10.); #107887=VECTOR('',#380386,10.); #107888=VECTOR('',#380389,10.); #107889=VECTOR('',#380390,10.); #107890=VECTOR('',#380391,10.); #107891=VECTOR('',#380394,10.); #107892=VECTOR('',#380395,10.); #107893=VECTOR('',#380396,10.); #107894=VECTOR('',#380399,10.); #107895=VECTOR('',#380400,10.); #107896=VECTOR('',#380401,10.); #107897=VECTOR('',#380404,10.); #107898=VECTOR('',#380405,10.); #107899=VECTOR('',#380406,10.); #107900=VECTOR('',#380409,10.); #107901=VECTOR('',#380410,10.); #107902=VECTOR('',#380411,10.); #107903=VECTOR('',#380414,10.); #107904=VECTOR('',#380415,10.); #107905=VECTOR('',#380416,10.); #107906=VECTOR('',#380419,10.); #107907=VECTOR('',#380420,10.); #107908=VECTOR('',#380421,10.); #107909=VECTOR('',#380424,10.); #107910=VECTOR('',#380425,10.); #107911=VECTOR('',#380426,10.); #107912=VECTOR('',#380429,10.); #107913=VECTOR('',#380430,10.); #107914=VECTOR('',#380431,10.); #107915=VECTOR('',#380434,10.); #107916=VECTOR('',#380435,10.); #107917=VECTOR('',#380444,0.514999999999999); #107918=VECTOR('',#380449,10.); #107919=VECTOR('',#380450,10.); #107920=VECTOR('',#380451,10.); #107921=VECTOR('',#380452,10.); #107922=VECTOR('',#380459,10.); #107923=VECTOR('',#380462,10.); #107924=VECTOR('',#380463,10.); #107925=VECTOR('',#380464,10.); #107926=VECTOR('',#380473,10.); #107927=VECTOR('',#380474,10.); #107928=VECTOR('',#380475,10.); #107929=VECTOR('',#380476,10.); #107930=VECTOR('',#380479,10.); #107931=VECTOR('',#380480,10.); #107932=VECTOR('',#380481,10.); #107933=VECTOR('',#380484,10.); #107934=VECTOR('',#380485,10.); #107935=VECTOR('',#380486,10.); #107936=VECTOR('',#380489,10.); #107937=VECTOR('',#380490,10.); #107938=VECTOR('',#380491,10.); #107939=VECTOR('',#380494,10.); #107940=VECTOR('',#380495,10.); #107941=VECTOR('',#380496,10.); #107942=VECTOR('',#380499,10.); #107943=VECTOR('',#380500,10.); #107944=VECTOR('',#380501,10.); #107945=VECTOR('',#380504,10.); #107946=VECTOR('',#380505,10.); #107947=VECTOR('',#380506,10.); #107948=VECTOR('',#380509,10.); #107949=VECTOR('',#380510,10.); #107950=VECTOR('',#380511,10.); #107951=VECTOR('',#380514,10.); #107952=VECTOR('',#380515,10.); #107953=VECTOR('',#380516,10.); #107954=VECTOR('',#380519,10.); #107955=VECTOR('',#380520,10.); #107956=VECTOR('',#380521,10.); #107957=VECTOR('',#380524,10.); #107958=VECTOR('',#380525,10.); #107959=VECTOR('',#380526,10.); #107960=VECTOR('',#380529,10.); #107961=VECTOR('',#380530,10.); #107962=VECTOR('',#380531,10.); #107963=VECTOR('',#380534,10.); #107964=VECTOR('',#380535,10.); #107965=VECTOR('',#380536,10.); #107966=VECTOR('',#380539,10.); #107967=VECTOR('',#380540,10.); #107968=VECTOR('',#380541,10.); #107969=VECTOR('',#380544,10.); #107970=VECTOR('',#380545,10.); #107971=VECTOR('',#380546,10.); #107972=VECTOR('',#380549,10.); #107973=VECTOR('',#380550,10.); #107974=VECTOR('',#380551,10.); #107975=VECTOR('',#380554,10.); #107976=VECTOR('',#380555,10.); #107977=VECTOR('',#380556,10.); #107978=VECTOR('',#380559,10.); #107979=VECTOR('',#380560,10.); #107980=VECTOR('',#380561,10.); #107981=VECTOR('',#380564,10.); #107982=VECTOR('',#380565,10.); #107983=VECTOR('',#380566,10.); #107984=VECTOR('',#380569,10.); #107985=VECTOR('',#380570,10.); #107986=VECTOR('',#380571,10.); #107987=VECTOR('',#380574,10.); #107988=VECTOR('',#380575,10.); #107989=VECTOR('',#380576,10.); #107990=VECTOR('',#380579,10.); #107991=VECTOR('',#380580,10.); #107992=VECTOR('',#380581,10.); #107993=VECTOR('',#380584,10.); #107994=VECTOR('',#380585,10.); #107995=VECTOR('',#380586,10.); #107996=VECTOR('',#380589,10.); #107997=VECTOR('',#380590,10.); #107998=VECTOR('',#380591,10.); #107999=VECTOR('',#380594,10.); #108000=VECTOR('',#380595,10.); #108001=VECTOR('',#380596,10.); #108002=VECTOR('',#380599,10.); #108003=VECTOR('',#380600,10.); #108004=VECTOR('',#380601,10.); #108005=VECTOR('',#380604,10.); #108006=VECTOR('',#380605,10.); #108007=VECTOR('',#380606,10.); #108008=VECTOR('',#380609,10.); #108009=VECTOR('',#380610,10.); #108010=VECTOR('',#380611,10.); #108011=VECTOR('',#380614,10.); #108012=VECTOR('',#380615,10.); #108013=VECTOR('',#380616,10.); #108014=VECTOR('',#380619,10.); #108015=VECTOR('',#380620,10.); #108016=VECTOR('',#380621,10.); #108017=VECTOR('',#380624,10.); #108018=VECTOR('',#380625,10.); #108019=VECTOR('',#380626,10.); #108020=VECTOR('',#380629,10.); #108021=VECTOR('',#380630,10.); #108022=VECTOR('',#380631,10.); #108023=VECTOR('',#380634,10.); #108024=VECTOR('',#380635,10.); #108025=VECTOR('',#380636,10.); #108026=VECTOR('',#380639,10.); #108027=VECTOR('',#380640,10.); #108028=VECTOR('',#380641,10.); #108029=VECTOR('',#380644,10.); #108030=VECTOR('',#380645,10.); #108031=VECTOR('',#380646,10.); #108032=VECTOR('',#380649,10.); #108033=VECTOR('',#380650,10.); #108034=VECTOR('',#380651,10.); #108035=VECTOR('',#380654,10.); #108036=VECTOR('',#380655,10.); #108037=VECTOR('',#380656,10.); #108038=VECTOR('',#380659,10.); #108039=VECTOR('',#380660,10.); #108040=VECTOR('',#380661,10.); #108041=VECTOR('',#380664,10.); #108042=VECTOR('',#380665,10.); #108043=VECTOR('',#380666,10.); #108044=VECTOR('',#380669,10.); #108045=VECTOR('',#380670,10.); #108046=VECTOR('',#380671,10.); #108047=VECTOR('',#380674,10.); #108048=VECTOR('',#380675,10.); #108049=VECTOR('',#380676,10.); #108050=VECTOR('',#380679,10.); #108051=VECTOR('',#380680,10.); #108052=VECTOR('',#380681,10.); #108053=VECTOR('',#380684,10.); #108054=VECTOR('',#380685,10.); #108055=VECTOR('',#380686,10.); #108056=VECTOR('',#380689,10.); #108057=VECTOR('',#380690,10.); #108058=VECTOR('',#380691,10.); #108059=VECTOR('',#380694,10.); #108060=VECTOR('',#380695,10.); #108061=VECTOR('',#380696,10.); #108062=VECTOR('',#380699,10.); #108063=VECTOR('',#380700,10.); #108064=VECTOR('',#380701,10.); #108065=VECTOR('',#380704,10.); #108066=VECTOR('',#380705,10.); #108067=VECTOR('',#380706,10.); #108068=VECTOR('',#380709,10.); #108069=VECTOR('',#380710,10.); #108070=VECTOR('',#380711,10.); #108071=VECTOR('',#380714,10.); #108072=VECTOR('',#380715,10.); #108073=VECTOR('',#380716,10.); #108074=VECTOR('',#380719,10.); #108075=VECTOR('',#380720,10.); #108076=VECTOR('',#380721,10.); #108077=VECTOR('',#380724,10.); #108078=VECTOR('',#380725,10.); #108079=VECTOR('',#380726,10.); #108080=VECTOR('',#380729,10.); #108081=VECTOR('',#380730,10.); #108082=VECTOR('',#380731,10.); #108083=VECTOR('',#380734,10.); #108084=VECTOR('',#380735,10.); #108085=VECTOR('',#380736,10.); #108086=VECTOR('',#380739,10.); #108087=VECTOR('',#380740,10.); #108088=VECTOR('',#380741,10.); #108089=VECTOR('',#380744,10.); #108090=VECTOR('',#380745,10.); #108091=VECTOR('',#380746,10.); #108092=VECTOR('',#380749,10.); #108093=VECTOR('',#380750,10.); #108094=VECTOR('',#380751,10.); #108095=VECTOR('',#380754,10.); #108096=VECTOR('',#380755,10.); #108097=VECTOR('',#380756,10.); #108098=VECTOR('',#380759,10.); #108099=VECTOR('',#380760,10.); #108100=VECTOR('',#380761,10.); #108101=VECTOR('',#380764,10.); #108102=VECTOR('',#380765,10.); #108103=VECTOR('',#380766,10.); #108104=VECTOR('',#380769,10.); #108105=VECTOR('',#380770,10.); #108106=VECTOR('',#380771,10.); #108107=VECTOR('',#380774,10.); #108108=VECTOR('',#380775,10.); #108109=VECTOR('',#380776,10.); #108110=VECTOR('',#380779,10.); #108111=VECTOR('',#380780,10.); #108112=VECTOR('',#380781,10.); #108113=VECTOR('',#380784,10.); #108114=VECTOR('',#380785,10.); #108115=VECTOR('',#380786,10.); #108116=VECTOR('',#380789,10.); #108117=VECTOR('',#380790,10.); #108118=VECTOR('',#380791,10.); #108119=VECTOR('',#380794,10.); #108120=VECTOR('',#380795,10.); #108121=VECTOR('',#380796,10.); #108122=VECTOR('',#380799,10.); #108123=VECTOR('',#380800,10.); #108124=VECTOR('',#380801,10.); #108125=VECTOR('',#380804,10.); #108126=VECTOR('',#380805,10.); #108127=VECTOR('',#380806,10.); #108128=VECTOR('',#380809,10.); #108129=VECTOR('',#380810,10.); #108130=VECTOR('',#380811,10.); #108131=VECTOR('',#380814,10.); #108132=VECTOR('',#380815,10.); #108133=VECTOR('',#380816,10.); #108134=VECTOR('',#380819,10.); #108135=VECTOR('',#380820,10.); #108136=VECTOR('',#380821,10.); #108137=VECTOR('',#380824,10.); #108138=VECTOR('',#380825,10.); #108139=VECTOR('',#380826,10.); #108140=VECTOR('',#380829,10.); #108141=VECTOR('',#380830,10.); #108142=VECTOR('',#380831,10.); #108143=VECTOR('',#380834,10.); #108144=VECTOR('',#380835,10.); #108145=VECTOR('',#380836,10.); #108146=VECTOR('',#380839,10.); #108147=VECTOR('',#380840,10.); #108148=VECTOR('',#380841,10.); #108149=VECTOR('',#380844,10.); #108150=VECTOR('',#380845,10.); #108151=VECTOR('',#380846,10.); #108152=VECTOR('',#380849,10.); #108153=VECTOR('',#380850,10.); #108154=VECTOR('',#380851,10.); #108155=VECTOR('',#380854,10.); #108156=VECTOR('',#380855,10.); #108157=VECTOR('',#380856,10.); #108158=VECTOR('',#380859,10.); #108159=VECTOR('',#380860,10.); #108160=VECTOR('',#380861,10.); #108161=VECTOR('',#380864,10.); #108162=VECTOR('',#380865,10.); #108163=VECTOR('',#380866,10.); #108164=VECTOR('',#380869,10.); #108165=VECTOR('',#380870,10.); #108166=VECTOR('',#380871,10.); #108167=VECTOR('',#380874,10.); #108168=VECTOR('',#380875,10.); #108169=VECTOR('',#380876,10.); #108170=VECTOR('',#380879,10.); #108171=VECTOR('',#380880,10.); #108172=VECTOR('',#380881,10.); #108173=VECTOR('',#380884,10.); #108174=VECTOR('',#380885,10.); #108175=VECTOR('',#380886,10.); #108176=VECTOR('',#380889,10.); #108177=VECTOR('',#380890,10.); #108178=VECTOR('',#380891,10.); #108179=VECTOR('',#380894,10.); #108180=VECTOR('',#380895,10.); #108181=VECTOR('',#380896,10.); #108182=VECTOR('',#380899,10.); #108183=VECTOR('',#380900,10.); #108184=VECTOR('',#380901,10.); #108185=VECTOR('',#380904,10.); #108186=VECTOR('',#380905,10.); #108187=VECTOR('',#380906,10.); #108188=VECTOR('',#380909,10.); #108189=VECTOR('',#380910,10.); #108190=VECTOR('',#380911,10.); #108191=VECTOR('',#380914,10.); #108192=VECTOR('',#380915,10.); #108193=VECTOR('',#380916,10.); #108194=VECTOR('',#380919,10.); #108195=VECTOR('',#380920,10.); #108196=VECTOR('',#380921,10.); #108197=VECTOR('',#380924,10.); #108198=VECTOR('',#380925,10.); #108199=VECTOR('',#380926,10.); #108200=VECTOR('',#380929,10.); #108201=VECTOR('',#380930,10.); #108202=VECTOR('',#380931,10.); #108203=VECTOR('',#380934,10.); #108204=VECTOR('',#380935,10.); #108205=VECTOR('',#380942,10.); #108206=VECTOR('',#380943,10.); #108207=VECTOR('',#380944,10.); #108208=VECTOR('',#380945,10.); #108209=VECTOR('',#380948,10.); #108210=VECTOR('',#380949,10.); #108211=VECTOR('',#380950,10.); #108212=VECTOR('',#380953,10.); #108213=VECTOR('',#380954,10.); #108214=VECTOR('',#380955,10.); #108215=VECTOR('',#380958,10.); #108216=VECTOR('',#380959,10.); #108217=VECTOR('',#380960,10.); #108218=VECTOR('',#380963,10.); #108219=VECTOR('',#380964,10.); #108220=VECTOR('',#380965,10.); #108221=VECTOR('',#380968,10.); #108222=VECTOR('',#380969,10.); #108223=VECTOR('',#380970,10.); #108224=VECTOR('',#380973,10.); #108225=VECTOR('',#380974,10.); #108226=VECTOR('',#380975,10.); #108227=VECTOR('',#380978,10.); #108228=VECTOR('',#380979,10.); #108229=VECTOR('',#380980,10.); #108230=VECTOR('',#380983,10.); #108231=VECTOR('',#380984,10.); #108232=VECTOR('',#380985,10.); #108233=VECTOR('',#380988,10.); #108234=VECTOR('',#380989,10.); #108235=VECTOR('',#380990,10.); #108236=VECTOR('',#380993,10.); #108237=VECTOR('',#380994,10.); #108238=VECTOR('',#380995,10.); #108239=VECTOR('',#380998,10.); #108240=VECTOR('',#380999,10.); #108241=VECTOR('',#381000,10.); #108242=VECTOR('',#381003,10.); #108243=VECTOR('',#381004,10.); #108244=VECTOR('',#381005,10.); #108245=VECTOR('',#381008,10.); #108246=VECTOR('',#381009,10.); #108247=VECTOR('',#381010,10.); #108248=VECTOR('',#381013,10.); #108249=VECTOR('',#381014,10.); #108250=VECTOR('',#381015,10.); #108251=VECTOR('',#381018,10.); #108252=VECTOR('',#381019,10.); #108253=VECTOR('',#381020,10.); #108254=VECTOR('',#381023,10.); #108255=VECTOR('',#381024,10.); #108256=VECTOR('',#381025,10.); #108257=VECTOR('',#381028,10.); #108258=VECTOR('',#381029,10.); #108259=VECTOR('',#381030,10.); #108260=VECTOR('',#381033,10.); #108261=VECTOR('',#381034,10.); #108262=VECTOR('',#381035,10.); #108263=VECTOR('',#381038,10.); #108264=VECTOR('',#381039,10.); #108265=VECTOR('',#381048,0.140000000000002); #108266=VECTOR('',#381055,0.140000000000002); #108267=VECTOR('',#381062,0.140000000000002); #108268=VECTOR('',#381069,0.140000000000002); #108269=VECTOR('',#381076,0.140000000000002); #108270=VECTOR('',#381083,0.140000000000002); #108271=VECTOR('',#381090,0.140000000000002); #108272=VECTOR('',#381097,0.140000000000002); #108273=VECTOR('',#381104,0.140000000000002); #108274=VECTOR('',#381111,0.140000000000002); #108275=VECTOR('',#381118,0.140000000000002); #108276=VECTOR('',#381125,0.140000000000002); #108277=VECTOR('',#381132,0.140000000000002); #108278=VECTOR('',#381139,0.140000000000002); #108279=VECTOR('',#381146,0.140000000000002); #108280=VECTOR('',#381151,10.); #108281=VECTOR('',#381152,10.); #108282=VECTOR('',#381153,10.); #108283=VECTOR('',#381154,10.); #108284=VECTOR('',#381157,10.); #108285=VECTOR('',#381158,10.); #108286=VECTOR('',#381159,10.); #108287=VECTOR('',#381162,10.); #108288=VECTOR('',#381163,10.); #108289=VECTOR('',#381164,10.); #108290=VECTOR('',#381167,10.); #108291=VECTOR('',#381168,10.); #108292=VECTOR('',#381169,10.); #108293=VECTOR('',#381172,10.); #108294=VECTOR('',#381173,10.); #108295=VECTOR('',#381174,10.); #108296=VECTOR('',#381177,10.); #108297=VECTOR('',#381178,10.); #108298=VECTOR('',#381179,10.); #108299=VECTOR('',#381182,10.); #108300=VECTOR('',#381183,10.); #108301=VECTOR('',#381184,10.); #108302=VECTOR('',#381187,10.); #108303=VECTOR('',#381188,10.); #108304=VECTOR('',#381189,10.); #108305=VECTOR('',#381192,10.); #108306=VECTOR('',#381193,10.); #108307=VECTOR('',#381194,10.); #108308=VECTOR('',#381197,10.); #108309=VECTOR('',#381198,10.); #108310=VECTOR('',#381199,10.); #108311=VECTOR('',#381202,10.); #108312=VECTOR('',#381203,10.); #108313=VECTOR('',#381204,10.); #108314=VECTOR('',#381207,10.); #108315=VECTOR('',#381208,10.); #108316=VECTOR('',#381209,10.); #108317=VECTOR('',#381212,10.); #108318=VECTOR('',#381213,10.); #108319=VECTOR('',#381214,10.); #108320=VECTOR('',#381217,10.); #108321=VECTOR('',#381218,10.); #108322=VECTOR('',#381219,10.); #108323=VECTOR('',#381222,10.); #108324=VECTOR('',#381223,10.); #108325=VECTOR('',#381224,10.); #108326=VECTOR('',#381227,10.); #108327=VECTOR('',#381228,10.); #108328=VECTOR('',#381229,10.); #108329=VECTOR('',#381232,10.); #108330=VECTOR('',#381233,10.); #108331=VECTOR('',#381234,10.); #108332=VECTOR('',#381237,10.); #108333=VECTOR('',#381238,10.); #108334=VECTOR('',#381239,10.); #108335=VECTOR('',#381242,10.); #108336=VECTOR('',#381243,10.); #108337=VECTOR('',#381244,10.); #108338=VECTOR('',#381247,10.); #108339=VECTOR('',#381248,10.); #108340=VECTOR('',#381249,10.); #108341=VECTOR('',#381252,10.); #108342=VECTOR('',#381253,10.); #108343=VECTOR('',#381254,10.); #108344=VECTOR('',#381257,10.); #108345=VECTOR('',#381258,10.); #108346=VECTOR('',#381259,10.); #108347=VECTOR('',#381262,10.); #108348=VECTOR('',#381263,10.); #108349=VECTOR('',#381264,10.); #108350=VECTOR('',#381267,10.); #108351=VECTOR('',#381268,10.); #108352=VECTOR('',#381269,10.); #108353=VECTOR('',#381272,10.); #108354=VECTOR('',#381273,10.); #108355=VECTOR('',#381274,10.); #108356=VECTOR('',#381277,10.); #108357=VECTOR('',#381278,10.); #108358=VECTOR('',#381279,10.); #108359=VECTOR('',#381282,10.); #108360=VECTOR('',#381283,10.); #108361=VECTOR('',#381284,10.); #108362=VECTOR('',#381287,10.); #108363=VECTOR('',#381288,10.); #108364=VECTOR('',#381289,10.); #108365=VECTOR('',#381292,10.); #108366=VECTOR('',#381293,10.); #108367=VECTOR('',#381294,10.); #108368=VECTOR('',#381297,10.); #108369=VECTOR('',#381298,10.); #108370=VECTOR('',#381299,10.); #108371=VECTOR('',#381302,10.); #108372=VECTOR('',#381303,10.); #108373=VECTOR('',#381304,10.); #108374=VECTOR('',#381307,10.); #108375=VECTOR('',#381308,10.); #108376=VECTOR('',#381309,10.); #108377=VECTOR('',#381312,10.); #108378=VECTOR('',#381313,10.); #108379=VECTOR('',#381314,10.); #108380=VECTOR('',#381317,10.); #108381=VECTOR('',#381318,10.); #108382=VECTOR('',#381319,10.); #108383=VECTOR('',#381322,10.); #108384=VECTOR('',#381323,10.); #108385=VECTOR('',#381324,10.); #108386=VECTOR('',#381327,10.); #108387=VECTOR('',#381328,10.); #108388=VECTOR('',#381329,10.); #108389=VECTOR('',#381332,10.); #108390=VECTOR('',#381333,10.); #108391=VECTOR('',#381334,10.); #108392=VECTOR('',#381337,10.); #108393=VECTOR('',#381338,10.); #108394=VECTOR('',#381339,10.); #108395=VECTOR('',#381342,10.); #108396=VECTOR('',#381343,10.); #108397=VECTOR('',#381344,10.); #108398=VECTOR('',#381347,10.); #108399=VECTOR('',#381348,10.); #108400=VECTOR('',#381349,10.); #108401=VECTOR('',#381352,10.); #108402=VECTOR('',#381353,10.); #108403=VECTOR('',#381362,0.139999999999998); #108404=VECTOR('',#381367,10.); #108405=VECTOR('',#381368,10.); #108406=VECTOR('',#381369,10.); #108407=VECTOR('',#381370,10.); #108408=VECTOR('',#381373,10.); #108409=VECTOR('',#381374,10.); #108410=VECTOR('',#381375,10.); #108411=VECTOR('',#381378,10.); #108412=VECTOR('',#381379,10.); #108413=VECTOR('',#381380,10.); #108414=VECTOR('',#381383,10.); #108415=VECTOR('',#381384,10.); #108416=VECTOR('',#381385,10.); #108417=VECTOR('',#381388,10.); #108418=VECTOR('',#381389,10.); #108419=VECTOR('',#381390,10.); #108420=VECTOR('',#381397,10.); #108421=VECTOR('',#381400,10.); #108422=VECTOR('',#381401,10.); #108423=VECTOR('',#381402,10.); #108424=VECTOR('',#381405,10.); #108425=VECTOR('',#381406,10.); #108426=VECTOR('',#381407,10.); #108427=VECTOR('',#381414,10.); #108428=VECTOR('',#381417,10.); #108429=VECTOR('',#381418,10.); #108430=VECTOR('',#381419,10.); #108431=VECTOR('',#381422,10.); #108432=VECTOR('',#381423,10.); #108433=VECTOR('',#381424,10.); #108434=VECTOR('',#381427,10.); #108435=VECTOR('',#381428,10.); #108436=VECTOR('',#381429,10.); #108437=VECTOR('',#381432,10.); #108438=VECTOR('',#381433,10.); #108439=VECTOR('',#381434,10.); #108440=VECTOR('',#381437,10.); #108441=VECTOR('',#381438,10.); #108442=VECTOR('',#381439,10.); #108443=VECTOR('',#381442,10.); #108444=VECTOR('',#381443,10.); #108445=VECTOR('',#381444,10.); #108446=VECTOR('',#381447,10.); #108447=VECTOR('',#381448,10.); #108448=VECTOR('',#381449,10.); #108449=VECTOR('',#381452,10.); #108450=VECTOR('',#381453,10.); #108451=VECTOR('',#381454,10.); #108452=VECTOR('',#381457,10.); #108453=VECTOR('',#381458,10.); #108454=VECTOR('',#381459,10.); #108455=VECTOR('',#381462,10.); #108456=VECTOR('',#381463,10.); #108457=VECTOR('',#381464,10.); #108458=VECTOR('',#381467,10.); #108459=VECTOR('',#381468,10.); #108460=VECTOR('',#381469,10.); #108461=VECTOR('',#381472,10.); #108462=VECTOR('',#381473,10.); #108463=VECTOR('',#381474,10.); #108464=VECTOR('',#381477,10.); #108465=VECTOR('',#381478,10.); #108466=VECTOR('',#381479,10.); #108467=VECTOR('',#381482,10.); #108468=VECTOR('',#381483,10.); #108469=VECTOR('',#381484,10.); #108470=VECTOR('',#381487,10.); #108471=VECTOR('',#381488,10.); #108472=VECTOR('',#381489,10.); #108473=VECTOR('',#381492,10.); #108474=VECTOR('',#381493,10.); #108475=VECTOR('',#381494,10.); #108476=VECTOR('',#381501,10.); #108477=VECTOR('',#381504,10.); #108478=VECTOR('',#381505,10.); #108479=VECTOR('',#381506,10.); #108480=VECTOR('',#381513,10.); #108481=VECTOR('',#381516,10.); #108482=VECTOR('',#381517,10.); #108483=VECTOR('',#381518,10.); #108484=VECTOR('',#381525,10.); #108485=VECTOR('',#381528,10.); #108486=VECTOR('',#381529,10.); #108487=VECTOR('',#381530,10.); #108488=VECTOR('',#381533,10.); #108489=VECTOR('',#381534,10.); #108490=VECTOR('',#381535,10.); #108491=VECTOR('',#381538,10.); #108492=VECTOR('',#381539,10.); #108493=VECTOR('',#381540,10.); #108494=VECTOR('',#381547,10.); #108495=VECTOR('',#381550,10.); #108496=VECTOR('',#381551,10.); #108497=VECTOR('',#381552,10.); #108498=VECTOR('',#381559,10.); #108499=VECTOR('',#381562,10.); #108500=VECTOR('',#381563,10.); #108501=VECTOR('',#381564,10.); #108502=VECTOR('',#381567,10.); #108503=VECTOR('',#381568,10.); #108504=VECTOR('',#381569,10.); #108505=VECTOR('',#381576,10.); #108506=VECTOR('',#381579,10.); #108507=VECTOR('',#381580,10.); #108508=VECTOR('',#381581,10.); #108509=VECTOR('',#381584,10.); #108510=VECTOR('',#381585,10.); #108511=VECTOR('',#381586,10.); #108512=VECTOR('',#381589,10.); #108513=VECTOR('',#381590,10.); #108514=VECTOR('',#381599,0.139999999999998); #108515=VECTOR('',#381606,0.139999999999998); #108516=VECTOR('',#381613,0.139999999999998); #108517=VECTOR('',#381620,0.139999999999998); #108518=VECTOR('',#381627,0.139999999999998); #108519=VECTOR('',#381634,0.139999999999998); #108520=VECTOR('',#381641,0.139999999999998); #108521=VECTOR('',#381648,0.139999999999998); #108522=VECTOR('',#381655,0.139999999999998); #108523=VECTOR('',#381662,0.139999999999998); #108524=VECTOR('',#381669,0.139999999999998); #108525=VECTOR('',#381676,0.139999999999998); #108526=VECTOR('',#381683,0.139999999999998); #108527=VECTOR('',#381690,0.139999999999998); #108528=VECTOR('',#381697,0.139999999999998); #108529=VECTOR('',#381702,10.); #108530=VECTOR('',#381703,10.); #108531=VECTOR('',#381704,10.); #108532=VECTOR('',#381705,10.); #108533=VECTOR('',#381708,10.); #108534=VECTOR('',#381709,10.); #108535=VECTOR('',#381710,10.); #108536=VECTOR('',#381713,10.); #108537=VECTOR('',#381714,10.); #108538=VECTOR('',#381715,10.); #108539=VECTOR('',#381718,10.); #108540=VECTOR('',#381719,10.); #108541=VECTOR('',#381720,10.); #108542=VECTOR('',#381723,10.); #108543=VECTOR('',#381724,10.); #108544=VECTOR('',#381725,10.); #108545=VECTOR('',#381728,10.); #108546=VECTOR('',#381729,10.); #108547=VECTOR('',#381730,10.); #108548=VECTOR('',#381733,10.); #108549=VECTOR('',#381734,10.); #108550=VECTOR('',#381735,10.); #108551=VECTOR('',#381738,10.); #108552=VECTOR('',#381739,10.); #108553=VECTOR('',#381740,10.); #108554=VECTOR('',#381743,10.); #108555=VECTOR('',#381744,10.); #108556=VECTOR('',#381745,10.); #108557=VECTOR('',#381748,10.); #108558=VECTOR('',#381749,10.); #108559=VECTOR('',#381750,10.); #108560=VECTOR('',#381753,10.); #108561=VECTOR('',#381754,10.); #108562=VECTOR('',#381755,10.); #108563=VECTOR('',#381758,10.); #108564=VECTOR('',#381759,10.); #108565=VECTOR('',#381760,10.); #108566=VECTOR('',#381763,10.); #108567=VECTOR('',#381764,10.); #108568=VECTOR('',#381765,10.); #108569=VECTOR('',#381768,10.); #108570=VECTOR('',#381769,10.); #108571=VECTOR('',#381770,10.); #108572=VECTOR('',#381773,10.); #108573=VECTOR('',#381774,10.); #108574=VECTOR('',#381775,10.); #108575=VECTOR('',#381778,10.); #108576=VECTOR('',#381779,10.); #108577=VECTOR('',#381780,10.); #108578=VECTOR('',#381783,10.); #108579=VECTOR('',#381784,10.); #108580=VECTOR('',#381785,10.); #108581=VECTOR('',#381788,10.); #108582=VECTOR('',#381789,10.); #108583=VECTOR('',#381790,10.); #108584=VECTOR('',#381793,10.); #108585=VECTOR('',#381794,10.); #108586=VECTOR('',#381795,10.); #108587=VECTOR('',#381798,10.); #108588=VECTOR('',#381799,10.); #108589=VECTOR('',#381800,10.); #108590=VECTOR('',#381803,10.); #108591=VECTOR('',#381804,10.); #108592=VECTOR('',#381805,10.); #108593=VECTOR('',#381808,10.); #108594=VECTOR('',#381809,10.); #108595=VECTOR('',#381810,10.); #108596=VECTOR('',#381813,10.); #108597=VECTOR('',#381814,10.); #108598=VECTOR('',#381815,10.); #108599=VECTOR('',#381818,10.); #108600=VECTOR('',#381819,10.); #108601=VECTOR('',#381820,10.); #108602=VECTOR('',#381823,10.); #108603=VECTOR('',#381824,10.); #108604=VECTOR('',#381825,10.); #108605=VECTOR('',#381828,10.); #108606=VECTOR('',#381829,10.); #108607=VECTOR('',#381830,10.); #108608=VECTOR('',#381833,10.); #108609=VECTOR('',#381834,10.); #108610=VECTOR('',#381835,10.); #108611=VECTOR('',#381838,10.); #108612=VECTOR('',#381839,10.); #108613=VECTOR('',#381840,10.); #108614=VECTOR('',#381843,10.); #108615=VECTOR('',#381844,10.); #108616=VECTOR('',#381845,10.); #108617=VECTOR('',#381848,10.); #108618=VECTOR('',#381849,10.); #108619=VECTOR('',#381850,10.); #108620=VECTOR('',#381853,10.); #108621=VECTOR('',#381854,10.); #108622=VECTOR('',#381855,10.); #108623=VECTOR('',#381858,10.); #108624=VECTOR('',#381859,10.); #108625=VECTOR('',#381860,10.); #108626=VECTOR('',#381863,10.); #108627=VECTOR('',#381864,10.); #108628=VECTOR('',#381865,10.); #108629=VECTOR('',#381868,10.); #108630=VECTOR('',#381869,10.); #108631=VECTOR('',#381870,10.); #108632=VECTOR('',#381873,10.); #108633=VECTOR('',#381874,10.); #108634=VECTOR('',#381875,10.); #108635=VECTOR('',#381878,10.); #108636=VECTOR('',#381879,10.); #108637=VECTOR('',#381880,10.); #108638=VECTOR('',#381883,10.); #108639=VECTOR('',#381884,10.); #108640=VECTOR('',#381885,10.); #108641=VECTOR('',#381888,10.); #108642=VECTOR('',#381889,10.); #108643=VECTOR('',#381890,10.); #108644=VECTOR('',#381893,10.); #108645=VECTOR('',#381894,10.); #108646=VECTOR('',#381895,10.); #108647=VECTOR('',#381898,10.); #108648=VECTOR('',#381899,10.); #108649=VECTOR('',#381900,10.); #108650=VECTOR('',#381903,10.); #108651=VECTOR('',#381904,10.); #108652=VECTOR('',#381905,10.); #108653=VECTOR('',#381908,10.); #108654=VECTOR('',#381909,10.); #108655=VECTOR('',#381910,10.); #108656=VECTOR('',#381913,10.); #108657=VECTOR('',#381914,10.); #108658=VECTOR('',#381915,10.); #108659=VECTOR('',#381918,10.); #108660=VECTOR('',#381919,10.); #108661=VECTOR('',#381920,10.); #108662=VECTOR('',#381923,10.); #108663=VECTOR('',#381924,10.); #108664=VECTOR('',#381925,10.); #108665=VECTOR('',#381928,10.); #108666=VECTOR('',#381929,10.); #108667=VECTOR('',#381930,10.); #108668=VECTOR('',#381933,10.); #108669=VECTOR('',#381934,10.); #108670=VECTOR('',#381935,10.); #108671=VECTOR('',#381938,10.); #108672=VECTOR('',#381939,10.); #108673=VECTOR('',#381940,10.); #108674=VECTOR('',#381943,10.); #108675=VECTOR('',#381944,10.); #108676=VECTOR('',#381953,0.139999999999998); #108677=VECTOR('',#381958,10.); #108678=VECTOR('',#381959,10.); #108679=VECTOR('',#381960,10.); #108680=VECTOR('',#381961,10.); #108681=VECTOR('',#381964,10.); #108682=VECTOR('',#381965,10.); #108683=VECTOR('',#381966,10.); #108684=VECTOR('',#381969,10.); #108685=VECTOR('',#381970,10.); #108686=VECTOR('',#381971,10.); #108687=VECTOR('',#381974,10.); #108688=VECTOR('',#381975,10.); #108689=VECTOR('',#381976,10.); #108690=VECTOR('',#381979,10.); #108691=VECTOR('',#381980,10.); #108692=VECTOR('',#381981,10.); #108693=VECTOR('',#381984,10.); #108694=VECTOR('',#381985,10.); #108695=VECTOR('',#381986,10.); #108696=VECTOR('',#381993,10.); #108697=VECTOR('',#381996,10.); #108698=VECTOR('',#381997,10.); #108699=VECTOR('',#381998,10.); #108700=VECTOR('',#382001,10.); #108701=VECTOR('',#382002,10.); #108702=VECTOR('',#382003,10.); #108703=VECTOR('',#382010,10.); #108704=VECTOR('',#382013,10.); #108705=VECTOR('',#382014,10.); #108706=VECTOR('',#382015,10.); #108707=VECTOR('',#382022,10.); #108708=VECTOR('',#382025,10.); #108709=VECTOR('',#382026,10.); #108710=VECTOR('',#382027,10.); #108711=VECTOR('',#382030,10.); #108712=VECTOR('',#382031,10.); #108713=VECTOR('',#382032,10.); #108714=VECTOR('',#382035,10.); #108715=VECTOR('',#382036,10.); #108716=VECTOR('',#382037,10.); #108717=VECTOR('',#382044,10.); #108718=VECTOR('',#382047,10.); #108719=VECTOR('',#382048,10.); #108720=VECTOR('',#382049,10.); #108721=VECTOR('',#382056,10.); #108722=VECTOR('',#382059,10.); #108723=VECTOR('',#382060,10.); #108724=VECTOR('',#382061,10.); #108725=VECTOR('',#382068,10.); #108726=VECTOR('',#382071,10.); #108727=VECTOR('',#382072,10.); #108728=VECTOR('',#382073,10.); #108729=VECTOR('',#382076,10.); #108730=VECTOR('',#382077,10.); #108731=VECTOR('',#382078,10.); #108732=VECTOR('',#382081,10.); #108733=VECTOR('',#382082,10.); #108734=VECTOR('',#382083,10.); #108735=VECTOR('',#382086,10.); #108736=VECTOR('',#382087,10.); #108737=VECTOR('',#382088,10.); #108738=VECTOR('',#382091,10.); #108739=VECTOR('',#382092,10.); #108740=VECTOR('',#382093,10.); #108741=VECTOR('',#382096,10.); #108742=VECTOR('',#382097,10.); #108743=VECTOR('',#382098,10.); #108744=VECTOR('',#382101,10.); #108745=VECTOR('',#382102,10.); #108746=VECTOR('',#382103,10.); #108747=VECTOR('',#382106,10.); #108748=VECTOR('',#382107,10.); #108749=VECTOR('',#382108,10.); #108750=VECTOR('',#382111,10.); #108751=VECTOR('',#382112,10.); #108752=VECTOR('',#382113,10.); #108753=VECTOR('',#382116,10.); #108754=VECTOR('',#382117,10.); #108755=VECTOR('',#382118,10.); #108756=VECTOR('',#382121,10.); #108757=VECTOR('',#382122,10.); #108758=VECTOR('',#382123,10.); #108759=VECTOR('',#382126,10.); #108760=VECTOR('',#382127,10.); #108761=VECTOR('',#382128,10.); #108762=VECTOR('',#382131,10.); #108763=VECTOR('',#382132,10.); #108764=VECTOR('',#382133,10.); #108765=VECTOR('',#382136,10.); #108766=VECTOR('',#382137,10.); #108767=VECTOR('',#382138,10.); #108768=VECTOR('',#382141,10.); #108769=VECTOR('',#382142,10.); #108770=VECTOR('',#382143,10.); #108771=VECTOR('',#382146,10.); #108772=VECTOR('',#382147,10.); #108773=VECTOR('',#382148,10.); #108774=VECTOR('',#382155,10.); #108775=VECTOR('',#382158,10.); #108776=VECTOR('',#382159,10.); #108777=VECTOR('',#382160,10.); #108778=VECTOR('',#382163,10.); #108779=VECTOR('',#382164,10.); #108780=VECTOR('',#382165,10.); #108781=VECTOR('',#382172,10.); #108782=VECTOR('',#382175,10.); #108783=VECTOR('',#382176,10.); #108784=VECTOR('',#382177,10.); #108785=VECTOR('',#382180,10.); #108786=VECTOR('',#382181,10.); #108787=VECTOR('',#382190,0.139999999999998); #108788=VECTOR('',#382197,0.14); #108789=VECTOR('',#382204,0.14); #108790=VECTOR('',#382211,0.14); #108791=VECTOR('',#382218,0.14); #108792=VECTOR('',#382225,0.14); #108793=VECTOR('',#382232,0.14); #108794=VECTOR('',#382239,0.14); #108795=VECTOR('',#382246,0.14); #108796=VECTOR('',#382253,0.14); #108797=VECTOR('',#382260,0.14); #108798=VECTOR('',#382267,0.14); #108799=VECTOR('',#382274,0.14); #108800=VECTOR('',#382281,0.139999999999998); #108801=VECTOR('',#382288,0.139999999999998); #108802=VECTOR('',#382295,0.139999999999998); #108803=VECTOR('',#382302,0.139999999999998); #108804=VECTOR('',#382309,0.139999999999998); #108805=VECTOR('',#382316,0.14); #108806=VECTOR('',#382323,0.139999999999998); #108807=VECTOR('',#382330,0.139999999999998); #108808=VECTOR('',#382337,0.139999999999998); #108809=VECTOR('',#382344,0.139999999999998); #108810=VECTOR('',#382351,0.139999999999998); #108811=VECTOR('',#382358,0.139999999999998); #108812=VECTOR('',#382365,0.139999999999998); #108813=VECTOR('',#382372,0.139999999999998); #108814=VECTOR('',#382379,0.139999999999998); #108815=VECTOR('',#382386,0.139999999999998); #108816=VECTOR('',#382393,0.14); #108817=VECTOR('',#382400,0.14); #108818=VECTOR('',#382405,10.); #108819=VECTOR('',#382406,10.); #108820=VECTOR('',#382407,10.); #108821=VECTOR('',#382408,10.); #108822=VECTOR('',#382415,10.); #108823=VECTOR('',#382418,10.); #108824=VECTOR('',#382419,10.); #108825=VECTOR('',#382420,10.); #108826=VECTOR('',#382423,10.); #108827=VECTOR('',#382424,10.); #108828=VECTOR('',#382425,10.); #108829=VECTOR('',#382428,10.); #108830=VECTOR('',#382429,10.); #108831=VECTOR('',#382430,10.); #108832=VECTOR('',#382437,10.); #108833=VECTOR('',#382440,10.); #108834=VECTOR('',#382441,10.); #108835=VECTOR('',#382442,10.); #108836=VECTOR('',#382449,10.); #108837=VECTOR('',#382452,10.); #108838=VECTOR('',#382453,10.); #108839=VECTOR('',#382454,10.); #108840=VECTOR('',#382457,10.); #108841=VECTOR('',#382458,10.); #108842=VECTOR('',#382459,10.); #108843=VECTOR('',#382462,10.); #108844=VECTOR('',#382463,10.); #108845=VECTOR('',#382464,10.); #108846=VECTOR('',#382467,10.); #108847=VECTOR('',#382468,10.); #108848=VECTOR('',#382469,10.); #108849=VECTOR('',#382472,10.); #108850=VECTOR('',#382473,10.); #108851=VECTOR('',#382474,10.); #108852=VECTOR('',#382477,10.); #108853=VECTOR('',#382478,10.); #108854=VECTOR('',#382479,10.); #108855=VECTOR('',#382482,10.); #108856=VECTOR('',#382483,10.); #108857=VECTOR('',#382484,10.); #108858=VECTOR('',#382487,10.); #108859=VECTOR('',#382488,10.); #108860=VECTOR('',#382489,10.); #108861=VECTOR('',#382492,10.); #108862=VECTOR('',#382493,10.); #108863=VECTOR('',#382494,10.); #108864=VECTOR('',#382497,10.); #108865=VECTOR('',#382498,10.); #108866=VECTOR('',#382499,10.); #108867=VECTOR('',#382502,10.); #108868=VECTOR('',#382503,10.); #108869=VECTOR('',#382504,10.); #108870=VECTOR('',#382507,10.); #108871=VECTOR('',#382508,10.); #108872=VECTOR('',#382509,10.); #108873=VECTOR('',#382512,10.); #108874=VECTOR('',#382513,10.); #108875=VECTOR('',#382514,10.); #108876=VECTOR('',#382517,10.); #108877=VECTOR('',#382518,10.); #108878=VECTOR('',#382519,10.); #108879=VECTOR('',#382522,10.); #108880=VECTOR('',#382523,10.); #108881=VECTOR('',#382524,10.); #108882=VECTOR('',#382527,10.); #108883=VECTOR('',#382528,10.); #108884=VECTOR('',#382529,10.); #108885=VECTOR('',#382532,10.); #108886=VECTOR('',#382533,10.); #108887=VECTOR('',#382534,10.); #108888=VECTOR('',#382537,10.); #108889=VECTOR('',#382538,10.); #108890=VECTOR('',#382539,10.); #108891=VECTOR('',#382542,10.); #108892=VECTOR('',#382543,10.); #108893=VECTOR('',#382544,10.); #108894=VECTOR('',#382547,10.); #108895=VECTOR('',#382548,10.); #108896=VECTOR('',#382549,10.); #108897=VECTOR('',#382552,10.); #108898=VECTOR('',#382553,10.); #108899=VECTOR('',#382554,10.); #108900=VECTOR('',#382557,10.); #108901=VECTOR('',#382558,10.); #108902=VECTOR('',#382559,10.); #108903=VECTOR('',#382562,10.); #108904=VECTOR('',#382563,10.); #108905=VECTOR('',#382564,10.); #108906=VECTOR('',#382567,10.); #108907=VECTOR('',#382568,10.); #108908=VECTOR('',#382569,10.); #108909=VECTOR('',#382572,10.); #108910=VECTOR('',#382573,10.); #108911=VECTOR('',#382574,10.); #108912=VECTOR('',#382577,10.); #108913=VECTOR('',#382578,10.); #108914=VECTOR('',#382579,10.); #108915=VECTOR('',#382582,10.); #108916=VECTOR('',#382583,10.); #108917=VECTOR('',#382584,10.); #108918=VECTOR('',#382587,10.); #108919=VECTOR('',#382588,10.); #108920=VECTOR('',#382589,10.); #108921=VECTOR('',#382592,10.); #108922=VECTOR('',#382593,10.); #108923=VECTOR('',#382594,10.); #108924=VECTOR('',#382597,10.); #108925=VECTOR('',#382598,10.); #108926=VECTOR('',#382599,10.); #108927=VECTOR('',#382602,10.); #108928=VECTOR('',#382603,10.); #108929=VECTOR('',#382604,10.); #108930=VECTOR('',#382607,10.); #108931=VECTOR('',#382608,10.); #108932=VECTOR('',#382609,10.); #108933=VECTOR('',#382612,10.); #108934=VECTOR('',#382613,10.); #108935=VECTOR('',#382614,10.); #108936=VECTOR('',#382617,10.); #108937=VECTOR('',#382618,10.); #108938=VECTOR('',#382619,10.); #108939=VECTOR('',#382622,10.); #108940=VECTOR('',#382623,10.); #108941=VECTOR('',#382624,10.); #108942=VECTOR('',#382627,10.); #108943=VECTOR('',#382628,10.); #108944=VECTOR('',#382629,10.); #108945=VECTOR('',#382632,10.); #108946=VECTOR('',#382633,10.); #108947=VECTOR('',#382634,10.); #108948=VECTOR('',#382637,10.); #108949=VECTOR('',#382638,10.); #108950=VECTOR('',#382639,10.); #108951=VECTOR('',#382642,10.); #108952=VECTOR('',#382643,10.); #108953=VECTOR('',#382644,10.); #108954=VECTOR('',#382647,10.); #108955=VECTOR('',#382648,10.); #108956=VECTOR('',#382649,10.); #108957=VECTOR('',#382652,10.); #108958=VECTOR('',#382653,10.); #108959=VECTOR('',#382654,10.); #108960=VECTOR('',#382657,10.); #108961=VECTOR('',#382658,10.); #108962=VECTOR('',#382659,10.); #108963=VECTOR('',#382662,10.); #108964=VECTOR('',#382663,10.); #108965=VECTOR('',#382664,10.); #108966=VECTOR('',#382667,10.); #108967=VECTOR('',#382668,10.); #108968=VECTOR('',#382669,10.); #108969=VECTOR('',#382672,10.); #108970=VECTOR('',#382673,10.); #108971=VECTOR('',#382674,10.); #108972=VECTOR('',#382677,10.); #108973=VECTOR('',#382678,10.); #108974=VECTOR('',#382679,10.); #108975=VECTOR('',#382682,10.); #108976=VECTOR('',#382683,10.); #108977=VECTOR('',#382684,10.); #108978=VECTOR('',#382687,10.); #108979=VECTOR('',#382688,10.); #108980=VECTOR('',#382689,10.); #108981=VECTOR('',#382692,10.); #108982=VECTOR('',#382693,10.); #108983=VECTOR('',#382694,10.); #108984=VECTOR('',#382697,10.); #108985=VECTOR('',#382698,10.); #108986=VECTOR('',#382699,10.); #108987=VECTOR('',#382702,10.); #108988=VECTOR('',#382703,10.); #108989=VECTOR('',#382704,10.); #108990=VECTOR('',#382707,10.); #108991=VECTOR('',#382708,10.); #108992=VECTOR('',#382709,10.); #108993=VECTOR('',#382712,10.); #108994=VECTOR('',#382713,10.); #108995=VECTOR('',#382714,10.); #108996=VECTOR('',#382717,10.); #108997=VECTOR('',#382718,10.); #108998=VECTOR('',#382719,10.); #108999=VECTOR('',#382722,10.); #109000=VECTOR('',#382723,10.); #109001=VECTOR('',#382724,10.); #109002=VECTOR('',#382727,10.); #109003=VECTOR('',#382728,10.); #109004=VECTOR('',#382729,10.); #109005=VECTOR('',#382732,10.); #109006=VECTOR('',#382733,10.); #109007=VECTOR('',#382734,10.); #109008=VECTOR('',#382737,10.); #109009=VECTOR('',#382738,10.); #109010=VECTOR('',#382739,10.); #109011=VECTOR('',#382742,10.); #109012=VECTOR('',#382743,10.); #109013=VECTOR('',#382744,10.); #109014=VECTOR('',#382747,10.); #109015=VECTOR('',#382748,10.); #109016=VECTOR('',#382749,10.); #109017=VECTOR('',#382752,10.); #109018=VECTOR('',#382753,10.); #109019=VECTOR('',#382754,10.); #109020=VECTOR('',#382757,10.); #109021=VECTOR('',#382758,10.); #109022=VECTOR('',#382759,10.); #109023=VECTOR('',#382762,10.); #109024=VECTOR('',#382763,10.); #109025=VECTOR('',#382764,10.); #109026=VECTOR('',#382767,10.); #109027=VECTOR('',#382768,10.); #109028=VECTOR('',#382769,10.); #109029=VECTOR('',#382772,10.); #109030=VECTOR('',#382773,10.); #109031=VECTOR('',#382774,10.); #109032=VECTOR('',#382777,10.); #109033=VECTOR('',#382778,10.); #109034=VECTOR('',#382779,10.); #109035=VECTOR('',#382782,10.); #109036=VECTOR('',#382783,10.); #109037=VECTOR('',#382784,10.); #109038=VECTOR('',#382787,10.); #109039=VECTOR('',#382788,10.); #109040=VECTOR('',#382789,10.); #109041=VECTOR('',#382792,10.); #109042=VECTOR('',#382793,10.); #109043=VECTOR('',#382794,10.); #109044=VECTOR('',#382797,10.); #109045=VECTOR('',#382798,10.); #109046=VECTOR('',#382799,10.); #109047=VECTOR('',#382802,10.); #109048=VECTOR('',#382803,10.); #109049=VECTOR('',#382804,10.); #109050=VECTOR('',#382807,10.); #109051=VECTOR('',#382808,10.); #109052=VECTOR('',#382809,10.); #109053=VECTOR('',#382816,10.); #109054=VECTOR('',#382819,10.); #109055=VECTOR('',#382820,10.); #109056=VECTOR('',#382821,10.); #109057=VECTOR('',#382828,10.); #109058=VECTOR('',#382831,10.); #109059=VECTOR('',#382832,10.); #109060=VECTOR('',#382833,10.); #109061=VECTOR('',#382848,0.139999999999998); #109062=VECTOR('',#382853,10.); #109063=VECTOR('',#382854,10.); #109064=VECTOR('',#382855,10.); #109065=VECTOR('',#382856,10.); #109066=VECTOR('',#382859,10.); #109067=VECTOR('',#382860,10.); #109068=VECTOR('',#382861,10.); #109069=VECTOR('',#382864,10.); #109070=VECTOR('',#382865,10.); #109071=VECTOR('',#382866,10.); #109072=VECTOR('',#382869,10.); #109073=VECTOR('',#382870,10.); #109074=VECTOR('',#382871,10.); #109075=VECTOR('',#382874,10.); #109076=VECTOR('',#382875,10.); #109077=VECTOR('',#382876,10.); #109078=VECTOR('',#382879,10.); #109079=VECTOR('',#382880,10.); #109080=VECTOR('',#382881,10.); #109081=VECTOR('',#382888,10.); #109082=VECTOR('',#382891,10.); #109083=VECTOR('',#382892,10.); #109084=VECTOR('',#382893,10.); #109085=VECTOR('',#382896,10.); #109086=VECTOR('',#382897,10.); #109087=VECTOR('',#382898,10.); #109088=VECTOR('',#382905,10.); #109089=VECTOR('',#382908,10.); #109090=VECTOR('',#382909,10.); #109091=VECTOR('',#382910,10.); #109092=VECTOR('',#382917,10.); #109093=VECTOR('',#382924,10.); #109094=VECTOR('',#382927,10.); #109095=VECTOR('',#382928,10.); #109096=VECTOR('',#382929,10.); #109097=VECTOR('',#382932,10.); #109098=VECTOR('',#382933,10.); #109099=VECTOR('',#382934,10.); #109100=VECTOR('',#382941,10.); #109101=VECTOR('',#382944,10.); #109102=VECTOR('',#382945,10.); #109103=VECTOR('',#382946,10.); #109104=VECTOR('',#382949,10.); #109105=VECTOR('',#382950,10.); #109106=VECTOR('',#382951,10.); #109107=VECTOR('',#382958,10.); #109108=VECTOR('',#382961,10.); #109109=VECTOR('',#382962,10.); #109110=VECTOR('',#382963,10.); #109111=VECTOR('',#382966,10.); #109112=VECTOR('',#382967,10.); #109113=VECTOR('',#382976,0.140000000000002); #109114=VECTOR('',#382983,0.140000000000002); #109115=VECTOR('',#382990,0.140000000000002); #109116=VECTOR('',#382997,0.140000000000002); #109117=VECTOR('',#383004,0.140000000000002); #109118=VECTOR('',#383011,0.139999999999998); #109119=VECTOR('',#383018,0.140000000000002); #109120=VECTOR('',#383025,0.140000000000002); #109121=VECTOR('',#383032,0.140000000000002); #109122=VECTOR('',#383039,0.140000000000002); #109123=VECTOR('',#383046,0.465); #109124=VECTOR('',#383051,10.); #109125=VECTOR('',#383052,10.); #109126=VECTOR('',#383053,10.); #109127=VECTOR('',#383054,10.); #109128=VECTOR('',#383057,10.); #109129=VECTOR('',#383058,10.); #109130=VECTOR('',#383059,10.); #109131=VECTOR('',#383062,10.); #109132=VECTOR('',#383063,10.); #109133=VECTOR('',#383064,10.); #109134=VECTOR('',#383067,10.); #109135=VECTOR('',#383068,10.); #109136=VECTOR('',#383069,10.); #109137=VECTOR('',#383072,10.); #109138=VECTOR('',#383073,10.); #109139=VECTOR('',#383074,10.); #109140=VECTOR('',#383077,10.); #109141=VECTOR('',#383078,10.); #109142=VECTOR('',#383079,10.); #109143=VECTOR('',#383082,10.); #109144=VECTOR('',#383083,10.); #109145=VECTOR('',#383084,10.); #109146=VECTOR('',#383087,10.); #109147=VECTOR('',#383088,10.); #109148=VECTOR('',#383089,10.); #109149=VECTOR('',#383092,10.); #109150=VECTOR('',#383093,10.); #109151=VECTOR('',#383094,10.); #109152=VECTOR('',#383097,10.); #109153=VECTOR('',#383098,10.); #109154=VECTOR('',#383099,10.); #109155=VECTOR('',#383102,10.); #109156=VECTOR('',#383103,10.); #109157=VECTOR('',#383104,10.); #109158=VECTOR('',#383107,10.); #109159=VECTOR('',#383108,10.); #109160=VECTOR('',#383109,10.); #109161=VECTOR('',#383112,10.); #109162=VECTOR('',#383113,10.); #109163=VECTOR('',#383114,10.); #109164=VECTOR('',#383117,10.); #109165=VECTOR('',#383118,10.); #109166=VECTOR('',#383119,10.); #109167=VECTOR('',#383122,10.); #109168=VECTOR('',#383123,10.); #109169=VECTOR('',#383124,10.); #109170=VECTOR('',#383127,10.); #109171=VECTOR('',#383128,10.); #109172=VECTOR('',#383129,10.); #109173=VECTOR('',#383132,10.); #109174=VECTOR('',#383133,10.); #109175=VECTOR('',#383134,10.); #109176=VECTOR('',#383137,10.); #109177=VECTOR('',#383138,10.); #109178=VECTOR('',#383139,10.); #109179=VECTOR('',#383142,10.); #109180=VECTOR('',#383143,10.); #109181=VECTOR('',#383144,10.); #109182=VECTOR('',#383147,10.); #109183=VECTOR('',#383148,10.); #109184=VECTOR('',#383149,10.); #109185=VECTOR('',#383152,10.); #109186=VECTOR('',#383153,10.); #109187=VECTOR('',#383154,10.); #109188=VECTOR('',#383157,10.); #109189=VECTOR('',#383158,10.); #109190=VECTOR('',#383159,10.); #109191=VECTOR('',#383162,10.); #109192=VECTOR('',#383163,10.); #109193=VECTOR('',#383164,10.); #109194=VECTOR('',#383167,10.); #109195=VECTOR('',#383168,10.); #109196=VECTOR('',#383169,10.); #109197=VECTOR('',#383176,10.); #109198=VECTOR('',#383179,10.); #109199=VECTOR('',#383180,10.); #109200=VECTOR('',#383181,10.); #109201=VECTOR('',#383184,10.); #109202=VECTOR('',#383185,10.); #109203=VECTOR('',#383186,10.); #109204=VECTOR('',#383193,10.); #109205=VECTOR('',#383196,10.); #109206=VECTOR('',#383197,10.); #109207=VECTOR('',#383198,10.); #109208=VECTOR('',#383201,10.); #109209=VECTOR('',#383202,10.); #109210=VECTOR('',#383203,10.); #109211=VECTOR('',#383206,10.); #109212=VECTOR('',#383207,10.); #109213=VECTOR('',#383208,10.); #109214=VECTOR('',#383211,10.); #109215=VECTOR('',#383212,10.); #109216=VECTOR('',#383213,10.); #109217=VECTOR('',#383216,10.); #109218=VECTOR('',#383217,10.); #109219=VECTOR('',#383218,10.); #109220=VECTOR('',#383221,10.); #109221=VECTOR('',#383222,10.); #109222=VECTOR('',#383223,10.); #109223=VECTOR('',#383226,10.); #109224=VECTOR('',#383227,10.); #109225=VECTOR('',#383228,10.); #109226=VECTOR('',#383231,10.); #109227=VECTOR('',#383232,10.); #109228=VECTOR('',#383233,10.); #109229=VECTOR('',#383240,10.); #109230=VECTOR('',#383243,10.); #109231=VECTOR('',#383244,10.); #109232=VECTOR('',#383245,10.); #109233=VECTOR('',#383252,10.); #109234=VECTOR('',#383255,10.); #109235=VECTOR('',#383256,10.); #109236=VECTOR('',#383257,10.); #109237=VECTOR('',#383260,10.); #109238=VECTOR('',#383261,10.); #109239=VECTOR('',#383262,10.); #109240=VECTOR('',#383265,10.); #109241=VECTOR('',#383266,10.); #109242=VECTOR('',#383267,10.); #109243=VECTOR('',#383270,10.); #109244=VECTOR('',#383271,10.); #109245=VECTOR('',#383272,10.); #109246=VECTOR('',#383275,10.); #109247=VECTOR('',#383276,10.); #109248=VECTOR('',#383277,10.); #109249=VECTOR('',#383280,10.); #109250=VECTOR('',#383281,10.); #109251=VECTOR('',#383282,10.); #109252=VECTOR('',#383285,10.); #109253=VECTOR('',#383286,10.); #109254=VECTOR('',#383287,10.); #109255=VECTOR('',#383290,10.); #109256=VECTOR('',#383291,10.); #109257=VECTOR('',#383292,10.); #109258=VECTOR('',#383295,10.); #109259=VECTOR('',#383296,10.); #109260=VECTOR('',#383297,10.); #109261=VECTOR('',#383300,10.); #109262=VECTOR('',#383301,10.); #109263=VECTOR('',#383302,10.); #109264=VECTOR('',#383305,10.); #109265=VECTOR('',#383306,10.); #109266=VECTOR('',#383307,10.); #109267=VECTOR('',#383310,10.); #109268=VECTOR('',#383311,10.); #109269=VECTOR('',#383312,10.); #109270=VECTOR('',#383315,10.); #109271=VECTOR('',#383316,10.); #109272=VECTOR('',#383317,10.); #109273=VECTOR('',#383320,10.); #109274=VECTOR('',#383321,10.); #109275=VECTOR('',#383322,10.); #109276=VECTOR('',#383325,10.); #109277=VECTOR('',#383326,10.); #109278=VECTOR('',#383327,10.); #109279=VECTOR('',#383334,10.); #109280=VECTOR('',#383337,10.); #109281=VECTOR('',#383338,10.); #109282=VECTOR('',#383339,10.); #109283=VECTOR('',#383346,10.); #109284=VECTOR('',#383349,10.); #109285=VECTOR('',#383350,10.); #109286=VECTOR('',#383351,10.); #109287=VECTOR('',#383354,10.); #109288=VECTOR('',#383355,10.); #109289=VECTOR('',#383356,10.); #109290=VECTOR('',#383359,10.); #109291=VECTOR('',#383360,10.); #109292=VECTOR('',#383361,10.); #109293=VECTOR('',#383364,10.); #109294=VECTOR('',#383365,10.); #109295=VECTOR('',#383366,10.); #109296=VECTOR('',#383369,10.); #109297=VECTOR('',#383370,10.); #109298=VECTOR('',#383371,10.); #109299=VECTOR('',#383374,10.); #109300=VECTOR('',#383375,10.); #109301=VECTOR('',#383376,10.); #109302=VECTOR('',#383379,10.); #109303=VECTOR('',#383380,10.); #109304=VECTOR('',#383381,10.); #109305=VECTOR('',#383384,10.); #109306=VECTOR('',#383385,10.); #109307=VECTOR('',#383386,10.); #109308=VECTOR('',#383389,10.); #109309=VECTOR('',#383390,10.); #109310=VECTOR('',#383391,10.); #109311=VECTOR('',#383394,10.); #109312=VECTOR('',#383395,10.); #109313=VECTOR('',#383396,10.); #109314=VECTOR('',#383399,10.); #109315=VECTOR('',#383400,10.); #109316=VECTOR('',#383401,10.); #109317=VECTOR('',#383404,10.); #109318=VECTOR('',#383405,10.); #109319=VECTOR('',#383406,10.); #109320=VECTOR('',#383409,10.); #109321=VECTOR('',#383410,10.); #109322=VECTOR('',#383411,10.); #109323=VECTOR('',#383414,10.); #109324=VECTOR('',#383415,10.); #109325=VECTOR('',#383416,10.); #109326=VECTOR('',#383419,10.); #109327=VECTOR('',#383420,10.); #109328=VECTOR('',#383421,10.); #109329=VECTOR('',#383424,10.); #109330=VECTOR('',#383425,10.); #109331=VECTOR('',#383426,10.); #109332=VECTOR('',#383429,10.); #109333=VECTOR('',#383430,10.); #109334=VECTOR('',#383431,10.); #109335=VECTOR('',#383434,10.); #109336=VECTOR('',#383435,10.); #109337=VECTOR('',#383436,10.); #109338=VECTOR('',#383439,10.); #109339=VECTOR('',#383440,10.); #109340=VECTOR('',#383441,10.); #109341=VECTOR('',#383444,10.); #109342=VECTOR('',#383445,10.); #109343=VECTOR('',#383446,10.); #109344=VECTOR('',#383449,10.); #109345=VECTOR('',#383450,10.); #109346=VECTOR('',#383451,10.); #109347=VECTOR('',#383454,10.); #109348=VECTOR('',#383455,10.); #109349=VECTOR('',#383456,10.); #109350=VECTOR('',#383459,10.); #109351=VECTOR('',#383460,10.); #109352=VECTOR('',#383461,10.); #109353=VECTOR('',#383464,10.); #109354=VECTOR('',#383465,10.); #109355=VECTOR('',#383466,10.); #109356=VECTOR('',#383469,10.); #109357=VECTOR('',#383470,10.); #109358=VECTOR('',#383471,10.); #109359=VECTOR('',#383474,10.); #109360=VECTOR('',#383475,10.); #109361=VECTOR('',#383476,10.); #109362=VECTOR('',#383479,10.); #109363=VECTOR('',#383480,10.); #109364=VECTOR('',#383481,10.); #109365=VECTOR('',#383484,10.); #109366=VECTOR('',#383485,10.); #109367=VECTOR('',#383486,10.); #109368=VECTOR('',#383489,10.); #109369=VECTOR('',#383490,10.); #109370=VECTOR('',#383491,10.); #109371=VECTOR('',#383494,10.); #109372=VECTOR('',#383495,10.); #109373=VECTOR('',#383496,10.); #109374=VECTOR('',#383499,10.); #109375=VECTOR('',#383500,10.); #109376=VECTOR('',#383501,10.); #109377=VECTOR('',#383504,10.); #109378=VECTOR('',#383505,10.); #109379=VECTOR('',#383506,10.); #109380=VECTOR('',#383509,10.); #109381=VECTOR('',#383510,10.); #109382=VECTOR('',#383511,10.); #109383=VECTOR('',#383514,10.); #109384=VECTOR('',#383515,10.); #109385=VECTOR('',#383516,10.); #109386=VECTOR('',#383519,10.); #109387=VECTOR('',#383520,10.); #109388=VECTOR('',#383521,10.); #109389=VECTOR('',#383524,10.); #109390=VECTOR('',#383525,10.); #109391=VECTOR('',#383526,10.); #109392=VECTOR('',#383529,10.); #109393=VECTOR('',#383530,10.); #109394=VECTOR('',#383531,10.); #109395=VECTOR('',#383534,10.); #109396=VECTOR('',#383535,10.); #109397=VECTOR('',#383536,10.); #109398=VECTOR('',#383539,10.); #109399=VECTOR('',#383540,10.); #109400=VECTOR('',#383541,10.); #109401=VECTOR('',#383544,10.); #109402=VECTOR('',#383545,10.); #109403=VECTOR('',#383546,10.); #109404=VECTOR('',#383549,10.); #109405=VECTOR('',#383550,10.); #109406=VECTOR('',#383551,10.); #109407=VECTOR('',#383554,10.); #109408=VECTOR('',#383555,10.); #109409=VECTOR('',#383556,10.); #109410=VECTOR('',#383559,10.); #109411=VECTOR('',#383560,10.); #109412=VECTOR('',#383561,10.); #109413=VECTOR('',#383564,10.); #109414=VECTOR('',#383565,10.); #109415=VECTOR('',#383566,10.); #109416=VECTOR('',#383569,10.); #109417=VECTOR('',#383570,10.); #109418=VECTOR('',#383571,10.); #109419=VECTOR('',#383574,10.); #109420=VECTOR('',#383575,10.); #109421=VECTOR('',#383576,10.); #109422=VECTOR('',#383579,10.); #109423=VECTOR('',#383580,10.); #109424=VECTOR('',#383581,10.); #109425=VECTOR('',#383584,10.); #109426=VECTOR('',#383585,10.); #109427=VECTOR('',#383586,10.); #109428=VECTOR('',#383589,10.); #109429=VECTOR('',#383590,10.); #109430=VECTOR('',#383591,10.); #109431=VECTOR('',#383594,10.); #109432=VECTOR('',#383595,10.); #109433=VECTOR('',#383596,10.); #109434=VECTOR('',#383599,10.); #109435=VECTOR('',#383600,10.); #109436=VECTOR('',#383601,10.); #109437=VECTOR('',#383604,10.); #109438=VECTOR('',#383605,10.); #109439=VECTOR('',#383606,10.); #109440=VECTOR('',#383609,10.); #109441=VECTOR('',#383610,10.); #109442=VECTOR('',#383611,10.); #109443=VECTOR('',#383614,10.); #109444=VECTOR('',#383615,10.); #109445=VECTOR('',#383616,10.); #109446=VECTOR('',#383619,10.); #109447=VECTOR('',#383620,10.); #109448=VECTOR('',#383621,10.); #109449=VECTOR('',#383624,10.); #109450=VECTOR('',#383625,10.); #109451=VECTOR('',#383626,10.); #109452=VECTOR('',#383629,10.); #109453=VECTOR('',#383630,10.); #109454=VECTOR('',#383631,10.); #109455=VECTOR('',#383634,10.); #109456=VECTOR('',#383635,10.); #109457=VECTOR('',#383636,10.); #109458=VECTOR('',#383639,10.); #109459=VECTOR('',#383640,10.); #109460=VECTOR('',#383641,10.); #109461=VECTOR('',#383644,10.); #109462=VECTOR('',#383645,10.); #109463=VECTOR('',#383646,10.); #109464=VECTOR('',#383649,10.); #109465=VECTOR('',#383650,10.); #109466=VECTOR('',#383651,10.); #109467=VECTOR('',#383654,10.); #109468=VECTOR('',#383655,10.); #109469=VECTOR('',#383656,10.); #109470=VECTOR('',#383659,10.); #109471=VECTOR('',#383660,10.); #109472=VECTOR('',#383661,10.); #109473=VECTOR('',#383664,10.); #109474=VECTOR('',#383665,10.); #109475=VECTOR('',#383666,10.); #109476=VECTOR('',#383669,10.); #109477=VECTOR('',#383670,10.); #109478=VECTOR('',#383671,10.); #109479=VECTOR('',#383674,10.); #109480=VECTOR('',#383675,10.); #109481=VECTOR('',#383676,10.); #109482=VECTOR('',#383679,10.); #109483=VECTOR('',#383680,10.); #109484=VECTOR('',#383689,0.140000000000002); #109485=VECTOR('',#383696,0.140000000000002); #109486=VECTOR('',#383703,0.140000000000002); #109487=VECTOR('',#383710,0.140000000000002); #109488=VECTOR('',#383717,0.140000000000002); #109489=VECTOR('',#383724,0.140000000000002); #109490=VECTOR('',#383731,0.140000000000002); #109491=VECTOR('',#383738,0.140000000000002); #109492=VECTOR('',#383745,0.140000000000002); #109493=VECTOR('',#383752,0.140000000000002); #109494=VECTOR('',#383759,0.140000000000002); #109495=VECTOR('',#383766,0.140000000000002); #109496=VECTOR('',#383773,0.140000000000002); #109497=VECTOR('',#383780,0.140000000000002); #109498=VECTOR('',#383787,0.140000000000002); #109499=VECTOR('',#383794,0.140000000000002); #109500=VECTOR('',#383801,0.140000000000002); #109501=VECTOR('',#383808,0.140000000000002); #109502=VECTOR('',#383815,0.140000000000002); #109503=VECTOR('',#383822,0.140000000000002); #109504=VECTOR('',#383829,0.140000000000002); #109505=VECTOR('',#383836,0.140000000000002); #109506=VECTOR('',#383843,0.140000000000002); #109507=VECTOR('',#383850,0.140000000000002); #109508=VECTOR('',#383857,0.140000000000002); #109509=VECTOR('',#383864,0.140000000000002); #109510=VECTOR('',#383871,0.140000000000002); #109511=VECTOR('',#383878,0.140000000000002); #109512=VECTOR('',#383885,0.140000000000002); #109513=VECTOR('',#383892,0.140000000000002); #109514=VECTOR('',#383899,0.140000000000002); #109515=VECTOR('',#383904,10.); #109516=VECTOR('',#383905,10.); #109517=VECTOR('',#383906,10.); #109518=VECTOR('',#383907,10.); #109519=VECTOR('',#383914,10.); #109520=VECTOR('',#383917,10.); #109521=VECTOR('',#383918,10.); #109522=VECTOR('',#383919,10.); #109523=VECTOR('',#383926,10.); #109524=VECTOR('',#383929,10.); #109525=VECTOR('',#383930,10.); #109526=VECTOR('',#383931,10.); #109527=VECTOR('',#383938,10.); #109528=VECTOR('',#383941,10.); #109529=VECTOR('',#383942,10.); #109530=VECTOR('',#383943,10.); #109531=VECTOR('',#383946,10.); #109532=VECTOR('',#383947,10.); #109533=VECTOR('',#383948,10.); #109534=VECTOR('',#383951,10.); #109535=VECTOR('',#383952,10.); #109536=VECTOR('',#383953,10.); #109537=VECTOR('',#383956,10.); #109538=VECTOR('',#383957,10.); #109539=VECTOR('',#383958,10.); #109540=VECTOR('',#383961,10.); #109541=VECTOR('',#383962,10.); #109542=VECTOR('',#383963,10.); #109543=VECTOR('',#383966,10.); #109544=VECTOR('',#383967,10.); #109545=VECTOR('',#383968,10.); #109546=VECTOR('',#383971,10.); #109547=VECTOR('',#383972,10.); #109548=VECTOR('',#383973,10.); #109549=VECTOR('',#383976,10.); #109550=VECTOR('',#383977,10.); #109551=VECTOR('',#383978,10.); #109552=VECTOR('',#383981,10.); #109553=VECTOR('',#383982,10.); #109554=VECTOR('',#383983,10.); #109555=VECTOR('',#383986,10.); #109556=VECTOR('',#383987,10.); #109557=VECTOR('',#383988,10.); #109558=VECTOR('',#383991,10.); #109559=VECTOR('',#383992,10.); #109560=VECTOR('',#383993,10.); #109561=VECTOR('',#383996,10.); #109562=VECTOR('',#383997,10.); #109563=VECTOR('',#383998,10.); #109564=VECTOR('',#384001,10.); #109565=VECTOR('',#384002,10.); #109566=VECTOR('',#384003,10.); #109567=VECTOR('',#384006,10.); #109568=VECTOR('',#384007,10.); #109569=VECTOR('',#384008,10.); #109570=VECTOR('',#384011,10.); #109571=VECTOR('',#384012,10.); #109572=VECTOR('',#384013,10.); #109573=VECTOR('',#384016,10.); #109574=VECTOR('',#384017,10.); #109575=VECTOR('',#384018,10.); #109576=VECTOR('',#384021,10.); #109577=VECTOR('',#384022,10.); #109578=VECTOR('',#384023,10.); #109579=VECTOR('',#384026,10.); #109580=VECTOR('',#384027,10.); #109581=VECTOR('',#384028,10.); #109582=VECTOR('',#384031,10.); #109583=VECTOR('',#384032,10.); #109584=VECTOR('',#384033,10.); #109585=VECTOR('',#384036,10.); #109586=VECTOR('',#384037,10.); #109587=VECTOR('',#384038,10.); #109588=VECTOR('',#384041,10.); #109589=VECTOR('',#384042,10.); #109590=VECTOR('',#384043,10.); #109591=VECTOR('',#384046,10.); #109592=VECTOR('',#384047,10.); #109593=VECTOR('',#384048,10.); #109594=VECTOR('',#384051,10.); #109595=VECTOR('',#384052,10.); #109596=VECTOR('',#384053,10.); #109597=VECTOR('',#384056,10.); #109598=VECTOR('',#384057,10.); #109599=VECTOR('',#384058,10.); #109600=VECTOR('',#384061,10.); #109601=VECTOR('',#384062,10.); #109602=VECTOR('',#384063,10.); #109603=VECTOR('',#384066,10.); #109604=VECTOR('',#384067,10.); #109605=VECTOR('',#384068,10.); #109606=VECTOR('',#384071,10.); #109607=VECTOR('',#384072,10.); #109608=VECTOR('',#384073,10.); #109609=VECTOR('',#384076,10.); #109610=VECTOR('',#384077,10.); #109611=VECTOR('',#384078,10.); #109612=VECTOR('',#384081,10.); #109613=VECTOR('',#384082,10.); #109614=VECTOR('',#384083,10.); #109615=VECTOR('',#384086,10.); #109616=VECTOR('',#384087,10.); #109617=VECTOR('',#384088,10.); #109618=VECTOR('',#384091,10.); #109619=VECTOR('',#384092,10.); #109620=VECTOR('',#384093,10.); #109621=VECTOR('',#384096,10.); #109622=VECTOR('',#384097,10.); #109623=VECTOR('',#384098,10.); #109624=VECTOR('',#384101,10.); #109625=VECTOR('',#384102,10.); #109626=VECTOR('',#384103,10.); #109627=VECTOR('',#384106,10.); #109628=VECTOR('',#384107,10.); #109629=VECTOR('',#384108,10.); #109630=VECTOR('',#384111,10.); #109631=VECTOR('',#384112,10.); #109632=VECTOR('',#384113,10.); #109633=VECTOR('',#384116,10.); #109634=VECTOR('',#384117,10.); #109635=VECTOR('',#384118,10.); #109636=VECTOR('',#384121,10.); #109637=VECTOR('',#384122,10.); #109638=VECTOR('',#384123,10.); #109639=VECTOR('',#384126,10.); #109640=VECTOR('',#384127,10.); #109641=VECTOR('',#384128,10.); #109642=VECTOR('',#384131,10.); #109643=VECTOR('',#384132,10.); #109644=VECTOR('',#384133,10.); #109645=VECTOR('',#384136,10.); #109646=VECTOR('',#384137,10.); #109647=VECTOR('',#384138,10.); #109648=VECTOR('',#384141,10.); #109649=VECTOR('',#384142,10.); #109650=VECTOR('',#384143,10.); #109651=VECTOR('',#384146,10.); #109652=VECTOR('',#384147,10.); #109653=VECTOR('',#384148,10.); #109654=VECTOR('',#384151,10.); #109655=VECTOR('',#384152,10.); #109656=VECTOR('',#384153,10.); #109657=VECTOR('',#384156,10.); #109658=VECTOR('',#384157,10.); #109659=VECTOR('',#384158,10.); #109660=VECTOR('',#384161,10.); #109661=VECTOR('',#384162,10.); #109662=VECTOR('',#384163,10.); #109663=VECTOR('',#384166,10.); #109664=VECTOR('',#384167,10.); #109665=VECTOR('',#384168,10.); #109666=VECTOR('',#384171,10.); #109667=VECTOR('',#384172,10.); #109668=VECTOR('',#384173,10.); #109669=VECTOR('',#384176,10.); #109670=VECTOR('',#384177,10.); #109671=VECTOR('',#384178,10.); #109672=VECTOR('',#384181,10.); #109673=VECTOR('',#384182,10.); #109674=VECTOR('',#384183,10.); #109675=VECTOR('',#384186,10.); #109676=VECTOR('',#384187,10.); #109677=VECTOR('',#384188,10.); #109678=VECTOR('',#384191,10.); #109679=VECTOR('',#384192,10.); #109680=VECTOR('',#384193,10.); #109681=VECTOR('',#384196,10.); #109682=VECTOR('',#384197,10.); #109683=VECTOR('',#384198,10.); #109684=VECTOR('',#384201,10.); #109685=VECTOR('',#384202,10.); #109686=VECTOR('',#384203,10.); #109687=VECTOR('',#384206,10.); #109688=VECTOR('',#384207,10.); #109689=VECTOR('',#384208,10.); #109690=VECTOR('',#384211,10.); #109691=VECTOR('',#384212,10.); #109692=VECTOR('',#384213,10.); #109693=VECTOR('',#384216,10.); #109694=VECTOR('',#384217,10.); #109695=VECTOR('',#384218,10.); #109696=VECTOR('',#384221,10.); #109697=VECTOR('',#384222,10.); #109698=VECTOR('',#384223,10.); #109699=VECTOR('',#384226,10.); #109700=VECTOR('',#384227,10.); #109701=VECTOR('',#384228,10.); #109702=VECTOR('',#384231,10.); #109703=VECTOR('',#384232,10.); #109704=VECTOR('',#384233,10.); #109705=VECTOR('',#384236,10.); #109706=VECTOR('',#384237,10.); #109707=VECTOR('',#384238,10.); #109708=VECTOR('',#384241,10.); #109709=VECTOR('',#384242,10.); #109710=VECTOR('',#384243,10.); #109711=VECTOR('',#384246,10.); #109712=VECTOR('',#384247,10.); #109713=VECTOR('',#384248,10.); #109714=VECTOR('',#384251,10.); #109715=VECTOR('',#384252,10.); #109716=VECTOR('',#384253,10.); #109717=VECTOR('',#384256,10.); #109718=VECTOR('',#384257,10.); #109719=VECTOR('',#384258,10.); #109720=VECTOR('',#384261,10.); #109721=VECTOR('',#384262,10.); #109722=VECTOR('',#384263,10.); #109723=VECTOR('',#384266,10.); #109724=VECTOR('',#384267,10.); #109725=VECTOR('',#384268,10.); #109726=VECTOR('',#384271,10.); #109727=VECTOR('',#384272,10.); #109728=VECTOR('',#384273,10.); #109729=VECTOR('',#384280,10.); #109730=VECTOR('',#384283,10.); #109731=VECTOR('',#384284,10.); #109732=VECTOR('',#384285,10.); #109733=VECTOR('',#384292,10.); #109734=VECTOR('',#384295,10.); #109735=VECTOR('',#384296,10.); #109736=VECTOR('',#384297,10.); #109737=VECTOR('',#384300,10.); #109738=VECTOR('',#384301,10.); #109739=VECTOR('',#384302,10.); #109740=VECTOR('',#384305,10.); #109741=VECTOR('',#384306,10.); #109742=VECTOR('',#384307,10.); #109743=VECTOR('',#384322,0.140000000000002); #109744=VECTOR('',#384327,10.); #109745=VECTOR('',#384328,10.); #109746=VECTOR('',#384329,10.); #109747=VECTOR('',#384330,10.); #109748=VECTOR('',#384333,10.); #109749=VECTOR('',#384334,10.); #109750=VECTOR('',#384335,10.); #109751=VECTOR('',#384338,10.); #109752=VECTOR('',#384339,10.); #109753=VECTOR('',#384340,10.); #109754=VECTOR('',#384343,10.); #109755=VECTOR('',#384344,10.); #109756=VECTOR('',#384345,10.); #109757=VECTOR('',#384348,10.); #109758=VECTOR('',#384349,10.); #109759=VECTOR('',#384350,10.); #109760=VECTOR('',#384357,10.); #109761=VECTOR('',#384360,10.); #109762=VECTOR('',#384361,10.); #109763=VECTOR('',#384362,10.); #109764=VECTOR('',#384365,10.); #109765=VECTOR('',#384366,10.); #109766=VECTOR('',#384367,10.); #109767=VECTOR('',#384374,10.); #109768=VECTOR('',#384377,10.); #109769=VECTOR('',#384378,10.); #109770=VECTOR('',#384379,10.); #109771=VECTOR('',#384382,10.); #109772=VECTOR('',#384383,10.); #109773=VECTOR('',#384384,10.); #109774=VECTOR('',#384391,10.); #109775=VECTOR('',#384398,10.); #109776=VECTOR('',#384401,10.); #109777=VECTOR('',#384402,10.); #109778=VECTOR('',#384403,10.); #109779=VECTOR('',#384410,10.); #109780=VECTOR('',#384413,10.); #109781=VECTOR('',#384414,10.); #109782=VECTOR('',#384415,10.); #109783=VECTOR('',#384418,10.); #109784=VECTOR('',#384419,10.); #109785=VECTOR('',#384420,10.); #109786=VECTOR('',#384427,10.); #109787=VECTOR('',#384430,10.); #109788=VECTOR('',#384431,10.); #109789=VECTOR('',#384432,10.); #109790=VECTOR('',#384435,10.); #109791=VECTOR('',#384436,10.); #109792=VECTOR('',#384437,10.); #109793=VECTOR('',#384440,10.); #109794=VECTOR('',#384441,10.); #109795=VECTOR('',#384450,0.139999999999998); #109796=VECTOR('',#384457,0.139999999999998); #109797=VECTOR('',#384464,0.139999999999998); #109798=VECTOR('',#384471,0.139999999999998); #109799=VECTOR('',#384478,0.114999999999998); #109800=VECTOR('',#384485,0.114999999999998); #109801=VECTOR('',#384492,0.114999999999998); #109802=VECTOR('',#384499,0.114999999999998); #109803=VECTOR('',#384506,0.139999999999998); #109804=VECTOR('',#384513,0.139999999999998); #109805=VECTOR('',#384520,0.139999999999998); #109806=VECTOR('',#384527,0.139999999999998); #109807=VECTOR('',#384534,0.139999999999998); #109808=VECTOR('',#384541,0.139999999999998); #109809=VECTOR('',#384548,0.139999999999998); #109810=VECTOR('',#384555,0.139999999999998); #109811=VECTOR('',#384560,10.); #109812=VECTOR('',#384561,10.); #109813=VECTOR('',#384562,10.); #109814=VECTOR('',#384563,10.); #109815=VECTOR('',#384566,10.); #109816=VECTOR('',#384567,10.); #109817=VECTOR('',#384568,10.); #109818=VECTOR('',#384571,10.); #109819=VECTOR('',#384572,10.); #109820=VECTOR('',#384573,10.); #109821=VECTOR('',#384576,10.); #109822=VECTOR('',#384577,10.); #109823=VECTOR('',#384578,10.); #109824=VECTOR('',#384581,10.); #109825=VECTOR('',#384582,10.); #109826=VECTOR('',#384583,10.); #109827=VECTOR('',#384586,10.); #109828=VECTOR('',#384587,10.); #109829=VECTOR('',#384588,10.); #109830=VECTOR('',#384591,10.); #109831=VECTOR('',#384592,10.); #109832=VECTOR('',#384593,10.); #109833=VECTOR('',#384596,10.); #109834=VECTOR('',#384597,10.); #109835=VECTOR('',#384598,10.); #109836=VECTOR('',#384601,10.); #109837=VECTOR('',#384602,10.); #109838=VECTOR('',#384603,10.); #109839=VECTOR('',#384606,10.); #109840=VECTOR('',#384607,10.); #109841=VECTOR('',#384608,10.); #109842=VECTOR('',#384611,10.); #109843=VECTOR('',#384612,10.); #109844=VECTOR('',#384613,10.); #109845=VECTOR('',#384616,10.); #109846=VECTOR('',#384617,10.); #109847=VECTOR('',#384618,10.); #109848=VECTOR('',#384621,10.); #109849=VECTOR('',#384622,10.); #109850=VECTOR('',#384623,10.); #109851=VECTOR('',#384626,10.); #109852=VECTOR('',#384627,10.); #109853=VECTOR('',#384628,10.); #109854=VECTOR('',#384631,10.); #109855=VECTOR('',#384632,10.); #109856=VECTOR('',#384633,10.); #109857=VECTOR('',#384636,10.); #109858=VECTOR('',#384637,10.); #109859=VECTOR('',#384638,10.); #109860=VECTOR('',#384641,10.); #109861=VECTOR('',#384642,10.); #109862=VECTOR('',#384643,10.); #109863=VECTOR('',#384646,10.); #109864=VECTOR('',#384647,10.); #109865=VECTOR('',#384648,10.); #109866=VECTOR('',#384651,10.); #109867=VECTOR('',#384652,10.); #109868=VECTOR('',#384653,10.); #109869=VECTOR('',#384656,10.); #109870=VECTOR('',#384657,10.); #109871=VECTOR('',#384658,10.); #109872=VECTOR('',#384661,10.); #109873=VECTOR('',#384662,10.); #109874=VECTOR('',#384663,10.); #109875=VECTOR('',#384666,10.); #109876=VECTOR('',#384667,10.); #109877=VECTOR('',#384668,10.); #109878=VECTOR('',#384671,10.); #109879=VECTOR('',#384672,10.); #109880=VECTOR('',#384673,10.); #109881=VECTOR('',#384676,10.); #109882=VECTOR('',#384677,10.); #109883=VECTOR('',#384680,10.); #109884=VECTOR('',#384681,10.); #109885=VECTOR('',#384682,10.); #109886=VECTOR('',#384683,10.); #109887=VECTOR('',#384686,10.); #109888=VECTOR('',#384687,10.); #109889=VECTOR('',#384688,10.); #109890=VECTOR('',#384691,10.); #109891=VECTOR('',#384692,10.); #109892=VECTOR('',#384693,10.); #109893=VECTOR('',#384696,10.); #109894=VECTOR('',#384697,10.); #109895=VECTOR('',#384698,10.); #109896=VECTOR('',#384701,10.); #109897=VECTOR('',#384702,10.); #109898=VECTOR('',#384703,10.); #109899=VECTOR('',#384706,10.); #109900=VECTOR('',#384707,10.); #109901=VECTOR('',#384708,10.); #109902=VECTOR('',#384711,10.); #109903=VECTOR('',#384712,10.); #109904=VECTOR('',#384713,10.); #109905=VECTOR('',#384716,10.); #109906=VECTOR('',#384717,10.); #109907=VECTOR('',#384718,10.); #109908=VECTOR('',#384721,10.); #109909=VECTOR('',#384722,10.); #109910=VECTOR('',#384723,10.); #109911=VECTOR('',#384726,10.); #109912=VECTOR('',#384727,10.); #109913=VECTOR('',#384728,10.); #109914=VECTOR('',#384731,10.); #109915=VECTOR('',#384732,10.); #109916=VECTOR('',#384733,10.); #109917=VECTOR('',#384736,10.); #109918=VECTOR('',#384737,10.); #109919=VECTOR('',#384738,10.); #109920=VECTOR('',#384741,10.); #109921=VECTOR('',#384742,10.); #109922=VECTOR('',#384743,10.); #109923=VECTOR('',#384746,10.); #109924=VECTOR('',#384747,10.); #109925=VECTOR('',#384748,10.); #109926=VECTOR('',#384751,10.); #109927=VECTOR('',#384752,10.); #109928=VECTOR('',#384753,10.); #109929=VECTOR('',#384756,10.); #109930=VECTOR('',#384757,10.); #109931=VECTOR('',#384758,10.); #109932=VECTOR('',#384761,10.); #109933=VECTOR('',#384762,10.); #109934=VECTOR('',#384763,10.); #109935=VECTOR('',#384766,10.); #109936=VECTOR('',#384767,10.); #109937=VECTOR('',#384768,10.); #109938=VECTOR('',#384771,10.); #109939=VECTOR('',#384772,10.); #109940=VECTOR('',#384773,10.); #109941=VECTOR('',#384776,10.); #109942=VECTOR('',#384777,10.); #109943=VECTOR('',#384778,10.); #109944=VECTOR('',#384781,10.); #109945=VECTOR('',#384782,10.); #109946=VECTOR('',#384783,10.); #109947=VECTOR('',#384786,10.); #109948=VECTOR('',#384787,10.); #109949=VECTOR('',#384788,10.); #109950=VECTOR('',#384791,10.); #109951=VECTOR('',#384792,10.); #109952=VECTOR('',#384793,10.); #109953=VECTOR('',#384796,10.); #109954=VECTOR('',#384797,10.); #109955=VECTOR('',#384798,10.); #109956=VECTOR('',#384801,10.); #109957=VECTOR('',#384802,10.); #109958=VECTOR('',#384803,10.); #109959=VECTOR('',#384806,10.); #109960=VECTOR('',#384807,10.); #109961=VECTOR('',#384808,10.); #109962=VECTOR('',#384811,10.); #109963=VECTOR('',#384812,10.); #109964=VECTOR('',#384813,10.); #109965=VECTOR('',#384816,10.); #109966=VECTOR('',#384817,10.); #109967=VECTOR('',#384818,10.); #109968=VECTOR('',#384821,10.); #109969=VECTOR('',#384822,10.); #109970=VECTOR('',#384823,10.); #109971=VECTOR('',#384826,10.); #109972=VECTOR('',#384827,10.); #109973=VECTOR('',#384828,10.); #109974=VECTOR('',#384831,10.); #109975=VECTOR('',#384832,10.); #109976=VECTOR('',#384833,10.); #109977=VECTOR('',#384836,10.); #109978=VECTOR('',#384837,10.); #109979=VECTOR('',#384838,10.); #109980=VECTOR('',#384841,10.); #109981=VECTOR('',#384842,10.); #109982=VECTOR('',#384843,10.); #109983=VECTOR('',#384846,10.); #109984=VECTOR('',#384847,10.); #109985=VECTOR('',#384848,10.); #109986=VECTOR('',#384851,10.); #109987=VECTOR('',#384852,10.); #109988=VECTOR('',#384853,10.); #109989=VECTOR('',#384856,10.); #109990=VECTOR('',#384857,10.); #109991=VECTOR('',#384858,10.); #109992=VECTOR('',#384861,10.); #109993=VECTOR('',#384862,10.); #109994=VECTOR('',#384863,10.); #109995=VECTOR('',#384866,10.); #109996=VECTOR('',#384867,10.); #109997=VECTOR('',#384868,10.); #109998=VECTOR('',#384871,10.); #109999=VECTOR('',#384872,10.); #110000=VECTOR('',#384873,10.); #110001=VECTOR('',#384876,10.); #110002=VECTOR('',#384877,10.); #110003=VECTOR('',#384878,10.); #110004=VECTOR('',#384881,10.); #110005=VECTOR('',#384882,10.); #110006=VECTOR('',#384883,10.); #110007=VECTOR('',#384886,10.); #110008=VECTOR('',#384887,10.); #110009=VECTOR('',#384888,10.); #110010=VECTOR('',#384891,10.); #110011=VECTOR('',#384892,10.); #110012=VECTOR('',#384893,10.); #110013=VECTOR('',#384896,10.); #110014=VECTOR('',#384897,10.); #110015=VECTOR('',#384898,10.); #110016=VECTOR('',#384901,10.); #110017=VECTOR('',#384902,10.); #110018=VECTOR('',#384903,10.); #110019=VECTOR('',#384906,10.); #110020=VECTOR('',#384907,10.); #110021=VECTOR('',#384908,10.); #110022=VECTOR('',#384911,10.); #110023=VECTOR('',#384912,10.); #110024=VECTOR('',#384913,10.); #110025=VECTOR('',#384916,10.); #110026=VECTOR('',#384917,10.); #110027=VECTOR('',#384918,10.); #110028=VECTOR('',#384921,10.); #110029=VECTOR('',#384922,10.); #110030=VECTOR('',#384923,10.); #110031=VECTOR('',#384926,10.); #110032=VECTOR('',#384927,10.); #110033=VECTOR('',#384928,10.); #110034=VECTOR('',#384931,10.); #110035=VECTOR('',#384932,10.); #110036=VECTOR('',#384933,10.); #110037=VECTOR('',#384936,10.); #110038=VECTOR('',#384937,10.); #110039=VECTOR('',#384938,10.); #110040=VECTOR('',#384941,10.); #110041=VECTOR('',#384942,10.); #110042=VECTOR('',#384943,10.); #110043=VECTOR('',#384946,10.); #110044=VECTOR('',#384947,10.); #110045=VECTOR('',#384948,10.); #110046=VECTOR('',#384951,10.); #110047=VECTOR('',#384952,10.); #110048=VECTOR('',#384953,10.); #110049=VECTOR('',#384956,10.); #110050=VECTOR('',#384957,10.); #110051=VECTOR('',#384958,10.); #110052=VECTOR('',#384961,10.); #110053=VECTOR('',#384962,10.); #110054=VECTOR('',#384963,10.); #110055=VECTOR('',#384966,10.); #110056=VECTOR('',#384967,10.); #110057=VECTOR('',#384968,10.); #110058=VECTOR('',#384971,10.); #110059=VECTOR('',#384972,10.); #110060=VECTOR('',#384973,10.); #110061=VECTOR('',#384976,10.); #110062=VECTOR('',#384977,10.); #110063=VECTOR('',#384978,10.); #110064=VECTOR('',#384981,10.); #110065=VECTOR('',#384982,10.); #110066=VECTOR('',#384983,10.); #110067=VECTOR('',#384986,10.); #110068=VECTOR('',#384987,10.); #110069=VECTOR('',#384988,10.); #110070=VECTOR('',#384991,10.); #110071=VECTOR('',#384992,10.); #110072=VECTOR('',#384993,10.); #110073=VECTOR('',#384996,10.); #110074=VECTOR('',#384997,10.); #110075=VECTOR('',#384998,10.); #110076=VECTOR('',#385001,10.); #110077=VECTOR('',#385002,10.); #110078=VECTOR('',#385003,10.); #110079=VECTOR('',#385006,10.); #110080=VECTOR('',#385007,10.); #110081=VECTOR('',#385008,10.); #110082=VECTOR('',#385011,10.); #110083=VECTOR('',#385012,10.); #110084=VECTOR('',#385013,10.); #110085=VECTOR('',#385016,10.); #110086=VECTOR('',#385017,10.); #110087=VECTOR('',#385018,10.); #110088=VECTOR('',#385021,10.); #110089=VECTOR('',#385022,10.); #110090=VECTOR('',#385023,10.); #110091=VECTOR('',#385026,10.); #110092=VECTOR('',#385027,10.); #110093=VECTOR('',#385028,10.); #110094=VECTOR('',#385031,10.); #110095=VECTOR('',#385032,10.); #110096=VECTOR('',#385033,10.); #110097=VECTOR('',#385036,10.); #110098=VECTOR('',#385037,10.); #110099=VECTOR('',#385038,10.); #110100=VECTOR('',#385041,10.); #110101=VECTOR('',#385042,10.); #110102=VECTOR('',#385043,10.); #110103=VECTOR('',#385046,10.); #110104=VECTOR('',#385047,10.); #110105=VECTOR('',#385048,10.); #110106=VECTOR('',#385051,10.); #110107=VECTOR('',#385052,10.); #110108=VECTOR('',#385053,10.); #110109=VECTOR('',#385056,10.); #110110=VECTOR('',#385057,10.); #110111=VECTOR('',#385058,10.); #110112=VECTOR('',#385061,10.); #110113=VECTOR('',#385062,10.); #110114=VECTOR('',#385063,10.); #110115=VECTOR('',#385066,10.); #110116=VECTOR('',#385067,10.); #110117=VECTOR('',#385068,10.); #110118=VECTOR('',#385071,10.); #110119=VECTOR('',#385072,10.); #110120=VECTOR('',#385073,10.); #110121=VECTOR('',#385076,10.); #110122=VECTOR('',#385077,10.); #110123=VECTOR('',#385078,10.); #110124=VECTOR('',#385081,10.); #110125=VECTOR('',#385082,10.); #110126=VECTOR('',#385083,10.); #110127=VECTOR('',#385086,10.); #110128=VECTOR('',#385087,10.); #110129=VECTOR('',#385088,10.); #110130=VECTOR('',#385091,10.); #110131=VECTOR('',#385092,10.); #110132=VECTOR('',#385093,10.); #110133=VECTOR('',#385096,10.); #110134=VECTOR('',#385097,10.); #110135=VECTOR('',#385098,10.); #110136=VECTOR('',#385101,10.); #110137=VECTOR('',#385102,10.); #110138=VECTOR('',#385103,10.); #110139=VECTOR('',#385106,10.); #110140=VECTOR('',#385107,10.); #110141=VECTOR('',#385108,10.); #110142=VECTOR('',#385111,10.); #110143=VECTOR('',#385112,10.); #110144=VECTOR('',#385113,10.); #110145=VECTOR('',#385116,10.); #110146=VECTOR('',#385117,10.); #110147=VECTOR('',#385118,10.); #110148=VECTOR('',#385121,10.); #110149=VECTOR('',#385122,10.); #110150=VECTOR('',#385123,10.); #110151=VECTOR('',#385126,10.); #110152=VECTOR('',#385127,10.); #110153=VECTOR('',#385128,10.); #110154=VECTOR('',#385131,10.); #110155=VECTOR('',#385132,10.); #110156=VECTOR('',#385133,10.); #110157=VECTOR('',#385136,10.); #110158=VECTOR('',#385137,10.); #110159=VECTOR('',#385138,10.); #110160=VECTOR('',#385141,10.); #110161=VECTOR('',#385142,10.); #110162=VECTOR('',#385143,10.); #110163=VECTOR('',#385146,10.); #110164=VECTOR('',#385147,10.); #110165=VECTOR('',#385148,10.); #110166=VECTOR('',#385151,10.); #110167=VECTOR('',#385152,10.); #110168=VECTOR('',#385153,10.); #110169=VECTOR('',#385156,10.); #110170=VECTOR('',#385157,10.); #110171=VECTOR('',#385158,10.); #110172=VECTOR('',#385161,10.); #110173=VECTOR('',#385162,10.); #110174=VECTOR('',#385163,10.); #110175=VECTOR('',#385166,10.); #110176=VECTOR('',#385167,10.); #110177=VECTOR('',#385168,10.); #110178=VECTOR('',#385171,10.); #110179=VECTOR('',#385172,10.); #110180=VECTOR('',#385173,10.); #110181=VECTOR('',#385176,10.); #110182=VECTOR('',#385177,10.); #110183=VECTOR('',#385178,10.); #110184=VECTOR('',#385181,10.); #110185=VECTOR('',#385182,10.); #110186=VECTOR('',#385183,10.); #110187=VECTOR('',#385186,10.); #110188=VECTOR('',#385187,10.); #110189=VECTOR('',#385188,10.); #110190=VECTOR('',#385191,10.); #110191=VECTOR('',#385192,10.); #110192=VECTOR('',#385193,10.); #110193=VECTOR('',#385196,10.); #110194=VECTOR('',#385197,10.); #110195=VECTOR('',#385198,10.); #110196=VECTOR('',#385201,10.); #110197=VECTOR('',#385202,10.); #110198=VECTOR('',#385203,10.); #110199=VECTOR('',#385206,10.); #110200=VECTOR('',#385207,10.); #110201=VECTOR('',#385208,10.); #110202=VECTOR('',#385211,10.); #110203=VECTOR('',#385212,10.); #110204=VECTOR('',#385213,10.); #110205=VECTOR('',#385216,10.); #110206=VECTOR('',#385217,10.); #110207=VECTOR('',#385218,10.); #110208=VECTOR('',#385221,10.); #110209=VECTOR('',#385222,10.); #110210=VECTOR('',#385223,10.); #110211=VECTOR('',#385226,10.); #110212=VECTOR('',#385227,10.); #110213=VECTOR('',#385228,10.); #110214=VECTOR('',#385231,10.); #110215=VECTOR('',#385232,10.); #110216=VECTOR('',#385233,10.); #110217=VECTOR('',#385236,10.); #110218=VECTOR('',#385237,10.); #110219=VECTOR('',#385238,10.); #110220=VECTOR('',#385241,10.); #110221=VECTOR('',#385242,10.); #110222=VECTOR('',#385243,10.); #110223=VECTOR('',#385246,10.); #110224=VECTOR('',#385247,10.); #110225=VECTOR('',#385248,10.); #110226=VECTOR('',#385251,10.); #110227=VECTOR('',#385252,10.); #110228=VECTOR('',#385253,10.); #110229=VECTOR('',#385256,10.); #110230=VECTOR('',#385257,10.); #110231=VECTOR('',#385258,10.); #110232=VECTOR('',#385261,10.); #110233=VECTOR('',#385262,10.); #110234=VECTOR('',#385263,10.); #110235=VECTOR('',#385266,10.); #110236=VECTOR('',#385267,10.); #110237=VECTOR('',#385268,10.); #110238=VECTOR('',#385271,10.); #110239=VECTOR('',#385272,10.); #110240=VECTOR('',#385273,10.); #110241=VECTOR('',#385276,10.); #110242=VECTOR('',#385277,10.); #110243=VECTOR('',#385278,10.); #110244=VECTOR('',#385281,10.); #110245=VECTOR('',#385282,10.); #110246=VECTOR('',#385283,10.); #110247=VECTOR('',#385286,10.); #110248=VECTOR('',#385287,10.); #110249=VECTOR('',#385288,10.); #110250=VECTOR('',#385291,10.); #110251=VECTOR('',#385292,10.); #110252=VECTOR('',#385293,10.); #110253=VECTOR('',#385296,10.); #110254=VECTOR('',#385297,10.); #110255=VECTOR('',#385298,10.); #110256=VECTOR('',#385301,10.); #110257=VECTOR('',#385302,10.); #110258=VECTOR('',#385303,10.); #110259=VECTOR('',#385306,10.); #110260=VECTOR('',#385307,10.); #110261=VECTOR('',#385308,10.); #110262=VECTOR('',#385311,10.); #110263=VECTOR('',#385312,10.); #110264=VECTOR('',#385313,10.); #110265=VECTOR('',#385316,10.); #110266=VECTOR('',#385317,10.); #110267=VECTOR('',#385318,10.); #110268=VECTOR('',#385321,10.); #110269=VECTOR('',#385322,10.); #110270=VECTOR('',#385323,10.); #110271=VECTOR('',#385326,10.); #110272=VECTOR('',#385327,10.); #110273=VECTOR('',#385328,10.); #110274=VECTOR('',#385331,10.); #110275=VECTOR('',#385332,10.); #110276=VECTOR('',#385333,10.); #110277=VECTOR('',#385336,10.); #110278=VECTOR('',#385337,10.); #110279=VECTOR('',#385338,10.); #110280=VECTOR('',#385341,10.); #110281=VECTOR('',#385342,10.); #110282=VECTOR('',#385343,10.); #110283=VECTOR('',#385346,10.); #110284=VECTOR('',#385347,10.); #110285=VECTOR('',#385348,10.); #110286=VECTOR('',#385351,10.); #110287=VECTOR('',#385352,10.); #110288=VECTOR('',#385353,10.); #110289=VECTOR('',#385356,10.); #110290=VECTOR('',#385357,10.); #110291=VECTOR('',#385358,10.); #110292=VECTOR('',#385361,10.); #110293=VECTOR('',#385362,10.); #110294=VECTOR('',#385363,10.); #110295=VECTOR('',#385366,10.); #110296=VECTOR('',#385367,10.); #110297=VECTOR('',#385368,10.); #110298=VECTOR('',#385371,10.); #110299=VECTOR('',#385372,10.); #110300=VECTOR('',#385373,10.); #110301=VECTOR('',#385376,10.); #110302=VECTOR('',#385377,10.); #110303=VECTOR('',#385378,10.); #110304=VECTOR('',#385381,10.); #110305=VECTOR('',#385382,10.); #110306=VECTOR('',#385383,10.); #110307=VECTOR('',#385386,10.); #110308=VECTOR('',#385387,10.); #110309=VECTOR('',#385388,10.); #110310=VECTOR('',#385391,10.); #110311=VECTOR('',#385392,10.); #110312=VECTOR('',#385393,10.); #110313=VECTOR('',#385396,10.); #110314=VECTOR('',#385397,10.); #110315=VECTOR('',#385398,10.); #110316=VECTOR('',#385401,10.); #110317=VECTOR('',#385402,10.); #110318=VECTOR('',#385403,10.); #110319=VECTOR('',#385406,10.); #110320=VECTOR('',#385407,10.); #110321=VECTOR('',#385408,10.); #110322=VECTOR('',#385411,10.); #110323=VECTOR('',#385412,10.); #110324=VECTOR('',#385413,10.); #110325=VECTOR('',#385416,10.); #110326=VECTOR('',#385417,10.); #110327=VECTOR('',#385418,10.); #110328=VECTOR('',#385421,10.); #110329=VECTOR('',#385422,10.); #110330=VECTOR('',#385423,10.); #110331=VECTOR('',#385426,10.); #110332=VECTOR('',#385427,10.); #110333=VECTOR('',#385428,10.); #110334=VECTOR('',#385431,10.); #110335=VECTOR('',#385432,10.); #110336=VECTOR('',#385433,10.); #110337=VECTOR('',#385436,10.); #110338=VECTOR('',#385437,10.); #110339=VECTOR('',#385438,10.); #110340=VECTOR('',#385441,10.); #110341=VECTOR('',#385442,10.); #110342=VECTOR('',#385443,10.); #110343=VECTOR('',#385446,10.); #110344=VECTOR('',#385447,10.); #110345=VECTOR('',#385448,10.); #110346=VECTOR('',#385451,10.); #110347=VECTOR('',#385452,10.); #110348=VECTOR('',#385453,10.); #110349=VECTOR('',#385456,10.); #110350=VECTOR('',#385457,10.); #110351=VECTOR('',#385458,10.); #110352=VECTOR('',#385461,10.); #110353=VECTOR('',#385462,10.); #110354=VECTOR('',#385463,10.); #110355=VECTOR('',#385466,10.); #110356=VECTOR('',#385467,10.); #110357=VECTOR('',#385468,10.); #110358=VECTOR('',#385471,10.); #110359=VECTOR('',#385472,10.); #110360=VECTOR('',#385473,10.); #110361=VECTOR('',#385476,10.); #110362=VECTOR('',#385477,10.); #110363=VECTOR('',#385478,10.); #110364=VECTOR('',#385481,10.); #110365=VECTOR('',#385482,10.); #110366=VECTOR('',#385483,10.); #110367=VECTOR('',#385486,10.); #110368=VECTOR('',#385487,10.); #110369=VECTOR('',#385488,10.); #110370=VECTOR('',#385491,10.); #110371=VECTOR('',#385492,10.); #110372=VECTOR('',#385493,10.); #110373=VECTOR('',#385496,10.); #110374=VECTOR('',#385497,10.); #110375=VECTOR('',#385498,10.); #110376=VECTOR('',#385501,10.); #110377=VECTOR('',#385502,10.); #110378=VECTOR('',#385503,10.); #110379=VECTOR('',#385506,10.); #110380=VECTOR('',#385507,10.); #110381=VECTOR('',#385508,10.); #110382=VECTOR('',#385511,10.); #110383=VECTOR('',#385512,10.); #110384=VECTOR('',#385513,10.); #110385=VECTOR('',#385516,10.); #110386=VECTOR('',#385517,10.); #110387=VECTOR('',#385518,10.); #110388=VECTOR('',#385521,10.); #110389=VECTOR('',#385522,10.); #110390=VECTOR('',#385523,10.); #110391=VECTOR('',#385526,10.); #110392=VECTOR('',#385527,10.); #110393=VECTOR('',#385528,10.); #110394=VECTOR('',#385531,10.); #110395=VECTOR('',#385532,10.); #110396=VECTOR('',#385533,10.); #110397=VECTOR('',#385536,10.); #110398=VECTOR('',#385537,10.); #110399=VECTOR('',#385538,10.); #110400=VECTOR('',#385541,10.); #110401=VECTOR('',#385542,10.); #110402=VECTOR('',#385543,10.); #110403=VECTOR('',#385546,10.); #110404=VECTOR('',#385547,10.); #110405=VECTOR('',#385548,10.); #110406=VECTOR('',#385551,10.); #110407=VECTOR('',#385552,10.); #110408=VECTOR('',#385553,10.); #110409=VECTOR('',#385556,10.); #110410=VECTOR('',#385557,10.); #110411=VECTOR('',#385558,10.); #110412=VECTOR('',#385561,10.); #110413=VECTOR('',#385562,10.); #110414=VECTOR('',#385563,10.); #110415=VECTOR('',#385566,10.); #110416=VECTOR('',#385567,10.); #110417=VECTOR('',#385568,10.); #110418=VECTOR('',#385571,10.); #110419=VECTOR('',#385572,10.); #110420=VECTOR('',#385573,10.); #110421=VECTOR('',#385576,10.); #110422=VECTOR('',#385577,10.); #110423=VECTOR('',#385578,10.); #110424=VECTOR('',#385581,10.); #110425=VECTOR('',#385582,10.); #110426=VECTOR('',#385583,10.); #110427=VECTOR('',#385586,10.); #110428=VECTOR('',#385587,10.); #110429=VECTOR('',#385588,10.); #110430=VECTOR('',#385591,10.); #110431=VECTOR('',#385592,10.); #110432=VECTOR('',#385593,10.); #110433=VECTOR('',#385596,10.); #110434=VECTOR('',#385597,10.); #110435=VECTOR('',#385598,10.); #110436=VECTOR('',#385601,10.); #110437=VECTOR('',#385602,10.); #110438=VECTOR('',#385603,10.); #110439=VECTOR('',#385606,10.); #110440=VECTOR('',#385607,10.); #110441=VECTOR('',#385608,10.); #110442=VECTOR('',#385611,10.); #110443=VECTOR('',#385612,10.); #110444=VECTOR('',#385613,10.); #110445=VECTOR('',#385616,10.); #110446=VECTOR('',#385617,10.); #110447=VECTOR('',#385618,10.); #110448=VECTOR('',#385621,10.); #110449=VECTOR('',#385622,10.); #110450=VECTOR('',#385623,10.); #110451=VECTOR('',#385626,10.); #110452=VECTOR('',#385627,10.); #110453=VECTOR('',#385628,10.); #110454=VECTOR('',#385631,10.); #110455=VECTOR('',#385632,10.); #110456=VECTOR('',#385633,10.); #110457=VECTOR('',#385636,10.); #110458=VECTOR('',#385637,10.); #110459=VECTOR('',#385638,10.); #110460=VECTOR('',#385641,10.); #110461=VECTOR('',#385642,10.); #110462=VECTOR('',#385643,10.); #110463=VECTOR('',#385646,10.); #110464=VECTOR('',#385647,10.); #110465=VECTOR('',#385648,10.); #110466=VECTOR('',#385651,10.); #110467=VECTOR('',#385652,10.); #110468=VECTOR('',#385653,10.); #110469=VECTOR('',#385656,10.); #110470=VECTOR('',#385657,10.); #110471=VECTOR('',#385658,10.); #110472=VECTOR('',#385661,10.); #110473=VECTOR('',#385662,10.); #110474=VECTOR('',#385663,10.); #110475=VECTOR('',#385666,10.); #110476=VECTOR('',#385667,10.); #110477=VECTOR('',#385668,10.); #110478=VECTOR('',#385671,10.); #110479=VECTOR('',#385672,10.); #110480=VECTOR('',#385673,10.); #110481=VECTOR('',#385680,10.); #110482=VECTOR('',#385683,10.); #110483=VECTOR('',#385684,10.); #110484=VECTOR('',#385685,10.); #110485=VECTOR('',#385692,10.); #110486=VECTOR('',#385695,10.); #110487=VECTOR('',#385696,10.); #110488=VECTOR('',#385697,10.); #110489=VECTOR('',#385700,10.); #110490=VECTOR('',#385701,10.); #110491=VECTOR('',#385702,10.); #110492=VECTOR('',#385705,10.); #110493=VECTOR('',#385706,10.); #110494=VECTOR('',#385707,10.); #110495=VECTOR('',#385710,10.); #110496=VECTOR('',#385711,10.); #110497=VECTOR('',#385712,10.); #110498=VECTOR('',#385715,10.); #110499=VECTOR('',#385716,10.); #110500=VECTOR('',#385717,10.); #110501=VECTOR('',#385720,10.); #110502=VECTOR('',#385721,10.); #110503=VECTOR('',#385722,10.); #110504=VECTOR('',#385725,10.); #110505=VECTOR('',#385726,10.); #110506=VECTOR('',#385727,10.); #110507=VECTOR('',#385730,10.); #110508=VECTOR('',#385731,10.); #110509=VECTOR('',#385732,10.); #110510=VECTOR('',#385739,10.); #110511=VECTOR('',#385742,10.); #110512=VECTOR('',#385743,10.); #110513=VECTOR('',#385744,10.); #110514=VECTOR('',#385747,10.); #110515=VECTOR('',#385748,10.); #110516=VECTOR('',#385749,10.); #110517=VECTOR('',#385756,10.); #110518=VECTOR('',#385759,10.); #110519=VECTOR('',#385760,10.); #110520=VECTOR('',#385761,10.); #110521=VECTOR('',#385764,10.); #110522=VECTOR('',#385765,10.); #110523=VECTOR('',#385766,10.); #110524=VECTOR('',#385769,10.); #110525=VECTOR('',#385770,10.); #110526=VECTOR('',#385771,10.); #110527=VECTOR('',#385774,10.); #110528=VECTOR('',#385775,10.); #110529=VECTOR('',#385776,10.); #110530=VECTOR('',#385779,10.); #110531=VECTOR('',#385780,10.); #110532=VECTOR('',#385781,10.); #110533=VECTOR('',#385784,10.); #110534=VECTOR('',#385785,10.); #110535=VECTOR('',#385786,10.); #110536=VECTOR('',#385789,10.); #110537=VECTOR('',#385790,10.); #110538=VECTOR('',#385791,10.); #110539=VECTOR('',#385794,10.); #110540=VECTOR('',#385795,10.); #110541=VECTOR('',#385796,10.); #110542=VECTOR('',#385799,10.); #110543=VECTOR('',#385800,10.); #110544=VECTOR('',#385801,10.); #110545=VECTOR('',#385804,10.); #110546=VECTOR('',#385805,10.); #110547=VECTOR('',#385806,10.); #110548=VECTOR('',#385809,10.); #110549=VECTOR('',#385810,10.); #110550=VECTOR('',#385811,10.); #110551=VECTOR('',#385814,10.); #110552=VECTOR('',#385815,10.); #110553=VECTOR('',#385816,10.); #110554=VECTOR('',#385819,10.); #110555=VECTOR('',#385820,10.); #110556=VECTOR('',#385821,10.); #110557=VECTOR('',#385824,10.); #110558=VECTOR('',#385825,10.); #110559=VECTOR('',#385826,10.); #110560=VECTOR('',#385829,10.); #110561=VECTOR('',#385830,10.); #110562=VECTOR('',#385831,10.); #110563=VECTOR('',#385834,10.); #110564=VECTOR('',#385835,10.); #110565=VECTOR('',#385836,10.); #110566=VECTOR('',#385839,10.); #110567=VECTOR('',#385840,10.); #110568=VECTOR('',#385841,10.); #110569=VECTOR('',#385844,10.); #110570=VECTOR('',#385845,10.); #110571=VECTOR('',#385846,10.); #110572=VECTOR('',#385849,10.); #110573=VECTOR('',#385850,10.); #110574=VECTOR('',#385851,10.); #110575=VECTOR('',#385854,10.); #110576=VECTOR('',#385855,10.); #110577=VECTOR('',#385856,10.); #110578=VECTOR('',#385859,10.); #110579=VECTOR('',#385860,10.); #110580=VECTOR('',#385861,10.); #110581=VECTOR('',#385864,10.); #110582=VECTOR('',#385865,10.); #110583=VECTOR('',#385866,10.); #110584=VECTOR('',#385869,10.); #110585=VECTOR('',#385870,10.); #110586=VECTOR('',#385871,10.); #110587=VECTOR('',#385874,10.); #110588=VECTOR('',#385875,10.); #110589=VECTOR('',#385876,10.); #110590=VECTOR('',#385879,10.); #110591=VECTOR('',#385880,10.); #110592=VECTOR('',#385881,10.); #110593=VECTOR('',#385884,10.); #110594=VECTOR('',#385885,10.); #110595=VECTOR('',#385886,10.); #110596=VECTOR('',#385889,10.); #110597=VECTOR('',#385890,10.); #110598=VECTOR('',#385891,10.); #110599=VECTOR('',#385894,10.); #110600=VECTOR('',#385895,10.); #110601=VECTOR('',#385896,10.); #110602=VECTOR('',#385899,10.); #110603=VECTOR('',#385900,10.); #110604=VECTOR('',#385901,10.); #110605=VECTOR('',#385904,10.); #110606=VECTOR('',#385905,10.); #110607=VECTOR('',#385906,10.); #110608=VECTOR('',#385909,10.); #110609=VECTOR('',#385910,10.); #110610=VECTOR('',#385911,10.); #110611=VECTOR('',#385914,10.); #110612=VECTOR('',#385915,10.); #110613=VECTOR('',#385916,10.); #110614=VECTOR('',#385919,10.); #110615=VECTOR('',#385920,10.); #110616=VECTOR('',#385921,10.); #110617=VECTOR('',#385924,10.); #110618=VECTOR('',#385925,10.); #110619=VECTOR('',#385926,10.); #110620=VECTOR('',#385929,10.); #110621=VECTOR('',#385930,10.); #110622=VECTOR('',#385931,10.); #110623=VECTOR('',#385934,10.); #110624=VECTOR('',#385935,10.); #110625=VECTOR('',#385936,10.); #110626=VECTOR('',#385939,10.); #110627=VECTOR('',#385940,10.); #110628=VECTOR('',#385941,10.); #110629=VECTOR('',#385944,10.); #110630=VECTOR('',#385945,10.); #110631=VECTOR('',#385946,10.); #110632=VECTOR('',#385949,10.); #110633=VECTOR('',#385950,10.); #110634=VECTOR('',#385951,10.); #110635=VECTOR('',#385954,10.); #110636=VECTOR('',#385955,10.); #110637=VECTOR('',#385956,10.); #110638=VECTOR('',#385959,10.); #110639=VECTOR('',#385960,10.); #110640=VECTOR('',#385961,10.); #110641=VECTOR('',#385964,10.); #110642=VECTOR('',#385965,10.); #110643=VECTOR('',#385966,10.); #110644=VECTOR('',#385969,10.); #110645=VECTOR('',#385970,10.); #110646=VECTOR('',#385971,10.); #110647=VECTOR('',#385974,10.); #110648=VECTOR('',#385975,10.); #110649=VECTOR('',#385976,10.); #110650=VECTOR('',#385979,10.); #110651=VECTOR('',#385980,10.); #110652=VECTOR('',#385981,10.); #110653=VECTOR('',#385984,10.); #110654=VECTOR('',#385985,10.); #110655=VECTOR('',#385986,10.); #110656=VECTOR('',#385989,10.); #110657=VECTOR('',#385990,10.); #110658=VECTOR('',#385991,10.); #110659=VECTOR('',#385994,10.); #110660=VECTOR('',#385995,10.); #110661=VECTOR('',#385996,10.); #110662=VECTOR('',#385999,10.); #110663=VECTOR('',#386000,10.); #110664=VECTOR('',#386001,10.); #110665=VECTOR('',#386004,10.); #110666=VECTOR('',#386005,10.); #110667=VECTOR('',#386006,10.); #110668=VECTOR('',#386009,10.); #110669=VECTOR('',#386010,10.); #110670=VECTOR('',#386011,10.); #110671=VECTOR('',#386014,10.); #110672=VECTOR('',#386015,10.); #110673=VECTOR('',#386016,10.); #110674=VECTOR('',#386019,10.); #110675=VECTOR('',#386020,10.); #110676=VECTOR('',#386021,10.); #110677=VECTOR('',#386024,10.); #110678=VECTOR('',#386025,10.); #110679=VECTOR('',#386026,10.); #110680=VECTOR('',#386029,10.); #110681=VECTOR('',#386030,10.); #110682=VECTOR('',#386031,10.); #110683=VECTOR('',#386034,10.); #110684=VECTOR('',#386035,10.); #110685=VECTOR('',#386036,10.); #110686=VECTOR('',#386039,10.); #110687=VECTOR('',#386040,10.); #110688=VECTOR('',#386041,10.); #110689=VECTOR('',#386044,10.); #110690=VECTOR('',#386045,10.); #110691=VECTOR('',#386046,10.); #110692=VECTOR('',#386049,10.); #110693=VECTOR('',#386050,10.); #110694=VECTOR('',#386051,10.); #110695=VECTOR('',#386054,10.); #110696=VECTOR('',#386055,10.); #110697=VECTOR('',#386056,10.); #110698=VECTOR('',#386059,10.); #110699=VECTOR('',#386060,10.); #110700=VECTOR('',#386061,10.); #110701=VECTOR('',#386064,10.); #110702=VECTOR('',#386065,10.); #110703=VECTOR('',#386066,10.); #110704=VECTOR('',#386069,10.); #110705=VECTOR('',#386070,10.); #110706=VECTOR('',#386071,10.); #110707=VECTOR('',#386074,10.); #110708=VECTOR('',#386075,10.); #110709=VECTOR('',#386076,10.); #110710=VECTOR('',#386079,10.); #110711=VECTOR('',#386080,10.); #110712=VECTOR('',#386081,10.); #110713=VECTOR('',#386084,10.); #110714=VECTOR('',#386085,10.); #110715=VECTOR('',#386086,10.); #110716=VECTOR('',#386089,10.); #110717=VECTOR('',#386090,10.); #110718=VECTOR('',#386091,10.); #110719=VECTOR('',#386094,10.); #110720=VECTOR('',#386095,10.); #110721=VECTOR('',#386096,10.); #110722=VECTOR('',#386099,10.); #110723=VECTOR('',#386100,10.); #110724=VECTOR('',#386101,10.); #110725=VECTOR('',#386104,10.); #110726=VECTOR('',#386105,10.); #110727=VECTOR('',#386106,10.); #110728=VECTOR('',#386109,10.); #110729=VECTOR('',#386110,10.); #110730=VECTOR('',#386111,10.); #110731=VECTOR('',#386114,10.); #110732=VECTOR('',#386115,10.); #110733=VECTOR('',#386116,10.); #110734=VECTOR('',#386119,10.); #110735=VECTOR('',#386120,10.); #110736=VECTOR('',#386121,10.); #110737=VECTOR('',#386124,10.); #110738=VECTOR('',#386125,10.); #110739=VECTOR('',#386126,10.); #110740=VECTOR('',#386129,10.); #110741=VECTOR('',#386130,10.); #110742=VECTOR('',#386131,10.); #110743=VECTOR('',#386134,10.); #110744=VECTOR('',#386135,10.); #110745=VECTOR('',#386136,10.); #110746=VECTOR('',#386139,10.); #110747=VECTOR('',#386140,10.); #110748=VECTOR('',#386141,10.); #110749=VECTOR('',#386144,10.); #110750=VECTOR('',#386145,10.); #110751=VECTOR('',#386146,10.); #110752=VECTOR('',#386149,10.); #110753=VECTOR('',#386150,10.); #110754=VECTOR('',#386151,10.); #110755=VECTOR('',#386154,10.); #110756=VECTOR('',#386155,10.); #110757=VECTOR('',#386156,10.); #110758=VECTOR('',#386159,10.); #110759=VECTOR('',#386160,10.); #110760=VECTOR('',#386161,10.); #110761=VECTOR('',#386164,10.); #110762=VECTOR('',#386165,10.); #110763=VECTOR('',#386166,10.); #110764=VECTOR('',#386169,10.); #110765=VECTOR('',#386170,10.); #110766=VECTOR('',#386171,10.); #110767=VECTOR('',#386174,10.); #110768=VECTOR('',#386175,10.); #110769=VECTOR('',#386182,10.); #110770=VECTOR('',#386183,10.); #110771=VECTOR('',#386184,10.); #110772=VECTOR('',#386185,10.); #110773=VECTOR('',#386188,10.); #110774=VECTOR('',#386189,10.); #110775=VECTOR('',#386190,10.); #110776=VECTOR('',#386193,10.); #110777=VECTOR('',#386194,10.); #110778=VECTOR('',#386195,10.); #110779=VECTOR('',#386198,10.); #110780=VECTOR('',#386199,10.); #110781=VECTOR('',#386200,10.); #110782=VECTOR('',#386203,10.); #110783=VECTOR('',#386204,10.); #110784=VECTOR('',#386205,10.); #110785=VECTOR('',#386208,10.); #110786=VECTOR('',#386209,10.); #110787=VECTOR('',#386210,10.); #110788=VECTOR('',#386213,10.); #110789=VECTOR('',#386214,10.); #110790=VECTOR('',#386215,10.); #110791=VECTOR('',#386218,10.); #110792=VECTOR('',#386219,10.); #110793=VECTOR('',#386220,10.); #110794=VECTOR('',#386223,10.); #110795=VECTOR('',#386224,10.); #110796=VECTOR('',#386225,10.); #110797=VECTOR('',#386228,10.); #110798=VECTOR('',#386229,10.); #110799=VECTOR('',#386230,10.); #110800=VECTOR('',#386233,10.); #110801=VECTOR('',#386234,10.); #110802=VECTOR('',#386235,10.); #110803=VECTOR('',#386238,10.); #110804=VECTOR('',#386239,10.); #110805=VECTOR('',#386240,10.); #110806=VECTOR('',#386243,10.); #110807=VECTOR('',#386244,10.); #110808=VECTOR('',#386245,10.); #110809=VECTOR('',#386248,10.); #110810=VECTOR('',#386249,10.); #110811=VECTOR('',#386250,10.); #110812=VECTOR('',#386253,10.); #110813=VECTOR('',#386254,10.); #110814=VECTOR('',#386255,10.); #110815=VECTOR('',#386258,10.); #110816=VECTOR('',#386259,10.); #110817=VECTOR('',#386260,10.); #110818=VECTOR('',#386263,10.); #110819=VECTOR('',#386264,10.); #110820=VECTOR('',#386265,10.); #110821=VECTOR('',#386268,10.); #110822=VECTOR('',#386269,10.); #110823=VECTOR('',#386270,10.); #110824=VECTOR('',#386273,10.); #110825=VECTOR('',#386274,10.); #110826=VECTOR('',#386275,10.); #110827=VECTOR('',#386278,10.); #110828=VECTOR('',#386279,10.); #110829=VECTOR('',#386286,10.); #110830=VECTOR('',#386287,10.); #110831=VECTOR('',#386288,10.); #110832=VECTOR('',#386289,10.); #110833=VECTOR('',#386292,10.); #110834=VECTOR('',#386293,10.); #110835=VECTOR('',#386294,10.); #110836=VECTOR('',#386297,10.); #110837=VECTOR('',#386298,10.); #110838=VECTOR('',#386299,10.); #110839=VECTOR('',#386302,10.); #110840=VECTOR('',#386303,10.); #110841=VECTOR('',#386304,10.); #110842=VECTOR('',#386307,10.); #110843=VECTOR('',#386308,10.); #110844=VECTOR('',#386309,10.); #110845=VECTOR('',#386312,10.); #110846=VECTOR('',#386313,10.); #110847=VECTOR('',#386314,10.); #110848=VECTOR('',#386317,10.); #110849=VECTOR('',#386318,10.); #110850=VECTOR('',#386319,10.); #110851=VECTOR('',#386322,10.); #110852=VECTOR('',#386323,10.); #110853=VECTOR('',#386324,10.); #110854=VECTOR('',#386327,10.); #110855=VECTOR('',#386328,10.); #110856=VECTOR('',#386329,10.); #110857=VECTOR('',#386332,10.); #110858=VECTOR('',#386333,10.); #110859=VECTOR('',#386334,10.); #110860=VECTOR('',#386337,10.); #110861=VECTOR('',#386338,10.); #110862=VECTOR('',#386339,10.); #110863=VECTOR('',#386342,10.); #110864=VECTOR('',#386343,10.); #110865=VECTOR('',#386344,10.); #110866=VECTOR('',#386347,10.); #110867=VECTOR('',#386348,10.); #110868=VECTOR('',#386349,10.); #110869=VECTOR('',#386352,10.); #110870=VECTOR('',#386353,10.); #110871=VECTOR('',#386354,10.); #110872=VECTOR('',#386357,10.); #110873=VECTOR('',#386358,10.); #110874=VECTOR('',#386359,10.); #110875=VECTOR('',#386362,10.); #110876=VECTOR('',#386363,10.); #110877=VECTOR('',#386364,10.); #110878=VECTOR('',#386367,10.); #110879=VECTOR('',#386368,10.); #110880=VECTOR('',#386369,10.); #110881=VECTOR('',#386372,10.); #110882=VECTOR('',#386373,10.); #110883=VECTOR('',#386374,10.); #110884=VECTOR('',#386377,10.); #110885=VECTOR('',#386378,10.); #110886=VECTOR('',#386379,10.); #110887=VECTOR('',#386382,10.); #110888=VECTOR('',#386383,10.); #110889=VECTOR('',#386390,10.); #110890=VECTOR('',#386391,10.); #110891=VECTOR('',#386392,10.); #110892=VECTOR('',#386393,10.); #110893=VECTOR('',#386396,10.); #110894=VECTOR('',#386397,10.); #110895=VECTOR('',#386398,10.); #110896=VECTOR('',#386401,10.); #110897=VECTOR('',#386402,10.); #110898=VECTOR('',#386403,10.); #110899=VECTOR('',#386406,10.); #110900=VECTOR('',#386407,10.); #110901=VECTOR('',#386408,10.); #110902=VECTOR('',#386411,10.); #110903=VECTOR('',#386412,10.); #110904=VECTOR('',#386413,10.); #110905=VECTOR('',#386416,10.); #110906=VECTOR('',#386417,10.); #110907=VECTOR('',#386418,10.); #110908=VECTOR('',#386421,10.); #110909=VECTOR('',#386422,10.); #110910=VECTOR('',#386423,10.); #110911=VECTOR('',#386426,10.); #110912=VECTOR('',#386427,10.); #110913=VECTOR('',#386428,10.); #110914=VECTOR('',#386431,10.); #110915=VECTOR('',#386432,10.); #110916=VECTOR('',#386433,10.); #110917=VECTOR('',#386436,10.); #110918=VECTOR('',#386437,10.); #110919=VECTOR('',#386438,10.); #110920=VECTOR('',#386441,10.); #110921=VECTOR('',#386442,10.); #110922=VECTOR('',#386443,10.); #110923=VECTOR('',#386446,10.); #110924=VECTOR('',#386447,10.); #110925=VECTOR('',#386448,10.); #110926=VECTOR('',#386451,10.); #110927=VECTOR('',#386452,10.); #110928=VECTOR('',#386453,10.); #110929=VECTOR('',#386456,10.); #110930=VECTOR('',#386457,10.); #110931=VECTOR('',#386458,10.); #110932=VECTOR('',#386461,10.); #110933=VECTOR('',#386462,10.); #110934=VECTOR('',#386463,10.); #110935=VECTOR('',#386466,10.); #110936=VECTOR('',#386467,10.); #110937=VECTOR('',#386468,10.); #110938=VECTOR('',#386471,10.); #110939=VECTOR('',#386472,10.); #110940=VECTOR('',#386473,10.); #110941=VECTOR('',#386476,10.); #110942=VECTOR('',#386477,10.); #110943=VECTOR('',#386478,10.); #110944=VECTOR('',#386481,10.); #110945=VECTOR('',#386482,10.); #110946=VECTOR('',#386483,10.); #110947=VECTOR('',#386486,10.); #110948=VECTOR('',#386487,10.); #110949=VECTOR('',#386494,10.); #110950=VECTOR('',#386495,10.); #110951=VECTOR('',#386496,10.); #110952=VECTOR('',#386497,10.); #110953=VECTOR('',#386500,10.); #110954=VECTOR('',#386501,10.); #110955=VECTOR('',#386502,10.); #110956=VECTOR('',#386505,10.); #110957=VECTOR('',#386506,10.); #110958=VECTOR('',#386507,10.); #110959=VECTOR('',#386510,10.); #110960=VECTOR('',#386511,10.); #110961=VECTOR('',#386512,10.); #110962=VECTOR('',#386515,10.); #110963=VECTOR('',#386516,10.); #110964=VECTOR('',#386517,10.); #110965=VECTOR('',#386520,10.); #110966=VECTOR('',#386521,10.); #110967=VECTOR('',#386522,10.); #110968=VECTOR('',#386525,10.); #110969=VECTOR('',#386526,10.); #110970=VECTOR('',#386527,10.); #110971=VECTOR('',#386530,10.); #110972=VECTOR('',#386531,10.); #110973=VECTOR('',#386532,10.); #110974=VECTOR('',#386535,10.); #110975=VECTOR('',#386536,10.); #110976=VECTOR('',#386537,10.); #110977=VECTOR('',#386540,10.); #110978=VECTOR('',#386541,10.); #110979=VECTOR('',#386542,10.); #110980=VECTOR('',#386545,10.); #110981=VECTOR('',#386546,10.); #110982=VECTOR('',#386547,10.); #110983=VECTOR('',#386550,10.); #110984=VECTOR('',#386551,10.); #110985=VECTOR('',#386552,10.); #110986=VECTOR('',#386555,10.); #110987=VECTOR('',#386556,10.); #110988=VECTOR('',#386557,10.); #110989=VECTOR('',#386560,10.); #110990=VECTOR('',#386561,10.); #110991=VECTOR('',#386562,10.); #110992=VECTOR('',#386565,10.); #110993=VECTOR('',#386566,10.); #110994=VECTOR('',#386567,10.); #110995=VECTOR('',#386570,10.); #110996=VECTOR('',#386571,10.); #110997=VECTOR('',#386572,10.); #110998=VECTOR('',#386575,10.); #110999=VECTOR('',#386576,10.); #111000=VECTOR('',#386577,10.); #111001=VECTOR('',#386580,10.); #111002=VECTOR('',#386581,10.); #111003=VECTOR('',#386582,10.); #111004=VECTOR('',#386585,10.); #111005=VECTOR('',#386586,10.); #111006=VECTOR('',#386587,10.); #111007=VECTOR('',#386590,10.); #111008=VECTOR('',#386591,10.); #111009=VECTOR('',#386592,10.); #111010=VECTOR('',#386595,10.); #111011=VECTOR('',#386596,10.); #111012=VECTOR('',#386597,10.); #111013=VECTOR('',#386600,10.); #111014=VECTOR('',#386601,10.); #111015=VECTOR('',#386602,10.); #111016=VECTOR('',#386605,10.); #111017=VECTOR('',#386606,10.); #111018=VECTOR('',#386613,10.); #111019=VECTOR('',#386614,10.); #111020=VECTOR('',#386615,10.); #111021=VECTOR('',#386616,10.); #111022=VECTOR('',#386619,10.); #111023=VECTOR('',#386620,10.); #111024=VECTOR('',#386621,10.); #111025=VECTOR('',#386624,10.); #111026=VECTOR('',#386625,10.); #111027=VECTOR('',#386626,10.); #111028=VECTOR('',#386629,10.); #111029=VECTOR('',#386630,10.); #111030=VECTOR('',#386631,10.); #111031=VECTOR('',#386634,10.); #111032=VECTOR('',#386635,10.); #111033=VECTOR('',#386636,10.); #111034=VECTOR('',#386639,10.); #111035=VECTOR('',#386640,10.); #111036=VECTOR('',#386641,10.); #111037=VECTOR('',#386644,10.); #111038=VECTOR('',#386645,10.); #111039=VECTOR('',#386646,10.); #111040=VECTOR('',#386649,10.); #111041=VECTOR('',#386650,10.); #111042=VECTOR('',#386651,10.); #111043=VECTOR('',#386654,10.); #111044=VECTOR('',#386655,10.); #111045=VECTOR('',#386656,10.); #111046=VECTOR('',#386659,10.); #111047=VECTOR('',#386660,10.); #111048=VECTOR('',#386661,10.); #111049=VECTOR('',#386664,10.); #111050=VECTOR('',#386665,10.); #111051=VECTOR('',#386666,10.); #111052=VECTOR('',#386669,10.); #111053=VECTOR('',#386670,10.); #111054=VECTOR('',#386671,10.); #111055=VECTOR('',#386674,10.); #111056=VECTOR('',#386675,10.); #111057=VECTOR('',#386676,10.); #111058=VECTOR('',#386679,10.); #111059=VECTOR('',#386680,10.); #111060=VECTOR('',#386681,10.); #111061=VECTOR('',#386684,10.); #111062=VECTOR('',#386685,10.); #111063=VECTOR('',#386686,10.); #111064=VECTOR('',#386689,10.); #111065=VECTOR('',#386690,10.); #111066=VECTOR('',#386691,10.); #111067=VECTOR('',#386694,10.); #111068=VECTOR('',#386695,10.); #111069=VECTOR('',#386696,10.); #111070=VECTOR('',#386699,10.); #111071=VECTOR('',#386700,10.); #111072=VECTOR('',#386701,10.); #111073=VECTOR('',#386704,10.); #111074=VECTOR('',#386705,10.); #111075=VECTOR('',#386706,10.); #111076=VECTOR('',#386709,10.); #111077=VECTOR('',#386710,10.); #111078=VECTOR('',#386717,10.); #111079=VECTOR('',#386718,10.); #111080=VECTOR('',#386719,10.); #111081=VECTOR('',#386720,10.); #111082=VECTOR('',#386723,10.); #111083=VECTOR('',#386724,10.); #111084=VECTOR('',#386725,10.); #111085=VECTOR('',#386728,10.); #111086=VECTOR('',#386729,10.); #111087=VECTOR('',#386730,10.); #111088=VECTOR('',#386733,10.); #111089=VECTOR('',#386734,10.); #111090=VECTOR('',#386735,10.); #111091=VECTOR('',#386738,10.); #111092=VECTOR('',#386739,10.); #111093=VECTOR('',#386740,10.); #111094=VECTOR('',#386743,10.); #111095=VECTOR('',#386744,10.); #111096=VECTOR('',#386745,10.); #111097=VECTOR('',#386748,10.); #111098=VECTOR('',#386749,10.); #111099=VECTOR('',#386750,10.); #111100=VECTOR('',#386753,10.); #111101=VECTOR('',#386754,10.); #111102=VECTOR('',#386755,10.); #111103=VECTOR('',#386758,10.); #111104=VECTOR('',#386759,10.); #111105=VECTOR('',#386760,10.); #111106=VECTOR('',#386763,10.); #111107=VECTOR('',#386764,10.); #111108=VECTOR('',#386765,10.); #111109=VECTOR('',#386768,10.); #111110=VECTOR('',#386769,10.); #111111=VECTOR('',#386770,10.); #111112=VECTOR('',#386773,10.); #111113=VECTOR('',#386774,10.); #111114=VECTOR('',#386775,10.); #111115=VECTOR('',#386778,10.); #111116=VECTOR('',#386779,10.); #111117=VECTOR('',#386780,10.); #111118=VECTOR('',#386783,10.); #111119=VECTOR('',#386784,10.); #111120=VECTOR('',#386785,10.); #111121=VECTOR('',#386788,10.); #111122=VECTOR('',#386789,10.); #111123=VECTOR('',#386790,10.); #111124=VECTOR('',#386793,10.); #111125=VECTOR('',#386794,10.); #111126=VECTOR('',#386795,10.); #111127=VECTOR('',#386798,10.); #111128=VECTOR('',#386799,10.); #111129=VECTOR('',#386800,10.); #111130=VECTOR('',#386803,10.); #111131=VECTOR('',#386804,10.); #111132=VECTOR('',#386805,10.); #111133=VECTOR('',#386808,10.); #111134=VECTOR('',#386809,10.); #111135=VECTOR('',#386810,10.); #111136=VECTOR('',#386813,10.); #111137=VECTOR('',#386814,10.); #111138=VECTOR('',#386815,10.); #111139=VECTOR('',#386818,10.); #111140=VECTOR('',#386819,10.); #111141=VECTOR('',#386828,0.564999999999998); #111142=VECTOR('',#386833,10.); #111143=VECTOR('',#386834,10.); #111144=VECTOR('',#386835,10.); #111145=VECTOR('',#386836,10.); #111146=VECTOR('',#386843,10.); #111147=VECTOR('',#386858,0.565000000000002); #111148=VECTOR('',#386863,10.); #111149=VECTOR('',#386864,10.); #111150=VECTOR('',#386865,10.); #111151=VECTOR('',#386866,10.); #111152=VECTOR('',#386873,10.); #111153=VECTOR('',#386888,0.465); #111154=VECTOR('',#386893,10.); #111155=VECTOR('',#386894,10.); #111156=VECTOR('',#386895,10.); #111157=VECTOR('',#386896,10.); #111158=VECTOR('',#386903,10.); #111159=VECTOR('',#386918,0.514999999999999); #111160=VECTOR('',#386923,10.); #111161=VECTOR('',#386924,10.); #111162=VECTOR('',#386925,10.); #111163=VECTOR('',#386926,10.); #111164=VECTOR('',#386933,10.); #111165=VECTOR('',#386948,0.514999999999999); #111166=VECTOR('',#386953,10.); #111167=VECTOR('',#386954,10.); #111168=VECTOR('',#386955,10.); #111169=VECTOR('',#386956,10.); #111170=VECTOR('',#386959,10.); #111171=VECTOR('',#386960,10.); #111172=VECTOR('',#386961,10.); #111173=VECTOR('',#386964,10.); #111174=VECTOR('',#386965,10.); #111175=VECTOR('',#386966,10.); #111176=VECTOR('',#386969,10.); #111177=VECTOR('',#386970,10.); #111178=VECTOR('',#386979,0.465); #111179=VECTOR('',#386984,10.); #111180=VECTOR('',#386985,10.); #111181=VECTOR('',#386986,10.); #111182=VECTOR('',#386987,10.); #111183=VECTOR('',#386994,10.); #111184=VECTOR('',#387009,0.465); #111185=VECTOR('',#387014,10.); #111186=VECTOR('',#387015,10.); #111187=VECTOR('',#387016,10.); #111188=VECTOR('',#387017,10.); #111189=VECTOR('',#387024,10.); #111190=VECTOR('',#387039,0.564999999999998); #111191=VECTOR('',#387044,10.); #111192=VECTOR('',#387045,10.); #111193=VECTOR('',#387046,10.); #111194=VECTOR('',#387047,10.); #111195=VECTOR('',#387054,10.); #111196=VECTOR('',#387069,0.465); #111197=VECTOR('',#387074,10.); #111198=VECTOR('',#387075,10.); #111199=VECTOR('',#387076,10.); #111200=VECTOR('',#387077,10.); #111201=VECTOR('',#387084,10.); #111202=VECTOR('',#387097,10.); #111203=VECTOR('',#387098,10.); #111204=VECTOR('',#387099,10.); #111205=VECTOR('',#387100,10.); #111206=VECTOR('',#387107,10.); #111207=VECTOR('',#387110,10.); #111208=VECTOR('',#387111,10.); #111209=VECTOR('',#387112,10.); #111210=VECTOR('',#387121,10.); #111211=VECTOR('',#387122,10.); #111212=VECTOR('',#387123,10.); #111213=VECTOR('',#387124,10.); #111214=VECTOR('',#387127,10.); #111215=VECTOR('',#387128,10.); #111216=VECTOR('',#387129,10.); #111217=VECTOR('',#387132,10.); #111218=VECTOR('',#387133,10.); #111219=VECTOR('',#387134,10.); #111220=VECTOR('',#387137,10.); #111221=VECTOR('',#387138,10.); #111222=VECTOR('',#387139,10.); #111223=VECTOR('',#387142,10.); #111224=VECTOR('',#387143,10.); #111225=VECTOR('',#387144,10.); #111226=VECTOR('',#387147,10.); #111227=VECTOR('',#387148,10.); #111228=VECTOR('',#387149,10.); #111229=VECTOR('',#387152,10.); #111230=VECTOR('',#387153,10.); #111231=VECTOR('',#387154,10.); #111232=VECTOR('',#387157,10.); #111233=VECTOR('',#387158,10.); #111234=VECTOR('',#387159,10.); #111235=VECTOR('',#387162,10.); #111236=VECTOR('',#387163,10.); #111237=VECTOR('',#387164,10.); #111238=VECTOR('',#387167,10.); #111239=VECTOR('',#387168,10.); #111240=VECTOR('',#387169,10.); #111241=VECTOR('',#387172,10.); #111242=VECTOR('',#387173,10.); #111243=VECTOR('',#387174,10.); #111244=VECTOR('',#387177,10.); #111245=VECTOR('',#387178,10.); #111246=VECTOR('',#387179,10.); #111247=VECTOR('',#387182,10.); #111248=VECTOR('',#387183,10.); #111249=VECTOR('',#387184,10.); #111250=VECTOR('',#387187,10.); #111251=VECTOR('',#387188,10.); #111252=VECTOR('',#387189,10.); #111253=VECTOR('',#387192,10.); #111254=VECTOR('',#387193,10.); #111255=VECTOR('',#387194,10.); #111256=VECTOR('',#387197,10.); #111257=VECTOR('',#387198,10.); #111258=VECTOR('',#387199,10.); #111259=VECTOR('',#387202,10.); #111260=VECTOR('',#387203,10.); #111261=VECTOR('',#387204,10.); #111262=VECTOR('',#387207,10.); #111263=VECTOR('',#387208,10.); #111264=VECTOR('',#387209,10.); #111265=VECTOR('',#387212,10.); #111266=VECTOR('',#387213,10.); #111267=VECTOR('',#387214,10.); #111268=VECTOR('',#387217,10.); #111269=VECTOR('',#387218,10.); #111270=VECTOR('',#387219,10.); #111271=VECTOR('',#387222,10.); #111272=VECTOR('',#387223,10.); #111273=VECTOR('',#387224,10.); #111274=VECTOR('',#387227,10.); #111275=VECTOR('',#387228,10.); #111276=VECTOR('',#387229,10.); #111277=VECTOR('',#387232,10.); #111278=VECTOR('',#387233,10.); #111279=VECTOR('',#387234,10.); #111280=VECTOR('',#387237,10.); #111281=VECTOR('',#387238,10.); #111282=VECTOR('',#387239,10.); #111283=VECTOR('',#387242,10.); #111284=VECTOR('',#387243,10.); #111285=VECTOR('',#387244,10.); #111286=VECTOR('',#387247,10.); #111287=VECTOR('',#387248,10.); #111288=VECTOR('',#387249,10.); #111289=VECTOR('',#387252,10.); #111290=VECTOR('',#387253,10.); #111291=VECTOR('',#387254,10.); #111292=VECTOR('',#387257,10.); #111293=VECTOR('',#387258,10.); #111294=VECTOR('',#387259,10.); #111295=VECTOR('',#387262,10.); #111296=VECTOR('',#387263,10.); #111297=VECTOR('',#387264,10.); #111298=VECTOR('',#387267,10.); #111299=VECTOR('',#387268,10.); #111300=VECTOR('',#387269,10.); #111301=VECTOR('',#387272,10.); #111302=VECTOR('',#387273,10.); #111303=VECTOR('',#387274,10.); #111304=VECTOR('',#387277,10.); #111305=VECTOR('',#387278,10.); #111306=VECTOR('',#387279,10.); #111307=VECTOR('',#387282,10.); #111308=VECTOR('',#387283,10.); #111309=VECTOR('',#387284,10.); #111310=VECTOR('',#387287,10.); #111311=VECTOR('',#387288,10.); #111312=VECTOR('',#387289,10.); #111313=VECTOR('',#387292,10.); #111314=VECTOR('',#387293,10.); #111315=VECTOR('',#387294,10.); #111316=VECTOR('',#387297,10.); #111317=VECTOR('',#387298,10.); #111318=VECTOR('',#387299,10.); #111319=VECTOR('',#387302,10.); #111320=VECTOR('',#387303,10.); #111321=VECTOR('',#387304,10.); #111322=VECTOR('',#387307,10.); #111323=VECTOR('',#387308,10.); #111324=VECTOR('',#387309,10.); #111325=VECTOR('',#387312,10.); #111326=VECTOR('',#387313,10.); #111327=VECTOR('',#387314,10.); #111328=VECTOR('',#387317,10.); #111329=VECTOR('',#387318,10.); #111330=VECTOR('',#387319,10.); #111331=VECTOR('',#387322,10.); #111332=VECTOR('',#387323,10.); #111333=VECTOR('',#387324,10.); #111334=VECTOR('',#387327,10.); #111335=VECTOR('',#387328,10.); #111336=VECTOR('',#387329,10.); #111337=VECTOR('',#387332,10.); #111338=VECTOR('',#387333,10.); #111339=VECTOR('',#387334,10.); #111340=VECTOR('',#387337,10.); #111341=VECTOR('',#387338,10.); #111342=VECTOR('',#387339,10.); #111343=VECTOR('',#387342,10.); #111344=VECTOR('',#387343,10.); #111345=VECTOR('',#387344,10.); #111346=VECTOR('',#387347,10.); #111347=VECTOR('',#387348,10.); #111348=VECTOR('',#387349,10.); #111349=VECTOR('',#387352,10.); #111350=VECTOR('',#387353,10.); #111351=VECTOR('',#387354,10.); #111352=VECTOR('',#387357,10.); #111353=VECTOR('',#387358,10.); #111354=VECTOR('',#387359,10.); #111355=VECTOR('',#387362,10.); #111356=VECTOR('',#387363,10.); #111357=VECTOR('',#387364,10.); #111358=VECTOR('',#387367,10.); #111359=VECTOR('',#387368,10.); #111360=VECTOR('',#387369,10.); #111361=VECTOR('',#387372,10.); #111362=VECTOR('',#387373,10.); #111363=VECTOR('',#387374,10.); #111364=VECTOR('',#387377,10.); #111365=VECTOR('',#387378,10.); #111366=VECTOR('',#387379,10.); #111367=VECTOR('',#387382,10.); #111368=VECTOR('',#387383,10.); #111369=VECTOR('',#387384,10.); #111370=VECTOR('',#387387,10.); #111371=VECTOR('',#387388,10.); #111372=VECTOR('',#387389,10.); #111373=VECTOR('',#387392,10.); #111374=VECTOR('',#387393,10.); #111375=VECTOR('',#387394,10.); #111376=VECTOR('',#387397,10.); #111377=VECTOR('',#387398,10.); #111378=VECTOR('',#387405,10.); #111379=VECTOR('',#387406,10.); #111380=VECTOR('',#387407,10.); #111381=VECTOR('',#387408,10.); #111382=VECTOR('',#387415,10.); #111383=VECTOR('',#387418,10.); #111384=VECTOR('',#387419,10.); #111385=VECTOR('',#387420,10.); #111386=VECTOR('',#387429,10.); #111387=VECTOR('',#387430,10.); #111388=VECTOR('',#387431,10.); #111389=VECTOR('',#387432,10.); #111390=VECTOR('',#387435,10.); #111391=VECTOR('',#387436,10.); #111392=VECTOR('',#387437,10.); #111393=VECTOR('',#387440,10.); #111394=VECTOR('',#387441,10.); #111395=VECTOR('',#387442,10.); #111396=VECTOR('',#387445,10.); #111397=VECTOR('',#387446,10.); #111398=VECTOR('',#387447,10.); #111399=VECTOR('',#387450,10.); #111400=VECTOR('',#387451,10.); #111401=VECTOR('',#387452,10.); #111402=VECTOR('',#387455,10.); #111403=VECTOR('',#387456,10.); #111404=VECTOR('',#387457,10.); #111405=VECTOR('',#387460,10.); #111406=VECTOR('',#387461,10.); #111407=VECTOR('',#387462,10.); #111408=VECTOR('',#387465,10.); #111409=VECTOR('',#387466,10.); #111410=VECTOR('',#387467,10.); #111411=VECTOR('',#387470,10.); #111412=VECTOR('',#387471,10.); #111413=VECTOR('',#387472,10.); #111414=VECTOR('',#387475,10.); #111415=VECTOR('',#387476,10.); #111416=VECTOR('',#387477,10.); #111417=VECTOR('',#387480,10.); #111418=VECTOR('',#387481,10.); #111419=VECTOR('',#387482,10.); #111420=VECTOR('',#387485,10.); #111421=VECTOR('',#387486,10.); #111422=VECTOR('',#387487,10.); #111423=VECTOR('',#387490,10.); #111424=VECTOR('',#387491,10.); #111425=VECTOR('',#387492,10.); #111426=VECTOR('',#387495,10.); #111427=VECTOR('',#387496,10.); #111428=VECTOR('',#387497,10.); #111429=VECTOR('',#387500,10.); #111430=VECTOR('',#387501,10.); #111431=VECTOR('',#387502,10.); #111432=VECTOR('',#387505,10.); #111433=VECTOR('',#387506,10.); #111434=VECTOR('',#387507,10.); #111435=VECTOR('',#387510,10.); #111436=VECTOR('',#387511,10.); #111437=VECTOR('',#387512,10.); #111438=VECTOR('',#387515,10.); #111439=VECTOR('',#387516,10.); #111440=VECTOR('',#387517,10.); #111441=VECTOR('',#387520,10.); #111442=VECTOR('',#387521,10.); #111443=VECTOR('',#387522,10.); #111444=VECTOR('',#387525,10.); #111445=VECTOR('',#387526,10.); #111446=VECTOR('',#387527,10.); #111447=VECTOR('',#387530,10.); #111448=VECTOR('',#387531,10.); #111449=VECTOR('',#387532,10.); #111450=VECTOR('',#387535,10.); #111451=VECTOR('',#387536,10.); #111452=VECTOR('',#387537,10.); #111453=VECTOR('',#387540,10.); #111454=VECTOR('',#387541,10.); #111455=VECTOR('',#387542,10.); #111456=VECTOR('',#387545,10.); #111457=VECTOR('',#387546,10.); #111458=VECTOR('',#387547,10.); #111459=VECTOR('',#387550,10.); #111460=VECTOR('',#387551,10.); #111461=VECTOR('',#387552,10.); #111462=VECTOR('',#387555,10.); #111463=VECTOR('',#387556,10.); #111464=VECTOR('',#387557,10.); #111465=VECTOR('',#387560,10.); #111466=VECTOR('',#387561,10.); #111467=VECTOR('',#387562,10.); #111468=VECTOR('',#387565,10.); #111469=VECTOR('',#387566,10.); #111470=VECTOR('',#387567,10.); #111471=VECTOR('',#387570,10.); #111472=VECTOR('',#387571,10.); #111473=VECTOR('',#387572,10.); #111474=VECTOR('',#387575,10.); #111475=VECTOR('',#387576,10.); #111476=VECTOR('',#387577,10.); #111477=VECTOR('',#387580,10.); #111478=VECTOR('',#387581,10.); #111479=VECTOR('',#387582,10.); #111480=VECTOR('',#387585,10.); #111481=VECTOR('',#387586,10.); #111482=VECTOR('',#387587,10.); #111483=VECTOR('',#387590,10.); #111484=VECTOR('',#387591,10.); #111485=VECTOR('',#387592,10.); #111486=VECTOR('',#387595,10.); #111487=VECTOR('',#387596,10.); #111488=VECTOR('',#387597,10.); #111489=VECTOR('',#387600,10.); #111490=VECTOR('',#387601,10.); #111491=VECTOR('',#387602,10.); #111492=VECTOR('',#387605,10.); #111493=VECTOR('',#387606,10.); #111494=VECTOR('',#387607,10.); #111495=VECTOR('',#387610,10.); #111496=VECTOR('',#387611,10.); #111497=VECTOR('',#387612,10.); #111498=VECTOR('',#387615,10.); #111499=VECTOR('',#387616,10.); #111500=VECTOR('',#387617,10.); #111501=VECTOR('',#387620,10.); #111502=VECTOR('',#387621,10.); #111503=VECTOR('',#387622,10.); #111504=VECTOR('',#387625,10.); #111505=VECTOR('',#387626,10.); #111506=VECTOR('',#387627,10.); #111507=VECTOR('',#387630,10.); #111508=VECTOR('',#387631,10.); #111509=VECTOR('',#387632,10.); #111510=VECTOR('',#387635,10.); #111511=VECTOR('',#387636,10.); #111512=VECTOR('',#387637,10.); #111513=VECTOR('',#387640,10.); #111514=VECTOR('',#387641,10.); #111515=VECTOR('',#387642,10.); #111516=VECTOR('',#387645,10.); #111517=VECTOR('',#387646,10.); #111518=VECTOR('',#387647,10.); #111519=VECTOR('',#387650,10.); #111520=VECTOR('',#387651,10.); #111521=VECTOR('',#387652,10.); #111522=VECTOR('',#387655,10.); #111523=VECTOR('',#387656,10.); #111524=VECTOR('',#387657,10.); #111525=VECTOR('',#387660,10.); #111526=VECTOR('',#387661,10.); #111527=VECTOR('',#387662,10.); #111528=VECTOR('',#387665,10.); #111529=VECTOR('',#387666,10.); #111530=VECTOR('',#387667,10.); #111531=VECTOR('',#387670,10.); #111532=VECTOR('',#387671,10.); #111533=VECTOR('',#387672,10.); #111534=VECTOR('',#387675,10.); #111535=VECTOR('',#387676,10.); #111536=VECTOR('',#387677,10.); #111537=VECTOR('',#387680,10.); #111538=VECTOR('',#387681,10.); #111539=VECTOR('',#387682,10.); #111540=VECTOR('',#387685,10.); #111541=VECTOR('',#387686,10.); #111542=VECTOR('',#387687,10.); #111543=VECTOR('',#387690,10.); #111544=VECTOR('',#387691,10.); #111545=VECTOR('',#387692,10.); #111546=VECTOR('',#387695,10.); #111547=VECTOR('',#387696,10.); #111548=VECTOR('',#387697,10.); #111549=VECTOR('',#387700,10.); #111550=VECTOR('',#387701,10.); #111551=VECTOR('',#387702,10.); #111552=VECTOR('',#387705,10.); #111553=VECTOR('',#387706,10.); #111554=VECTOR('',#387707,10.); #111555=VECTOR('',#387710,10.); #111556=VECTOR('',#387711,10.); #111557=VECTOR('',#387712,10.); #111558=VECTOR('',#387715,10.); #111559=VECTOR('',#387716,10.); #111560=VECTOR('',#387717,10.); #111561=VECTOR('',#387720,10.); #111562=VECTOR('',#387721,10.); #111563=VECTOR('',#387722,10.); #111564=VECTOR('',#387725,10.); #111565=VECTOR('',#387726,10.); #111566=VECTOR('',#387727,10.); #111567=VECTOR('',#387730,10.); #111568=VECTOR('',#387731,10.); #111569=VECTOR('',#387732,10.); #111570=VECTOR('',#387735,10.); #111571=VECTOR('',#387736,10.); #111572=VECTOR('',#387737,10.); #111573=VECTOR('',#387740,10.); #111574=VECTOR('',#387741,10.); #111575=VECTOR('',#387742,10.); #111576=VECTOR('',#387745,10.); #111577=VECTOR('',#387746,10.); #111578=VECTOR('',#387747,10.); #111579=VECTOR('',#387750,10.); #111580=VECTOR('',#387751,10.); #111581=VECTOR('',#387752,10.); #111582=VECTOR('',#387755,10.); #111583=VECTOR('',#387756,10.); #111584=VECTOR('',#387757,10.); #111585=VECTOR('',#387760,10.); #111586=VECTOR('',#387761,10.); #111587=VECTOR('',#387762,10.); #111588=VECTOR('',#387765,10.); #111589=VECTOR('',#387766,10.); #111590=VECTOR('',#387767,10.); #111591=VECTOR('',#387770,10.); #111592=VECTOR('',#387771,10.); #111593=VECTOR('',#387772,10.); #111594=VECTOR('',#387775,10.); #111595=VECTOR('',#387776,10.); #111596=VECTOR('',#387777,10.); #111597=VECTOR('',#387780,10.); #111598=VECTOR('',#387781,10.); #111599=VECTOR('',#387782,10.); #111600=VECTOR('',#387785,10.); #111601=VECTOR('',#387786,10.); #111602=VECTOR('',#387793,10.); #111603=VECTOR('',#387794,10.); #111604=VECTOR('',#387795,10.); #111605=VECTOR('',#387796,10.); #111606=VECTOR('',#387803,10.); #111607=VECTOR('',#387806,10.); #111608=VECTOR('',#387807,10.); #111609=VECTOR('',#387808,10.); #111610=VECTOR('',#387817,10.); #111611=VECTOR('',#387818,10.); #111612=VECTOR('',#387819,10.); #111613=VECTOR('',#387820,10.); #111614=VECTOR('',#387823,10.); #111615=VECTOR('',#387824,10.); #111616=VECTOR('',#387825,10.); #111617=VECTOR('',#387828,10.); #111618=VECTOR('',#387829,10.); #111619=VECTOR('',#387830,10.); #111620=VECTOR('',#387833,10.); #111621=VECTOR('',#387834,10.); #111622=VECTOR('',#387835,10.); #111623=VECTOR('',#387838,10.); #111624=VECTOR('',#387839,10.); #111625=VECTOR('',#387840,10.); #111626=VECTOR('',#387843,10.); #111627=VECTOR('',#387844,10.); #111628=VECTOR('',#387845,10.); #111629=VECTOR('',#387848,10.); #111630=VECTOR('',#387849,10.); #111631=VECTOR('',#387850,10.); #111632=VECTOR('',#387853,10.); #111633=VECTOR('',#387854,10.); #111634=VECTOR('',#387855,10.); #111635=VECTOR('',#387858,10.); #111636=VECTOR('',#387859,10.); #111637=VECTOR('',#387860,10.); #111638=VECTOR('',#387863,10.); #111639=VECTOR('',#387864,10.); #111640=VECTOR('',#387865,10.); #111641=VECTOR('',#387868,10.); #111642=VECTOR('',#387869,10.); #111643=VECTOR('',#387870,10.); #111644=VECTOR('',#387873,10.); #111645=VECTOR('',#387874,10.); #111646=VECTOR('',#387875,10.); #111647=VECTOR('',#387878,10.); #111648=VECTOR('',#387879,10.); #111649=VECTOR('',#387880,10.); #111650=VECTOR('',#387883,10.); #111651=VECTOR('',#387884,10.); #111652=VECTOR('',#387885,10.); #111653=VECTOR('',#387888,10.); #111654=VECTOR('',#387889,10.); #111655=VECTOR('',#387890,10.); #111656=VECTOR('',#387893,10.); #111657=VECTOR('',#387894,10.); #111658=VECTOR('',#387895,10.); #111659=VECTOR('',#387898,10.); #111660=VECTOR('',#387899,10.); #111661=VECTOR('',#387900,10.); #111662=VECTOR('',#387903,10.); #111663=VECTOR('',#387904,10.); #111664=VECTOR('',#387905,10.); #111665=VECTOR('',#387908,10.); #111666=VECTOR('',#387909,10.); #111667=VECTOR('',#387910,10.); #111668=VECTOR('',#387913,10.); #111669=VECTOR('',#387914,10.); #111670=VECTOR('',#387915,10.); #111671=VECTOR('',#387918,10.); #111672=VECTOR('',#387919,10.); #111673=VECTOR('',#387920,10.); #111674=VECTOR('',#387923,10.); #111675=VECTOR('',#387924,10.); #111676=VECTOR('',#387925,10.); #111677=VECTOR('',#387928,10.); #111678=VECTOR('',#387929,10.); #111679=VECTOR('',#387930,10.); #111680=VECTOR('',#387933,10.); #111681=VECTOR('',#387934,10.); #111682=VECTOR('',#387935,10.); #111683=VECTOR('',#387938,10.); #111684=VECTOR('',#387939,10.); #111685=VECTOR('',#387940,10.); #111686=VECTOR('',#387943,10.); #111687=VECTOR('',#387944,10.); #111688=VECTOR('',#387945,10.); #111689=VECTOR('',#387948,10.); #111690=VECTOR('',#387949,10.); #111691=VECTOR('',#387950,10.); #111692=VECTOR('',#387953,10.); #111693=VECTOR('',#387954,10.); #111694=VECTOR('',#387955,10.); #111695=VECTOR('',#387958,10.); #111696=VECTOR('',#387959,10.); #111697=VECTOR('',#387960,10.); #111698=VECTOR('',#387963,10.); #111699=VECTOR('',#387964,10.); #111700=VECTOR('',#387965,10.); #111701=VECTOR('',#387968,10.); #111702=VECTOR('',#387969,10.); #111703=VECTOR('',#387970,10.); #111704=VECTOR('',#387973,10.); #111705=VECTOR('',#387974,10.); #111706=VECTOR('',#387975,10.); #111707=VECTOR('',#387978,10.); #111708=VECTOR('',#387979,10.); #111709=VECTOR('',#387980,10.); #111710=VECTOR('',#387983,10.); #111711=VECTOR('',#387984,10.); #111712=VECTOR('',#387985,10.); #111713=VECTOR('',#387988,10.); #111714=VECTOR('',#387989,10.); #111715=VECTOR('',#387990,10.); #111716=VECTOR('',#387993,10.); #111717=VECTOR('',#387994,10.); #111718=VECTOR('',#387995,10.); #111719=VECTOR('',#387998,10.); #111720=VECTOR('',#387999,10.); #111721=VECTOR('',#388000,10.); #111722=VECTOR('',#388003,10.); #111723=VECTOR('',#388004,10.); #111724=VECTOR('',#388005,10.); #111725=VECTOR('',#388008,10.); #111726=VECTOR('',#388009,10.); #111727=VECTOR('',#388010,10.); #111728=VECTOR('',#388013,10.); #111729=VECTOR('',#388014,10.); #111730=VECTOR('',#388015,10.); #111731=VECTOR('',#388018,10.); #111732=VECTOR('',#388019,10.); #111733=VECTOR('',#388020,10.); #111734=VECTOR('',#388023,10.); #111735=VECTOR('',#388024,10.); #111736=VECTOR('',#388025,10.); #111737=VECTOR('',#388028,10.); #111738=VECTOR('',#388029,10.); #111739=VECTOR('',#388030,10.); #111740=VECTOR('',#388033,10.); #111741=VECTOR('',#388034,10.); #111742=VECTOR('',#388035,10.); #111743=VECTOR('',#388038,10.); #111744=VECTOR('',#388039,10.); #111745=VECTOR('',#388040,10.); #111746=VECTOR('',#388043,10.); #111747=VECTOR('',#388044,10.); #111748=VECTOR('',#388045,10.); #111749=VECTOR('',#388048,10.); #111750=VECTOR('',#388049,10.); #111751=VECTOR('',#388050,10.); #111752=VECTOR('',#388053,10.); #111753=VECTOR('',#388054,10.); #111754=VECTOR('',#388055,10.); #111755=VECTOR('',#388058,10.); #111756=VECTOR('',#388059,10.); #111757=VECTOR('',#388060,10.); #111758=VECTOR('',#388063,10.); #111759=VECTOR('',#388064,10.); #111760=VECTOR('',#388065,10.); #111761=VECTOR('',#388068,10.); #111762=VECTOR('',#388069,10.); #111763=VECTOR('',#388070,10.); #111764=VECTOR('',#388073,10.); #111765=VECTOR('',#388074,10.); #111766=VECTOR('',#388075,10.); #111767=VECTOR('',#388078,10.); #111768=VECTOR('',#388079,10.); #111769=VECTOR('',#388080,10.); #111770=VECTOR('',#388083,10.); #111771=VECTOR('',#388084,10.); #111772=VECTOR('',#388085,10.); #111773=VECTOR('',#388088,10.); #111774=VECTOR('',#388089,10.); #111775=VECTOR('',#388090,10.); #111776=VECTOR('',#388093,10.); #111777=VECTOR('',#388094,10.); #111778=VECTOR('',#388103,0.514999999999999); #111779=VECTOR('',#388108,10.); #111780=VECTOR('',#388109,10.); #111781=VECTOR('',#388110,10.); #111782=VECTOR('',#388111,10.); #111783=VECTOR('',#388114,10.); #111784=VECTOR('',#388115,10.); #111785=VECTOR('',#388116,10.); #111786=VECTOR('',#388119,10.); #111787=VECTOR('',#388120,10.); #111788=VECTOR('',#388121,10.); #111789=VECTOR('',#388124,10.); #111790=VECTOR('',#388125,10.); #111791=VECTOR('',#388132,10.); #111792=VECTOR('',#388133,10.); #111793=VECTOR('',#388134,10.); #111794=VECTOR('',#388135,10.); #111795=VECTOR('',#388142,10.); #111796=VECTOR('',#388145,10.); #111797=VECTOR('',#388146,10.); #111798=VECTOR('',#388147,10.); #111799=VECTOR('',#388156,10.); #111800=VECTOR('',#388157,10.); #111801=VECTOR('',#388158,10.); #111802=VECTOR('',#388159,10.); #111803=VECTOR('',#388162,10.); #111804=VECTOR('',#388163,10.); #111805=VECTOR('',#388164,10.); #111806=VECTOR('',#388167,10.); #111807=VECTOR('',#388168,10.); #111808=VECTOR('',#388169,10.); #111809=VECTOR('',#388172,10.); #111810=VECTOR('',#388173,10.); #111811=VECTOR('',#388174,10.); #111812=VECTOR('',#388177,10.); #111813=VECTOR('',#388178,10.); #111814=VECTOR('',#388179,10.); #111815=VECTOR('',#388182,10.); #111816=VECTOR('',#388183,10.); #111817=VECTOR('',#388184,10.); #111818=VECTOR('',#388187,10.); #111819=VECTOR('',#388188,10.); #111820=VECTOR('',#388189,10.); #111821=VECTOR('',#388192,10.); #111822=VECTOR('',#388193,10.); #111823=VECTOR('',#388194,10.); #111824=VECTOR('',#388197,10.); #111825=VECTOR('',#388198,10.); #111826=VECTOR('',#388199,10.); #111827=VECTOR('',#388202,10.); #111828=VECTOR('',#388203,10.); #111829=VECTOR('',#388204,10.); #111830=VECTOR('',#388207,10.); #111831=VECTOR('',#388208,10.); #111832=VECTOR('',#388209,10.); #111833=VECTOR('',#388212,10.); #111834=VECTOR('',#388213,10.); #111835=VECTOR('',#388214,10.); #111836=VECTOR('',#388217,10.); #111837=VECTOR('',#388218,10.); #111838=VECTOR('',#388219,10.); #111839=VECTOR('',#388222,10.); #111840=VECTOR('',#388223,10.); #111841=VECTOR('',#388224,10.); #111842=VECTOR('',#388227,10.); #111843=VECTOR('',#388228,10.); #111844=VECTOR('',#388229,10.); #111845=VECTOR('',#388232,10.); #111846=VECTOR('',#388233,10.); #111847=VECTOR('',#388234,10.); #111848=VECTOR('',#388237,10.); #111849=VECTOR('',#388238,10.); #111850=VECTOR('',#388239,10.); #111851=VECTOR('',#388242,10.); #111852=VECTOR('',#388243,10.); #111853=VECTOR('',#388244,10.); #111854=VECTOR('',#388247,10.); #111855=VECTOR('',#388248,10.); #111856=VECTOR('',#388249,10.); #111857=VECTOR('',#388252,10.); #111858=VECTOR('',#388253,10.); #111859=VECTOR('',#388254,10.); #111860=VECTOR('',#388257,10.); #111861=VECTOR('',#388258,10.); #111862=VECTOR('',#388259,10.); #111863=VECTOR('',#388262,10.); #111864=VECTOR('',#388263,10.); #111865=VECTOR('',#388264,10.); #111866=VECTOR('',#388267,10.); #111867=VECTOR('',#388268,10.); #111868=VECTOR('',#388269,10.); #111869=VECTOR('',#388272,10.); #111870=VECTOR('',#388273,10.); #111871=VECTOR('',#388274,10.); #111872=VECTOR('',#388277,10.); #111873=VECTOR('',#388278,10.); #111874=VECTOR('',#388279,10.); #111875=VECTOR('',#388282,10.); #111876=VECTOR('',#388283,10.); #111877=VECTOR('',#388284,10.); #111878=VECTOR('',#388287,10.); #111879=VECTOR('',#388288,10.); #111880=VECTOR('',#388289,10.); #111881=VECTOR('',#388292,10.); #111882=VECTOR('',#388293,10.); #111883=VECTOR('',#388294,10.); #111884=VECTOR('',#388297,10.); #111885=VECTOR('',#388298,10.); #111886=VECTOR('',#388299,10.); #111887=VECTOR('',#388302,10.); #111888=VECTOR('',#388303,10.); #111889=VECTOR('',#388304,10.); #111890=VECTOR('',#388307,10.); #111891=VECTOR('',#388308,10.); #111892=VECTOR('',#388309,10.); #111893=VECTOR('',#388312,10.); #111894=VECTOR('',#388313,10.); #111895=VECTOR('',#388314,10.); #111896=VECTOR('',#388317,10.); #111897=VECTOR('',#388318,10.); #111898=VECTOR('',#388319,10.); #111899=VECTOR('',#388322,10.); #111900=VECTOR('',#388323,10.); #111901=VECTOR('',#388324,10.); #111902=VECTOR('',#388327,10.); #111903=VECTOR('',#388328,10.); #111904=VECTOR('',#388329,10.); #111905=VECTOR('',#388332,10.); #111906=VECTOR('',#388333,10.); #111907=VECTOR('',#388334,10.); #111908=VECTOR('',#388337,10.); #111909=VECTOR('',#388338,10.); #111910=VECTOR('',#388339,10.); #111911=VECTOR('',#388342,10.); #111912=VECTOR('',#388343,10.); #111913=VECTOR('',#388344,10.); #111914=VECTOR('',#388347,10.); #111915=VECTOR('',#388348,10.); #111916=VECTOR('',#388349,10.); #111917=VECTOR('',#388352,10.); #111918=VECTOR('',#388353,10.); #111919=VECTOR('',#388354,10.); #111920=VECTOR('',#388357,10.); #111921=VECTOR('',#388358,10.); #111922=VECTOR('',#388359,10.); #111923=VECTOR('',#388362,10.); #111924=VECTOR('',#388363,10.); #111925=VECTOR('',#388364,10.); #111926=VECTOR('',#388367,10.); #111927=VECTOR('',#388368,10.); #111928=VECTOR('',#388369,10.); #111929=VECTOR('',#388372,10.); #111930=VECTOR('',#388373,10.); #111931=VECTOR('',#388374,10.); #111932=VECTOR('',#388377,10.); #111933=VECTOR('',#388378,10.); #111934=VECTOR('',#388379,10.); #111935=VECTOR('',#388382,10.); #111936=VECTOR('',#388383,10.); #111937=VECTOR('',#388384,10.); #111938=VECTOR('',#388387,10.); #111939=VECTOR('',#388388,10.); #111940=VECTOR('',#388389,10.); #111941=VECTOR('',#388392,10.); #111942=VECTOR('',#388393,10.); #111943=VECTOR('',#388394,10.); #111944=VECTOR('',#388397,10.); #111945=VECTOR('',#388398,10.); #111946=VECTOR('',#388399,10.); #111947=VECTOR('',#388402,10.); #111948=VECTOR('',#388403,10.); #111949=VECTOR('',#388404,10.); #111950=VECTOR('',#388407,10.); #111951=VECTOR('',#388408,10.); #111952=VECTOR('',#388409,10.); #111953=VECTOR('',#388412,10.); #111954=VECTOR('',#388413,10.); #111955=VECTOR('',#388414,10.); #111956=VECTOR('',#388417,10.); #111957=VECTOR('',#388418,10.); #111958=VECTOR('',#388419,10.); #111959=VECTOR('',#388422,10.); #111960=VECTOR('',#388423,10.); #111961=VECTOR('',#388424,10.); #111962=VECTOR('',#388427,10.); #111963=VECTOR('',#388428,10.); #111964=VECTOR('',#388429,10.); #111965=VECTOR('',#388432,10.); #111966=VECTOR('',#388433,10.); #111967=VECTOR('',#388440,10.); #111968=VECTOR('',#388441,10.); #111969=VECTOR('',#388442,10.); #111970=VECTOR('',#388443,10.); #111971=VECTOR('',#388450,10.); #111972=VECTOR('',#388453,10.); #111973=VECTOR('',#388454,10.); #111974=VECTOR('',#388455,10.); #111975=VECTOR('',#388464,10.); #111976=VECTOR('',#388465,10.); #111977=VECTOR('',#388466,10.); #111978=VECTOR('',#388467,10.); #111979=VECTOR('',#388470,10.); #111980=VECTOR('',#388471,10.); #111981=VECTOR('',#388472,10.); #111982=VECTOR('',#388475,10.); #111983=VECTOR('',#388476,10.); #111984=VECTOR('',#388477,10.); #111985=VECTOR('',#388480,10.); #111986=VECTOR('',#388481,10.); #111987=VECTOR('',#388482,10.); #111988=VECTOR('',#388485,10.); #111989=VECTOR('',#388486,10.); #111990=VECTOR('',#388487,10.); #111991=VECTOR('',#388490,10.); #111992=VECTOR('',#388491,10.); #111993=VECTOR('',#388492,10.); #111994=VECTOR('',#388495,10.); #111995=VECTOR('',#388496,10.); #111996=VECTOR('',#388497,10.); #111997=VECTOR('',#388500,10.); #111998=VECTOR('',#388501,10.); #111999=VECTOR('',#388502,10.); #112000=VECTOR('',#388505,10.); #112001=VECTOR('',#388506,10.); #112002=VECTOR('',#388507,10.); #112003=VECTOR('',#388510,10.); #112004=VECTOR('',#388511,10.); #112005=VECTOR('',#388512,10.); #112006=VECTOR('',#388515,10.); #112007=VECTOR('',#388516,10.); #112008=VECTOR('',#388517,10.); #112009=VECTOR('',#388520,10.); #112010=VECTOR('',#388521,10.); #112011=VECTOR('',#388522,10.); #112012=VECTOR('',#388525,10.); #112013=VECTOR('',#388526,10.); #112014=VECTOR('',#388527,10.); #112015=VECTOR('',#388530,10.); #112016=VECTOR('',#388531,10.); #112017=VECTOR('',#388532,10.); #112018=VECTOR('',#388535,10.); #112019=VECTOR('',#388536,10.); #112020=VECTOR('',#388537,10.); #112021=VECTOR('',#388540,10.); #112022=VECTOR('',#388541,10.); #112023=VECTOR('',#388542,10.); #112024=VECTOR('',#388545,10.); #112025=VECTOR('',#388546,10.); #112026=VECTOR('',#388547,10.); #112027=VECTOR('',#388550,10.); #112028=VECTOR('',#388551,10.); #112029=VECTOR('',#388552,10.); #112030=VECTOR('',#388555,10.); #112031=VECTOR('',#388556,10.); #112032=VECTOR('',#388557,10.); #112033=VECTOR('',#388560,10.); #112034=VECTOR('',#388561,10.); #112035=VECTOR('',#388562,10.); #112036=VECTOR('',#388565,10.); #112037=VECTOR('',#388566,10.); #112038=VECTOR('',#388567,10.); #112039=VECTOR('',#388570,10.); #112040=VECTOR('',#388571,10.); #112041=VECTOR('',#388572,10.); #112042=VECTOR('',#388575,10.); #112043=VECTOR('',#388576,10.); #112044=VECTOR('',#388577,10.); #112045=VECTOR('',#388580,10.); #112046=VECTOR('',#388581,10.); #112047=VECTOR('',#388582,10.); #112048=VECTOR('',#388585,10.); #112049=VECTOR('',#388586,10.); #112050=VECTOR('',#388587,10.); #112051=VECTOR('',#388590,10.); #112052=VECTOR('',#388591,10.); #112053=VECTOR('',#388592,10.); #112054=VECTOR('',#388595,10.); #112055=VECTOR('',#388596,10.); #112056=VECTOR('',#388597,10.); #112057=VECTOR('',#388600,10.); #112058=VECTOR('',#388601,10.); #112059=VECTOR('',#388602,10.); #112060=VECTOR('',#388605,10.); #112061=VECTOR('',#388606,10.); #112062=VECTOR('',#388607,10.); #112063=VECTOR('',#388610,10.); #112064=VECTOR('',#388611,10.); #112065=VECTOR('',#388612,10.); #112066=VECTOR('',#388615,10.); #112067=VECTOR('',#388616,10.); #112068=VECTOR('',#388617,10.); #112069=VECTOR('',#388620,10.); #112070=VECTOR('',#388621,10.); #112071=VECTOR('',#388622,10.); #112072=VECTOR('',#388625,10.); #112073=VECTOR('',#388626,10.); #112074=VECTOR('',#388627,10.); #112075=VECTOR('',#388630,10.); #112076=VECTOR('',#388631,10.); #112077=VECTOR('',#388632,10.); #112078=VECTOR('',#388635,10.); #112079=VECTOR('',#388636,10.); #112080=VECTOR('',#388637,10.); #112081=VECTOR('',#388640,10.); #112082=VECTOR('',#388641,10.); #112083=VECTOR('',#388642,10.); #112084=VECTOR('',#388645,10.); #112085=VECTOR('',#388646,10.); #112086=VECTOR('',#388647,10.); #112087=VECTOR('',#388650,10.); #112088=VECTOR('',#388651,10.); #112089=VECTOR('',#388652,10.); #112090=VECTOR('',#388655,10.); #112091=VECTOR('',#388656,10.); #112092=VECTOR('',#388657,10.); #112093=VECTOR('',#388660,10.); #112094=VECTOR('',#388661,10.); #112095=VECTOR('',#388662,10.); #112096=VECTOR('',#388665,10.); #112097=VECTOR('',#388666,10.); #112098=VECTOR('',#388667,10.); #112099=VECTOR('',#388670,10.); #112100=VECTOR('',#388671,10.); #112101=VECTOR('',#388672,10.); #112102=VECTOR('',#388675,10.); #112103=VECTOR('',#388676,10.); #112104=VECTOR('',#388677,10.); #112105=VECTOR('',#388680,10.); #112106=VECTOR('',#388681,10.); #112107=VECTOR('',#388682,10.); #112108=VECTOR('',#388685,10.); #112109=VECTOR('',#388686,10.); #112110=VECTOR('',#388687,10.); #112111=VECTOR('',#388690,10.); #112112=VECTOR('',#388691,10.); #112113=VECTOR('',#388692,10.); #112114=VECTOR('',#388695,10.); #112115=VECTOR('',#388696,10.); #112116=VECTOR('',#388697,10.); #112117=VECTOR('',#388700,10.); #112118=VECTOR('',#388701,10.); #112119=VECTOR('',#388702,10.); #112120=VECTOR('',#388705,10.); #112121=VECTOR('',#388706,10.); #112122=VECTOR('',#388707,10.); #112123=VECTOR('',#388710,10.); #112124=VECTOR('',#388711,10.); #112125=VECTOR('',#388712,10.); #112126=VECTOR('',#388715,10.); #112127=VECTOR('',#388716,10.); #112128=VECTOR('',#388717,10.); #112129=VECTOR('',#388720,10.); #112130=VECTOR('',#388721,10.); #112131=VECTOR('',#388722,10.); #112132=VECTOR('',#388725,10.); #112133=VECTOR('',#388726,10.); #112134=VECTOR('',#388727,10.); #112135=VECTOR('',#388730,10.); #112136=VECTOR('',#388731,10.); #112137=VECTOR('',#388732,10.); #112138=VECTOR('',#388735,10.); #112139=VECTOR('',#388736,10.); #112140=VECTOR('',#388737,10.); #112141=VECTOR('',#388740,10.); #112142=VECTOR('',#388741,10.); #112143=VECTOR('',#388742,10.); #112144=VECTOR('',#388745,10.); #112145=VECTOR('',#388746,10.); #112146=VECTOR('',#388747,10.); #112147=VECTOR('',#388750,10.); #112148=VECTOR('',#388751,10.); #112149=VECTOR('',#388752,10.); #112150=VECTOR('',#388755,10.); #112151=VECTOR('',#388756,10.); #112152=VECTOR('',#388757,10.); #112153=VECTOR('',#388760,10.); #112154=VECTOR('',#388761,10.); #112155=VECTOR('',#388762,10.); #112156=VECTOR('',#388765,10.); #112157=VECTOR('',#388766,10.); #112158=VECTOR('',#388767,10.); #112159=VECTOR('',#388770,10.); #112160=VECTOR('',#388771,10.); #112161=VECTOR('',#388772,10.); #112162=VECTOR('',#388775,10.); #112163=VECTOR('',#388776,10.); #112164=VECTOR('',#388777,10.); #112165=VECTOR('',#388780,10.); #112166=VECTOR('',#388781,10.); #112167=VECTOR('',#388782,10.); #112168=VECTOR('',#388785,10.); #112169=VECTOR('',#388786,10.); #112170=VECTOR('',#388787,10.); #112171=VECTOR('',#388790,10.); #112172=VECTOR('',#388791,10.); #112173=VECTOR('',#388792,10.); #112174=VECTOR('',#388795,10.); #112175=VECTOR('',#388796,10.); #112176=VECTOR('',#388797,10.); #112177=VECTOR('',#388800,10.); #112178=VECTOR('',#388801,10.); #112179=VECTOR('',#388802,10.); #112180=VECTOR('',#388805,10.); #112181=VECTOR('',#388806,10.); #112182=VECTOR('',#388807,10.); #112183=VECTOR('',#388810,10.); #112184=VECTOR('',#388811,10.); #112185=VECTOR('',#388812,10.); #112186=VECTOR('',#388815,10.); #112187=VECTOR('',#388816,10.); #112188=VECTOR('',#388817,10.); #112189=VECTOR('',#388820,10.); #112190=VECTOR('',#388821,10.); #112191=VECTOR('',#388830,0.465); #112192=VECTOR('',#388835,10.); #112193=VECTOR('',#388836,10.); #112194=VECTOR('',#388837,10.); #112195=VECTOR('',#388838,10.); #112196=VECTOR('',#388845,10.); #112197=VECTOR('',#388860,0.514999999999999); #112198=VECTOR('',#388865,10.); #112199=VECTOR('',#388866,10.); #112200=VECTOR('',#388867,10.); #112201=VECTOR('',#388868,10.); #112202=VECTOR('',#388875,10.); #112203=VECTOR('',#388888,10.); #112204=VECTOR('',#388889,10.); #112205=VECTOR('',#388890,10.); #112206=VECTOR('',#388891,10.); #112207=VECTOR('',#388898,10.); #112208=VECTOR('',#388901,10.); #112209=VECTOR('',#388902,10.); #112210=VECTOR('',#388903,10.); #112211=VECTOR('',#388912,10.); #112212=VECTOR('',#388913,10.); #112213=VECTOR('',#388914,10.); #112214=VECTOR('',#388915,10.); #112215=VECTOR('',#388918,10.); #112216=VECTOR('',#388919,10.); #112217=VECTOR('',#388920,10.); #112218=VECTOR('',#388923,10.); #112219=VECTOR('',#388924,10.); #112220=VECTOR('',#388925,10.); #112221=VECTOR('',#388928,10.); #112222=VECTOR('',#388929,10.); #112223=VECTOR('',#388930,10.); #112224=VECTOR('',#388933,10.); #112225=VECTOR('',#388934,10.); #112226=VECTOR('',#388935,10.); #112227=VECTOR('',#388938,10.); #112228=VECTOR('',#388939,10.); #112229=VECTOR('',#388940,10.); #112230=VECTOR('',#388943,10.); #112231=VECTOR('',#388944,10.); #112232=VECTOR('',#388945,10.); #112233=VECTOR('',#388948,10.); #112234=VECTOR('',#388949,10.); #112235=VECTOR('',#388950,10.); #112236=VECTOR('',#388953,10.); #112237=VECTOR('',#388954,10.); #112238=VECTOR('',#388955,10.); #112239=VECTOR('',#388958,10.); #112240=VECTOR('',#388959,10.); #112241=VECTOR('',#388960,10.); #112242=VECTOR('',#388963,10.); #112243=VECTOR('',#388964,10.); #112244=VECTOR('',#388965,10.); #112245=VECTOR('',#388968,10.); #112246=VECTOR('',#388969,10.); #112247=VECTOR('',#388970,10.); #112248=VECTOR('',#388973,10.); #112249=VECTOR('',#388974,10.); #112250=VECTOR('',#388975,10.); #112251=VECTOR('',#388978,10.); #112252=VECTOR('',#388979,10.); #112253=VECTOR('',#388980,10.); #112254=VECTOR('',#388983,10.); #112255=VECTOR('',#388984,10.); #112256=VECTOR('',#388985,10.); #112257=VECTOR('',#388988,10.); #112258=VECTOR('',#388989,10.); #112259=VECTOR('',#388990,10.); #112260=VECTOR('',#388993,10.); #112261=VECTOR('',#388994,10.); #112262=VECTOR('',#388995,10.); #112263=VECTOR('',#388998,10.); #112264=VECTOR('',#388999,10.); #112265=VECTOR('',#389000,10.); #112266=VECTOR('',#389003,10.); #112267=VECTOR('',#389004,10.); #112268=VECTOR('',#389005,10.); #112269=VECTOR('',#389008,10.); #112270=VECTOR('',#389009,10.); #112271=VECTOR('',#389010,10.); #112272=VECTOR('',#389013,10.); #112273=VECTOR('',#389014,10.); #112274=VECTOR('',#389015,10.); #112275=VECTOR('',#389018,10.); #112276=VECTOR('',#389019,10.); #112277=VECTOR('',#389020,10.); #112278=VECTOR('',#389023,10.); #112279=VECTOR('',#389024,10.); #112280=VECTOR('',#389025,10.); #112281=VECTOR('',#389028,10.); #112282=VECTOR('',#389029,10.); #112283=VECTOR('',#389030,10.); #112284=VECTOR('',#389033,10.); #112285=VECTOR('',#389034,10.); #112286=VECTOR('',#389035,10.); #112287=VECTOR('',#389038,10.); #112288=VECTOR('',#389039,10.); #112289=VECTOR('',#389040,10.); #112290=VECTOR('',#389043,10.); #112291=VECTOR('',#389044,10.); #112292=VECTOR('',#389045,10.); #112293=VECTOR('',#389048,10.); #112294=VECTOR('',#389049,10.); #112295=VECTOR('',#389050,10.); #112296=VECTOR('',#389053,10.); #112297=VECTOR('',#389054,10.); #112298=VECTOR('',#389055,10.); #112299=VECTOR('',#389058,10.); #112300=VECTOR('',#389059,10.); #112301=VECTOR('',#389060,10.); #112302=VECTOR('',#389063,10.); #112303=VECTOR('',#389064,10.); #112304=VECTOR('',#389065,10.); #112305=VECTOR('',#389068,10.); #112306=VECTOR('',#389069,10.); #112307=VECTOR('',#389070,10.); #112308=VECTOR('',#389073,10.); #112309=VECTOR('',#389074,10.); #112310=VECTOR('',#389075,10.); #112311=VECTOR('',#389078,10.); #112312=VECTOR('',#389079,10.); #112313=VECTOR('',#389080,10.); #112314=VECTOR('',#389083,10.); #112315=VECTOR('',#389084,10.); #112316=VECTOR('',#389085,10.); #112317=VECTOR('',#389088,10.); #112318=VECTOR('',#389089,10.); #112319=VECTOR('',#389090,10.); #112320=VECTOR('',#389093,10.); #112321=VECTOR('',#389094,10.); #112322=VECTOR('',#389095,10.); #112323=VECTOR('',#389098,10.); #112324=VECTOR('',#389099,10.); #112325=VECTOR('',#389100,10.); #112326=VECTOR('',#389103,10.); #112327=VECTOR('',#389104,10.); #112328=VECTOR('',#389105,10.); #112329=VECTOR('',#389108,10.); #112330=VECTOR('',#389109,10.); #112331=VECTOR('',#389110,10.); #112332=VECTOR('',#389113,10.); #112333=VECTOR('',#389114,10.); #112334=VECTOR('',#389115,10.); #112335=VECTOR('',#389118,10.); #112336=VECTOR('',#389119,10.); #112337=VECTOR('',#389120,10.); #112338=VECTOR('',#389123,10.); #112339=VECTOR('',#389124,10.); #112340=VECTOR('',#389125,10.); #112341=VECTOR('',#389128,10.); #112342=VECTOR('',#389129,10.); #112343=VECTOR('',#389130,10.); #112344=VECTOR('',#389133,10.); #112345=VECTOR('',#389134,10.); #112346=VECTOR('',#389135,10.); #112347=VECTOR('',#389138,10.); #112348=VECTOR('',#389139,10.); #112349=VECTOR('',#389140,10.); #112350=VECTOR('',#389143,10.); #112351=VECTOR('',#389144,10.); #112352=VECTOR('',#389145,10.); #112353=VECTOR('',#389148,10.); #112354=VECTOR('',#389149,10.); #112355=VECTOR('',#389150,10.); #112356=VECTOR('',#389153,10.); #112357=VECTOR('',#389154,10.); #112358=VECTOR('',#389155,10.); #112359=VECTOR('',#389158,10.); #112360=VECTOR('',#389159,10.); #112361=VECTOR('',#389160,10.); #112362=VECTOR('',#389163,10.); #112363=VECTOR('',#389164,10.); #112364=VECTOR('',#389165,10.); #112365=VECTOR('',#389168,10.); #112366=VECTOR('',#389169,10.); #112367=VECTOR('',#389170,10.); #112368=VECTOR('',#389173,10.); #112369=VECTOR('',#389174,10.); #112370=VECTOR('',#389175,10.); #112371=VECTOR('',#389178,10.); #112372=VECTOR('',#389179,10.); #112373=VECTOR('',#389180,10.); #112374=VECTOR('',#389183,10.); #112375=VECTOR('',#389184,10.); #112376=VECTOR('',#389185,10.); #112377=VECTOR('',#389188,10.); #112378=VECTOR('',#389189,10.); #112379=VECTOR('',#389198,0.465); #112380=VECTOR('',#389203,10.); #112381=VECTOR('',#389204,10.); #112382=VECTOR('',#389205,10.); #112383=VECTOR('',#389206,10.); #112384=VECTOR('',#389213,10.); #112385=VECTOR('',#389228,0.465); #112386=VECTOR('',#389233,10.); #112387=VECTOR('',#389234,10.); #112388=VECTOR('',#389235,10.); #112389=VECTOR('',#389236,10.); #112390=VECTOR('',#389243,10.); #112391=VECTOR('',#389258,0.465); #112392=VECTOR('',#389263,10.); #112393=VECTOR('',#389264,10.); #112394=VECTOR('',#389265,10.); #112395=VECTOR('',#389266,10.); #112396=VECTOR('',#389273,10.); #112397=VECTOR('',#389288,0.564999999999998); #112398=VECTOR('',#389293,10.); #112399=VECTOR('',#389294,10.); #112400=VECTOR('',#389295,10.); #112401=VECTOR('',#389296,10.); #112402=VECTOR('',#389299,10.); #112403=VECTOR('',#389300,10.); #112404=VECTOR('',#389301,10.); #112405=VECTOR('',#389304,10.); #112406=VECTOR('',#389305,10.); #112407=VECTOR('',#389306,10.); #112408=VECTOR('',#389309,10.); #112409=VECTOR('',#389310,10.); #112410=VECTOR('',#389319,0.465); #112411=VECTOR('',#389324,10.); #112412=VECTOR('',#389325,10.); #112413=VECTOR('',#389326,10.); #112414=VECTOR('',#389327,10.); #112415=VECTOR('',#389334,10.); #112416=VECTOR('',#389347,10.); #112417=VECTOR('',#389348,10.); #112418=VECTOR('',#389349,10.); #112419=VECTOR('',#389350,10.); #112420=VECTOR('',#389353,10.); #112421=VECTOR('',#389354,10.); #112422=VECTOR('',#389355,10.); #112423=VECTOR('',#389358,10.); #112424=VECTOR('',#389359,10.); #112425=VECTOR('',#389360,10.); #112426=VECTOR('',#389363,10.); #112427=VECTOR('',#389364,10.); #112428=VECTOR('',#389371,10.); #112429=VECTOR('',#389372,10.); #112430=VECTOR('',#389373,10.); #112431=VECTOR('',#389374,10.); #112432=VECTOR('',#389377,10.); #112433=VECTOR('',#389378,10.); #112434=VECTOR('',#389379,10.); #112435=VECTOR('',#389382,10.); #112436=VECTOR('',#389383,10.); #112437=VECTOR('',#389384,10.); #112438=VECTOR('',#389387,10.); #112439=VECTOR('',#389388,10.); #112440=VECTOR('',#389395,10.); #112441=VECTOR('',#389396,10.); #112442=VECTOR('',#389397,10.); #112443=VECTOR('',#389398,10.); #112444=VECTOR('',#389401,10.); #112445=VECTOR('',#389402,10.); #112446=VECTOR('',#389403,10.); #112447=VECTOR('',#389406,10.); #112448=VECTOR('',#389407,10.); #112449=VECTOR('',#389408,10.); #112450=VECTOR('',#389411,10.); #112451=VECTOR('',#389412,10.); #112452=VECTOR('',#389419,10.); #112453=VECTOR('',#389420,10.); #112454=VECTOR('',#389421,10.); #112455=VECTOR('',#389422,10.); #112456=VECTOR('',#389425,10.); #112457=VECTOR('',#389426,10.); #112458=VECTOR('',#389427,10.); #112459=VECTOR('',#389430,10.); #112460=VECTOR('',#389431,10.); #112461=VECTOR('',#389432,10.); #112462=VECTOR('',#389435,10.); #112463=VECTOR('',#389436,10.); #112464=VECTOR('',#389443,10.); #112465=VECTOR('',#389444,10.); #112466=VECTOR('',#389445,10.); #112467=VECTOR('',#389446,10.); #112468=VECTOR('',#389449,10.); #112469=VECTOR('',#389450,10.); #112470=VECTOR('',#389451,10.); #112471=VECTOR('',#389454,10.); #112472=VECTOR('',#389455,10.); #112473=VECTOR('',#389456,10.); #112474=VECTOR('',#389459,10.); #112475=VECTOR('',#389460,10.); #112476=VECTOR('',#389467,10.); #112477=VECTOR('',#389468,10.); #112478=VECTOR('',#389469,10.); #112479=VECTOR('',#389470,10.); #112480=VECTOR('',#389473,10.); #112481=VECTOR('',#389474,10.); #112482=VECTOR('',#389475,10.); #112483=VECTOR('',#389478,10.); #112484=VECTOR('',#389479,10.); #112485=VECTOR('',#389480,10.); #112486=VECTOR('',#389483,10.); #112487=VECTOR('',#389484,10.); #112488=VECTOR('',#389491,10.); #112489=VECTOR('',#389492,10.); #112490=VECTOR('',#389493,10.); #112491=VECTOR('',#389494,10.); #112492=VECTOR('',#389497,10.); #112493=VECTOR('',#389498,10.); #112494=VECTOR('',#389499,10.); #112495=VECTOR('',#389502,10.); #112496=VECTOR('',#389503,10.); #112497=VECTOR('',#389504,10.); #112498=VECTOR('',#389507,10.); #112499=VECTOR('',#389508,10.); #112500=VECTOR('',#389515,10.); #112501=VECTOR('',#389516,10.); #112502=VECTOR('',#389517,10.); #112503=VECTOR('',#389518,10.); #112504=VECTOR('',#389521,10.); #112505=VECTOR('',#389522,10.); #112506=VECTOR('',#389523,10.); #112507=VECTOR('',#389526,10.); #112508=VECTOR('',#389527,10.); #112509=VECTOR('',#389528,10.); #112510=VECTOR('',#389531,10.); #112511=VECTOR('',#389532,10.); #112512=VECTOR('',#389539,10.); #112513=VECTOR('',#389540,10.); #112514=VECTOR('',#389541,10.); #112515=VECTOR('',#389542,10.); #112516=VECTOR('',#389545,10.); #112517=VECTOR('',#389546,10.); #112518=VECTOR('',#389547,10.); #112519=VECTOR('',#389550,10.); #112520=VECTOR('',#389551,10.); #112521=VECTOR('',#389552,10.); #112522=VECTOR('',#389555,10.); #112523=VECTOR('',#389556,10.); #112524=VECTOR('',#389563,10.); #112525=VECTOR('',#389564,10.); #112526=VECTOR('',#389565,10.); #112527=VECTOR('',#389566,10.); #112528=VECTOR('',#389569,10.); #112529=VECTOR('',#389570,10.); #112530=VECTOR('',#389571,10.); #112531=VECTOR('',#389574,10.); #112532=VECTOR('',#389575,10.); #112533=VECTOR('',#389576,10.); #112534=VECTOR('',#389579,10.); #112535=VECTOR('',#389580,10.); #112536=VECTOR('',#389587,10.); #112537=VECTOR('',#389588,10.); #112538=VECTOR('',#389589,10.); #112539=VECTOR('',#389590,10.); #112540=VECTOR('',#389593,10.); #112541=VECTOR('',#389594,10.); #112542=VECTOR('',#389595,10.); #112543=VECTOR('',#389598,10.); #112544=VECTOR('',#389599,10.); #112545=VECTOR('',#389600,10.); #112546=VECTOR('',#389603,10.); #112547=VECTOR('',#389604,10.); #112548=VECTOR('',#389611,10.); #112549=VECTOR('',#389612,10.); #112550=VECTOR('',#389613,10.); #112551=VECTOR('',#389614,10.); #112552=VECTOR('',#389617,10.); #112553=VECTOR('',#389618,10.); #112554=VECTOR('',#389619,10.); #112555=VECTOR('',#389622,10.); #112556=VECTOR('',#389623,10.); #112557=VECTOR('',#389624,10.); #112558=VECTOR('',#389627,10.); #112559=VECTOR('',#389628,10.); #112560=VECTOR('',#389635,10.); #112561=VECTOR('',#389636,10.); #112562=VECTOR('',#389637,10.); #112563=VECTOR('',#389638,10.); #112564=VECTOR('',#389641,10.); #112565=VECTOR('',#389642,10.); #112566=VECTOR('',#389643,10.); #112567=VECTOR('',#389646,10.); #112568=VECTOR('',#389647,10.); #112569=VECTOR('',#389648,10.); #112570=VECTOR('',#389651,10.); #112571=VECTOR('',#389652,10.); #112572=VECTOR('',#389661,0.14); #112573=VECTOR('',#389668,0.14); #112574=VECTOR('',#389675,0.14); #112575=VECTOR('',#389682,0.14); #112576=VECTOR('',#389689,0.14); #112577=VECTOR('',#389696,0.14); #112578=VECTOR('',#389701,10.); #112579=VECTOR('',#389702,10.); #112580=VECTOR('',#389703,10.); #112581=VECTOR('',#389704,10.); #112582=VECTOR('',#389707,10.); #112583=VECTOR('',#389708,10.); #112584=VECTOR('',#389709,10.); #112585=VECTOR('',#389712,10.); #112586=VECTOR('',#389713,10.); #112587=VECTOR('',#389714,10.); #112588=VECTOR('',#389717,10.); #112589=VECTOR('',#389718,10.); #112590=VECTOR('',#389725,10.); #112591=VECTOR('',#389726,10.); #112592=VECTOR('',#389727,10.); #112593=VECTOR('',#389728,10.); #112594=VECTOR('',#389731,10.); #112595=VECTOR('',#389732,10.); #112596=VECTOR('',#389733,10.); #112597=VECTOR('',#389736,10.); #112598=VECTOR('',#389737,10.); #112599=VECTOR('',#389738,10.); #112600=VECTOR('',#389741,10.); #112601=VECTOR('',#389742,10.); #112602=VECTOR('',#389749,10.); #112603=VECTOR('',#389750,10.); #112604=VECTOR('',#389751,10.); #112605=VECTOR('',#389752,10.); #112606=VECTOR('',#389755,10.); #112607=VECTOR('',#389756,10.); #112608=VECTOR('',#389757,10.); #112609=VECTOR('',#389760,10.); #112610=VECTOR('',#389761,10.); #112611=VECTOR('',#389762,10.); #112612=VECTOR('',#389765,10.); #112613=VECTOR('',#389766,10.); #112614=VECTOR('',#389773,10.); #112615=VECTOR('',#389774,10.); #112616=VECTOR('',#389775,10.); #112617=VECTOR('',#389776,10.); #112618=VECTOR('',#389779,10.); #112619=VECTOR('',#389780,10.); #112620=VECTOR('',#389781,10.); #112621=VECTOR('',#389784,10.); #112622=VECTOR('',#389785,10.); #112623=VECTOR('',#389786,10.); #112624=VECTOR('',#389789,10.); #112625=VECTOR('',#389790,10.); #112626=VECTOR('',#389797,10.); #112627=VECTOR('',#389798,10.); #112628=VECTOR('',#389799,10.); #112629=VECTOR('',#389800,10.); #112630=VECTOR('',#389803,10.); #112631=VECTOR('',#389804,10.); #112632=VECTOR('',#389805,10.); #112633=VECTOR('',#389808,10.); #112634=VECTOR('',#389809,10.); #112635=VECTOR('',#389810,10.); #112636=VECTOR('',#389813,10.); #112637=VECTOR('',#389814,10.); #112638=VECTOR('',#389821,10.); #112639=VECTOR('',#389822,10.); #112640=VECTOR('',#389823,10.); #112641=VECTOR('',#389824,10.); #112642=VECTOR('',#389827,10.); #112643=VECTOR('',#389828,10.); #112644=VECTOR('',#389829,10.); #112645=VECTOR('',#389832,10.); #112646=VECTOR('',#389833,10.); #112647=VECTOR('',#389834,10.); #112648=VECTOR('',#389837,10.); #112649=VECTOR('',#389838,10.); #112650=VECTOR('',#389845,10.); #112651=VECTOR('',#389846,10.); #112652=VECTOR('',#389847,10.); #112653=VECTOR('',#389848,10.); #112654=VECTOR('',#389851,10.); #112655=VECTOR('',#389852,10.); #112656=VECTOR('',#389853,10.); #112657=VECTOR('',#389856,10.); #112658=VECTOR('',#389857,10.); #112659=VECTOR('',#389858,10.); #112660=VECTOR('',#389861,10.); #112661=VECTOR('',#389862,10.); #112662=VECTOR('',#389869,10.); #112663=VECTOR('',#389870,10.); #112664=VECTOR('',#389871,10.); #112665=VECTOR('',#389872,10.); #112666=VECTOR('',#389875,10.); #112667=VECTOR('',#389876,10.); #112668=VECTOR('',#389877,10.); #112669=VECTOR('',#389880,10.); #112670=VECTOR('',#389881,10.); #112671=VECTOR('',#389882,10.); #112672=VECTOR('',#389885,10.); #112673=VECTOR('',#389886,10.); #112674=VECTOR('',#389893,10.); #112675=VECTOR('',#389894,10.); #112676=VECTOR('',#389895,10.); #112677=VECTOR('',#389896,10.); #112678=VECTOR('',#389899,10.); #112679=VECTOR('',#389900,10.); #112680=VECTOR('',#389901,10.); #112681=VECTOR('',#389904,10.); #112682=VECTOR('',#389905,10.); #112683=VECTOR('',#389906,10.); #112684=VECTOR('',#389909,10.); #112685=VECTOR('',#389910,10.); #112686=VECTOR('',#389917,10.); #112687=VECTOR('',#389918,10.); #112688=VECTOR('',#389919,10.); #112689=VECTOR('',#389920,10.); #112690=VECTOR('',#389923,10.); #112691=VECTOR('',#389924,10.); #112692=VECTOR('',#389925,10.); #112693=VECTOR('',#389928,10.); #112694=VECTOR('',#389929,10.); #112695=VECTOR('',#389930,10.); #112696=VECTOR('',#389933,10.); #112697=VECTOR('',#389934,10.); #112698=VECTOR('',#389941,10.); #112699=VECTOR('',#389942,10.); #112700=VECTOR('',#389943,10.); #112701=VECTOR('',#389944,10.); #112702=VECTOR('',#389947,10.); #112703=VECTOR('',#389948,10.); #112704=VECTOR('',#389949,10.); #112705=VECTOR('',#389952,10.); #112706=VECTOR('',#389953,10.); #112707=VECTOR('',#389954,10.); #112708=VECTOR('',#389957,10.); #112709=VECTOR('',#389958,10.); #112710=VECTOR('',#389965,10.); #112711=VECTOR('',#389966,10.); #112712=VECTOR('',#389967,10.); #112713=VECTOR('',#389968,10.); #112714=VECTOR('',#389971,10.); #112715=VECTOR('',#389972,10.); #112716=VECTOR('',#389973,10.); #112717=VECTOR('',#389976,10.); #112718=VECTOR('',#389977,10.); #112719=VECTOR('',#389978,10.); #112720=VECTOR('',#389981,10.); #112721=VECTOR('',#389982,10.); #112722=VECTOR('',#389989,10.); #112723=VECTOR('',#389990,10.); #112724=VECTOR('',#389991,10.); #112725=VECTOR('',#389992,10.); #112726=VECTOR('',#389995,10.); #112727=VECTOR('',#389996,10.); #112728=VECTOR('',#389997,10.); #112729=VECTOR('',#390000,10.); #112730=VECTOR('',#390001,10.); #112731=VECTOR('',#390002,10.); #112732=VECTOR('',#390005,10.); #112733=VECTOR('',#390006,10.); #112734=VECTOR('',#390013,10.); #112735=VECTOR('',#390014,10.); #112736=VECTOR('',#390015,10.); #112737=VECTOR('',#390016,10.); #112738=VECTOR('',#390019,10.); #112739=VECTOR('',#390020,10.); #112740=VECTOR('',#390021,10.); #112741=VECTOR('',#390024,10.); #112742=VECTOR('',#390025,10.); #112743=VECTOR('',#390026,10.); #112744=VECTOR('',#390029,10.); #112745=VECTOR('',#390030,10.); #112746=VECTOR('',#390037,10.); #112747=VECTOR('',#390038,10.); #112748=VECTOR('',#390039,10.); #112749=VECTOR('',#390040,10.); #112750=VECTOR('',#390043,10.); #112751=VECTOR('',#390044,10.); #112752=VECTOR('',#390045,10.); #112753=VECTOR('',#390048,10.); #112754=VECTOR('',#390049,10.); #112755=VECTOR('',#390050,10.); #112756=VECTOR('',#390053,10.); #112757=VECTOR('',#390054,10.); #112758=VECTOR('',#390061,10.); #112759=VECTOR('',#390062,10.); #112760=VECTOR('',#390063,10.); #112761=VECTOR('',#390064,10.); #112762=VECTOR('',#390067,10.); #112763=VECTOR('',#390068,10.); #112764=VECTOR('',#390069,10.); #112765=VECTOR('',#390072,10.); #112766=VECTOR('',#390073,10.); #112767=VECTOR('',#390074,10.); #112768=VECTOR('',#390077,10.); #112769=VECTOR('',#390078,10.); #112770=VECTOR('',#390085,10.); #112771=VECTOR('',#390086,10.); #112772=VECTOR('',#390087,10.); #112773=VECTOR('',#390088,10.); #112774=VECTOR('',#390091,10.); #112775=VECTOR('',#390092,10.); #112776=VECTOR('',#390093,10.); #112777=VECTOR('',#390096,10.); #112778=VECTOR('',#390097,10.); #112779=VECTOR('',#390098,10.); #112780=VECTOR('',#390101,10.); #112781=VECTOR('',#390102,10.); #112782=VECTOR('',#390109,10.); #112783=VECTOR('',#390110,10.); #112784=VECTOR('',#390111,10.); #112785=VECTOR('',#390112,10.); #112786=VECTOR('',#390115,10.); #112787=VECTOR('',#390116,10.); #112788=VECTOR('',#390117,10.); #112789=VECTOR('',#390120,10.); #112790=VECTOR('',#390121,10.); #112791=VECTOR('',#390122,10.); #112792=VECTOR('',#390125,10.); #112793=VECTOR('',#390126,10.); #112794=VECTOR('',#390133,10.); #112795=VECTOR('',#390134,10.); #112796=VECTOR('',#390135,10.); #112797=VECTOR('',#390136,10.); #112798=VECTOR('',#390139,10.); #112799=VECTOR('',#390140,10.); #112800=VECTOR('',#390141,10.); #112801=VECTOR('',#390144,10.); #112802=VECTOR('',#390145,10.); #112803=VECTOR('',#390146,10.); #112804=VECTOR('',#390149,10.); #112805=VECTOR('',#390150,10.); #112806=VECTOR('',#390157,10.); #112807=VECTOR('',#390158,10.); #112808=VECTOR('',#390159,10.); #112809=VECTOR('',#390160,10.); #112810=VECTOR('',#390163,10.); #112811=VECTOR('',#390164,10.); #112812=VECTOR('',#390165,10.); #112813=VECTOR('',#390168,10.); #112814=VECTOR('',#390169,10.); #112815=VECTOR('',#390170,10.); #112816=VECTOR('',#390173,10.); #112817=VECTOR('',#390174,10.); #112818=VECTOR('',#390181,10.); #112819=VECTOR('',#390182,10.); #112820=VECTOR('',#390183,10.); #112821=VECTOR('',#390184,10.); #112822=VECTOR('',#390187,10.); #112823=VECTOR('',#390188,10.); #112824=VECTOR('',#390189,10.); #112825=VECTOR('',#390192,10.); #112826=VECTOR('',#390193,10.); #112827=VECTOR('',#390194,10.); #112828=VECTOR('',#390197,10.); #112829=VECTOR('',#390198,10.); #112830=VECTOR('',#390205,10.); #112831=VECTOR('',#390206,10.); #112832=VECTOR('',#390207,10.); #112833=VECTOR('',#390208,10.); #112834=VECTOR('',#390211,10.); #112835=VECTOR('',#390212,10.); #112836=VECTOR('',#390213,10.); #112837=VECTOR('',#390216,10.); #112838=VECTOR('',#390217,10.); #112839=VECTOR('',#390218,10.); #112840=VECTOR('',#390221,10.); #112841=VECTOR('',#390222,10.); #112842=VECTOR('',#390229,10.); #112843=VECTOR('',#390230,10.); #112844=VECTOR('',#390231,10.); #112845=VECTOR('',#390232,10.); #112846=VECTOR('',#390235,10.); #112847=VECTOR('',#390236,10.); #112848=VECTOR('',#390237,10.); #112849=VECTOR('',#390240,10.); #112850=VECTOR('',#390241,10.); #112851=VECTOR('',#390242,10.); #112852=VECTOR('',#390245,10.); #112853=VECTOR('',#390246,10.); #112854=VECTOR('',#390253,10.); #112855=VECTOR('',#390254,10.); #112856=VECTOR('',#390255,10.); #112857=VECTOR('',#390256,10.); #112858=VECTOR('',#390259,10.); #112859=VECTOR('',#390260,10.); #112860=VECTOR('',#390261,10.); #112861=VECTOR('',#390264,10.); #112862=VECTOR('',#390265,10.); #112863=VECTOR('',#390266,10.); #112864=VECTOR('',#390269,10.); #112865=VECTOR('',#390270,10.); #112866=VECTOR('',#390277,10.); #112867=VECTOR('',#390278,10.); #112868=VECTOR('',#390279,10.); #112869=VECTOR('',#390280,10.); #112870=VECTOR('',#390283,10.); #112871=VECTOR('',#390284,10.); #112872=VECTOR('',#390285,10.); #112873=VECTOR('',#390288,10.); #112874=VECTOR('',#390289,10.); #112875=VECTOR('',#390290,10.); #112876=VECTOR('',#390293,10.); #112877=VECTOR('',#390294,10.); #112878=VECTOR('',#390301,10.); #112879=VECTOR('',#390302,10.); #112880=VECTOR('',#390303,10.); #112881=VECTOR('',#390304,10.); #112882=VECTOR('',#390307,10.); #112883=VECTOR('',#390308,10.); #112884=VECTOR('',#390309,10.); #112885=VECTOR('',#390312,10.); #112886=VECTOR('',#390313,10.); #112887=VECTOR('',#390314,10.); #112888=VECTOR('',#390317,10.); #112889=VECTOR('',#390318,10.); #112890=VECTOR('',#390325,10.); #112891=VECTOR('',#390326,10.); #112892=VECTOR('',#390327,10.); #112893=VECTOR('',#390328,10.); #112894=VECTOR('',#390331,10.); #112895=VECTOR('',#390332,10.); #112896=VECTOR('',#390333,10.); #112897=VECTOR('',#390336,10.); #112898=VECTOR('',#390337,10.); #112899=VECTOR('',#390338,10.); #112900=VECTOR('',#390341,10.); #112901=VECTOR('',#390342,10.); #112902=VECTOR('',#390351,0.14); #112903=VECTOR('',#390358,0.14); #112904=VECTOR('',#390365,0.14); #112905=VECTOR('',#390372,0.14); #112906=VECTOR('',#390379,0.14); #112907=VECTOR('',#390386,0.14); #112908=VECTOR('',#390391,10.); #112909=VECTOR('',#390392,10.); #112910=VECTOR('',#390393,10.); #112911=VECTOR('',#390394,10.); #112912=VECTOR('',#390397,10.); #112913=VECTOR('',#390398,10.); #112914=VECTOR('',#390399,10.); #112915=VECTOR('',#390402,10.); #112916=VECTOR('',#390403,10.); #112917=VECTOR('',#390404,10.); #112918=VECTOR('',#390407,10.); #112919=VECTOR('',#390408,10.); #112920=VECTOR('',#390415,10.); #112921=VECTOR('',#390416,10.); #112922=VECTOR('',#390417,10.); #112923=VECTOR('',#390418,10.); #112924=VECTOR('',#390421,10.); #112925=VECTOR('',#390422,10.); #112926=VECTOR('',#390423,10.); #112927=VECTOR('',#390426,10.); #112928=VECTOR('',#390427,10.); #112929=VECTOR('',#390428,10.); #112930=VECTOR('',#390431,10.); #112931=VECTOR('',#390432,10.); #112932=VECTOR('',#390439,10.); #112933=VECTOR('',#390440,10.); #112934=VECTOR('',#390441,10.); #112935=VECTOR('',#390442,10.); #112936=VECTOR('',#390445,10.); #112937=VECTOR('',#390446,10.); #112938=VECTOR('',#390447,10.); #112939=VECTOR('',#390450,10.); #112940=VECTOR('',#390451,10.); #112941=VECTOR('',#390452,10.); #112942=VECTOR('',#390455,10.); #112943=VECTOR('',#390456,10.); #112944=VECTOR('',#390463,10.); #112945=VECTOR('',#390464,10.); #112946=VECTOR('',#390465,10.); #112947=VECTOR('',#390466,10.); #112948=VECTOR('',#390469,10.); #112949=VECTOR('',#390470,10.); #112950=VECTOR('',#390471,10.); #112951=VECTOR('',#390474,10.); #112952=VECTOR('',#390475,10.); #112953=VECTOR('',#390476,10.); #112954=VECTOR('',#390479,10.); #112955=VECTOR('',#390480,10.); #112956=VECTOR('',#390487,10.); #112957=VECTOR('',#390488,10.); #112958=VECTOR('',#390489,10.); #112959=VECTOR('',#390490,10.); #112960=VECTOR('',#390493,10.); #112961=VECTOR('',#390494,10.); #112962=VECTOR('',#390495,10.); #112963=VECTOR('',#390498,10.); #112964=VECTOR('',#390499,10.); #112965=VECTOR('',#390500,10.); #112966=VECTOR('',#390503,10.); #112967=VECTOR('',#390504,10.); #112968=VECTOR('',#390511,10.); #112969=VECTOR('',#390512,10.); #112970=VECTOR('',#390513,10.); #112971=VECTOR('',#390514,10.); #112972=VECTOR('',#390517,10.); #112973=VECTOR('',#390518,10.); #112974=VECTOR('',#390519,10.); #112975=VECTOR('',#390522,10.); #112976=VECTOR('',#390523,10.); #112977=VECTOR('',#390524,10.); #112978=VECTOR('',#390527,10.); #112979=VECTOR('',#390528,10.); #112980=VECTOR('',#390535,10.); #112981=VECTOR('',#390536,10.); #112982=VECTOR('',#390537,10.); #112983=VECTOR('',#390538,10.); #112984=VECTOR('',#390541,10.); #112985=VECTOR('',#390542,10.); #112986=VECTOR('',#390543,10.); #112987=VECTOR('',#390546,10.); #112988=VECTOR('',#390547,10.); #112989=VECTOR('',#390548,10.); #112990=VECTOR('',#390551,10.); #112991=VECTOR('',#390552,10.); #112992=VECTOR('',#390561,0.140000000000002); #112993=VECTOR('',#390568,0.140000000000002); #112994=VECTOR('',#390575,0.140000000000002); #112995=VECTOR('',#390582,0.140000000000002); #112996=VECTOR('',#390589,0.140000000000002); #112997=VECTOR('',#390596,0.140000000000002); #112998=VECTOR('',#390601,10.); #112999=VECTOR('',#390602,10.); #113000=VECTOR('',#390603,10.); #113001=VECTOR('',#390604,10.); #113002=VECTOR('',#390607,10.); #113003=VECTOR('',#390608,10.); #113004=VECTOR('',#390609,10.); #113005=VECTOR('',#390612,10.); #113006=VECTOR('',#390613,10.); #113007=VECTOR('',#390614,10.); #113008=VECTOR('',#390617,10.); #113009=VECTOR('',#390618,10.); #113010=VECTOR('',#390625,10.); #113011=VECTOR('',#390626,10.); #113012=VECTOR('',#390627,10.); #113013=VECTOR('',#390628,10.); #113014=VECTOR('',#390631,10.); #113015=VECTOR('',#390632,10.); #113016=VECTOR('',#390633,10.); #113017=VECTOR('',#390636,10.); #113018=VECTOR('',#390637,10.); #113019=VECTOR('',#390638,10.); #113020=VECTOR('',#390641,10.); #113021=VECTOR('',#390642,10.); #113022=VECTOR('',#390649,10.); #113023=VECTOR('',#390650,10.); #113024=VECTOR('',#390651,10.); #113025=VECTOR('',#390652,10.); #113026=VECTOR('',#390655,10.); #113027=VECTOR('',#390656,10.); #113028=VECTOR('',#390657,10.); #113029=VECTOR('',#390660,10.); #113030=VECTOR('',#390661,10.); #113031=VECTOR('',#390662,10.); #113032=VECTOR('',#390665,10.); #113033=VECTOR('',#390666,10.); #113034=VECTOR('',#390675,0.139999999999998); #113035=VECTOR('',#390682,0.139999999999998); #113036=VECTOR('',#390689,0.139999999999998); #113037=VECTOR('',#390696,0.139999999999998); #113038=VECTOR('',#390703,0.139999999999998); #113039=VECTOR('',#390710,0.139999999999998); #113040=VECTOR('',#390717,0.139999999999998); #113041=VECTOR('',#390724,0.139999999999998); #113042=VECTOR('',#390731,0.139999999999998); #113043=VECTOR('',#390738,0.139999999999998); #113044=VECTOR('',#390745,0.139999999999998); #113045=VECTOR('',#390752,0.139999999999998); #113046=VECTOR('',#390759,0.139999999999998); #113047=VECTOR('',#390766,0.139999999999998); #113048=VECTOR('',#390773,0.139999999999998); #113049=VECTOR('',#390780,0.139999999999998); #113050=VECTOR('',#390787,0.139999999999998); #113051=VECTOR('',#390794,0.139999999999998); #113052=VECTOR('',#390801,0.139999999999998); #113053=VECTOR('',#390808,0.139999999999998); #113054=VECTOR('',#390815,0.139999999999998); #113055=VECTOR('',#390822,0.139999999999998); #113056=VECTOR('',#390829,0.139999999999998); #113057=VECTOR('',#390836,0.139999999999998); #113058=VECTOR('',#390843,0.139999999999998); #113059=VECTOR('',#390850,0.139999999999998); #113060=VECTOR('',#390857,0.139999999999998); #113061=VECTOR('',#390864,0.139999999999998); #113062=VECTOR('',#390871,0.139999999999998); #113063=VECTOR('',#390878,0.139999999999998); #113064=VECTOR('',#390885,0.139999999999998); #113065=VECTOR('',#390892,0.139999999999998); #113066=VECTOR('',#390899,0.139999999999998); #113067=VECTOR('',#390906,0.139999999999998); #113068=VECTOR('',#390913,0.139999999999998); #113069=VECTOR('',#390920,0.139999999999998); #113070=VECTOR('',#390927,0.139999999999998); #113071=VECTOR('',#390934,0.139999999999998); #113072=VECTOR('',#390941,0.139999999999998); #113073=VECTOR('',#390948,0.139999999999998); #113074=VECTOR('',#390955,0.139999999999998); #113075=VECTOR('',#390962,0.139999999999998); #113076=VECTOR('',#390969,0.139999999999998); #113077=VECTOR('',#390976,0.139999999999998); #113078=VECTOR('',#390983,0.139999999999998); #113079=VECTOR('',#390990,0.139999999999998); #113080=VECTOR('',#390997,0.139999999999998); #113081=VECTOR('',#391004,0.139999999999998); #113082=VECTOR('',#391011,0.139999999999998); #113083=VECTOR('',#391016,10.); #113084=VECTOR('',#391017,10.); #113085=VECTOR('',#391018,10.); #113086=VECTOR('',#391019,10.); #113087=VECTOR('',#391022,10.); #113088=VECTOR('',#391023,10.); #113089=VECTOR('',#391024,10.); #113090=VECTOR('',#391027,10.); #113091=VECTOR('',#391028,10.); #113092=VECTOR('',#391029,10.); #113093=VECTOR('',#391032,10.); #113094=VECTOR('',#391033,10.); #113095=VECTOR('',#391040,10.); #113096=VECTOR('',#391041,10.); #113097=VECTOR('',#391042,10.); #113098=VECTOR('',#391043,10.); #113099=VECTOR('',#391046,10.); #113100=VECTOR('',#391047,10.); #113101=VECTOR('',#391048,10.); #113102=VECTOR('',#391051,10.); #113103=VECTOR('',#391052,10.); #113104=VECTOR('',#391053,10.); #113105=VECTOR('',#391056,10.); #113106=VECTOR('',#391057,10.); #113107=VECTOR('',#391064,10.); #113108=VECTOR('',#391065,10.); #113109=VECTOR('',#391066,10.); #113110=VECTOR('',#391067,10.); #113111=VECTOR('',#391070,10.); #113112=VECTOR('',#391071,10.); #113113=VECTOR('',#391072,10.); #113114=VECTOR('',#391075,10.); #113115=VECTOR('',#391076,10.); #113116=VECTOR('',#391077,10.); #113117=VECTOR('',#391080,10.); #113118=VECTOR('',#391081,10.); #113119=VECTOR('',#391088,10.); #113120=VECTOR('',#391089,10.); #113121=VECTOR('',#391090,10.); #113122=VECTOR('',#391091,10.); #113123=VECTOR('',#391094,10.); #113124=VECTOR('',#391095,10.); #113125=VECTOR('',#391096,10.); #113126=VECTOR('',#391099,10.); #113127=VECTOR('',#391100,10.); #113128=VECTOR('',#391101,10.); #113129=VECTOR('',#391104,10.); #113130=VECTOR('',#391105,10.); #113131=VECTOR('',#391112,10.); #113132=VECTOR('',#391113,10.); #113133=VECTOR('',#391114,10.); #113134=VECTOR('',#391115,10.); #113135=VECTOR('',#391118,10.); #113136=VECTOR('',#391119,10.); #113137=VECTOR('',#391120,10.); #113138=VECTOR('',#391123,10.); #113139=VECTOR('',#391124,10.); #113140=VECTOR('',#391125,10.); #113141=VECTOR('',#391128,10.); #113142=VECTOR('',#391129,10.); #113143=VECTOR('',#391136,10.); #113144=VECTOR('',#391137,10.); #113145=VECTOR('',#391138,10.); #113146=VECTOR('',#391139,10.); #113147=VECTOR('',#391142,10.); #113148=VECTOR('',#391143,10.); #113149=VECTOR('',#391144,10.); #113150=VECTOR('',#391147,10.); #113151=VECTOR('',#391148,10.); #113152=VECTOR('',#391149,10.); #113153=VECTOR('',#391152,10.); #113154=VECTOR('',#391153,10.); #113155=VECTOR('',#391160,10.); #113156=VECTOR('',#391161,10.); #113157=VECTOR('',#391162,10.); #113158=VECTOR('',#391163,10.); #113159=VECTOR('',#391166,10.); #113160=VECTOR('',#391167,10.); #113161=VECTOR('',#391168,10.); #113162=VECTOR('',#391171,10.); #113163=VECTOR('',#391172,10.); #113164=VECTOR('',#391173,10.); #113165=VECTOR('',#391176,10.); #113166=VECTOR('',#391177,10.); #113167=VECTOR('',#391184,10.); #113168=VECTOR('',#391185,10.); #113169=VECTOR('',#391186,10.); #113170=VECTOR('',#391187,10.); #113171=VECTOR('',#391190,10.); #113172=VECTOR('',#391191,10.); #113173=VECTOR('',#391192,10.); #113174=VECTOR('',#391195,10.); #113175=VECTOR('',#391196,10.); #113176=VECTOR('',#391197,10.); #113177=VECTOR('',#391200,10.); #113178=VECTOR('',#391201,10.); #113179=VECTOR('',#391208,10.); #113180=VECTOR('',#391209,10.); #113181=VECTOR('',#391210,10.); #113182=VECTOR('',#391211,10.); #113183=VECTOR('',#391214,10.); #113184=VECTOR('',#391215,10.); #113185=VECTOR('',#391216,10.); #113186=VECTOR('',#391219,10.); #113187=VECTOR('',#391220,10.); #113188=VECTOR('',#391221,10.); #113189=VECTOR('',#391224,10.); #113190=VECTOR('',#391225,10.); #113191=VECTOR('',#391232,10.); #113192=VECTOR('',#391233,10.); #113193=VECTOR('',#391234,10.); #113194=VECTOR('',#391235,10.); #113195=VECTOR('',#391238,10.); #113196=VECTOR('',#391239,10.); #113197=VECTOR('',#391240,10.); #113198=VECTOR('',#391243,10.); #113199=VECTOR('',#391244,10.); #113200=VECTOR('',#391245,10.); #113201=VECTOR('',#391248,10.); #113202=VECTOR('',#391249,10.); #113203=VECTOR('',#391256,10.); #113204=VECTOR('',#391257,10.); #113205=VECTOR('',#391258,10.); #113206=VECTOR('',#391259,10.); #113207=VECTOR('',#391262,10.); #113208=VECTOR('',#391263,10.); #113209=VECTOR('',#391264,10.); #113210=VECTOR('',#391267,10.); #113211=VECTOR('',#391268,10.); #113212=VECTOR('',#391269,10.); #113213=VECTOR('',#391272,10.); #113214=VECTOR('',#391273,10.); #113215=VECTOR('',#391280,10.); #113216=VECTOR('',#391281,10.); #113217=VECTOR('',#391282,10.); #113218=VECTOR('',#391283,10.); #113219=VECTOR('',#391286,10.); #113220=VECTOR('',#391287,10.); #113221=VECTOR('',#391288,10.); #113222=VECTOR('',#391291,10.); #113223=VECTOR('',#391292,10.); #113224=VECTOR('',#391293,10.); #113225=VECTOR('',#391296,10.); #113226=VECTOR('',#391297,10.); #113227=VECTOR('',#391304,10.); #113228=VECTOR('',#391305,10.); #113229=VECTOR('',#391306,10.); #113230=VECTOR('',#391307,10.); #113231=VECTOR('',#391310,10.); #113232=VECTOR('',#391311,10.); #113233=VECTOR('',#391312,10.); #113234=VECTOR('',#391315,10.); #113235=VECTOR('',#391316,10.); #113236=VECTOR('',#391317,10.); #113237=VECTOR('',#391320,10.); #113238=VECTOR('',#391321,10.); #113239=VECTOR('',#391328,10.); #113240=VECTOR('',#391329,10.); #113241=VECTOR('',#391330,10.); #113242=VECTOR('',#391331,10.); #113243=VECTOR('',#391334,10.); #113244=VECTOR('',#391335,10.); #113245=VECTOR('',#391336,10.); #113246=VECTOR('',#391339,10.); #113247=VECTOR('',#391340,10.); #113248=VECTOR('',#391341,10.); #113249=VECTOR('',#391344,10.); #113250=VECTOR('',#391345,10.); #113251=VECTOR('',#391352,10.); #113252=VECTOR('',#391353,10.); #113253=VECTOR('',#391354,10.); #113254=VECTOR('',#391355,10.); #113255=VECTOR('',#391358,10.); #113256=VECTOR('',#391359,10.); #113257=VECTOR('',#391360,10.); #113258=VECTOR('',#391363,10.); #113259=VECTOR('',#391364,10.); #113260=VECTOR('',#391365,10.); #113261=VECTOR('',#391368,10.); #113262=VECTOR('',#391369,10.); #113263=VECTOR('',#391376,10.); #113264=VECTOR('',#391377,10.); #113265=VECTOR('',#391378,10.); #113266=VECTOR('',#391379,10.); #113267=VECTOR('',#391382,10.); #113268=VECTOR('',#391383,10.); #113269=VECTOR('',#391384,10.); #113270=VECTOR('',#391387,10.); #113271=VECTOR('',#391388,10.); #113272=VECTOR('',#391389,10.); #113273=VECTOR('',#391392,10.); #113274=VECTOR('',#391393,10.); #113275=VECTOR('',#391400,10.); #113276=VECTOR('',#391401,10.); #113277=VECTOR('',#391402,10.); #113278=VECTOR('',#391403,10.); #113279=VECTOR('',#391406,10.); #113280=VECTOR('',#391407,10.); #113281=VECTOR('',#391408,10.); #113282=VECTOR('',#391411,10.); #113283=VECTOR('',#391412,10.); #113284=VECTOR('',#391413,10.); #113285=VECTOR('',#391416,10.); #113286=VECTOR('',#391417,10.); #113287=VECTOR('',#391424,10.); #113288=VECTOR('',#391425,10.); #113289=VECTOR('',#391426,10.); #113290=VECTOR('',#391427,10.); #113291=VECTOR('',#391430,10.); #113292=VECTOR('',#391431,10.); #113293=VECTOR('',#391432,10.); #113294=VECTOR('',#391435,10.); #113295=VECTOR('',#391436,10.); #113296=VECTOR('',#391437,10.); #113297=VECTOR('',#391440,10.); #113298=VECTOR('',#391441,10.); #113299=VECTOR('',#391448,10.); #113300=VECTOR('',#391449,10.); #113301=VECTOR('',#391450,10.); #113302=VECTOR('',#391451,10.); #113303=VECTOR('',#391454,10.); #113304=VECTOR('',#391455,10.); #113305=VECTOR('',#391456,10.); #113306=VECTOR('',#391459,10.); #113307=VECTOR('',#391460,10.); #113308=VECTOR('',#391461,10.); #113309=VECTOR('',#391464,10.); #113310=VECTOR('',#391465,10.); #113311=VECTOR('',#391474,0.139999999999998); #113312=VECTOR('',#391479,10.); #113313=VECTOR('',#391480,10.); #113314=VECTOR('',#391481,10.); #113315=VECTOR('',#391482,10.); #113316=VECTOR('',#391485,10.); #113317=VECTOR('',#391486,10.); #113318=VECTOR('',#391487,10.); #113319=VECTOR('',#391490,10.); #113320=VECTOR('',#391491,10.); #113321=VECTOR('',#391492,10.); #113322=VECTOR('',#391495,10.); #113323=VECTOR('',#391496,10.); #113324=VECTOR('',#391503,10.); #113325=VECTOR('',#391504,10.); #113326=VECTOR('',#391505,10.); #113327=VECTOR('',#391506,10.); #113328=VECTOR('',#391509,10.); #113329=VECTOR('',#391510,10.); #113330=VECTOR('',#391511,10.); #113331=VECTOR('',#391514,10.); #113332=VECTOR('',#391515,10.); #113333=VECTOR('',#391516,10.); #113334=VECTOR('',#391519,10.); #113335=VECTOR('',#391520,10.); #113336=VECTOR('',#391527,10.); #113337=VECTOR('',#391528,10.); #113338=VECTOR('',#391529,10.); #113339=VECTOR('',#391530,10.); #113340=VECTOR('',#391533,10.); #113341=VECTOR('',#391534,10.); #113342=VECTOR('',#391535,10.); #113343=VECTOR('',#391538,10.); #113344=VECTOR('',#391539,10.); #113345=VECTOR('',#391540,10.); #113346=VECTOR('',#391543,10.); #113347=VECTOR('',#391544,10.); #113348=VECTOR('',#391553,0.139999999999998); #113349=VECTOR('',#391558,10.); #113350=VECTOR('',#391559,10.); #113351=VECTOR('',#391560,10.); #113352=VECTOR('',#391561,10.); #113353=VECTOR('',#391564,10.); #113354=VECTOR('',#391565,10.); #113355=VECTOR('',#391566,10.); #113356=VECTOR('',#391569,10.); #113357=VECTOR('',#391570,10.); #113358=VECTOR('',#391571,10.); #113359=VECTOR('',#391574,10.); #113360=VECTOR('',#391575,10.); #113361=VECTOR('',#391582,10.); #113362=VECTOR('',#391583,10.); #113363=VECTOR('',#391584,10.); #113364=VECTOR('',#391585,10.); #113365=VECTOR('',#391588,10.); #113366=VECTOR('',#391589,10.); #113367=VECTOR('',#391590,10.); #113368=VECTOR('',#391593,10.); #113369=VECTOR('',#391594,10.); #113370=VECTOR('',#391595,10.); #113371=VECTOR('',#391598,10.); #113372=VECTOR('',#391599,10.); #113373=VECTOR('',#391606,10.); #113374=VECTOR('',#391607,10.); #113375=VECTOR('',#391608,10.); #113376=VECTOR('',#391609,10.); #113377=VECTOR('',#391612,10.); #113378=VECTOR('',#391613,10.); #113379=VECTOR('',#391614,10.); #113380=VECTOR('',#391617,10.); #113381=VECTOR('',#391618,10.); #113382=VECTOR('',#391619,10.); #113383=VECTOR('',#391622,10.); #113384=VECTOR('',#391623,10.); #113385=VECTOR('',#391630,10.); #113386=VECTOR('',#391631,10.); #113387=VECTOR('',#391632,10.); #113388=VECTOR('',#391633,10.); #113389=VECTOR('',#391636,10.); #113390=VECTOR('',#391637,10.); #113391=VECTOR('',#391638,10.); #113392=VECTOR('',#391641,10.); #113393=VECTOR('',#391642,10.); #113394=VECTOR('',#391643,10.); #113395=VECTOR('',#391646,10.); #113396=VECTOR('',#391647,10.); #113397=VECTOR('',#391654,10.); #113398=VECTOR('',#391655,10.); #113399=VECTOR('',#391656,10.); #113400=VECTOR('',#391657,10.); #113401=VECTOR('',#391660,10.); #113402=VECTOR('',#391661,10.); #113403=VECTOR('',#391662,10.); #113404=VECTOR('',#391665,10.); #113405=VECTOR('',#391666,10.); #113406=VECTOR('',#391667,10.); #113407=VECTOR('',#391670,10.); #113408=VECTOR('',#391671,10.); #113409=VECTOR('',#391678,10.); #113410=VECTOR('',#391679,10.); #113411=VECTOR('',#391680,10.); #113412=VECTOR('',#391681,10.); #113413=VECTOR('',#391684,10.); #113414=VECTOR('',#391685,10.); #113415=VECTOR('',#391686,10.); #113416=VECTOR('',#391689,10.); #113417=VECTOR('',#391690,10.); #113418=VECTOR('',#391691,10.); #113419=VECTOR('',#391694,10.); #113420=VECTOR('',#391695,10.); #113421=VECTOR('',#391702,10.); #113422=VECTOR('',#391703,10.); #113423=VECTOR('',#391704,10.); #113424=VECTOR('',#391705,10.); #113425=VECTOR('',#391708,10.); #113426=VECTOR('',#391709,10.); #113427=VECTOR('',#391710,10.); #113428=VECTOR('',#391713,10.); #113429=VECTOR('',#391714,10.); #113430=VECTOR('',#391715,10.); #113431=VECTOR('',#391718,10.); #113432=VECTOR('',#391719,10.); #113433=VECTOR('',#391726,10.); #113434=VECTOR('',#391727,10.); #113435=VECTOR('',#391728,10.); #113436=VECTOR('',#391729,10.); #113437=VECTOR('',#391732,10.); #113438=VECTOR('',#391733,10.); #113439=VECTOR('',#391734,10.); #113440=VECTOR('',#391737,10.); #113441=VECTOR('',#391738,10.); #113442=VECTOR('',#391739,10.); #113443=VECTOR('',#391742,10.); #113444=VECTOR('',#391743,10.); #113445=VECTOR('',#391750,10.); #113446=VECTOR('',#391751,10.); #113447=VECTOR('',#391752,10.); #113448=VECTOR('',#391753,10.); #113449=VECTOR('',#391756,10.); #113450=VECTOR('',#391757,10.); #113451=VECTOR('',#391758,10.); #113452=VECTOR('',#391761,10.); #113453=VECTOR('',#391762,10.); #113454=VECTOR('',#391763,10.); #113455=VECTOR('',#391766,10.); #113456=VECTOR('',#391767,10.); #113457=VECTOR('',#391774,10.); #113458=VECTOR('',#391775,10.); #113459=VECTOR('',#391776,10.); #113460=VECTOR('',#391777,10.); #113461=VECTOR('',#391780,10.); #113462=VECTOR('',#391781,10.); #113463=VECTOR('',#391782,10.); #113464=VECTOR('',#391785,10.); #113465=VECTOR('',#391786,10.); #113466=VECTOR('',#391787,10.); #113467=VECTOR('',#391790,10.); #113468=VECTOR('',#391791,10.); #113469=VECTOR('',#391798,10.); #113470=VECTOR('',#391799,10.); #113471=VECTOR('',#391800,10.); #113472=VECTOR('',#391801,10.); #113473=VECTOR('',#391804,10.); #113474=VECTOR('',#391805,10.); #113475=VECTOR('',#391806,10.); #113476=VECTOR('',#391809,10.); #113477=VECTOR('',#391810,10.); #113478=VECTOR('',#391811,10.); #113479=VECTOR('',#391814,10.); #113480=VECTOR('',#391815,10.); #113481=VECTOR('',#391822,10.); #113482=VECTOR('',#391823,10.); #113483=VECTOR('',#391824,10.); #113484=VECTOR('',#391825,10.); #113485=VECTOR('',#391828,10.); #113486=VECTOR('',#391829,10.); #113487=VECTOR('',#391830,10.); #113488=VECTOR('',#391833,10.); #113489=VECTOR('',#391834,10.); #113490=VECTOR('',#391835,10.); #113491=VECTOR('',#391838,10.); #113492=VECTOR('',#391839,10.); #113493=VECTOR('',#391846,10.); #113494=VECTOR('',#391849,10.); #113495=VECTOR('',#391854,10.); #113496=VECTOR('',#391857,10.); #113497=VECTOR('',#391864,0.14); #113498=VECTOR('',#391869,10.); #113499=VECTOR('',#391872,10.); #113500=VECTOR('',#391877,10.); #113501=VECTOR('',#391880,10.); #113502=VECTOR('',#391885,10.); #113503=VECTOR('',#391888,10.); #113504=VECTOR('',#391893,10.); #113505=VECTOR('',#391896,10.); #113506=VECTOR('',#391901,10.); #113507=VECTOR('',#391904,10.); #113508=VECTOR('',#391909,10.); #113509=VECTOR('',#391912,10.); #113510=VECTOR('',#391917,10.); #113511=VECTOR('',#391918,10.); #113512=VECTOR('',#391919,10.); #113513=VECTOR('',#391922,10.); #113514=VECTOR('',#391923,10.); #113515=VECTOR('',#391926,10.); #113516=VECTOR('',#391927,10.); #113517=VECTOR('',#391928,10.); #113518=VECTOR('',#391931,10.); #113519=VECTOR('',#391932,10.); #113520=VECTOR('',#391935,10.); #113521=VECTOR('',#391936,10.); #113522=VECTOR('',#391939,10.); #113523=VECTOR('',#391940,10.); #113524=VECTOR('',#391943,10.); #113525=VECTOR('',#391944,10.); #113526=VECTOR('',#391947,10.); #113527=VECTOR('',#391948,10.); #113528=VECTOR('',#391951,10.); #113529=VECTOR('',#391952,10.); #113530=VECTOR('',#391955,10.); #113531=VECTOR('',#391956,10.); #113532=VECTOR('',#391965,0.139999999999998); #113533=VECTOR('',#391970,10.); #113534=VECTOR('',#391971,10.); #113535=VECTOR('',#391972,10.); #113536=VECTOR('',#391973,10.); #113537=VECTOR('',#391976,10.); #113538=VECTOR('',#391977,10.); #113539=VECTOR('',#391978,10.); #113540=VECTOR('',#391981,10.); #113541=VECTOR('',#391982,10.); #113542=VECTOR('',#391983,10.); #113543=VECTOR('',#391986,10.); #113544=VECTOR('',#391987,10.); #113545=VECTOR('',#391994,10.); #113546=VECTOR('',#391995,10.); #113547=VECTOR('',#391996,10.); #113548=VECTOR('',#391997,10.); #113549=VECTOR('',#392000,10.); #113550=VECTOR('',#392001,10.); #113551=VECTOR('',#392002,10.); #113552=VECTOR('',#392005,10.); #113553=VECTOR('',#392006,10.); #113554=VECTOR('',#392007,10.); #113555=VECTOR('',#392010,10.); #113556=VECTOR('',#392011,10.); #113557=VECTOR('',#392018,10.); #113558=VECTOR('',#392019,10.); #113559=VECTOR('',#392020,10.); #113560=VECTOR('',#392021,10.); #113561=VECTOR('',#392024,10.); #113562=VECTOR('',#392025,10.); #113563=VECTOR('',#392026,10.); #113564=VECTOR('',#392029,10.); #113565=VECTOR('',#392030,10.); #113566=VECTOR('',#392031,10.); #113567=VECTOR('',#392034,10.); #113568=VECTOR('',#392035,10.); #113569=VECTOR('',#392042,10.); #113570=VECTOR('',#392043,10.); #113571=VECTOR('',#392044,10.); #113572=VECTOR('',#392045,10.); #113573=VECTOR('',#392048,10.); #113574=VECTOR('',#392049,10.); #113575=VECTOR('',#392050,10.); #113576=VECTOR('',#392053,10.); #113577=VECTOR('',#392054,10.); #113578=VECTOR('',#392055,10.); #113579=VECTOR('',#392058,10.); #113580=VECTOR('',#392059,10.); #113581=VECTOR('',#392066,10.); #113582=VECTOR('',#392067,10.); #113583=VECTOR('',#392068,10.); #113584=VECTOR('',#392069,10.); #113585=VECTOR('',#392072,10.); #113586=VECTOR('',#392073,10.); #113587=VECTOR('',#392074,10.); #113588=VECTOR('',#392077,10.); #113589=VECTOR('',#392078,10.); #113590=VECTOR('',#392079,10.); #113591=VECTOR('',#392082,10.); #113592=VECTOR('',#392083,10.); #113593=VECTOR('',#392090,10.); #113594=VECTOR('',#392091,10.); #113595=VECTOR('',#392092,10.); #113596=VECTOR('',#392093,10.); #113597=VECTOR('',#392096,10.); #113598=VECTOR('',#392097,10.); #113599=VECTOR('',#392098,10.); #113600=VECTOR('',#392101,10.); #113601=VECTOR('',#392102,10.); #113602=VECTOR('',#392103,10.); #113603=VECTOR('',#392106,10.); #113604=VECTOR('',#392107,10.); #113605=VECTOR('',#392116,0.139999999999998); #113606=VECTOR('',#392121,10.); #113607=VECTOR('',#392122,10.); #113608=VECTOR('',#392123,10.); #113609=VECTOR('',#392124,10.); #113610=VECTOR('',#392127,10.); #113611=VECTOR('',#392128,10.); #113612=VECTOR('',#392129,10.); #113613=VECTOR('',#392132,10.); #113614=VECTOR('',#392133,10.); #113615=VECTOR('',#392134,10.); #113616=VECTOR('',#392137,10.); #113617=VECTOR('',#392138,10.); #113618=VECTOR('',#392147,0.139999999999998); #113619=VECTOR('',#392152,10.); #113620=VECTOR('',#392153,10.); #113621=VECTOR('',#392154,10.); #113622=VECTOR('',#392155,10.); #113623=VECTOR('',#392158,10.); #113624=VECTOR('',#392159,10.); #113625=VECTOR('',#392160,10.); #113626=VECTOR('',#392163,10.); #113627=VECTOR('',#392164,10.); #113628=VECTOR('',#392165,10.); #113629=VECTOR('',#392168,10.); #113630=VECTOR('',#392169,10.); #113631=VECTOR('',#392176,10.); #113632=VECTOR('',#392177,10.); #113633=VECTOR('',#392178,10.); #113634=VECTOR('',#392179,10.); #113635=VECTOR('',#392182,10.); #113636=VECTOR('',#392183,10.); #113637=VECTOR('',#392184,10.); #113638=VECTOR('',#392187,10.); #113639=VECTOR('',#392188,10.); #113640=VECTOR('',#392189,10.); #113641=VECTOR('',#392192,10.); #113642=VECTOR('',#392193,10.); #113643=VECTOR('',#392200,10.); #113644=VECTOR('',#392201,10.); #113645=VECTOR('',#392202,10.); #113646=VECTOR('',#392203,10.); #113647=VECTOR('',#392206,10.); #113648=VECTOR('',#392207,10.); #113649=VECTOR('',#392208,10.); #113650=VECTOR('',#392211,10.); #113651=VECTOR('',#392212,10.); #113652=VECTOR('',#392213,10.); #113653=VECTOR('',#392216,10.); #113654=VECTOR('',#392217,10.); #113655=VECTOR('',#392224,10.); #113656=VECTOR('',#392225,10.); #113657=VECTOR('',#392226,10.); #113658=VECTOR('',#392227,10.); #113659=VECTOR('',#392230,10.); #113660=VECTOR('',#392231,10.); #113661=VECTOR('',#392232,10.); #113662=VECTOR('',#392235,10.); #113663=VECTOR('',#392236,10.); #113664=VECTOR('',#392237,10.); #113665=VECTOR('',#392240,10.); #113666=VECTOR('',#392241,10.); #113667=VECTOR('',#392248,10.); #113668=VECTOR('',#392249,10.); #113669=VECTOR('',#392250,10.); #113670=VECTOR('',#392251,10.); #113671=VECTOR('',#392254,10.); #113672=VECTOR('',#392255,10.); #113673=VECTOR('',#392256,10.); #113674=VECTOR('',#392259,10.); #113675=VECTOR('',#392260,10.); #113676=VECTOR('',#392261,10.); #113677=VECTOR('',#392264,10.); #113678=VECTOR('',#392265,10.); #113679=VECTOR('',#392272,10.); #113680=VECTOR('',#392273,10.); #113681=VECTOR('',#392274,10.); #113682=VECTOR('',#392275,10.); #113683=VECTOR('',#392278,10.); #113684=VECTOR('',#392279,10.); #113685=VECTOR('',#392280,10.); #113686=VECTOR('',#392283,10.); #113687=VECTOR('',#392284,10.); #113688=VECTOR('',#392285,10.); #113689=VECTOR('',#392288,10.); #113690=VECTOR('',#392289,10.); #113691=VECTOR('',#392296,10.); #113692=VECTOR('',#392297,10.); #113693=VECTOR('',#392298,10.); #113694=VECTOR('',#392299,10.); #113695=VECTOR('',#392302,10.); #113696=VECTOR('',#392303,10.); #113697=VECTOR('',#392304,10.); #113698=VECTOR('',#392307,10.); #113699=VECTOR('',#392308,10.); #113700=VECTOR('',#392309,10.); #113701=VECTOR('',#392312,10.); #113702=VECTOR('',#392313,10.); #113703=VECTOR('',#392320,10.); #113704=VECTOR('',#392321,10.); #113705=VECTOR('',#392322,10.); #113706=VECTOR('',#392323,10.); #113707=VECTOR('',#392326,10.); #113708=VECTOR('',#392327,10.); #113709=VECTOR('',#392328,10.); #113710=VECTOR('',#392331,10.); #113711=VECTOR('',#392332,10.); #113712=VECTOR('',#392333,10.); #113713=VECTOR('',#392336,10.); #113714=VECTOR('',#392337,10.); #113715=VECTOR('',#392344,10.); #113716=VECTOR('',#392345,10.); #113717=VECTOR('',#392346,10.); #113718=VECTOR('',#392347,10.); #113719=VECTOR('',#392350,10.); #113720=VECTOR('',#392351,10.); #113721=VECTOR('',#392352,10.); #113722=VECTOR('',#392355,10.); #113723=VECTOR('',#392356,10.); #113724=VECTOR('',#392357,10.); #113725=VECTOR('',#392360,10.); #113726=VECTOR('',#392361,10.); #113727=VECTOR('',#392368,10.); #113728=VECTOR('',#392369,10.); #113729=VECTOR('',#392370,10.); #113730=VECTOR('',#392371,10.); #113731=VECTOR('',#392374,10.); #113732=VECTOR('',#392375,10.); #113733=VECTOR('',#392376,10.); #113734=VECTOR('',#392379,10.); #113735=VECTOR('',#392380,10.); #113736=VECTOR('',#392381,10.); #113737=VECTOR('',#392384,10.); #113738=VECTOR('',#392385,10.); #113739=VECTOR('',#392392,10.); #113740=VECTOR('',#392393,10.); #113741=VECTOR('',#392394,10.); #113742=VECTOR('',#392395,10.); #113743=VECTOR('',#392398,10.); #113744=VECTOR('',#392399,10.); #113745=VECTOR('',#392400,10.); #113746=VECTOR('',#392403,10.); #113747=VECTOR('',#392404,10.); #113748=VECTOR('',#392405,10.); #113749=VECTOR('',#392408,10.); #113750=VECTOR('',#392409,10.); #113751=VECTOR('',#392416,10.); #113752=VECTOR('',#392417,10.); #113753=VECTOR('',#392418,10.); #113754=VECTOR('',#392419,10.); #113755=VECTOR('',#392422,10.); #113756=VECTOR('',#392423,10.); #113757=VECTOR('',#392424,10.); #113758=VECTOR('',#392427,10.); #113759=VECTOR('',#392428,10.); #113760=VECTOR('',#392429,10.); #113761=VECTOR('',#392432,10.); #113762=VECTOR('',#392433,10.); #113763=VECTOR('',#392442,0.140000000000002); #113764=VECTOR('',#392449,0.140000000000002); #113765=VECTOR('',#392456,0.140000000000002); #113766=VECTOR('',#392463,0.140000000000002); #113767=VECTOR('',#392470,0.140000000000002); #113768=VECTOR('',#392477,0.140000000000002); #113769=VECTOR('',#392482,10.); #113770=VECTOR('',#392483,10.); #113771=VECTOR('',#392484,10.); #113772=VECTOR('',#392485,10.); #113773=VECTOR('',#392488,10.); #113774=VECTOR('',#392489,10.); #113775=VECTOR('',#392490,10.); #113776=VECTOR('',#392493,10.); #113777=VECTOR('',#392494,10.); #113778=VECTOR('',#392495,10.); #113779=VECTOR('',#392498,10.); #113780=VECTOR('',#392499,10.); #113781=VECTOR('',#392506,10.); #113782=VECTOR('',#392507,10.); #113783=VECTOR('',#392508,10.); #113784=VECTOR('',#392509,10.); #113785=VECTOR('',#392512,10.); #113786=VECTOR('',#392513,10.); #113787=VECTOR('',#392514,10.); #113788=VECTOR('',#392517,10.); #113789=VECTOR('',#392518,10.); #113790=VECTOR('',#392519,10.); #113791=VECTOR('',#392522,10.); #113792=VECTOR('',#392523,10.); #113793=VECTOR('',#392530,10.); #113794=VECTOR('',#392531,10.); #113795=VECTOR('',#392532,10.); #113796=VECTOR('',#392533,10.); #113797=VECTOR('',#392536,10.); #113798=VECTOR('',#392537,10.); #113799=VECTOR('',#392538,10.); #113800=VECTOR('',#392541,10.); #113801=VECTOR('',#392542,10.); #113802=VECTOR('',#392543,10.); #113803=VECTOR('',#392546,10.); #113804=VECTOR('',#392547,10.); #113805=VECTOR('',#392554,10.); #113806=VECTOR('',#392555,10.); #113807=VECTOR('',#392556,10.); #113808=VECTOR('',#392557,10.); #113809=VECTOR('',#392560,10.); #113810=VECTOR('',#392561,10.); #113811=VECTOR('',#392562,10.); #113812=VECTOR('',#392565,10.); #113813=VECTOR('',#392566,10.); #113814=VECTOR('',#392567,10.); #113815=VECTOR('',#392570,10.); #113816=VECTOR('',#392571,10.); #113817=VECTOR('',#392578,10.); #113818=VECTOR('',#392581,10.); #113819=VECTOR('',#392588,0.140000000000002); #113820=VECTOR('',#392593,10.); #113821=VECTOR('',#392596,10.); #113822=VECTOR('',#392601,10.); #113823=VECTOR('',#392604,10.); #113824=VECTOR('',#392609,10.); #113825=VECTOR('',#392612,10.); #113826=VECTOR('',#392619,0.140000000000002); #113827=VECTOR('',#392624,10.); #113828=VECTOR('',#392625,10.); #113829=VECTOR('',#392626,10.); #113830=VECTOR('',#392629,10.); #113831=VECTOR('',#392630,10.); #113832=VECTOR('',#392631,10.); #113833=VECTOR('',#392634,10.); #113834=VECTOR('',#392635,10.); #113835=VECTOR('',#392638,10.); #113836=VECTOR('',#392639,10.); #113837=VECTOR('',#392640,10.); #113838=VECTOR('',#392643,10.); #113839=VECTOR('',#392644,10.); #113840=VECTOR('',#392645,10.); #113841=VECTOR('',#392648,10.); #113842=VECTOR('',#392649,10.); #113843=VECTOR('',#392652,10.); #113844=VECTOR('',#392653,10.); #113845=VECTOR('',#392656,10.); #113846=VECTOR('',#392659,10.); #113847=VECTOR('',#392664,10.); #113848=VECTOR('',#392665,10.); #113849=VECTOR('',#392666,10.); #113850=VECTOR('',#392667,10.); #113851=VECTOR('',#392670,10.); #113852=VECTOR('',#392671,10.); #113853=VECTOR('',#392672,10.); #113854=VECTOR('',#392675,10.); #113855=VECTOR('',#392676,10.); #113856=VECTOR('',#392677,10.); #113857=VECTOR('',#392680,10.); #113858=VECTOR('',#392681,10.); #113859=VECTOR('',#392688,10.); #113860=VECTOR('',#392689,10.); #113861=VECTOR('',#392690,10.); #113862=VECTOR('',#392691,10.); #113863=VECTOR('',#392694,10.); #113864=VECTOR('',#392695,10.); #113865=VECTOR('',#392696,10.); #113866=VECTOR('',#392699,10.); #113867=VECTOR('',#392700,10.); #113868=VECTOR('',#392701,10.); #113869=VECTOR('',#392704,10.); #113870=VECTOR('',#392705,10.); #113871=VECTOR('',#392712,10.); #113872=VECTOR('',#392713,10.); #113873=VECTOR('',#392714,10.); #113874=VECTOR('',#392715,10.); #113875=VECTOR('',#392718,10.); #113876=VECTOR('',#392719,10.); #113877=VECTOR('',#392720,10.); #113878=VECTOR('',#392723,10.); #113879=VECTOR('',#392724,10.); #113880=VECTOR('',#392725,10.); #113881=VECTOR('',#392728,10.); #113882=VECTOR('',#392729,10.); #113883=VECTOR('',#392736,10.); #113884=VECTOR('',#392737,10.); #113885=VECTOR('',#392738,10.); #113886=VECTOR('',#392739,10.); #113887=VECTOR('',#392742,10.); #113888=VECTOR('',#392743,10.); #113889=VECTOR('',#392744,10.); #113890=VECTOR('',#392747,10.); #113891=VECTOR('',#392748,10.); #113892=VECTOR('',#392749,10.); #113893=VECTOR('',#392752,10.); #113894=VECTOR('',#392753,10.); #113895=VECTOR('',#392760,10.); #113896=VECTOR('',#392761,10.); #113897=VECTOR('',#392762,10.); #113898=VECTOR('',#392763,10.); #113899=VECTOR('',#392766,10.); #113900=VECTOR('',#392767,10.); #113901=VECTOR('',#392768,10.); #113902=VECTOR('',#392771,10.); #113903=VECTOR('',#392772,10.); #113904=VECTOR('',#392773,10.); #113905=VECTOR('',#392776,10.); #113906=VECTOR('',#392777,10.); #113907=VECTOR('',#392784,10.); #113908=VECTOR('',#392785,10.); #113909=VECTOR('',#392786,10.); #113910=VECTOR('',#392787,10.); #113911=VECTOR('',#392790,10.); #113912=VECTOR('',#392791,10.); #113913=VECTOR('',#392792,10.); #113914=VECTOR('',#392795,10.); #113915=VECTOR('',#392796,10.); #113916=VECTOR('',#392797,10.); #113917=VECTOR('',#392800,10.); #113918=VECTOR('',#392801,10.); #113919=VECTOR('',#392808,10.); #113920=VECTOR('',#392809,10.); #113921=VECTOR('',#392810,10.); #113922=VECTOR('',#392811,10.); #113923=VECTOR('',#392814,10.); #113924=VECTOR('',#392815,10.); #113925=VECTOR('',#392816,10.); #113926=VECTOR('',#392819,10.); #113927=VECTOR('',#392820,10.); #113928=VECTOR('',#392821,10.); #113929=VECTOR('',#392824,10.); #113930=VECTOR('',#392825,10.); #113931=VECTOR('',#392832,10.); #113932=VECTOR('',#392833,10.); #113933=VECTOR('',#392834,10.); #113934=VECTOR('',#392835,10.); #113935=VECTOR('',#392838,10.); #113936=VECTOR('',#392839,10.); #113937=VECTOR('',#392840,10.); #113938=VECTOR('',#392843,10.); #113939=VECTOR('',#392844,10.); #113940=VECTOR('',#392845,10.); #113941=VECTOR('',#392848,10.); #113942=VECTOR('',#392849,10.); #113943=VECTOR('',#392856,10.); #113944=VECTOR('',#392857,10.); #113945=VECTOR('',#392858,10.); #113946=VECTOR('',#392859,10.); #113947=VECTOR('',#392862,10.); #113948=VECTOR('',#392863,10.); #113949=VECTOR('',#392864,10.); #113950=VECTOR('',#392867,10.); #113951=VECTOR('',#392868,10.); #113952=VECTOR('',#392869,10.); #113953=VECTOR('',#392872,10.); #113954=VECTOR('',#392873,10.); #113955=VECTOR('',#392880,10.); #113956=VECTOR('',#392881,10.); #113957=VECTOR('',#392882,10.); #113958=VECTOR('',#392883,10.); #113959=VECTOR('',#392886,10.); #113960=VECTOR('',#392887,10.); #113961=VECTOR('',#392888,10.); #113962=VECTOR('',#392891,10.); #113963=VECTOR('',#392892,10.); #113964=VECTOR('',#392893,10.); #113965=VECTOR('',#392896,10.); #113966=VECTOR('',#392897,10.); #113967=VECTOR('',#392904,10.); #113968=VECTOR('',#392905,10.); #113969=VECTOR('',#392906,10.); #113970=VECTOR('',#392907,10.); #113971=VECTOR('',#392910,10.); #113972=VECTOR('',#392911,10.); #113973=VECTOR('',#392912,10.); #113974=VECTOR('',#392915,10.); #113975=VECTOR('',#392916,10.); #113976=VECTOR('',#392917,10.); #113977=VECTOR('',#392920,10.); #113978=VECTOR('',#392921,10.); #113979=VECTOR('',#392928,10.); #113980=VECTOR('',#392929,10.); #113981=VECTOR('',#392930,10.); #113982=VECTOR('',#392931,10.); #113983=VECTOR('',#392934,10.); #113984=VECTOR('',#392935,10.); #113985=VECTOR('',#392936,10.); #113986=VECTOR('',#392939,10.); #113987=VECTOR('',#392940,10.); #113988=VECTOR('',#392941,10.); #113989=VECTOR('',#392944,10.); #113990=VECTOR('',#392945,10.); #113991=VECTOR('',#392952,10.); #113992=VECTOR('',#392953,10.); #113993=VECTOR('',#392954,10.); #113994=VECTOR('',#392955,10.); #113995=VECTOR('',#392958,10.); #113996=VECTOR('',#392959,10.); #113997=VECTOR('',#392960,10.); #113998=VECTOR('',#392963,10.); #113999=VECTOR('',#392964,10.); #114000=VECTOR('',#392965,10.); #114001=VECTOR('',#392968,10.); #114002=VECTOR('',#392969,10.); #114003=VECTOR('',#392976,10.); #114004=VECTOR('',#392977,10.); #114005=VECTOR('',#392978,10.); #114006=VECTOR('',#392979,10.); #114007=VECTOR('',#392982,10.); #114008=VECTOR('',#392983,10.); #114009=VECTOR('',#392984,10.); #114010=VECTOR('',#392987,10.); #114011=VECTOR('',#392988,10.); #114012=VECTOR('',#392989,10.); #114013=VECTOR('',#392992,10.); #114014=VECTOR('',#392993,10.); #114015=VECTOR('',#393000,10.); #114016=VECTOR('',#393001,10.); #114017=VECTOR('',#393002,10.); #114018=VECTOR('',#393003,10.); #114019=VECTOR('',#393006,10.); #114020=VECTOR('',#393007,10.); #114021=VECTOR('',#393008,10.); #114022=VECTOR('',#393011,10.); #114023=VECTOR('',#393012,10.); #114024=VECTOR('',#393013,10.); #114025=VECTOR('',#393016,10.); #114026=VECTOR('',#393017,10.); #114027=VECTOR('',#393024,10.); #114028=VECTOR('',#393025,10.); #114029=VECTOR('',#393026,10.); #114030=VECTOR('',#393027,10.); #114031=VECTOR('',#393030,10.); #114032=VECTOR('',#393031,10.); #114033=VECTOR('',#393032,10.); #114034=VECTOR('',#393035,10.); #114035=VECTOR('',#393036,10.); #114036=VECTOR('',#393037,10.); #114037=VECTOR('',#393040,10.); #114038=VECTOR('',#393041,10.); #114039=VECTOR('',#393048,10.); #114040=VECTOR('',#393049,10.); #114041=VECTOR('',#393050,10.); #114042=VECTOR('',#393051,10.); #114043=VECTOR('',#393054,10.); #114044=VECTOR('',#393055,10.); #114045=VECTOR('',#393056,10.); #114046=VECTOR('',#393059,10.); #114047=VECTOR('',#393060,10.); #114048=VECTOR('',#393061,10.); #114049=VECTOR('',#393064,10.); #114050=VECTOR('',#393065,10.); #114051=VECTOR('',#393072,10.); #114052=VECTOR('',#393073,10.); #114053=VECTOR('',#393074,10.); #114054=VECTOR('',#393075,10.); #114055=VECTOR('',#393078,10.); #114056=VECTOR('',#393079,10.); #114057=VECTOR('',#393080,10.); #114058=VECTOR('',#393083,10.); #114059=VECTOR('',#393084,10.); #114060=VECTOR('',#393085,10.); #114061=VECTOR('',#393088,10.); #114062=VECTOR('',#393089,10.); #114063=VECTOR('',#393096,10.); #114064=VECTOR('',#393097,10.); #114065=VECTOR('',#393098,10.); #114066=VECTOR('',#393099,10.); #114067=VECTOR('',#393102,10.); #114068=VECTOR('',#393103,10.); #114069=VECTOR('',#393104,10.); #114070=VECTOR('',#393107,10.); #114071=VECTOR('',#393108,10.); #114072=VECTOR('',#393109,10.); #114073=VECTOR('',#393112,10.); #114074=VECTOR('',#393113,10.); #114075=VECTOR('',#393120,10.); #114076=VECTOR('',#393121,10.); #114077=VECTOR('',#393122,10.); #114078=VECTOR('',#393123,10.); #114079=VECTOR('',#393126,10.); #114080=VECTOR('',#393127,10.); #114081=VECTOR('',#393128,10.); #114082=VECTOR('',#393131,10.); #114083=VECTOR('',#393132,10.); #114084=VECTOR('',#393133,10.); #114085=VECTOR('',#393136,10.); #114086=VECTOR('',#393137,10.); #114087=VECTOR('',#393144,10.); #114088=VECTOR('',#393145,10.); #114089=VECTOR('',#393146,10.); #114090=VECTOR('',#393147,10.); #114091=VECTOR('',#393150,10.); #114092=VECTOR('',#393151,10.); #114093=VECTOR('',#393152,10.); #114094=VECTOR('',#393155,10.); #114095=VECTOR('',#393156,10.); #114096=VECTOR('',#393157,10.); #114097=VECTOR('',#393160,10.); #114098=VECTOR('',#393161,10.); #114099=VECTOR('',#393172,0.564999999999998); #114100=VECTOR('',#393177,10.); #114101=VECTOR('',#393178,10.); #114102=VECTOR('',#393179,10.); #114103=VECTOR('',#393180,10.); #114104=VECTOR('',#393187,10.); #114105=VECTOR('',#393200,10.); #114106=VECTOR('',#393201,10.); #114107=VECTOR('',#393202,10.); #114108=VECTOR('',#393203,10.); #114109=VECTOR('',#393206,10.); #114110=VECTOR('',#393207,10.); #114111=VECTOR('',#393208,10.); #114112=VECTOR('',#393211,10.); #114113=VECTOR('',#393212,10.); #114114=VECTOR('',#393213,10.); #114115=VECTOR('',#393216,10.); #114116=VECTOR('',#393217,10.); #114117=VECTOR('',#393224,10.); #114118=VECTOR('',#393225,10.); #114119=VECTOR('',#393226,10.); #114120=VECTOR('',#393227,10.); #114121=VECTOR('',#393230,10.); #114122=VECTOR('',#393231,10.); #114123=VECTOR('',#393232,10.); #114124=VECTOR('',#393235,10.); #114125=VECTOR('',#393236,10.); #114126=VECTOR('',#393237,10.); #114127=VECTOR('',#393240,10.); #114128=VECTOR('',#393241,10.); #114129=VECTOR('',#393250,0.114999999999998); #114130=VECTOR('',#393257,0.114999999999998); #114131=VECTOR('',#393262,10.); #114132=VECTOR('',#393263,10.); #114133=VECTOR('',#393264,10.); #114134=VECTOR('',#393265,10.); #114135=VECTOR('',#393268,10.); #114136=VECTOR('',#393269,10.); #114137=VECTOR('',#393270,10.); #114138=VECTOR('',#393273,10.); #114139=VECTOR('',#393274,10.); #114140=VECTOR('',#393275,10.); #114141=VECTOR('',#393278,10.); #114142=VECTOR('',#393279,10.); #114143=VECTOR('',#393286,10.); #114144=VECTOR('',#393287,10.); #114145=VECTOR('',#393288,10.); #114146=VECTOR('',#393289,10.); #114147=VECTOR('',#393292,10.); #114148=VECTOR('',#393293,10.); #114149=VECTOR('',#393294,10.); #114150=VECTOR('',#393297,10.); #114151=VECTOR('',#393298,10.); #114152=VECTOR('',#393299,10.); #114153=VECTOR('',#393302,10.); #114154=VECTOR('',#393303,10.); #114155=VECTOR('',#393312,0.114999999999998); #114156=VECTOR('',#393319,0.114999999999998); #114157=VECTOR('',#393324,10.); #114158=VECTOR('',#393325,10.); #114159=VECTOR('',#393326,10.); #114160=VECTOR('',#393327,10.); #114161=VECTOR('',#393330,10.); #114162=VECTOR('',#393331,10.); #114163=VECTOR('',#393332,10.); #114164=VECTOR('',#393335,10.); #114165=VECTOR('',#393336,10.); #114166=VECTOR('',#393337,10.); #114167=VECTOR('',#393340,10.); #114168=VECTOR('',#393341,10.); #114169=VECTOR('',#393348,10.); #114170=VECTOR('',#393349,10.); #114171=VECTOR('',#393350,10.); #114172=VECTOR('',#393351,10.); #114173=VECTOR('',#393354,10.); #114174=VECTOR('',#393355,10.); #114175=VECTOR('',#393356,10.); #114176=VECTOR('',#393359,10.); #114177=VECTOR('',#393360,10.); #114178=VECTOR('',#393361,10.); #114179=VECTOR('',#393364,10.); #114180=VECTOR('',#393365,10.); #114181=VECTOR('',#393374,0.114999999999998); #114182=VECTOR('',#393381,0.114999999999998); #114183=VECTOR('',#393386,10.); #114184=VECTOR('',#393387,10.); #114185=VECTOR('',#393388,10.); #114186=VECTOR('',#393389,10.); #114187=VECTOR('',#393392,10.); #114188=VECTOR('',#393393,10.); #114189=VECTOR('',#393394,10.); #114190=VECTOR('',#393397,10.); #114191=VECTOR('',#393398,10.); #114192=VECTOR('',#393399,10.); #114193=VECTOR('',#393402,10.); #114194=VECTOR('',#393403,10.); #114195=VECTOR('',#393410,10.); #114196=VECTOR('',#393411,10.); #114197=VECTOR('',#393412,10.); #114198=VECTOR('',#393413,10.); #114199=VECTOR('',#393416,10.); #114200=VECTOR('',#393417,10.); #114201=VECTOR('',#393418,10.); #114202=VECTOR('',#393421,10.); #114203=VECTOR('',#393422,10.); #114204=VECTOR('',#393423,10.); #114205=VECTOR('',#393426,10.); #114206=VECTOR('',#393427,10.); #114207=VECTOR('',#393436,0.114999999999998); #114208=VECTOR('',#393443,0.114999999999998); #114209=VECTOR('',#393448,10.); #114210=VECTOR('',#393449,10.); #114211=VECTOR('',#393450,10.); #114212=VECTOR('',#393451,10.); #114213=VECTOR('',#393454,10.); #114214=VECTOR('',#393455,10.); #114215=VECTOR('',#393456,10.); #114216=VECTOR('',#393459,10.); #114217=VECTOR('',#393460,10.); #114218=VECTOR('',#393461,10.); #114219=VECTOR('',#393464,10.); #114220=VECTOR('',#393465,10.); #114221=VECTOR('',#393472,10.); #114222=VECTOR('',#393473,10.); #114223=VECTOR('',#393474,10.); #114224=VECTOR('',#393475,10.); #114225=VECTOR('',#393478,10.); #114226=VECTOR('',#393479,10.); #114227=VECTOR('',#393480,10.); #114228=VECTOR('',#393483,10.); #114229=VECTOR('',#393484,10.); #114230=VECTOR('',#393485,10.); #114231=VECTOR('',#393488,10.); #114232=VECTOR('',#393489,10.); #114233=VECTOR('',#393496,10.); #114234=VECTOR('',#393497,10.); #114235=VECTOR('',#393498,10.); #114236=VECTOR('',#393499,10.); #114237=VECTOR('',#393502,10.); #114238=VECTOR('',#393503,10.); #114239=VECTOR('',#393504,10.); #114240=VECTOR('',#393507,10.); #114241=VECTOR('',#393508,10.); #114242=VECTOR('',#393509,10.); #114243=VECTOR('',#393512,10.); #114244=VECTOR('',#393513,10.); #114245=VECTOR('',#393514,10.); #114246=VECTOR('',#393517,10.); #114247=VECTOR('',#393518,10.); #114248=VECTOR('',#393519,10.); #114249=VECTOR('',#393522,10.); #114250=VECTOR('',#393523,10.); #114251=VECTOR('',#393524,10.); #114252=VECTOR('',#393531,10.); #114253=VECTOR('',#393534,10.); #114254=VECTOR('',#393535,10.); #114255=VECTOR('',#393536,10.); #114256=VECTOR('',#393539,10.); #114257=VECTOR('',#393540,10.); #114258=VECTOR('',#393541,10.); #114259=VECTOR('',#393544,10.); #114260=VECTOR('',#393545,10.); #114261=VECTOR('',#393546,10.); #114262=VECTOR('',#393549,10.); #114263=VECTOR('',#393550,10.); #114264=VECTOR('',#393551,10.); #114265=VECTOR('',#393554,10.); #114266=VECTOR('',#393555,10.); #114267=VECTOR('',#393556,10.); #114268=VECTOR('',#393563,10.); #114269=VECTOR('',#393566,10.); #114270=VECTOR('',#393567,10.); #114271=VECTOR('',#393568,10.); #114272=VECTOR('',#393571,10.); #114273=VECTOR('',#393572,10.); #114274=VECTOR('',#393573,10.); #114275=VECTOR('',#393580,10.); #114276=VECTOR('',#393583,10.); #114277=VECTOR('',#393584,10.); #114278=VECTOR('',#393585,10.); #114279=VECTOR('',#393588,10.); #114280=VECTOR('',#393589,10.); #114281=VECTOR('',#393590,10.); #114282=VECTOR('',#393593,10.); #114283=VECTOR('',#393594,10.); #114284=VECTOR('',#393595,10.); #114285=VECTOR('',#393598,10.); #114286=VECTOR('',#393599,10.); #114287=VECTOR('',#393600,10.); #114288=VECTOR('',#393603,10.); #114289=VECTOR('',#393604,10.); #114290=VECTOR('',#393605,10.); #114291=VECTOR('',#393608,10.); #114292=VECTOR('',#393609,10.); #114293=VECTOR('',#393610,10.); #114294=VECTOR('',#393613,10.); #114295=VECTOR('',#393614,10.); #114296=VECTOR('',#393615,10.); #114297=VECTOR('',#393622,10.); #114298=VECTOR('',#393625,10.); #114299=VECTOR('',#393626,10.); #114300=VECTOR('',#393627,10.); #114301=VECTOR('',#393634,10.); #114302=VECTOR('',#393637,10.); #114303=VECTOR('',#393638,10.); #114304=VECTOR('',#393639,10.); #114305=VECTOR('',#393642,10.); #114306=VECTOR('',#393643,10.); #114307=VECTOR('',#393644,10.); #114308=VECTOR('',#393647,10.); #114309=VECTOR('',#393648,10.); #114310=VECTOR('',#393649,10.); #114311=VECTOR('',#393652,10.); #114312=VECTOR('',#393653,10.); #114313=VECTOR('',#393654,10.); #114314=VECTOR('',#393657,10.); #114315=VECTOR('',#393658,10.); #114316=VECTOR('',#393659,10.); #114317=VECTOR('',#393662,10.); #114318=VECTOR('',#393663,10.); #114319=VECTOR('',#393664,10.); #114320=VECTOR('',#393667,10.); #114321=VECTOR('',#393668,10.); #114322=VECTOR('',#393669,10.); #114323=VECTOR('',#393672,10.); #114324=VECTOR('',#393673,10.); #114325=VECTOR('',#393674,10.); #114326=VECTOR('',#393681,10.); #114327=VECTOR('',#393684,10.); #114328=VECTOR('',#393685,10.); #114329=VECTOR('',#393686,10.); #114330=VECTOR('',#393693,10.); #114331=VECTOR('',#393696,10.); #114332=VECTOR('',#393697,10.); #114333=VECTOR('',#393698,10.); #114334=VECTOR('',#393701,10.); #114335=VECTOR('',#393702,10.); #114336=VECTOR('',#393703,10.); #114337=VECTOR('',#393706,10.); #114338=VECTOR('',#393707,10.); #114339=VECTOR('',#393708,10.); #114340=VECTOR('',#393715,10.); #114341=VECTOR('',#393718,10.); #114342=VECTOR('',#393719,10.); #114343=VECTOR('',#393720,10.); #114344=VECTOR('',#393727,10.); #114345=VECTOR('',#393730,10.); #114346=VECTOR('',#393731,10.); #114347=VECTOR('',#393732,10.); #114348=VECTOR('',#393735,10.); #114349=VECTOR('',#393736,10.); #114350=VECTOR('',#393737,10.); #114351=VECTOR('',#393740,10.); #114352=VECTOR('',#393741,10.); #114353=VECTOR('',#393742,10.); #114354=VECTOR('',#393745,10.); #114355=VECTOR('',#393746,10.); #114356=VECTOR('',#393747,10.); #114357=VECTOR('',#393750,10.); #114358=VECTOR('',#393751,10.); #114359=VECTOR('',#393752,10.); #114360=VECTOR('',#393759,10.); #114361=VECTOR('',#393762,10.); #114362=VECTOR('',#393763,10.); #114363=VECTOR('',#393764,10.); #114364=VECTOR('',#393767,10.); #114365=VECTOR('',#393768,10.); #114366=VECTOR('',#393769,10.); #114367=VECTOR('',#393772,10.); #114368=VECTOR('',#393773,10.); #114369=VECTOR('',#393774,10.); #114370=VECTOR('',#393777,10.); #114371=VECTOR('',#393778,10.); #114372=VECTOR('',#393779,10.); #114373=VECTOR('',#393782,10.); #114374=VECTOR('',#393783,10.); #114375=VECTOR('',#393784,10.); #114376=VECTOR('',#393787,10.); #114377=VECTOR('',#393788,10.); #114378=VECTOR('',#393789,10.); #114379=VECTOR('',#393796,10.); #114380=VECTOR('',#393799,10.); #114381=VECTOR('',#393800,10.); #114382=VECTOR('',#393801,10.); #114383=VECTOR('',#393804,10.); #114384=VECTOR('',#393805,10.); #114385=VECTOR('',#393814,0.139999999999998); #114386=VECTOR('',#393821,0.139999999999998); #114387=VECTOR('',#393826,10.); #114388=VECTOR('',#393827,10.); #114389=VECTOR('',#393828,10.); #114390=VECTOR('',#393829,10.); #114391=VECTOR('',#393836,10.); #114392=VECTOR('',#393843,10.); #114393=VECTOR('',#393846,10.); #114394=VECTOR('',#393847,10.); #114395=VECTOR('',#393848,10.); #114396=VECTOR('',#393855,10.); #114397=VECTOR('',#393862,10.); #114398=VECTOR('',#393865,10.); #114399=VECTOR('',#393866,10.); #114400=VECTOR('',#393867,10.); #114401=VECTOR('',#393882,0.139999999999998); #114402=VECTOR('',#393887,10.); #114403=VECTOR('',#393888,10.); #114404=VECTOR('',#393889,10.); #114405=VECTOR('',#393890,10.); #114406=VECTOR('',#393897,10.); #114407=VECTOR('',#393900,10.); #114408=VECTOR('',#393901,10.); #114409=VECTOR('',#393902,10.); #114410=VECTOR('',#393905,10.); #114411=VECTOR('',#393906,10.); #114412=VECTOR('',#393907,10.); #114413=VECTOR('',#393910,10.); #114414=VECTOR('',#393911,10.); #114415=VECTOR('',#393912,10.); #114416=VECTOR('',#393915,10.); #114417=VECTOR('',#393916,10.); #114418=VECTOR('',#393917,10.); #114419=VECTOR('',#393920,10.); #114420=VECTOR('',#393921,10.); #114421=VECTOR('',#393922,10.); #114422=VECTOR('',#393925,10.); #114423=VECTOR('',#393926,10.); #114424=VECTOR('',#393927,10.); #114425=VECTOR('',#393930,10.); #114426=VECTOR('',#393931,10.); #114427=VECTOR('',#393932,10.); #114428=VECTOR('',#393947,0.139999999999998); #114429=VECTOR('',#393952,10.); #114430=VECTOR('',#393953,10.); #114431=VECTOR('',#393954,10.); #114432=VECTOR('',#393955,10.); #114433=VECTOR('',#393958,10.); #114434=VECTOR('',#393959,10.); #114435=VECTOR('',#393960,10.); #114436=VECTOR('',#393963,10.); #114437=VECTOR('',#393964,10.); #114438=VECTOR('',#393965,10.); #114439=VECTOR('',#393968,10.); #114440=VECTOR('',#393969,10.); #114441=VECTOR('',#393970,10.); #114442=VECTOR('',#393973,10.); #114443=VECTOR('',#393974,10.); #114444=VECTOR('',#393975,10.); #114445=VECTOR('',#393982,10.); #114446=VECTOR('',#393985,10.); #114447=VECTOR('',#393986,10.); #114448=VECTOR('',#393987,10.); #114449=VECTOR('',#393994,10.); #114450=VECTOR('',#394001,10.); #114451=VECTOR('',#394008,10.); #114452=VECTOR('',#394011,10.); #114453=VECTOR('',#394012,10.); #114454=VECTOR('',#394013,10.); #114455=VECTOR('',#394016,10.); #114456=VECTOR('',#394017,10.); #114457=VECTOR('',#394018,10.); #114458=VECTOR('',#394021,10.); #114459=VECTOR('',#394022,10.); #114460=VECTOR('',#394031,0.139999999999998); #114461=VECTOR('',#394038,0.140000000000002); #114462=VECTOR('',#394043,10.); #114463=VECTOR('',#394044,10.); #114464=VECTOR('',#394045,10.); #114465=VECTOR('',#394046,10.); #114466=VECTOR('',#394053,10.); #114467=VECTOR('',#394060,10.); #114468=VECTOR('',#394063,10.); #114469=VECTOR('',#394064,10.); #114470=VECTOR('',#394065,10.); #114471=VECTOR('',#394068,10.); #114472=VECTOR('',#394069,10.); #114473=VECTOR('',#394070,10.); #114474=VECTOR('',#394073,10.); #114475=VECTOR('',#394074,10.); #114476=VECTOR('',#394075,10.); #114477=VECTOR('',#394078,10.); #114478=VECTOR('',#394079,10.); #114479=VECTOR('',#394080,10.); #114480=VECTOR('',#394083,10.); #114481=VECTOR('',#394084,10.); #114482=VECTOR('',#394085,10.); #114483=VECTOR('',#394092,10.); #114484=VECTOR('',#394095,10.); #114485=VECTOR('',#394096,10.); #114486=VECTOR('',#394097,10.); #114487=VECTOR('',#394100,10.); #114488=VECTOR('',#394101,10.); #114489=VECTOR('',#394102,10.); #114490=VECTOR('',#394109,10.); #114491=VECTOR('',#394112,10.); #114492=VECTOR('',#394113,10.); #114493=VECTOR('',#394114,10.); #114494=VECTOR('',#394121,10.); #114495=VECTOR('',#394124,10.); #114496=VECTOR('',#394125,10.); #114497=VECTOR('',#394126,10.); #114498=VECTOR('',#394129,10.); #114499=VECTOR('',#394130,10.); #114500=VECTOR('',#394131,10.); #114501=VECTOR('',#394134,10.); #114502=VECTOR('',#394135,10.); #114503=VECTOR('',#394136,10.); #114504=VECTOR('',#394143,10.); #114505=VECTOR('',#394146,10.); #114506=VECTOR('',#394147,10.); #114507=VECTOR('',#394148,10.); #114508=VECTOR('',#394155,10.); #114509=VECTOR('',#394158,10.); #114510=VECTOR('',#394159,10.); #114511=VECTOR('',#394160,10.); #114512=VECTOR('',#394167,10.); #114513=VECTOR('',#394174,10.); #114514=VECTOR('',#394177,10.); #114515=VECTOR('',#394178,10.); #114516=VECTOR('',#394179,10.); #114517=VECTOR('',#394182,10.); #114518=VECTOR('',#394183,10.); #114519=VECTOR('',#394184,10.); #114520=VECTOR('',#394187,10.); #114521=VECTOR('',#394188,10.); #114522=VECTOR('',#394189,10.); #114523=VECTOR('',#394196,10.); #114524=VECTOR('',#394199,10.); #114525=VECTOR('',#394200,10.); #114526=VECTOR('',#394201,10.); #114527=VECTOR('',#394208,10.); #114528=VECTOR('',#394211,10.); #114529=VECTOR('',#394212,10.); #114530=VECTOR('',#394213,10.); #114531=VECTOR('',#394216,10.); #114532=VECTOR('',#394217,10.); #114533=VECTOR('',#394218,10.); #114534=VECTOR('',#394221,10.); #114535=VECTOR('',#394222,10.); #114536=VECTOR('',#394223,10.); #114537=VECTOR('',#394230,10.); #114538=VECTOR('',#394233,10.); #114539=VECTOR('',#394234,10.); #114540=VECTOR('',#394235,10.); #114541=VECTOR('',#394238,10.); #114542=VECTOR('',#394239,10.); #114543=VECTOR('',#394240,10.); #114544=VECTOR('',#394247,10.); #114545=VECTOR('',#394250,10.); #114546=VECTOR('',#394251,10.); #114547=VECTOR('',#394252,10.); #114548=VECTOR('',#394259,10.); #114549=VECTOR('',#394262,10.); #114550=VECTOR('',#394263,10.); #114551=VECTOR('',#394264,10.); #114552=VECTOR('',#394271,10.); #114553=VECTOR('',#394274,10.); #114554=VECTOR('',#394275,10.); #114555=VECTOR('',#394276,10.); #114556=VECTOR('',#394283,10.); #114557=VECTOR('',#394286,10.); #114558=VECTOR('',#394287,10.); #114559=VECTOR('',#394288,10.); #114560=VECTOR('',#394303,0.140000000000002); #114561=VECTOR('',#394310,0.139999999999998); #114562=VECTOR('',#394315,10.); #114563=VECTOR('',#394316,10.); #114564=VECTOR('',#394317,10.); #114565=VECTOR('',#394318,10.); #114566=VECTOR('',#394325,10.); #114567=VECTOR('',#394332,10.); #114568=VECTOR('',#394335,10.); #114569=VECTOR('',#394336,10.); #114570=VECTOR('',#394337,10.); #114571=VECTOR('',#394340,10.); #114572=VECTOR('',#394341,10.); #114573=VECTOR('',#394342,10.); #114574=VECTOR('',#394349,10.); #114575=VECTOR('',#394356,10.); #114576=VECTOR('',#394359,10.); #114577=VECTOR('',#394360,10.); #114578=VECTOR('',#394361,10.); #114579=VECTOR('',#394368,10.); #114580=VECTOR('',#394371,10.); #114581=VECTOR('',#394372,10.); #114582=VECTOR('',#394373,10.); #114583=VECTOR('',#394388,0.139999999999998); #114584=VECTOR('',#394393,10.); #114585=VECTOR('',#394394,10.); #114586=VECTOR('',#394395,10.); #114587=VECTOR('',#394396,10.); #114588=VECTOR('',#394399,10.); #114589=VECTOR('',#394400,10.); #114590=VECTOR('',#394401,10.); #114591=VECTOR('',#394404,10.); #114592=VECTOR('',#394405,10.); #114593=VECTOR('',#394406,10.); #114594=VECTOR('',#394409,10.); #114595=VECTOR('',#394410,10.); #114596=VECTOR('',#394411,10.); #114597=VECTOR('',#394414,10.); #114598=VECTOR('',#394415,10.); #114599=VECTOR('',#394416,10.); #114600=VECTOR('',#394423,10.); #114601=VECTOR('',#394426,10.); #114602=VECTOR('',#394427,10.); #114603=VECTOR('',#394428,10.); #114604=VECTOR('',#394435,10.); #114605=VECTOR('',#394442,10.); #114606=VECTOR('',#394449,10.); #114607=VECTOR('',#394452,10.); #114608=VECTOR('',#394453,10.); #114609=VECTOR('',#394454,10.); #114610=VECTOR('',#394461,10.); #114611=VECTOR('',#394464,10.); #114612=VECTOR('',#394465,10.); #114613=VECTOR('',#394466,10.); #114614=VECTOR('',#394469,10.); #114615=VECTOR('',#394470,10.); #114616=VECTOR('',#394471,10.); #114617=VECTOR('',#394474,10.); #114618=VECTOR('',#394475,10.); #114619=VECTOR('',#394476,10.); #114620=VECTOR('',#394479,10.); #114621=VECTOR('',#394480,10.); #114622=VECTOR('',#394481,10.); #114623=VECTOR('',#394484,10.); #114624=VECTOR('',#394485,10.); #114625=VECTOR('',#394486,10.); #114626=VECTOR('',#394489,10.); #114627=VECTOR('',#394490,10.); #114628=VECTOR('',#394491,10.); #114629=VECTOR('',#394494,10.); #114630=VECTOR('',#394495,10.); #114631=VECTOR('',#394496,10.); #114632=VECTOR('',#394499,10.); #114633=VECTOR('',#394500,10.); #114634=VECTOR('',#394501,10.); #114635=VECTOR('',#394508,10.); #114636=VECTOR('',#394511,10.); #114637=VECTOR('',#394512,10.); #114638=VECTOR('',#394513,10.); #114639=VECTOR('',#394516,10.); #114640=VECTOR('',#394517,10.); #114641=VECTOR('',#394518,10.); #114642=VECTOR('',#394521,10.); #114643=VECTOR('',#394522,10.); #114644=VECTOR('',#394531,0.139999999999998); #114645=VECTOR('',#394536,10.); #114646=VECTOR('',#394537,10.); #114647=VECTOR('',#394538,10.); #114648=VECTOR('',#394539,10.); #114649=VECTOR('',#394546,10.); #114650=VECTOR('',#394553,10.); #114651=VECTOR('',#394556,10.); #114652=VECTOR('',#394557,10.); #114653=VECTOR('',#394558,10.); #114654=VECTOR('',#394565,10.); #114655=VECTOR('',#394568,10.); #114656=VECTOR('',#394569,10.); #114657=VECTOR('',#394570,10.); #114658=VECTOR('',#394573,10.); #114659=VECTOR('',#394574,10.); #114660=VECTOR('',#394575,10.); #114661=VECTOR('',#394578,10.); #114662=VECTOR('',#394579,10.); #114663=VECTOR('',#394580,10.); #114664=VECTOR('',#394583,10.); #114665=VECTOR('',#394584,10.); #114666=VECTOR('',#394585,10.); #114667=VECTOR('',#394588,10.); #114668=VECTOR('',#394589,10.); #114669=VECTOR('',#394590,10.); #114670=VECTOR('',#394593,10.); #114671=VECTOR('',#394594,10.); #114672=VECTOR('',#394595,10.); #114673=VECTOR('',#394598,10.); #114674=VECTOR('',#394599,10.); #114675=VECTOR('',#394600,10.); #114676=VECTOR('',#394603,10.); #114677=VECTOR('',#394604,10.); #114678=VECTOR('',#394605,10.); #114679=VECTOR('',#394612,10.); #114680=VECTOR('',#394615,10.); #114681=VECTOR('',#394616,10.); #114682=VECTOR('',#394617,10.); #114683=VECTOR('',#394620,10.); #114684=VECTOR('',#394621,10.); #114685=VECTOR('',#394622,10.); #114686=VECTOR('',#394625,10.); #114687=VECTOR('',#394626,10.); #114688=VECTOR('',#394627,10.); #114689=VECTOR('',#394630,10.); #114690=VECTOR('',#394631,10.); #114691=VECTOR('',#394632,10.); #114692=VECTOR('',#394635,10.); #114693=VECTOR('',#394636,10.); #114694=VECTOR('',#394637,10.); #114695=VECTOR('',#394640,10.); #114696=VECTOR('',#394641,10.); #114697=VECTOR('',#394642,10.); #114698=VECTOR('',#394645,10.); #114699=VECTOR('',#394646,10.); #114700=VECTOR('',#394647,10.); #114701=VECTOR('',#394650,10.); #114702=VECTOR('',#394651,10.); #114703=VECTOR('',#394652,10.); #114704=VECTOR('',#394659,10.); #114705=VECTOR('',#394662,10.); #114706=VECTOR('',#394663,10.); #114707=VECTOR('',#394664,10.); #114708=VECTOR('',#394671,10.); #114709=VECTOR('',#394686,0.139999999999998); #114710=VECTOR('',#394693,0.139999999999998); #114711=VECTOR('',#394698,10.); #114712=VECTOR('',#394699,10.); #114713=VECTOR('',#394700,10.); #114714=VECTOR('',#394701,10.); #114715=VECTOR('',#394704,10.); #114716=VECTOR('',#394705,10.); #114717=VECTOR('',#394706,10.); #114718=VECTOR('',#394713,10.); #114719=VECTOR('',#394716,10.); #114720=VECTOR('',#394717,10.); #114721=VECTOR('',#394718,10.); #114722=VECTOR('',#394721,10.); #114723=VECTOR('',#394722,10.); #114724=VECTOR('',#394723,10.); #114725=VECTOR('',#394730,10.); #114726=VECTOR('',#394737,10.); #114727=VECTOR('',#394740,10.); #114728=VECTOR('',#394741,10.); #114729=VECTOR('',#394742,10.); #114730=VECTOR('',#394749,10.); #114731=VECTOR('',#394752,10.); #114732=VECTOR('',#394753,10.); #114733=VECTOR('',#394754,10.); #114734=VECTOR('',#394757,10.); #114735=VECTOR('',#394758,10.); #114736=VECTOR('',#394759,10.); #114737=VECTOR('',#394762,10.); #114738=VECTOR('',#394763,10.); #114739=VECTOR('',#394764,10.); #114740=VECTOR('',#394771,10.); #114741=VECTOR('',#394774,10.); #114742=VECTOR('',#394775,10.); #114743=VECTOR('',#394776,10.); #114744=VECTOR('',#394779,10.); #114745=VECTOR('',#394780,10.); #114746=VECTOR('',#394781,10.); #114747=VECTOR('',#394784,10.); #114748=VECTOR('',#394785,10.); #114749=VECTOR('',#394786,10.); #114750=VECTOR('',#394789,10.); #114751=VECTOR('',#394790,10.); #114752=VECTOR('',#394791,10.); #114753=VECTOR('',#394794,10.); #114754=VECTOR('',#394795,10.); #114755=VECTOR('',#394796,10.); #114756=VECTOR('',#394803,10.); #114757=VECTOR('',#394806,10.); #114758=VECTOR('',#394807,10.); #114759=VECTOR('',#394808,10.); #114760=VECTOR('',#394811,10.); #114761=VECTOR('',#394812,10.); #114762=VECTOR('',#394813,10.); #114763=VECTOR('',#394820,10.); #114764=VECTOR('',#394823,10.); #114765=VECTOR('',#394824,10.); #114766=VECTOR('',#394825,10.); #114767=VECTOR('',#394832,10.); #114768=VECTOR('',#394835,10.); #114769=VECTOR('',#394836,10.); #114770=VECTOR('',#394837,10.); #114771=VECTOR('',#394840,10.); #114772=VECTOR('',#394841,10.); #114773=VECTOR('',#394842,10.); #114774=VECTOR('',#394845,10.); #114775=VECTOR('',#394846,10.); #114776=VECTOR('',#394847,10.); #114777=VECTOR('',#394854,10.); #114778=VECTOR('',#394857,10.); #114779=VECTOR('',#394858,10.); #114780=VECTOR('',#394859,10.); #114781=VECTOR('',#394866,10.); #114782=VECTOR('',#394869,10.); #114783=VECTOR('',#394870,10.); #114784=VECTOR('',#394871,10.); #114785=VECTOR('',#394878,10.); #114786=VECTOR('',#394881,10.); #114787=VECTOR('',#394882,10.); #114788=VECTOR('',#394883,10.); #114789=VECTOR('',#394886,10.); #114790=VECTOR('',#394887,10.); #114791=VECTOR('',#394888,10.); #114792=VECTOR('',#394895,10.); #114793=VECTOR('',#394902,10.); #114794=VECTOR('',#394905,10.); #114795=VECTOR('',#394906,10.); #114796=VECTOR('',#394907,10.); #114797=VECTOR('',#394914,10.); #114798=VECTOR('',#394917,10.); #114799=VECTOR('',#394918,10.); #114800=VECTOR('',#394919,10.); #114801=VECTOR('',#394922,10.); #114802=VECTOR('',#394923,10.); #114803=VECTOR('',#394924,10.); #114804=VECTOR('',#394927,10.); #114805=VECTOR('',#394928,10.); #114806=VECTOR('',#394929,10.); #114807=VECTOR('',#394932,10.); #114808=VECTOR('',#394933,10.); #114809=VECTOR('',#394934,10.); #114810=VECTOR('',#394941,10.); #114811=VECTOR('',#394944,10.); #114812=VECTOR('',#394945,10.); #114813=VECTOR('',#394946,10.); #114814=VECTOR('',#394953,10.); #114815=VECTOR('',#394956,10.); #114816=VECTOR('',#394957,10.); #114817=VECTOR('',#394958,10.); #114818=VECTOR('',#394961,10.); #114819=VECTOR('',#394962,10.); #114820=VECTOR('',#394963,10.); #114821=VECTOR('',#394966,10.); #114822=VECTOR('',#394967,10.); #114823=VECTOR('',#394968,10.); #114824=VECTOR('',#394975,10.); #114825=VECTOR('',#394978,10.); #114826=VECTOR('',#394979,10.); #114827=VECTOR('',#394980,10.); #114828=VECTOR('',#394983,10.); #114829=VECTOR('',#394984,10.); #114830=VECTOR('',#394985,10.); #114831=VECTOR('',#394992,10.); #114832=VECTOR('',#394995,10.); #114833=VECTOR('',#394996,10.); #114834=VECTOR('',#394997,10.); #114835=VECTOR('',#395004,10.); #114836=VECTOR('',#395007,10.); #114837=VECTOR('',#395008,10.); #114838=VECTOR('',#395009,10.); #114839=VECTOR('',#395016,10.); #114840=VECTOR('',#395019,10.); #114841=VECTOR('',#395020,10.); #114842=VECTOR('',#395021,10.); #114843=VECTOR('',#395028,10.); #114844=VECTOR('',#395031,10.); #114845=VECTOR('',#395032,10.); #114846=VECTOR('',#395033,10.); #114847=VECTOR('',#395036,10.); #114848=VECTOR('',#395037,10.); #114849=VECTOR('',#395038,10.); #114850=VECTOR('',#395053,0.139999999999998); #114851=VECTOR('',#395060,0.139999999999998); #114852=VECTOR('',#395065,10.); #114853=VECTOR('',#395066,10.); #114854=VECTOR('',#395067,10.); #114855=VECTOR('',#395068,10.); #114856=VECTOR('',#395075,10.); #114857=VECTOR('',#395082,10.); #114858=VECTOR('',#395085,10.); #114859=VECTOR('',#395086,10.); #114860=VECTOR('',#395087,10.); #114861=VECTOR('',#395094,10.); #114862=VECTOR('',#395101,10.); #114863=VECTOR('',#395104,10.); #114864=VECTOR('',#395105,10.); #114865=VECTOR('',#395106,10.); #114866=VECTOR('',#395121,0.139999999999998); #114867=VECTOR('',#395128,0.139999999999998); #114868=VECTOR('',#395133,10.); #114869=VECTOR('',#395134,10.); #114870=VECTOR('',#395135,10.); #114871=VECTOR('',#395136,10.); #114872=VECTOR('',#395143,10.); #114873=VECTOR('',#395150,10.); #114874=VECTOR('',#395153,10.); #114875=VECTOR('',#395154,10.); #114876=VECTOR('',#395155,10.); #114877=VECTOR('',#395162,10.); #114878=VECTOR('',#395169,10.); #114879=VECTOR('',#395172,10.); #114880=VECTOR('',#395173,10.); #114881=VECTOR('',#395174,10.); #114882=VECTOR('',#395189,0.139999999999998); #114883=VECTOR('',#395196,0.139999999999998); #114884=VECTOR('',#395201,10.); #114885=VECTOR('',#395202,10.); #114886=VECTOR('',#395203,10.); #114887=VECTOR('',#395204,10.); #114888=VECTOR('',#395211,10.); #114889=VECTOR('',#395218,10.); #114890=VECTOR('',#395221,10.); #114891=VECTOR('',#395222,10.); #114892=VECTOR('',#395223,10.); #114893=VECTOR('',#395230,10.); #114894=VECTOR('',#395237,10.); #114895=VECTOR('',#395240,10.); #114896=VECTOR('',#395241,10.); #114897=VECTOR('',#395242,10.); #114898=VECTOR('',#395257,0.139999999999998); #114899=VECTOR('',#395264,0.140000000000002); #114900=VECTOR('',#395269,10.); #114901=VECTOR('',#395270,10.); #114902=VECTOR('',#395271,10.); #114903=VECTOR('',#395272,10.); #114904=VECTOR('',#395279,10.); #114905=VECTOR('',#395282,10.); #114906=VECTOR('',#395283,10.); #114907=VECTOR('',#395284,10.); #114908=VECTOR('',#395287,10.); #114909=VECTOR('',#395288,10.); #114910=VECTOR('',#395289,10.); #114911=VECTOR('',#395292,10.); #114912=VECTOR('',#395293,10.); #114913=VECTOR('',#395294,10.); #114914=VECTOR('',#395301,10.); #114915=VECTOR('',#395308,10.); #114916=VECTOR('',#395311,10.); #114917=VECTOR('',#395312,10.); #114918=VECTOR('',#395313,10.); #114919=VECTOR('',#395320,10.); #114920=VECTOR('',#395323,10.); #114921=VECTOR('',#395324,10.); #114922=VECTOR('',#395325,10.); #114923=VECTOR('',#395332,10.); #114924=VECTOR('',#395335,10.); #114925=VECTOR('',#395336,10.); #114926=VECTOR('',#395337,10.); #114927=VECTOR('',#395352,0.139999999999998); #114928=VECTOR('',#395357,10.); #114929=VECTOR('',#395358,10.); #114930=VECTOR('',#395359,10.); #114931=VECTOR('',#395360,10.); #114932=VECTOR('',#395363,10.); #114933=VECTOR('',#395364,10.); #114934=VECTOR('',#395365,10.); #114935=VECTOR('',#395368,10.); #114936=VECTOR('',#395369,10.); #114937=VECTOR('',#395370,10.); #114938=VECTOR('',#395373,10.); #114939=VECTOR('',#395374,10.); #114940=VECTOR('',#395383,0.139999999999998); #114941=VECTOR('',#395388,10.); #114942=VECTOR('',#395389,10.); #114943=VECTOR('',#395390,10.); #114944=VECTOR('',#395391,10.); #114945=VECTOR('',#395394,10.); #114946=VECTOR('',#395395,10.); #114947=VECTOR('',#395396,10.); #114948=VECTOR('',#395399,10.); #114949=VECTOR('',#395400,10.); #114950=VECTOR('',#395401,10.); #114951=VECTOR('',#395404,10.); #114952=VECTOR('',#395405,10.); #114953=VECTOR('',#395414,0.140000000000002); #114954=VECTOR('',#395419,10.); #114955=VECTOR('',#395420,10.); #114956=VECTOR('',#395421,10.); #114957=VECTOR('',#395422,10.); #114958=VECTOR('',#395425,10.); #114959=VECTOR('',#395426,10.); #114960=VECTOR('',#395427,10.); #114961=VECTOR('',#395430,10.); #114962=VECTOR('',#395431,10.); #114963=VECTOR('',#395432,10.); #114964=VECTOR('',#395435,10.); #114965=VECTOR('',#395436,10.); #114966=VECTOR('',#395445,0.139999999999998); #114967=VECTOR('',#395450,10.); #114968=VECTOR('',#395451,10.); #114969=VECTOR('',#395452,10.); #114970=VECTOR('',#395453,10.); #114971=VECTOR('',#395460,10.); #114972=VECTOR('',#395463,10.); #114973=VECTOR('',#395464,10.); #114974=VECTOR('',#395465,10.); #114975=VECTOR('',#395468,10.); #114976=VECTOR('',#395469,10.); #114977=VECTOR('',#395470,10.); #114978=VECTOR('',#395473,10.); #114979=VECTOR('',#395474,10.); #114980=VECTOR('',#395475,10.); #114981=VECTOR('',#395478,10.); #114982=VECTOR('',#395479,10.); #114983=VECTOR('',#395480,10.); #114984=VECTOR('',#395483,10.); #114985=VECTOR('',#395484,10.); #114986=VECTOR('',#395485,10.); #114987=VECTOR('',#395488,10.); #114988=VECTOR('',#395489,10.); #114989=VECTOR('',#395490,10.); #114990=VECTOR('',#395493,10.); #114991=VECTOR('',#395494,10.); #114992=VECTOR('',#395495,10.); #114993=VECTOR('',#395510,0.139999999999998); #114994=VECTOR('',#395517,0.139999999999998); #114995=VECTOR('',#395522,10.); #114996=VECTOR('',#395523,10.); #114997=VECTOR('',#395524,10.); #114998=VECTOR('',#395525,10.); #114999=VECTOR('',#395528,10.); #115000=VECTOR('',#395529,10.); #115001=VECTOR('',#395530,10.); #115002=VECTOR('',#395537,10.); #115003=VECTOR('',#395540,10.); #115004=VECTOR('',#395541,10.); #115005=VECTOR('',#395542,10.); #115006=VECTOR('',#395545,10.); #115007=VECTOR('',#395546,10.); #115008=VECTOR('',#395547,10.); #115009=VECTOR('',#395554,10.); #115010=VECTOR('',#395557,10.); #115011=VECTOR('',#395558,10.); #115012=VECTOR('',#395559,10.); #115013=VECTOR('',#395562,10.); #115014=VECTOR('',#395563,10.); #115015=VECTOR('',#395564,10.); #115016=VECTOR('',#395571,10.); #115017=VECTOR('',#395578,10.); #115018=VECTOR('',#395581,10.); #115019=VECTOR('',#395582,10.); #115020=VECTOR('',#395583,10.); #115021=VECTOR('',#395590,10.); #115022=VECTOR('',#395593,10.); #115023=VECTOR('',#395594,10.); #115024=VECTOR('',#395595,10.); #115025=VECTOR('',#395598,10.); #115026=VECTOR('',#395599,10.); #115027=VECTOR('',#395600,10.); #115028=VECTOR('',#395607,10.); #115029=VECTOR('',#395610,10.); #115030=VECTOR('',#395611,10.); #115031=VECTOR('',#395612,10.); #115032=VECTOR('',#395615,10.); #115033=VECTOR('',#395616,10.); #115034=VECTOR('',#395617,10.); #115035=VECTOR('',#395620,10.); #115036=VECTOR('',#395621,10.); #115037=VECTOR('',#395622,10.); #115038=VECTOR('',#395625,10.); #115039=VECTOR('',#395626,10.); #115040=VECTOR('',#395627,10.); #115041=VECTOR('',#395630,10.); #115042=VECTOR('',#395631,10.); #115043=VECTOR('',#395632,10.); #115044=VECTOR('',#395635,10.); #115045=VECTOR('',#395636,10.); #115046=VECTOR('',#395637,10.); #115047=VECTOR('',#395644,10.); #115048=VECTOR('',#395647,10.); #115049=VECTOR('',#395648,10.); #115050=VECTOR('',#395649,10.); #115051=VECTOR('',#395652,10.); #115052=VECTOR('',#395653,10.); #115053=VECTOR('',#395654,10.); #115054=VECTOR('',#395661,10.); #115055=VECTOR('',#395664,10.); #115056=VECTOR('',#395665,10.); #115057=VECTOR('',#395666,10.); #115058=VECTOR('',#395673,10.); #115059=VECTOR('',#395676,10.); #115060=VECTOR('',#395677,10.); #115061=VECTOR('',#395678,10.); #115062=VECTOR('',#395681,10.); #115063=VECTOR('',#395682,10.); #115064=VECTOR('',#395683,10.); #115065=VECTOR('',#395686,10.); #115066=VECTOR('',#395687,10.); #115067=VECTOR('',#395688,10.); #115068=VECTOR('',#395695,10.); #115069=VECTOR('',#395698,10.); #115070=VECTOR('',#395699,10.); #115071=VECTOR('',#395700,10.); #115072=VECTOR('',#395707,10.); #115073=VECTOR('',#395710,10.); #115074=VECTOR('',#395711,10.); #115075=VECTOR('',#395712,10.); #115076=VECTOR('',#395715,10.); #115077=VECTOR('',#395716,10.); #115078=VECTOR('',#395717,10.); #115079=VECTOR('',#395724,10.); #115080=VECTOR('',#395727,10.); #115081=VECTOR('',#395728,10.); #115082=VECTOR('',#395729,10.); #115083=VECTOR('',#395736,10.); #115084=VECTOR('',#395743,10.); #115085=VECTOR('',#395746,10.); #115086=VECTOR('',#395747,10.); #115087=VECTOR('',#395748,10.); #115088=VECTOR('',#395751,10.); #115089=VECTOR('',#395752,10.); #115090=VECTOR('',#395753,10.); #115091=VECTOR('',#395760,10.); #115092=VECTOR('',#395763,10.); #115093=VECTOR('',#395764,10.); #115094=VECTOR('',#395765,10.); #115095=VECTOR('',#395768,10.); #115096=VECTOR('',#395769,10.); #115097=VECTOR('',#395770,10.); #115098=VECTOR('',#395773,10.); #115099=VECTOR('',#395774,10.); #115100=VECTOR('',#395775,10.); #115101=VECTOR('',#395782,10.); #115102=VECTOR('',#395785,10.); #115103=VECTOR('',#395786,10.); #115104=VECTOR('',#395787,10.); #115105=VECTOR('',#395794,10.); #115106=VECTOR('',#395797,10.); #115107=VECTOR('',#395798,10.); #115108=VECTOR('',#395799,10.); #115109=VECTOR('',#395802,10.); #115110=VECTOR('',#395803,10.); #115111=VECTOR('',#395804,10.); #115112=VECTOR('',#395807,10.); #115113=VECTOR('',#395808,10.); #115114=VECTOR('',#395809,10.); #115115=VECTOR('',#395816,10.); #115116=VECTOR('',#395819,10.); #115117=VECTOR('',#395820,10.); #115118=VECTOR('',#395821,10.); #115119=VECTOR('',#395824,10.); #115120=VECTOR('',#395825,10.); #115121=VECTOR('',#395826,10.); #115122=VECTOR('',#395833,10.); #115123=VECTOR('',#395836,10.); #115124=VECTOR('',#395837,10.); #115125=VECTOR('',#395838,10.); #115126=VECTOR('',#395845,10.); #115127=VECTOR('',#395848,10.); #115128=VECTOR('',#395849,10.); #115129=VECTOR('',#395850,10.); #115130=VECTOR('',#395857,10.); #115131=VECTOR('',#395860,10.); #115132=VECTOR('',#395861,10.); #115133=VECTOR('',#395862,10.); #115134=VECTOR('',#395877,0.140000000000002); #115135=VECTOR('',#395884,0.140000000000002); #115136=VECTOR('',#395889,10.); #115137=VECTOR('',#395890,10.); #115138=VECTOR('',#395891,10.); #115139=VECTOR('',#395892,10.); #115140=VECTOR('',#395899,10.); #115141=VECTOR('',#395902,10.); #115142=VECTOR('',#395903,10.); #115143=VECTOR('',#395904,10.); #115144=VECTOR('',#395911,10.); #115145=VECTOR('',#395918,10.); #115146=VECTOR('',#395921,10.); #115147=VECTOR('',#395922,10.); #115148=VECTOR('',#395923,10.); #115149=VECTOR('',#395938,0.139999999999998); #115150=VECTOR('',#395943,10.); #115151=VECTOR('',#395944,10.); #115152=VECTOR('',#395945,10.); #115153=VECTOR('',#395946,10.); #115154=VECTOR('',#395953,10.); #115155=VECTOR('',#395956,10.); #115156=VECTOR('',#395957,10.); #115157=VECTOR('',#395958,10.); #115158=VECTOR('',#395961,10.); #115159=VECTOR('',#395962,10.); #115160=VECTOR('',#395963,10.); #115161=VECTOR('',#395966,10.); #115162=VECTOR('',#395967,10.); #115163=VECTOR('',#395968,10.); #115164=VECTOR('',#395971,10.); #115165=VECTOR('',#395972,10.); #115166=VECTOR('',#395973,10.); #115167=VECTOR('',#395976,10.); #115168=VECTOR('',#395977,10.); #115169=VECTOR('',#395978,10.); #115170=VECTOR('',#395981,10.); #115171=VECTOR('',#395982,10.); #115172=VECTOR('',#395983,10.); #115173=VECTOR('',#395986,10.); #115174=VECTOR('',#395987,10.); #115175=VECTOR('',#395988,10.); #115176=VECTOR('',#396003,0.139999999999998); #115177=VECTOR('',#396008,10.); #115178=VECTOR('',#396009,10.); #115179=VECTOR('',#396010,10.); #115180=VECTOR('',#396011,10.); #115181=VECTOR('',#396018,10.); #115182=VECTOR('',#396021,10.); #115183=VECTOR('',#396022,10.); #115184=VECTOR('',#396023,10.); #115185=VECTOR('',#396026,10.); #115186=VECTOR('',#396027,10.); #115187=VECTOR('',#396028,10.); #115188=VECTOR('',#396031,10.); #115189=VECTOR('',#396032,10.); #115190=VECTOR('',#396033,10.); #115191=VECTOR('',#396036,10.); #115192=VECTOR('',#396037,10.); #115193=VECTOR('',#396038,10.); #115194=VECTOR('',#396041,10.); #115195=VECTOR('',#396042,10.); #115196=VECTOR('',#396043,10.); #115197=VECTOR('',#396046,10.); #115198=VECTOR('',#396047,10.); #115199=VECTOR('',#396048,10.); #115200=VECTOR('',#396051,10.); #115201=VECTOR('',#396052,10.); #115202=VECTOR('',#396053,10.); #115203=VECTOR('',#396068,0.139999999999998); #115204=VECTOR('',#396073,10.); #115205=VECTOR('',#396074,10.); #115206=VECTOR('',#396075,10.); #115207=VECTOR('',#396076,10.); #115208=VECTOR('',#396083,10.); #115209=VECTOR('',#396086,10.); #115210=VECTOR('',#396087,10.); #115211=VECTOR('',#396088,10.); #115212=VECTOR('',#396095,10.); #115213=VECTOR('',#396098,10.); #115214=VECTOR('',#396099,10.); #115215=VECTOR('',#396100,10.); #115216=VECTOR('',#396107,10.); #115217=VECTOR('',#396110,10.); #115218=VECTOR('',#396111,10.); #115219=VECTOR('',#396112,10.); #115220=VECTOR('',#396115,10.); #115221=VECTOR('',#396116,10.); #115222=VECTOR('',#396117,10.); #115223=VECTOR('',#396120,10.); #115224=VECTOR('',#396121,10.); #115225=VECTOR('',#396122,10.); #115226=VECTOR('',#396125,10.); #115227=VECTOR('',#396126,10.); #115228=VECTOR('',#396127,10.); #115229=VECTOR('',#396130,10.); #115230=VECTOR('',#396131,10.); #115231=VECTOR('',#396132,10.); #115232=VECTOR('',#396135,10.); #115233=VECTOR('',#396136,10.); #115234=VECTOR('',#396137,10.); #115235=VECTOR('',#396140,10.); #115236=VECTOR('',#396141,10.); #115237=VECTOR('',#396142,10.); #115238=VECTOR('',#396145,10.); #115239=VECTOR('',#396146,10.); #115240=VECTOR('',#396147,10.); #115241=VECTOR('',#396150,10.); #115242=VECTOR('',#396151,10.); #115243=VECTOR('',#396152,10.); #115244=VECTOR('',#396159,10.); #115245=VECTOR('',#396174,0.465); #115246=VECTOR('',#396179,10.); #115247=VECTOR('',#396180,10.); #115248=VECTOR('',#396181,10.); #115249=VECTOR('',#396182,10.); #115250=VECTOR('',#396185,10.); #115251=VECTOR('',#396186,10.); #115252=VECTOR('',#396187,10.); #115253=VECTOR('',#396190,10.); #115254=VECTOR('',#396191,10.); #115255=VECTOR('',#396192,10.); #115256=VECTOR('',#396195,10.); #115257=VECTOR('',#396196,10.); #115258=VECTOR('',#396197,10.); #115259=VECTOR('',#396200,10.); #115260=VECTOR('',#396201,10.); #115261=VECTOR('',#396202,10.); #115262=VECTOR('',#396205,10.); #115263=VECTOR('',#396206,10.); #115264=VECTOR('',#396207,10.); #115265=VECTOR('',#396210,10.); #115266=VECTOR('',#396211,10.); #115267=VECTOR('',#396212,10.); #115268=VECTOR('',#396215,10.); #115269=VECTOR('',#396216,10.); #115270=VECTOR('',#396217,10.); #115271=VECTOR('',#396224,10.); #115272=VECTOR('',#396231,10.); #115273=VECTOR('',#396234,10.); #115274=VECTOR('',#396235,10.); #115275=VECTOR('',#396236,10.); #115276=VECTOR('',#396243,10.); #115277=VECTOR('',#396246,10.); #115278=VECTOR('',#396247,10.); #115279=VECTOR('',#396248,10.); #115280=VECTOR('',#396255,10.); #115281=VECTOR('',#396258,10.); #115282=VECTOR('',#396259,10.); #115283=VECTOR('',#396268,0.465); #115284=VECTOR('',#396273,10.); #115285=VECTOR('',#396274,10.); #115286=VECTOR('',#396275,10.); #115287=VECTOR('',#396276,10.); #115288=VECTOR('',#396283,10.); #115289=VECTOR('',#396298,0.139999999999998); #115290=VECTOR('',#396303,10.); #115291=VECTOR('',#396304,10.); #115292=VECTOR('',#396305,10.); #115293=VECTOR('',#396306,10.); #115294=VECTOR('',#396309,10.); #115295=VECTOR('',#396310,10.); #115296=VECTOR('',#396311,10.); #115297=VECTOR('',#396314,10.); #115298=VECTOR('',#396315,10.); #115299=VECTOR('',#396316,10.); #115300=VECTOR('',#396319,10.); #115301=VECTOR('',#396320,10.); #115302=VECTOR('',#396321,10.); #115303=VECTOR('',#396324,10.); #115304=VECTOR('',#396325,10.); #115305=VECTOR('',#396326,10.); #115306=VECTOR('',#396329,10.); #115307=VECTOR('',#396330,10.); #115308=VECTOR('',#396331,10.); #115309=VECTOR('',#396334,10.); #115310=VECTOR('',#396335,10.); #115311=VECTOR('',#396336,10.); #115312=VECTOR('',#396343,10.); #115313=VECTOR('',#396346,10.); #115314=VECTOR('',#396347,10.); #115315=VECTOR('',#396348,10.); #115316=VECTOR('',#396351,10.); #115317=VECTOR('',#396352,10.); #115318=VECTOR('',#396353,10.); #115319=VECTOR('',#396360,10.); #115320=VECTOR('',#396367,10.); #115321=VECTOR('',#396370,10.); #115322=VECTOR('',#396371,10.); #115323=VECTOR('',#396372,10.); #115324=VECTOR('',#396379,10.); #115325=VECTOR('',#396382,10.); #115326=VECTOR('',#396383,10.); #115327=VECTOR('',#396384,10.); #115328=VECTOR('',#396387,10.); #115329=VECTOR('',#396388,10.); #115330=VECTOR('',#396389,10.); #115331=VECTOR('',#396396,10.); #115332=VECTOR('',#396399,10.); #115333=VECTOR('',#396400,10.); #115334=VECTOR('',#396401,10.); #115335=VECTOR('',#396408,10.); #115336=VECTOR('',#396411,10.); #115337=VECTOR('',#396412,10.); #115338=VECTOR('',#396413,10.); #115339=VECTOR('',#396416,10.); #115340=VECTOR('',#396417,10.); #115341=VECTOR('',#396426,0.465); #115342=VECTOR('',#396431,10.); #115343=VECTOR('',#396432,10.); #115344=VECTOR('',#396433,10.); #115345=VECTOR('',#396434,10.); #115346=VECTOR('',#396437,10.); #115347=VECTOR('',#396438,10.); #115348=VECTOR('',#396439,10.); #115349=VECTOR('',#396442,10.); #115350=VECTOR('',#396443,10.); #115351=VECTOR('',#396444,10.); #115352=VECTOR('',#396447,10.); #115353=VECTOR('',#396448,10.); #115354=VECTOR('',#396449,10.); #115355=VECTOR('',#396456,10.); #115356=VECTOR('',#396459,10.); #115357=VECTOR('',#396460,10.); #115358=VECTOR('',#396461,10.); #115359=VECTOR('',#396468,10.); #115360=VECTOR('',#396471,10.); #115361=VECTOR('',#396472,10.); #115362=VECTOR('',#396473,10.); #115363=VECTOR('',#396480,10.); #115364=VECTOR('',#396487,10.); #115365=VECTOR('',#396490,10.); #115366=VECTOR('',#396491,10.); #115367=VECTOR('',#396492,10.); #115368=VECTOR('',#396495,10.); #115369=VECTOR('',#396496,10.); #115370=VECTOR('',#396497,10.); #115371=VECTOR('',#396500,10.); #115372=VECTOR('',#396501,10.); #115373=VECTOR('',#396502,10.); #115374=VECTOR('',#396505,10.); #115375=VECTOR('',#396506,10.); #115376=VECTOR('',#396507,10.); #115377=VECTOR('',#396510,10.); #115378=VECTOR('',#396511,10.); #115379=VECTOR('',#396518,10.); #115380=VECTOR('',#396519,10.); #115381=VECTOR('',#396520,10.); #115382=VECTOR('',#396521,10.); #115383=VECTOR('',#396524,10.); #115384=VECTOR('',#396525,10.); #115385=VECTOR('',#396526,10.); #115386=VECTOR('',#396529,10.); #115387=VECTOR('',#396530,10.); #115388=VECTOR('',#396531,10.); #115389=VECTOR('',#396534,10.); #115390=VECTOR('',#396535,10.); #115391=VECTOR('',#396536,10.); #115392=VECTOR('',#396539,10.); #115393=VECTOR('',#396540,10.); #115394=VECTOR('',#396541,10.); #115395=VECTOR('',#396548,10.); #115396=VECTOR('',#396551,10.); #115397=VECTOR('',#396552,10.); #115398=VECTOR('',#396553,10.); #115399=VECTOR('',#396556,10.); #115400=VECTOR('',#396557,10.); #115401=VECTOR('',#396558,10.); #115402=VECTOR('',#396561,10.); #115403=VECTOR('',#396562,10.); #115404=VECTOR('',#396563,10.); #115405=VECTOR('',#396566,10.); #115406=VECTOR('',#396567,10.); #115407=VECTOR('',#396568,10.); #115408=VECTOR('',#396571,10.); #115409=VECTOR('',#396572,10.); #115410=VECTOR('',#396573,10.); #115411=VECTOR('',#396576,10.); #115412=VECTOR('',#396577,10.); #115413=VECTOR('',#396578,10.); #115414=VECTOR('',#396581,10.); #115415=VECTOR('',#396582,10.); #115416=VECTOR('',#396583,10.); #115417=VECTOR('',#396586,10.); #115418=VECTOR('',#396587,10.); #115419=VECTOR('',#396594,10.); #115420=VECTOR('',#396595,10.); #115421=VECTOR('',#396596,10.); #115422=VECTOR('',#396597,10.); #115423=VECTOR('',#396600,10.); #115424=VECTOR('',#396601,10.); #115425=VECTOR('',#396602,10.); #115426=VECTOR('',#396605,10.); #115427=VECTOR('',#396606,10.); #115428=VECTOR('',#396607,10.); #115429=VECTOR('',#396610,10.); #115430=VECTOR('',#396611,10.); #115431=VECTOR('',#396612,10.); #115432=VECTOR('',#396615,10.); #115433=VECTOR('',#396616,10.); #115434=VECTOR('',#396617,10.); #115435=VECTOR('',#396620,10.); #115436=VECTOR('',#396621,10.); #115437=VECTOR('',#396622,10.); #115438=VECTOR('',#396625,10.); #115439=VECTOR('',#396626,10.); #115440=VECTOR('',#396627,10.); #115441=VECTOR('',#396630,10.); #115442=VECTOR('',#396631,10.); #115443=VECTOR('',#396632,10.); #115444=VECTOR('',#396635,10.); #115445=VECTOR('',#396636,10.); #115446=VECTOR('',#396637,10.); #115447=VECTOR('',#396640,10.); #115448=VECTOR('',#396641,10.); #115449=VECTOR('',#396642,10.); #115450=VECTOR('',#396645,10.); #115451=VECTOR('',#396646,10.); #115452=VECTOR('',#396647,10.); #115453=VECTOR('',#396654,10.); #115454=VECTOR('',#396657,10.); #115455=VECTOR('',#396658,10.); #115456=VECTOR('',#396659,10.); #115457=VECTOR('',#396662,10.); #115458=VECTOR('',#396663,10.); #115459=VECTOR('',#396672,0.564999999999998); #115460=VECTOR('',#396677,10.); #115461=VECTOR('',#396678,10.); #115462=VECTOR('',#396679,10.); #115463=VECTOR('',#396680,10.); #115464=VECTOR('',#396683,10.); #115465=VECTOR('',#396684,10.); #115466=VECTOR('',#396685,10.); #115467=VECTOR('',#396692,10.); #115468=VECTOR('',#396695,10.); #115469=VECTOR('',#396696,10.); #115470=VECTOR('',#396697,10.); #115471=VECTOR('',#396704,10.); #115472=VECTOR('',#396707,10.); #115473=VECTOR('',#396708,10.); #115474=VECTOR('',#396709,10.); #115475=VECTOR('',#396712,10.); #115476=VECTOR('',#396713,10.); #115477=VECTOR('',#396714,10.); #115478=VECTOR('',#396721,10.); #115479=VECTOR('',#396724,10.); #115480=VECTOR('',#396725,10.); #115481=VECTOR('',#396726,10.); #115482=VECTOR('',#396729,10.); #115483=VECTOR('',#396730,10.); #115484=VECTOR('',#396731,10.); #115485=VECTOR('',#396734,10.); #115486=VECTOR('',#396735,10.); #115487=VECTOR('',#396736,10.); #115488=VECTOR('',#396739,10.); #115489=VECTOR('',#396740,10.); #115490=VECTOR('',#396741,10.); #115491=VECTOR('',#396744,10.); #115492=VECTOR('',#396745,10.); #115493=VECTOR('',#396746,10.); #115494=VECTOR('',#396749,10.); #115495=VECTOR('',#396750,10.); #115496=VECTOR('',#396751,10.); #115497=VECTOR('',#396754,10.); #115498=VECTOR('',#396755,10.); #115499=VECTOR('',#396756,10.); #115500=VECTOR('',#396759,10.); #115501=VECTOR('',#396760,10.); #115502=VECTOR('',#396761,10.); #115503=VECTOR('',#396768,10.); #115504=VECTOR('',#396771,10.); #115505=VECTOR('',#396772,10.); #115506=VECTOR('',#396773,10.); #115507=VECTOR('',#396776,10.); #115508=VECTOR('',#396777,10.); #115509=VECTOR('',#396778,10.); #115510=VECTOR('',#396781,10.); #115511=VECTOR('',#396782,10.); #115512=VECTOR('',#396783,10.); #115513=VECTOR('',#396786,10.); #115514=VECTOR('',#396787,10.); #115515=VECTOR('',#396788,10.); #115516=VECTOR('',#396795,10.); #115517=VECTOR('',#396798,10.); #115518=VECTOR('',#396799,10.); #115519=VECTOR('',#396800,10.); #115520=VECTOR('',#396803,10.); #115521=VECTOR('',#396804,10.); #115522=VECTOR('',#396805,10.); #115523=VECTOR('',#396808,10.); #115524=VECTOR('',#396809,10.); #115525=VECTOR('',#396810,10.); #115526=VECTOR('',#396813,10.); #115527=VECTOR('',#396814,10.); #115528=VECTOR('',#396815,10.); #115529=VECTOR('',#396818,10.); #115530=VECTOR('',#396819,10.); #115531=VECTOR('',#396820,10.); #115532=VECTOR('',#396823,10.); #115533=VECTOR('',#396824,10.); #115534=VECTOR('',#396825,10.); #115535=VECTOR('',#396828,10.); #115536=VECTOR('',#396829,10.); #115537=VECTOR('',#396830,10.); #115538=VECTOR('',#396833,10.); #115539=VECTOR('',#396834,10.); #115540=VECTOR('',#396835,10.); #115541=VECTOR('',#396838,10.); #115542=VECTOR('',#396839,10.); #115543=VECTOR('',#396840,10.); #115544=VECTOR('',#396843,10.); #115545=VECTOR('',#396844,10.); #115546=VECTOR('',#396845,10.); #115547=VECTOR('',#396848,10.); #115548=VECTOR('',#396849,10.); #115549=VECTOR('',#396850,10.); #115550=VECTOR('',#396853,10.); #115551=VECTOR('',#396854,10.); #115552=VECTOR('',#396855,10.); #115553=VECTOR('',#396858,10.); #115554=VECTOR('',#396859,10.); #115555=VECTOR('',#396860,10.); #115556=VECTOR('',#396863,10.); #115557=VECTOR('',#396864,10.); #115558=VECTOR('',#396865,10.); #115559=VECTOR('',#396868,10.); #115560=VECTOR('',#396869,10.); #115561=VECTOR('',#396870,10.); #115562=VECTOR('',#396873,10.); #115563=VECTOR('',#396874,10.); #115564=VECTOR('',#396875,10.); #115565=VECTOR('',#396890,0.139999999999998); #115566=VECTOR('',#396897,0.139999999999998); #115567=VECTOR('',#396902,10.); #115568=VECTOR('',#396903,10.); #115569=VECTOR('',#396904,10.); #115570=VECTOR('',#396905,10.); #115571=VECTOR('',#396912,10.); #115572=VECTOR('',#396915,10.); #115573=VECTOR('',#396916,10.); #115574=VECTOR('',#396917,10.); #115575=VECTOR('',#396924,10.); #115576=VECTOR('',#396931,10.); #115577=VECTOR('',#396934,10.); #115578=VECTOR('',#396935,10.); #115579=VECTOR('',#396936,10.); #115580=VECTOR('',#396951,0.140000000000002); #115581=VECTOR('',#396956,10.); #115582=VECTOR('',#396957,10.); #115583=VECTOR('',#396958,10.); #115584=VECTOR('',#396959,10.); #115585=VECTOR('',#396962,10.); #115586=VECTOR('',#396963,10.); #115587=VECTOR('',#396964,10.); #115588=VECTOR('',#396967,10.); #115589=VECTOR('',#396968,10.); #115590=VECTOR('',#396969,10.); #115591=VECTOR('',#396972,10.); #115592=VECTOR('',#396973,10.); #115593=VECTOR('',#396982,0.139999999999998); #115594=VECTOR('',#396989,0.139999999999998); #115595=VECTOR('',#396994,10.); #115596=VECTOR('',#396995,10.); #115597=VECTOR('',#396996,10.); #115598=VECTOR('',#396997,10.); #115599=VECTOR('',#397004,10.); #115600=VECTOR('',#397007,10.); #115601=VECTOR('',#397008,10.); #115602=VECTOR('',#397009,10.); #115603=VECTOR('',#397016,10.); #115604=VECTOR('',#397019,10.); #115605=VECTOR('',#397020,10.); #115606=VECTOR('',#397021,10.); #115607=VECTOR('',#397028,10.); #115608=VECTOR('',#397031,10.); #115609=VECTOR('',#397032,10.); #115610=VECTOR('',#397033,10.); #115611=VECTOR('',#397040,10.); #115612=VECTOR('',#397043,10.); #115613=VECTOR('',#397044,10.); #115614=VECTOR('',#397045,10.); #115615=VECTOR('',#397052,10.); #115616=VECTOR('',#397055,10.); #115617=VECTOR('',#397056,10.); #115618=VECTOR('',#397057,10.); #115619=VECTOR('',#397064,10.); #115620=VECTOR('',#397067,10.); #115621=VECTOR('',#397068,10.); #115622=VECTOR('',#397069,10.); #115623=VECTOR('',#397076,10.); #115624=VECTOR('',#397083,10.); #115625=VECTOR('',#397086,10.); #115626=VECTOR('',#397087,10.); #115627=VECTOR('',#397088,10.); #115628=VECTOR('',#397091,10.); #115629=VECTOR('',#397092,10.); #115630=VECTOR('',#397093,10.); #115631=VECTOR('',#397096,10.); #115632=VECTOR('',#397097,10.); #115633=VECTOR('',#397098,10.); #115634=VECTOR('',#397101,10.); #115635=VECTOR('',#397102,10.); #115636=VECTOR('',#397103,10.); #115637=VECTOR('',#397106,10.); #115638=VECTOR('',#397107,10.); #115639=VECTOR('',#397108,10.); #115640=VECTOR('',#397111,10.); #115641=VECTOR('',#397112,10.); #115642=VECTOR('',#397113,10.); #115643=VECTOR('',#397120,10.); #115644=VECTOR('',#397135,0.139999999999998); #115645=VECTOR('',#397142,0.139999999999998); #115646=VECTOR('',#397147,10.); #115647=VECTOR('',#397148,10.); #115648=VECTOR('',#397149,10.); #115649=VECTOR('',#397150,10.); #115650=VECTOR('',#397157,10.); #115651=VECTOR('',#397160,10.); #115652=VECTOR('',#397161,10.); #115653=VECTOR('',#397162,10.); #115654=VECTOR('',#397169,10.); #115655=VECTOR('',#397176,10.); #115656=VECTOR('',#397179,10.); #115657=VECTOR('',#397180,10.); #115658=VECTOR('',#397181,10.); #115659=VECTOR('',#397184,10.); #115660=VECTOR('',#397185,10.); #115661=VECTOR('',#397186,10.); #115662=VECTOR('',#397201,0.139999999999998); #115663=VECTOR('',#397206,10.); #115664=VECTOR('',#397207,10.); #115665=VECTOR('',#397208,10.); #115666=VECTOR('',#397209,10.); #115667=VECTOR('',#397212,10.); #115668=VECTOR('',#397213,10.); #115669=VECTOR('',#397214,10.); #115670=VECTOR('',#397217,10.); #115671=VECTOR('',#397218,10.); #115672=VECTOR('',#397219,10.); #115673=VECTOR('',#397222,10.); #115674=VECTOR('',#397223,10.); #115675=VECTOR('',#397232,0.565000000000002); #115676=VECTOR('',#397239,0.140000000000002); #115677=VECTOR('',#397246,0.514999999999999); #115678=VECTOR('',#397253,0.465); #115679=VECTOR('',#397258,10.); #115680=VECTOR('',#397259,10.); #115681=VECTOR('',#397260,10.); #115682=VECTOR('',#397261,10.); #115683=VECTOR('',#397264,10.); #115684=VECTOR('',#397265,10.); #115685=VECTOR('',#397266,10.); #115686=VECTOR('',#397269,10.); #115687=VECTOR('',#397270,10.); #115688=VECTOR('',#397271,10.); #115689=VECTOR('',#397274,10.); #115690=VECTOR('',#397275,10.); #115691=VECTOR('',#397276,10.); #115692=VECTOR('',#397279,10.); #115693=VECTOR('',#397280,10.); #115694=VECTOR('',#397281,10.); #115695=VECTOR('',#397284,10.); #115696=VECTOR('',#397285,10.); #115697=VECTOR('',#397286,10.); #115698=VECTOR('',#397289,10.); #115699=VECTOR('',#397290,10.); #115700=VECTOR('',#397291,10.); #115701=VECTOR('',#397294,10.); #115702=VECTOR('',#397295,10.); #115703=VECTOR('',#397296,10.); #115704=VECTOR('',#397299,10.); #115705=VECTOR('',#397300,10.); #115706=VECTOR('',#397301,10.); #115707=VECTOR('',#397304,10.); #115708=VECTOR('',#397305,10.); #115709=VECTOR('',#397306,10.); #115710=VECTOR('',#397309,10.); #115711=VECTOR('',#397310,10.); #115712=VECTOR('',#397311,10.); #115713=VECTOR('',#397314,10.); #115714=VECTOR('',#397315,10.); #115715=VECTOR('',#397316,10.); #115716=VECTOR('',#397319,10.); #115717=VECTOR('',#397320,10.); #115718=VECTOR('',#397321,10.); #115719=VECTOR('',#397324,10.); #115720=VECTOR('',#397325,10.); #115721=VECTOR('',#397326,10.); #115722=VECTOR('',#397329,10.); #115723=VECTOR('',#397330,10.); #115724=VECTOR('',#397331,10.); #115725=VECTOR('',#397334,10.); #115726=VECTOR('',#397335,10.); #115727=VECTOR('',#397338,10.); #115728=VECTOR('',#397339,10.); #115729=VECTOR('',#397340,10.); #115730=VECTOR('',#397341,10.); #115731=VECTOR('',#397344,10.); #115732=VECTOR('',#397345,10.); #115733=VECTOR('',#397346,10.); #115734=VECTOR('',#397349,10.); #115735=VECTOR('',#397350,10.); #115736=VECTOR('',#397351,10.); #115737=VECTOR('',#397354,10.); #115738=VECTOR('',#397355,10.); #115739=VECTOR('',#397356,10.); #115740=VECTOR('',#397359,10.); #115741=VECTOR('',#397360,10.); #115742=VECTOR('',#397361,10.); #115743=VECTOR('',#397364,10.); #115744=VECTOR('',#397365,10.); #115745=VECTOR('',#397366,10.); #115746=VECTOR('',#397369,10.); #115747=VECTOR('',#397370,10.); #115748=VECTOR('',#397371,10.); #115749=VECTOR('',#397374,10.); #115750=VECTOR('',#397375,10.); #115751=VECTOR('',#397376,10.); #115752=VECTOR('',#397379,10.); #115753=VECTOR('',#397380,10.); #115754=VECTOR('',#397381,10.); #115755=VECTOR('',#397384,10.); #115756=VECTOR('',#397385,10.); #115757=VECTOR('',#397386,10.); #115758=VECTOR('',#397389,10.); #115759=VECTOR('',#397390,10.); #115760=VECTOR('',#397391,10.); #115761=VECTOR('',#397394,10.); #115762=VECTOR('',#397395,10.); #115763=VECTOR('',#397396,10.); #115764=VECTOR('',#397399,10.); #115765=VECTOR('',#397400,10.); #115766=VECTOR('',#397401,10.); #115767=VECTOR('',#397404,10.); #115768=VECTOR('',#397405,10.); #115769=VECTOR('',#397406,10.); #115770=VECTOR('',#397409,10.); #115771=VECTOR('',#397410,10.); #115772=VECTOR('',#397411,10.); #115773=VECTOR('',#397414,10.); #115774=VECTOR('',#397415,10.); #115775=VECTOR('',#397416,10.); #115776=VECTOR('',#397419,10.); #115777=VECTOR('',#397420,10.); #115778=VECTOR('',#397421,10.); #115779=VECTOR('',#397424,10.); #115780=VECTOR('',#397425,10.); #115781=VECTOR('',#397426,10.); #115782=VECTOR('',#397429,10.); #115783=VECTOR('',#397430,10.); #115784=VECTOR('',#397431,10.); #115785=VECTOR('',#397434,10.); #115786=VECTOR('',#397435,10.); #115787=VECTOR('',#397436,10.); #115788=VECTOR('',#397439,10.); #115789=VECTOR('',#397440,10.); #115790=VECTOR('',#397441,10.); #115791=VECTOR('',#397444,10.); #115792=VECTOR('',#397445,10.); #115793=VECTOR('',#397446,10.); #115794=VECTOR('',#397449,10.); #115795=VECTOR('',#397450,10.); #115796=VECTOR('',#397451,10.); #115797=VECTOR('',#397454,10.); #115798=VECTOR('',#397455,10.); #115799=VECTOR('',#397456,10.); #115800=VECTOR('',#397459,10.); #115801=VECTOR('',#397460,10.); #115802=VECTOR('',#397461,10.); #115803=VECTOR('',#397464,10.); #115804=VECTOR('',#397465,10.); #115805=VECTOR('',#397466,10.); #115806=VECTOR('',#397469,10.); #115807=VECTOR('',#397470,10.); #115808=VECTOR('',#397471,10.); #115809=VECTOR('',#397474,10.); #115810=VECTOR('',#397475,10.); #115811=VECTOR('',#397476,10.); #115812=VECTOR('',#397479,10.); #115813=VECTOR('',#397480,10.); #115814=VECTOR('',#397481,10.); #115815=VECTOR('',#397484,10.); #115816=VECTOR('',#397485,10.); #115817=VECTOR('',#397486,10.); #115818=VECTOR('',#397489,10.); #115819=VECTOR('',#397490,10.); #115820=VECTOR('',#397491,10.); #115821=VECTOR('',#397494,10.); #115822=VECTOR('',#397495,10.); #115823=VECTOR('',#397496,10.); #115824=VECTOR('',#397499,10.); #115825=VECTOR('',#397500,10.); #115826=VECTOR('',#397501,10.); #115827=VECTOR('',#397504,10.); #115828=VECTOR('',#397505,10.); #115829=VECTOR('',#397506,10.); #115830=VECTOR('',#397509,10.); #115831=VECTOR('',#397510,10.); #115832=VECTOR('',#397511,10.); #115833=VECTOR('',#397514,10.); #115834=VECTOR('',#397515,10.); #115835=VECTOR('',#397516,10.); #115836=VECTOR('',#397519,10.); #115837=VECTOR('',#397520,10.); #115838=VECTOR('',#397521,10.); #115839=VECTOR('',#397524,10.); #115840=VECTOR('',#397525,10.); #115841=VECTOR('',#397526,10.); #115842=VECTOR('',#397529,10.); #115843=VECTOR('',#397530,10.); #115844=VECTOR('',#397531,10.); #115845=VECTOR('',#397534,10.); #115846=VECTOR('',#397535,10.); #115847=VECTOR('',#397536,10.); #115848=VECTOR('',#397539,10.); #115849=VECTOR('',#397540,10.); #115850=VECTOR('',#397541,10.); #115851=VECTOR('',#397544,10.); #115852=VECTOR('',#397545,10.); #115853=VECTOR('',#397546,10.); #115854=VECTOR('',#397549,10.); #115855=VECTOR('',#397550,10.); #115856=VECTOR('',#397551,10.); #115857=VECTOR('',#397554,10.); #115858=VECTOR('',#397555,10.); #115859=VECTOR('',#397556,10.); #115860=VECTOR('',#397559,10.); #115861=VECTOR('',#397560,10.); #115862=VECTOR('',#397561,10.); #115863=VECTOR('',#397564,10.); #115864=VECTOR('',#397565,10.); #115865=VECTOR('',#397566,10.); #115866=VECTOR('',#397569,10.); #115867=VECTOR('',#397570,10.); #115868=VECTOR('',#397571,10.); #115869=VECTOR('',#397574,10.); #115870=VECTOR('',#397575,10.); #115871=VECTOR('',#397576,10.); #115872=VECTOR('',#397579,10.); #115873=VECTOR('',#397580,10.); #115874=VECTOR('',#397581,10.); #115875=VECTOR('',#397584,10.); #115876=VECTOR('',#397585,10.); #115877=VECTOR('',#397586,10.); #115878=VECTOR('',#397589,10.); #115879=VECTOR('',#397590,10.); #115880=VECTOR('',#397591,10.); #115881=VECTOR('',#397594,10.); #115882=VECTOR('',#397595,10.); #115883=VECTOR('',#397596,10.); #115884=VECTOR('',#397599,10.); #115885=VECTOR('',#397600,10.); #115886=VECTOR('',#397601,10.); #115887=VECTOR('',#397604,10.); #115888=VECTOR('',#397605,10.); #115889=VECTOR('',#397606,10.); #115890=VECTOR('',#397613,10.); #115891=VECTOR('',#397616,10.); #115892=VECTOR('',#397617,10.); #115893=VECTOR('',#397618,10.); #115894=VECTOR('',#397621,10.); #115895=VECTOR('',#397622,10.); #115896=VECTOR('',#397623,10.); #115897=VECTOR('',#397626,10.); #115898=VECTOR('',#397627,10.); #115899=VECTOR('',#397628,10.); #115900=VECTOR('',#397635,10.); #115901=VECTOR('',#397642,10.); #115902=VECTOR('',#397645,10.); #115903=VECTOR('',#397646,10.); #115904=VECTOR('',#397647,10.); #115905=VECTOR('',#397654,10.); #115906=VECTOR('',#397657,10.); #115907=VECTOR('',#397658,10.); #115908=VECTOR('',#397659,10.); #115909=VECTOR('',#397666,10.); #115910=VECTOR('',#397669,10.); #115911=VECTOR('',#397670,10.); #115912=VECTOR('',#397671,10.); #115913=VECTOR('',#397678,10.); #115914=VECTOR('',#397681,10.); #115915=VECTOR('',#397682,10.); #115916=VECTOR('',#397683,10.); #115917=VECTOR('',#397686,10.); #115918=VECTOR('',#397687,10.); #115919=VECTOR('',#397688,10.); #115920=VECTOR('',#397691,10.); #115921=VECTOR('',#397692,10.); #115922=VECTOR('',#397693,10.); #115923=VECTOR('',#397696,10.); #115924=VECTOR('',#397697,10.); #115925=VECTOR('',#397698,10.); #115926=VECTOR('',#397701,10.); #115927=VECTOR('',#397702,10.); #115928=VECTOR('',#397703,10.); #115929=VECTOR('',#397706,10.); #115930=VECTOR('',#397707,10.); #115931=VECTOR('',#397708,10.); #115932=VECTOR('',#397711,10.); #115933=VECTOR('',#397712,10.); #115934=VECTOR('',#397713,10.); #115935=VECTOR('',#397716,10.); #115936=VECTOR('',#397717,10.); #115937=VECTOR('',#397726,0.140000000000002); #115938=VECTOR('',#397731,10.); #115939=VECTOR('',#397732,10.); #115940=VECTOR('',#397733,10.); #115941=VECTOR('',#397734,10.); #115942=VECTOR('',#397737,10.); #115943=VECTOR('',#397738,10.); #115944=VECTOR('',#397739,10.); #115945=VECTOR('',#397746,10.); #115946=VECTOR('',#397749,10.); #115947=VECTOR('',#397750,10.); #115948=VECTOR('',#397751,10.); #115949=VECTOR('',#397754,10.); #115950=VECTOR('',#397755,10.); #115951=VECTOR('',#397756,10.); #115952=VECTOR('',#397759,10.); #115953=VECTOR('',#397760,10.); #115954=VECTOR('',#397761,10.); #115955=VECTOR('',#397764,10.); #115956=VECTOR('',#397765,10.); #115957=VECTOR('',#397766,10.); #115958=VECTOR('',#397769,10.); #115959=VECTOR('',#397770,10.); #115960=VECTOR('',#397771,10.); #115961=VECTOR('',#397774,10.); #115962=VECTOR('',#397775,10.); #115963=VECTOR('',#397776,10.); #115964=VECTOR('',#397779,10.); #115965=VECTOR('',#397780,10.); #115966=VECTOR('',#397781,10.); #115967=VECTOR('',#397784,10.); #115968=VECTOR('',#397785,10.); #115969=VECTOR('',#397786,10.); #115970=VECTOR('',#397789,10.); #115971=VECTOR('',#397790,10.); #115972=VECTOR('',#397791,10.); #115973=VECTOR('',#397794,10.); #115974=VECTOR('',#397795,10.); #115975=VECTOR('',#397796,10.); #115976=VECTOR('',#397799,10.); #115977=VECTOR('',#397800,10.); #115978=VECTOR('',#397801,10.); #115979=VECTOR('',#397804,10.); #115980=VECTOR('',#397805,10.); #115981=VECTOR('',#397806,10.); #115982=VECTOR('',#397809,10.); #115983=VECTOR('',#397810,10.); #115984=VECTOR('',#397811,10.); #115985=VECTOR('',#397814,10.); #115986=VECTOR('',#397815,10.); #115987=VECTOR('',#397816,10.); #115988=VECTOR('',#397819,10.); #115989=VECTOR('',#397820,10.); #115990=VECTOR('',#397821,10.); #115991=VECTOR('',#397824,10.); #115992=VECTOR('',#397825,10.); #115993=VECTOR('',#397826,10.); #115994=VECTOR('',#397829,10.); #115995=VECTOR('',#397830,10.); #115996=VECTOR('',#397831,10.); #115997=VECTOR('',#397834,10.); #115998=VECTOR('',#397835,10.); #115999=VECTOR('',#397836,10.); #116000=VECTOR('',#397839,10.); #116001=VECTOR('',#397840,10.); #116002=VECTOR('',#397841,10.); #116003=VECTOR('',#397844,10.); #116004=VECTOR('',#397845,10.); #116005=VECTOR('',#397846,10.); #116006=VECTOR('',#397853,10.); #116007=VECTOR('',#397856,10.); #116008=VECTOR('',#397857,10.); #116009=VECTOR('',#397858,10.); #116010=VECTOR('',#397861,10.); #116011=VECTOR('',#397862,10.); #116012=VECTOR('',#397863,10.); #116013=VECTOR('',#397866,10.); #116014=VECTOR('',#397867,10.); #116015=VECTOR('',#397868,10.); #116016=VECTOR('',#397875,10.); #116017=VECTOR('',#397878,10.); #116018=VECTOR('',#397879,10.); #116019=VECTOR('',#397880,10.); #116020=VECTOR('',#397883,10.); #116021=VECTOR('',#397884,10.); #116022=VECTOR('',#397885,10.); #116023=VECTOR('',#397892,10.); #116024=VECTOR('',#397895,10.); #116025=VECTOR('',#397896,10.); #116026=VECTOR('',#397897,10.); #116027=VECTOR('',#397904,10.); #116028=VECTOR('',#397911,10.); #116029=VECTOR('',#397914,10.); #116030=VECTOR('',#397915,10.); #116031=VECTOR('',#397916,10.); #116032=VECTOR('',#397919,10.); #116033=VECTOR('',#397920,10.); #116034=VECTOR('',#397921,10.); #116035=VECTOR('',#397928,10.); #116036=VECTOR('',#397931,10.); #116037=VECTOR('',#397932,10.); #116038=VECTOR('',#397933,10.); #116039=VECTOR('',#397936,10.); #116040=VECTOR('',#397937,10.); #116041=VECTOR('',#397938,10.); #116042=VECTOR('',#397945,10.); #116043=VECTOR('',#397948,10.); #116044=VECTOR('',#397949,10.); #116045=VECTOR('',#397950,10.); #116046=VECTOR('',#397957,10.); #116047=VECTOR('',#397960,10.); #116048=VECTOR('',#397961,10.); #116049=VECTOR('',#397962,10.); #116050=VECTOR('',#397965,10.); #116051=VECTOR('',#397966,10.); #116052=VECTOR('',#397967,10.); #116053=VECTOR('',#397970,10.); #116054=VECTOR('',#397971,10.); #116055=VECTOR('',#397972,10.); #116056=VECTOR('',#397975,10.); #116057=VECTOR('',#397976,10.); #116058=VECTOR('',#397977,10.); #116059=VECTOR('',#397980,10.); #116060=VECTOR('',#397981,10.); #116061=VECTOR('',#397982,10.); #116062=VECTOR('',#397997,0.14); #116063=VECTOR('',#398004,0.14); #116064=VECTOR('',#398011,0.14); #116065=VECTOR('',#398018,0.14); #116066=VECTOR('',#398025,0.14); #116067=VECTOR('',#398032,0.14); #116068=VECTOR('',#398039,0.14); #116069=VECTOR('',#398046,0.14); #116070=VECTOR('',#398053,0.465); #116071=VECTOR('',#398060,0.465); #116072=VECTOR('',#398067,0.514999999999999); #116073=VECTOR('',#398074,0.14); #116074=VECTOR('',#398079,10.); #116075=VECTOR('',#398080,10.); #116076=VECTOR('',#398081,10.); #116077=VECTOR('',#398082,10.); #116078=VECTOR('',#398085,10.); #116079=VECTOR('',#398086,10.); #116080=VECTOR('',#398087,10.); #116081=VECTOR('',#398090,10.); #116082=VECTOR('',#398091,10.); #116083=VECTOR('',#398092,10.); #116084=VECTOR('',#398095,10.); #116085=VECTOR('',#398096,10.); #116086=VECTOR('',#398097,10.); #116087=VECTOR('',#398100,10.); #116088=VECTOR('',#398101,10.); #116089=VECTOR('',#398102,10.); #116090=VECTOR('',#398105,10.); #116091=VECTOR('',#398106,10.); #116092=VECTOR('',#398107,10.); #116093=VECTOR('',#398110,10.); #116094=VECTOR('',#398111,10.); #116095=VECTOR('',#398112,10.); #116096=VECTOR('',#398115,10.); #116097=VECTOR('',#398116,10.); #116098=VECTOR('',#398117,10.); #116099=VECTOR('',#398120,10.); #116100=VECTOR('',#398121,10.); #116101=VECTOR('',#398122,10.); #116102=VECTOR('',#398125,10.); #116103=VECTOR('',#398126,10.); #116104=VECTOR('',#398127,10.); #116105=VECTOR('',#398130,10.); #116106=VECTOR('',#398131,10.); #116107=VECTOR('',#398132,10.); #116108=VECTOR('',#398135,10.); #116109=VECTOR('',#398136,10.); #116110=VECTOR('',#398137,10.); #116111=VECTOR('',#398140,10.); #116112=VECTOR('',#398141,10.); #116113=VECTOR('',#398142,10.); #116114=VECTOR('',#398145,10.); #116115=VECTOR('',#398146,10.); #116116=VECTOR('',#398147,10.); #116117=VECTOR('',#398150,10.); #116118=VECTOR('',#398151,10.); #116119=VECTOR('',#398152,10.); #116120=VECTOR('',#398155,10.); #116121=VECTOR('',#398156,10.); #116122=VECTOR('',#398157,10.); #116123=VECTOR('',#398160,10.); #116124=VECTOR('',#398161,10.); #116125=VECTOR('',#398162,10.); #116126=VECTOR('',#398165,10.); #116127=VECTOR('',#398166,10.); #116128=VECTOR('',#398167,10.); #116129=VECTOR('',#398170,10.); #116130=VECTOR('',#398171,10.); #116131=VECTOR('',#398172,10.); #116132=VECTOR('',#398175,10.); #116133=VECTOR('',#398176,10.); #116134=VECTOR('',#398177,10.); #116135=VECTOR('',#398180,10.); #116136=VECTOR('',#398181,10.); #116137=VECTOR('',#398182,10.); #116138=VECTOR('',#398185,10.); #116139=VECTOR('',#398186,10.); #116140=VECTOR('',#398187,10.); #116141=VECTOR('',#398190,10.); #116142=VECTOR('',#398191,10.); #116143=VECTOR('',#398192,10.); #116144=VECTOR('',#398195,10.); #116145=VECTOR('',#398196,10.); #116146=VECTOR('',#398197,10.); #116147=VECTOR('',#398200,10.); #116148=VECTOR('',#398201,10.); #116149=VECTOR('',#398202,10.); #116150=VECTOR('',#398205,10.); #116151=VECTOR('',#398206,10.); #116152=VECTOR('',#398207,10.); #116153=VECTOR('',#398210,10.); #116154=VECTOR('',#398211,10.); #116155=VECTOR('',#398212,10.); #116156=VECTOR('',#398215,10.); #116157=VECTOR('',#398216,10.); #116158=VECTOR('',#398217,10.); #116159=VECTOR('',#398220,10.); #116160=VECTOR('',#398221,10.); #116161=VECTOR('',#398222,10.); #116162=VECTOR('',#398225,10.); #116163=VECTOR('',#398226,10.); #116164=VECTOR('',#398227,10.); #116165=VECTOR('',#398230,10.); #116166=VECTOR('',#398231,10.); #116167=VECTOR('',#398232,10.); #116168=VECTOR('',#398235,10.); #116169=VECTOR('',#398236,10.); #116170=VECTOR('',#398237,10.); #116171=VECTOR('',#398240,10.); #116172=VECTOR('',#398241,10.); #116173=VECTOR('',#398242,10.); #116174=VECTOR('',#398245,10.); #116175=VECTOR('',#398246,10.); #116176=VECTOR('',#398247,10.); #116177=VECTOR('',#398250,10.); #116178=VECTOR('',#398251,10.); #116179=VECTOR('',#398252,10.); #116180=VECTOR('',#398255,10.); #116181=VECTOR('',#398256,10.); #116182=VECTOR('',#398257,10.); #116183=VECTOR('',#398260,10.); #116184=VECTOR('',#398261,10.); #116185=VECTOR('',#398262,10.); #116186=VECTOR('',#398265,10.); #116187=VECTOR('',#398266,10.); #116188=VECTOR('',#398267,10.); #116189=VECTOR('',#398270,10.); #116190=VECTOR('',#398271,10.); #116191=VECTOR('',#398272,10.); #116192=VECTOR('',#398275,10.); #116193=VECTOR('',#398276,10.); #116194=VECTOR('',#398277,10.); #116195=VECTOR('',#398280,10.); #116196=VECTOR('',#398281,10.); #116197=VECTOR('',#398282,10.); #116198=VECTOR('',#398285,10.); #116199=VECTOR('',#398286,10.); #116200=VECTOR('',#398287,10.); #116201=VECTOR('',#398290,10.); #116202=VECTOR('',#398291,10.); #116203=VECTOR('',#398292,10.); #116204=VECTOR('',#398295,10.); #116205=VECTOR('',#398296,10.); #116206=VECTOR('',#398297,10.); #116207=VECTOR('',#398300,10.); #116208=VECTOR('',#398301,10.); #116209=VECTOR('',#398302,10.); #116210=VECTOR('',#398305,10.); #116211=VECTOR('',#398306,10.); #116212=VECTOR('',#398307,10.); #116213=VECTOR('',#398310,10.); #116214=VECTOR('',#398311,10.); #116215=VECTOR('',#398312,10.); #116216=VECTOR('',#398315,10.); #116217=VECTOR('',#398316,10.); #116218=VECTOR('',#398317,10.); #116219=VECTOR('',#398320,10.); #116220=VECTOR('',#398321,10.); #116221=VECTOR('',#398322,10.); #116222=VECTOR('',#398325,10.); #116223=VECTOR('',#398326,10.); #116224=VECTOR('',#398327,10.); #116225=VECTOR('',#398330,10.); #116226=VECTOR('',#398331,10.); #116227=VECTOR('',#398332,10.); #116228=VECTOR('',#398335,10.); #116229=VECTOR('',#398336,10.); #116230=VECTOR('',#398337,10.); #116231=VECTOR('',#398340,10.); #116232=VECTOR('',#398341,10.); #116233=VECTOR('',#398342,10.); #116234=VECTOR('',#398345,10.); #116235=VECTOR('',#398346,10.); #116236=VECTOR('',#398347,10.); #116237=VECTOR('',#398350,10.); #116238=VECTOR('',#398351,10.); #116239=VECTOR('',#398352,10.); #116240=VECTOR('',#398355,10.); #116241=VECTOR('',#398356,10.); #116242=VECTOR('',#398357,10.); #116243=VECTOR('',#398360,10.); #116244=VECTOR('',#398361,10.); #116245=VECTOR('',#398362,10.); #116246=VECTOR('',#398365,10.); #116247=VECTOR('',#398366,10.); #116248=VECTOR('',#398367,10.); #116249=VECTOR('',#398370,10.); #116250=VECTOR('',#398371,10.); #116251=VECTOR('',#398372,10.); #116252=VECTOR('',#398375,10.); #116253=VECTOR('',#398376,10.); #116254=VECTOR('',#398377,10.); #116255=VECTOR('',#398380,10.); #116256=VECTOR('',#398381,10.); #116257=VECTOR('',#398382,10.); #116258=VECTOR('',#398385,10.); #116259=VECTOR('',#398386,10.); #116260=VECTOR('',#398387,10.); #116261=VECTOR('',#398390,10.); #116262=VECTOR('',#398391,10.); #116263=VECTOR('',#398392,10.); #116264=VECTOR('',#398395,10.); #116265=VECTOR('',#398396,10.); #116266=VECTOR('',#398397,10.); #116267=VECTOR('',#398400,10.); #116268=VECTOR('',#398401,10.); #116269=VECTOR('',#398402,10.); #116270=VECTOR('',#398405,10.); #116271=VECTOR('',#398406,10.); #116272=VECTOR('',#398407,10.); #116273=VECTOR('',#398410,10.); #116274=VECTOR('',#398411,10.); #116275=VECTOR('',#398412,10.); #116276=VECTOR('',#398415,10.); #116277=VECTOR('',#398416,10.); #116278=VECTOR('',#398417,10.); #116279=VECTOR('',#398420,10.); #116280=VECTOR('',#398421,10.); #116281=VECTOR('',#398422,10.); #116282=VECTOR('',#398425,10.); #116283=VECTOR('',#398426,10.); #116284=VECTOR('',#398427,10.); #116285=VECTOR('',#398430,10.); #116286=VECTOR('',#398431,10.); #116287=VECTOR('',#398432,10.); #116288=VECTOR('',#398435,10.); #116289=VECTOR('',#398436,10.); #116290=VECTOR('',#398437,10.); #116291=VECTOR('',#398440,10.); #116292=VECTOR('',#398441,10.); #116293=VECTOR('',#398442,10.); #116294=VECTOR('',#398445,10.); #116295=VECTOR('',#398446,10.); #116296=VECTOR('',#398447,10.); #116297=VECTOR('',#398450,10.); #116298=VECTOR('',#398451,10.); #116299=VECTOR('',#398452,10.); #116300=VECTOR('',#398455,10.); #116301=VECTOR('',#398456,10.); #116302=VECTOR('',#398457,10.); #116303=VECTOR('',#398460,10.); #116304=VECTOR('',#398461,10.); #116305=VECTOR('',#398462,10.); #116306=VECTOR('',#398465,10.); #116307=VECTOR('',#398466,10.); #116308=VECTOR('',#398467,10.); #116309=VECTOR('',#398470,10.); #116310=VECTOR('',#398471,10.); #116311=VECTOR('',#398472,10.); #116312=VECTOR('',#398475,10.); #116313=VECTOR('',#398476,10.); #116314=VECTOR('',#398477,10.); #116315=VECTOR('',#398480,10.); #116316=VECTOR('',#398481,10.); #116317=VECTOR('',#398482,10.); #116318=VECTOR('',#398485,10.); #116319=VECTOR('',#398486,10.); #116320=VECTOR('',#398487,10.); #116321=VECTOR('',#398490,10.); #116322=VECTOR('',#398491,10.); #116323=VECTOR('',#398492,10.); #116324=VECTOR('',#398495,10.); #116325=VECTOR('',#398496,10.); #116326=VECTOR('',#398497,10.); #116327=VECTOR('',#398500,10.); #116328=VECTOR('',#398501,10.); #116329=VECTOR('',#398502,10.); #116330=VECTOR('',#398505,10.); #116331=VECTOR('',#398506,10.); #116332=VECTOR('',#398507,10.); #116333=VECTOR('',#398510,10.); #116334=VECTOR('',#398511,10.); #116335=VECTOR('',#398512,10.); #116336=VECTOR('',#398515,10.); #116337=VECTOR('',#398516,10.); #116338=VECTOR('',#398517,10.); #116339=VECTOR('',#398520,10.); #116340=VECTOR('',#398521,10.); #116341=VECTOR('',#398522,10.); #116342=VECTOR('',#398525,10.); #116343=VECTOR('',#398526,10.); #116344=VECTOR('',#398527,10.); #116345=VECTOR('',#398530,10.); #116346=VECTOR('',#398531,10.); #116347=VECTOR('',#398532,10.); #116348=VECTOR('',#398535,10.); #116349=VECTOR('',#398536,10.); #116350=VECTOR('',#398537,10.); #116351=VECTOR('',#398540,10.); #116352=VECTOR('',#398541,10.); #116353=VECTOR('',#398542,10.); #116354=VECTOR('',#398545,10.); #116355=VECTOR('',#398546,10.); #116356=VECTOR('',#398547,10.); #116357=VECTOR('',#398550,10.); #116358=VECTOR('',#398551,10.); #116359=VECTOR('',#398552,10.); #116360=VECTOR('',#398555,10.); #116361=VECTOR('',#398556,10.); #116362=VECTOR('',#398557,10.); #116363=VECTOR('',#398560,10.); #116364=VECTOR('',#398561,10.); #116365=VECTOR('',#398568,10.); #116366=VECTOR('',#398569,10.); #116367=VECTOR('',#398570,10.); #116368=VECTOR('',#398571,10.); #116369=VECTOR('',#398574,10.); #116370=VECTOR('',#398575,10.); #116371=VECTOR('',#398576,10.); #116372=VECTOR('',#398579,10.); #116373=VECTOR('',#398580,10.); #116374=VECTOR('',#398581,10.); #116375=VECTOR('',#398584,10.); #116376=VECTOR('',#398585,10.); #116377=VECTOR('',#398586,10.); #116378=VECTOR('',#398589,10.); #116379=VECTOR('',#398590,10.); #116380=VECTOR('',#398591,10.); #116381=VECTOR('',#398594,10.); #116382=VECTOR('',#398595,10.); #116383=VECTOR('',#398596,10.); #116384=VECTOR('',#398599,10.); #116385=VECTOR('',#398600,10.); #116386=VECTOR('',#398601,10.); #116387=VECTOR('',#398604,10.); #116388=VECTOR('',#398605,10.); #116389=VECTOR('',#398606,10.); #116390=VECTOR('',#398609,10.); #116391=VECTOR('',#398610,10.); #116392=VECTOR('',#398611,10.); #116393=VECTOR('',#398614,10.); #116394=VECTOR('',#398615,10.); #116395=VECTOR('',#398616,10.); #116396=VECTOR('',#398619,10.); #116397=VECTOR('',#398620,10.); #116398=VECTOR('',#398621,10.); #116399=VECTOR('',#398624,10.); #116400=VECTOR('',#398625,10.); #116401=VECTOR('',#398626,10.); #116402=VECTOR('',#398629,10.); #116403=VECTOR('',#398630,10.); #116404=VECTOR('',#398631,10.); #116405=VECTOR('',#398634,10.); #116406=VECTOR('',#398635,10.); #116407=VECTOR('',#398636,10.); #116408=VECTOR('',#398639,10.); #116409=VECTOR('',#398640,10.); #116410=VECTOR('',#398641,10.); #116411=VECTOR('',#398644,10.); #116412=VECTOR('',#398645,10.); #116413=VECTOR('',#398646,10.); #116414=VECTOR('',#398649,10.); #116415=VECTOR('',#398650,10.); #116416=VECTOR('',#398651,10.); #116417=VECTOR('',#398654,10.); #116418=VECTOR('',#398655,10.); #116419=VECTOR('',#398656,10.); #116420=VECTOR('',#398659,10.); #116421=VECTOR('',#398660,10.); #116422=VECTOR('',#398661,10.); #116423=VECTOR('',#398664,10.); #116424=VECTOR('',#398665,10.); #116425=VECTOR('',#398666,10.); #116426=VECTOR('',#398669,10.); #116427=VECTOR('',#398670,10.); #116428=VECTOR('',#398677,10.); #116429=VECTOR('',#398678,10.); #116430=VECTOR('',#398679,10.); #116431=VECTOR('',#398680,10.); #116432=VECTOR('',#398683,10.); #116433=VECTOR('',#398684,10.); #116434=VECTOR('',#398685,10.); #116435=VECTOR('',#398688,10.); #116436=VECTOR('',#398689,10.); #116437=VECTOR('',#398690,10.); #116438=VECTOR('',#398693,10.); #116439=VECTOR('',#398694,10.); #116440=VECTOR('',#398695,10.); #116441=VECTOR('',#398698,10.); #116442=VECTOR('',#398699,10.); #116443=VECTOR('',#398700,10.); #116444=VECTOR('',#398703,10.); #116445=VECTOR('',#398704,10.); #116446=VECTOR('',#398705,10.); #116447=VECTOR('',#398708,10.); #116448=VECTOR('',#398709,10.); #116449=VECTOR('',#398710,10.); #116450=VECTOR('',#398713,10.); #116451=VECTOR('',#398714,10.); #116452=VECTOR('',#398715,10.); #116453=VECTOR('',#398718,10.); #116454=VECTOR('',#398719,10.); #116455=VECTOR('',#398720,10.); #116456=VECTOR('',#398723,10.); #116457=VECTOR('',#398724,10.); #116458=VECTOR('',#398725,10.); #116459=VECTOR('',#398728,10.); #116460=VECTOR('',#398729,10.); #116461=VECTOR('',#398730,10.); #116462=VECTOR('',#398733,10.); #116463=VECTOR('',#398734,10.); #116464=VECTOR('',#398735,10.); #116465=VECTOR('',#398738,10.); #116466=VECTOR('',#398739,10.); #116467=VECTOR('',#398740,10.); #116468=VECTOR('',#398743,10.); #116469=VECTOR('',#398744,10.); #116470=VECTOR('',#398745,10.); #116471=VECTOR('',#398748,10.); #116472=VECTOR('',#398749,10.); #116473=VECTOR('',#398750,10.); #116474=VECTOR('',#398753,10.); #116475=VECTOR('',#398754,10.); #116476=VECTOR('',#398755,10.); #116477=VECTOR('',#398758,10.); #116478=VECTOR('',#398759,10.); #116479=VECTOR('',#398760,10.); #116480=VECTOR('',#398763,10.); #116481=VECTOR('',#398764,10.); #116482=VECTOR('',#398765,10.); #116483=VECTOR('',#398768,10.); #116484=VECTOR('',#398769,10.); #116485=VECTOR('',#398770,10.); #116486=VECTOR('',#398773,10.); #116487=VECTOR('',#398774,10.); #116488=VECTOR('',#398781,10.); #116489=VECTOR('',#398782,10.); #116490=VECTOR('',#398783,10.); #116491=VECTOR('',#398784,10.); #116492=VECTOR('',#398787,10.); #116493=VECTOR('',#398788,10.); #116494=VECTOR('',#398789,10.); #116495=VECTOR('',#398792,10.); #116496=VECTOR('',#398793,10.); #116497=VECTOR('',#398794,10.); #116498=VECTOR('',#398797,10.); #116499=VECTOR('',#398798,10.); #116500=VECTOR('',#398799,10.); #116501=VECTOR('',#398802,10.); #116502=VECTOR('',#398803,10.); #116503=VECTOR('',#398804,10.); #116504=VECTOR('',#398807,10.); #116505=VECTOR('',#398808,10.); #116506=VECTOR('',#398809,10.); #116507=VECTOR('',#398812,10.); #116508=VECTOR('',#398813,10.); #116509=VECTOR('',#398814,10.); #116510=VECTOR('',#398817,10.); #116511=VECTOR('',#398818,10.); #116512=VECTOR('',#398819,10.); #116513=VECTOR('',#398822,10.); #116514=VECTOR('',#398823,10.); #116515=VECTOR('',#398824,10.); #116516=VECTOR('',#398827,10.); #116517=VECTOR('',#398828,10.); #116518=VECTOR('',#398829,10.); #116519=VECTOR('',#398832,10.); #116520=VECTOR('',#398833,10.); #116521=VECTOR('',#398834,10.); #116522=VECTOR('',#398837,10.); #116523=VECTOR('',#398838,10.); #116524=VECTOR('',#398839,10.); #116525=VECTOR('',#398842,10.); #116526=VECTOR('',#398843,10.); #116527=VECTOR('',#398844,10.); #116528=VECTOR('',#398847,10.); #116529=VECTOR('',#398848,10.); #116530=VECTOR('',#398849,10.); #116531=VECTOR('',#398852,10.); #116532=VECTOR('',#398853,10.); #116533=VECTOR('',#398854,10.); #116534=VECTOR('',#398857,10.); #116535=VECTOR('',#398858,10.); #116536=VECTOR('',#398859,10.); #116537=VECTOR('',#398862,10.); #116538=VECTOR('',#398863,10.); #116539=VECTOR('',#398864,10.); #116540=VECTOR('',#398867,10.); #116541=VECTOR('',#398868,10.); #116542=VECTOR('',#398869,10.); #116543=VECTOR('',#398872,10.); #116544=VECTOR('',#398873,10.); #116545=VECTOR('',#398874,10.); #116546=VECTOR('',#398877,10.); #116547=VECTOR('',#398878,10.); #116548=VECTOR('',#398879,10.); #116549=VECTOR('',#398882,10.); #116550=VECTOR('',#398883,10.); #116551=VECTOR('',#398884,10.); #116552=VECTOR('',#398887,10.); #116553=VECTOR('',#398888,10.); #116554=VECTOR('',#398889,10.); #116555=VECTOR('',#398892,10.); #116556=VECTOR('',#398893,10.); #116557=VECTOR('',#398894,10.); #116558=VECTOR('',#398897,10.); #116559=VECTOR('',#398898,10.); #116560=VECTOR('',#398905,10.); #116561=VECTOR('',#398906,10.); #116562=VECTOR('',#398907,10.); #116563=VECTOR('',#398908,10.); #116564=VECTOR('',#398911,10.); #116565=VECTOR('',#398912,10.); #116566=VECTOR('',#398913,10.); #116567=VECTOR('',#398916,10.); #116568=VECTOR('',#398917,10.); #116569=VECTOR('',#398918,10.); #116570=VECTOR('',#398921,10.); #116571=VECTOR('',#398922,10.); #116572=VECTOR('',#398923,10.); #116573=VECTOR('',#398926,10.); #116574=VECTOR('',#398927,10.); #116575=VECTOR('',#398928,10.); #116576=VECTOR('',#398931,10.); #116577=VECTOR('',#398932,10.); #116578=VECTOR('',#398933,10.); #116579=VECTOR('',#398936,10.); #116580=VECTOR('',#398937,10.); #116581=VECTOR('',#398938,10.); #116582=VECTOR('',#398941,10.); #116583=VECTOR('',#398942,10.); #116584=VECTOR('',#398943,10.); #116585=VECTOR('',#398946,10.); #116586=VECTOR('',#398947,10.); #116587=VECTOR('',#398948,10.); #116588=VECTOR('',#398951,10.); #116589=VECTOR('',#398952,10.); #116590=VECTOR('',#398953,10.); #116591=VECTOR('',#398956,10.); #116592=VECTOR('',#398957,10.); #116593=VECTOR('',#398958,10.); #116594=VECTOR('',#398961,10.); #116595=VECTOR('',#398962,10.); #116596=VECTOR('',#398963,10.); #116597=VECTOR('',#398966,10.); #116598=VECTOR('',#398967,10.); #116599=VECTOR('',#398968,10.); #116600=VECTOR('',#398971,10.); #116601=VECTOR('',#398972,10.); #116602=VECTOR('',#398973,10.); #116603=VECTOR('',#398976,10.); #116604=VECTOR('',#398977,10.); #116605=VECTOR('',#398978,10.); #116606=VECTOR('',#398981,10.); #116607=VECTOR('',#398982,10.); #116608=VECTOR('',#398983,10.); #116609=VECTOR('',#398986,10.); #116610=VECTOR('',#398987,10.); #116611=VECTOR('',#398988,10.); #116612=VECTOR('',#398991,10.); #116613=VECTOR('',#398992,10.); #116614=VECTOR('',#398993,10.); #116615=VECTOR('',#398996,10.); #116616=VECTOR('',#398997,10.); #116617=VECTOR('',#398998,10.); #116618=VECTOR('',#399001,10.); #116619=VECTOR('',#399002,10.); #116620=VECTOR('',#399003,10.); #116621=VECTOR('',#399006,10.); #116622=VECTOR('',#399007,10.); #116623=VECTOR('',#399014,10.); #116624=VECTOR('',#399015,10.); #116625=VECTOR('',#399016,10.); #116626=VECTOR('',#399017,10.); #116627=VECTOR('',#399020,10.); #116628=VECTOR('',#399021,10.); #116629=VECTOR('',#399022,10.); #116630=VECTOR('',#399025,10.); #116631=VECTOR('',#399026,10.); #116632=VECTOR('',#399027,10.); #116633=VECTOR('',#399030,10.); #116634=VECTOR('',#399031,10.); #116635=VECTOR('',#399032,10.); #116636=VECTOR('',#399035,10.); #116637=VECTOR('',#399036,10.); #116638=VECTOR('',#399037,10.); #116639=VECTOR('',#399040,10.); #116640=VECTOR('',#399041,10.); #116641=VECTOR('',#399042,10.); #116642=VECTOR('',#399045,10.); #116643=VECTOR('',#399046,10.); #116644=VECTOR('',#399047,10.); #116645=VECTOR('',#399050,10.); #116646=VECTOR('',#399051,10.); #116647=VECTOR('',#399052,10.); #116648=VECTOR('',#399055,10.); #116649=VECTOR('',#399056,10.); #116650=VECTOR('',#399057,10.); #116651=VECTOR('',#399060,10.); #116652=VECTOR('',#399061,10.); #116653=VECTOR('',#399062,10.); #116654=VECTOR('',#399065,10.); #116655=VECTOR('',#399066,10.); #116656=VECTOR('',#399067,10.); #116657=VECTOR('',#399070,10.); #116658=VECTOR('',#399071,10.); #116659=VECTOR('',#399072,10.); #116660=VECTOR('',#399075,10.); #116661=VECTOR('',#399076,10.); #116662=VECTOR('',#399077,10.); #116663=VECTOR('',#399080,10.); #116664=VECTOR('',#399081,10.); #116665=VECTOR('',#399082,10.); #116666=VECTOR('',#399085,10.); #116667=VECTOR('',#399086,10.); #116668=VECTOR('',#399087,10.); #116669=VECTOR('',#399090,10.); #116670=VECTOR('',#399091,10.); #116671=VECTOR('',#399092,10.); #116672=VECTOR('',#399095,10.); #116673=VECTOR('',#399096,10.); #116674=VECTOR('',#399097,10.); #116675=VECTOR('',#399100,10.); #116676=VECTOR('',#399101,10.); #116677=VECTOR('',#399102,10.); #116678=VECTOR('',#399105,10.); #116679=VECTOR('',#399106,10.); #116680=VECTOR('',#399107,10.); #116681=VECTOR('',#399110,10.); #116682=VECTOR('',#399111,10.); #116683=VECTOR('',#399112,10.); #116684=VECTOR('',#399115,10.); #116685=VECTOR('',#399116,10.); #116686=VECTOR('',#399117,10.); #116687=VECTOR('',#399120,10.); #116688=VECTOR('',#399121,10.); #116689=VECTOR('',#399122,10.); #116690=VECTOR('',#399125,10.); #116691=VECTOR('',#399126,10.); #116692=VECTOR('',#399127,10.); #116693=VECTOR('',#399130,10.); #116694=VECTOR('',#399131,10.); #116695=VECTOR('',#399132,10.); #116696=VECTOR('',#399135,10.); #116697=VECTOR('',#399136,10.); #116698=VECTOR('',#399137,10.); #116699=VECTOR('',#399140,10.); #116700=VECTOR('',#399141,10.); #116701=VECTOR('',#399142,10.); #116702=VECTOR('',#399145,10.); #116703=VECTOR('',#399146,10.); #116704=VECTOR('',#399147,10.); #116705=VECTOR('',#399150,10.); #116706=VECTOR('',#399151,10.); #116707=VECTOR('',#399152,10.); #116708=VECTOR('',#399155,10.); #116709=VECTOR('',#399156,10.); #116710=VECTOR('',#399157,10.); #116711=VECTOR('',#399160,10.); #116712=VECTOR('',#399161,10.); #116713=VECTOR('',#399162,10.); #116714=VECTOR('',#399165,10.); #116715=VECTOR('',#399166,10.); #116716=VECTOR('',#399167,10.); #116717=VECTOR('',#399170,10.); #116718=VECTOR('',#399171,10.); #116719=VECTOR('',#399172,10.); #116720=VECTOR('',#399175,10.); #116721=VECTOR('',#399176,10.); #116722=VECTOR('',#399177,10.); #116723=VECTOR('',#399180,10.); #116724=VECTOR('',#399181,10.); #116725=VECTOR('',#399182,10.); #116726=VECTOR('',#399185,10.); #116727=VECTOR('',#399186,10.); #116728=VECTOR('',#399193,10.); #116729=VECTOR('',#399194,10.); #116730=VECTOR('',#399195,10.); #116731=VECTOR('',#399196,10.); #116732=VECTOR('',#399199,10.); #116733=VECTOR('',#399200,10.); #116734=VECTOR('',#399201,10.); #116735=VECTOR('',#399204,10.); #116736=VECTOR('',#399205,10.); #116737=VECTOR('',#399206,10.); #116738=VECTOR('',#399209,10.); #116739=VECTOR('',#399210,10.); #116740=VECTOR('',#399211,10.); #116741=VECTOR('',#399214,10.); #116742=VECTOR('',#399215,10.); #116743=VECTOR('',#399216,10.); #116744=VECTOR('',#399219,10.); #116745=VECTOR('',#399220,10.); #116746=VECTOR('',#399221,10.); #116747=VECTOR('',#399224,10.); #116748=VECTOR('',#399225,10.); #116749=VECTOR('',#399226,10.); #116750=VECTOR('',#399229,10.); #116751=VECTOR('',#399230,10.); #116752=VECTOR('',#399231,10.); #116753=VECTOR('',#399234,10.); #116754=VECTOR('',#399235,10.); #116755=VECTOR('',#399236,10.); #116756=VECTOR('',#399239,10.); #116757=VECTOR('',#399240,10.); #116758=VECTOR('',#399241,10.); #116759=VECTOR('',#399244,10.); #116760=VECTOR('',#399245,10.); #116761=VECTOR('',#399246,10.); #116762=VECTOR('',#399249,10.); #116763=VECTOR('',#399250,10.); #116764=VECTOR('',#399251,10.); #116765=VECTOR('',#399254,10.); #116766=VECTOR('',#399255,10.); #116767=VECTOR('',#399256,10.); #116768=VECTOR('',#399259,10.); #116769=VECTOR('',#399260,10.); #116770=VECTOR('',#399261,10.); #116771=VECTOR('',#399264,10.); #116772=VECTOR('',#399265,10.); #116773=VECTOR('',#399266,10.); #116774=VECTOR('',#399269,10.); #116775=VECTOR('',#399270,10.); #116776=VECTOR('',#399271,10.); #116777=VECTOR('',#399274,10.); #116778=VECTOR('',#399275,10.); #116779=VECTOR('',#399276,10.); #116780=VECTOR('',#399279,10.); #116781=VECTOR('',#399280,10.); #116782=VECTOR('',#399281,10.); #116783=VECTOR('',#399284,10.); #116784=VECTOR('',#399285,10.); #116785=VECTOR('',#399286,10.); #116786=VECTOR('',#399289,10.); #116787=VECTOR('',#399290,10.); #116788=VECTOR('',#399291,10.); #116789=VECTOR('',#399294,10.); #116790=VECTOR('',#399295,10.); #116791=VECTOR('',#399302,10.); #116792=VECTOR('',#399303,10.); #116793=VECTOR('',#399304,10.); #116794=VECTOR('',#399305,10.); #116795=VECTOR('',#399308,10.); #116796=VECTOR('',#399309,10.); #116797=VECTOR('',#399310,10.); #116798=VECTOR('',#399313,10.); #116799=VECTOR('',#399314,10.); #116800=VECTOR('',#399315,10.); #116801=VECTOR('',#399318,10.); #116802=VECTOR('',#399319,10.); #116803=VECTOR('',#399320,10.); #116804=VECTOR('',#399323,10.); #116805=VECTOR('',#399324,10.); #116806=VECTOR('',#399325,10.); #116807=VECTOR('',#399328,10.); #116808=VECTOR('',#399329,10.); #116809=VECTOR('',#399330,10.); #116810=VECTOR('',#399333,10.); #116811=VECTOR('',#399334,10.); #116812=VECTOR('',#399335,10.); #116813=VECTOR('',#399338,10.); #116814=VECTOR('',#399339,10.); #116815=VECTOR('',#399340,10.); #116816=VECTOR('',#399343,10.); #116817=VECTOR('',#399344,10.); #116818=VECTOR('',#399345,10.); #116819=VECTOR('',#399348,10.); #116820=VECTOR('',#399349,10.); #116821=VECTOR('',#399350,10.); #116822=VECTOR('',#399353,10.); #116823=VECTOR('',#399354,10.); #116824=VECTOR('',#399355,10.); #116825=VECTOR('',#399358,10.); #116826=VECTOR('',#399359,10.); #116827=VECTOR('',#399360,10.); #116828=VECTOR('',#399363,10.); #116829=VECTOR('',#399364,10.); #116830=VECTOR('',#399365,10.); #116831=VECTOR('',#399368,10.); #116832=VECTOR('',#399369,10.); #116833=VECTOR('',#399370,10.); #116834=VECTOR('',#399373,10.); #116835=VECTOR('',#399374,10.); #116836=VECTOR('',#399375,10.); #116837=VECTOR('',#399378,10.); #116838=VECTOR('',#399379,10.); #116839=VECTOR('',#399380,10.); #116840=VECTOR('',#399383,10.); #116841=VECTOR('',#399384,10.); #116842=VECTOR('',#399385,10.); #116843=VECTOR('',#399388,10.); #116844=VECTOR('',#399389,10.); #116845=VECTOR('',#399390,10.); #116846=VECTOR('',#399393,10.); #116847=VECTOR('',#399394,10.); #116848=VECTOR('',#399395,10.); #116849=VECTOR('',#399398,10.); #116850=VECTOR('',#399399,10.); #116851=VECTOR('',#399400,10.); #116852=VECTOR('',#399403,10.); #116853=VECTOR('',#399404,10.); #116854=VECTOR('',#399405,10.); #116855=VECTOR('',#399408,10.); #116856=VECTOR('',#399409,10.); #116857=VECTOR('',#399410,10.); #116858=VECTOR('',#399413,10.); #116859=VECTOR('',#399414,10.); #116860=VECTOR('',#399415,10.); #116861=VECTOR('',#399418,10.); #116862=VECTOR('',#399419,10.); #116863=VECTOR('',#399420,10.); #116864=VECTOR('',#399423,10.); #116865=VECTOR('',#399424,10.); #116866=VECTOR('',#399425,10.); #116867=VECTOR('',#399428,10.); #116868=VECTOR('',#399429,10.); #116869=VECTOR('',#399430,10.); #116870=VECTOR('',#399433,10.); #116871=VECTOR('',#399434,10.); #116872=VECTOR('',#399435,10.); #116873=VECTOR('',#399438,10.); #116874=VECTOR('',#399439,10.); #116875=VECTOR('',#399440,10.); #116876=VECTOR('',#399443,10.); #116877=VECTOR('',#399444,10.); #116878=VECTOR('',#399445,10.); #116879=VECTOR('',#399448,10.); #116880=VECTOR('',#399449,10.); #116881=VECTOR('',#399450,10.); #116882=VECTOR('',#399453,10.); #116883=VECTOR('',#399454,10.); #116884=VECTOR('',#399461,10.); #116885=VECTOR('',#399462,10.); #116886=VECTOR('',#399463,10.); #116887=VECTOR('',#399464,10.); #116888=VECTOR('',#399467,10.); #116889=VECTOR('',#399468,10.); #116890=VECTOR('',#399469,10.); #116891=VECTOR('',#399472,10.); #116892=VECTOR('',#399473,10.); #116893=VECTOR('',#399474,10.); #116894=VECTOR('',#399477,10.); #116895=VECTOR('',#399478,10.); #116896=VECTOR('',#399479,10.); #116897=VECTOR('',#399482,10.); #116898=VECTOR('',#399483,10.); #116899=VECTOR('',#399484,10.); #116900=VECTOR('',#399487,10.); #116901=VECTOR('',#399488,10.); #116902=VECTOR('',#399489,10.); #116903=VECTOR('',#399492,10.); #116904=VECTOR('',#399493,10.); #116905=VECTOR('',#399494,10.); #116906=VECTOR('',#399497,10.); #116907=VECTOR('',#399498,10.); #116908=VECTOR('',#399499,10.); #116909=VECTOR('',#399502,10.); #116910=VECTOR('',#399503,10.); #116911=VECTOR('',#399504,10.); #116912=VECTOR('',#399507,10.); #116913=VECTOR('',#399508,10.); #116914=VECTOR('',#399509,10.); #116915=VECTOR('',#399512,10.); #116916=VECTOR('',#399513,10.); #116917=VECTOR('',#399514,10.); #116918=VECTOR('',#399517,10.); #116919=VECTOR('',#399518,10.); #116920=VECTOR('',#399519,10.); #116921=VECTOR('',#399522,10.); #116922=VECTOR('',#399523,10.); #116923=VECTOR('',#399524,10.); #116924=VECTOR('',#399527,10.); #116925=VECTOR('',#399528,10.); #116926=VECTOR('',#399529,10.); #116927=VECTOR('',#399532,10.); #116928=VECTOR('',#399533,10.); #116929=VECTOR('',#399534,10.); #116930=VECTOR('',#399537,10.); #116931=VECTOR('',#399538,10.); #116932=VECTOR('',#399539,10.); #116933=VECTOR('',#399542,10.); #116934=VECTOR('',#399543,10.); #116935=VECTOR('',#399544,10.); #116936=VECTOR('',#399547,10.); #116937=VECTOR('',#399548,10.); #116938=VECTOR('',#399549,10.); #116939=VECTOR('',#399552,10.); #116940=VECTOR('',#399553,10.); #116941=VECTOR('',#399554,10.); #116942=VECTOR('',#399557,10.); #116943=VECTOR('',#399558,10.); #116944=VECTOR('',#399559,10.); #116945=VECTOR('',#399562,10.); #116946=VECTOR('',#399563,10.); #116947=VECTOR('',#399564,10.); #116948=VECTOR('',#399567,10.); #116949=VECTOR('',#399568,10.); #116950=VECTOR('',#399575,10.); #116951=VECTOR('',#399576,10.); #116952=VECTOR('',#399577,10.); #116953=VECTOR('',#399578,10.); #116954=VECTOR('',#399581,10.); #116955=VECTOR('',#399582,10.); #116956=VECTOR('',#399583,10.); #116957=VECTOR('',#399586,10.); #116958=VECTOR('',#399587,10.); #116959=VECTOR('',#399588,10.); #116960=VECTOR('',#399591,10.); #116961=VECTOR('',#399592,10.); #116962=VECTOR('',#399593,10.); #116963=VECTOR('',#399596,10.); #116964=VECTOR('',#399597,10.); #116965=VECTOR('',#399598,10.); #116966=VECTOR('',#399601,10.); #116967=VECTOR('',#399602,10.); #116968=VECTOR('',#399603,10.); #116969=VECTOR('',#399606,10.); #116970=VECTOR('',#399607,10.); #116971=VECTOR('',#399608,10.); #116972=VECTOR('',#399611,10.); #116973=VECTOR('',#399612,10.); #116974=VECTOR('',#399613,10.); #116975=VECTOR('',#399616,10.); #116976=VECTOR('',#399617,10.); #116977=VECTOR('',#399618,10.); #116978=VECTOR('',#399621,10.); #116979=VECTOR('',#399622,10.); #116980=VECTOR('',#399623,10.); #116981=VECTOR('',#399626,10.); #116982=VECTOR('',#399627,10.); #116983=VECTOR('',#399628,10.); #116984=VECTOR('',#399631,10.); #116985=VECTOR('',#399632,10.); #116986=VECTOR('',#399633,10.); #116987=VECTOR('',#399636,10.); #116988=VECTOR('',#399637,10.); #116989=VECTOR('',#399638,10.); #116990=VECTOR('',#399641,10.); #116991=VECTOR('',#399642,10.); #116992=VECTOR('',#399643,10.); #116993=VECTOR('',#399646,10.); #116994=VECTOR('',#399647,10.); #116995=VECTOR('',#399648,10.); #116996=VECTOR('',#399651,10.); #116997=VECTOR('',#399652,10.); #116998=VECTOR('',#399653,10.); #116999=VECTOR('',#399656,10.); #117000=VECTOR('',#399657,10.); #117001=VECTOR('',#399658,10.); #117002=VECTOR('',#399661,10.); #117003=VECTOR('',#399662,10.); #117004=VECTOR('',#399663,10.); #117005=VECTOR('',#399666,10.); #117006=VECTOR('',#399667,10.); #117007=VECTOR('',#399668,10.); #117008=VECTOR('',#399671,10.); #117009=VECTOR('',#399672,10.); #117010=VECTOR('',#399681,0.140000000000002); #117011=VECTOR('',#399688,0.139999999999998); #117012=VECTOR('',#399695,0.139999999999998); #117013=VECTOR('',#399702,0.139999999999998); #117014=VECTOR('',#399709,0.139999999999998); #117015=VECTOR('',#399716,0.139999999999998); #117016=VECTOR('',#399723,0.465); #117017=VECTOR('',#399730,0.139999999999998); #117018=VECTOR('',#399737,0.139999999999998); #117019=VECTOR('',#399744,0.139999999999998); #117020=VECTOR('',#399751,0.139999999999998); #117021=VECTOR('',#399758,0.139999999999998); #117022=VECTOR('',#399765,0.139999999999998); #117023=VECTOR('',#399772,0.514999999999999); #117024=VECTOR('',#399779,0.139999999999998); #117025=VECTOR('',#399786,0.139999999999998); #117026=VECTOR('',#399793,0.139999999999998); #117027=VECTOR('',#399800,0.139999999999998); #117028=VECTOR('',#399807,0.139999999999998); #117029=VECTOR('',#399814,0.139999999999998); #117030=VECTOR('',#399821,0.139999999999998); #117031=VECTOR('',#399828,0.139999999999998); #117032=VECTOR('',#399835,0.14); #117033=VECTOR('',#399842,0.139999999999998); #117034=VECTOR('',#399849,0.14); #117035=VECTOR('',#399856,0.139999999999998); #117036=VECTOR('',#399863,0.14); #117037=VECTOR('',#399870,0.139999999999998); #117038=VECTOR('',#399877,0.14); #117039=VECTOR('',#399884,0.140000000000002); #117040=VECTOR('',#399891,0.139999999999998); #117041=VECTOR('',#399898,0.140000000000002); #117042=VECTOR('',#399905,0.139999999999998); #117043=VECTOR('',#399912,0.140000000000002); #117044=VECTOR('',#399919,0.139999999999998); #117045=VECTOR('',#399926,0.140000000000002); #117046=VECTOR('',#399933,0.140000000000002); #117047=VECTOR('',#399940,0.139999999999998); #117048=VECTOR('',#399947,0.140000000000002); #117049=VECTOR('',#399954,0.140000000000002); #117050=VECTOR('',#399961,0.139999999999998); #117051=VECTOR('',#399968,0.140000000000002); #117052=VECTOR('',#399975,0.140000000000002); #117053=VECTOR('',#399982,0.139999999999998); #117054=VECTOR('',#399989,0.140000000000002); #117055=VECTOR('',#399996,0.139999999999998); #117056=VECTOR('',#400003,0.140000000000002); #117057=VECTOR('',#400010,0.139999999999998); #117058=VECTOR('',#400017,0.140000000000002); #117059=VECTOR('',#400024,0.14); #117060=VECTOR('',#400031,0.139999999999998); #117061=VECTOR('',#400038,0.14); #117062=VECTOR('',#400045,0.139999999999998); #117063=VECTOR('',#400052,0.14); #117064=VECTOR('',#400059,0.139999999999998); #117065=VECTOR('',#400066,0.14); #117066=VECTOR('',#400073,0.14); #117067=VECTOR('',#400080,0.139999999999998); #117068=VECTOR('',#400087,0.14); #117069=VECTOR('',#400094,0.139999999999998); #117070=VECTOR('',#400101,0.14); #117071=VECTOR('',#400108,0.139999999999998); #117072=VECTOR('',#400115,0.14); #117073=VECTOR('',#400122,0.465); #117074=VECTOR('',#400127,10.); #117075=VECTOR('',#400128,10.); #117076=VECTOR('',#400129,10.); #117077=VECTOR('',#400130,10.); #117078=VECTOR('',#400137,10.); #117079=VECTOR('',#400140,10.); #117080=VECTOR('',#400141,10.); #117081=VECTOR('',#400142,10.); #117082=VECTOR('',#400151,10.); #117083=VECTOR('',#400152,10.); #117084=VECTOR('',#400153,10.); #117085=VECTOR('',#400154,10.); #117086=VECTOR('',#400161,10.); #117087=VECTOR('',#400164,10.); #117088=VECTOR('',#400165,10.); #117089=VECTOR('',#400166,10.); #117090=VECTOR('',#400177,0.139999999999998); #117091=VECTOR('',#400184,0.114999999999998); #117092=VECTOR('',#400189,10.); #117093=VECTOR('',#400190,10.); #117094=VECTOR('',#400191,10.); #117095=VECTOR('',#400192,10.); #117096=VECTOR('',#400199,10.); #117097=VECTOR('',#400202,10.); #117098=VECTOR('',#400203,10.); #117099=VECTOR('',#400204,10.); #117100=VECTOR('',#400215,0.139999999999998); #117101=VECTOR('',#400222,0.114999999999998); #117102=VECTOR('',#400229,0.139999999999998); #117103=VECTOR('',#400236,0.114999999999998); #117104=VECTOR('',#400243,0.114999999999998); #117105=VECTOR('',#400248,10.); #117106=VECTOR('',#400249,10.); #117107=VECTOR('',#400250,10.); #117108=VECTOR('',#400251,10.); #117109=VECTOR('',#400258,10.); #117110=VECTOR('',#400261,10.); #117111=VECTOR('',#400262,10.); #117112=VECTOR('',#400263,10.); #117113=VECTOR('',#400274,0.139999999999998); #117114=VECTOR('',#400279,10.); #117115=VECTOR('',#400280,10.); #117116=VECTOR('',#400281,10.); #117117=VECTOR('',#400282,10.); #117118=VECTOR('',#400289,10.); #117119=VECTOR('',#400292,10.); #117120=VECTOR('',#400293,10.); #117121=VECTOR('',#400294,10.); #117122=VECTOR('',#400303,10.); #117123=VECTOR('',#400304,10.); #117124=VECTOR('',#400305,10.); #117125=VECTOR('',#400306,10.); #117126=VECTOR('',#400313,10.); #117127=VECTOR('',#400316,10.); #117128=VECTOR('',#400317,10.); #117129=VECTOR('',#400318,10.); #117130=VECTOR('',#400329,0.139999999999998); #117131=VECTOR('',#400336,0.139999999999998); #117132=VECTOR('',#400343,0.140000000000002); #117133=VECTOR('',#400350,0.139999999999998); #117134=VECTOR('',#400357,0.140000000000002); #117135=VECTOR('',#400364,0.140000000000002); #117136=VECTOR('',#400371,0.139999999999998); #117137=VECTOR('',#400378,0.140000000000002); #117138=VECTOR('',#400385,0.139999999999998); #117139=VECTOR('',#400392,0.139999999999998); #117140=VECTOR('',#400399,0.139999999999998); #117141=VECTOR('',#400406,0.139999999999998); #117142=VECTOR('',#400413,0.139999999999998); #117143=VECTOR('',#400420,0.140000000000002); #117144=VECTOR('',#400427,0.514999999999999); #117145=VECTOR('',#400434,0.140000000000002); #117146=VECTOR('',#400441,0.139999999999998); #117147=VECTOR('',#400448,0.140000000000002); #117148=VECTOR('',#400455,0.140000000000002); #117149=VECTOR('',#400462,0.139999999999998); #117150=VECTOR('',#400469,0.140000000000002); #117151=VECTOR('',#400476,0.140000000000002); #117152=VECTOR('',#400483,0.139999999999998); #117153=VECTOR('',#400490,0.140000000000002); #117154=VECTOR('',#400497,0.140000000000002); #117155=VECTOR('',#400504,0.139999999999998); #117156=VECTOR('',#400511,0.140000000000002); #117157=VECTOR('',#400518,0.564999999999998); #117158=VECTOR('',#400525,0.14); #117159=VECTOR('',#400532,0.139999999999998); #117160=VECTOR('',#400539,0.140000000000002); #117161=VECTOR('',#400546,0.140000000000002); #117162=VECTOR('',#400553,0.139999999999998); #117163=VECTOR('',#400560,0.140000000000002); #117164=VECTOR('',#400567,0.140000000000002); #117165=VECTOR('',#400574,0.139999999999998); #117166=VECTOR('',#400581,0.140000000000002); #117167=VECTOR('',#400588,0.140000000000002); #117168=VECTOR('',#400595,0.139999999999998); #117169=VECTOR('',#400602,0.140000000000002); #117170=VECTOR('',#400609,0.14); #117171=VECTOR('',#400616,0.139999999999998); #117172=VECTOR('',#400623,0.14); #117173=VECTOR('',#400630,0.14); #117174=VECTOR('',#400637,0.139999999999998); #117175=VECTOR('',#400644,0.14); #117176=VECTOR('',#400651,0.14); #117177=VECTOR('',#400658,0.139999999999998); #117178=VECTOR('',#400665,0.14); #117179=VECTOR('',#400672,0.14); #117180=VECTOR('',#400679,0.139999999999998); #117181=VECTOR('',#400686,0.14); #117182=VECTOR('',#400691,10.); #117183=VECTOR('',#400692,10.); #117184=VECTOR('',#400693,10.); #117185=VECTOR('',#400694,10.); #117186=VECTOR('',#400697,10.); #117187=VECTOR('',#400698,10.); #117188=VECTOR('',#400699,10.); #117189=VECTOR('',#400702,10.); #117190=VECTOR('',#400703,10.); #117191=VECTOR('',#400704,10.); #117192=VECTOR('',#400707,10.); #117193=VECTOR('',#400708,10.); #117194=VECTOR('',#400709,10.); #117195=VECTOR('',#400712,10.); #117196=VECTOR('',#400713,10.); #117197=VECTOR('',#400714,10.); #117198=VECTOR('',#400717,10.); #117199=VECTOR('',#400718,10.); #117200=VECTOR('',#400719,10.); #117201=VECTOR('',#400722,10.); #117202=VECTOR('',#400723,10.); #117203=VECTOR('',#400724,10.); #117204=VECTOR('',#400727,10.); #117205=VECTOR('',#400728,10.); #117206=VECTOR('',#400729,10.); #117207=VECTOR('',#400732,10.); #117208=VECTOR('',#400733,10.); #117209=VECTOR('',#400734,10.); #117210=VECTOR('',#400737,10.); #117211=VECTOR('',#400738,10.); #117212=VECTOR('',#400739,10.); #117213=VECTOR('',#400742,10.); #117214=VECTOR('',#400743,10.); #117215=VECTOR('',#400744,10.); #117216=VECTOR('',#400747,10.); #117217=VECTOR('',#400748,10.); #117218=VECTOR('',#400749,10.); #117219=VECTOR('',#400752,10.); #117220=VECTOR('',#400753,10.); #117221=VECTOR('',#400754,10.); #117222=VECTOR('',#400757,10.); #117223=VECTOR('',#400758,10.); #117224=VECTOR('',#400759,10.); #117225=VECTOR('',#400762,10.); #117226=VECTOR('',#400763,10.); #117227=VECTOR('',#400764,10.); #117228=VECTOR('',#400767,10.); #117229=VECTOR('',#400768,10.); #117230=VECTOR('',#400769,10.); #117231=VECTOR('',#400772,10.); #117232=VECTOR('',#400773,10.); #117233=VECTOR('',#400774,10.); #117234=VECTOR('',#400777,10.); #117235=VECTOR('',#400778,10.); #117236=VECTOR('',#400779,10.); #117237=VECTOR('',#400782,10.); #117238=VECTOR('',#400783,10.); #117239=VECTOR('',#400784,10.); #117240=VECTOR('',#400787,10.); #117241=VECTOR('',#400788,10.); #117242=VECTOR('',#400789,10.); #117243=VECTOR('',#400792,10.); #117244=VECTOR('',#400793,10.); #117245=VECTOR('',#400794,10.); #117246=VECTOR('',#400797,10.); #117247=VECTOR('',#400798,10.); #117248=VECTOR('',#400799,10.); #117249=VECTOR('',#400802,10.); #117250=VECTOR('',#400803,10.); #117251=VECTOR('',#400804,10.); #117252=VECTOR('',#400807,10.); #117253=VECTOR('',#400808,10.); #117254=VECTOR('',#400809,10.); #117255=VECTOR('',#400812,10.); #117256=VECTOR('',#400813,10.); #117257=VECTOR('',#400814,10.); #117258=VECTOR('',#400817,10.); #117259=VECTOR('',#400818,10.); #117260=VECTOR('',#400819,10.); #117261=VECTOR('',#400822,10.); #117262=VECTOR('',#400823,10.); #117263=VECTOR('',#400824,10.); #117264=VECTOR('',#400827,10.); #117265=VECTOR('',#400828,10.); #117266=VECTOR('',#400829,10.); #117267=VECTOR('',#400832,10.); #117268=VECTOR('',#400833,10.); #117269=VECTOR('',#400834,10.); #117270=VECTOR('',#400837,10.); #117271=VECTOR('',#400838,10.); #117272=VECTOR('',#400839,10.); #117273=VECTOR('',#400842,10.); #117274=VECTOR('',#400843,10.); #117275=VECTOR('',#400844,10.); #117276=VECTOR('',#400847,10.); #117277=VECTOR('',#400848,10.); #117278=VECTOR('',#400849,10.); #117279=VECTOR('',#400852,10.); #117280=VECTOR('',#400853,10.); #117281=VECTOR('',#400854,10.); #117282=VECTOR('',#400857,10.); #117283=VECTOR('',#400858,10.); #117284=VECTOR('',#400859,10.); #117285=VECTOR('',#400862,10.); #117286=VECTOR('',#400863,10.); #117287=VECTOR('',#400864,10.); #117288=VECTOR('',#400867,10.); #117289=VECTOR('',#400868,10.); #117290=VECTOR('',#400869,10.); #117291=VECTOR('',#400872,10.); #117292=VECTOR('',#400873,10.); #117293=VECTOR('',#400874,10.); #117294=VECTOR('',#400877,10.); #117295=VECTOR('',#400878,10.); #117296=VECTOR('',#400879,10.); #117297=VECTOR('',#400882,10.); #117298=VECTOR('',#400883,10.); #117299=VECTOR('',#400884,10.); #117300=VECTOR('',#400887,10.); #117301=VECTOR('',#400888,10.); #117302=VECTOR('',#400889,10.); #117303=VECTOR('',#400892,10.); #117304=VECTOR('',#400893,10.); #117305=VECTOR('',#400894,10.); #117306=VECTOR('',#400897,10.); #117307=VECTOR('',#400898,10.); #117308=VECTOR('',#400899,10.); #117309=VECTOR('',#400902,10.); #117310=VECTOR('',#400903,10.); #117311=VECTOR('',#400904,10.); #117312=VECTOR('',#400907,10.); #117313=VECTOR('',#400908,10.); #117314=VECTOR('',#400909,10.); #117315=VECTOR('',#400912,10.); #117316=VECTOR('',#400913,10.); #117317=VECTOR('',#400914,10.); #117318=VECTOR('',#400917,10.); #117319=VECTOR('',#400918,10.); #117320=VECTOR('',#400919,10.); #117321=VECTOR('',#400922,10.); #117322=VECTOR('',#400923,10.); #117323=VECTOR('',#400924,10.); #117324=VECTOR('',#400927,10.); #117325=VECTOR('',#400928,10.); #117326=VECTOR('',#400929,10.); #117327=VECTOR('',#400932,10.); #117328=VECTOR('',#400933,10.); #117329=VECTOR('',#400934,10.); #117330=VECTOR('',#400937,10.); #117331=VECTOR('',#400938,10.); #117332=VECTOR('',#400939,10.); #117333=VECTOR('',#400942,10.); #117334=VECTOR('',#400943,10.); #117335=VECTOR('',#400944,10.); #117336=VECTOR('',#400947,10.); #117337=VECTOR('',#400948,10.); #117338=VECTOR('',#400949,10.); #117339=VECTOR('',#400952,10.); #117340=VECTOR('',#400953,10.); #117341=VECTOR('',#400954,10.); #117342=VECTOR('',#400957,10.); #117343=VECTOR('',#400958,10.); #117344=VECTOR('',#400959,10.); #117345=VECTOR('',#400962,10.); #117346=VECTOR('',#400963,10.); #117347=VECTOR('',#400964,10.); #117348=VECTOR('',#400967,10.); #117349=VECTOR('',#400968,10.); #117350=VECTOR('',#400969,10.); #117351=VECTOR('',#400972,10.); #117352=VECTOR('',#400973,10.); #117353=VECTOR('',#400974,10.); #117354=VECTOR('',#400977,10.); #117355=VECTOR('',#400978,10.); #117356=VECTOR('',#400979,10.); #117357=VECTOR('',#400982,10.); #117358=VECTOR('',#400983,10.); #117359=VECTOR('',#400984,10.); #117360=VECTOR('',#400987,10.); #117361=VECTOR('',#400988,10.); #117362=VECTOR('',#400989,10.); #117363=VECTOR('',#400992,10.); #117364=VECTOR('',#400993,10.); #117365=VECTOR('',#400994,10.); #117366=VECTOR('',#400997,10.); #117367=VECTOR('',#400998,10.); #117368=VECTOR('',#400999,10.); #117369=VECTOR('',#401002,10.); #117370=VECTOR('',#401003,10.); #117371=VECTOR('',#401004,10.); #117372=VECTOR('',#401007,10.); #117373=VECTOR('',#401008,10.); #117374=VECTOR('',#401009,10.); #117375=VECTOR('',#401012,10.); #117376=VECTOR('',#401013,10.); #117377=VECTOR('',#401014,10.); #117378=VECTOR('',#401017,10.); #117379=VECTOR('',#401018,10.); #117380=VECTOR('',#401019,10.); #117381=VECTOR('',#401022,10.); #117382=VECTOR('',#401023,10.); #117383=VECTOR('',#401024,10.); #117384=VECTOR('',#401027,10.); #117385=VECTOR('',#401028,10.); #117386=VECTOR('',#401029,10.); #117387=VECTOR('',#401032,10.); #117388=VECTOR('',#401033,10.); #117389=VECTOR('',#401034,10.); #117390=VECTOR('',#401037,10.); #117391=VECTOR('',#401038,10.); #117392=VECTOR('',#401039,10.); #117393=VECTOR('',#401042,10.); #117394=VECTOR('',#401043,10.); #117395=VECTOR('',#401044,10.); #117396=VECTOR('',#401047,10.); #117397=VECTOR('',#401048,10.); #117398=VECTOR('',#401049,10.); #117399=VECTOR('',#401052,10.); #117400=VECTOR('',#401053,10.); #117401=VECTOR('',#401054,10.); #117402=VECTOR('',#401057,10.); #117403=VECTOR('',#401058,10.); #117404=VECTOR('',#401059,10.); #117405=VECTOR('',#401062,10.); #117406=VECTOR('',#401063,10.); #117407=VECTOR('',#401064,10.); #117408=VECTOR('',#401067,10.); #117409=VECTOR('',#401068,10.); #117410=VECTOR('',#401069,10.); #117411=VECTOR('',#401072,10.); #117412=VECTOR('',#401073,10.); #117413=VECTOR('',#401074,10.); #117414=VECTOR('',#401077,10.); #117415=VECTOR('',#401078,10.); #117416=VECTOR('',#401079,10.); #117417=VECTOR('',#401082,10.); #117418=VECTOR('',#401083,10.); #117419=VECTOR('',#401084,10.); #117420=VECTOR('',#401087,10.); #117421=VECTOR('',#401088,10.); #117422=VECTOR('',#401089,10.); #117423=VECTOR('',#401092,10.); #117424=VECTOR('',#401093,10.); #117425=VECTOR('',#401094,10.); #117426=VECTOR('',#401097,10.); #117427=VECTOR('',#401098,10.); #117428=VECTOR('',#401099,10.); #117429=VECTOR('',#401102,10.); #117430=VECTOR('',#401103,10.); #117431=VECTOR('',#401104,10.); #117432=VECTOR('',#401107,10.); #117433=VECTOR('',#401108,10.); #117434=VECTOR('',#401109,10.); #117435=VECTOR('',#401112,10.); #117436=VECTOR('',#401113,10.); #117437=VECTOR('',#401114,10.); #117438=VECTOR('',#401117,10.); #117439=VECTOR('',#401118,10.); #117440=VECTOR('',#401119,10.); #117441=VECTOR('',#401122,10.); #117442=VECTOR('',#401123,10.); #117443=VECTOR('',#401124,10.); #117444=VECTOR('',#401127,10.); #117445=VECTOR('',#401128,10.); #117446=VECTOR('',#401129,10.); #117447=VECTOR('',#401132,10.); #117448=VECTOR('',#401133,10.); #117449=VECTOR('',#401134,10.); #117450=VECTOR('',#401137,10.); #117451=VECTOR('',#401138,10.); #117452=VECTOR('',#401139,10.); #117453=VECTOR('',#401142,10.); #117454=VECTOR('',#401143,10.); #117455=VECTOR('',#401144,10.); #117456=VECTOR('',#401147,10.); #117457=VECTOR('',#401148,10.); #117458=VECTOR('',#401149,10.); #117459=VECTOR('',#401152,10.); #117460=VECTOR('',#401153,10.); #117461=VECTOR('',#401154,10.); #117462=VECTOR('',#401157,10.); #117463=VECTOR('',#401158,10.); #117464=VECTOR('',#401159,10.); #117465=VECTOR('',#401162,10.); #117466=VECTOR('',#401163,10.); #117467=VECTOR('',#401164,10.); #117468=VECTOR('',#401167,10.); #117469=VECTOR('',#401168,10.); #117470=VECTOR('',#401169,10.); #117471=VECTOR('',#401172,10.); #117472=VECTOR('',#401173,10.); #117473=VECTOR('',#401174,10.); #117474=VECTOR('',#401177,10.); #117475=VECTOR('',#401178,10.); #117476=VECTOR('',#401179,10.); #117477=VECTOR('',#401182,10.); #117478=VECTOR('',#401183,10.); #117479=VECTOR('',#401184,10.); #117480=VECTOR('',#401187,10.); #117481=VECTOR('',#401188,10.); #117482=VECTOR('',#401189,10.); #117483=VECTOR('',#401192,10.); #117484=VECTOR('',#401193,10.); #117485=VECTOR('',#401194,10.); #117486=VECTOR('',#401197,10.); #117487=VECTOR('',#401198,10.); #117488=VECTOR('',#401199,10.); #117489=VECTOR('',#401202,10.); #117490=VECTOR('',#401203,10.); #117491=VECTOR('',#401204,10.); #117492=VECTOR('',#401207,10.); #117493=VECTOR('',#401208,10.); #117494=VECTOR('',#401209,10.); #117495=VECTOR('',#401212,10.); #117496=VECTOR('',#401213,10.); #117497=VECTOR('',#401214,10.); #117498=VECTOR('',#401217,10.); #117499=VECTOR('',#401218,10.); #117500=VECTOR('',#401219,10.); #117501=VECTOR('',#401222,10.); #117502=VECTOR('',#401223,10.); #117503=VECTOR('',#401224,10.); #117504=VECTOR('',#401227,10.); #117505=VECTOR('',#401228,10.); #117506=VECTOR('',#401229,10.); #117507=VECTOR('',#401232,10.); #117508=VECTOR('',#401233,10.); #117509=VECTOR('',#401234,10.); #117510=VECTOR('',#401237,10.); #117511=VECTOR('',#401238,10.); #117512=VECTOR('',#401239,10.); #117513=VECTOR('',#401242,10.); #117514=VECTOR('',#401243,10.); #117515=VECTOR('',#401244,10.); #117516=VECTOR('',#401247,10.); #117517=VECTOR('',#401248,10.); #117518=VECTOR('',#401249,10.); #117519=VECTOR('',#401252,10.); #117520=VECTOR('',#401253,10.); #117521=VECTOR('',#401254,10.); #117522=VECTOR('',#401257,10.); #117523=VECTOR('',#401258,10.); #117524=VECTOR('',#401259,10.); #117525=VECTOR('',#401262,10.); #117526=VECTOR('',#401263,10.); #117527=VECTOR('',#401264,10.); #117528=VECTOR('',#401267,10.); #117529=VECTOR('',#401268,10.); #117530=VECTOR('',#401269,10.); #117531=VECTOR('',#401272,10.); #117532=VECTOR('',#401273,10.); #117533=VECTOR('',#401274,10.); #117534=VECTOR('',#401277,10.); #117535=VECTOR('',#401278,10.); #117536=VECTOR('',#401279,10.); #117537=VECTOR('',#401282,10.); #117538=VECTOR('',#401283,10.); #117539=VECTOR('',#401284,10.); #117540=VECTOR('',#401287,10.); #117541=VECTOR('',#401288,10.); #117542=VECTOR('',#401289,10.); #117543=VECTOR('',#401292,10.); #117544=VECTOR('',#401293,10.); #117545=VECTOR('',#401294,10.); #117546=VECTOR('',#401297,10.); #117547=VECTOR('',#401298,10.); #117548=VECTOR('',#401299,10.); #117549=VECTOR('',#401302,10.); #117550=VECTOR('',#401303,10.); #117551=VECTOR('',#401304,10.); #117552=VECTOR('',#401307,10.); #117553=VECTOR('',#401308,10.); #117554=VECTOR('',#401309,10.); #117555=VECTOR('',#401312,10.); #117556=VECTOR('',#401313,10.); #117557=VECTOR('',#401314,10.); #117558=VECTOR('',#401317,10.); #117559=VECTOR('',#401318,10.); #117560=VECTOR('',#401319,10.); #117561=VECTOR('',#401322,10.); #117562=VECTOR('',#401323,10.); #117563=VECTOR('',#401324,10.); #117564=VECTOR('',#401327,10.); #117565=VECTOR('',#401328,10.); #117566=VECTOR('',#401329,10.); #117567=VECTOR('',#401332,10.); #117568=VECTOR('',#401333,10.); #117569=VECTOR('',#401334,10.); #117570=VECTOR('',#401337,10.); #117571=VECTOR('',#401338,10.); #117572=VECTOR('',#401339,10.); #117573=VECTOR('',#401342,10.); #117574=VECTOR('',#401343,10.); #117575=VECTOR('',#401344,10.); #117576=VECTOR('',#401347,10.); #117577=VECTOR('',#401348,10.); #117578=VECTOR('',#401351,10.); #117579=VECTOR('',#401352,10.); #117580=VECTOR('',#401353,10.); #117581=VECTOR('',#401354,10.); #117582=VECTOR('',#401357,10.); #117583=VECTOR('',#401358,10.); #117584=VECTOR('',#401359,10.); #117585=VECTOR('',#401362,10.); #117586=VECTOR('',#401363,10.); #117587=VECTOR('',#401364,10.); #117588=VECTOR('',#401367,10.); #117589=VECTOR('',#401368,10.); #117590=VECTOR('',#401369,10.); #117591=VECTOR('',#401372,10.); #117592=VECTOR('',#401373,10.); #117593=VECTOR('',#401374,10.); #117594=VECTOR('',#401377,10.); #117595=VECTOR('',#401378,10.); #117596=VECTOR('',#401379,10.); #117597=VECTOR('',#401382,10.); #117598=VECTOR('',#401383,10.); #117599=VECTOR('',#401384,10.); #117600=VECTOR('',#401387,10.); #117601=VECTOR('',#401388,10.); #117602=VECTOR('',#401391,10.); #117603=VECTOR('',#401392,10.); #117604=VECTOR('',#401393,10.); #117605=VECTOR('',#401394,10.); #117606=VECTOR('',#401397,10.); #117607=VECTOR('',#401398,10.); #117608=VECTOR('',#401399,10.); #117609=VECTOR('',#401402,10.); #117610=VECTOR('',#401403,10.); #117611=VECTOR('',#401404,10.); #117612=VECTOR('',#401407,10.); #117613=VECTOR('',#401408,10.); #117614=VECTOR('',#401409,10.); #117615=VECTOR('',#401412,10.); #117616=VECTOR('',#401413,10.); #117617=VECTOR('',#401414,10.); #117618=VECTOR('',#401417,10.); #117619=VECTOR('',#401418,10.); #117620=VECTOR('',#401419,10.); #117621=VECTOR('',#401422,10.); #117622=VECTOR('',#401423,10.); #117623=VECTOR('',#401424,10.); #117624=VECTOR('',#401427,10.); #117625=VECTOR('',#401428,10.); #117626=VECTOR('',#401431,10.); #117627=VECTOR('',#401432,10.); #117628=VECTOR('',#401433,10.); #117629=VECTOR('',#401434,10.); #117630=VECTOR('',#401437,10.); #117631=VECTOR('',#401438,10.); #117632=VECTOR('',#401439,10.); #117633=VECTOR('',#401442,10.); #117634=VECTOR('',#401443,10.); #117635=VECTOR('',#401444,10.); #117636=VECTOR('',#401447,10.); #117637=VECTOR('',#401448,10.); #117638=VECTOR('',#401449,10.); #117639=VECTOR('',#401452,10.); #117640=VECTOR('',#401453,10.); #117641=VECTOR('',#401454,10.); #117642=VECTOR('',#401457,10.); #117643=VECTOR('',#401458,10.); #117644=VECTOR('',#401459,10.); #117645=VECTOR('',#401462,10.); #117646=VECTOR('',#401463,10.); #117647=VECTOR('',#401464,10.); #117648=VECTOR('',#401467,10.); #117649=VECTOR('',#401468,10.); #117650=VECTOR('',#401471,10.); #117651=VECTOR('',#401472,10.); #117652=VECTOR('',#401473,10.); #117653=VECTOR('',#401474,10.); #117654=VECTOR('',#401477,10.); #117655=VECTOR('',#401478,10.); #117656=VECTOR('',#401479,10.); #117657=VECTOR('',#401482,10.); #117658=VECTOR('',#401483,10.); #117659=VECTOR('',#401484,10.); #117660=VECTOR('',#401487,10.); #117661=VECTOR('',#401488,10.); #117662=VECTOR('',#401489,10.); #117663=VECTOR('',#401492,10.); #117664=VECTOR('',#401493,10.); #117665=VECTOR('',#401494,10.); #117666=VECTOR('',#401497,10.); #117667=VECTOR('',#401498,10.); #117668=VECTOR('',#401499,10.); #117669=VECTOR('',#401502,10.); #117670=VECTOR('',#401503,10.); #117671=VECTOR('',#401504,10.); #117672=VECTOR('',#401507,10.); #117673=VECTOR('',#401508,10.); #117674=VECTOR('',#401509,10.); #117675=VECTOR('',#401512,10.); #117676=VECTOR('',#401513,10.); #117677=VECTOR('',#401514,10.); #117678=VECTOR('',#401517,10.); #117679=VECTOR('',#401518,10.); #117680=VECTOR('',#401519,10.); #117681=VECTOR('',#401522,10.); #117682=VECTOR('',#401523,10.); #117683=VECTOR('',#401524,10.); #117684=VECTOR('',#401527,10.); #117685=VECTOR('',#401528,10.); #117686=VECTOR('',#401529,10.); #117687=VECTOR('',#401532,10.); #117688=VECTOR('',#401533,10.); #117689=VECTOR('',#401534,10.); #117690=VECTOR('',#401537,10.); #117691=VECTOR('',#401538,10.); #117692=VECTOR('',#401539,10.); #117693=VECTOR('',#401542,10.); #117694=VECTOR('',#401543,10.); #117695=VECTOR('',#401544,10.); #117696=VECTOR('',#401547,10.); #117697=VECTOR('',#401548,10.); #117698=VECTOR('',#401549,10.); #117699=VECTOR('',#401552,10.); #117700=VECTOR('',#401553,10.); #117701=VECTOR('',#401554,10.); #117702=VECTOR('',#401557,10.); #117703=VECTOR('',#401558,10.); #117704=VECTOR('',#401559,10.); #117705=VECTOR('',#401562,10.); #117706=VECTOR('',#401563,10.); #117707=VECTOR('',#401564,10.); #117708=VECTOR('',#401567,10.); #117709=VECTOR('',#401568,10.); #117710=VECTOR('',#401569,10.); #117711=VECTOR('',#401572,10.); #117712=VECTOR('',#401573,10.); #117713=VECTOR('',#401574,10.); #117714=VECTOR('',#401577,10.); #117715=VECTOR('',#401578,10.); #117716=VECTOR('',#401579,10.); #117717=VECTOR('',#401582,10.); #117718=VECTOR('',#401583,10.); #117719=VECTOR('',#401584,10.); #117720=VECTOR('',#401587,10.); #117721=VECTOR('',#401588,10.); #117722=VECTOR('',#401589,10.); #117723=VECTOR('',#401592,10.); #117724=VECTOR('',#401593,10.); #117725=VECTOR('',#401594,10.); #117726=VECTOR('',#401597,10.); #117727=VECTOR('',#401598,10.); #117728=VECTOR('',#401599,10.); #117729=VECTOR('',#401602,10.); #117730=VECTOR('',#401603,10.); #117731=VECTOR('',#401604,10.); #117732=VECTOR('',#401607,10.); #117733=VECTOR('',#401608,10.); #117734=VECTOR('',#401609,10.); #117735=VECTOR('',#401612,10.); #117736=VECTOR('',#401613,10.); #117737=VECTOR('',#401614,10.); #117738=VECTOR('',#401617,10.); #117739=VECTOR('',#401618,10.); #117740=VECTOR('',#401619,10.); #117741=VECTOR('',#401622,10.); #117742=VECTOR('',#401623,10.); #117743=VECTOR('',#401624,10.); #117744=VECTOR('',#401627,10.); #117745=VECTOR('',#401628,10.); #117746=VECTOR('',#401629,10.); #117747=VECTOR('',#401632,10.); #117748=VECTOR('',#401633,10.); #117749=VECTOR('',#401634,10.); #117750=VECTOR('',#401637,10.); #117751=VECTOR('',#401638,10.); #117752=VECTOR('',#401641,10.); #117753=VECTOR('',#401642,10.); #117754=VECTOR('',#401643,10.); #117755=VECTOR('',#401644,10.); #117756=VECTOR('',#401647,10.); #117757=VECTOR('',#401648,10.); #117758=VECTOR('',#401649,10.); #117759=VECTOR('',#401652,10.); #117760=VECTOR('',#401653,10.); #117761=VECTOR('',#401654,10.); #117762=VECTOR('',#401657,10.); #117763=VECTOR('',#401658,10.); #117764=VECTOR('',#401659,10.); #117765=VECTOR('',#401662,10.); #117766=VECTOR('',#401663,10.); #117767=VECTOR('',#401664,10.); #117768=VECTOR('',#401667,10.); #117769=VECTOR('',#401668,10.); #117770=VECTOR('',#401669,10.); #117771=VECTOR('',#401672,10.); #117772=VECTOR('',#401673,10.); #117773=VECTOR('',#401674,10.); #117774=VECTOR('',#401677,10.); #117775=VECTOR('',#401678,10.); #117776=VECTOR('',#401679,10.); #117777=VECTOR('',#401682,10.); #117778=VECTOR('',#401683,10.); #117779=VECTOR('',#401684,10.); #117780=VECTOR('',#401687,10.); #117781=VECTOR('',#401688,10.); #117782=VECTOR('',#401689,10.); #117783=VECTOR('',#401692,10.); #117784=VECTOR('',#401693,10.); #117785=VECTOR('',#401694,10.); #117786=VECTOR('',#401697,10.); #117787=VECTOR('',#401698,10.); #117788=VECTOR('',#401699,10.); #117789=VECTOR('',#401702,10.); #117790=VECTOR('',#401703,10.); #117791=VECTOR('',#401704,10.); #117792=VECTOR('',#401707,10.); #117793=VECTOR('',#401708,10.); #117794=VECTOR('',#401709,10.); #117795=VECTOR('',#401712,10.); #117796=VECTOR('',#401713,10.); #117797=VECTOR('',#401714,10.); #117798=VECTOR('',#401717,10.); #117799=VECTOR('',#401718,10.); #117800=VECTOR('',#401719,10.); #117801=VECTOR('',#401722,10.); #117802=VECTOR('',#401723,10.); #117803=VECTOR('',#401724,10.); #117804=VECTOR('',#401727,10.); #117805=VECTOR('',#401728,10.); #117806=VECTOR('',#401729,10.); #117807=VECTOR('',#401732,10.); #117808=VECTOR('',#401733,10.); #117809=VECTOR('',#401734,10.); #117810=VECTOR('',#401737,10.); #117811=VECTOR('',#401738,10.); #117812=VECTOR('',#401739,10.); #117813=VECTOR('',#401742,10.); #117814=VECTOR('',#401743,10.); #117815=VECTOR('',#401744,10.); #117816=VECTOR('',#401747,10.); #117817=VECTOR('',#401748,10.); #117818=VECTOR('',#401749,10.); #117819=VECTOR('',#401752,10.); #117820=VECTOR('',#401753,10.); #117821=VECTOR('',#401754,10.); #117822=VECTOR('',#401757,10.); #117823=VECTOR('',#401758,10.); #117824=VECTOR('',#401759,10.); #117825=VECTOR('',#401762,10.); #117826=VECTOR('',#401763,10.); #117827=VECTOR('',#401764,10.); #117828=VECTOR('',#401767,10.); #117829=VECTOR('',#401768,10.); #117830=VECTOR('',#401769,10.); #117831=VECTOR('',#401772,10.); #117832=VECTOR('',#401773,10.); #117833=VECTOR('',#401774,10.); #117834=VECTOR('',#401777,10.); #117835=VECTOR('',#401778,10.); #117836=VECTOR('',#401779,10.); #117837=VECTOR('',#401782,10.); #117838=VECTOR('',#401783,10.); #117839=VECTOR('',#401784,10.); #117840=VECTOR('',#401787,10.); #117841=VECTOR('',#401788,10.); #117842=VECTOR('',#401789,10.); #117843=VECTOR('',#401792,10.); #117844=VECTOR('',#401793,10.); #117845=VECTOR('',#401794,10.); #117846=VECTOR('',#401797,10.); #117847=VECTOR('',#401798,10.); #117848=VECTOR('',#401799,10.); #117849=VECTOR('',#401802,10.); #117850=VECTOR('',#401803,10.); #117851=VECTOR('',#401804,10.); #117852=VECTOR('',#401807,10.); #117853=VECTOR('',#401808,10.); #117854=VECTOR('',#401811,10.); #117855=VECTOR('',#401812,10.); #117856=VECTOR('',#401813,10.); #117857=VECTOR('',#401814,10.); #117858=VECTOR('',#401817,10.); #117859=VECTOR('',#401818,10.); #117860=VECTOR('',#401819,10.); #117861=VECTOR('',#401822,10.); #117862=VECTOR('',#401823,10.); #117863=VECTOR('',#401824,10.); #117864=VECTOR('',#401827,10.); #117865=VECTOR('',#401828,10.); #117866=VECTOR('',#401829,10.); #117867=VECTOR('',#401832,10.); #117868=VECTOR('',#401833,10.); #117869=VECTOR('',#401834,10.); #117870=VECTOR('',#401837,10.); #117871=VECTOR('',#401838,10.); #117872=VECTOR('',#401839,10.); #117873=VECTOR('',#401842,10.); #117874=VECTOR('',#401843,10.); #117875=VECTOR('',#401844,10.); #117876=VECTOR('',#401847,10.); #117877=VECTOR('',#401848,10.); #117878=VECTOR('',#401849,10.); #117879=VECTOR('',#401852,10.); #117880=VECTOR('',#401853,10.); #117881=VECTOR('',#401854,10.); #117882=VECTOR('',#401857,10.); #117883=VECTOR('',#401858,10.); #117884=VECTOR('',#401859,10.); #117885=VECTOR('',#401862,10.); #117886=VECTOR('',#401863,10.); #117887=VECTOR('',#401864,10.); #117888=VECTOR('',#401867,10.); #117889=VECTOR('',#401868,10.); #117890=VECTOR('',#401869,10.); #117891=VECTOR('',#401872,10.); #117892=VECTOR('',#401873,10.); #117893=VECTOR('',#401874,10.); #117894=VECTOR('',#401877,10.); #117895=VECTOR('',#401878,10.); #117896=VECTOR('',#401879,10.); #117897=VECTOR('',#401882,10.); #117898=VECTOR('',#401883,10.); #117899=VECTOR('',#401884,10.); #117900=VECTOR('',#401887,10.); #117901=VECTOR('',#401888,10.); #117902=VECTOR('',#401889,10.); #117903=VECTOR('',#401892,10.); #117904=VECTOR('',#401893,10.); #117905=VECTOR('',#401894,10.); #117906=VECTOR('',#401897,10.); #117907=VECTOR('',#401898,10.); #117908=VECTOR('',#401899,10.); #117909=VECTOR('',#401902,10.); #117910=VECTOR('',#401903,10.); #117911=VECTOR('',#401904,10.); #117912=VECTOR('',#401907,10.); #117913=VECTOR('',#401908,10.); #117914=VECTOR('',#401909,10.); #117915=VECTOR('',#401912,10.); #117916=VECTOR('',#401913,10.); #117917=VECTOR('',#401914,10.); #117918=VECTOR('',#401917,10.); #117919=VECTOR('',#401918,10.); #117920=VECTOR('',#401919,10.); #117921=VECTOR('',#401922,10.); #117922=VECTOR('',#401923,10.); #117923=VECTOR('',#401924,10.); #117924=VECTOR('',#401927,10.); #117925=VECTOR('',#401928,10.); #117926=VECTOR('',#401929,10.); #117927=VECTOR('',#401932,10.); #117928=VECTOR('',#401933,10.); #117929=VECTOR('',#401934,10.); #117930=VECTOR('',#401937,10.); #117931=VECTOR('',#401938,10.); #117932=VECTOR('',#401939,10.); #117933=VECTOR('',#401942,10.); #117934=VECTOR('',#401943,10.); #117935=VECTOR('',#401944,10.); #117936=VECTOR('',#401947,10.); #117937=VECTOR('',#401948,10.); #117938=VECTOR('',#401949,10.); #117939=VECTOR('',#401952,10.); #117940=VECTOR('',#401953,10.); #117941=VECTOR('',#401954,10.); #117942=VECTOR('',#401957,10.); #117943=VECTOR('',#401958,10.); #117944=VECTOR('',#401959,10.); #117945=VECTOR('',#401962,10.); #117946=VECTOR('',#401963,10.); #117947=VECTOR('',#401964,10.); #117948=VECTOR('',#401967,10.); #117949=VECTOR('',#401968,10.); #117950=VECTOR('',#401969,10.); #117951=VECTOR('',#401972,10.); #117952=VECTOR('',#401973,10.); #117953=VECTOR('',#401974,10.); #117954=VECTOR('',#401977,10.); #117955=VECTOR('',#401978,10.); #117956=VECTOR('',#401979,10.); #117957=VECTOR('',#401982,10.); #117958=VECTOR('',#401983,10.); #117959=VECTOR('',#401984,10.); #117960=VECTOR('',#401987,10.); #117961=VECTOR('',#401988,10.); #117962=VECTOR('',#401989,10.); #117963=VECTOR('',#401992,10.); #117964=VECTOR('',#401993,10.); #117965=VECTOR('',#401994,10.); #117966=VECTOR('',#401997,10.); #117967=VECTOR('',#401998,10.); #117968=VECTOR('',#401999,10.); #117969=VECTOR('',#402002,10.); #117970=VECTOR('',#402003,10.); #117971=VECTOR('',#402004,10.); #117972=VECTOR('',#402007,10.); #117973=VECTOR('',#402008,10.); #117974=VECTOR('',#402011,10.); #117975=VECTOR('',#402012,10.); #117976=VECTOR('',#402013,10.); #117977=VECTOR('',#402014,10.); #117978=VECTOR('',#402017,10.); #117979=VECTOR('',#402018,10.); #117980=VECTOR('',#402019,10.); #117981=VECTOR('',#402022,10.); #117982=VECTOR('',#402023,10.); #117983=VECTOR('',#402024,10.); #117984=VECTOR('',#402027,10.); #117985=VECTOR('',#402028,10.); #117986=VECTOR('',#402029,10.); #117987=VECTOR('',#402032,10.); #117988=VECTOR('',#402033,10.); #117989=VECTOR('',#402034,10.); #117990=VECTOR('',#402037,10.); #117991=VECTOR('',#402038,10.); #117992=VECTOR('',#402039,10.); #117993=VECTOR('',#402042,10.); #117994=VECTOR('',#402043,10.); #117995=VECTOR('',#402044,10.); #117996=VECTOR('',#402047,10.); #117997=VECTOR('',#402048,10.); #117998=VECTOR('',#402049,10.); #117999=VECTOR('',#402052,10.); #118000=VECTOR('',#402053,10.); #118001=VECTOR('',#402054,10.); #118002=VECTOR('',#402057,10.); #118003=VECTOR('',#402058,10.); #118004=VECTOR('',#402059,10.); #118005=VECTOR('',#402062,10.); #118006=VECTOR('',#402063,10.); #118007=VECTOR('',#402064,10.); #118008=VECTOR('',#402067,10.); #118009=VECTOR('',#402068,10.); #118010=VECTOR('',#402069,10.); #118011=VECTOR('',#402072,10.); #118012=VECTOR('',#402073,10.); #118013=VECTOR('',#402074,10.); #118014=VECTOR('',#402077,10.); #118015=VECTOR('',#402078,10.); #118016=VECTOR('',#402079,10.); #118017=VECTOR('',#402082,10.); #118018=VECTOR('',#402083,10.); #118019=VECTOR('',#402084,10.); #118020=VECTOR('',#402087,10.); #118021=VECTOR('',#402088,10.); #118022=VECTOR('',#402089,10.); #118023=VECTOR('',#402092,10.); #118024=VECTOR('',#402093,10.); #118025=VECTOR('',#402094,10.); #118026=VECTOR('',#402097,10.); #118027=VECTOR('',#402098,10.); #118028=VECTOR('',#402099,10.); #118029=VECTOR('',#402102,10.); #118030=VECTOR('',#402103,10.); #118031=VECTOR('',#402104,10.); #118032=VECTOR('',#402107,10.); #118033=VECTOR('',#402108,10.); #118034=VECTOR('',#402109,10.); #118035=VECTOR('',#402112,10.); #118036=VECTOR('',#402113,10.); #118037=VECTOR('',#402114,10.); #118038=VECTOR('',#402117,10.); #118039=VECTOR('',#402118,10.); #118040=VECTOR('',#402119,10.); #118041=VECTOR('',#402122,10.); #118042=VECTOR('',#402123,10.); #118043=VECTOR('',#402124,10.); #118044=VECTOR('',#402127,10.); #118045=VECTOR('',#402128,10.); #118046=VECTOR('',#402129,10.); #118047=VECTOR('',#402132,10.); #118048=VECTOR('',#402133,10.); #118049=VECTOR('',#402134,10.); #118050=VECTOR('',#402137,10.); #118051=VECTOR('',#402138,10.); #118052=VECTOR('',#402139,10.); #118053=VECTOR('',#402142,10.); #118054=VECTOR('',#402143,10.); #118055=VECTOR('',#402144,10.); #118056=VECTOR('',#402147,10.); #118057=VECTOR('',#402148,10.); #118058=VECTOR('',#402149,10.); #118059=VECTOR('',#402152,10.); #118060=VECTOR('',#402153,10.); #118061=VECTOR('',#402154,10.); #118062=VECTOR('',#402157,10.); #118063=VECTOR('',#402158,10.); #118064=VECTOR('',#402159,10.); #118065=VECTOR('',#402162,10.); #118066=VECTOR('',#402163,10.); #118067=VECTOR('',#402164,10.); #118068=VECTOR('',#402167,10.); #118069=VECTOR('',#402168,10.); #118070=VECTOR('',#402169,10.); #118071=VECTOR('',#402172,10.); #118072=VECTOR('',#402173,10.); #118073=VECTOR('',#402174,10.); #118074=VECTOR('',#402177,10.); #118075=VECTOR('',#402178,10.); #118076=VECTOR('',#402179,10.); #118077=VECTOR('',#402182,10.); #118078=VECTOR('',#402183,10.); #118079=VECTOR('',#402184,10.); #118080=VECTOR('',#402187,10.); #118081=VECTOR('',#402188,10.); #118082=VECTOR('',#402189,10.); #118083=VECTOR('',#402192,10.); #118084=VECTOR('',#402193,10.); #118085=VECTOR('',#402194,10.); #118086=VECTOR('',#402197,10.); #118087=VECTOR('',#402198,10.); #118088=VECTOR('',#402201,10.); #118089=VECTOR('',#402202,10.); #118090=VECTOR('',#402203,10.); #118091=VECTOR('',#402204,10.); #118092=VECTOR('',#402207,10.); #118093=VECTOR('',#402208,10.); #118094=VECTOR('',#402209,10.); #118095=VECTOR('',#402212,10.); #118096=VECTOR('',#402213,10.); #118097=VECTOR('',#402214,10.); #118098=VECTOR('',#402217,10.); #118099=VECTOR('',#402218,10.); #118100=VECTOR('',#402219,10.); #118101=VECTOR('',#402222,10.); #118102=VECTOR('',#402223,10.); #118103=VECTOR('',#402224,10.); #118104=VECTOR('',#402227,10.); #118105=VECTOR('',#402228,10.); #118106=VECTOR('',#402229,10.); #118107=VECTOR('',#402232,10.); #118108=VECTOR('',#402233,10.); #118109=VECTOR('',#402234,10.); #118110=VECTOR('',#402237,10.); #118111=VECTOR('',#402238,10.); #118112=VECTOR('',#402239,10.); #118113=VECTOR('',#402242,10.); #118114=VECTOR('',#402243,10.); #118115=VECTOR('',#402244,10.); #118116=VECTOR('',#402247,10.); #118117=VECTOR('',#402248,10.); #118118=VECTOR('',#402249,10.); #118119=VECTOR('',#402252,10.); #118120=VECTOR('',#402253,10.); #118121=VECTOR('',#402254,10.); #118122=VECTOR('',#402257,10.); #118123=VECTOR('',#402258,10.); #118124=VECTOR('',#402259,10.); #118125=VECTOR('',#402262,10.); #118126=VECTOR('',#402263,10.); #118127=VECTOR('',#402264,10.); #118128=VECTOR('',#402267,10.); #118129=VECTOR('',#402268,10.); #118130=VECTOR('',#402269,10.); #118131=VECTOR('',#402272,10.); #118132=VECTOR('',#402273,10.); #118133=VECTOR('',#402274,10.); #118134=VECTOR('',#402277,10.); #118135=VECTOR('',#402278,10.); #118136=VECTOR('',#402279,10.); #118137=VECTOR('',#402282,10.); #118138=VECTOR('',#402283,10.); #118139=VECTOR('',#402284,10.); #118140=VECTOR('',#402287,10.); #118141=VECTOR('',#402288,10.); #118142=VECTOR('',#402289,10.); #118143=VECTOR('',#402292,10.); #118144=VECTOR('',#402293,10.); #118145=VECTOR('',#402294,10.); #118146=VECTOR('',#402297,10.); #118147=VECTOR('',#402298,10.); #118148=VECTOR('',#402299,10.); #118149=VECTOR('',#402302,10.); #118150=VECTOR('',#402303,10.); #118151=VECTOR('',#402304,10.); #118152=VECTOR('',#402307,10.); #118153=VECTOR('',#402308,10.); #118154=VECTOR('',#402309,10.); #118155=VECTOR('',#402312,10.); #118156=VECTOR('',#402313,10.); #118157=VECTOR('',#402314,10.); #118158=VECTOR('',#402317,10.); #118159=VECTOR('',#402318,10.); #118160=VECTOR('',#402321,10.); #118161=VECTOR('',#402322,10.); #118162=VECTOR('',#402323,10.); #118163=VECTOR('',#402324,10.); #118164=VECTOR('',#402327,10.); #118165=VECTOR('',#402328,10.); #118166=VECTOR('',#402329,10.); #118167=VECTOR('',#402332,10.); #118168=VECTOR('',#402333,10.); #118169=VECTOR('',#402334,10.); #118170=VECTOR('',#402337,10.); #118171=VECTOR('',#402338,10.); #118172=VECTOR('',#402339,10.); #118173=VECTOR('',#402342,10.); #118174=VECTOR('',#402343,10.); #118175=VECTOR('',#402344,10.); #118176=VECTOR('',#402347,10.); #118177=VECTOR('',#402348,10.); #118178=VECTOR('',#402349,10.); #118179=VECTOR('',#402352,10.); #118180=VECTOR('',#402353,10.); #118181=VECTOR('',#402354,10.); #118182=VECTOR('',#402357,10.); #118183=VECTOR('',#402358,10.); #118184=VECTOR('',#402359,10.); #118185=VECTOR('',#402362,10.); #118186=VECTOR('',#402363,10.); #118187=VECTOR('',#402364,10.); #118188=VECTOR('',#402367,10.); #118189=VECTOR('',#402368,10.); #118190=VECTOR('',#402369,10.); #118191=VECTOR('',#402372,10.); #118192=VECTOR('',#402373,10.); #118193=VECTOR('',#402374,10.); #118194=VECTOR('',#402377,10.); #118195=VECTOR('',#402378,10.); #118196=VECTOR('',#402379,10.); #118197=VECTOR('',#402382,10.); #118198=VECTOR('',#402383,10.); #118199=VECTOR('',#402384,10.); #118200=VECTOR('',#402387,10.); #118201=VECTOR('',#402388,10.); #118202=VECTOR('',#402389,10.); #118203=VECTOR('',#402392,10.); #118204=VECTOR('',#402393,10.); #118205=VECTOR('',#402394,10.); #118206=VECTOR('',#402397,10.); #118207=VECTOR('',#402398,10.); #118208=VECTOR('',#402399,10.); #118209=VECTOR('',#402402,10.); #118210=VECTOR('',#402403,10.); #118211=VECTOR('',#402404,10.); #118212=VECTOR('',#402407,10.); #118213=VECTOR('',#402408,10.); #118214=VECTOR('',#402409,10.); #118215=VECTOR('',#402412,10.); #118216=VECTOR('',#402413,10.); #118217=VECTOR('',#402414,10.); #118218=VECTOR('',#402417,10.); #118219=VECTOR('',#402418,10.); #118220=VECTOR('',#402419,10.); #118221=VECTOR('',#402422,10.); #118222=VECTOR('',#402423,10.); #118223=VECTOR('',#402424,10.); #118224=VECTOR('',#402427,10.); #118225=VECTOR('',#402428,10.); #118226=VECTOR('',#402429,10.); #118227=VECTOR('',#402432,10.); #118228=VECTOR('',#402433,10.); #118229=VECTOR('',#402434,10.); #118230=VECTOR('',#402437,10.); #118231=VECTOR('',#402438,10.); #118232=VECTOR('',#402439,10.); #118233=VECTOR('',#402442,10.); #118234=VECTOR('',#402443,10.); #118235=VECTOR('',#402444,10.); #118236=VECTOR('',#402447,10.); #118237=VECTOR('',#402448,10.); #118238=VECTOR('',#402449,10.); #118239=VECTOR('',#402452,10.); #118240=VECTOR('',#402453,10.); #118241=VECTOR('',#402454,10.); #118242=VECTOR('',#402457,10.); #118243=VECTOR('',#402458,10.); #118244=VECTOR('',#402459,10.); #118245=VECTOR('',#402462,10.); #118246=VECTOR('',#402463,10.); #118247=VECTOR('',#402464,10.); #118248=VECTOR('',#402467,10.); #118249=VECTOR('',#402468,10.); #118250=VECTOR('',#402469,10.); #118251=VECTOR('',#402472,10.); #118252=VECTOR('',#402473,10.); #118253=VECTOR('',#402474,10.); #118254=VECTOR('',#402477,10.); #118255=VECTOR('',#402478,10.); #118256=VECTOR('',#402479,10.); #118257=VECTOR('',#402482,10.); #118258=VECTOR('',#402483,10.); #118259=VECTOR('',#402484,10.); #118260=VECTOR('',#402487,10.); #118261=VECTOR('',#402488,10.); #118262=VECTOR('',#402489,10.); #118263=VECTOR('',#402492,10.); #118264=VECTOR('',#402493,10.); #118265=VECTOR('',#402494,10.); #118266=VECTOR('',#402497,10.); #118267=VECTOR('',#402498,10.); #118268=VECTOR('',#402499,10.); #118269=VECTOR('',#402502,10.); #118270=VECTOR('',#402503,10.); #118271=VECTOR('',#402504,10.); #118272=VECTOR('',#402507,10.); #118273=VECTOR('',#402508,10.); #118274=VECTOR('',#402509,10.); #118275=VECTOR('',#402512,10.); #118276=VECTOR('',#402513,10.); #118277=VECTOR('',#402514,10.); #118278=VECTOR('',#402517,10.); #118279=VECTOR('',#402518,10.); #118280=VECTOR('',#402519,10.); #118281=VECTOR('',#402522,10.); #118282=VECTOR('',#402523,10.); #118283=VECTOR('',#402524,10.); #118284=VECTOR('',#402527,10.); #118285=VECTOR('',#402528,10.); #118286=VECTOR('',#402529,10.); #118287=VECTOR('',#402532,10.); #118288=VECTOR('',#402533,10.); #118289=VECTOR('',#402534,10.); #118290=VECTOR('',#402537,10.); #118291=VECTOR('',#402538,10.); #118292=VECTOR('',#402539,10.); #118293=VECTOR('',#402542,10.); #118294=VECTOR('',#402543,10.); #118295=VECTOR('',#402544,10.); #118296=VECTOR('',#402547,10.); #118297=VECTOR('',#402548,10.); #118298=VECTOR('',#402549,10.); #118299=VECTOR('',#402552,10.); #118300=VECTOR('',#402553,10.); #118301=VECTOR('',#402554,10.); #118302=VECTOR('',#402557,10.); #118303=VECTOR('',#402558,10.); #118304=VECTOR('',#402559,10.); #118305=VECTOR('',#402562,10.); #118306=VECTOR('',#402563,10.); #118307=VECTOR('',#402564,10.); #118308=VECTOR('',#402567,10.); #118309=VECTOR('',#402568,10.); #118310=VECTOR('',#402569,10.); #118311=VECTOR('',#402572,10.); #118312=VECTOR('',#402573,10.); #118313=VECTOR('',#402574,10.); #118314=VECTOR('',#402577,10.); #118315=VECTOR('',#402578,10.); #118316=VECTOR('',#402579,10.); #118317=VECTOR('',#402582,10.); #118318=VECTOR('',#402583,10.); #118319=VECTOR('',#402584,10.); #118320=VECTOR('',#402587,10.); #118321=VECTOR('',#402588,10.); #118322=VECTOR('',#402589,10.); #118323=VECTOR('',#402592,10.); #118324=VECTOR('',#402593,10.); #118325=VECTOR('',#402594,10.); #118326=VECTOR('',#402597,10.); #118327=VECTOR('',#402598,10.); #118328=VECTOR('',#402599,10.); #118329=VECTOR('',#402602,10.); #118330=VECTOR('',#402603,10.); #118331=VECTOR('',#402604,10.); #118332=VECTOR('',#402607,10.); #118333=VECTOR('',#402608,10.); #118334=VECTOR('',#402609,10.); #118335=VECTOR('',#402612,10.); #118336=VECTOR('',#402613,10.); #118337=VECTOR('',#402614,10.); #118338=VECTOR('',#402617,10.); #118339=VECTOR('',#402618,10.); #118340=VECTOR('',#402619,10.); #118341=VECTOR('',#402622,10.); #118342=VECTOR('',#402623,10.); #118343=VECTOR('',#402624,10.); #118344=VECTOR('',#402627,10.); #118345=VECTOR('',#402628,10.); #118346=VECTOR('',#402629,10.); #118347=VECTOR('',#402632,10.); #118348=VECTOR('',#402633,10.); #118349=VECTOR('',#402634,10.); #118350=VECTOR('',#402637,10.); #118351=VECTOR('',#402638,10.); #118352=VECTOR('',#402639,10.); #118353=VECTOR('',#402642,10.); #118354=VECTOR('',#402643,10.); #118355=VECTOR('',#402644,10.); #118356=VECTOR('',#402647,10.); #118357=VECTOR('',#402648,10.); #118358=VECTOR('',#402649,10.); #118359=VECTOR('',#402652,10.); #118360=VECTOR('',#402653,10.); #118361=VECTOR('',#402654,10.); #118362=VECTOR('',#402657,10.); #118363=VECTOR('',#402658,10.); #118364=VECTOR('',#402659,10.); #118365=VECTOR('',#402662,10.); #118366=VECTOR('',#402663,10.); #118367=VECTOR('',#402664,10.); #118368=VECTOR('',#402667,10.); #118369=VECTOR('',#402668,10.); #118370=VECTOR('',#402669,10.); #118371=VECTOR('',#402672,10.); #118372=VECTOR('',#402673,10.); #118373=VECTOR('',#402674,10.); #118374=VECTOR('',#402677,10.); #118375=VECTOR('',#402678,10.); #118376=VECTOR('',#402679,10.); #118377=VECTOR('',#402682,10.); #118378=VECTOR('',#402683,10.); #118379=VECTOR('',#402684,10.); #118380=VECTOR('',#402687,10.); #118381=VECTOR('',#402688,10.); #118382=VECTOR('',#402689,10.); #118383=VECTOR('',#402692,10.); #118384=VECTOR('',#402693,10.); #118385=VECTOR('',#402694,10.); #118386=VECTOR('',#402697,10.); #118387=VECTOR('',#402698,10.); #118388=VECTOR('',#402699,10.); #118389=VECTOR('',#402702,10.); #118390=VECTOR('',#402703,10.); #118391=VECTOR('',#402704,10.); #118392=VECTOR('',#402707,10.); #118393=VECTOR('',#402708,10.); #118394=VECTOR('',#402709,10.); #118395=VECTOR('',#402712,10.); #118396=VECTOR('',#402713,10.); #118397=VECTOR('',#402714,10.); #118398=VECTOR('',#402717,10.); #118399=VECTOR('',#402718,10.); #118400=VECTOR('',#402719,10.); #118401=VECTOR('',#402722,10.); #118402=VECTOR('',#402723,10.); #118403=VECTOR('',#402724,10.); #118404=VECTOR('',#402727,10.); #118405=VECTOR('',#402728,10.); #118406=VECTOR('',#402729,10.); #118407=VECTOR('',#402732,10.); #118408=VECTOR('',#402733,10.); #118409=VECTOR('',#402734,10.); #118410=VECTOR('',#402737,10.); #118411=VECTOR('',#402738,10.); #118412=VECTOR('',#402739,10.); #118413=VECTOR('',#402742,10.); #118414=VECTOR('',#402743,10.); #118415=VECTOR('',#402744,10.); #118416=VECTOR('',#402747,10.); #118417=VECTOR('',#402748,10.); #118418=VECTOR('',#402749,10.); #118419=VECTOR('',#402752,10.); #118420=VECTOR('',#402753,10.); #118421=VECTOR('',#402754,10.); #118422=VECTOR('',#402757,10.); #118423=VECTOR('',#402758,10.); #118424=VECTOR('',#402759,10.); #118425=VECTOR('',#402762,10.); #118426=VECTOR('',#402763,10.); #118427=VECTOR('',#402764,10.); #118428=VECTOR('',#402767,10.); #118429=VECTOR('',#402768,10.); #118430=VECTOR('',#402769,10.); #118431=VECTOR('',#402772,10.); #118432=VECTOR('',#402773,10.); #118433=VECTOR('',#402774,10.); #118434=VECTOR('',#402777,10.); #118435=VECTOR('',#402778,10.); #118436=VECTOR('',#402779,10.); #118437=VECTOR('',#402782,10.); #118438=VECTOR('',#402783,10.); #118439=VECTOR('',#402784,10.); #118440=VECTOR('',#402787,10.); #118441=VECTOR('',#402788,10.); #118442=VECTOR('',#402789,10.); #118443=VECTOR('',#402792,10.); #118444=VECTOR('',#402793,10.); #118445=VECTOR('',#402794,10.); #118446=VECTOR('',#402797,10.); #118447=VECTOR('',#402798,10.); #118448=VECTOR('',#402799,10.); #118449=VECTOR('',#402802,10.); #118450=VECTOR('',#402803,10.); #118451=VECTOR('',#402804,10.); #118452=VECTOR('',#402807,10.); #118453=VECTOR('',#402808,10.); #118454=VECTOR('',#402809,10.); #118455=VECTOR('',#402812,10.); #118456=VECTOR('',#402813,10.); #118457=VECTOR('',#402814,10.); #118458=VECTOR('',#402817,10.); #118459=VECTOR('',#402818,10.); #118460=VECTOR('',#402819,10.); #118461=VECTOR('',#402822,10.); #118462=VECTOR('',#402823,10.); #118463=VECTOR('',#402824,10.); #118464=VECTOR('',#402827,10.); #118465=VECTOR('',#402828,10.); #118466=VECTOR('',#402829,10.); #118467=VECTOR('',#402832,10.); #118468=VECTOR('',#402833,10.); #118469=VECTOR('',#402834,10.); #118470=VECTOR('',#402837,10.); #118471=VECTOR('',#402838,10.); #118472=VECTOR('',#402839,10.); #118473=VECTOR('',#402842,10.); #118474=VECTOR('',#402843,10.); #118475=VECTOR('',#402844,10.); #118476=VECTOR('',#402847,10.); #118477=VECTOR('',#402848,10.); #118478=VECTOR('',#402849,10.); #118479=VECTOR('',#402852,10.); #118480=VECTOR('',#402853,10.); #118481=VECTOR('',#402854,10.); #118482=VECTOR('',#402857,10.); #118483=VECTOR('',#402858,10.); #118484=VECTOR('',#402859,10.); #118485=VECTOR('',#402862,10.); #118486=VECTOR('',#402863,10.); #118487=VECTOR('',#402864,10.); #118488=VECTOR('',#402867,10.); #118489=VECTOR('',#402868,10.); #118490=VECTOR('',#402869,10.); #118491=VECTOR('',#402872,10.); #118492=VECTOR('',#402873,10.); #118493=VECTOR('',#402874,10.); #118494=VECTOR('',#402877,10.); #118495=VECTOR('',#402878,10.); #118496=VECTOR('',#402879,10.); #118497=VECTOR('',#402882,10.); #118498=VECTOR('',#402883,10.); #118499=VECTOR('',#402884,10.); #118500=VECTOR('',#402887,10.); #118501=VECTOR('',#402888,10.); #118502=VECTOR('',#402889,10.); #118503=VECTOR('',#402892,10.); #118504=VECTOR('',#402893,10.); #118505=VECTOR('',#402894,10.); #118506=VECTOR('',#402897,10.); #118507=VECTOR('',#402898,10.); #118508=VECTOR('',#402899,10.); #118509=VECTOR('',#402902,10.); #118510=VECTOR('',#402903,10.); #118511=VECTOR('',#402904,10.); #118512=VECTOR('',#402907,10.); #118513=VECTOR('',#402908,10.); #118514=VECTOR('',#402909,10.); #118515=VECTOR('',#402912,10.); #118516=VECTOR('',#402913,10.); #118517=VECTOR('',#402914,10.); #118518=VECTOR('',#402917,10.); #118519=VECTOR('',#402918,10.); #118520=VECTOR('',#402919,10.); #118521=VECTOR('',#402922,10.); #118522=VECTOR('',#402923,10.); #118523=VECTOR('',#402924,10.); #118524=VECTOR('',#402927,10.); #118525=VECTOR('',#402928,10.); #118526=VECTOR('',#402929,10.); #118527=VECTOR('',#402932,10.); #118528=VECTOR('',#402933,10.); #118529=VECTOR('',#402934,10.); #118530=VECTOR('',#402937,10.); #118531=VECTOR('',#402938,10.); #118532=VECTOR('',#402939,10.); #118533=VECTOR('',#402942,10.); #118534=VECTOR('',#402943,10.); #118535=VECTOR('',#402944,10.); #118536=VECTOR('',#402947,10.); #118537=VECTOR('',#402948,10.); #118538=VECTOR('',#402949,10.); #118539=VECTOR('',#402952,10.); #118540=VECTOR('',#402953,10.); #118541=VECTOR('',#402954,10.); #118542=VECTOR('',#402957,10.); #118543=VECTOR('',#402958,10.); #118544=VECTOR('',#402959,10.); #118545=VECTOR('',#402962,10.); #118546=VECTOR('',#402963,10.); #118547=VECTOR('',#402964,10.); #118548=VECTOR('',#402967,10.); #118549=VECTOR('',#402968,10.); #118550=VECTOR('',#402969,10.); #118551=VECTOR('',#402972,10.); #118552=VECTOR('',#402973,10.); #118553=VECTOR('',#402974,10.); #118554=VECTOR('',#402977,10.); #118555=VECTOR('',#402978,10.); #118556=VECTOR('',#402979,10.); #118557=VECTOR('',#402982,10.); #118558=VECTOR('',#402983,10.); #118559=VECTOR('',#402984,10.); #118560=VECTOR('',#402987,10.); #118561=VECTOR('',#402988,10.); #118562=VECTOR('',#402989,10.); #118563=VECTOR('',#402992,10.); #118564=VECTOR('',#402993,10.); #118565=VECTOR('',#402994,10.); #118566=VECTOR('',#402997,10.); #118567=VECTOR('',#402998,10.); #118568=VECTOR('',#402999,10.); #118569=VECTOR('',#403002,10.); #118570=VECTOR('',#403003,10.); #118571=VECTOR('',#403004,10.); #118572=VECTOR('',#403007,10.); #118573=VECTOR('',#403008,10.); #118574=VECTOR('',#403009,10.); #118575=VECTOR('',#403012,10.); #118576=VECTOR('',#403013,10.); #118577=VECTOR('',#403014,10.); #118578=VECTOR('',#403017,10.); #118579=VECTOR('',#403018,10.); #118580=VECTOR('',#403019,10.); #118581=VECTOR('',#403022,10.); #118582=VECTOR('',#403023,10.); #118583=VECTOR('',#403024,10.); #118584=VECTOR('',#403027,10.); #118585=VECTOR('',#403028,10.); #118586=VECTOR('',#403029,10.); #118587=VECTOR('',#403032,10.); #118588=VECTOR('',#403033,10.); #118589=VECTOR('',#403034,10.); #118590=VECTOR('',#403037,10.); #118591=VECTOR('',#403038,10.); #118592=VECTOR('',#403039,10.); #118593=VECTOR('',#403042,10.); #118594=VECTOR('',#403043,10.); #118595=VECTOR('',#403044,10.); #118596=VECTOR('',#403047,10.); #118597=VECTOR('',#403048,10.); #118598=VECTOR('',#403049,10.); #118599=VECTOR('',#403052,10.); #118600=VECTOR('',#403053,10.); #118601=VECTOR('',#403054,10.); #118602=VECTOR('',#403057,10.); #118603=VECTOR('',#403058,10.); #118604=VECTOR('',#403059,10.); #118605=VECTOR('',#403062,10.); #118606=VECTOR('',#403063,10.); #118607=VECTOR('',#403064,10.); #118608=VECTOR('',#403067,10.); #118609=VECTOR('',#403068,10.); #118610=VECTOR('',#403069,10.); #118611=VECTOR('',#403072,10.); #118612=VECTOR('',#403073,10.); #118613=VECTOR('',#403074,10.); #118614=VECTOR('',#403077,10.); #118615=VECTOR('',#403078,10.); #118616=VECTOR('',#403079,10.); #118617=VECTOR('',#403082,10.); #118618=VECTOR('',#403083,10.); #118619=VECTOR('',#403084,10.); #118620=VECTOR('',#403087,10.); #118621=VECTOR('',#403088,10.); #118622=VECTOR('',#403089,10.); #118623=VECTOR('',#403092,10.); #118624=VECTOR('',#403093,10.); #118625=VECTOR('',#403094,10.); #118626=VECTOR('',#403097,10.); #118627=VECTOR('',#403098,10.); #118628=VECTOR('',#403099,10.); #118629=VECTOR('',#403102,10.); #118630=VECTOR('',#403103,10.); #118631=VECTOR('',#403104,10.); #118632=VECTOR('',#403107,10.); #118633=VECTOR('',#403108,10.); #118634=VECTOR('',#403109,10.); #118635=VECTOR('',#403112,10.); #118636=VECTOR('',#403113,10.); #118637=VECTOR('',#403114,10.); #118638=VECTOR('',#403117,10.); #118639=VECTOR('',#403118,10.); #118640=VECTOR('',#403119,10.); #118641=VECTOR('',#403122,10.); #118642=VECTOR('',#403123,10.); #118643=VECTOR('',#403124,10.); #118644=VECTOR('',#403127,10.); #118645=VECTOR('',#403128,10.); #118646=VECTOR('',#403129,10.); #118647=VECTOR('',#403132,10.); #118648=VECTOR('',#403133,10.); #118649=VECTOR('',#403134,10.); #118650=VECTOR('',#403137,10.); #118651=VECTOR('',#403138,10.); #118652=VECTOR('',#403139,10.); #118653=VECTOR('',#403142,10.); #118654=VECTOR('',#403143,10.); #118655=VECTOR('',#403144,10.); #118656=VECTOR('',#403147,10.); #118657=VECTOR('',#403148,10.); #118658=VECTOR('',#403149,10.); #118659=VECTOR('',#403152,10.); #118660=VECTOR('',#403153,10.); #118661=VECTOR('',#403154,10.); #118662=VECTOR('',#403157,10.); #118663=VECTOR('',#403158,10.); #118664=VECTOR('',#403159,10.); #118665=VECTOR('',#403162,10.); #118666=VECTOR('',#403163,10.); #118667=VECTOR('',#403164,10.); #118668=VECTOR('',#403167,10.); #118669=VECTOR('',#403168,10.); #118670=VECTOR('',#403169,10.); #118671=VECTOR('',#403172,10.); #118672=VECTOR('',#403173,10.); #118673=VECTOR('',#403174,10.); #118674=VECTOR('',#403177,10.); #118675=VECTOR('',#403178,10.); #118676=VECTOR('',#403179,10.); #118677=VECTOR('',#403182,10.); #118678=VECTOR('',#403183,10.); #118679=VECTOR('',#403184,10.); #118680=VECTOR('',#403187,10.); #118681=VECTOR('',#403188,10.); #118682=VECTOR('',#403189,10.); #118683=VECTOR('',#403192,10.); #118684=VECTOR('',#403193,10.); #118685=VECTOR('',#403194,10.); #118686=VECTOR('',#403197,10.); #118687=VECTOR('',#403198,10.); #118688=VECTOR('',#403199,10.); #118689=VECTOR('',#403202,10.); #118690=VECTOR('',#403203,10.); #118691=VECTOR('',#403204,10.); #118692=VECTOR('',#403207,10.); #118693=VECTOR('',#403208,10.); #118694=VECTOR('',#403209,10.); #118695=VECTOR('',#403212,10.); #118696=VECTOR('',#403213,10.); #118697=VECTOR('',#403214,10.); #118698=VECTOR('',#403217,10.); #118699=VECTOR('',#403218,10.); #118700=VECTOR('',#403219,10.); #118701=VECTOR('',#403222,10.); #118702=VECTOR('',#403223,10.); #118703=VECTOR('',#403224,10.); #118704=VECTOR('',#403227,10.); #118705=VECTOR('',#403228,10.); #118706=VECTOR('',#403229,10.); #118707=VECTOR('',#403232,10.); #118708=VECTOR('',#403233,10.); #118709=VECTOR('',#403234,10.); #118710=VECTOR('',#403237,10.); #118711=VECTOR('',#403238,10.); #118712=VECTOR('',#403239,10.); #118713=VECTOR('',#403242,10.); #118714=VECTOR('',#403243,10.); #118715=VECTOR('',#403244,10.); #118716=VECTOR('',#403247,10.); #118717=VECTOR('',#403248,10.); #118718=VECTOR('',#403249,10.); #118719=VECTOR('',#403252,10.); #118720=VECTOR('',#403253,10.); #118721=VECTOR('',#403254,10.); #118722=VECTOR('',#403257,10.); #118723=VECTOR('',#403258,10.); #118724=VECTOR('',#403259,10.); #118725=VECTOR('',#403262,10.); #118726=VECTOR('',#403263,10.); #118727=VECTOR('',#403264,10.); #118728=VECTOR('',#403267,10.); #118729=VECTOR('',#403268,10.); #118730=VECTOR('',#403269,10.); #118731=VECTOR('',#403272,10.); #118732=VECTOR('',#403273,10.); #118733=VECTOR('',#403274,10.); #118734=VECTOR('',#403277,10.); #118735=VECTOR('',#403278,10.); #118736=VECTOR('',#403279,10.); #118737=VECTOR('',#403282,10.); #118738=VECTOR('',#403283,10.); #118739=VECTOR('',#403284,10.); #118740=VECTOR('',#403287,10.); #118741=VECTOR('',#403288,10.); #118742=VECTOR('',#403289,10.); #118743=VECTOR('',#403292,10.); #118744=VECTOR('',#403293,10.); #118745=VECTOR('',#403294,10.); #118746=VECTOR('',#403297,10.); #118747=VECTOR('',#403298,10.); #118748=VECTOR('',#403299,10.); #118749=VECTOR('',#403302,10.); #118750=VECTOR('',#403303,10.); #118751=VECTOR('',#403304,10.); #118752=VECTOR('',#403307,10.); #118753=VECTOR('',#403308,10.); #118754=VECTOR('',#403309,10.); #118755=VECTOR('',#403312,10.); #118756=VECTOR('',#403313,10.); #118757=VECTOR('',#403314,10.); #118758=VECTOR('',#403317,10.); #118759=VECTOR('',#403318,10.); #118760=VECTOR('',#403319,10.); #118761=VECTOR('',#403322,10.); #118762=VECTOR('',#403323,10.); #118763=VECTOR('',#403324,10.); #118764=VECTOR('',#403327,10.); #118765=VECTOR('',#403328,10.); #118766=VECTOR('',#403329,10.); #118767=VECTOR('',#403332,10.); #118768=VECTOR('',#403333,10.); #118769=VECTOR('',#403334,10.); #118770=VECTOR('',#403337,10.); #118771=VECTOR('',#403338,10.); #118772=VECTOR('',#403339,10.); #118773=VECTOR('',#403342,10.); #118774=VECTOR('',#403343,10.); #118775=VECTOR('',#403344,10.); #118776=VECTOR('',#403347,10.); #118777=VECTOR('',#403348,10.); #118778=VECTOR('',#403349,10.); #118779=VECTOR('',#403352,10.); #118780=VECTOR('',#403353,10.); #118781=VECTOR('',#403354,10.); #118782=VECTOR('',#403357,10.); #118783=VECTOR('',#403358,10.); #118784=VECTOR('',#403359,10.); #118785=VECTOR('',#403362,10.); #118786=VECTOR('',#403363,10.); #118787=VECTOR('',#403364,10.); #118788=VECTOR('',#403367,10.); #118789=VECTOR('',#403368,10.); #118790=VECTOR('',#403369,10.); #118791=VECTOR('',#403372,10.); #118792=VECTOR('',#403373,10.); #118793=VECTOR('',#403374,10.); #118794=VECTOR('',#403377,10.); #118795=VECTOR('',#403378,10.); #118796=VECTOR('',#403379,10.); #118797=VECTOR('',#403382,10.); #118798=VECTOR('',#403383,10.); #118799=VECTOR('',#403384,10.); #118800=VECTOR('',#403387,10.); #118801=VECTOR('',#403388,10.); #118802=VECTOR('',#403389,10.); #118803=VECTOR('',#403392,10.); #118804=VECTOR('',#403393,10.); #118805=VECTOR('',#403394,10.); #118806=VECTOR('',#403397,10.); #118807=VECTOR('',#403398,10.); #118808=VECTOR('',#403399,10.); #118809=VECTOR('',#403402,10.); #118810=VECTOR('',#403403,10.); #118811=VECTOR('',#403404,10.); #118812=VECTOR('',#403407,10.); #118813=VECTOR('',#403408,10.); #118814=VECTOR('',#403409,10.); #118815=VECTOR('',#403412,10.); #118816=VECTOR('',#403413,10.); #118817=VECTOR('',#403414,10.); #118818=VECTOR('',#403417,10.); #118819=VECTOR('',#403418,10.); #118820=VECTOR('',#403419,10.); #118821=VECTOR('',#403422,10.); #118822=VECTOR('',#403423,10.); #118823=VECTOR('',#403424,10.); #118824=VECTOR('',#403427,10.); #118825=VECTOR('',#403428,10.); #118826=VECTOR('',#403429,10.); #118827=VECTOR('',#403432,10.); #118828=VECTOR('',#403433,10.); #118829=VECTOR('',#403434,10.); #118830=VECTOR('',#403437,10.); #118831=VECTOR('',#403438,10.); #118832=VECTOR('',#403439,10.); #118833=VECTOR('',#403442,10.); #118834=VECTOR('',#403443,10.); #118835=VECTOR('',#403444,10.); #118836=VECTOR('',#403447,10.); #118837=VECTOR('',#403448,10.); #118838=VECTOR('',#403449,10.); #118839=VECTOR('',#403452,10.); #118840=VECTOR('',#403453,10.); #118841=VECTOR('',#403454,10.); #118842=VECTOR('',#403457,10.); #118843=VECTOR('',#403458,10.); #118844=VECTOR('',#403459,10.); #118845=VECTOR('',#403462,10.); #118846=VECTOR('',#403463,10.); #118847=VECTOR('',#403464,10.); #118848=VECTOR('',#403467,10.); #118849=VECTOR('',#403468,10.); #118850=VECTOR('',#403469,10.); #118851=VECTOR('',#403472,10.); #118852=VECTOR('',#403473,10.); #118853=VECTOR('',#403474,10.); #118854=VECTOR('',#403477,10.); #118855=VECTOR('',#403478,10.); #118856=VECTOR('',#403479,10.); #118857=VECTOR('',#403482,10.); #118858=VECTOR('',#403483,10.); #118859=VECTOR('',#403484,10.); #118860=VECTOR('',#403487,10.); #118861=VECTOR('',#403488,10.); #118862=VECTOR('',#403489,10.); #118863=VECTOR('',#403492,10.); #118864=VECTOR('',#403493,10.); #118865=VECTOR('',#403494,10.); #118866=VECTOR('',#403497,10.); #118867=VECTOR('',#403498,10.); #118868=VECTOR('',#403499,10.); #118869=VECTOR('',#403502,10.); #118870=VECTOR('',#403503,10.); #118871=VECTOR('',#403504,10.); #118872=VECTOR('',#403507,10.); #118873=VECTOR('',#403508,10.); #118874=VECTOR('',#403509,10.); #118875=VECTOR('',#403512,10.); #118876=VECTOR('',#403513,10.); #118877=VECTOR('',#403514,10.); #118878=VECTOR('',#403517,10.); #118879=VECTOR('',#403518,10.); #118880=VECTOR('',#403519,10.); #118881=VECTOR('',#403522,10.); #118882=VECTOR('',#403523,10.); #118883=VECTOR('',#403524,10.); #118884=VECTOR('',#403527,10.); #118885=VECTOR('',#403528,10.); #118886=VECTOR('',#403529,10.); #118887=VECTOR('',#403532,10.); #118888=VECTOR('',#403533,10.); #118889=VECTOR('',#403534,10.); #118890=VECTOR('',#403537,10.); #118891=VECTOR('',#403538,10.); #118892=VECTOR('',#403539,10.); #118893=VECTOR('',#403542,10.); #118894=VECTOR('',#403543,10.); #118895=VECTOR('',#403544,10.); #118896=VECTOR('',#403547,10.); #118897=VECTOR('',#403548,10.); #118898=VECTOR('',#403549,10.); #118899=VECTOR('',#403552,10.); #118900=VECTOR('',#403553,10.); #118901=VECTOR('',#403554,10.); #118902=VECTOR('',#403557,10.); #118903=VECTOR('',#403558,10.); #118904=VECTOR('',#403559,10.); #118905=VECTOR('',#403562,10.); #118906=VECTOR('',#403563,10.); #118907=VECTOR('',#403564,10.); #118908=VECTOR('',#403567,10.); #118909=VECTOR('',#403568,10.); #118910=VECTOR('',#403569,10.); #118911=VECTOR('',#403572,10.); #118912=VECTOR('',#403573,10.); #118913=VECTOR('',#403574,10.); #118914=VECTOR('',#403577,10.); #118915=VECTOR('',#403578,10.); #118916=VECTOR('',#403579,10.); #118917=VECTOR('',#403582,10.); #118918=VECTOR('',#403583,10.); #118919=VECTOR('',#403584,10.); #118920=VECTOR('',#403587,10.); #118921=VECTOR('',#403588,10.); #118922=VECTOR('',#403589,10.); #118923=VECTOR('',#403592,10.); #118924=VECTOR('',#403593,10.); #118925=VECTOR('',#403594,10.); #118926=VECTOR('',#403597,10.); #118927=VECTOR('',#403598,10.); #118928=VECTOR('',#403599,10.); #118929=VECTOR('',#403602,10.); #118930=VECTOR('',#403603,10.); #118931=VECTOR('',#403604,10.); #118932=VECTOR('',#403607,10.); #118933=VECTOR('',#403608,10.); #118934=VECTOR('',#403609,10.); #118935=VECTOR('',#403612,10.); #118936=VECTOR('',#403613,10.); #118937=VECTOR('',#403614,10.); #118938=VECTOR('',#403617,10.); #118939=VECTOR('',#403618,10.); #118940=VECTOR('',#403619,10.); #118941=VECTOR('',#403622,10.); #118942=VECTOR('',#403623,10.); #118943=VECTOR('',#403624,10.); #118944=VECTOR('',#403627,10.); #118945=VECTOR('',#403628,10.); #118946=VECTOR('',#403629,10.); #118947=VECTOR('',#403632,10.); #118948=VECTOR('',#403633,10.); #118949=VECTOR('',#403634,10.); #118950=VECTOR('',#403637,10.); #118951=VECTOR('',#403638,10.); #118952=VECTOR('',#403639,10.); #118953=VECTOR('',#403642,10.); #118954=VECTOR('',#403643,10.); #118955=VECTOR('',#403644,10.); #118956=VECTOR('',#403647,10.); #118957=VECTOR('',#403648,10.); #118958=VECTOR('',#403649,10.); #118959=VECTOR('',#403652,10.); #118960=VECTOR('',#403653,10.); #118961=VECTOR('',#403654,10.); #118962=VECTOR('',#403657,10.); #118963=VECTOR('',#403658,10.); #118964=VECTOR('',#403659,10.); #118965=VECTOR('',#403662,10.); #118966=VECTOR('',#403663,10.); #118967=VECTOR('',#403664,10.); #118968=VECTOR('',#403667,10.); #118969=VECTOR('',#403668,10.); #118970=VECTOR('',#403669,10.); #118971=VECTOR('',#403672,10.); #118972=VECTOR('',#403673,10.); #118973=VECTOR('',#403674,10.); #118974=VECTOR('',#403677,10.); #118975=VECTOR('',#403678,10.); #118976=VECTOR('',#403679,10.); #118977=VECTOR('',#403682,10.); #118978=VECTOR('',#403683,10.); #118979=VECTOR('',#403684,10.); #118980=VECTOR('',#403687,10.); #118981=VECTOR('',#403688,10.); #118982=VECTOR('',#403689,10.); #118983=VECTOR('',#403692,10.); #118984=VECTOR('',#403693,10.); #118985=VECTOR('',#403694,10.); #118986=VECTOR('',#403697,10.); #118987=VECTOR('',#403698,10.); #118988=VECTOR('',#403699,10.); #118989=VECTOR('',#403702,10.); #118990=VECTOR('',#403703,10.); #118991=VECTOR('',#403704,10.); #118992=VECTOR('',#403707,10.); #118993=VECTOR('',#403708,10.); #118994=VECTOR('',#403709,10.); #118995=VECTOR('',#403712,10.); #118996=VECTOR('',#403713,10.); #118997=VECTOR('',#403714,10.); #118998=VECTOR('',#403717,10.); #118999=VECTOR('',#403718,10.); #119000=VECTOR('',#403719,10.); #119001=VECTOR('',#403722,10.); #119002=VECTOR('',#403723,10.); #119003=VECTOR('',#403724,10.); #119004=VECTOR('',#403727,10.); #119005=VECTOR('',#403728,10.); #119006=VECTOR('',#403729,10.); #119007=VECTOR('',#403732,10.); #119008=VECTOR('',#403733,10.); #119009=VECTOR('',#403734,10.); #119010=VECTOR('',#403737,10.); #119011=VECTOR('',#403738,10.); #119012=VECTOR('',#403739,10.); #119013=VECTOR('',#403742,10.); #119014=VECTOR('',#403743,10.); #119015=VECTOR('',#403744,10.); #119016=VECTOR('',#403747,10.); #119017=VECTOR('',#403748,10.); #119018=VECTOR('',#403749,10.); #119019=VECTOR('',#403752,10.); #119020=VECTOR('',#403753,10.); #119021=VECTOR('',#403754,10.); #119022=VECTOR('',#403757,10.); #119023=VECTOR('',#403758,10.); #119024=VECTOR('',#403759,10.); #119025=VECTOR('',#403762,10.); #119026=VECTOR('',#403763,10.); #119027=VECTOR('',#403764,10.); #119028=VECTOR('',#403767,10.); #119029=VECTOR('',#403768,10.); #119030=VECTOR('',#403769,10.); #119031=VECTOR('',#403772,10.); #119032=VECTOR('',#403773,10.); #119033=VECTOR('',#403774,10.); #119034=VECTOR('',#403777,10.); #119035=VECTOR('',#403778,10.); #119036=VECTOR('',#403779,10.); #119037=VECTOR('',#403782,10.); #119038=VECTOR('',#403783,10.); #119039=VECTOR('',#403784,10.); #119040=VECTOR('',#403787,10.); #119041=VECTOR('',#403788,10.); #119042=VECTOR('',#403789,10.); #119043=VECTOR('',#403792,10.); #119044=VECTOR('',#403793,10.); #119045=VECTOR('',#403794,10.); #119046=VECTOR('',#403797,10.); #119047=VECTOR('',#403798,10.); #119048=VECTOR('',#403799,10.); #119049=VECTOR('',#403802,10.); #119050=VECTOR('',#403803,10.); #119051=VECTOR('',#403804,10.); #119052=VECTOR('',#403807,10.); #119053=VECTOR('',#403808,10.); #119054=VECTOR('',#403809,10.); #119055=VECTOR('',#403812,10.); #119056=VECTOR('',#403813,10.); #119057=VECTOR('',#403814,10.); #119058=VECTOR('',#403817,10.); #119059=VECTOR('',#403818,10.); #119060=VECTOR('',#403819,10.); #119061=VECTOR('',#403822,10.); #119062=VECTOR('',#403823,10.); #119063=VECTOR('',#403824,10.); #119064=VECTOR('',#403827,10.); #119065=VECTOR('',#403828,10.); #119066=VECTOR('',#403829,10.); #119067=VECTOR('',#403832,10.); #119068=VECTOR('',#403833,10.); #119069=VECTOR('',#403834,10.); #119070=VECTOR('',#403837,10.); #119071=VECTOR('',#403838,10.); #119072=VECTOR('',#403839,10.); #119073=VECTOR('',#403842,10.); #119074=VECTOR('',#403843,10.); #119075=VECTOR('',#403844,10.); #119076=VECTOR('',#403847,10.); #119077=VECTOR('',#403848,10.); #119078=VECTOR('',#403849,10.); #119079=VECTOR('',#403852,10.); #119080=VECTOR('',#403853,10.); #119081=VECTOR('',#403854,10.); #119082=VECTOR('',#403857,10.); #119083=VECTOR('',#403858,10.); #119084=VECTOR('',#403859,10.); #119085=VECTOR('',#403862,10.); #119086=VECTOR('',#403863,10.); #119087=VECTOR('',#403864,10.); #119088=VECTOR('',#403867,10.); #119089=VECTOR('',#403868,10.); #119090=VECTOR('',#403869,10.); #119091=VECTOR('',#403872,10.); #119092=VECTOR('',#403873,10.); #119093=VECTOR('',#403874,10.); #119094=VECTOR('',#403877,10.); #119095=VECTOR('',#403878,10.); #119096=VECTOR('',#403879,10.); #119097=VECTOR('',#403882,10.); #119098=VECTOR('',#403883,10.); #119099=VECTOR('',#403884,10.); #119100=VECTOR('',#403887,10.); #119101=VECTOR('',#403888,10.); #119102=VECTOR('',#403889,10.); #119103=VECTOR('',#403892,10.); #119104=VECTOR('',#403893,10.); #119105=VECTOR('',#403894,10.); #119106=VECTOR('',#403897,10.); #119107=VECTOR('',#403898,10.); #119108=VECTOR('',#403899,10.); #119109=VECTOR('',#403902,10.); #119110=VECTOR('',#403903,10.); #119111=VECTOR('',#403904,10.); #119112=VECTOR('',#403907,10.); #119113=VECTOR('',#403908,10.); #119114=VECTOR('',#403909,10.); #119115=VECTOR('',#403912,10.); #119116=VECTOR('',#403913,10.); #119117=VECTOR('',#403914,10.); #119118=VECTOR('',#403917,10.); #119119=VECTOR('',#403918,10.); #119120=VECTOR('',#403919,10.); #119121=VECTOR('',#403922,10.); #119122=VECTOR('',#403923,10.); #119123=VECTOR('',#403924,10.); #119124=VECTOR('',#403927,10.); #119125=VECTOR('',#403928,10.); #119126=VECTOR('',#403929,10.); #119127=VECTOR('',#403932,10.); #119128=VECTOR('',#403933,10.); #119129=VECTOR('',#403934,10.); #119130=VECTOR('',#403937,10.); #119131=VECTOR('',#403938,10.); #119132=VECTOR('',#403939,10.); #119133=VECTOR('',#403942,10.); #119134=VECTOR('',#403943,10.); #119135=VECTOR('',#403944,10.); #119136=VECTOR('',#403947,10.); #119137=VECTOR('',#403948,10.); #119138=VECTOR('',#403949,10.); #119139=VECTOR('',#403952,10.); #119140=VECTOR('',#403953,10.); #119141=VECTOR('',#403954,10.); #119142=VECTOR('',#403957,10.); #119143=VECTOR('',#403958,10.); #119144=VECTOR('',#403959,10.); #119145=VECTOR('',#403962,10.); #119146=VECTOR('',#403963,10.); #119147=VECTOR('',#403964,10.); #119148=VECTOR('',#403967,10.); #119149=VECTOR('',#403968,10.); #119150=VECTOR('',#403969,10.); #119151=VECTOR('',#403972,10.); #119152=VECTOR('',#403973,10.); #119153=VECTOR('',#403974,10.); #119154=VECTOR('',#403977,10.); #119155=VECTOR('',#403978,10.); #119156=VECTOR('',#403979,10.); #119157=VECTOR('',#403982,10.); #119158=VECTOR('',#403983,10.); #119159=VECTOR('',#403984,10.); #119160=VECTOR('',#403987,10.); #119161=VECTOR('',#403988,10.); #119162=VECTOR('',#403989,10.); #119163=VECTOR('',#403992,10.); #119164=VECTOR('',#403993,10.); #119165=VECTOR('',#403994,10.); #119166=VECTOR('',#403997,10.); #119167=VECTOR('',#403998,10.); #119168=VECTOR('',#403999,10.); #119169=VECTOR('',#404002,10.); #119170=VECTOR('',#404003,10.); #119171=VECTOR('',#404004,10.); #119172=VECTOR('',#404007,10.); #119173=VECTOR('',#404008,10.); #119174=VECTOR('',#404009,10.); #119175=VECTOR('',#404012,10.); #119176=VECTOR('',#404013,10.); #119177=VECTOR('',#404014,10.); #119178=VECTOR('',#404017,10.); #119179=VECTOR('',#404018,10.); #119180=VECTOR('',#404019,10.); #119181=VECTOR('',#404022,10.); #119182=VECTOR('',#404023,10.); #119183=VECTOR('',#404024,10.); #119184=VECTOR('',#404027,10.); #119185=VECTOR('',#404028,10.); #119186=VECTOR('',#404029,10.); #119187=VECTOR('',#404032,10.); #119188=VECTOR('',#404033,10.); #119189=VECTOR('',#404034,10.); #119190=VECTOR('',#404037,10.); #119191=VECTOR('',#404038,10.); #119192=VECTOR('',#404039,10.); #119193=VECTOR('',#404042,10.); #119194=VECTOR('',#404043,10.); #119195=VECTOR('',#404044,10.); #119196=VECTOR('',#404047,10.); #119197=VECTOR('',#404048,10.); #119198=VECTOR('',#404049,10.); #119199=VECTOR('',#404052,10.); #119200=VECTOR('',#404053,10.); #119201=VECTOR('',#404054,10.); #119202=VECTOR('',#404057,10.); #119203=VECTOR('',#404058,10.); #119204=VECTOR('',#404059,10.); #119205=VECTOR('',#404062,10.); #119206=VECTOR('',#404063,10.); #119207=VECTOR('',#404064,10.); #119208=VECTOR('',#404067,10.); #119209=VECTOR('',#404068,10.); #119210=VECTOR('',#404069,10.); #119211=VECTOR('',#404072,10.); #119212=VECTOR('',#404073,10.); #119213=VECTOR('',#404074,10.); #119214=VECTOR('',#404077,10.); #119215=VECTOR('',#404078,10.); #119216=VECTOR('',#404079,10.); #119217=VECTOR('',#404082,10.); #119218=VECTOR('',#404083,10.); #119219=VECTOR('',#404084,10.); #119220=VECTOR('',#404087,10.); #119221=VECTOR('',#404088,10.); #119222=VECTOR('',#404089,10.); #119223=VECTOR('',#404092,10.); #119224=VECTOR('',#404093,10.); #119225=VECTOR('',#404094,10.); #119226=VECTOR('',#404097,10.); #119227=VECTOR('',#404098,10.); #119228=VECTOR('',#404099,10.); #119229=VECTOR('',#404102,10.); #119230=VECTOR('',#404103,10.); #119231=VECTOR('',#404104,10.); #119232=VECTOR('',#404107,10.); #119233=VECTOR('',#404108,10.); #119234=VECTOR('',#404109,10.); #119235=VECTOR('',#404112,10.); #119236=VECTOR('',#404113,10.); #119237=VECTOR('',#404114,10.); #119238=VECTOR('',#404117,10.); #119239=VECTOR('',#404118,10.); #119240=VECTOR('',#404119,10.); #119241=VECTOR('',#404122,10.); #119242=VECTOR('',#404123,10.); #119243=VECTOR('',#404124,10.); #119244=VECTOR('',#404127,10.); #119245=VECTOR('',#404128,10.); #119246=VECTOR('',#404129,10.); #119247=VECTOR('',#404132,10.); #119248=VECTOR('',#404133,10.); #119249=VECTOR('',#404134,10.); #119250=VECTOR('',#404137,10.); #119251=VECTOR('',#404138,10.); #119252=VECTOR('',#404139,10.); #119253=VECTOR('',#404142,10.); #119254=VECTOR('',#404143,10.); #119255=VECTOR('',#404144,10.); #119256=VECTOR('',#404147,10.); #119257=VECTOR('',#404148,10.); #119258=VECTOR('',#404149,10.); #119259=VECTOR('',#404152,10.); #119260=VECTOR('',#404153,10.); #119261=VECTOR('',#404154,10.); #119262=VECTOR('',#404157,10.); #119263=VECTOR('',#404158,10.); #119264=VECTOR('',#404159,10.); #119265=VECTOR('',#404162,10.); #119266=VECTOR('',#404163,10.); #119267=VECTOR('',#404164,10.); #119268=VECTOR('',#404167,10.); #119269=VECTOR('',#404168,10.); #119270=VECTOR('',#404169,10.); #119271=VECTOR('',#404172,10.); #119272=VECTOR('',#404173,10.); #119273=VECTOR('',#404174,10.); #119274=VECTOR('',#404177,10.); #119275=VECTOR('',#404178,10.); #119276=VECTOR('',#404179,10.); #119277=VECTOR('',#404182,10.); #119278=VECTOR('',#404183,10.); #119279=VECTOR('',#404184,10.); #119280=VECTOR('',#404187,10.); #119281=VECTOR('',#404188,10.); #119282=VECTOR('',#404189,10.); #119283=VECTOR('',#404192,10.); #119284=VECTOR('',#404193,10.); #119285=VECTOR('',#404194,10.); #119286=VECTOR('',#404197,10.); #119287=VECTOR('',#404198,10.); #119288=VECTOR('',#404199,10.); #119289=VECTOR('',#404202,10.); #119290=VECTOR('',#404203,10.); #119291=VECTOR('',#404204,10.); #119292=VECTOR('',#404207,10.); #119293=VECTOR('',#404208,10.); #119294=VECTOR('',#404209,10.); #119295=VECTOR('',#404212,10.); #119296=VECTOR('',#404213,10.); #119297=VECTOR('',#404214,10.); #119298=VECTOR('',#404217,10.); #119299=VECTOR('',#404218,10.); #119300=VECTOR('',#404219,10.); #119301=VECTOR('',#404222,10.); #119302=VECTOR('',#404223,10.); #119303=VECTOR('',#404224,10.); #119304=VECTOR('',#404227,10.); #119305=VECTOR('',#404228,10.); #119306=VECTOR('',#404229,10.); #119307=VECTOR('',#404232,10.); #119308=VECTOR('',#404233,10.); #119309=VECTOR('',#404234,10.); #119310=VECTOR('',#404237,10.); #119311=VECTOR('',#404238,10.); #119312=VECTOR('',#404239,10.); #119313=VECTOR('',#404242,10.); #119314=VECTOR('',#404243,10.); #119315=VECTOR('',#404244,10.); #119316=VECTOR('',#404247,10.); #119317=VECTOR('',#404248,10.); #119318=VECTOR('',#404249,10.); #119319=VECTOR('',#404252,10.); #119320=VECTOR('',#404253,10.); #119321=VECTOR('',#404254,10.); #119322=VECTOR('',#404257,10.); #119323=VECTOR('',#404258,10.); #119324=VECTOR('',#404259,10.); #119325=VECTOR('',#404262,10.); #119326=VECTOR('',#404263,10.); #119327=VECTOR('',#404264,10.); #119328=VECTOR('',#404267,10.); #119329=VECTOR('',#404268,10.); #119330=VECTOR('',#404269,10.); #119331=VECTOR('',#404272,10.); #119332=VECTOR('',#404273,10.); #119333=VECTOR('',#404274,10.); #119334=VECTOR('',#404277,10.); #119335=VECTOR('',#404278,10.); #119336=VECTOR('',#404279,10.); #119337=VECTOR('',#404282,10.); #119338=VECTOR('',#404283,10.); #119339=VECTOR('',#404284,10.); #119340=VECTOR('',#404287,10.); #119341=VECTOR('',#404288,10.); #119342=VECTOR('',#404289,10.); #119343=VECTOR('',#404292,10.); #119344=VECTOR('',#404293,10.); #119345=VECTOR('',#404294,10.); #119346=VECTOR('',#404297,10.); #119347=VECTOR('',#404298,10.); #119348=VECTOR('',#404299,10.); #119349=VECTOR('',#404302,10.); #119350=VECTOR('',#404303,10.); #119351=VECTOR('',#404304,10.); #119352=VECTOR('',#404307,10.); #119353=VECTOR('',#404308,10.); #119354=VECTOR('',#404309,10.); #119355=VECTOR('',#404312,10.); #119356=VECTOR('',#404313,10.); #119357=VECTOR('',#404314,10.); #119358=VECTOR('',#404317,10.); #119359=VECTOR('',#404318,10.); #119360=VECTOR('',#404319,10.); #119361=VECTOR('',#404322,10.); #119362=VECTOR('',#404323,10.); #119363=VECTOR('',#404324,10.); #119364=VECTOR('',#404327,10.); #119365=VECTOR('',#404328,10.); #119366=VECTOR('',#404329,10.); #119367=VECTOR('',#404332,10.); #119368=VECTOR('',#404333,10.); #119369=VECTOR('',#404334,10.); #119370=VECTOR('',#404337,10.); #119371=VECTOR('',#404338,10.); #119372=VECTOR('',#404339,10.); #119373=VECTOR('',#404342,10.); #119374=VECTOR('',#404343,10.); #119375=VECTOR('',#404344,10.); #119376=VECTOR('',#404347,10.); #119377=VECTOR('',#404348,10.); #119378=VECTOR('',#404349,10.); #119379=VECTOR('',#404352,10.); #119380=VECTOR('',#404353,10.); #119381=VECTOR('',#404354,10.); #119382=VECTOR('',#404357,10.); #119383=VECTOR('',#404358,10.); #119384=VECTOR('',#404359,10.); #119385=VECTOR('',#404362,10.); #119386=VECTOR('',#404363,10.); #119387=VECTOR('',#404364,10.); #119388=VECTOR('',#404367,10.); #119389=VECTOR('',#404368,10.); #119390=VECTOR('',#404369,10.); #119391=VECTOR('',#404372,10.); #119392=VECTOR('',#404373,10.); #119393=VECTOR('',#404374,10.); #119394=VECTOR('',#404377,10.); #119395=VECTOR('',#404378,10.); #119396=VECTOR('',#404379,10.); #119397=VECTOR('',#404382,10.); #119398=VECTOR('',#404383,10.); #119399=VECTOR('',#404384,10.); #119400=VECTOR('',#404387,10.); #119401=VECTOR('',#404388,10.); #119402=VECTOR('',#404389,10.); #119403=VECTOR('',#404392,10.); #119404=VECTOR('',#404393,10.); #119405=VECTOR('',#404394,10.); #119406=VECTOR('',#404397,10.); #119407=VECTOR('',#404398,10.); #119408=VECTOR('',#404399,10.); #119409=VECTOR('',#404402,10.); #119410=VECTOR('',#404403,10.); #119411=VECTOR('',#404404,10.); #119412=VECTOR('',#404407,10.); #119413=VECTOR('',#404408,10.); #119414=VECTOR('',#404409,10.); #119415=VECTOR('',#404412,10.); #119416=VECTOR('',#404413,10.); #119417=VECTOR('',#404414,10.); #119418=VECTOR('',#404417,10.); #119419=VECTOR('',#404418,10.); #119420=VECTOR('',#404419,10.); #119421=VECTOR('',#404422,10.); #119422=VECTOR('',#404423,10.); #119423=VECTOR('',#404424,10.); #119424=VECTOR('',#404427,10.); #119425=VECTOR('',#404428,10.); #119426=VECTOR('',#404429,10.); #119427=VECTOR('',#404432,10.); #119428=VECTOR('',#404433,10.); #119429=VECTOR('',#404434,10.); #119430=VECTOR('',#404437,10.); #119431=VECTOR('',#404438,10.); #119432=VECTOR('',#404439,10.); #119433=VECTOR('',#404442,10.); #119434=VECTOR('',#404443,10.); #119435=VECTOR('',#404444,10.); #119436=VECTOR('',#404447,10.); #119437=VECTOR('',#404448,10.); #119438=VECTOR('',#404449,10.); #119439=VECTOR('',#404452,10.); #119440=VECTOR('',#404453,10.); #119441=VECTOR('',#404454,10.); #119442=VECTOR('',#404457,10.); #119443=VECTOR('',#404458,10.); #119444=VECTOR('',#404459,10.); #119445=VECTOR('',#404462,10.); #119446=VECTOR('',#404463,10.); #119447=VECTOR('',#404464,10.); #119448=VECTOR('',#404467,10.); #119449=VECTOR('',#404468,10.); #119450=VECTOR('',#404469,10.); #119451=VECTOR('',#404472,10.); #119452=VECTOR('',#404473,10.); #119453=VECTOR('',#404474,10.); #119454=VECTOR('',#404477,10.); #119455=VECTOR('',#404478,10.); #119456=VECTOR('',#404479,10.); #119457=VECTOR('',#404482,10.); #119458=VECTOR('',#404483,10.); #119459=VECTOR('',#404484,10.); #119460=VECTOR('',#404487,10.); #119461=VECTOR('',#404488,10.); #119462=VECTOR('',#404489,10.); #119463=VECTOR('',#404492,10.); #119464=VECTOR('',#404493,10.); #119465=VECTOR('',#404494,10.); #119466=VECTOR('',#404497,10.); #119467=VECTOR('',#404498,10.); #119468=VECTOR('',#404499,10.); #119469=VECTOR('',#404502,10.); #119470=VECTOR('',#404503,10.); #119471=VECTOR('',#404504,10.); #119472=VECTOR('',#404507,10.); #119473=VECTOR('',#404508,10.); #119474=VECTOR('',#404509,10.); #119475=VECTOR('',#404512,10.); #119476=VECTOR('',#404513,10.); #119477=VECTOR('',#404514,10.); #119478=VECTOR('',#404517,10.); #119479=VECTOR('',#404518,10.); #119480=VECTOR('',#404519,10.); #119481=VECTOR('',#404522,10.); #119482=VECTOR('',#404523,10.); #119483=VECTOR('',#404524,10.); #119484=VECTOR('',#404527,10.); #119485=VECTOR('',#404528,10.); #119486=VECTOR('',#404529,10.); #119487=VECTOR('',#404532,10.); #119488=VECTOR('',#404533,10.); #119489=VECTOR('',#404534,10.); #119490=VECTOR('',#404537,10.); #119491=VECTOR('',#404538,10.); #119492=VECTOR('',#404539,10.); #119493=VECTOR('',#404542,10.); #119494=VECTOR('',#404543,10.); #119495=VECTOR('',#404544,10.); #119496=VECTOR('',#404547,10.); #119497=VECTOR('',#404548,10.); #119498=VECTOR('',#404549,10.); #119499=VECTOR('',#404552,10.); #119500=VECTOR('',#404553,10.); #119501=VECTOR('',#404554,10.); #119502=VECTOR('',#404557,10.); #119503=VECTOR('',#404558,10.); #119504=VECTOR('',#404559,10.); #119505=VECTOR('',#404562,10.); #119506=VECTOR('',#404563,10.); #119507=VECTOR('',#404564,10.); #119508=VECTOR('',#404567,10.); #119509=VECTOR('',#404568,10.); #119510=VECTOR('',#404569,10.); #119511=VECTOR('',#404572,10.); #119512=VECTOR('',#404573,10.); #119513=VECTOR('',#404574,10.); #119514=VECTOR('',#404577,10.); #119515=VECTOR('',#404578,10.); #119516=VECTOR('',#404579,10.); #119517=VECTOR('',#404582,10.); #119518=VECTOR('',#404583,10.); #119519=VECTOR('',#404584,10.); #119520=VECTOR('',#404587,10.); #119521=VECTOR('',#404588,10.); #119522=VECTOR('',#404589,10.); #119523=VECTOR('',#404592,10.); #119524=VECTOR('',#404593,10.); #119525=VECTOR('',#404594,10.); #119526=VECTOR('',#404597,10.); #119527=VECTOR('',#404598,10.); #119528=VECTOR('',#404599,10.); #119529=VECTOR('',#404602,10.); #119530=VECTOR('',#404603,10.); #119531=VECTOR('',#404604,10.); #119532=VECTOR('',#404607,10.); #119533=VECTOR('',#404608,10.); #119534=VECTOR('',#404609,10.); #119535=VECTOR('',#404612,10.); #119536=VECTOR('',#404613,10.); #119537=VECTOR('',#404614,10.); #119538=VECTOR('',#404617,10.); #119539=VECTOR('',#404618,10.); #119540=VECTOR('',#404619,10.); #119541=VECTOR('',#404622,10.); #119542=VECTOR('',#404623,10.); #119543=VECTOR('',#404624,10.); #119544=VECTOR('',#404627,10.); #119545=VECTOR('',#404628,10.); #119546=VECTOR('',#404629,10.); #119547=VECTOR('',#404632,10.); #119548=VECTOR('',#404633,10.); #119549=VECTOR('',#404634,10.); #119550=VECTOR('',#404637,10.); #119551=VECTOR('',#404638,10.); #119552=VECTOR('',#404639,10.); #119553=VECTOR('',#404642,10.); #119554=VECTOR('',#404643,10.); #119555=VECTOR('',#404644,10.); #119556=VECTOR('',#404647,10.); #119557=VECTOR('',#404648,10.); #119558=VECTOR('',#404649,10.); #119559=VECTOR('',#404652,10.); #119560=VECTOR('',#404653,10.); #119561=VECTOR('',#404654,10.); #119562=VECTOR('',#404657,10.); #119563=VECTOR('',#404658,10.); #119564=VECTOR('',#404659,10.); #119565=VECTOR('',#404662,10.); #119566=VECTOR('',#404663,10.); #119567=VECTOR('',#404664,10.); #119568=VECTOR('',#404667,10.); #119569=VECTOR('',#404668,10.); #119570=VECTOR('',#404669,10.); #119571=VECTOR('',#404672,10.); #119572=VECTOR('',#404673,10.); #119573=VECTOR('',#404674,10.); #119574=VECTOR('',#404677,10.); #119575=VECTOR('',#404678,10.); #119576=VECTOR('',#404679,10.); #119577=VECTOR('',#404682,10.); #119578=VECTOR('',#404683,10.); #119579=VECTOR('',#404684,10.); #119580=VECTOR('',#404687,10.); #119581=VECTOR('',#404688,10.); #119582=VECTOR('',#404689,10.); #119583=VECTOR('',#404692,10.); #119584=VECTOR('',#404693,10.); #119585=VECTOR('',#404694,10.); #119586=VECTOR('',#404697,10.); #119587=VECTOR('',#404698,10.); #119588=VECTOR('',#404699,10.); #119589=VECTOR('',#404702,10.); #119590=VECTOR('',#404703,10.); #119591=VECTOR('',#404704,10.); #119592=VECTOR('',#404707,10.); #119593=VECTOR('',#404708,10.); #119594=VECTOR('',#404709,10.); #119595=VECTOR('',#404712,10.); #119596=VECTOR('',#404713,10.); #119597=VECTOR('',#404714,10.); #119598=VECTOR('',#404717,10.); #119599=VECTOR('',#404718,10.); #119600=VECTOR('',#404719,10.); #119601=VECTOR('',#404722,10.); #119602=VECTOR('',#404723,10.); #119603=VECTOR('',#404724,10.); #119604=VECTOR('',#404727,10.); #119605=VECTOR('',#404728,10.); #119606=VECTOR('',#404729,10.); #119607=VECTOR('',#404732,10.); #119608=VECTOR('',#404733,10.); #119609=VECTOR('',#404734,10.); #119610=VECTOR('',#404737,10.); #119611=VECTOR('',#404738,10.); #119612=VECTOR('',#404739,10.); #119613=VECTOR('',#404742,10.); #119614=VECTOR('',#404743,10.); #119615=VECTOR('',#404744,10.); #119616=VECTOR('',#404747,10.); #119617=VECTOR('',#404748,10.); #119618=VECTOR('',#404749,10.); #119619=VECTOR('',#404752,10.); #119620=VECTOR('',#404753,10.); #119621=VECTOR('',#404754,10.); #119622=VECTOR('',#404757,10.); #119623=VECTOR('',#404758,10.); #119624=VECTOR('',#404759,10.); #119625=VECTOR('',#404762,10.); #119626=VECTOR('',#404763,10.); #119627=VECTOR('',#404764,10.); #119628=VECTOR('',#404767,10.); #119629=VECTOR('',#404768,10.); #119630=VECTOR('',#404769,10.); #119631=VECTOR('',#404772,10.); #119632=VECTOR('',#404773,10.); #119633=VECTOR('',#404774,10.); #119634=VECTOR('',#404777,10.); #119635=VECTOR('',#404778,10.); #119636=VECTOR('',#404779,10.); #119637=VECTOR('',#404782,10.); #119638=VECTOR('',#404783,10.); #119639=VECTOR('',#404784,10.); #119640=VECTOR('',#404787,10.); #119641=VECTOR('',#404788,10.); #119642=VECTOR('',#404789,10.); #119643=VECTOR('',#404792,10.); #119644=VECTOR('',#404793,10.); #119645=VECTOR('',#404794,10.); #119646=VECTOR('',#404797,10.); #119647=VECTOR('',#404798,10.); #119648=VECTOR('',#404799,10.); #119649=VECTOR('',#404802,10.); #119650=VECTOR('',#404803,10.); #119651=VECTOR('',#404804,10.); #119652=VECTOR('',#404807,10.); #119653=VECTOR('',#404808,10.); #119654=VECTOR('',#404809,10.); #119655=VECTOR('',#404812,10.); #119656=VECTOR('',#404813,10.); #119657=VECTOR('',#404814,10.); #119658=VECTOR('',#404817,10.); #119659=VECTOR('',#404818,10.); #119660=VECTOR('',#404819,10.); #119661=VECTOR('',#404822,10.); #119662=VECTOR('',#404823,10.); #119663=VECTOR('',#404824,10.); #119664=VECTOR('',#404827,10.); #119665=VECTOR('',#404828,10.); #119666=VECTOR('',#404829,10.); #119667=VECTOR('',#404832,10.); #119668=VECTOR('',#404833,10.); #119669=VECTOR('',#404834,10.); #119670=VECTOR('',#404837,10.); #119671=VECTOR('',#404838,10.); #119672=VECTOR('',#404839,10.); #119673=VECTOR('',#404842,10.); #119674=VECTOR('',#404843,10.); #119675=VECTOR('',#404844,10.); #119676=VECTOR('',#404847,10.); #119677=VECTOR('',#404848,10.); #119678=VECTOR('',#404849,10.); #119679=VECTOR('',#404852,10.); #119680=VECTOR('',#404853,10.); #119681=VECTOR('',#404854,10.); #119682=VECTOR('',#404857,10.); #119683=VECTOR('',#404858,10.); #119684=VECTOR('',#404859,10.); #119685=VECTOR('',#404862,10.); #119686=VECTOR('',#404863,10.); #119687=VECTOR('',#404864,10.); #119688=VECTOR('',#404867,10.); #119689=VECTOR('',#404868,10.); #119690=VECTOR('',#404869,10.); #119691=VECTOR('',#404872,10.); #119692=VECTOR('',#404873,10.); #119693=VECTOR('',#404874,10.); #119694=VECTOR('',#404877,10.); #119695=VECTOR('',#404878,10.); #119696=VECTOR('',#404879,10.); #119697=VECTOR('',#404882,10.); #119698=VECTOR('',#404883,10.); #119699=VECTOR('',#404884,10.); #119700=VECTOR('',#404887,10.); #119701=VECTOR('',#404888,10.); #119702=VECTOR('',#404889,10.); #119703=VECTOR('',#404892,10.); #119704=VECTOR('',#404893,10.); #119705=VECTOR('',#404894,10.); #119706=VECTOR('',#404897,10.); #119707=VECTOR('',#404898,10.); #119708=VECTOR('',#404899,10.); #119709=VECTOR('',#404902,10.); #119710=VECTOR('',#404903,10.); #119711=VECTOR('',#404904,10.); #119712=VECTOR('',#404907,10.); #119713=VECTOR('',#404908,10.); #119714=VECTOR('',#404909,10.); #119715=VECTOR('',#404912,10.); #119716=VECTOR('',#404913,10.); #119717=VECTOR('',#404914,10.); #119718=VECTOR('',#404917,10.); #119719=VECTOR('',#404918,10.); #119720=VECTOR('',#404919,10.); #119721=VECTOR('',#404922,10.); #119722=VECTOR('',#404923,10.); #119723=VECTOR('',#404924,10.); #119724=VECTOR('',#404927,10.); #119725=VECTOR('',#404928,10.); #119726=VECTOR('',#404929,10.); #119727=VECTOR('',#404932,10.); #119728=VECTOR('',#404933,10.); #119729=VECTOR('',#404934,10.); #119730=VECTOR('',#404937,10.); #119731=VECTOR('',#404938,10.); #119732=VECTOR('',#404939,10.); #119733=VECTOR('',#404942,10.); #119734=VECTOR('',#404943,10.); #119735=VECTOR('',#404944,10.); #119736=VECTOR('',#404947,10.); #119737=VECTOR('',#404948,10.); #119738=VECTOR('',#404949,10.); #119739=VECTOR('',#404952,10.); #119740=VECTOR('',#404953,10.); #119741=VECTOR('',#404954,10.); #119742=VECTOR('',#404957,10.); #119743=VECTOR('',#404958,10.); #119744=VECTOR('',#404959,10.); #119745=VECTOR('',#404962,10.); #119746=VECTOR('',#404963,10.); #119747=VECTOR('',#404964,10.); #119748=VECTOR('',#404967,10.); #119749=VECTOR('',#404968,10.); #119750=VECTOR('',#404969,10.); #119751=VECTOR('',#404972,10.); #119752=VECTOR('',#404973,10.); #119753=VECTOR('',#404974,10.); #119754=VECTOR('',#404977,10.); #119755=VECTOR('',#404978,10.); #119756=VECTOR('',#404979,10.); #119757=VECTOR('',#404982,10.); #119758=VECTOR('',#404983,10.); #119759=VECTOR('',#404984,10.); #119760=VECTOR('',#404987,10.); #119761=VECTOR('',#404988,10.); #119762=VECTOR('',#404989,10.); #119763=VECTOR('',#404992,10.); #119764=VECTOR('',#404993,10.); #119765=VECTOR('',#404994,10.); #119766=VECTOR('',#404997,10.); #119767=VECTOR('',#404998,10.); #119768=VECTOR('',#404999,10.); #119769=VECTOR('',#405002,10.); #119770=VECTOR('',#405003,10.); #119771=VECTOR('',#405004,10.); #119772=VECTOR('',#405007,10.); #119773=VECTOR('',#405008,10.); #119774=VECTOR('',#405009,10.); #119775=VECTOR('',#405012,10.); #119776=VECTOR('',#405013,10.); #119777=VECTOR('',#405014,10.); #119778=VECTOR('',#405017,10.); #119779=VECTOR('',#405018,10.); #119780=VECTOR('',#405019,10.); #119781=VECTOR('',#405022,10.); #119782=VECTOR('',#405023,10.); #119783=VECTOR('',#405024,10.); #119784=VECTOR('',#405027,10.); #119785=VECTOR('',#405028,10.); #119786=VECTOR('',#405029,10.); #119787=VECTOR('',#405032,10.); #119788=VECTOR('',#405033,10.); #119789=VECTOR('',#405034,10.); #119790=VECTOR('',#405037,10.); #119791=VECTOR('',#405038,10.); #119792=VECTOR('',#405039,10.); #119793=VECTOR('',#405042,10.); #119794=VECTOR('',#405043,10.); #119795=VECTOR('',#405044,10.); #119796=VECTOR('',#405047,10.); #119797=VECTOR('',#405048,10.); #119798=VECTOR('',#405049,10.); #119799=VECTOR('',#405052,10.); #119800=VECTOR('',#405053,10.); #119801=VECTOR('',#405054,10.); #119802=VECTOR('',#405057,10.); #119803=VECTOR('',#405058,10.); #119804=VECTOR('',#405059,10.); #119805=VECTOR('',#405062,10.); #119806=VECTOR('',#405063,10.); #119807=VECTOR('',#405064,10.); #119808=VECTOR('',#405067,10.); #119809=VECTOR('',#405068,10.); #119810=VECTOR('',#405069,10.); #119811=VECTOR('',#405072,10.); #119812=VECTOR('',#405073,10.); #119813=VECTOR('',#405074,10.); #119814=VECTOR('',#405077,10.); #119815=VECTOR('',#405078,10.); #119816=VECTOR('',#405079,10.); #119817=VECTOR('',#405082,10.); #119818=VECTOR('',#405083,10.); #119819=VECTOR('',#405084,10.); #119820=VECTOR('',#405087,10.); #119821=VECTOR('',#405088,10.); #119822=VECTOR('',#405089,10.); #119823=VECTOR('',#405092,10.); #119824=VECTOR('',#405093,10.); #119825=VECTOR('',#405094,10.); #119826=VECTOR('',#405097,10.); #119827=VECTOR('',#405098,10.); #119828=VECTOR('',#405099,10.); #119829=VECTOR('',#405102,10.); #119830=VECTOR('',#405103,10.); #119831=VECTOR('',#405104,10.); #119832=VECTOR('',#405107,10.); #119833=VECTOR('',#405108,10.); #119834=VECTOR('',#405109,10.); #119835=VECTOR('',#405112,10.); #119836=VECTOR('',#405113,10.); #119837=VECTOR('',#405114,10.); #119838=VECTOR('',#405117,10.); #119839=VECTOR('',#405118,10.); #119840=VECTOR('',#405119,10.); #119841=VECTOR('',#405122,10.); #119842=VECTOR('',#405123,10.); #119843=VECTOR('',#405124,10.); #119844=VECTOR('',#405127,10.); #119845=VECTOR('',#405128,10.); #119846=VECTOR('',#405129,10.); #119847=VECTOR('',#405132,10.); #119848=VECTOR('',#405133,10.); #119849=VECTOR('',#405134,10.); #119850=VECTOR('',#405137,10.); #119851=VECTOR('',#405138,10.); #119852=VECTOR('',#405139,10.); #119853=VECTOR('',#405142,10.); #119854=VECTOR('',#405143,10.); #119855=VECTOR('',#405144,10.); #119856=VECTOR('',#405147,10.); #119857=VECTOR('',#405148,10.); #119858=VECTOR('',#405149,10.); #119859=VECTOR('',#405152,10.); #119860=VECTOR('',#405153,10.); #119861=VECTOR('',#405154,10.); #119862=VECTOR('',#405157,10.); #119863=VECTOR('',#405158,10.); #119864=VECTOR('',#405159,10.); #119865=VECTOR('',#405162,10.); #119866=VECTOR('',#405163,10.); #119867=VECTOR('',#405164,10.); #119868=VECTOR('',#405167,10.); #119869=VECTOR('',#405168,10.); #119870=VECTOR('',#405169,10.); #119871=VECTOR('',#405172,10.); #119872=VECTOR('',#405173,10.); #119873=VECTOR('',#405174,10.); #119874=VECTOR('',#405177,10.); #119875=VECTOR('',#405178,10.); #119876=VECTOR('',#405179,10.); #119877=VECTOR('',#405182,10.); #119878=VECTOR('',#405183,10.); #119879=VECTOR('',#405184,10.); #119880=VECTOR('',#405187,10.); #119881=VECTOR('',#405188,10.); #119882=VECTOR('',#405189,10.); #119883=VECTOR('',#405192,10.); #119884=VECTOR('',#405193,10.); #119885=VECTOR('',#405194,10.); #119886=VECTOR('',#405197,10.); #119887=VECTOR('',#405198,10.); #119888=VECTOR('',#405199,10.); #119889=VECTOR('',#405202,10.); #119890=VECTOR('',#405203,10.); #119891=VECTOR('',#405204,10.); #119892=VECTOR('',#405207,10.); #119893=VECTOR('',#405208,10.); #119894=VECTOR('',#405209,10.); #119895=VECTOR('',#405212,10.); #119896=VECTOR('',#405213,10.); #119897=VECTOR('',#405214,10.); #119898=VECTOR('',#405217,10.); #119899=VECTOR('',#405218,10.); #119900=VECTOR('',#405219,10.); #119901=VECTOR('',#405222,10.); #119902=VECTOR('',#405223,10.); #119903=VECTOR('',#405224,10.); #119904=VECTOR('',#405227,10.); #119905=VECTOR('',#405228,10.); #119906=VECTOR('',#405229,10.); #119907=VECTOR('',#405232,10.); #119908=VECTOR('',#405233,10.); #119909=VECTOR('',#405234,10.); #119910=VECTOR('',#405237,10.); #119911=VECTOR('',#405238,10.); #119912=VECTOR('',#405239,10.); #119913=VECTOR('',#405242,10.); #119914=VECTOR('',#405243,10.); #119915=VECTOR('',#405244,10.); #119916=VECTOR('',#405247,10.); #119917=VECTOR('',#405248,10.); #119918=VECTOR('',#405249,10.); #119919=VECTOR('',#405252,10.); #119920=VECTOR('',#405253,10.); #119921=VECTOR('',#405254,10.); #119922=VECTOR('',#405257,10.); #119923=VECTOR('',#405258,10.); #119924=VECTOR('',#405259,10.); #119925=VECTOR('',#405262,10.); #119926=VECTOR('',#405263,10.); #119927=VECTOR('',#405264,10.); #119928=VECTOR('',#405267,10.); #119929=VECTOR('',#405268,10.); #119930=VECTOR('',#405269,10.); #119931=VECTOR('',#405272,10.); #119932=VECTOR('',#405273,10.); #119933=VECTOR('',#405274,10.); #119934=VECTOR('',#405277,10.); #119935=VECTOR('',#405278,10.); #119936=VECTOR('',#405279,10.); #119937=VECTOR('',#405282,10.); #119938=VECTOR('',#405283,10.); #119939=VECTOR('',#405284,10.); #119940=VECTOR('',#405287,10.); #119941=VECTOR('',#405288,10.); #119942=VECTOR('',#405289,10.); #119943=VECTOR('',#405292,10.); #119944=VECTOR('',#405293,10.); #119945=VECTOR('',#405294,10.); #119946=VECTOR('',#405297,10.); #119947=VECTOR('',#405298,10.); #119948=VECTOR('',#405299,10.); #119949=VECTOR('',#405302,10.); #119950=VECTOR('',#405303,10.); #119951=VECTOR('',#405304,10.); #119952=VECTOR('',#405307,10.); #119953=VECTOR('',#405308,10.); #119954=VECTOR('',#405309,10.); #119955=VECTOR('',#405312,10.); #119956=VECTOR('',#405313,10.); #119957=VECTOR('',#405314,10.); #119958=VECTOR('',#405317,10.); #119959=VECTOR('',#405318,10.); #119960=VECTOR('',#405319,10.); #119961=VECTOR('',#405322,10.); #119962=VECTOR('',#405323,10.); #119963=VECTOR('',#405324,10.); #119964=VECTOR('',#405327,10.); #119965=VECTOR('',#405328,10.); #119966=VECTOR('',#405329,10.); #119967=VECTOR('',#405332,10.); #119968=VECTOR('',#405333,10.); #119969=VECTOR('',#405334,10.); #119970=VECTOR('',#405337,10.); #119971=VECTOR('',#405338,10.); #119972=VECTOR('',#405339,10.); #119973=VECTOR('',#405342,10.); #119974=VECTOR('',#405343,10.); #119975=VECTOR('',#405344,10.); #119976=VECTOR('',#405347,10.); #119977=VECTOR('',#405348,10.); #119978=VECTOR('',#405349,10.); #119979=VECTOR('',#405352,10.); #119980=VECTOR('',#405353,10.); #119981=VECTOR('',#405354,10.); #119982=VECTOR('',#405357,10.); #119983=VECTOR('',#405358,10.); #119984=VECTOR('',#405359,10.); #119985=VECTOR('',#405362,10.); #119986=VECTOR('',#405363,10.); #119987=VECTOR('',#405364,10.); #119988=VECTOR('',#405367,10.); #119989=VECTOR('',#405368,10.); #119990=VECTOR('',#405369,10.); #119991=VECTOR('',#405372,10.); #119992=VECTOR('',#405373,10.); #119993=VECTOR('',#405374,10.); #119994=VECTOR('',#405377,10.); #119995=VECTOR('',#405378,10.); #119996=VECTOR('',#405379,10.); #119997=VECTOR('',#405382,10.); #119998=VECTOR('',#405383,10.); #119999=VECTOR('',#405384,10.); #120000=VECTOR('',#405387,10.); #120001=VECTOR('',#405388,10.); #120002=VECTOR('',#405389,10.); #120003=VECTOR('',#405392,10.); #120004=VECTOR('',#405393,10.); #120005=VECTOR('',#405394,10.); #120006=VECTOR('',#405397,10.); #120007=VECTOR('',#405398,10.); #120008=VECTOR('',#405399,10.); #120009=VECTOR('',#405402,10.); #120010=VECTOR('',#405403,10.); #120011=VECTOR('',#405404,10.); #120012=VECTOR('',#405407,10.); #120013=VECTOR('',#405408,10.); #120014=VECTOR('',#405409,10.); #120015=VECTOR('',#405412,10.); #120016=VECTOR('',#405413,10.); #120017=VECTOR('',#405414,10.); #120018=VECTOR('',#405417,10.); #120019=VECTOR('',#405418,10.); #120020=VECTOR('',#405419,10.); #120021=VECTOR('',#405422,10.); #120022=VECTOR('',#405423,10.); #120023=VECTOR('',#405424,10.); #120024=VECTOR('',#405427,10.); #120025=VECTOR('',#405428,10.); #120026=VECTOR('',#405429,10.); #120027=VECTOR('',#405432,10.); #120028=VECTOR('',#405433,10.); #120029=VECTOR('',#405434,10.); #120030=VECTOR('',#405437,10.); #120031=VECTOR('',#405438,10.); #120032=VECTOR('',#405439,10.); #120033=VECTOR('',#405442,10.); #120034=VECTOR('',#405443,10.); #120035=VECTOR('',#405444,10.); #120036=VECTOR('',#405447,10.); #120037=VECTOR('',#405448,10.); #120038=VECTOR('',#405449,10.); #120039=VECTOR('',#405452,10.); #120040=VECTOR('',#405453,10.); #120041=VECTOR('',#405454,10.); #120042=VECTOR('',#405457,10.); #120043=VECTOR('',#405458,10.); #120044=VECTOR('',#405459,10.); #120045=VECTOR('',#405462,10.); #120046=VECTOR('',#405463,10.); #120047=VECTOR('',#405464,10.); #120048=VECTOR('',#405467,10.); #120049=VECTOR('',#405468,10.); #120050=VECTOR('',#405469,10.); #120051=VECTOR('',#405472,10.); #120052=VECTOR('',#405473,10.); #120053=VECTOR('',#405474,10.); #120054=VECTOR('',#405477,10.); #120055=VECTOR('',#405478,10.); #120056=VECTOR('',#405479,10.); #120057=VECTOR('',#405482,10.); #120058=VECTOR('',#405483,10.); #120059=VECTOR('',#405484,10.); #120060=VECTOR('',#405487,10.); #120061=VECTOR('',#405488,10.); #120062=VECTOR('',#405489,10.); #120063=VECTOR('',#405492,10.); #120064=VECTOR('',#405493,10.); #120065=VECTOR('',#405494,10.); #120066=VECTOR('',#405497,10.); #120067=VECTOR('',#405498,10.); #120068=VECTOR('',#405499,10.); #120069=VECTOR('',#405502,10.); #120070=VECTOR('',#405503,10.); #120071=VECTOR('',#405504,10.); #120072=VECTOR('',#405507,10.); #120073=VECTOR('',#405508,10.); #120074=VECTOR('',#405509,10.); #120075=VECTOR('',#405512,10.); #120076=VECTOR('',#405513,10.); #120077=VECTOR('',#405514,10.); #120078=VECTOR('',#405517,10.); #120079=VECTOR('',#405518,10.); #120080=VECTOR('',#405519,10.); #120081=VECTOR('',#405522,10.); #120082=VECTOR('',#405523,10.); #120083=VECTOR('',#405524,10.); #120084=VECTOR('',#405527,10.); #120085=VECTOR('',#405528,10.); #120086=VECTOR('',#405529,10.); #120087=VECTOR('',#405532,10.); #120088=VECTOR('',#405533,10.); #120089=VECTOR('',#405534,10.); #120090=VECTOR('',#405537,10.); #120091=VECTOR('',#405538,10.); #120092=VECTOR('',#405539,10.); #120093=VECTOR('',#405542,10.); #120094=VECTOR('',#405543,10.); #120095=VECTOR('',#405544,10.); #120096=VECTOR('',#405547,10.); #120097=VECTOR('',#405548,10.); #120098=VECTOR('',#405551,10.); #120099=VECTOR('',#405552,10.); #120100=VECTOR('',#405553,10.); #120101=VECTOR('',#405554,10.); #120102=VECTOR('',#405557,10.); #120103=VECTOR('',#405558,10.); #120104=VECTOR('',#405559,10.); #120105=VECTOR('',#405562,10.); #120106=VECTOR('',#405563,10.); #120107=VECTOR('',#405564,10.); #120108=VECTOR('',#405567,10.); #120109=VECTOR('',#405568,10.); #120110=VECTOR('',#405569,10.); #120111=VECTOR('',#405572,10.); #120112=VECTOR('',#405573,10.); #120113=VECTOR('',#405574,10.); #120114=VECTOR('',#405577,10.); #120115=VECTOR('',#405578,10.); #120116=VECTOR('',#405579,10.); #120117=VECTOR('',#405582,10.); #120118=VECTOR('',#405583,10.); #120119=VECTOR('',#405584,10.); #120120=VECTOR('',#405587,10.); #120121=VECTOR('',#405588,10.); #120122=VECTOR('',#405589,10.); #120123=VECTOR('',#405592,10.); #120124=VECTOR('',#405593,10.); #120125=VECTOR('',#405594,10.); #120126=VECTOR('',#405597,10.); #120127=VECTOR('',#405598,10.); #120128=VECTOR('',#405599,10.); #120129=VECTOR('',#405602,10.); #120130=VECTOR('',#405603,10.); #120131=VECTOR('',#405604,10.); #120132=VECTOR('',#405607,10.); #120133=VECTOR('',#405608,10.); #120134=VECTOR('',#405609,10.); #120135=VECTOR('',#405612,10.); #120136=VECTOR('',#405613,10.); #120137=VECTOR('',#405614,10.); #120138=VECTOR('',#405617,10.); #120139=VECTOR('',#405618,10.); #120140=VECTOR('',#405619,10.); #120141=VECTOR('',#405622,10.); #120142=VECTOR('',#405623,10.); #120143=VECTOR('',#405624,10.); #120144=VECTOR('',#405627,10.); #120145=VECTOR('',#405628,10.); #120146=VECTOR('',#405629,10.); #120147=VECTOR('',#405632,10.); #120148=VECTOR('',#405633,10.); #120149=VECTOR('',#405634,10.); #120150=VECTOR('',#405637,10.); #120151=VECTOR('',#405638,10.); #120152=VECTOR('',#405639,10.); #120153=VECTOR('',#405642,10.); #120154=VECTOR('',#405643,10.); #120155=VECTOR('',#405644,10.); #120156=VECTOR('',#405647,10.); #120157=VECTOR('',#405648,10.); #120158=VECTOR('',#405649,10.); #120159=VECTOR('',#405652,10.); #120160=VECTOR('',#405653,10.); #120161=VECTOR('',#405654,10.); #120162=VECTOR('',#405657,10.); #120163=VECTOR('',#405658,10.); #120164=VECTOR('',#405659,10.); #120165=VECTOR('',#405662,10.); #120166=VECTOR('',#405663,10.); #120167=VECTOR('',#405664,10.); #120168=VECTOR('',#405667,10.); #120169=VECTOR('',#405668,10.); #120170=VECTOR('',#405669,10.); #120171=VECTOR('',#405672,10.); #120172=VECTOR('',#405673,10.); #120173=VECTOR('',#405674,10.); #120174=VECTOR('',#405677,10.); #120175=VECTOR('',#405678,10.); #120176=VECTOR('',#405679,10.); #120177=VECTOR('',#405682,10.); #120178=VECTOR('',#405683,10.); #120179=VECTOR('',#405684,10.); #120180=VECTOR('',#405687,10.); #120181=VECTOR('',#405688,10.); #120182=VECTOR('',#405689,10.); #120183=VECTOR('',#405692,10.); #120184=VECTOR('',#405693,10.); #120185=VECTOR('',#405694,10.); #120186=VECTOR('',#405697,10.); #120187=VECTOR('',#405698,10.); #120188=VECTOR('',#405699,10.); #120189=VECTOR('',#405702,10.); #120190=VECTOR('',#405703,10.); #120191=VECTOR('',#405704,10.); #120192=VECTOR('',#405707,10.); #120193=VECTOR('',#405708,10.); #120194=VECTOR('',#405709,10.); #120195=VECTOR('',#405712,10.); #120196=VECTOR('',#405713,10.); #120197=VECTOR('',#405714,10.); #120198=VECTOR('',#405717,10.); #120199=VECTOR('',#405718,10.); #120200=VECTOR('',#405719,10.); #120201=VECTOR('',#405722,10.); #120202=VECTOR('',#405723,10.); #120203=VECTOR('',#405724,10.); #120204=VECTOR('',#405727,10.); #120205=VECTOR('',#405728,10.); #120206=VECTOR('',#405729,10.); #120207=VECTOR('',#405732,10.); #120208=VECTOR('',#405733,10.); #120209=VECTOR('',#405734,10.); #120210=VECTOR('',#405737,10.); #120211=VECTOR('',#405738,10.); #120212=VECTOR('',#405739,10.); #120213=VECTOR('',#405742,10.); #120214=VECTOR('',#405743,10.); #120215=VECTOR('',#405744,10.); #120216=VECTOR('',#405747,10.); #120217=VECTOR('',#405748,10.); #120218=VECTOR('',#405749,10.); #120219=VECTOR('',#405752,10.); #120220=VECTOR('',#405753,10.); #120221=VECTOR('',#405754,10.); #120222=VECTOR('',#405757,10.); #120223=VECTOR('',#405758,10.); #120224=VECTOR('',#405759,10.); #120225=VECTOR('',#405762,10.); #120226=VECTOR('',#405763,10.); #120227=VECTOR('',#405764,10.); #120228=VECTOR('',#405767,10.); #120229=VECTOR('',#405768,10.); #120230=VECTOR('',#405769,10.); #120231=VECTOR('',#405772,10.); #120232=VECTOR('',#405773,10.); #120233=VECTOR('',#405774,10.); #120234=VECTOR('',#405777,10.); #120235=VECTOR('',#405778,10.); #120236=VECTOR('',#405779,10.); #120237=VECTOR('',#405782,10.); #120238=VECTOR('',#405783,10.); #120239=VECTOR('',#405784,10.); #120240=VECTOR('',#405787,10.); #120241=VECTOR('',#405788,10.); #120242=VECTOR('',#405789,10.); #120243=VECTOR('',#405792,10.); #120244=VECTOR('',#405793,10.); #120245=VECTOR('',#405794,10.); #120246=VECTOR('',#405797,10.); #120247=VECTOR('',#405798,10.); #120248=VECTOR('',#405799,10.); #120249=VECTOR('',#405802,10.); #120250=VECTOR('',#405803,10.); #120251=VECTOR('',#405804,10.); #120252=VECTOR('',#405807,10.); #120253=VECTOR('',#405808,10.); #120254=VECTOR('',#405809,10.); #120255=VECTOR('',#405812,10.); #120256=VECTOR('',#405813,10.); #120257=VECTOR('',#405814,10.); #120258=VECTOR('',#405817,10.); #120259=VECTOR('',#405818,10.); #120260=VECTOR('',#405819,10.); #120261=VECTOR('',#405822,10.); #120262=VECTOR('',#405823,10.); #120263=VECTOR('',#405824,10.); #120264=VECTOR('',#405827,10.); #120265=VECTOR('',#405828,10.); #120266=VECTOR('',#405829,10.); #120267=VECTOR('',#405832,10.); #120268=VECTOR('',#405833,10.); #120269=VECTOR('',#405834,10.); #120270=VECTOR('',#405837,10.); #120271=VECTOR('',#405838,10.); #120272=VECTOR('',#405839,10.); #120273=VECTOR('',#405842,10.); #120274=VECTOR('',#405843,10.); #120275=VECTOR('',#405844,10.); #120276=VECTOR('',#405847,10.); #120277=VECTOR('',#405848,10.); #120278=VECTOR('',#405849,10.); #120279=VECTOR('',#405852,10.); #120280=VECTOR('',#405853,10.); #120281=VECTOR('',#405854,10.); #120282=VECTOR('',#405857,10.); #120283=VECTOR('',#405858,10.); #120284=VECTOR('',#405859,10.); #120285=VECTOR('',#405862,10.); #120286=VECTOR('',#405863,10.); #120287=VECTOR('',#405864,10.); #120288=VECTOR('',#405867,10.); #120289=VECTOR('',#405868,10.); #120290=VECTOR('',#405869,10.); #120291=VECTOR('',#405872,10.); #120292=VECTOR('',#405873,10.); #120293=VECTOR('',#405874,10.); #120294=VECTOR('',#405877,10.); #120295=VECTOR('',#405878,10.); #120296=VECTOR('',#405879,10.); #120297=VECTOR('',#405882,10.); #120298=VECTOR('',#405883,10.); #120299=VECTOR('',#405884,10.); #120300=VECTOR('',#405887,10.); #120301=VECTOR('',#405888,10.); #120302=VECTOR('',#405889,10.); #120303=VECTOR('',#405892,10.); #120304=VECTOR('',#405893,10.); #120305=VECTOR('',#405894,10.); #120306=VECTOR('',#405897,10.); #120307=VECTOR('',#405898,10.); #120308=VECTOR('',#405899,10.); #120309=VECTOR('',#405902,10.); #120310=VECTOR('',#405903,10.); #120311=VECTOR('',#405904,10.); #120312=VECTOR('',#405907,10.); #120313=VECTOR('',#405908,10.); #120314=VECTOR('',#405909,10.); #120315=VECTOR('',#405912,10.); #120316=VECTOR('',#405913,10.); #120317=VECTOR('',#405914,10.); #120318=VECTOR('',#405917,10.); #120319=VECTOR('',#405918,10.); #120320=VECTOR('',#405919,10.); #120321=VECTOR('',#405922,10.); #120322=VECTOR('',#405923,10.); #120323=VECTOR('',#405924,10.); #120324=VECTOR('',#405927,10.); #120325=VECTOR('',#405928,10.); #120326=VECTOR('',#405929,10.); #120327=VECTOR('',#405932,10.); #120328=VECTOR('',#405933,10.); #120329=VECTOR('',#405934,10.); #120330=VECTOR('',#405937,10.); #120331=VECTOR('',#405938,10.); #120332=VECTOR('',#405939,10.); #120333=VECTOR('',#405942,10.); #120334=VECTOR('',#405943,10.); #120335=VECTOR('',#405944,10.); #120336=VECTOR('',#405947,10.); #120337=VECTOR('',#405948,10.); #120338=VECTOR('',#405949,10.); #120339=VECTOR('',#405952,10.); #120340=VECTOR('',#405953,10.); #120341=VECTOR('',#405954,10.); #120342=VECTOR('',#405957,10.); #120343=VECTOR('',#405958,10.); #120344=VECTOR('',#405959,10.); #120345=VECTOR('',#405962,10.); #120346=VECTOR('',#405963,10.); #120347=VECTOR('',#405964,10.); #120348=VECTOR('',#405967,10.); #120349=VECTOR('',#405968,10.); #120350=VECTOR('',#405969,10.); #120351=VECTOR('',#405972,10.); #120352=VECTOR('',#405973,10.); #120353=VECTOR('',#405974,10.); #120354=VECTOR('',#405977,10.); #120355=VECTOR('',#405978,10.); #120356=VECTOR('',#405979,10.); #120357=VECTOR('',#405982,10.); #120358=VECTOR('',#405983,10.); #120359=VECTOR('',#405984,10.); #120360=VECTOR('',#405987,10.); #120361=VECTOR('',#405988,10.); #120362=VECTOR('',#405989,10.); #120363=VECTOR('',#405992,10.); #120364=VECTOR('',#405993,10.); #120365=VECTOR('',#405994,10.); #120366=VECTOR('',#405997,10.); #120367=VECTOR('',#405998,10.); #120368=VECTOR('',#405999,10.); #120369=VECTOR('',#406002,10.); #120370=VECTOR('',#406003,10.); #120371=VECTOR('',#406004,10.); #120372=VECTOR('',#406007,10.); #120373=VECTOR('',#406008,10.); #120374=VECTOR('',#406009,10.); #120375=VECTOR('',#406012,10.); #120376=VECTOR('',#406013,10.); #120377=VECTOR('',#406014,10.); #120378=VECTOR('',#406017,10.); #120379=VECTOR('',#406018,10.); #120380=VECTOR('',#406019,10.); #120381=VECTOR('',#406022,10.); #120382=VECTOR('',#406023,10.); #120383=VECTOR('',#406024,10.); #120384=VECTOR('',#406027,10.); #120385=VECTOR('',#406028,10.); #120386=VECTOR('',#406029,10.); #120387=VECTOR('',#406032,10.); #120388=VECTOR('',#406033,10.); #120389=VECTOR('',#406034,10.); #120390=VECTOR('',#406037,10.); #120391=VECTOR('',#406038,10.); #120392=VECTOR('',#406039,10.); #120393=VECTOR('',#406042,10.); #120394=VECTOR('',#406043,10.); #120395=VECTOR('',#406044,10.); #120396=VECTOR('',#406047,10.); #120397=VECTOR('',#406048,10.); #120398=VECTOR('',#406051,10.); #120399=VECTOR('',#406052,10.); #120400=VECTOR('',#406053,10.); #120401=VECTOR('',#406054,10.); #120402=VECTOR('',#406057,10.); #120403=VECTOR('',#406058,10.); #120404=VECTOR('',#406059,10.); #120405=VECTOR('',#406062,10.); #120406=VECTOR('',#406063,10.); #120407=VECTOR('',#406064,10.); #120408=VECTOR('',#406067,10.); #120409=VECTOR('',#406068,10.); #120410=VECTOR('',#406069,10.); #120411=VECTOR('',#406072,10.); #120412=VECTOR('',#406073,10.); #120413=VECTOR('',#406074,10.); #120414=VECTOR('',#406077,10.); #120415=VECTOR('',#406078,10.); #120416=VECTOR('',#406079,10.); #120417=VECTOR('',#406082,10.); #120418=VECTOR('',#406083,10.); #120419=VECTOR('',#406084,10.); #120420=VECTOR('',#406087,10.); #120421=VECTOR('',#406088,10.); #120422=VECTOR('',#406089,10.); #120423=VECTOR('',#406092,10.); #120424=VECTOR('',#406093,10.); #120425=VECTOR('',#406094,10.); #120426=VECTOR('',#406097,10.); #120427=VECTOR('',#406098,10.); #120428=VECTOR('',#406099,10.); #120429=VECTOR('',#406102,10.); #120430=VECTOR('',#406103,10.); #120431=VECTOR('',#406104,10.); #120432=VECTOR('',#406107,10.); #120433=VECTOR('',#406108,10.); #120434=VECTOR('',#406109,10.); #120435=VECTOR('',#406112,10.); #120436=VECTOR('',#406113,10.); #120437=VECTOR('',#406114,10.); #120438=VECTOR('',#406117,10.); #120439=VECTOR('',#406118,10.); #120440=VECTOR('',#406119,10.); #120441=VECTOR('',#406122,10.); #120442=VECTOR('',#406123,10.); #120443=VECTOR('',#406124,10.); #120444=VECTOR('',#406127,10.); #120445=VECTOR('',#406128,10.); #120446=VECTOR('',#406129,10.); #120447=VECTOR('',#406132,10.); #120448=VECTOR('',#406133,10.); #120449=VECTOR('',#406134,10.); #120450=VECTOR('',#406137,10.); #120451=VECTOR('',#406138,10.); #120452=VECTOR('',#406139,10.); #120453=VECTOR('',#406142,10.); #120454=VECTOR('',#406143,10.); #120455=VECTOR('',#406144,10.); #120456=VECTOR('',#406147,10.); #120457=VECTOR('',#406148,10.); #120458=VECTOR('',#406149,10.); #120459=VECTOR('',#406152,10.); #120460=VECTOR('',#406153,10.); #120461=VECTOR('',#406154,10.); #120462=VECTOR('',#406157,10.); #120463=VECTOR('',#406158,10.); #120464=VECTOR('',#406159,10.); #120465=VECTOR('',#406162,10.); #120466=VECTOR('',#406163,10.); #120467=VECTOR('',#406164,10.); #120468=VECTOR('',#406167,10.); #120469=VECTOR('',#406168,10.); #120470=VECTOR('',#406169,10.); #120471=VECTOR('',#406172,10.); #120472=VECTOR('',#406173,10.); #120473=VECTOR('',#406174,10.); #120474=VECTOR('',#406177,10.); #120475=VECTOR('',#406178,10.); #120476=VECTOR('',#406179,10.); #120477=VECTOR('',#406182,10.); #120478=VECTOR('',#406183,10.); #120479=VECTOR('',#406184,10.); #120480=VECTOR('',#406187,10.); #120481=VECTOR('',#406188,10.); #120482=VECTOR('',#406189,10.); #120483=VECTOR('',#406192,10.); #120484=VECTOR('',#406193,10.); #120485=VECTOR('',#406194,10.); #120486=VECTOR('',#406197,10.); #120487=VECTOR('',#406198,10.); #120488=VECTOR('',#406199,10.); #120489=VECTOR('',#406202,10.); #120490=VECTOR('',#406203,10.); #120491=VECTOR('',#406204,10.); #120492=VECTOR('',#406207,10.); #120493=VECTOR('',#406208,10.); #120494=VECTOR('',#406209,10.); #120495=VECTOR('',#406212,10.); #120496=VECTOR('',#406213,10.); #120497=VECTOR('',#406214,10.); #120498=VECTOR('',#406217,10.); #120499=VECTOR('',#406218,10.); #120500=VECTOR('',#406219,10.); #120501=VECTOR('',#406222,10.); #120502=VECTOR('',#406223,10.); #120503=VECTOR('',#406224,10.); #120504=VECTOR('',#406227,10.); #120505=VECTOR('',#406228,10.); #120506=VECTOR('',#406229,10.); #120507=VECTOR('',#406232,10.); #120508=VECTOR('',#406233,10.); #120509=VECTOR('',#406234,10.); #120510=VECTOR('',#406237,10.); #120511=VECTOR('',#406238,10.); #120512=VECTOR('',#406239,10.); #120513=VECTOR('',#406242,10.); #120514=VECTOR('',#406243,10.); #120515=VECTOR('',#406244,10.); #120516=VECTOR('',#406247,10.); #120517=VECTOR('',#406248,10.); #120518=VECTOR('',#406249,10.); #120519=VECTOR('',#406252,10.); #120520=VECTOR('',#406253,10.); #120521=VECTOR('',#406254,10.); #120522=VECTOR('',#406257,10.); #120523=VECTOR('',#406258,10.); #120524=VECTOR('',#406259,10.); #120525=VECTOR('',#406262,10.); #120526=VECTOR('',#406263,10.); #120527=VECTOR('',#406264,10.); #120528=VECTOR('',#406267,10.); #120529=VECTOR('',#406268,10.); #120530=VECTOR('',#406269,10.); #120531=VECTOR('',#406272,10.); #120532=VECTOR('',#406273,10.); #120533=VECTOR('',#406274,10.); #120534=VECTOR('',#406277,10.); #120535=VECTOR('',#406278,10.); #120536=VECTOR('',#406279,10.); #120537=VECTOR('',#406282,10.); #120538=VECTOR('',#406283,10.); #120539=VECTOR('',#406284,10.); #120540=VECTOR('',#406287,10.); #120541=VECTOR('',#406288,10.); #120542=VECTOR('',#406289,10.); #120543=VECTOR('',#406292,10.); #120544=VECTOR('',#406293,10.); #120545=VECTOR('',#406294,10.); #120546=VECTOR('',#406297,10.); #120547=VECTOR('',#406298,10.); #120548=VECTOR('',#406299,10.); #120549=VECTOR('',#406302,10.); #120550=VECTOR('',#406303,10.); #120551=VECTOR('',#406304,10.); #120552=VECTOR('',#406307,10.); #120553=VECTOR('',#406308,10.); #120554=VECTOR('',#406309,10.); #120555=VECTOR('',#406312,10.); #120556=VECTOR('',#406313,10.); #120557=VECTOR('',#406314,10.); #120558=VECTOR('',#406317,10.); #120559=VECTOR('',#406318,10.); #120560=VECTOR('',#406319,10.); #120561=VECTOR('',#406322,10.); #120562=VECTOR('',#406323,10.); #120563=VECTOR('',#406324,10.); #120564=VECTOR('',#406327,10.); #120565=VECTOR('',#406328,10.); #120566=VECTOR('',#406329,10.); #120567=VECTOR('',#406332,10.); #120568=VECTOR('',#406333,10.); #120569=VECTOR('',#406334,10.); #120570=VECTOR('',#406337,10.); #120571=VECTOR('',#406338,10.); #120572=VECTOR('',#406339,10.); #120573=VECTOR('',#406342,10.); #120574=VECTOR('',#406343,10.); #120575=VECTOR('',#406344,10.); #120576=VECTOR('',#406347,10.); #120577=VECTOR('',#406348,10.); #120578=VECTOR('',#406349,10.); #120579=VECTOR('',#406352,10.); #120580=VECTOR('',#406353,10.); #120581=VECTOR('',#406354,10.); #120582=VECTOR('',#406357,10.); #120583=VECTOR('',#406358,10.); #120584=VECTOR('',#406359,10.); #120585=VECTOR('',#406362,10.); #120586=VECTOR('',#406363,10.); #120587=VECTOR('',#406364,10.); #120588=VECTOR('',#406367,10.); #120589=VECTOR('',#406368,10.); #120590=VECTOR('',#406369,10.); #120591=VECTOR('',#406372,10.); #120592=VECTOR('',#406373,10.); #120593=VECTOR('',#406374,10.); #120594=VECTOR('',#406377,10.); #120595=VECTOR('',#406378,10.); #120596=VECTOR('',#406379,10.); #120597=VECTOR('',#406382,10.); #120598=VECTOR('',#406383,10.); #120599=VECTOR('',#406384,10.); #120600=VECTOR('',#406387,10.); #120601=VECTOR('',#406388,10.); #120602=VECTOR('',#406389,10.); #120603=VECTOR('',#406392,10.); #120604=VECTOR('',#406393,10.); #120605=VECTOR('',#406394,10.); #120606=VECTOR('',#406397,10.); #120607=VECTOR('',#406398,10.); #120608=VECTOR('',#406399,10.); #120609=VECTOR('',#406402,10.); #120610=VECTOR('',#406403,10.); #120611=VECTOR('',#406404,10.); #120612=VECTOR('',#406407,10.); #120613=VECTOR('',#406408,10.); #120614=VECTOR('',#406409,10.); #120615=VECTOR('',#406412,10.); #120616=VECTOR('',#406413,10.); #120617=VECTOR('',#406414,10.); #120618=VECTOR('',#406417,10.); #120619=VECTOR('',#406418,10.); #120620=VECTOR('',#406419,10.); #120621=VECTOR('',#406422,10.); #120622=VECTOR('',#406423,10.); #120623=VECTOR('',#406424,10.); #120624=VECTOR('',#406427,10.); #120625=VECTOR('',#406428,10.); #120626=VECTOR('',#406429,10.); #120627=VECTOR('',#406432,10.); #120628=VECTOR('',#406433,10.); #120629=VECTOR('',#406434,10.); #120630=VECTOR('',#406437,10.); #120631=VECTOR('',#406438,10.); #120632=VECTOR('',#406439,10.); #120633=VECTOR('',#406442,10.); #120634=VECTOR('',#406443,10.); #120635=VECTOR('',#406444,10.); #120636=VECTOR('',#406447,10.); #120637=VECTOR('',#406448,10.); #120638=VECTOR('',#406449,10.); #120639=VECTOR('',#406452,10.); #120640=VECTOR('',#406453,10.); #120641=VECTOR('',#406454,10.); #120642=VECTOR('',#406457,10.); #120643=VECTOR('',#406458,10.); #120644=VECTOR('',#406459,10.); #120645=VECTOR('',#406462,10.); #120646=VECTOR('',#406463,10.); #120647=VECTOR('',#406464,10.); #120648=VECTOR('',#406467,10.); #120649=VECTOR('',#406468,10.); #120650=VECTOR('',#406469,10.); #120651=VECTOR('',#406472,10.); #120652=VECTOR('',#406473,10.); #120653=VECTOR('',#406474,10.); #120654=VECTOR('',#406477,10.); #120655=VECTOR('',#406478,10.); #120656=VECTOR('',#406479,10.); #120657=VECTOR('',#406482,10.); #120658=VECTOR('',#406483,10.); #120659=VECTOR('',#406484,10.); #120660=VECTOR('',#406487,10.); #120661=VECTOR('',#406488,10.); #120662=VECTOR('',#406489,10.); #120663=VECTOR('',#406492,10.); #120664=VECTOR('',#406493,10.); #120665=VECTOR('',#406494,10.); #120666=VECTOR('',#406497,10.); #120667=VECTOR('',#406498,10.); #120668=VECTOR('',#406499,10.); #120669=VECTOR('',#406502,10.); #120670=VECTOR('',#406503,10.); #120671=VECTOR('',#406504,10.); #120672=VECTOR('',#406507,10.); #120673=VECTOR('',#406508,10.); #120674=VECTOR('',#406509,10.); #120675=VECTOR('',#406512,10.); #120676=VECTOR('',#406513,10.); #120677=VECTOR('',#406514,10.); #120678=VECTOR('',#406517,10.); #120679=VECTOR('',#406518,10.); #120680=VECTOR('',#406519,10.); #120681=VECTOR('',#406522,10.); #120682=VECTOR('',#406523,10.); #120683=VECTOR('',#406524,10.); #120684=VECTOR('',#406527,10.); #120685=VECTOR('',#406528,10.); #120686=VECTOR('',#406529,10.); #120687=VECTOR('',#406532,10.); #120688=VECTOR('',#406533,10.); #120689=VECTOR('',#406534,10.); #120690=VECTOR('',#406537,10.); #120691=VECTOR('',#406538,10.); #120692=VECTOR('',#406539,10.); #120693=VECTOR('',#406542,10.); #120694=VECTOR('',#406543,10.); #120695=VECTOR('',#406544,10.); #120696=VECTOR('',#406547,10.); #120697=VECTOR('',#406548,10.); #120698=VECTOR('',#406549,10.); #120699=VECTOR('',#406552,10.); #120700=VECTOR('',#406553,10.); #120701=VECTOR('',#406554,10.); #120702=VECTOR('',#406557,10.); #120703=VECTOR('',#406558,10.); #120704=VECTOR('',#406559,10.); #120705=VECTOR('',#406562,10.); #120706=VECTOR('',#406563,10.); #120707=VECTOR('',#406564,10.); #120708=VECTOR('',#406567,10.); #120709=VECTOR('',#406568,10.); #120710=VECTOR('',#406569,10.); #120711=VECTOR('',#406572,10.); #120712=VECTOR('',#406573,10.); #120713=VECTOR('',#406574,10.); #120714=VECTOR('',#406577,10.); #120715=VECTOR('',#406578,10.); #120716=VECTOR('',#406579,10.); #120717=VECTOR('',#406582,10.); #120718=VECTOR('',#406583,10.); #120719=VECTOR('',#406584,10.); #120720=VECTOR('',#406587,10.); #120721=VECTOR('',#406588,10.); #120722=VECTOR('',#406589,10.); #120723=VECTOR('',#406592,10.); #120724=VECTOR('',#406593,10.); #120725=VECTOR('',#406594,10.); #120726=VECTOR('',#406597,10.); #120727=VECTOR('',#406598,10.); #120728=VECTOR('',#406599,10.); #120729=VECTOR('',#406602,10.); #120730=VECTOR('',#406603,10.); #120731=VECTOR('',#406604,10.); #120732=VECTOR('',#406607,10.); #120733=VECTOR('',#406608,10.); #120734=VECTOR('',#406609,10.); #120735=VECTOR('',#406612,10.); #120736=VECTOR('',#406613,10.); #120737=VECTOR('',#406614,10.); #120738=VECTOR('',#406617,10.); #120739=VECTOR('',#406618,10.); #120740=VECTOR('',#406619,10.); #120741=VECTOR('',#406622,10.); #120742=VECTOR('',#406623,10.); #120743=VECTOR('',#406624,10.); #120744=VECTOR('',#406627,10.); #120745=VECTOR('',#406628,10.); #120746=VECTOR('',#406629,10.); #120747=VECTOR('',#406632,10.); #120748=VECTOR('',#406633,10.); #120749=VECTOR('',#406634,10.); #120750=VECTOR('',#406637,10.); #120751=VECTOR('',#406638,10.); #120752=VECTOR('',#406639,10.); #120753=VECTOR('',#406642,10.); #120754=VECTOR('',#406643,10.); #120755=VECTOR('',#406644,10.); #120756=VECTOR('',#406647,10.); #120757=VECTOR('',#406648,10.); #120758=VECTOR('',#406649,10.); #120759=VECTOR('',#406652,10.); #120760=VECTOR('',#406653,10.); #120761=VECTOR('',#406654,10.); #120762=VECTOR('',#406657,10.); #120763=VECTOR('',#406658,10.); #120764=VECTOR('',#406659,10.); #120765=VECTOR('',#406662,10.); #120766=VECTOR('',#406663,10.); #120767=VECTOR('',#406664,10.); #120768=VECTOR('',#406667,10.); #120769=VECTOR('',#406668,10.); #120770=VECTOR('',#406669,10.); #120771=VECTOR('',#406672,10.); #120772=VECTOR('',#406673,10.); #120773=VECTOR('',#406674,10.); #120774=VECTOR('',#406677,10.); #120775=VECTOR('',#406678,10.); #120776=VECTOR('',#406679,10.); #120777=VECTOR('',#406682,10.); #120778=VECTOR('',#406683,10.); #120779=VECTOR('',#406684,10.); #120780=VECTOR('',#406687,10.); #120781=VECTOR('',#406688,10.); #120782=VECTOR('',#406689,10.); #120783=VECTOR('',#406692,10.); #120784=VECTOR('',#406693,10.); #120785=VECTOR('',#406694,10.); #120786=VECTOR('',#406697,10.); #120787=VECTOR('',#406698,10.); #120788=VECTOR('',#406699,10.); #120789=VECTOR('',#406702,10.); #120790=VECTOR('',#406703,10.); #120791=VECTOR('',#406704,10.); #120792=VECTOR('',#406707,10.); #120793=VECTOR('',#406708,10.); #120794=VECTOR('',#406709,10.); #120795=VECTOR('',#406712,10.); #120796=VECTOR('',#406713,10.); #120797=VECTOR('',#406714,10.); #120798=VECTOR('',#406717,10.); #120799=VECTOR('',#406718,10.); #120800=VECTOR('',#406719,10.); #120801=VECTOR('',#406722,10.); #120802=VECTOR('',#406723,10.); #120803=VECTOR('',#406724,10.); #120804=VECTOR('',#406727,10.); #120805=VECTOR('',#406728,10.); #120806=VECTOR('',#406729,10.); #120807=VECTOR('',#406732,10.); #120808=VECTOR('',#406733,10.); #120809=VECTOR('',#406734,10.); #120810=VECTOR('',#406737,10.); #120811=VECTOR('',#406738,10.); #120812=VECTOR('',#406739,10.); #120813=VECTOR('',#406742,10.); #120814=VECTOR('',#406743,10.); #120815=VECTOR('',#406744,10.); #120816=VECTOR('',#406747,10.); #120817=VECTOR('',#406748,10.); #120818=VECTOR('',#406749,10.); #120819=VECTOR('',#406752,10.); #120820=VECTOR('',#406753,10.); #120821=VECTOR('',#406754,10.); #120822=VECTOR('',#406757,10.); #120823=VECTOR('',#406758,10.); #120824=VECTOR('',#406759,10.); #120825=VECTOR('',#406762,10.); #120826=VECTOR('',#406763,10.); #120827=VECTOR('',#406764,10.); #120828=VECTOR('',#406767,10.); #120829=VECTOR('',#406768,10.); #120830=VECTOR('',#406769,10.); #120831=VECTOR('',#406772,10.); #120832=VECTOR('',#406773,10.); #120833=VECTOR('',#406774,10.); #120834=VECTOR('',#406777,10.); #120835=VECTOR('',#406778,10.); #120836=VECTOR('',#406779,10.); #120837=VECTOR('',#406782,10.); #120838=VECTOR('',#406783,10.); #120839=VECTOR('',#406784,10.); #120840=VECTOR('',#406787,10.); #120841=VECTOR('',#406788,10.); #120842=VECTOR('',#406789,10.); #120843=VECTOR('',#406792,10.); #120844=VECTOR('',#406793,10.); #120845=VECTOR('',#406794,10.); #120846=VECTOR('',#406797,10.); #120847=VECTOR('',#406798,10.); #120848=VECTOR('',#406799,10.); #120849=VECTOR('',#406802,10.); #120850=VECTOR('',#406803,10.); #120851=VECTOR('',#406804,10.); #120852=VECTOR('',#406807,10.); #120853=VECTOR('',#406808,10.); #120854=VECTOR('',#406809,10.); #120855=VECTOR('',#406812,10.); #120856=VECTOR('',#406813,10.); #120857=VECTOR('',#406814,10.); #120858=VECTOR('',#406817,10.); #120859=VECTOR('',#406818,10.); #120860=VECTOR('',#406819,10.); #120861=VECTOR('',#406822,10.); #120862=VECTOR('',#406823,10.); #120863=VECTOR('',#406824,10.); #120864=VECTOR('',#406827,10.); #120865=VECTOR('',#406828,10.); #120866=VECTOR('',#406829,10.); #120867=VECTOR('',#406832,10.); #120868=VECTOR('',#406833,10.); #120869=VECTOR('',#406834,10.); #120870=VECTOR('',#406837,10.); #120871=VECTOR('',#406838,10.); #120872=VECTOR('',#406839,10.); #120873=VECTOR('',#406842,10.); #120874=VECTOR('',#406843,10.); #120875=VECTOR('',#406844,10.); #120876=VECTOR('',#406847,10.); #120877=VECTOR('',#406848,10.); #120878=VECTOR('',#406849,10.); #120879=VECTOR('',#406852,10.); #120880=VECTOR('',#406853,10.); #120881=VECTOR('',#406854,10.); #120882=VECTOR('',#406857,10.); #120883=VECTOR('',#406858,10.); #120884=VECTOR('',#406859,10.); #120885=VECTOR('',#406862,10.); #120886=VECTOR('',#406863,10.); #120887=VECTOR('',#406864,10.); #120888=VECTOR('',#406867,10.); #120889=VECTOR('',#406868,10.); #120890=VECTOR('',#406869,10.); #120891=VECTOR('',#406872,10.); #120892=VECTOR('',#406873,10.); #120893=VECTOR('',#406874,10.); #120894=VECTOR('',#406877,10.); #120895=VECTOR('',#406878,10.); #120896=VECTOR('',#406879,10.); #120897=VECTOR('',#406882,10.); #120898=VECTOR('',#406883,10.); #120899=VECTOR('',#406884,10.); #120900=VECTOR('',#406887,10.); #120901=VECTOR('',#406888,10.); #120902=VECTOR('',#406889,10.); #120903=VECTOR('',#406892,10.); #120904=VECTOR('',#406893,10.); #120905=VECTOR('',#406894,10.); #120906=VECTOR('',#406897,10.); #120907=VECTOR('',#406898,10.); #120908=VECTOR('',#406899,10.); #120909=VECTOR('',#406902,10.); #120910=VECTOR('',#406903,10.); #120911=VECTOR('',#406904,10.); #120912=VECTOR('',#406907,10.); #120913=VECTOR('',#406908,10.); #120914=VECTOR('',#406909,10.); #120915=VECTOR('',#406912,10.); #120916=VECTOR('',#406913,10.); #120917=VECTOR('',#406914,10.); #120918=VECTOR('',#406917,10.); #120919=VECTOR('',#406918,10.); #120920=VECTOR('',#406919,10.); #120921=VECTOR('',#406922,10.); #120922=VECTOR('',#406923,10.); #120923=VECTOR('',#406924,10.); #120924=VECTOR('',#406927,10.); #120925=VECTOR('',#406928,10.); #120926=VECTOR('',#406929,10.); #120927=VECTOR('',#406932,10.); #120928=VECTOR('',#406933,10.); #120929=VECTOR('',#406934,10.); #120930=VECTOR('',#406937,10.); #120931=VECTOR('',#406938,10.); #120932=VECTOR('',#406939,10.); #120933=VECTOR('',#406942,10.); #120934=VECTOR('',#406943,10.); #120935=VECTOR('',#406944,10.); #120936=VECTOR('',#406947,10.); #120937=VECTOR('',#406948,10.); #120938=VECTOR('',#406949,10.); #120939=VECTOR('',#406952,10.); #120940=VECTOR('',#406953,10.); #120941=VECTOR('',#406954,10.); #120942=VECTOR('',#406957,10.); #120943=VECTOR('',#406958,10.); #120944=VECTOR('',#406959,10.); #120945=VECTOR('',#406962,10.); #120946=VECTOR('',#406963,10.); #120947=VECTOR('',#406964,10.); #120948=VECTOR('',#406967,10.); #120949=VECTOR('',#406968,10.); #120950=VECTOR('',#406969,10.); #120951=VECTOR('',#406972,10.); #120952=VECTOR('',#406973,10.); #120953=VECTOR('',#406974,10.); #120954=VECTOR('',#406977,10.); #120955=VECTOR('',#406978,10.); #120956=VECTOR('',#406979,10.); #120957=VECTOR('',#406982,10.); #120958=VECTOR('',#406983,10.); #120959=VECTOR('',#406984,10.); #120960=VECTOR('',#406987,10.); #120961=VECTOR('',#406988,10.); #120962=VECTOR('',#406989,10.); #120963=VECTOR('',#406992,10.); #120964=VECTOR('',#406993,10.); #120965=VECTOR('',#406994,10.); #120966=VECTOR('',#406997,10.); #120967=VECTOR('',#406998,10.); #120968=VECTOR('',#406999,10.); #120969=VECTOR('',#407002,10.); #120970=VECTOR('',#407003,10.); #120971=VECTOR('',#407004,10.); #120972=VECTOR('',#407007,10.); #120973=VECTOR('',#407008,10.); #120974=VECTOR('',#407009,10.); #120975=VECTOR('',#407012,10.); #120976=VECTOR('',#407013,10.); #120977=VECTOR('',#407014,10.); #120978=VECTOR('',#407017,10.); #120979=VECTOR('',#407018,10.); #120980=VECTOR('',#407019,10.); #120981=VECTOR('',#407022,10.); #120982=VECTOR('',#407023,10.); #120983=VECTOR('',#407024,10.); #120984=VECTOR('',#407027,10.); #120985=VECTOR('',#407028,10.); #120986=VECTOR('',#407029,10.); #120987=VECTOR('',#407032,10.); #120988=VECTOR('',#407033,10.); #120989=VECTOR('',#407034,10.); #120990=VECTOR('',#407037,10.); #120991=VECTOR('',#407038,10.); #120992=VECTOR('',#407039,10.); #120993=VECTOR('',#407042,10.); #120994=VECTOR('',#407043,10.); #120995=VECTOR('',#407044,10.); #120996=VECTOR('',#407047,10.); #120997=VECTOR('',#407048,10.); #120998=VECTOR('',#407049,10.); #120999=VECTOR('',#407052,10.); #121000=VECTOR('',#407053,10.); #121001=VECTOR('',#407054,10.); #121002=VECTOR('',#407057,10.); #121003=VECTOR('',#407058,10.); #121004=VECTOR('',#407059,10.); #121005=VECTOR('',#407062,10.); #121006=VECTOR('',#407063,10.); #121007=VECTOR('',#407064,10.); #121008=VECTOR('',#407067,10.); #121009=VECTOR('',#407068,10.); #121010=VECTOR('',#407069,10.); #121011=VECTOR('',#407072,10.); #121012=VECTOR('',#407073,10.); #121013=VECTOR('',#407074,10.); #121014=VECTOR('',#407077,10.); #121015=VECTOR('',#407078,10.); #121016=VECTOR('',#407079,10.); #121017=VECTOR('',#407082,10.); #121018=VECTOR('',#407083,10.); #121019=VECTOR('',#407084,10.); #121020=VECTOR('',#407087,10.); #121021=VECTOR('',#407088,10.); #121022=VECTOR('',#407089,10.); #121023=VECTOR('',#407092,10.); #121024=VECTOR('',#407093,10.); #121025=VECTOR('',#407094,10.); #121026=VECTOR('',#407097,10.); #121027=VECTOR('',#407098,10.); #121028=VECTOR('',#407099,10.); #121029=VECTOR('',#407102,10.); #121030=VECTOR('',#407103,10.); #121031=VECTOR('',#407104,10.); #121032=VECTOR('',#407107,10.); #121033=VECTOR('',#407108,10.); #121034=VECTOR('',#407109,10.); #121035=VECTOR('',#407112,10.); #121036=VECTOR('',#407113,10.); #121037=VECTOR('',#407114,10.); #121038=VECTOR('',#407117,10.); #121039=VECTOR('',#407118,10.); #121040=VECTOR('',#407119,10.); #121041=VECTOR('',#407122,10.); #121042=VECTOR('',#407123,10.); #121043=VECTOR('',#407124,10.); #121044=VECTOR('',#407127,10.); #121045=VECTOR('',#407128,10.); #121046=VECTOR('',#407129,10.); #121047=VECTOR('',#407132,10.); #121048=VECTOR('',#407133,10.); #121049=VECTOR('',#407134,10.); #121050=VECTOR('',#407137,10.); #121051=VECTOR('',#407138,10.); #121052=VECTOR('',#407139,10.); #121053=VECTOR('',#407142,10.); #121054=VECTOR('',#407143,10.); #121055=VECTOR('',#407144,10.); #121056=VECTOR('',#407147,10.); #121057=VECTOR('',#407148,10.); #121058=VECTOR('',#407149,10.); #121059=VECTOR('',#407152,10.); #121060=VECTOR('',#407153,10.); #121061=VECTOR('',#407154,10.); #121062=VECTOR('',#407157,10.); #121063=VECTOR('',#407158,10.); #121064=VECTOR('',#407159,10.); #121065=VECTOR('',#407162,10.); #121066=VECTOR('',#407163,10.); #121067=VECTOR('',#407164,10.); #121068=VECTOR('',#407167,10.); #121069=VECTOR('',#407168,10.); #121070=VECTOR('',#407169,10.); #121071=VECTOR('',#407172,10.); #121072=VECTOR('',#407173,10.); #121073=VECTOR('',#407174,10.); #121074=VECTOR('',#407177,10.); #121075=VECTOR('',#407178,10.); #121076=VECTOR('',#407179,10.); #121077=VECTOR('',#407182,10.); #121078=VECTOR('',#407183,10.); #121079=VECTOR('',#407184,10.); #121080=VECTOR('',#407187,10.); #121081=VECTOR('',#407188,10.); #121082=VECTOR('',#407189,10.); #121083=VECTOR('',#407192,10.); #121084=VECTOR('',#407193,10.); #121085=VECTOR('',#407194,10.); #121086=VECTOR('',#407197,10.); #121087=VECTOR('',#407198,10.); #121088=VECTOR('',#407199,10.); #121089=VECTOR('',#407202,10.); #121090=VECTOR('',#407203,10.); #121091=VECTOR('',#407204,10.); #121092=VECTOR('',#407207,10.); #121093=VECTOR('',#407208,10.); #121094=VECTOR('',#407209,10.); #121095=VECTOR('',#407212,10.); #121096=VECTOR('',#407213,10.); #121097=VECTOR('',#407214,10.); #121098=VECTOR('',#407217,10.); #121099=VECTOR('',#407218,10.); #121100=VECTOR('',#407219,10.); #121101=VECTOR('',#407222,10.); #121102=VECTOR('',#407223,10.); #121103=VECTOR('',#407224,10.); #121104=VECTOR('',#407227,10.); #121105=VECTOR('',#407228,10.); #121106=VECTOR('',#407229,10.); #121107=VECTOR('',#407232,10.); #121108=VECTOR('',#407233,10.); #121109=VECTOR('',#407234,10.); #121110=VECTOR('',#407237,10.); #121111=VECTOR('',#407238,10.); #121112=VECTOR('',#407239,10.); #121113=VECTOR('',#407242,10.); #121114=VECTOR('',#407243,10.); #121115=VECTOR('',#407244,10.); #121116=VECTOR('',#407247,10.); #121117=VECTOR('',#407248,10.); #121118=VECTOR('',#407249,10.); #121119=VECTOR('',#407252,10.); #121120=VECTOR('',#407253,10.); #121121=VECTOR('',#407254,10.); #121122=VECTOR('',#407257,10.); #121123=VECTOR('',#407258,10.); #121124=VECTOR('',#407259,10.); #121125=VECTOR('',#407262,10.); #121126=VECTOR('',#407263,10.); #121127=VECTOR('',#407264,10.); #121128=VECTOR('',#407267,10.); #121129=VECTOR('',#407268,10.); #121130=VECTOR('',#407269,10.); #121131=VECTOR('',#407272,10.); #121132=VECTOR('',#407273,10.); #121133=VECTOR('',#407274,10.); #121134=VECTOR('',#407277,10.); #121135=VECTOR('',#407278,10.); #121136=VECTOR('',#407279,10.); #121137=VECTOR('',#407282,10.); #121138=VECTOR('',#407283,10.); #121139=VECTOR('',#407284,10.); #121140=VECTOR('',#407287,10.); #121141=VECTOR('',#407288,10.); #121142=VECTOR('',#407289,10.); #121143=VECTOR('',#407292,10.); #121144=VECTOR('',#407293,10.); #121145=VECTOR('',#407294,10.); #121146=VECTOR('',#407297,10.); #121147=VECTOR('',#407298,10.); #121148=VECTOR('',#407299,10.); #121149=VECTOR('',#407302,10.); #121150=VECTOR('',#407303,10.); #121151=VECTOR('',#407306,10.); #121152=VECTOR('',#407307,10.); #121153=VECTOR('',#407308,10.); #121154=VECTOR('',#407309,10.); #121155=VECTOR('',#407312,10.); #121156=VECTOR('',#407313,10.); #121157=VECTOR('',#407314,10.); #121158=VECTOR('',#407317,10.); #121159=VECTOR('',#407318,10.); #121160=VECTOR('',#407319,10.); #121161=VECTOR('',#407322,10.); #121162=VECTOR('',#407323,10.); #121163=VECTOR('',#407324,10.); #121164=VECTOR('',#407327,10.); #121165=VECTOR('',#407328,10.); #121166=VECTOR('',#407329,10.); #121167=VECTOR('',#407332,10.); #121168=VECTOR('',#407333,10.); #121169=VECTOR('',#407334,10.); #121170=VECTOR('',#407337,10.); #121171=VECTOR('',#407338,10.); #121172=VECTOR('',#407339,10.); #121173=VECTOR('',#407342,10.); #121174=VECTOR('',#407343,10.); #121175=VECTOR('',#407344,10.); #121176=VECTOR('',#407347,10.); #121177=VECTOR('',#407348,10.); #121178=VECTOR('',#407349,10.); #121179=VECTOR('',#407352,10.); #121180=VECTOR('',#407353,10.); #121181=VECTOR('',#407354,10.); #121182=VECTOR('',#407357,10.); #121183=VECTOR('',#407358,10.); #121184=VECTOR('',#407359,10.); #121185=VECTOR('',#407362,10.); #121186=VECTOR('',#407363,10.); #121187=VECTOR('',#407364,10.); #121188=VECTOR('',#407367,10.); #121189=VECTOR('',#407368,10.); #121190=VECTOR('',#407369,10.); #121191=VECTOR('',#407372,10.); #121192=VECTOR('',#407373,10.); #121193=VECTOR('',#407374,10.); #121194=VECTOR('',#407377,10.); #121195=VECTOR('',#407378,10.); #121196=VECTOR('',#407379,10.); #121197=VECTOR('',#407382,10.); #121198=VECTOR('',#407383,10.); #121199=VECTOR('',#407384,10.); #121200=VECTOR('',#407387,10.); #121201=VECTOR('',#407388,10.); #121202=VECTOR('',#407389,10.); #121203=VECTOR('',#407392,10.); #121204=VECTOR('',#407393,10.); #121205=VECTOR('',#407394,10.); #121206=VECTOR('',#407397,10.); #121207=VECTOR('',#407398,10.); #121208=VECTOR('',#407399,10.); #121209=VECTOR('',#407402,10.); #121210=VECTOR('',#407403,10.); #121211=VECTOR('',#407404,10.); #121212=VECTOR('',#407407,10.); #121213=VECTOR('',#407408,10.); #121214=VECTOR('',#407409,10.); #121215=VECTOR('',#407412,10.); #121216=VECTOR('',#407413,10.); #121217=VECTOR('',#407414,10.); #121218=VECTOR('',#407417,10.); #121219=VECTOR('',#407418,10.); #121220=VECTOR('',#407419,10.); #121221=VECTOR('',#407422,10.); #121222=VECTOR('',#407423,10.); #121223=VECTOR('',#407424,10.); #121224=VECTOR('',#407427,10.); #121225=VECTOR('',#407428,10.); #121226=VECTOR('',#407429,10.); #121227=VECTOR('',#407432,10.); #121228=VECTOR('',#407433,10.); #121229=VECTOR('',#407434,10.); #121230=VECTOR('',#407437,10.); #121231=VECTOR('',#407438,10.); #121232=VECTOR('',#407439,10.); #121233=VECTOR('',#407442,10.); #121234=VECTOR('',#407443,10.); #121235=VECTOR('',#407444,10.); #121236=VECTOR('',#407447,10.); #121237=VECTOR('',#407448,10.); #121238=VECTOR('',#407449,10.); #121239=VECTOR('',#407452,10.); #121240=VECTOR('',#407453,10.); #121241=VECTOR('',#407456,10.); #121242=VECTOR('',#407457,10.); #121243=VECTOR('',#407458,10.); #121244=VECTOR('',#407459,10.); #121245=VECTOR('',#407462,10.); #121246=VECTOR('',#407463,10.); #121247=VECTOR('',#407464,10.); #121248=VECTOR('',#407467,10.); #121249=VECTOR('',#407468,10.); #121250=VECTOR('',#407469,10.); #121251=VECTOR('',#407472,10.); #121252=VECTOR('',#407473,10.); #121253=VECTOR('',#407474,10.); #121254=VECTOR('',#407477,10.); #121255=VECTOR('',#407478,10.); #121256=VECTOR('',#407479,10.); #121257=VECTOR('',#407482,10.); #121258=VECTOR('',#407483,10.); #121259=VECTOR('',#407484,10.); #121260=VECTOR('',#407487,10.); #121261=VECTOR('',#407488,10.); #121262=VECTOR('',#407489,10.); #121263=VECTOR('',#407492,10.); #121264=VECTOR('',#407493,10.); #121265=VECTOR('',#407494,10.); #121266=VECTOR('',#407497,10.); #121267=VECTOR('',#407498,10.); #121268=VECTOR('',#407499,10.); #121269=VECTOR('',#407502,10.); #121270=VECTOR('',#407503,10.); #121271=VECTOR('',#407504,10.); #121272=VECTOR('',#407507,10.); #121273=VECTOR('',#407508,10.); #121274=VECTOR('',#407509,10.); #121275=VECTOR('',#407512,10.); #121276=VECTOR('',#407513,10.); #121277=VECTOR('',#407514,10.); #121278=VECTOR('',#407517,10.); #121279=VECTOR('',#407518,10.); #121280=VECTOR('',#407519,10.); #121281=VECTOR('',#407522,10.); #121282=VECTOR('',#407523,10.); #121283=VECTOR('',#407524,10.); #121284=VECTOR('',#407527,10.); #121285=VECTOR('',#407528,10.); #121286=VECTOR('',#407529,10.); #121287=VECTOR('',#407532,10.); #121288=VECTOR('',#407533,10.); #121289=VECTOR('',#407534,10.); #121290=VECTOR('',#407537,10.); #121291=VECTOR('',#407538,10.); #121292=VECTOR('',#407539,10.); #121293=VECTOR('',#407542,10.); #121294=VECTOR('',#407543,10.); #121295=VECTOR('',#407544,10.); #121296=VECTOR('',#407547,10.); #121297=VECTOR('',#407548,10.); #121298=VECTOR('',#407549,10.); #121299=VECTOR('',#407552,10.); #121300=VECTOR('',#407553,10.); #121301=VECTOR('',#407554,10.); #121302=VECTOR('',#407557,10.); #121303=VECTOR('',#407558,10.); #121304=VECTOR('',#407559,10.); #121305=VECTOR('',#407562,10.); #121306=VECTOR('',#407563,10.); #121307=VECTOR('',#407564,10.); #121308=VECTOR('',#407567,10.); #121309=VECTOR('',#407568,10.); #121310=VECTOR('',#407569,10.); #121311=VECTOR('',#407572,10.); #121312=VECTOR('',#407573,10.); #121313=VECTOR('',#407574,10.); #121314=VECTOR('',#407577,10.); #121315=VECTOR('',#407578,10.); #121316=VECTOR('',#407579,10.); #121317=VECTOR('',#407582,10.); #121318=VECTOR('',#407583,10.); #121319=VECTOR('',#407584,10.); #121320=VECTOR('',#407587,10.); #121321=VECTOR('',#407588,10.); #121322=VECTOR('',#407589,10.); #121323=VECTOR('',#407592,10.); #121324=VECTOR('',#407593,10.); #121325=VECTOR('',#407594,10.); #121326=VECTOR('',#407597,10.); #121327=VECTOR('',#407598,10.); #121328=VECTOR('',#407599,10.); #121329=VECTOR('',#407602,10.); #121330=VECTOR('',#407603,10.); #121331=VECTOR('',#407606,10.); #121332=VECTOR('',#407607,10.); #121333=VECTOR('',#407608,10.); #121334=VECTOR('',#407609,10.); #121335=VECTOR('',#407612,10.); #121336=VECTOR('',#407613,10.); #121337=VECTOR('',#407614,10.); #121338=VECTOR('',#407617,10.); #121339=VECTOR('',#407618,10.); #121340=VECTOR('',#407619,10.); #121341=VECTOR('',#407622,10.); #121342=VECTOR('',#407623,10.); #121343=VECTOR('',#407624,10.); #121344=VECTOR('',#407627,10.); #121345=VECTOR('',#407628,10.); #121346=VECTOR('',#407629,10.); #121347=VECTOR('',#407632,10.); #121348=VECTOR('',#407633,10.); #121349=VECTOR('',#407634,10.); #121350=VECTOR('',#407637,10.); #121351=VECTOR('',#407638,10.); #121352=VECTOR('',#407639,10.); #121353=VECTOR('',#407642,10.); #121354=VECTOR('',#407643,10.); #121355=VECTOR('',#407644,10.); #121356=VECTOR('',#407647,10.); #121357=VECTOR('',#407648,10.); #121358=VECTOR('',#407649,10.); #121359=VECTOR('',#407652,10.); #121360=VECTOR('',#407653,10.); #121361=VECTOR('',#407654,10.); #121362=VECTOR('',#407657,10.); #121363=VECTOR('',#407658,10.); #121364=VECTOR('',#407659,10.); #121365=VECTOR('',#407662,10.); #121366=VECTOR('',#407663,10.); #121367=VECTOR('',#407664,10.); #121368=VECTOR('',#407667,10.); #121369=VECTOR('',#407668,10.); #121370=VECTOR('',#407669,10.); #121371=VECTOR('',#407672,10.); #121372=VECTOR('',#407673,10.); #121373=VECTOR('',#407674,10.); #121374=VECTOR('',#407677,10.); #121375=VECTOR('',#407678,10.); #121376=VECTOR('',#407679,10.); #121377=VECTOR('',#407682,10.); #121378=VECTOR('',#407683,10.); #121379=VECTOR('',#407684,10.); #121380=VECTOR('',#407687,10.); #121381=VECTOR('',#407688,10.); #121382=VECTOR('',#407689,10.); #121383=VECTOR('',#407692,10.); #121384=VECTOR('',#407693,10.); #121385=VECTOR('',#407694,10.); #121386=VECTOR('',#407697,10.); #121387=VECTOR('',#407698,10.); #121388=VECTOR('',#407699,10.); #121389=VECTOR('',#407702,10.); #121390=VECTOR('',#407703,10.); #121391=VECTOR('',#407704,10.); #121392=VECTOR('',#407707,10.); #121393=VECTOR('',#407708,10.); #121394=VECTOR('',#407709,10.); #121395=VECTOR('',#407712,10.); #121396=VECTOR('',#407713,10.); #121397=VECTOR('',#407714,10.); #121398=VECTOR('',#407717,10.); #121399=VECTOR('',#407718,10.); #121400=VECTOR('',#407719,10.); #121401=VECTOR('',#407722,10.); #121402=VECTOR('',#407723,10.); #121403=VECTOR('',#407724,10.); #121404=VECTOR('',#407727,10.); #121405=VECTOR('',#407728,10.); #121406=VECTOR('',#407729,10.); #121407=VECTOR('',#407732,10.); #121408=VECTOR('',#407733,10.); #121409=VECTOR('',#407734,10.); #121410=VECTOR('',#407737,10.); #121411=VECTOR('',#407738,10.); #121412=VECTOR('',#407739,10.); #121413=VECTOR('',#407742,10.); #121414=VECTOR('',#407743,10.); #121415=VECTOR('',#407744,10.); #121416=VECTOR('',#407747,10.); #121417=VECTOR('',#407748,10.); #121418=VECTOR('',#407749,10.); #121419=VECTOR('',#407752,10.); #121420=VECTOR('',#407753,10.); #121421=VECTOR('',#407756,10.); #121422=VECTOR('',#407757,10.); #121423=VECTOR('',#407758,10.); #121424=VECTOR('',#407759,10.); #121425=VECTOR('',#407762,10.); #121426=VECTOR('',#407763,10.); #121427=VECTOR('',#407764,10.); #121428=VECTOR('',#407767,10.); #121429=VECTOR('',#407768,10.); #121430=VECTOR('',#407769,10.); #121431=VECTOR('',#407772,10.); #121432=VECTOR('',#407773,10.); #121433=VECTOR('',#407774,10.); #121434=VECTOR('',#407777,10.); #121435=VECTOR('',#407778,10.); #121436=VECTOR('',#407779,10.); #121437=VECTOR('',#407782,10.); #121438=VECTOR('',#407783,10.); #121439=VECTOR('',#407784,10.); #121440=VECTOR('',#407787,10.); #121441=VECTOR('',#407788,10.); #121442=VECTOR('',#407789,10.); #121443=VECTOR('',#407792,10.); #121444=VECTOR('',#407793,10.); #121445=VECTOR('',#407794,10.); #121446=VECTOR('',#407797,10.); #121447=VECTOR('',#407798,10.); #121448=VECTOR('',#407799,10.); #121449=VECTOR('',#407802,10.); #121450=VECTOR('',#407803,10.); #121451=VECTOR('',#407804,10.); #121452=VECTOR('',#407807,10.); #121453=VECTOR('',#407808,10.); #121454=VECTOR('',#407809,10.); #121455=VECTOR('',#407812,10.); #121456=VECTOR('',#407813,10.); #121457=VECTOR('',#407814,10.); #121458=VECTOR('',#407817,10.); #121459=VECTOR('',#407818,10.); #121460=VECTOR('',#407819,10.); #121461=VECTOR('',#407822,10.); #121462=VECTOR('',#407823,10.); #121463=VECTOR('',#407824,10.); #121464=VECTOR('',#407827,10.); #121465=VECTOR('',#407828,10.); #121466=VECTOR('',#407829,10.); #121467=VECTOR('',#407832,10.); #121468=VECTOR('',#407833,10.); #121469=VECTOR('',#407834,10.); #121470=VECTOR('',#407837,10.); #121471=VECTOR('',#407838,10.); #121472=VECTOR('',#407839,10.); #121473=VECTOR('',#407842,10.); #121474=VECTOR('',#407843,10.); #121475=VECTOR('',#407844,10.); #121476=VECTOR('',#407847,10.); #121477=VECTOR('',#407848,10.); #121478=VECTOR('',#407849,10.); #121479=VECTOR('',#407852,10.); #121480=VECTOR('',#407853,10.); #121481=VECTOR('',#407854,10.); #121482=VECTOR('',#407857,10.); #121483=VECTOR('',#407858,10.); #121484=VECTOR('',#407859,10.); #121485=VECTOR('',#407862,10.); #121486=VECTOR('',#407863,10.); #121487=VECTOR('',#407864,10.); #121488=VECTOR('',#407867,10.); #121489=VECTOR('',#407868,10.); #121490=VECTOR('',#407869,10.); #121491=VECTOR('',#407872,10.); #121492=VECTOR('',#407873,10.); #121493=VECTOR('',#407874,10.); #121494=VECTOR('',#407877,10.); #121495=VECTOR('',#407878,10.); #121496=VECTOR('',#407879,10.); #121497=VECTOR('',#407882,10.); #121498=VECTOR('',#407883,10.); #121499=VECTOR('',#407884,10.); #121500=VECTOR('',#407887,10.); #121501=VECTOR('',#407888,10.); #121502=VECTOR('',#407889,10.); #121503=VECTOR('',#407892,10.); #121504=VECTOR('',#407893,10.); #121505=VECTOR('',#407894,10.); #121506=VECTOR('',#407897,10.); #121507=VECTOR('',#407898,10.); #121508=VECTOR('',#407899,10.); #121509=VECTOR('',#407902,10.); #121510=VECTOR('',#407903,10.); #121511=VECTOR('',#407904,10.); #121512=VECTOR('',#407907,10.); #121513=VECTOR('',#407908,10.); #121514=VECTOR('',#407909,10.); #121515=VECTOR('',#407912,10.); #121516=VECTOR('',#407913,10.); #121517=VECTOR('',#407914,10.); #121518=VECTOR('',#407917,10.); #121519=VECTOR('',#407918,10.); #121520=VECTOR('',#407919,10.); #121521=VECTOR('',#407922,10.); #121522=VECTOR('',#407923,10.); #121523=VECTOR('',#407924,10.); #121524=VECTOR('',#407927,10.); #121525=VECTOR('',#407928,10.); #121526=VECTOR('',#407929,10.); #121527=VECTOR('',#407932,10.); #121528=VECTOR('',#407933,10.); #121529=VECTOR('',#407934,10.); #121530=VECTOR('',#407937,10.); #121531=VECTOR('',#407938,10.); #121532=VECTOR('',#407939,10.); #121533=VECTOR('',#407942,10.); #121534=VECTOR('',#407943,10.); #121535=VECTOR('',#407944,10.); #121536=VECTOR('',#407947,10.); #121537=VECTOR('',#407948,10.); #121538=VECTOR('',#407949,10.); #121539=VECTOR('',#407952,10.); #121540=VECTOR('',#407953,10.); #121541=VECTOR('',#407954,10.); #121542=VECTOR('',#407957,10.); #121543=VECTOR('',#407958,10.); #121544=VECTOR('',#407959,10.); #121545=VECTOR('',#407962,10.); #121546=VECTOR('',#407963,10.); #121547=VECTOR('',#407964,10.); #121548=VECTOR('',#407967,10.); #121549=VECTOR('',#407968,10.); #121550=VECTOR('',#407969,10.); #121551=VECTOR('',#407972,10.); #121552=VECTOR('',#407973,10.); #121553=VECTOR('',#407974,10.); #121554=VECTOR('',#407977,10.); #121555=VECTOR('',#407978,10.); #121556=VECTOR('',#407979,10.); #121557=VECTOR('',#407982,10.); #121558=VECTOR('',#407983,10.); #121559=VECTOR('',#407984,10.); #121560=VECTOR('',#407987,10.); #121561=VECTOR('',#407988,10.); #121562=VECTOR('',#407989,10.); #121563=VECTOR('',#407992,10.); #121564=VECTOR('',#407993,10.); #121565=VECTOR('',#407994,10.); #121566=VECTOR('',#407997,10.); #121567=VECTOR('',#407998,10.); #121568=VECTOR('',#407999,10.); #121569=VECTOR('',#408002,10.); #121570=VECTOR('',#408003,10.); #121571=VECTOR('',#408004,10.); #121572=VECTOR('',#408007,10.); #121573=VECTOR('',#408008,10.); #121574=VECTOR('',#408009,10.); #121575=VECTOR('',#408012,10.); #121576=VECTOR('',#408013,10.); #121577=VECTOR('',#408014,10.); #121578=VECTOR('',#408017,10.); #121579=VECTOR('',#408018,10.); #121580=VECTOR('',#408019,10.); #121581=VECTOR('',#408022,10.); #121582=VECTOR('',#408023,10.); #121583=VECTOR('',#408024,10.); #121584=VECTOR('',#408027,10.); #121585=VECTOR('',#408028,10.); #121586=VECTOR('',#408029,10.); #121587=VECTOR('',#408032,10.); #121588=VECTOR('',#408033,10.); #121589=VECTOR('',#408034,10.); #121590=VECTOR('',#408037,10.); #121591=VECTOR('',#408038,10.); #121592=VECTOR('',#408039,10.); #121593=VECTOR('',#408042,10.); #121594=VECTOR('',#408043,10.); #121595=VECTOR('',#408044,10.); #121596=VECTOR('',#408047,10.); #121597=VECTOR('',#408048,10.); #121598=VECTOR('',#408049,10.); #121599=VECTOR('',#408052,10.); #121600=VECTOR('',#408053,10.); #121601=VECTOR('',#408054,10.); #121602=VECTOR('',#408057,10.); #121603=VECTOR('',#408058,10.); #121604=VECTOR('',#408059,10.); #121605=VECTOR('',#408062,10.); #121606=VECTOR('',#408063,10.); #121607=VECTOR('',#408064,10.); #121608=VECTOR('',#408067,10.); #121609=VECTOR('',#408068,10.); #121610=VECTOR('',#408069,10.); #121611=VECTOR('',#408072,10.); #121612=VECTOR('',#408073,10.); #121613=VECTOR('',#408074,10.); #121614=VECTOR('',#408077,10.); #121615=VECTOR('',#408078,10.); #121616=VECTOR('',#408079,10.); #121617=VECTOR('',#408082,10.); #121618=VECTOR('',#408083,10.); #121619=VECTOR('',#408084,10.); #121620=VECTOR('',#408087,10.); #121621=VECTOR('',#408088,10.); #121622=VECTOR('',#408089,10.); #121623=VECTOR('',#408092,10.); #121624=VECTOR('',#408093,10.); #121625=VECTOR('',#408094,10.); #121626=VECTOR('',#408097,10.); #121627=VECTOR('',#408098,10.); #121628=VECTOR('',#408099,10.); #121629=VECTOR('',#408102,10.); #121630=VECTOR('',#408103,10.); #121631=VECTOR('',#408104,10.); #121632=VECTOR('',#408107,10.); #121633=VECTOR('',#408108,10.); #121634=VECTOR('',#408109,10.); #121635=VECTOR('',#408112,10.); #121636=VECTOR('',#408113,10.); #121637=VECTOR('',#408114,10.); #121638=VECTOR('',#408117,10.); #121639=VECTOR('',#408118,10.); #121640=VECTOR('',#408119,10.); #121641=VECTOR('',#408122,10.); #121642=VECTOR('',#408123,10.); #121643=VECTOR('',#408124,10.); #121644=VECTOR('',#408127,10.); #121645=VECTOR('',#408128,10.); #121646=VECTOR('',#408129,10.); #121647=VECTOR('',#408132,10.); #121648=VECTOR('',#408133,10.); #121649=VECTOR('',#408134,10.); #121650=VECTOR('',#408137,10.); #121651=VECTOR('',#408138,10.); #121652=VECTOR('',#408139,10.); #121653=VECTOR('',#408142,10.); #121654=VECTOR('',#408143,10.); #121655=VECTOR('',#408144,10.); #121656=VECTOR('',#408147,10.); #121657=VECTOR('',#408148,10.); #121658=VECTOR('',#408149,10.); #121659=VECTOR('',#408152,10.); #121660=VECTOR('',#408153,10.); #121661=VECTOR('',#408154,10.); #121662=VECTOR('',#408157,10.); #121663=VECTOR('',#408158,10.); #121664=VECTOR('',#408159,10.); #121665=VECTOR('',#408162,10.); #121666=VECTOR('',#408163,10.); #121667=VECTOR('',#408164,10.); #121668=VECTOR('',#408167,10.); #121669=VECTOR('',#408168,10.); #121670=VECTOR('',#408169,10.); #121671=VECTOR('',#408172,10.); #121672=VECTOR('',#408173,10.); #121673=VECTOR('',#408174,10.); #121674=VECTOR('',#408177,10.); #121675=VECTOR('',#408178,10.); #121676=VECTOR('',#408179,10.); #121677=VECTOR('',#408182,10.); #121678=VECTOR('',#408183,10.); #121679=VECTOR('',#408184,10.); #121680=VECTOR('',#408187,10.); #121681=VECTOR('',#408188,10.); #121682=VECTOR('',#408189,10.); #121683=VECTOR('',#408192,10.); #121684=VECTOR('',#408193,10.); #121685=VECTOR('',#408194,10.); #121686=VECTOR('',#408197,10.); #121687=VECTOR('',#408198,10.); #121688=VECTOR('',#408199,10.); #121689=VECTOR('',#408202,10.); #121690=VECTOR('',#408203,10.); #121691=VECTOR('',#408204,10.); #121692=VECTOR('',#408207,10.); #121693=VECTOR('',#408208,10.); #121694=VECTOR('',#408209,10.); #121695=VECTOR('',#408212,10.); #121696=VECTOR('',#408213,10.); #121697=VECTOR('',#408214,10.); #121698=VECTOR('',#408217,10.); #121699=VECTOR('',#408218,10.); #121700=VECTOR('',#408219,10.); #121701=VECTOR('',#408222,10.); #121702=VECTOR('',#408223,10.); #121703=VECTOR('',#408224,10.); #121704=VECTOR('',#408227,10.); #121705=VECTOR('',#408228,10.); #121706=VECTOR('',#408229,10.); #121707=VECTOR('',#408232,10.); #121708=VECTOR('',#408233,10.); #121709=VECTOR('',#408234,10.); #121710=VECTOR('',#408237,10.); #121711=VECTOR('',#408238,10.); #121712=VECTOR('',#408239,10.); #121713=VECTOR('',#408242,10.); #121714=VECTOR('',#408243,10.); #121715=VECTOR('',#408244,10.); #121716=VECTOR('',#408247,10.); #121717=VECTOR('',#408248,10.); #121718=VECTOR('',#408249,10.); #121719=VECTOR('',#408252,10.); #121720=VECTOR('',#408253,10.); #121721=VECTOR('',#408254,10.); #121722=VECTOR('',#408257,10.); #121723=VECTOR('',#408258,10.); #121724=VECTOR('',#408259,10.); #121725=VECTOR('',#408262,10.); #121726=VECTOR('',#408263,10.); #121727=VECTOR('',#408264,10.); #121728=VECTOR('',#408267,10.); #121729=VECTOR('',#408268,10.); #121730=VECTOR('',#408269,10.); #121731=VECTOR('',#408272,10.); #121732=VECTOR('',#408273,10.); #121733=VECTOR('',#408274,10.); #121734=VECTOR('',#408277,10.); #121735=VECTOR('',#408278,10.); #121736=VECTOR('',#408279,10.); #121737=VECTOR('',#408282,10.); #121738=VECTOR('',#408283,10.); #121739=VECTOR('',#408284,10.); #121740=VECTOR('',#408287,10.); #121741=VECTOR('',#408288,10.); #121742=VECTOR('',#408289,10.); #121743=VECTOR('',#408292,10.); #121744=VECTOR('',#408293,10.); #121745=VECTOR('',#408294,10.); #121746=VECTOR('',#408297,10.); #121747=VECTOR('',#408298,10.); #121748=VECTOR('',#408299,10.); #121749=VECTOR('',#408302,10.); #121750=VECTOR('',#408303,10.); #121751=VECTOR('',#408304,10.); #121752=VECTOR('',#408307,10.); #121753=VECTOR('',#408308,10.); #121754=VECTOR('',#408309,10.); #121755=VECTOR('',#408312,10.); #121756=VECTOR('',#408313,10.); #121757=VECTOR('',#408314,10.); #121758=VECTOR('',#408317,10.); #121759=VECTOR('',#408318,10.); #121760=VECTOR('',#408319,10.); #121761=VECTOR('',#408322,10.); #121762=VECTOR('',#408323,10.); #121763=VECTOR('',#408324,10.); #121764=VECTOR('',#408327,10.); #121765=VECTOR('',#408328,10.); #121766=VECTOR('',#408329,10.); #121767=VECTOR('',#408332,10.); #121768=VECTOR('',#408333,10.); #121769=VECTOR('',#408334,10.); #121770=VECTOR('',#408337,10.); #121771=VECTOR('',#408338,10.); #121772=VECTOR('',#408339,10.); #121773=VECTOR('',#408342,10.); #121774=VECTOR('',#408343,10.); #121775=VECTOR('',#408344,10.); #121776=VECTOR('',#408347,10.); #121777=VECTOR('',#408348,10.); #121778=VECTOR('',#408349,10.); #121779=VECTOR('',#408352,10.); #121780=VECTOR('',#408353,10.); #121781=VECTOR('',#408360,10.); #121782=VECTOR('',#408361,10.); #121783=VECTOR('',#408362,10.); #121784=VECTOR('',#408363,10.); #121785=VECTOR('',#408366,10.); #121786=VECTOR('',#408367,10.); #121787=VECTOR('',#408368,10.); #121788=VECTOR('',#408371,10.); #121789=VECTOR('',#408372,10.); #121790=VECTOR('',#408373,10.); #121791=VECTOR('',#408376,10.); #121792=VECTOR('',#408377,10.); #121793=VECTOR('',#408378,10.); #121794=VECTOR('',#408381,10.); #121795=VECTOR('',#408382,10.); #121796=VECTOR('',#408383,10.); #121797=VECTOR('',#408386,10.); #121798=VECTOR('',#408387,10.); #121799=VECTOR('',#408388,10.); #121800=VECTOR('',#408391,10.); #121801=VECTOR('',#408392,10.); #121802=VECTOR('',#408393,10.); #121803=VECTOR('',#408396,10.); #121804=VECTOR('',#408397,10.); #121805=VECTOR('',#408398,10.); #121806=VECTOR('',#408401,10.); #121807=VECTOR('',#408402,10.); #121808=VECTOR('',#408403,10.); #121809=VECTOR('',#408406,10.); #121810=VECTOR('',#408407,10.); #121811=VECTOR('',#408408,10.); #121812=VECTOR('',#408411,10.); #121813=VECTOR('',#408412,10.); #121814=VECTOR('',#408413,10.); #121815=VECTOR('',#408416,10.); #121816=VECTOR('',#408417,10.); #121817=VECTOR('',#408418,10.); #121818=VECTOR('',#408421,10.); #121819=VECTOR('',#408422,10.); #121820=VECTOR('',#408423,10.); #121821=VECTOR('',#408426,10.); #121822=VECTOR('',#408427,10.); #121823=VECTOR('',#408428,10.); #121824=VECTOR('',#408431,10.); #121825=VECTOR('',#408432,10.); #121826=VECTOR('',#408433,10.); #121827=VECTOR('',#408436,10.); #121828=VECTOR('',#408437,10.); #121829=VECTOR('',#408438,10.); #121830=VECTOR('',#408441,10.); #121831=VECTOR('',#408442,10.); #121832=VECTOR('',#408443,10.); #121833=VECTOR('',#408446,10.); #121834=VECTOR('',#408447,10.); #121835=VECTOR('',#408448,10.); #121836=VECTOR('',#408451,10.); #121837=VECTOR('',#408452,10.); #121838=VECTOR('',#408453,10.); #121839=VECTOR('',#408456,10.); #121840=VECTOR('',#408457,10.); #121841=VECTOR('',#408458,10.); #121842=VECTOR('',#408461,10.); #121843=VECTOR('',#408462,10.); #121844=VECTOR('',#408463,10.); #121845=VECTOR('',#408466,10.); #121846=VECTOR('',#408467,10.); #121847=VECTOR('',#408468,10.); #121848=VECTOR('',#408471,10.); #121849=VECTOR('',#408472,10.); #121850=VECTOR('',#408473,10.); #121851=VECTOR('',#408476,10.); #121852=VECTOR('',#408477,10.); #121853=VECTOR('',#408478,10.); #121854=VECTOR('',#408481,10.); #121855=VECTOR('',#408482,10.); #121856=VECTOR('',#408483,10.); #121857=VECTOR('',#408486,10.); #121858=VECTOR('',#408487,10.); #121859=VECTOR('',#408488,10.); #121860=VECTOR('',#408491,10.); #121861=VECTOR('',#408492,10.); #121862=VECTOR('',#408499,10.); #121863=VECTOR('',#408500,10.); #121864=VECTOR('',#408501,10.); #121865=VECTOR('',#408502,10.); #121866=VECTOR('',#408505,10.); #121867=VECTOR('',#408506,10.); #121868=VECTOR('',#408507,10.); #121869=VECTOR('',#408510,10.); #121870=VECTOR('',#408511,10.); #121871=VECTOR('',#408512,10.); #121872=VECTOR('',#408515,10.); #121873=VECTOR('',#408516,10.); #121874=VECTOR('',#408517,10.); #121875=VECTOR('',#408520,10.); #121876=VECTOR('',#408521,10.); #121877=VECTOR('',#408522,10.); #121878=VECTOR('',#408525,10.); #121879=VECTOR('',#408526,10.); #121880=VECTOR('',#408527,10.); #121881=VECTOR('',#408530,10.); #121882=VECTOR('',#408531,10.); #121883=VECTOR('',#408532,10.); #121884=VECTOR('',#408535,10.); #121885=VECTOR('',#408536,10.); #121886=VECTOR('',#408537,10.); #121887=VECTOR('',#408540,10.); #121888=VECTOR('',#408541,10.); #121889=VECTOR('',#408542,10.); #121890=VECTOR('',#408545,10.); #121891=VECTOR('',#408546,10.); #121892=VECTOR('',#408547,10.); #121893=VECTOR('',#408550,10.); #121894=VECTOR('',#408551,10.); #121895=VECTOR('',#408552,10.); #121896=VECTOR('',#408555,10.); #121897=VECTOR('',#408556,10.); #121898=VECTOR('',#408557,10.); #121899=VECTOR('',#408560,10.); #121900=VECTOR('',#408561,10.); #121901=VECTOR('',#408562,10.); #121902=VECTOR('',#408565,10.); #121903=VECTOR('',#408566,10.); #121904=VECTOR('',#408567,10.); #121905=VECTOR('',#408570,10.); #121906=VECTOR('',#408571,10.); #121907=VECTOR('',#408572,10.); #121908=VECTOR('',#408575,10.); #121909=VECTOR('',#408576,10.); #121910=VECTOR('',#408577,10.); #121911=VECTOR('',#408580,10.); #121912=VECTOR('',#408581,10.); #121913=VECTOR('',#408582,10.); #121914=VECTOR('',#408585,10.); #121915=VECTOR('',#408586,10.); #121916=VECTOR('',#408587,10.); #121917=VECTOR('',#408590,10.); #121918=VECTOR('',#408591,10.); #121919=VECTOR('',#408592,10.); #121920=VECTOR('',#408595,10.); #121921=VECTOR('',#408596,10.); #121922=VECTOR('',#408597,10.); #121923=VECTOR('',#408600,10.); #121924=VECTOR('',#408601,10.); #121925=VECTOR('',#408608,10.); #121926=VECTOR('',#408609,10.); #121927=VECTOR('',#408610,10.); #121928=VECTOR('',#408611,10.); #121929=VECTOR('',#408614,10.); #121930=VECTOR('',#408615,10.); #121931=VECTOR('',#408616,10.); #121932=VECTOR('',#408619,10.); #121933=VECTOR('',#408620,10.); #121934=VECTOR('',#408621,10.); #121935=VECTOR('',#408624,10.); #121936=VECTOR('',#408625,10.); #121937=VECTOR('',#408626,10.); #121938=VECTOR('',#408629,10.); #121939=VECTOR('',#408630,10.); #121940=VECTOR('',#408631,10.); #121941=VECTOR('',#408634,10.); #121942=VECTOR('',#408635,10.); #121943=VECTOR('',#408636,10.); #121944=VECTOR('',#408639,10.); #121945=VECTOR('',#408640,10.); #121946=VECTOR('',#408641,10.); #121947=VECTOR('',#408644,10.); #121948=VECTOR('',#408645,10.); #121949=VECTOR('',#408646,10.); #121950=VECTOR('',#408649,10.); #121951=VECTOR('',#408650,10.); #121952=VECTOR('',#408651,10.); #121953=VECTOR('',#408654,10.); #121954=VECTOR('',#408655,10.); #121955=VECTOR('',#408656,10.); #121956=VECTOR('',#408659,10.); #121957=VECTOR('',#408660,10.); #121958=VECTOR('',#408661,10.); #121959=VECTOR('',#408664,10.); #121960=VECTOR('',#408665,10.); #121961=VECTOR('',#408666,10.); #121962=VECTOR('',#408669,10.); #121963=VECTOR('',#408670,10.); #121964=VECTOR('',#408671,10.); #121965=VECTOR('',#408674,10.); #121966=VECTOR('',#408675,10.); #121967=VECTOR('',#408676,10.); #121968=VECTOR('',#408679,10.); #121969=VECTOR('',#408680,10.); #121970=VECTOR('',#408681,10.); #121971=VECTOR('',#408684,10.); #121972=VECTOR('',#408685,10.); #121973=VECTOR('',#408686,10.); #121974=VECTOR('',#408689,10.); #121975=VECTOR('',#408690,10.); #121976=VECTOR('',#408691,10.); #121977=VECTOR('',#408694,10.); #121978=VECTOR('',#408695,10.); #121979=VECTOR('',#408696,10.); #121980=VECTOR('',#408699,10.); #121981=VECTOR('',#408700,10.); #121982=VECTOR('',#408701,10.); #121983=VECTOR('',#408704,10.); #121984=VECTOR('',#408705,10.); #121985=VECTOR('',#408712,10.); #121986=VECTOR('',#408713,10.); #121987=VECTOR('',#408714,10.); #121988=VECTOR('',#408715,10.); #121989=VECTOR('',#408718,10.); #121990=VECTOR('',#408719,10.); #121991=VECTOR('',#408720,10.); #121992=VECTOR('',#408723,10.); #121993=VECTOR('',#408724,10.); #121994=VECTOR('',#408725,10.); #121995=VECTOR('',#408728,10.); #121996=VECTOR('',#408729,10.); #121997=VECTOR('',#408730,10.); #121998=VECTOR('',#408733,10.); #121999=VECTOR('',#408734,10.); #122000=VECTOR('',#408735,10.); #122001=VECTOR('',#408738,10.); #122002=VECTOR('',#408739,10.); #122003=VECTOR('',#408740,10.); #122004=VECTOR('',#408743,10.); #122005=VECTOR('',#408744,10.); #122006=VECTOR('',#408745,10.); #122007=VECTOR('',#408748,10.); #122008=VECTOR('',#408749,10.); #122009=VECTOR('',#408750,10.); #122010=VECTOR('',#408753,10.); #122011=VECTOR('',#408754,10.); #122012=VECTOR('',#408755,10.); #122013=VECTOR('',#408758,10.); #122014=VECTOR('',#408759,10.); #122015=VECTOR('',#408760,10.); #122016=VECTOR('',#408763,10.); #122017=VECTOR('',#408764,10.); #122018=VECTOR('',#408765,10.); #122019=VECTOR('',#408768,10.); #122020=VECTOR('',#408769,10.); #122021=VECTOR('',#408770,10.); #122022=VECTOR('',#408773,10.); #122023=VECTOR('',#408774,10.); #122024=VECTOR('',#408775,10.); #122025=VECTOR('',#408778,10.); #122026=VECTOR('',#408779,10.); #122027=VECTOR('',#408780,10.); #122028=VECTOR('',#408783,10.); #122029=VECTOR('',#408784,10.); #122030=VECTOR('',#408785,10.); #122031=VECTOR('',#408788,10.); #122032=VECTOR('',#408789,10.); #122033=VECTOR('',#408790,10.); #122034=VECTOR('',#408793,10.); #122035=VECTOR('',#408794,10.); #122036=VECTOR('',#408795,10.); #122037=VECTOR('',#408798,10.); #122038=VECTOR('',#408799,10.); #122039=VECTOR('',#408800,10.); #122040=VECTOR('',#408803,10.); #122041=VECTOR('',#408804,10.); #122042=VECTOR('',#408805,10.); #122043=VECTOR('',#408808,10.); #122044=VECTOR('',#408809,10.); #122045=VECTOR('',#408810,10.); #122046=VECTOR('',#408813,10.); #122047=VECTOR('',#408814,10.); #122048=VECTOR('',#408815,10.); #122049=VECTOR('',#408818,10.); #122050=VECTOR('',#408819,10.); #122051=VECTOR('',#408820,10.); #122052=VECTOR('',#408823,10.); #122053=VECTOR('',#408824,10.); #122054=VECTOR('',#408825,10.); #122055=VECTOR('',#408828,10.); #122056=VECTOR('',#408829,10.); #122057=VECTOR('',#408830,10.); #122058=VECTOR('',#408833,10.); #122059=VECTOR('',#408834,10.); #122060=VECTOR('',#408835,10.); #122061=VECTOR('',#408838,10.); #122062=VECTOR('',#408839,10.); #122063=VECTOR('',#408840,10.); #122064=VECTOR('',#408843,10.); #122065=VECTOR('',#408844,10.); #122066=VECTOR('',#408845,10.); #122067=VECTOR('',#408848,10.); #122068=VECTOR('',#408849,10.); #122069=VECTOR('',#408850,10.); #122070=VECTOR('',#408853,10.); #122071=VECTOR('',#408854,10.); #122072=VECTOR('',#408855,10.); #122073=VECTOR('',#408858,10.); #122074=VECTOR('',#408859,10.); #122075=VECTOR('',#408860,10.); #122076=VECTOR('',#408863,10.); #122077=VECTOR('',#408864,10.); #122078=VECTOR('',#408865,10.); #122079=VECTOR('',#408868,10.); #122080=VECTOR('',#408869,10.); #122081=VECTOR('',#408870,10.); #122082=VECTOR('',#408873,10.); #122083=VECTOR('',#408874,10.); #122084=VECTOR('',#408875,10.); #122085=VECTOR('',#408878,10.); #122086=VECTOR('',#408879,10.); #122087=VECTOR('',#408880,10.); #122088=VECTOR('',#408883,10.); #122089=VECTOR('',#408884,10.); #122090=VECTOR('',#408885,10.); #122091=VECTOR('',#408888,10.); #122092=VECTOR('',#408889,10.); #122093=VECTOR('',#408890,10.); #122094=VECTOR('',#408893,10.); #122095=VECTOR('',#408894,10.); #122096=VECTOR('',#408895,10.); #122097=VECTOR('',#408898,10.); #122098=VECTOR('',#408899,10.); #122099=VECTOR('',#408900,10.); #122100=VECTOR('',#408903,10.); #122101=VECTOR('',#408904,10.); #122102=VECTOR('',#408905,10.); #122103=VECTOR('',#408908,10.); #122104=VECTOR('',#408909,10.); #122105=VECTOR('',#408910,10.); #122106=VECTOR('',#408913,10.); #122107=VECTOR('',#408914,10.); #122108=VECTOR('',#408915,10.); #122109=VECTOR('',#408918,10.); #122110=VECTOR('',#408919,10.); #122111=VECTOR('',#408920,10.); #122112=VECTOR('',#408923,10.); #122113=VECTOR('',#408924,10.); #122114=VECTOR('',#408925,10.); #122115=VECTOR('',#408928,10.); #122116=VECTOR('',#408929,10.); #122117=VECTOR('',#408930,10.); #122118=VECTOR('',#408933,10.); #122119=VECTOR('',#408934,10.); #122120=VECTOR('',#408935,10.); #122121=VECTOR('',#408938,10.); #122122=VECTOR('',#408939,10.); #122123=VECTOR('',#408940,10.); #122124=VECTOR('',#408943,10.); #122125=VECTOR('',#408944,10.); #122126=VECTOR('',#408951,10.); #122127=VECTOR('',#408952,10.); #122128=VECTOR('',#408953,10.); #122129=VECTOR('',#408954,10.); #122130=VECTOR('',#408957,10.); #122131=VECTOR('',#408958,10.); #122132=VECTOR('',#408959,10.); #122133=VECTOR('',#408962,10.); #122134=VECTOR('',#408963,10.); #122135=VECTOR('',#408964,10.); #122136=VECTOR('',#408967,10.); #122137=VECTOR('',#408968,10.); #122138=VECTOR('',#408969,10.); #122139=VECTOR('',#408972,10.); #122140=VECTOR('',#408973,10.); #122141=VECTOR('',#408974,10.); #122142=VECTOR('',#408977,10.); #122143=VECTOR('',#408978,10.); #122144=VECTOR('',#408979,10.); #122145=VECTOR('',#408982,10.); #122146=VECTOR('',#408983,10.); #122147=VECTOR('',#408984,10.); #122148=VECTOR('',#408987,10.); #122149=VECTOR('',#408988,10.); #122150=VECTOR('',#408989,10.); #122151=VECTOR('',#408992,10.); #122152=VECTOR('',#408993,10.); #122153=VECTOR('',#408994,10.); #122154=VECTOR('',#408997,10.); #122155=VECTOR('',#408998,10.); #122156=VECTOR('',#408999,10.); #122157=VECTOR('',#409002,10.); #122158=VECTOR('',#409003,10.); #122159=VECTOR('',#409004,10.); #122160=VECTOR('',#409007,10.); #122161=VECTOR('',#409008,10.); #122162=VECTOR('',#409009,10.); #122163=VECTOR('',#409012,10.); #122164=VECTOR('',#409013,10.); #122165=VECTOR('',#409014,10.); #122166=VECTOR('',#409017,10.); #122167=VECTOR('',#409018,10.); #122168=VECTOR('',#409019,10.); #122169=VECTOR('',#409022,10.); #122170=VECTOR('',#409023,10.); #122171=VECTOR('',#409024,10.); #122172=VECTOR('',#409027,10.); #122173=VECTOR('',#409028,10.); #122174=VECTOR('',#409029,10.); #122175=VECTOR('',#409032,10.); #122176=VECTOR('',#409033,10.); #122177=VECTOR('',#409034,10.); #122178=VECTOR('',#409037,10.); #122179=VECTOR('',#409038,10.); #122180=VECTOR('',#409039,10.); #122181=VECTOR('',#409042,10.); #122182=VECTOR('',#409043,10.); #122183=VECTOR('',#409044,10.); #122184=VECTOR('',#409047,10.); #122185=VECTOR('',#409048,10.); #122186=VECTOR('',#409049,10.); #122187=VECTOR('',#409052,10.); #122188=VECTOR('',#409053,10.); #122189=VECTOR('',#409054,10.); #122190=VECTOR('',#409057,10.); #122191=VECTOR('',#409058,10.); #122192=VECTOR('',#409059,10.); #122193=VECTOR('',#409062,10.); #122194=VECTOR('',#409063,10.); #122195=VECTOR('',#409064,10.); #122196=VECTOR('',#409067,10.); #122197=VECTOR('',#409068,10.); #122198=VECTOR('',#409069,10.); #122199=VECTOR('',#409072,10.); #122200=VECTOR('',#409073,10.); #122201=VECTOR('',#409074,10.); #122202=VECTOR('',#409077,10.); #122203=VECTOR('',#409078,10.); #122204=VECTOR('',#409079,10.); #122205=VECTOR('',#409082,10.); #122206=VECTOR('',#409083,10.); #122207=VECTOR('',#409084,10.); #122208=VECTOR('',#409087,10.); #122209=VECTOR('',#409088,10.); #122210=VECTOR('',#409089,10.); #122211=VECTOR('',#409092,10.); #122212=VECTOR('',#409093,10.); #122213=VECTOR('',#409094,10.); #122214=VECTOR('',#409097,10.); #122215=VECTOR('',#409098,10.); #122216=VECTOR('',#409099,10.); #122217=VECTOR('',#409102,10.); #122218=VECTOR('',#409103,10.); #122219=VECTOR('',#409104,10.); #122220=VECTOR('',#409107,10.); #122221=VECTOR('',#409108,10.); #122222=VECTOR('',#409109,10.); #122223=VECTOR('',#409112,10.); #122224=VECTOR('',#409113,10.); #122225=VECTOR('',#409114,10.); #122226=VECTOR('',#409117,10.); #122227=VECTOR('',#409118,10.); #122228=VECTOR('',#409125,10.); #122229=VECTOR('',#409126,10.); #122230=VECTOR('',#409127,10.); #122231=VECTOR('',#409128,10.); #122232=VECTOR('',#409131,10.); #122233=VECTOR('',#409132,10.); #122234=VECTOR('',#409133,10.); #122235=VECTOR('',#409136,10.); #122236=VECTOR('',#409137,10.); #122237=VECTOR('',#409138,10.); #122238=VECTOR('',#409141,10.); #122239=VECTOR('',#409142,10.); #122240=VECTOR('',#409143,10.); #122241=VECTOR('',#409146,10.); #122242=VECTOR('',#409147,10.); #122243=VECTOR('',#409148,10.); #122244=VECTOR('',#409151,10.); #122245=VECTOR('',#409152,10.); #122246=VECTOR('',#409153,10.); #122247=VECTOR('',#409156,10.); #122248=VECTOR('',#409157,10.); #122249=VECTOR('',#409158,10.); #122250=VECTOR('',#409161,10.); #122251=VECTOR('',#409162,10.); #122252=VECTOR('',#409163,10.); #122253=VECTOR('',#409166,10.); #122254=VECTOR('',#409167,10.); #122255=VECTOR('',#409168,10.); #122256=VECTOR('',#409171,10.); #122257=VECTOR('',#409172,10.); #122258=VECTOR('',#409173,10.); #122259=VECTOR('',#409176,10.); #122260=VECTOR('',#409177,10.); #122261=VECTOR('',#409178,10.); #122262=VECTOR('',#409181,10.); #122263=VECTOR('',#409182,10.); #122264=VECTOR('',#409183,10.); #122265=VECTOR('',#409186,10.); #122266=VECTOR('',#409187,10.); #122267=VECTOR('',#409188,10.); #122268=VECTOR('',#409191,10.); #122269=VECTOR('',#409192,10.); #122270=VECTOR('',#409193,10.); #122271=VECTOR('',#409196,10.); #122272=VECTOR('',#409197,10.); #122273=VECTOR('',#409198,10.); #122274=VECTOR('',#409201,10.); #122275=VECTOR('',#409202,10.); #122276=VECTOR('',#409203,10.); #122277=VECTOR('',#409206,10.); #122278=VECTOR('',#409207,10.); #122279=VECTOR('',#409208,10.); #122280=VECTOR('',#409211,10.); #122281=VECTOR('',#409212,10.); #122282=VECTOR('',#409213,10.); #122283=VECTOR('',#409216,10.); #122284=VECTOR('',#409217,10.); #122285=VECTOR('',#409218,10.); #122286=VECTOR('',#409221,10.); #122287=VECTOR('',#409222,10.); #122288=VECTOR('',#409223,10.); #122289=VECTOR('',#409226,10.); #122290=VECTOR('',#409227,10.); #122291=VECTOR('',#409228,10.); #122292=VECTOR('',#409231,10.); #122293=VECTOR('',#409232,10.); #122294=VECTOR('',#409239,10.); #122295=VECTOR('',#409240,10.); #122296=VECTOR('',#409241,10.); #122297=VECTOR('',#409242,10.); #122298=VECTOR('',#409245,10.); #122299=VECTOR('',#409246,10.); #122300=VECTOR('',#409247,10.); #122301=VECTOR('',#409250,10.); #122302=VECTOR('',#409251,10.); #122303=VECTOR('',#409252,10.); #122304=VECTOR('',#409255,10.); #122305=VECTOR('',#409256,10.); #122306=VECTOR('',#409257,10.); #122307=VECTOR('',#409260,10.); #122308=VECTOR('',#409261,10.); #122309=VECTOR('',#409262,10.); #122310=VECTOR('',#409265,10.); #122311=VECTOR('',#409266,10.); #122312=VECTOR('',#409267,10.); #122313=VECTOR('',#409270,10.); #122314=VECTOR('',#409271,10.); #122315=VECTOR('',#409272,10.); #122316=VECTOR('',#409275,10.); #122317=VECTOR('',#409276,10.); #122318=VECTOR('',#409277,10.); #122319=VECTOR('',#409280,10.); #122320=VECTOR('',#409281,10.); #122321=VECTOR('',#409282,10.); #122322=VECTOR('',#409285,10.); #122323=VECTOR('',#409286,10.); #122324=VECTOR('',#409287,10.); #122325=VECTOR('',#409290,10.); #122326=VECTOR('',#409291,10.); #122327=VECTOR('',#409292,10.); #122328=VECTOR('',#409295,10.); #122329=VECTOR('',#409296,10.); #122330=VECTOR('',#409297,10.); #122331=VECTOR('',#409300,10.); #122332=VECTOR('',#409301,10.); #122333=VECTOR('',#409302,10.); #122334=VECTOR('',#409305,10.); #122335=VECTOR('',#409306,10.); #122336=VECTOR('',#409307,10.); #122337=VECTOR('',#409310,10.); #122338=VECTOR('',#409311,10.); #122339=VECTOR('',#409312,10.); #122340=VECTOR('',#409315,10.); #122341=VECTOR('',#409316,10.); #122342=VECTOR('',#409317,10.); #122343=VECTOR('',#409320,10.); #122344=VECTOR('',#409321,10.); #122345=VECTOR('',#409322,10.); #122346=VECTOR('',#409325,10.); #122347=VECTOR('',#409326,10.); #122348=VECTOR('',#409327,10.); #122349=VECTOR('',#409330,10.); #122350=VECTOR('',#409331,10.); #122351=VECTOR('',#409332,10.); #122352=VECTOR('',#409335,10.); #122353=VECTOR('',#409336,10.); #122354=VECTOR('',#409343,10.); #122355=VECTOR('',#409344,10.); #122356=VECTOR('',#409345,10.); #122357=VECTOR('',#409346,10.); #122358=VECTOR('',#409349,10.); #122359=VECTOR('',#409350,10.); #122360=VECTOR('',#409351,10.); #122361=VECTOR('',#409354,10.); #122362=VECTOR('',#409355,10.); #122363=VECTOR('',#409356,10.); #122364=VECTOR('',#409359,10.); #122365=VECTOR('',#409360,10.); #122366=VECTOR('',#409361,10.); #122367=VECTOR('',#409364,10.); #122368=VECTOR('',#409365,10.); #122369=VECTOR('',#409366,10.); #122370=VECTOR('',#409369,10.); #122371=VECTOR('',#409370,10.); #122372=VECTOR('',#409371,10.); #122373=VECTOR('',#409374,10.); #122374=VECTOR('',#409375,10.); #122375=VECTOR('',#409376,10.); #122376=VECTOR('',#409379,10.); #122377=VECTOR('',#409380,10.); #122378=VECTOR('',#409381,10.); #122379=VECTOR('',#409384,10.); #122380=VECTOR('',#409385,10.); #122381=VECTOR('',#409386,10.); #122382=VECTOR('',#409389,10.); #122383=VECTOR('',#409390,10.); #122384=VECTOR('',#409391,10.); #122385=VECTOR('',#409394,10.); #122386=VECTOR('',#409395,10.); #122387=VECTOR('',#409396,10.); #122388=VECTOR('',#409399,10.); #122389=VECTOR('',#409400,10.); #122390=VECTOR('',#409401,10.); #122391=VECTOR('',#409404,10.); #122392=VECTOR('',#409405,10.); #122393=VECTOR('',#409406,10.); #122394=VECTOR('',#409409,10.); #122395=VECTOR('',#409410,10.); #122396=VECTOR('',#409411,10.); #122397=VECTOR('',#409414,10.); #122398=VECTOR('',#409415,10.); #122399=VECTOR('',#409416,10.); #122400=VECTOR('',#409419,10.); #122401=VECTOR('',#409420,10.); #122402=VECTOR('',#409421,10.); #122403=VECTOR('',#409424,10.); #122404=VECTOR('',#409425,10.); #122405=VECTOR('',#409426,10.); #122406=VECTOR('',#409429,10.); #122407=VECTOR('',#409430,10.); #122408=VECTOR('',#409431,10.); #122409=VECTOR('',#409434,10.); #122410=VECTOR('',#409435,10.); #122411=VECTOR('',#409436,10.); #122412=VECTOR('',#409439,10.); #122413=VECTOR('',#409440,10.); #122414=VECTOR('',#409441,10.); #122415=VECTOR('',#409444,10.); #122416=VECTOR('',#409445,10.); #122417=VECTOR('',#409446,10.); #122418=VECTOR('',#409449,10.); #122419=VECTOR('',#409450,10.); #122420=VECTOR('',#409451,10.); #122421=VECTOR('',#409454,10.); #122422=VECTOR('',#409455,10.); #122423=VECTOR('',#409456,10.); #122424=VECTOR('',#409459,10.); #122425=VECTOR('',#409460,10.); #122426=VECTOR('',#409461,10.); #122427=VECTOR('',#409464,10.); #122428=VECTOR('',#409465,10.); #122429=VECTOR('',#409466,10.); #122430=VECTOR('',#409469,10.); #122431=VECTOR('',#409470,10.); #122432=VECTOR('',#409471,10.); #122433=VECTOR('',#409474,10.); #122434=VECTOR('',#409475,10.); #122435=VECTOR('',#409476,10.); #122436=VECTOR('',#409479,10.); #122437=VECTOR('',#409480,10.); #122438=VECTOR('',#409481,10.); #122439=VECTOR('',#409484,10.); #122440=VECTOR('',#409485,10.); #122441=VECTOR('',#409486,10.); #122442=VECTOR('',#409489,10.); #122443=VECTOR('',#409490,10.); #122444=VECTOR('',#409491,10.); #122445=VECTOR('',#409494,10.); #122446=VECTOR('',#409495,10.); #122447=VECTOR('',#409496,10.); #122448=VECTOR('',#409499,10.); #122449=VECTOR('',#409500,10.); #122450=VECTOR('',#409501,10.); #122451=VECTOR('',#409504,10.); #122452=VECTOR('',#409505,10.); #122453=VECTOR('',#409506,10.); #122454=VECTOR('',#409509,10.); #122455=VECTOR('',#409510,10.); #122456=VECTOR('',#409511,10.); #122457=VECTOR('',#409514,10.); #122458=VECTOR('',#409515,10.); #122459=VECTOR('',#409516,10.); #122460=VECTOR('',#409519,10.); #122461=VECTOR('',#409520,10.); #122462=VECTOR('',#409521,10.); #122463=VECTOR('',#409524,10.); #122464=VECTOR('',#409525,10.); #122465=VECTOR('',#409526,10.); #122466=VECTOR('',#409529,10.); #122467=VECTOR('',#409530,10.); #122468=VECTOR('',#409531,10.); #122469=VECTOR('',#409534,10.); #122470=VECTOR('',#409535,10.); #122471=VECTOR('',#409536,10.); #122472=VECTOR('',#409539,10.); #122473=VECTOR('',#409540,10.); #122474=VECTOR('',#409541,10.); #122475=VECTOR('',#409544,10.); #122476=VECTOR('',#409545,10.); #122477=VECTOR('',#409546,10.); #122478=VECTOR('',#409549,10.); #122479=VECTOR('',#409550,10.); #122480=VECTOR('',#409551,10.); #122481=VECTOR('',#409554,10.); #122482=VECTOR('',#409555,10.); #122483=VECTOR('',#409556,10.); #122484=VECTOR('',#409559,10.); #122485=VECTOR('',#409560,10.); #122486=VECTOR('',#409561,10.); #122487=VECTOR('',#409564,10.); #122488=VECTOR('',#409565,10.); #122489=VECTOR('',#409566,10.); #122490=VECTOR('',#409569,10.); #122491=VECTOR('',#409570,10.); #122492=VECTOR('',#409571,10.); #122493=VECTOR('',#409574,10.); #122494=VECTOR('',#409575,10.); #122495=VECTOR('',#409576,10.); #122496=VECTOR('',#409579,10.); #122497=VECTOR('',#409580,10.); #122498=VECTOR('',#409581,10.); #122499=VECTOR('',#409584,10.); #122500=VECTOR('',#409585,10.); #122501=VECTOR('',#409586,10.); #122502=VECTOR('',#409589,10.); #122503=VECTOR('',#409590,10.); #122504=VECTOR('',#409591,10.); #122505=VECTOR('',#409594,10.); #122506=VECTOR('',#409595,10.); #122507=VECTOR('',#409596,10.); #122508=VECTOR('',#409599,10.); #122509=VECTOR('',#409600,10.); #122510=VECTOR('',#409601,10.); #122511=VECTOR('',#409604,10.); #122512=VECTOR('',#409605,10.); #122513=VECTOR('',#409606,10.); #122514=VECTOR('',#409609,10.); #122515=VECTOR('',#409610,10.); #122516=VECTOR('',#409611,10.); #122517=VECTOR('',#409614,10.); #122518=VECTOR('',#409615,10.); #122519=VECTOR('',#409616,10.); #122520=VECTOR('',#409619,10.); #122521=VECTOR('',#409620,10.); #122522=VECTOR('',#409621,10.); #122523=VECTOR('',#409624,10.); #122524=VECTOR('',#409625,10.); #122525=VECTOR('',#409626,10.); #122526=VECTOR('',#409629,10.); #122527=VECTOR('',#409630,10.); #122528=VECTOR('',#409631,10.); #122529=VECTOR('',#409634,10.); #122530=VECTOR('',#409635,10.); #122531=VECTOR('',#409636,10.); #122532=VECTOR('',#409639,10.); #122533=VECTOR('',#409640,10.); #122534=VECTOR('',#409641,10.); #122535=VECTOR('',#409644,10.); #122536=VECTOR('',#409645,10.); #122537=VECTOR('',#409646,10.); #122538=VECTOR('',#409649,10.); #122539=VECTOR('',#409650,10.); #122540=VECTOR('',#409651,10.); #122541=VECTOR('',#409654,10.); #122542=VECTOR('',#409655,10.); #122543=VECTOR('',#409656,10.); #122544=VECTOR('',#409659,10.); #122545=VECTOR('',#409660,10.); #122546=VECTOR('',#409661,10.); #122547=VECTOR('',#409664,10.); #122548=VECTOR('',#409665,10.); #122549=VECTOR('',#409666,10.); #122550=VECTOR('',#409669,10.); #122551=VECTOR('',#409670,10.); #122552=VECTOR('',#409671,10.); #122553=VECTOR('',#409674,10.); #122554=VECTOR('',#409675,10.); #122555=VECTOR('',#409676,10.); #122556=VECTOR('',#409679,10.); #122557=VECTOR('',#409680,10.); #122558=VECTOR('',#409681,10.); #122559=VECTOR('',#409684,10.); #122560=VECTOR('',#409685,10.); #122561=VECTOR('',#409686,10.); #122562=VECTOR('',#409689,10.); #122563=VECTOR('',#409690,10.); #122564=VECTOR('',#409691,10.); #122565=VECTOR('',#409694,10.); #122566=VECTOR('',#409695,10.); #122567=VECTOR('',#409696,10.); #122568=VECTOR('',#409699,10.); #122569=VECTOR('',#409700,10.); #122570=VECTOR('',#409707,10.); #122571=VECTOR('',#409708,10.); #122572=VECTOR('',#409709,10.); #122573=VECTOR('',#409710,10.); #122574=VECTOR('',#409713,10.); #122575=VECTOR('',#409714,10.); #122576=VECTOR('',#409715,10.); #122577=VECTOR('',#409718,10.); #122578=VECTOR('',#409719,10.); #122579=VECTOR('',#409720,10.); #122580=VECTOR('',#409723,10.); #122581=VECTOR('',#409724,10.); #122582=VECTOR('',#409725,10.); #122583=VECTOR('',#409728,10.); #122584=VECTOR('',#409729,10.); #122585=VECTOR('',#409730,10.); #122586=VECTOR('',#409733,10.); #122587=VECTOR('',#409734,10.); #122588=VECTOR('',#409735,10.); #122589=VECTOR('',#409738,10.); #122590=VECTOR('',#409739,10.); #122591=VECTOR('',#409740,10.); #122592=VECTOR('',#409743,10.); #122593=VECTOR('',#409744,10.); #122594=VECTOR('',#409745,10.); #122595=VECTOR('',#409748,10.); #122596=VECTOR('',#409749,10.); #122597=VECTOR('',#409750,10.); #122598=VECTOR('',#409753,10.); #122599=VECTOR('',#409754,10.); #122600=VECTOR('',#409755,10.); #122601=VECTOR('',#409758,10.); #122602=VECTOR('',#409759,10.); #122603=VECTOR('',#409760,10.); #122604=VECTOR('',#409763,10.); #122605=VECTOR('',#409764,10.); #122606=VECTOR('',#409765,10.); #122607=VECTOR('',#409768,10.); #122608=VECTOR('',#409769,10.); #122609=VECTOR('',#409770,10.); #122610=VECTOR('',#409773,10.); #122611=VECTOR('',#409774,10.); #122612=VECTOR('',#409775,10.); #122613=VECTOR('',#409778,10.); #122614=VECTOR('',#409779,10.); #122615=VECTOR('',#409780,10.); #122616=VECTOR('',#409783,10.); #122617=VECTOR('',#409784,10.); #122618=VECTOR('',#409785,10.); #122619=VECTOR('',#409788,10.); #122620=VECTOR('',#409789,10.); #122621=VECTOR('',#409790,10.); #122622=VECTOR('',#409793,10.); #122623=VECTOR('',#409794,10.); #122624=VECTOR('',#409795,10.); #122625=VECTOR('',#409798,10.); #122626=VECTOR('',#409799,10.); #122627=VECTOR('',#409800,10.); #122628=VECTOR('',#409803,10.); #122629=VECTOR('',#409804,10.); #122630=VECTOR('',#409805,10.); #122631=VECTOR('',#409808,10.); #122632=VECTOR('',#409809,10.); #122633=VECTOR('',#409810,10.); #122634=VECTOR('',#409813,10.); #122635=VECTOR('',#409814,10.); #122636=VECTOR('',#409815,10.); #122637=VECTOR('',#409818,10.); #122638=VECTOR('',#409819,10.); #122639=VECTOR('',#409820,10.); #122640=VECTOR('',#409823,10.); #122641=VECTOR('',#409824,10.); #122642=VECTOR('',#409825,10.); #122643=VECTOR('',#409828,10.); #122644=VECTOR('',#409829,10.); #122645=VECTOR('',#409830,10.); #122646=VECTOR('',#409833,10.); #122647=VECTOR('',#409834,10.); #122648=VECTOR('',#409835,10.); #122649=VECTOR('',#409838,10.); #122650=VECTOR('',#409839,10.); #122651=VECTOR('',#409840,10.); #122652=VECTOR('',#409843,10.); #122653=VECTOR('',#409844,10.); #122654=VECTOR('',#409853,0.140000000000002); #122655=VECTOR('',#409860,0.140000000000002); #122656=VECTOR('',#409867,0.140000000000002); #122657=VECTOR('',#409874,0.140000000000002); #122658=VECTOR('',#409881,0.140000000000002); #122659=VECTOR('',#409888,0.140000000000002); #122660=VECTOR('',#409895,0.140000000000002); #122661=VECTOR('',#409902,0.140000000000002); #122662=VECTOR('',#409909,0.140000000000002); #122663=VECTOR('',#409916,0.140000000000002); #122664=VECTOR('',#409923,0.140000000000002); #122665=VECTOR('',#409930,0.140000000000002); #122666=VECTOR('',#409937,0.140000000000002); #122667=VECTOR('',#409944,0.140000000000002); #122668=VECTOR('',#409951,0.139999999999998); #122669=VECTOR('',#409958,0.140000000000002); #122670=VECTOR('',#409963,10.); #122671=VECTOR('',#409964,10.); #122672=VECTOR('',#409965,10.); #122673=VECTOR('',#409966,10.); #122674=VECTOR('',#409969,10.); #122675=VECTOR('',#409970,10.); #122676=VECTOR('',#409971,10.); #122677=VECTOR('',#409974,10.); #122678=VECTOR('',#409975,10.); #122679=VECTOR('',#409976,10.); #122680=VECTOR('',#409979,10.); #122681=VECTOR('',#409980,10.); #122682=VECTOR('',#409981,10.); #122683=VECTOR('',#409984,10.); #122684=VECTOR('',#409985,10.); #122685=VECTOR('',#409986,10.); #122686=VECTOR('',#409989,10.); #122687=VECTOR('',#409990,10.); #122688=VECTOR('',#409991,10.); #122689=VECTOR('',#409994,10.); #122690=VECTOR('',#409995,10.); #122691=VECTOR('',#409996,10.); #122692=VECTOR('',#409999,10.); #122693=VECTOR('',#410000,10.); #122694=VECTOR('',#410001,10.); #122695=VECTOR('',#410004,10.); #122696=VECTOR('',#410005,10.); #122697=VECTOR('',#410006,10.); #122698=VECTOR('',#410009,10.); #122699=VECTOR('',#410010,10.); #122700=VECTOR('',#410011,10.); #122701=VECTOR('',#410014,10.); #122702=VECTOR('',#410015,10.); #122703=VECTOR('',#410016,10.); #122704=VECTOR('',#410019,10.); #122705=VECTOR('',#410020,10.); #122706=VECTOR('',#410021,10.); #122707=VECTOR('',#410024,10.); #122708=VECTOR('',#410025,10.); #122709=VECTOR('',#410026,10.); #122710=VECTOR('',#410029,10.); #122711=VECTOR('',#410030,10.); #122712=VECTOR('',#410031,10.); #122713=VECTOR('',#410034,10.); #122714=VECTOR('',#410035,10.); #122715=VECTOR('',#410036,10.); #122716=VECTOR('',#410039,10.); #122717=VECTOR('',#410040,10.); #122718=VECTOR('',#410041,10.); #122719=VECTOR('',#410044,10.); #122720=VECTOR('',#410045,10.); #122721=VECTOR('',#410046,10.); #122722=VECTOR('',#410049,10.); #122723=VECTOR('',#410050,10.); #122724=VECTOR('',#410051,10.); #122725=VECTOR('',#410054,10.); #122726=VECTOR('',#410055,10.); #122727=VECTOR('',#410056,10.); #122728=VECTOR('',#410059,10.); #122729=VECTOR('',#410060,10.); #122730=VECTOR('',#410061,10.); #122731=VECTOR('',#410064,10.); #122732=VECTOR('',#410065,10.); #122733=VECTOR('',#410066,10.); #122734=VECTOR('',#410069,10.); #122735=VECTOR('',#410070,10.); #122736=VECTOR('',#410071,10.); #122737=VECTOR('',#410074,10.); #122738=VECTOR('',#410075,10.); #122739=VECTOR('',#410076,10.); #122740=VECTOR('',#410079,10.); #122741=VECTOR('',#410080,10.); #122742=VECTOR('',#410081,10.); #122743=VECTOR('',#410084,10.); #122744=VECTOR('',#410085,10.); #122745=VECTOR('',#410086,10.); #122746=VECTOR('',#410089,10.); #122747=VECTOR('',#410090,10.); #122748=VECTOR('',#410091,10.); #122749=VECTOR('',#410094,10.); #122750=VECTOR('',#410095,10.); #122751=VECTOR('',#410096,10.); #122752=VECTOR('',#410099,10.); #122753=VECTOR('',#410100,10.); #122754=VECTOR('',#410101,10.); #122755=VECTOR('',#410104,10.); #122756=VECTOR('',#410105,10.); #122757=VECTOR('',#410106,10.); #122758=VECTOR('',#410109,10.); #122759=VECTOR('',#410110,10.); #122760=VECTOR('',#410111,10.); #122761=VECTOR('',#410114,10.); #122762=VECTOR('',#410115,10.); #122763=VECTOR('',#410116,10.); #122764=VECTOR('',#410119,10.); #122765=VECTOR('',#410120,10.); #122766=VECTOR('',#410121,10.); #122767=VECTOR('',#410124,10.); #122768=VECTOR('',#410125,10.); #122769=VECTOR('',#410126,10.); #122770=VECTOR('',#410129,10.); #122771=VECTOR('',#410130,10.); #122772=VECTOR('',#410131,10.); #122773=VECTOR('',#410134,10.); #122774=VECTOR('',#410135,10.); #122775=VECTOR('',#410136,10.); #122776=VECTOR('',#410139,10.); #122777=VECTOR('',#410140,10.); #122778=VECTOR('',#410141,10.); #122779=VECTOR('',#410144,10.); #122780=VECTOR('',#410145,10.); #122781=VECTOR('',#410146,10.); #122782=VECTOR('',#410149,10.); #122783=VECTOR('',#410150,10.); #122784=VECTOR('',#410151,10.); #122785=VECTOR('',#410154,10.); #122786=VECTOR('',#410155,10.); #122787=VECTOR('',#410156,10.); #122788=VECTOR('',#410159,10.); #122789=VECTOR('',#410160,10.); #122790=VECTOR('',#410161,10.); #122791=VECTOR('',#410164,10.); #122792=VECTOR('',#410165,10.); #122793=VECTOR('',#410166,10.); #122794=VECTOR('',#410169,10.); #122795=VECTOR('',#410170,10.); #122796=VECTOR('',#410171,10.); #122797=VECTOR('',#410174,10.); #122798=VECTOR('',#410175,10.); #122799=VECTOR('',#410176,10.); #122800=VECTOR('',#410179,10.); #122801=VECTOR('',#410180,10.); #122802=VECTOR('',#410181,10.); #122803=VECTOR('',#410184,10.); #122804=VECTOR('',#410185,10.); #122805=VECTOR('',#410186,10.); #122806=VECTOR('',#410189,10.); #122807=VECTOR('',#410190,10.); #122808=VECTOR('',#410191,10.); #122809=VECTOR('',#410194,10.); #122810=VECTOR('',#410195,10.); #122811=VECTOR('',#410196,10.); #122812=VECTOR('',#410199,10.); #122813=VECTOR('',#410200,10.); #122814=VECTOR('',#410201,10.); #122815=VECTOR('',#410204,10.); #122816=VECTOR('',#410205,10.); #122817=VECTOR('',#410206,10.); #122818=VECTOR('',#410209,10.); #122819=VECTOR('',#410210,10.); #122820=VECTOR('',#410211,10.); #122821=VECTOR('',#410214,10.); #122822=VECTOR('',#410215,10.); #122823=VECTOR('',#410216,10.); #122824=VECTOR('',#410219,10.); #122825=VECTOR('',#410220,10.); #122826=VECTOR('',#410221,10.); #122827=VECTOR('',#410224,10.); #122828=VECTOR('',#410225,10.); #122829=VECTOR('',#410226,10.); #122830=VECTOR('',#410229,10.); #122831=VECTOR('',#410230,10.); #122832=VECTOR('',#410231,10.); #122833=VECTOR('',#410234,10.); #122834=VECTOR('',#410235,10.); #122835=VECTOR('',#410236,10.); #122836=VECTOR('',#410239,10.); #122837=VECTOR('',#410240,10.); #122838=VECTOR('',#410241,10.); #122839=VECTOR('',#410244,10.); #122840=VECTOR('',#410245,10.); #122841=VECTOR('',#410246,10.); #122842=VECTOR('',#410249,10.); #122843=VECTOR('',#410250,10.); #122844=VECTOR('',#410251,10.); #122845=VECTOR('',#410254,10.); #122846=VECTOR('',#410255,10.); #122847=VECTOR('',#410256,10.); #122848=VECTOR('',#410259,10.); #122849=VECTOR('',#410260,10.); #122850=VECTOR('',#410261,10.); #122851=VECTOR('',#410264,10.); #122852=VECTOR('',#410265,10.); #122853=VECTOR('',#410266,10.); #122854=VECTOR('',#410269,10.); #122855=VECTOR('',#410270,10.); #122856=VECTOR('',#410271,10.); #122857=VECTOR('',#410274,10.); #122858=VECTOR('',#410275,10.); #122859=VECTOR('',#410276,10.); #122860=VECTOR('',#410279,10.); #122861=VECTOR('',#410280,10.); #122862=VECTOR('',#410281,10.); #122863=VECTOR('',#410284,10.); #122864=VECTOR('',#410285,10.); #122865=VECTOR('',#410286,10.); #122866=VECTOR('',#410289,10.); #122867=VECTOR('',#410290,10.); #122868=VECTOR('',#410291,10.); #122869=VECTOR('',#410294,10.); #122870=VECTOR('',#410295,10.); #122871=VECTOR('',#410296,10.); #122872=VECTOR('',#410299,10.); #122873=VECTOR('',#410300,10.); #122874=VECTOR('',#410301,10.); #122875=VECTOR('',#410304,10.); #122876=VECTOR('',#410305,10.); #122877=VECTOR('',#410306,10.); #122878=VECTOR('',#410309,10.); #122879=VECTOR('',#410310,10.); #122880=VECTOR('',#410311,10.); #122881=VECTOR('',#410314,10.); #122882=VECTOR('',#410315,10.); #122883=VECTOR('',#410316,10.); #122884=VECTOR('',#410319,10.); #122885=VECTOR('',#410320,10.); #122886=VECTOR('',#410321,10.); #122887=VECTOR('',#410324,10.); #122888=VECTOR('',#410325,10.); #122889=VECTOR('',#410326,10.); #122890=VECTOR('',#410329,10.); #122891=VECTOR('',#410330,10.); #122892=VECTOR('',#410339,0.139999999999998); #122893=VECTOR('',#410346,0.139999999999998); #122894=VECTOR('',#410353,0.139999999999998); #122895=VECTOR('',#410360,0.139999999999998); #122896=VECTOR('',#410367,0.139999999999998); #122897=VECTOR('',#410374,0.139999999999998); #122898=VECTOR('',#410381,0.139999999999998); #122899=VECTOR('',#410388,0.139999999999998); #122900=VECTOR('',#410395,0.139999999999998); #122901=VECTOR('',#410402,0.139999999999998); #122902=VECTOR('',#410409,0.139999999999998); #122903=VECTOR('',#410416,0.139999999999998); #122904=VECTOR('',#410423,0.139999999999998); #122905=VECTOR('',#410430,0.139999999999998); #122906=VECTOR('',#410437,0.139999999999998); #122907=VECTOR('',#410444,0.139999999999998); #122908=VECTOR('',#410449,10.); #122909=VECTOR('',#410450,10.); #122910=VECTOR('',#410451,10.); #122911=VECTOR('',#410452,10.); #122912=VECTOR('',#410455,10.); #122913=VECTOR('',#410456,10.); #122914=VECTOR('',#410457,10.); #122915=VECTOR('',#410460,10.); #122916=VECTOR('',#410461,10.); #122917=VECTOR('',#410462,10.); #122918=VECTOR('',#410465,10.); #122919=VECTOR('',#410466,10.); #122920=VECTOR('',#410467,10.); #122921=VECTOR('',#410470,10.); #122922=VECTOR('',#410471,10.); #122923=VECTOR('',#410472,10.); #122924=VECTOR('',#410475,10.); #122925=VECTOR('',#410476,10.); #122926=VECTOR('',#410477,10.); #122927=VECTOR('',#410480,10.); #122928=VECTOR('',#410481,10.); #122929=VECTOR('',#410482,10.); #122930=VECTOR('',#410485,10.); #122931=VECTOR('',#410486,10.); #122932=VECTOR('',#410487,10.); #122933=VECTOR('',#410490,10.); #122934=VECTOR('',#410491,10.); #122935=VECTOR('',#410492,10.); #122936=VECTOR('',#410495,10.); #122937=VECTOR('',#410496,10.); #122938=VECTOR('',#410497,10.); #122939=VECTOR('',#410500,10.); #122940=VECTOR('',#410501,10.); #122941=VECTOR('',#410502,10.); #122942=VECTOR('',#410505,10.); #122943=VECTOR('',#410506,10.); #122944=VECTOR('',#410507,10.); #122945=VECTOR('',#410510,10.); #122946=VECTOR('',#410511,10.); #122947=VECTOR('',#410512,10.); #122948=VECTOR('',#410515,10.); #122949=VECTOR('',#410516,10.); #122950=VECTOR('',#410517,10.); #122951=VECTOR('',#410520,10.); #122952=VECTOR('',#410521,10.); #122953=VECTOR('',#410522,10.); #122954=VECTOR('',#410525,10.); #122955=VECTOR('',#410526,10.); #122956=VECTOR('',#410527,10.); #122957=VECTOR('',#410530,10.); #122958=VECTOR('',#410531,10.); #122959=VECTOR('',#410532,10.); #122960=VECTOR('',#410535,10.); #122961=VECTOR('',#410536,10.); #122962=VECTOR('',#410537,10.); #122963=VECTOR('',#410540,10.); #122964=VECTOR('',#410541,10.); #122965=VECTOR('',#410542,10.); #122966=VECTOR('',#410545,10.); #122967=VECTOR('',#410546,10.); #122968=VECTOR('',#410547,10.); #122969=VECTOR('',#410550,10.); #122970=VECTOR('',#410551,10.); #122971=VECTOR('',#410552,10.); #122972=VECTOR('',#410555,10.); #122973=VECTOR('',#410556,10.); #122974=VECTOR('',#410557,10.); #122975=VECTOR('',#410560,10.); #122976=VECTOR('',#410561,10.); #122977=VECTOR('',#410562,10.); #122978=VECTOR('',#410565,10.); #122979=VECTOR('',#410566,10.); #122980=VECTOR('',#410567,10.); #122981=VECTOR('',#410570,10.); #122982=VECTOR('',#410571,10.); #122983=VECTOR('',#410572,10.); #122984=VECTOR('',#410575,10.); #122985=VECTOR('',#410576,10.); #122986=VECTOR('',#410577,10.); #122987=VECTOR('',#410580,10.); #122988=VECTOR('',#410581,10.); #122989=VECTOR('',#410582,10.); #122990=VECTOR('',#410585,10.); #122991=VECTOR('',#410586,10.); #122992=VECTOR('',#410587,10.); #122993=VECTOR('',#410590,10.); #122994=VECTOR('',#410591,10.); #122995=VECTOR('',#410592,10.); #122996=VECTOR('',#410595,10.); #122997=VECTOR('',#410596,10.); #122998=VECTOR('',#410597,10.); #122999=VECTOR('',#410600,10.); #123000=VECTOR('',#410601,10.); #123001=VECTOR('',#410602,10.); #123002=VECTOR('',#410605,10.); #123003=VECTOR('',#410606,10.); #123004=VECTOR('',#410607,10.); #123005=VECTOR('',#410610,10.); #123006=VECTOR('',#410611,10.); #123007=VECTOR('',#410612,10.); #123008=VECTOR('',#410615,10.); #123009=VECTOR('',#410616,10.); #123010=VECTOR('',#410617,10.); #123011=VECTOR('',#410620,10.); #123012=VECTOR('',#410621,10.); #123013=VECTOR('',#410622,10.); #123014=VECTOR('',#410625,10.); #123015=VECTOR('',#410626,10.); #123016=VECTOR('',#410627,10.); #123017=VECTOR('',#410630,10.); #123018=VECTOR('',#410631,10.); #123019=VECTOR('',#410632,10.); #123020=VECTOR('',#410635,10.); #123021=VECTOR('',#410636,10.); #123022=VECTOR('',#410637,10.); #123023=VECTOR('',#410640,10.); #123024=VECTOR('',#410641,10.); #123025=VECTOR('',#410642,10.); #123026=VECTOR('',#410645,10.); #123027=VECTOR('',#410646,10.); #123028=VECTOR('',#410647,10.); #123029=VECTOR('',#410650,10.); #123030=VECTOR('',#410651,10.); #123031=VECTOR('',#410652,10.); #123032=VECTOR('',#410655,10.); #123033=VECTOR('',#410656,10.); #123034=VECTOR('',#410657,10.); #123035=VECTOR('',#410660,10.); #123036=VECTOR('',#410661,10.); #123037=VECTOR('',#410662,10.); #123038=VECTOR('',#410665,10.); #123039=VECTOR('',#410666,10.); #123040=VECTOR('',#410667,10.); #123041=VECTOR('',#410670,10.); #123042=VECTOR('',#410671,10.); #123043=VECTOR('',#410672,10.); #123044=VECTOR('',#410675,10.); #123045=VECTOR('',#410676,10.); #123046=VECTOR('',#410677,10.); #123047=VECTOR('',#410680,10.); #123048=VECTOR('',#410681,10.); #123049=VECTOR('',#410682,10.); #123050=VECTOR('',#410685,10.); #123051=VECTOR('',#410686,10.); #123052=VECTOR('',#410687,10.); #123053=VECTOR('',#410690,10.); #123054=VECTOR('',#410691,10.); #123055=VECTOR('',#410692,10.); #123056=VECTOR('',#410695,10.); #123057=VECTOR('',#410696,10.); #123058=VECTOR('',#410697,10.); #123059=VECTOR('',#410700,10.); #123060=VECTOR('',#410701,10.); #123061=VECTOR('',#410702,10.); #123062=VECTOR('',#410705,10.); #123063=VECTOR('',#410706,10.); #123064=VECTOR('',#410707,10.); #123065=VECTOR('',#410710,10.); #123066=VECTOR('',#410711,10.); #123067=VECTOR('',#410712,10.); #123068=VECTOR('',#410715,10.); #123069=VECTOR('',#410716,10.); #123070=VECTOR('',#410717,10.); #123071=VECTOR('',#410720,10.); #123072=VECTOR('',#410721,10.); #123073=VECTOR('',#410722,10.); #123074=VECTOR('',#410725,10.); #123075=VECTOR('',#410726,10.); #123076=VECTOR('',#410727,10.); #123077=VECTOR('',#410730,10.); #123078=VECTOR('',#410731,10.); #123079=VECTOR('',#410732,10.); #123080=VECTOR('',#410735,10.); #123081=VECTOR('',#410736,10.); #123082=VECTOR('',#410737,10.); #123083=VECTOR('',#410740,10.); #123084=VECTOR('',#410741,10.); #123085=VECTOR('',#410742,10.); #123086=VECTOR('',#410745,10.); #123087=VECTOR('',#410746,10.); #123088=VECTOR('',#410747,10.); #123089=VECTOR('',#410750,10.); #123090=VECTOR('',#410751,10.); #123091=VECTOR('',#410752,10.); #123092=VECTOR('',#410755,10.); #123093=VECTOR('',#410756,10.); #123094=VECTOR('',#410757,10.); #123095=VECTOR('',#410760,10.); #123096=VECTOR('',#410761,10.); #123097=VECTOR('',#410762,10.); #123098=VECTOR('',#410765,10.); #123099=VECTOR('',#410766,10.); #123100=VECTOR('',#410767,10.); #123101=VECTOR('',#410770,10.); #123102=VECTOR('',#410771,10.); #123103=VECTOR('',#410772,10.); #123104=VECTOR('',#410775,10.); #123105=VECTOR('',#410776,10.); #123106=VECTOR('',#410777,10.); #123107=VECTOR('',#410780,10.); #123108=VECTOR('',#410781,10.); #123109=VECTOR('',#410782,10.); #123110=VECTOR('',#410785,10.); #123111=VECTOR('',#410786,10.); #123112=VECTOR('',#410787,10.); #123113=VECTOR('',#410790,10.); #123114=VECTOR('',#410791,10.); #123115=VECTOR('',#410792,10.); #123116=VECTOR('',#410795,10.); #123117=VECTOR('',#410796,10.); #123118=VECTOR('',#410805,0.14); #123119=VECTOR('',#410812,0.14); #123120=VECTOR('',#410819,0.14); #123121=VECTOR('',#410826,0.14); #123122=VECTOR('',#410833,0.14); #123123=VECTOR('',#410840,0.14); #123124=VECTOR('',#410847,0.14); #123125=VECTOR('',#410854,0.14); #123126=VECTOR('',#410861,0.14); #123127=VECTOR('',#410868,0.14); #123128=VECTOR('',#410875,0.14); #123129=VECTOR('',#410882,0.14); #123130=VECTOR('',#410889,0.139999999999998); #123131=VECTOR('',#410896,0.139999999999998); #123132=VECTOR('',#410903,0.139999999999998); #123133=VECTOR('',#410910,0.139999999999998); #123134=VECTOR('',#410917,0.139999999999998); #123135=VECTOR('',#410924,0.14); #123136=VECTOR('',#410931,0.139999999999998); #123137=VECTOR('',#410938,0.139999999999998); #123138=VECTOR('',#410945,0.139999999999998); #123139=VECTOR('',#410952,0.139999999999998); #123140=VECTOR('',#410959,0.139999999999998); #123141=VECTOR('',#410966,0.139999999999998); #123142=VECTOR('',#410973,0.139999999999998); #123143=VECTOR('',#410980,0.139999999999998); #123144=VECTOR('',#410987,0.139999999999998); #123145=VECTOR('',#410994,0.139999999999998); #123146=VECTOR('',#411001,0.14); #123147=VECTOR('',#411008,0.14); #123148=VECTOR('',#411013,10.); #123149=VECTOR('',#411014,10.); #123150=VECTOR('',#411015,10.); #123151=VECTOR('',#411016,10.); #123152=VECTOR('',#411019,10.); #123153=VECTOR('',#411020,10.); #123154=VECTOR('',#411021,10.); #123155=VECTOR('',#411024,10.); #123156=VECTOR('',#411025,10.); #123157=VECTOR('',#411026,10.); #123158=VECTOR('',#411029,10.); #123159=VECTOR('',#411030,10.); #123160=VECTOR('',#411031,10.); #123161=VECTOR('',#411034,10.); #123162=VECTOR('',#411035,10.); #123163=VECTOR('',#411036,10.); #123164=VECTOR('',#411039,10.); #123165=VECTOR('',#411040,10.); #123166=VECTOR('',#411041,10.); #123167=VECTOR('',#411044,10.); #123168=VECTOR('',#411045,10.); #123169=VECTOR('',#411046,10.); #123170=VECTOR('',#411049,10.); #123171=VECTOR('',#411050,10.); #123172=VECTOR('',#411051,10.); #123173=VECTOR('',#411054,10.); #123174=VECTOR('',#411055,10.); #123175=VECTOR('',#411056,10.); #123176=VECTOR('',#411059,10.); #123177=VECTOR('',#411060,10.); #123178=VECTOR('',#411061,10.); #123179=VECTOR('',#411064,10.); #123180=VECTOR('',#411065,10.); #123181=VECTOR('',#411066,10.); #123182=VECTOR('',#411069,10.); #123183=VECTOR('',#411070,10.); #123184=VECTOR('',#411071,10.); #123185=VECTOR('',#411074,10.); #123186=VECTOR('',#411075,10.); #123187=VECTOR('',#411076,10.); #123188=VECTOR('',#411079,10.); #123189=VECTOR('',#411080,10.); #123190=VECTOR('',#411081,10.); #123191=VECTOR('',#411084,10.); #123192=VECTOR('',#411085,10.); #123193=VECTOR('',#411086,10.); #123194=VECTOR('',#411089,10.); #123195=VECTOR('',#411090,10.); #123196=VECTOR('',#411091,10.); #123197=VECTOR('',#411094,10.); #123198=VECTOR('',#411095,10.); #123199=VECTOR('',#411096,10.); #123200=VECTOR('',#411099,10.); #123201=VECTOR('',#411100,10.); #123202=VECTOR('',#411101,10.); #123203=VECTOR('',#411104,10.); #123204=VECTOR('',#411105,10.); #123205=VECTOR('',#411106,10.); #123206=VECTOR('',#411109,10.); #123207=VECTOR('',#411110,10.); #123208=VECTOR('',#411111,10.); #123209=VECTOR('',#411114,10.); #123210=VECTOR('',#411115,10.); #123211=VECTOR('',#411116,10.); #123212=VECTOR('',#411119,10.); #123213=VECTOR('',#411120,10.); #123214=VECTOR('',#411121,10.); #123215=VECTOR('',#411124,10.); #123216=VECTOR('',#411125,10.); #123217=VECTOR('',#411126,10.); #123218=VECTOR('',#411129,10.); #123219=VECTOR('',#411130,10.); #123220=VECTOR('',#411131,10.); #123221=VECTOR('',#411134,10.); #123222=VECTOR('',#411135,10.); #123223=VECTOR('',#411136,10.); #123224=VECTOR('',#411139,10.); #123225=VECTOR('',#411140,10.); #123226=VECTOR('',#411141,10.); #123227=VECTOR('',#411144,10.); #123228=VECTOR('',#411145,10.); #123229=VECTOR('',#411146,10.); #123230=VECTOR('',#411149,10.); #123231=VECTOR('',#411150,10.); #123232=VECTOR('',#411151,10.); #123233=VECTOR('',#411154,10.); #123234=VECTOR('',#411155,10.); #123235=VECTOR('',#411156,10.); #123236=VECTOR('',#411159,10.); #123237=VECTOR('',#411160,10.); #123238=VECTOR('',#411161,10.); #123239=VECTOR('',#411164,10.); #123240=VECTOR('',#411165,10.); #123241=VECTOR('',#411166,10.); #123242=VECTOR('',#411169,10.); #123243=VECTOR('',#411170,10.); #123244=VECTOR('',#411171,10.); #123245=VECTOR('',#411174,10.); #123246=VECTOR('',#411175,10.); #123247=VECTOR('',#411176,10.); #123248=VECTOR('',#411179,10.); #123249=VECTOR('',#411180,10.); #123250=VECTOR('',#411181,10.); #123251=VECTOR('',#411184,10.); #123252=VECTOR('',#411185,10.); #123253=VECTOR('',#411186,10.); #123254=VECTOR('',#411189,10.); #123255=VECTOR('',#411190,10.); #123256=VECTOR('',#411191,10.); #123257=VECTOR('',#411194,10.); #123258=VECTOR('',#411195,10.); #123259=VECTOR('',#411196,10.); #123260=VECTOR('',#411199,10.); #123261=VECTOR('',#411200,10.); #123262=VECTOR('',#411201,10.); #123263=VECTOR('',#411204,10.); #123264=VECTOR('',#411205,10.); #123265=VECTOR('',#411206,10.); #123266=VECTOR('',#411209,10.); #123267=VECTOR('',#411210,10.); #123268=VECTOR('',#411211,10.); #123269=VECTOR('',#411214,10.); #123270=VECTOR('',#411215,10.); #123271=VECTOR('',#411216,10.); #123272=VECTOR('',#411219,10.); #123273=VECTOR('',#411220,10.); #123274=VECTOR('',#411221,10.); #123275=VECTOR('',#411224,10.); #123276=VECTOR('',#411225,10.); #123277=VECTOR('',#411226,10.); #123278=VECTOR('',#411229,10.); #123279=VECTOR('',#411230,10.); #123280=VECTOR('',#411231,10.); #123281=VECTOR('',#411234,10.); #123282=VECTOR('',#411235,10.); #123283=VECTOR('',#411244,0.139999999999998); #123284=VECTOR('',#411251,0.139999999999998); #123285=VECTOR('',#411256,10.); #123286=VECTOR('',#411257,10.); #123287=VECTOR('',#411258,10.); #123288=VECTOR('',#411259,10.); #123289=VECTOR('',#411266,10.); #123290=VECTOR('',#411269,10.); #123291=VECTOR('',#411270,10.); #123292=VECTOR('',#411271,10.); #123293=VECTOR('',#411278,10.); #123294=VECTOR('',#411285,10.); #123295=VECTOR('',#411288,10.); #123296=VECTOR('',#411289,10.); #123297=VECTOR('',#411290,10.); #123298=VECTOR('',#411305,0.140000000000002); #123299=VECTOR('',#411312,0.140000000000002); #123300=VECTOR('',#411319,0.140000000000002); #123301=VECTOR('',#411326,0.140000000000002); #123302=VECTOR('',#411333,0.140000000000002); #123303=VECTOR('',#411340,0.140000000000002); #123304=VECTOR('',#411347,0.140000000000002); #123305=VECTOR('',#411354,0.140000000000002); #123306=VECTOR('',#411361,0.140000000000002); #123307=VECTOR('',#411368,0.465); #123308=VECTOR('',#411373,10.); #123309=VECTOR('',#411374,10.); #123310=VECTOR('',#411375,10.); #123311=VECTOR('',#411376,10.); #123312=VECTOR('',#411379,10.); #123313=VECTOR('',#411380,10.); #123314=VECTOR('',#411381,10.); #123315=VECTOR('',#411384,10.); #123316=VECTOR('',#411385,10.); #123317=VECTOR('',#411386,10.); #123318=VECTOR('',#411389,10.); #123319=VECTOR('',#411390,10.); #123320=VECTOR('',#411391,10.); #123321=VECTOR('',#411394,10.); #123322=VECTOR('',#411395,10.); #123323=VECTOR('',#411396,10.); #123324=VECTOR('',#411399,10.); #123325=VECTOR('',#411400,10.); #123326=VECTOR('',#411401,10.); #123327=VECTOR('',#411404,10.); #123328=VECTOR('',#411405,10.); #123329=VECTOR('',#411406,10.); #123330=VECTOR('',#411409,10.); #123331=VECTOR('',#411410,10.); #123332=VECTOR('',#411411,10.); #123333=VECTOR('',#411414,10.); #123334=VECTOR('',#411415,10.); #123335=VECTOR('',#411416,10.); #123336=VECTOR('',#411419,10.); #123337=VECTOR('',#411420,10.); #123338=VECTOR('',#411421,10.); #123339=VECTOR('',#411424,10.); #123340=VECTOR('',#411425,10.); #123341=VECTOR('',#411426,10.); #123342=VECTOR('',#411429,10.); #123343=VECTOR('',#411430,10.); #123344=VECTOR('',#411431,10.); #123345=VECTOR('',#411434,10.); #123346=VECTOR('',#411435,10.); #123347=VECTOR('',#411436,10.); #123348=VECTOR('',#411439,10.); #123349=VECTOR('',#411440,10.); #123350=VECTOR('',#411441,10.); #123351=VECTOR('',#411444,10.); #123352=VECTOR('',#411445,10.); #123353=VECTOR('',#411446,10.); #123354=VECTOR('',#411449,10.); #123355=VECTOR('',#411450,10.); #123356=VECTOR('',#411451,10.); #123357=VECTOR('',#411454,10.); #123358=VECTOR('',#411455,10.); #123359=VECTOR('',#411456,10.); #123360=VECTOR('',#411459,10.); #123361=VECTOR('',#411460,10.); #123362=VECTOR('',#411461,10.); #123363=VECTOR('',#411464,10.); #123364=VECTOR('',#411465,10.); #123365=VECTOR('',#411466,10.); #123366=VECTOR('',#411469,10.); #123367=VECTOR('',#411470,10.); #123368=VECTOR('',#411471,10.); #123369=VECTOR('',#411474,10.); #123370=VECTOR('',#411475,10.); #123371=VECTOR('',#411476,10.); #123372=VECTOR('',#411479,10.); #123373=VECTOR('',#411480,10.); #123374=VECTOR('',#411481,10.); #123375=VECTOR('',#411484,10.); #123376=VECTOR('',#411485,10.); #123377=VECTOR('',#411486,10.); #123378=VECTOR('',#411489,10.); #123379=VECTOR('',#411490,10.); #123380=VECTOR('',#411499,0.139999999999998); #123381=VECTOR('',#411504,10.); #123382=VECTOR('',#411505,10.); #123383=VECTOR('',#411506,10.); #123384=VECTOR('',#411507,10.); #123385=VECTOR('',#411514,10.); #123386=VECTOR('',#411529,0.140000000000002); #123387=VECTOR('',#411536,0.140000000000002); #123388=VECTOR('',#411543,0.140000000000002); #123389=VECTOR('',#411550,0.140000000000002); #123390=VECTOR('',#411557,0.140000000000002); #123391=VECTOR('',#411564,0.140000000000002); #123392=VECTOR('',#411571,0.140000000000002); #123393=VECTOR('',#411578,0.140000000000002); #123394=VECTOR('',#411585,0.140000000000002); #123395=VECTOR('',#411592,0.140000000000002); #123396=VECTOR('',#411599,0.140000000000002); #123397=VECTOR('',#411606,0.140000000000002); #123398=VECTOR('',#411613,0.140000000000002); #123399=VECTOR('',#411620,0.140000000000002); #123400=VECTOR('',#411627,0.140000000000002); #123401=VECTOR('',#411634,0.140000000000002); #123402=VECTOR('',#411641,0.140000000000002); #123403=VECTOR('',#411648,0.140000000000002); #123404=VECTOR('',#411655,0.140000000000002); #123405=VECTOR('',#411662,0.140000000000002); #123406=VECTOR('',#411669,0.140000000000002); #123407=VECTOR('',#411676,0.140000000000002); #123408=VECTOR('',#411683,0.140000000000002); #123409=VECTOR('',#411690,0.140000000000002); #123410=VECTOR('',#411697,0.140000000000002); #123411=VECTOR('',#411704,0.140000000000002); #123412=VECTOR('',#411711,0.140000000000002); #123413=VECTOR('',#411718,0.140000000000002); #123414=VECTOR('',#411725,0.140000000000002); #123415=VECTOR('',#411732,0.140000000000002); #123416=VECTOR('',#411737,10.); #123417=VECTOR('',#411738,10.); #123418=VECTOR('',#411739,10.); #123419=VECTOR('',#411740,10.); #123420=VECTOR('',#411743,10.); #123421=VECTOR('',#411744,10.); #123422=VECTOR('',#411745,10.); #123423=VECTOR('',#411748,10.); #123424=VECTOR('',#411749,10.); #123425=VECTOR('',#411750,10.); #123426=VECTOR('',#411753,10.); #123427=VECTOR('',#411754,10.); #123428=VECTOR('',#411755,10.); #123429=VECTOR('',#411758,10.); #123430=VECTOR('',#411759,10.); #123431=VECTOR('',#411760,10.); #123432=VECTOR('',#411763,10.); #123433=VECTOR('',#411764,10.); #123434=VECTOR('',#411765,10.); #123435=VECTOR('',#411768,10.); #123436=VECTOR('',#411769,10.); #123437=VECTOR('',#411770,10.); #123438=VECTOR('',#411773,10.); #123439=VECTOR('',#411774,10.); #123440=VECTOR('',#411775,10.); #123441=VECTOR('',#411778,10.); #123442=VECTOR('',#411779,10.); #123443=VECTOR('',#411780,10.); #123444=VECTOR('',#411783,10.); #123445=VECTOR('',#411784,10.); #123446=VECTOR('',#411785,10.); #123447=VECTOR('',#411788,10.); #123448=VECTOR('',#411789,10.); #123449=VECTOR('',#411790,10.); #123450=VECTOR('',#411793,10.); #123451=VECTOR('',#411794,10.); #123452=VECTOR('',#411795,10.); #123453=VECTOR('',#411798,10.); #123454=VECTOR('',#411799,10.); #123455=VECTOR('',#411800,10.); #123456=VECTOR('',#411803,10.); #123457=VECTOR('',#411804,10.); #123458=VECTOR('',#411805,10.); #123459=VECTOR('',#411808,10.); #123460=VECTOR('',#411809,10.); #123461=VECTOR('',#411810,10.); #123462=VECTOR('',#411813,10.); #123463=VECTOR('',#411814,10.); #123464=VECTOR('',#411815,10.); #123465=VECTOR('',#411818,10.); #123466=VECTOR('',#411819,10.); #123467=VECTOR('',#411820,10.); #123468=VECTOR('',#411823,10.); #123469=VECTOR('',#411824,10.); #123470=VECTOR('',#411825,10.); #123471=VECTOR('',#411828,10.); #123472=VECTOR('',#411829,10.); #123473=VECTOR('',#411830,10.); #123474=VECTOR('',#411833,10.); #123475=VECTOR('',#411834,10.); #123476=VECTOR('',#411835,10.); #123477=VECTOR('',#411838,10.); #123478=VECTOR('',#411839,10.); #123479=VECTOR('',#411840,10.); #123480=VECTOR('',#411843,10.); #123481=VECTOR('',#411844,10.); #123482=VECTOR('',#411845,10.); #123483=VECTOR('',#411848,10.); #123484=VECTOR('',#411849,10.); #123485=VECTOR('',#411850,10.); #123486=VECTOR('',#411853,10.); #123487=VECTOR('',#411854,10.); #123488=VECTOR('',#411855,10.); #123489=VECTOR('',#411858,10.); #123490=VECTOR('',#411859,10.); #123491=VECTOR('',#411860,10.); #123492=VECTOR('',#411863,10.); #123493=VECTOR('',#411864,10.); #123494=VECTOR('',#411865,10.); #123495=VECTOR('',#411868,10.); #123496=VECTOR('',#411869,10.); #123497=VECTOR('',#411870,10.); #123498=VECTOR('',#411873,10.); #123499=VECTOR('',#411874,10.); #123500=VECTOR('',#411875,10.); #123501=VECTOR('',#411878,10.); #123502=VECTOR('',#411879,10.); #123503=VECTOR('',#411880,10.); #123504=VECTOR('',#411883,10.); #123505=VECTOR('',#411884,10.); #123506=VECTOR('',#411885,10.); #123507=VECTOR('',#411888,10.); #123508=VECTOR('',#411889,10.); #123509=VECTOR('',#411890,10.); #123510=VECTOR('',#411893,10.); #123511=VECTOR('',#411894,10.); #123512=VECTOR('',#411895,10.); #123513=VECTOR('',#411898,10.); #123514=VECTOR('',#411899,10.); #123515=VECTOR('',#411900,10.); #123516=VECTOR('',#411903,10.); #123517=VECTOR('',#411904,10.); #123518=VECTOR('',#411905,10.); #123519=VECTOR('',#411908,10.); #123520=VECTOR('',#411909,10.); #123521=VECTOR('',#411910,10.); #123522=VECTOR('',#411913,10.); #123523=VECTOR('',#411914,10.); #123524=VECTOR('',#411915,10.); #123525=VECTOR('',#411918,10.); #123526=VECTOR('',#411919,10.); #123527=VECTOR('',#411920,10.); #123528=VECTOR('',#411923,10.); #123529=VECTOR('',#411924,10.); #123530=VECTOR('',#411925,10.); #123531=VECTOR('',#411928,10.); #123532=VECTOR('',#411929,10.); #123533=VECTOR('',#411930,10.); #123534=VECTOR('',#411933,10.); #123535=VECTOR('',#411934,10.); #123536=VECTOR('',#411935,10.); #123537=VECTOR('',#411938,10.); #123538=VECTOR('',#411939,10.); #123539=VECTOR('',#411940,10.); #123540=VECTOR('',#411943,10.); #123541=VECTOR('',#411944,10.); #123542=VECTOR('',#411945,10.); #123543=VECTOR('',#411948,10.); #123544=VECTOR('',#411949,10.); #123545=VECTOR('',#411950,10.); #123546=VECTOR('',#411953,10.); #123547=VECTOR('',#411954,10.); #123548=VECTOR('',#411955,10.); #123549=VECTOR('',#411958,10.); #123550=VECTOR('',#411959,10.); #123551=VECTOR('',#411968,0.140000000000002); #123552=VECTOR('',#411975,0.140000000000002); #123553=VECTOR('',#411980,10.); #123554=VECTOR('',#411981,10.); #123555=VECTOR('',#411982,10.); #123556=VECTOR('',#411983,10.); #123557=VECTOR('',#411990,10.); #123558=VECTOR('',#411993,10.); #123559=VECTOR('',#411994,10.); #123560=VECTOR('',#411995,10.); #123561=VECTOR('',#412002,10.); #123562=VECTOR('',#412009,10.); #123563=VECTOR('',#412012,10.); #123564=VECTOR('',#412013,10.); #123565=VECTOR('',#412014,10.); #123566=VECTOR('',#412029,0.139999999999998); #123567=VECTOR('',#412034,10.); #123568=VECTOR('',#412035,10.); #123569=VECTOR('',#412036,10.); #123570=VECTOR('',#412037,10.); #123571=VECTOR('',#412044,10.); #123572=VECTOR('',#412059,0.139999999999998); #123573=VECTOR('',#412066,0.139999999999998); #123574=VECTOR('',#412073,0.139999999999998); #123575=VECTOR('',#412080,0.114999999999998); #123576=VECTOR('',#412087,0.114999999999998); #123577=VECTOR('',#412094,0.114999999999998); #123578=VECTOR('',#412101,0.114999999999998); #123579=VECTOR('',#412108,0.139999999999998); #123580=VECTOR('',#412115,0.139999999999998); #123581=VECTOR('',#412122,0.139999999999998); #123582=VECTOR('',#412129,0.139999999999998); #123583=VECTOR('',#412136,0.139999999999998); #123584=VECTOR('',#412143,0.139999999999998); #123585=VECTOR('',#412150,0.139999999999998); #123586=VECTOR('',#412157,0.139999999999998); #123587=VECTOR('',#412162,10.); #123588=VECTOR('',#412163,10.); #123589=VECTOR('',#412164,10.); #123590=VECTOR('',#412165,10.); #123591=VECTOR('',#412168,10.); #123592=VECTOR('',#412169,10.); #123593=VECTOR('',#412170,10.); #123594=VECTOR('',#412173,10.); #123595=VECTOR('',#412174,10.); #123596=VECTOR('',#412175,10.); #123597=VECTOR('',#412178,10.); #123598=VECTOR('',#412179,10.); #123599=VECTOR('',#412180,10.); #123600=VECTOR('',#412183,10.); #123601=VECTOR('',#412184,10.); #123602=VECTOR('',#412185,10.); #123603=VECTOR('',#412188,10.); #123604=VECTOR('',#412189,10.); #123605=VECTOR('',#412190,10.); #123606=VECTOR('',#412193,10.); #123607=VECTOR('',#412194,10.); #123608=VECTOR('',#412195,10.); #123609=VECTOR('',#412198,10.); #123610=VECTOR('',#412199,10.); #123611=VECTOR('',#412200,10.); #123612=VECTOR('',#412203,10.); #123613=VECTOR('',#412204,10.); #123614=VECTOR('',#412205,10.); #123615=VECTOR('',#412208,10.); #123616=VECTOR('',#412209,10.); #123617=VECTOR('',#412210,10.); #123618=VECTOR('',#412213,10.); #123619=VECTOR('',#412214,10.); #123620=VECTOR('',#412215,10.); #123621=VECTOR('',#412218,10.); #123622=VECTOR('',#412219,10.); #123623=VECTOR('',#412220,10.); #123624=VECTOR('',#412223,10.); #123625=VECTOR('',#412224,10.); #123626=VECTOR('',#412225,10.); #123627=VECTOR('',#412228,10.); #123628=VECTOR('',#412229,10.); #123629=VECTOR('',#412230,10.); #123630=VECTOR('',#412233,10.); #123631=VECTOR('',#412234,10.); #123632=VECTOR('',#412235,10.); #123633=VECTOR('',#412238,10.); #123634=VECTOR('',#412239,10.); #123635=VECTOR('',#412240,10.); #123636=VECTOR('',#412243,10.); #123637=VECTOR('',#412244,10.); #123638=VECTOR('',#412245,10.); #123639=VECTOR('',#412248,10.); #123640=VECTOR('',#412249,10.); #123641=VECTOR('',#412250,10.); #123642=VECTOR('',#412253,10.); #123643=VECTOR('',#412254,10.); #123644=VECTOR('',#412255,10.); #123645=VECTOR('',#412258,10.); #123646=VECTOR('',#412259,10.); #123647=VECTOR('',#412260,10.); #123648=VECTOR('',#412263,10.); #123649=VECTOR('',#412264,10.); #123650=VECTOR('',#412265,10.); #123651=VECTOR('',#412268,10.); #123652=VECTOR('',#412269,10.); #123653=VECTOR('',#412270,10.); #123654=VECTOR('',#412273,10.); #123655=VECTOR('',#412274,10.); #123656=VECTOR('',#412275,10.); #123657=VECTOR('',#412278,10.); #123658=VECTOR('',#412279,10.); #123659=VECTOR('',#412280,10.); #123660=VECTOR('',#412283,10.); #123661=VECTOR('',#412284,10.); #123662=VECTOR('',#412285,10.); #123663=VECTOR('',#412288,10.); #123664=VECTOR('',#412289,10.); #123665=VECTOR('',#412290,10.); #123666=VECTOR('',#412293,10.); #123667=VECTOR('',#412294,10.); #123668=VECTOR('',#412295,10.); #123669=VECTOR('',#412298,10.); #123670=VECTOR('',#412299,10.); #123671=VECTOR('',#412300,10.); #123672=VECTOR('',#412303,10.); #123673=VECTOR('',#412304,10.); #123674=VECTOR('',#412305,10.); #123675=VECTOR('',#412308,10.); #123676=VECTOR('',#412309,10.); #123677=VECTOR('',#412310,10.); #123678=VECTOR('',#412313,10.); #123679=VECTOR('',#412314,10.); #123680=VECTOR('',#412315,10.); #123681=VECTOR('',#412318,10.); #123682=VECTOR('',#412319,10.); #123683=VECTOR('',#412320,10.); #123684=VECTOR('',#412323,10.); #123685=VECTOR('',#412324,10.); #123686=VECTOR('',#412325,10.); #123687=VECTOR('',#412328,10.); #123688=VECTOR('',#412329,10.); #123689=VECTOR('',#412330,10.); #123690=VECTOR('',#412333,10.); #123691=VECTOR('',#412334,10.); #123692=VECTOR('',#412335,10.); #123693=VECTOR('',#412338,10.); #123694=VECTOR('',#412339,10.); #123695=VECTOR('',#412340,10.); #123696=VECTOR('',#412343,10.); #123697=VECTOR('',#412344,10.); #123698=VECTOR('',#412345,10.); #123699=VECTOR('',#412348,10.); #123700=VECTOR('',#412349,10.); #123701=VECTOR('',#412350,10.); #123702=VECTOR('',#412353,10.); #123703=VECTOR('',#412354,10.); #123704=VECTOR('',#412355,10.); #123705=VECTOR('',#412358,10.); #123706=VECTOR('',#412359,10.); #123707=VECTOR('',#412360,10.); #123708=VECTOR('',#412363,10.); #123709=VECTOR('',#412364,10.); #123710=VECTOR('',#412365,10.); #123711=VECTOR('',#412368,10.); #123712=VECTOR('',#412369,10.); #123713=VECTOR('',#412370,10.); #123714=VECTOR('',#412373,10.); #123715=VECTOR('',#412374,10.); #123716=VECTOR('',#412375,10.); #123717=VECTOR('',#412378,10.); #123718=VECTOR('',#412379,10.); #123719=VECTOR('',#412380,10.); #123720=VECTOR('',#412383,10.); #123721=VECTOR('',#412384,10.); #123722=VECTOR('',#412385,10.); #123723=VECTOR('',#412388,10.); #123724=VECTOR('',#412389,10.); #123725=VECTOR('',#412390,10.); #123726=VECTOR('',#412393,10.); #123727=VECTOR('',#412394,10.); #123728=VECTOR('',#412395,10.); #123729=VECTOR('',#412398,10.); #123730=VECTOR('',#412399,10.); #123731=VECTOR('',#412400,10.); #123732=VECTOR('',#412403,10.); #123733=VECTOR('',#412404,10.); #123734=VECTOR('',#412405,10.); #123735=VECTOR('',#412408,10.); #123736=VECTOR('',#412409,10.); #123737=VECTOR('',#412410,10.); #123738=VECTOR('',#412413,10.); #123739=VECTOR('',#412414,10.); #123740=VECTOR('',#412415,10.); #123741=VECTOR('',#412418,10.); #123742=VECTOR('',#412419,10.); #123743=VECTOR('',#412420,10.); #123744=VECTOR('',#412423,10.); #123745=VECTOR('',#412424,10.); #123746=VECTOR('',#412425,10.); #123747=VECTOR('',#412428,10.); #123748=VECTOR('',#412429,10.); #123749=VECTOR('',#412430,10.); #123750=VECTOR('',#412433,10.); #123751=VECTOR('',#412434,10.); #123752=VECTOR('',#412435,10.); #123753=VECTOR('',#412438,10.); #123754=VECTOR('',#412439,10.); #123755=VECTOR('',#412440,10.); #123756=VECTOR('',#412443,10.); #123757=VECTOR('',#412444,10.); #123758=VECTOR('',#412445,10.); #123759=VECTOR('',#412448,10.); #123760=VECTOR('',#412449,10.); #123761=VECTOR('',#412450,10.); #123762=VECTOR('',#412453,10.); #123763=VECTOR('',#412454,10.); #123764=VECTOR('',#412455,10.); #123765=VECTOR('',#412458,10.); #123766=VECTOR('',#412459,10.); #123767=VECTOR('',#412460,10.); #123768=VECTOR('',#412463,10.); #123769=VECTOR('',#412464,10.); #123770=VECTOR('',#412465,10.); #123771=VECTOR('',#412468,10.); #123772=VECTOR('',#412469,10.); #123773=VECTOR('',#412470,10.); #123774=VECTOR('',#412473,10.); #123775=VECTOR('',#412474,10.); #123776=VECTOR('',#412475,10.); #123777=VECTOR('',#412478,10.); #123778=VECTOR('',#412479,10.); #123779=VECTOR('',#412480,10.); #123780=VECTOR('',#412483,10.); #123781=VECTOR('',#412484,10.); #123782=VECTOR('',#412485,10.); #123783=VECTOR('',#412488,10.); #123784=VECTOR('',#412489,10.); #123785=VECTOR('',#412490,10.); #123786=VECTOR('',#412493,10.); #123787=VECTOR('',#412494,10.); #123788=VECTOR('',#412495,10.); #123789=VECTOR('',#412498,10.); #123790=VECTOR('',#412499,10.); #123791=VECTOR('',#412500,10.); #123792=VECTOR('',#412503,10.); #123793=VECTOR('',#412504,10.); #123794=VECTOR('',#412505,10.); #123795=VECTOR('',#412508,10.); #123796=VECTOR('',#412509,10.); #123797=VECTOR('',#412510,10.); #123798=VECTOR('',#412513,10.); #123799=VECTOR('',#412514,10.); #123800=VECTOR('',#412515,10.); #123801=VECTOR('',#412518,10.); #123802=VECTOR('',#412519,10.); #123803=VECTOR('',#412522,10.); #123804=VECTOR('',#412523,10.); #123805=VECTOR('',#412524,10.); #123806=VECTOR('',#412525,10.); #123807=VECTOR('',#412528,10.); #123808=VECTOR('',#412529,10.); #123809=VECTOR('',#412530,10.); #123810=VECTOR('',#412533,10.); #123811=VECTOR('',#412534,10.); #123812=VECTOR('',#412535,10.); #123813=VECTOR('',#412538,10.); #123814=VECTOR('',#412539,10.); #123815=VECTOR('',#412540,10.); #123816=VECTOR('',#412543,10.); #123817=VECTOR('',#412544,10.); #123818=VECTOR('',#412545,10.); #123819=VECTOR('',#412548,10.); #123820=VECTOR('',#412549,10.); #123821=VECTOR('',#412550,10.); #123822=VECTOR('',#412553,10.); #123823=VECTOR('',#412554,10.); #123824=VECTOR('',#412555,10.); #123825=VECTOR('',#412558,10.); #123826=VECTOR('',#412559,10.); #123827=VECTOR('',#412560,10.); #123828=VECTOR('',#412563,10.); #123829=VECTOR('',#412564,10.); #123830=VECTOR('',#412565,10.); #123831=VECTOR('',#412568,10.); #123832=VECTOR('',#412569,10.); #123833=VECTOR('',#412570,10.); #123834=VECTOR('',#412573,10.); #123835=VECTOR('',#412574,10.); #123836=VECTOR('',#412575,10.); #123837=VECTOR('',#412578,10.); #123838=VECTOR('',#412579,10.); #123839=VECTOR('',#412580,10.); #123840=VECTOR('',#412583,10.); #123841=VECTOR('',#412584,10.); #123842=VECTOR('',#412585,10.); #123843=VECTOR('',#412588,10.); #123844=VECTOR('',#412589,10.); #123845=VECTOR('',#412590,10.); #123846=VECTOR('',#412593,10.); #123847=VECTOR('',#412594,10.); #123848=VECTOR('',#412595,10.); #123849=VECTOR('',#412598,10.); #123850=VECTOR('',#412599,10.); #123851=VECTOR('',#412600,10.); #123852=VECTOR('',#412603,10.); #123853=VECTOR('',#412604,10.); #123854=VECTOR('',#412605,10.); #123855=VECTOR('',#412608,10.); #123856=VECTOR('',#412609,10.); #123857=VECTOR('',#412610,10.); #123858=VECTOR('',#412613,10.); #123859=VECTOR('',#412614,10.); #123860=VECTOR('',#412615,10.); #123861=VECTOR('',#412618,10.); #123862=VECTOR('',#412619,10.); #123863=VECTOR('',#412620,10.); #123864=VECTOR('',#412623,10.); #123865=VECTOR('',#412624,10.); #123866=VECTOR('',#412625,10.); #123867=VECTOR('',#412628,10.); #123868=VECTOR('',#412629,10.); #123869=VECTOR('',#412630,10.); #123870=VECTOR('',#412633,10.); #123871=VECTOR('',#412634,10.); #123872=VECTOR('',#412635,10.); #123873=VECTOR('',#412638,10.); #123874=VECTOR('',#412639,10.); #123875=VECTOR('',#412640,10.); #123876=VECTOR('',#412643,10.); #123877=VECTOR('',#412644,10.); #123878=VECTOR('',#412645,10.); #123879=VECTOR('',#412648,10.); #123880=VECTOR('',#412649,10.); #123881=VECTOR('',#412650,10.); #123882=VECTOR('',#412653,10.); #123883=VECTOR('',#412654,10.); #123884=VECTOR('',#412655,10.); #123885=VECTOR('',#412658,10.); #123886=VECTOR('',#412659,10.); #123887=VECTOR('',#412660,10.); #123888=VECTOR('',#412663,10.); #123889=VECTOR('',#412664,10.); #123890=VECTOR('',#412665,10.); #123891=VECTOR('',#412668,10.); #123892=VECTOR('',#412669,10.); #123893=VECTOR('',#412670,10.); #123894=VECTOR('',#412673,10.); #123895=VECTOR('',#412674,10.); #123896=VECTOR('',#412675,10.); #123897=VECTOR('',#412678,10.); #123898=VECTOR('',#412679,10.); #123899=VECTOR('',#412680,10.); #123900=VECTOR('',#412683,10.); #123901=VECTOR('',#412684,10.); #123902=VECTOR('',#412685,10.); #123903=VECTOR('',#412688,10.); #123904=VECTOR('',#412689,10.); #123905=VECTOR('',#412690,10.); #123906=VECTOR('',#412693,10.); #123907=VECTOR('',#412694,10.); #123908=VECTOR('',#412695,10.); #123909=VECTOR('',#412698,10.); #123910=VECTOR('',#412699,10.); #123911=VECTOR('',#412700,10.); #123912=VECTOR('',#412703,10.); #123913=VECTOR('',#412704,10.); #123914=VECTOR('',#412705,10.); #123915=VECTOR('',#412708,10.); #123916=VECTOR('',#412709,10.); #123917=VECTOR('',#412710,10.); #123918=VECTOR('',#412713,10.); #123919=VECTOR('',#412714,10.); #123920=VECTOR('',#412715,10.); #123921=VECTOR('',#412718,10.); #123922=VECTOR('',#412719,10.); #123923=VECTOR('',#412720,10.); #123924=VECTOR('',#412723,10.); #123925=VECTOR('',#412724,10.); #123926=VECTOR('',#412725,10.); #123927=VECTOR('',#412728,10.); #123928=VECTOR('',#412729,10.); #123929=VECTOR('',#412730,10.); #123930=VECTOR('',#412733,10.); #123931=VECTOR('',#412734,10.); #123932=VECTOR('',#412735,10.); #123933=VECTOR('',#412738,10.); #123934=VECTOR('',#412739,10.); #123935=VECTOR('',#412740,10.); #123936=VECTOR('',#412743,10.); #123937=VECTOR('',#412744,10.); #123938=VECTOR('',#412745,10.); #123939=VECTOR('',#412748,10.); #123940=VECTOR('',#412749,10.); #123941=VECTOR('',#412750,10.); #123942=VECTOR('',#412753,10.); #123943=VECTOR('',#412754,10.); #123944=VECTOR('',#412755,10.); #123945=VECTOR('',#412758,10.); #123946=VECTOR('',#412759,10.); #123947=VECTOR('',#412760,10.); #123948=VECTOR('',#412763,10.); #123949=VECTOR('',#412764,10.); #123950=VECTOR('',#412765,10.); #123951=VECTOR('',#412768,10.); #123952=VECTOR('',#412769,10.); #123953=VECTOR('',#412770,10.); #123954=VECTOR('',#412773,10.); #123955=VECTOR('',#412774,10.); #123956=VECTOR('',#412775,10.); #123957=VECTOR('',#412778,10.); #123958=VECTOR('',#412779,10.); #123959=VECTOR('',#412780,10.); #123960=VECTOR('',#412783,10.); #123961=VECTOR('',#412784,10.); #123962=VECTOR('',#412785,10.); #123963=VECTOR('',#412788,10.); #123964=VECTOR('',#412789,10.); #123965=VECTOR('',#412790,10.); #123966=VECTOR('',#412793,10.); #123967=VECTOR('',#412794,10.); #123968=VECTOR('',#412795,10.); #123969=VECTOR('',#412798,10.); #123970=VECTOR('',#412799,10.); #123971=VECTOR('',#412800,10.); #123972=VECTOR('',#412803,10.); #123973=VECTOR('',#412804,10.); #123974=VECTOR('',#412805,10.); #123975=VECTOR('',#412808,10.); #123976=VECTOR('',#412809,10.); #123977=VECTOR('',#412810,10.); #123978=VECTOR('',#412813,10.); #123979=VECTOR('',#412814,10.); #123980=VECTOR('',#412815,10.); #123981=VECTOR('',#412818,10.); #123982=VECTOR('',#412819,10.); #123983=VECTOR('',#412820,10.); #123984=VECTOR('',#412823,10.); #123985=VECTOR('',#412824,10.); #123986=VECTOR('',#412825,10.); #123987=VECTOR('',#412828,10.); #123988=VECTOR('',#412829,10.); #123989=VECTOR('',#412830,10.); #123990=VECTOR('',#412833,10.); #123991=VECTOR('',#412834,10.); #123992=VECTOR('',#412835,10.); #123993=VECTOR('',#412838,10.); #123994=VECTOR('',#412839,10.); #123995=VECTOR('',#412840,10.); #123996=VECTOR('',#412843,10.); #123997=VECTOR('',#412844,10.); #123998=VECTOR('',#412845,10.); #123999=VECTOR('',#412848,10.); #124000=VECTOR('',#412849,10.); #124001=VECTOR('',#412850,10.); #124002=VECTOR('',#412853,10.); #124003=VECTOR('',#412854,10.); #124004=VECTOR('',#412855,10.); #124005=VECTOR('',#412858,10.); #124006=VECTOR('',#412859,10.); #124007=VECTOR('',#412860,10.); #124008=VECTOR('',#412863,10.); #124009=VECTOR('',#412864,10.); #124010=VECTOR('',#412865,10.); #124011=VECTOR('',#412868,10.); #124012=VECTOR('',#412869,10.); #124013=VECTOR('',#412870,10.); #124014=VECTOR('',#412873,10.); #124015=VECTOR('',#412874,10.); #124016=VECTOR('',#412875,10.); #124017=VECTOR('',#412878,10.); #124018=VECTOR('',#412879,10.); #124019=VECTOR('',#412880,10.); #124020=VECTOR('',#412883,10.); #124021=VECTOR('',#412884,10.); #124022=VECTOR('',#412885,10.); #124023=VECTOR('',#412888,10.); #124024=VECTOR('',#412889,10.); #124025=VECTOR('',#412890,10.); #124026=VECTOR('',#412893,10.); #124027=VECTOR('',#412894,10.); #124028=VECTOR('',#412895,10.); #124029=VECTOR('',#412898,10.); #124030=VECTOR('',#412899,10.); #124031=VECTOR('',#412900,10.); #124032=VECTOR('',#412903,10.); #124033=VECTOR('',#412904,10.); #124034=VECTOR('',#412905,10.); #124035=VECTOR('',#412908,10.); #124036=VECTOR('',#412909,10.); #124037=VECTOR('',#412910,10.); #124038=VECTOR('',#412913,10.); #124039=VECTOR('',#412914,10.); #124040=VECTOR('',#412915,10.); #124041=VECTOR('',#412918,10.); #124042=VECTOR('',#412919,10.); #124043=VECTOR('',#412920,10.); #124044=VECTOR('',#412923,10.); #124045=VECTOR('',#412924,10.); #124046=VECTOR('',#412925,10.); #124047=VECTOR('',#412928,10.); #124048=VECTOR('',#412929,10.); #124049=VECTOR('',#412930,10.); #124050=VECTOR('',#412933,10.); #124051=VECTOR('',#412934,10.); #124052=VECTOR('',#412935,10.); #124053=VECTOR('',#412938,10.); #124054=VECTOR('',#412939,10.); #124055=VECTOR('',#412940,10.); #124056=VECTOR('',#412943,10.); #124057=VECTOR('',#412944,10.); #124058=VECTOR('',#412945,10.); #124059=VECTOR('',#412948,10.); #124060=VECTOR('',#412949,10.); #124061=VECTOR('',#412950,10.); #124062=VECTOR('',#412953,10.); #124063=VECTOR('',#412954,10.); #124064=VECTOR('',#412955,10.); #124065=VECTOR('',#412958,10.); #124066=VECTOR('',#412959,10.); #124067=VECTOR('',#412960,10.); #124068=VECTOR('',#412963,10.); #124069=VECTOR('',#412964,10.); #124070=VECTOR('',#412965,10.); #124071=VECTOR('',#412968,10.); #124072=VECTOR('',#412969,10.); #124073=VECTOR('',#412970,10.); #124074=VECTOR('',#412973,10.); #124075=VECTOR('',#412974,10.); #124076=VECTOR('',#412975,10.); #124077=VECTOR('',#412978,10.); #124078=VECTOR('',#412979,10.); #124079=VECTOR('',#412980,10.); #124080=VECTOR('',#412983,10.); #124081=VECTOR('',#412984,10.); #124082=VECTOR('',#412985,10.); #124083=VECTOR('',#412988,10.); #124084=VECTOR('',#412989,10.); #124085=VECTOR('',#412990,10.); #124086=VECTOR('',#412993,10.); #124087=VECTOR('',#412994,10.); #124088=VECTOR('',#413003,0.564999999999998); #124089=VECTOR('',#413008,10.); #124090=VECTOR('',#413009,10.); #124091=VECTOR('',#413010,10.); #124092=VECTOR('',#413011,10.); #124093=VECTOR('',#413018,10.); #124094=VECTOR('',#413033,0.565000000000002); #124095=VECTOR('',#413038,10.); #124096=VECTOR('',#413039,10.); #124097=VECTOR('',#413040,10.); #124098=VECTOR('',#413041,10.); #124099=VECTOR('',#413048,10.); #124100=VECTOR('',#413063,0.465); #124101=VECTOR('',#413068,10.); #124102=VECTOR('',#413069,10.); #124103=VECTOR('',#413070,10.); #124104=VECTOR('',#413071,10.); #124105=VECTOR('',#413078,10.); #124106=VECTOR('',#413093,0.514999999999999); #124107=VECTOR('',#413098,10.); #124108=VECTOR('',#413099,10.); #124109=VECTOR('',#413100,10.); #124110=VECTOR('',#413101,10.); #124111=VECTOR('',#413108,10.); #124112=VECTOR('',#413123,0.514999999999999); #124113=VECTOR('',#413128,10.); #124114=VECTOR('',#413129,10.); #124115=VECTOR('',#413130,10.); #124116=VECTOR('',#413131,10.); #124117=VECTOR('',#413134,10.); #124118=VECTOR('',#413135,10.); #124119=VECTOR('',#413136,10.); #124120=VECTOR('',#413139,10.); #124121=VECTOR('',#413140,10.); #124122=VECTOR('',#413141,10.); #124123=VECTOR('',#413144,10.); #124124=VECTOR('',#413145,10.); #124125=VECTOR('',#413154,0.465); #124126=VECTOR('',#413159,10.); #124127=VECTOR('',#413160,10.); #124128=VECTOR('',#413161,10.); #124129=VECTOR('',#413162,10.); #124130=VECTOR('',#413169,10.); #124131=VECTOR('',#413184,0.465); #124132=VECTOR('',#413189,10.); #124133=VECTOR('',#413190,10.); #124134=VECTOR('',#413191,10.); #124135=VECTOR('',#413192,10.); #124136=VECTOR('',#413199,10.); #124137=VECTOR('',#413214,0.564999999999998); #124138=VECTOR('',#413219,10.); #124139=VECTOR('',#413220,10.); #124140=VECTOR('',#413221,10.); #124141=VECTOR('',#413222,10.); #124142=VECTOR('',#413229,10.); #124143=VECTOR('',#413244,0.465); #124144=VECTOR('',#413249,10.); #124145=VECTOR('',#413250,10.); #124146=VECTOR('',#413251,10.); #124147=VECTOR('',#413252,10.); #124148=VECTOR('',#413259,10.); #124149=VECTOR('',#413272,10.); #124150=VECTOR('',#413273,10.); #124151=VECTOR('',#413274,10.); #124152=VECTOR('',#413275,10.); #124153=VECTOR('',#413282,10.); #124154=VECTOR('',#413285,10.); #124155=VECTOR('',#413286,10.); #124156=VECTOR('',#413287,10.); #124157=VECTOR('',#413296,10.); #124158=VECTOR('',#413297,10.); #124159=VECTOR('',#413298,10.); #124160=VECTOR('',#413299,10.); #124161=VECTOR('',#413302,10.); #124162=VECTOR('',#413303,10.); #124163=VECTOR('',#413304,10.); #124164=VECTOR('',#413307,10.); #124165=VECTOR('',#413308,10.); #124166=VECTOR('',#413309,10.); #124167=VECTOR('',#413312,10.); #124168=VECTOR('',#413313,10.); #124169=VECTOR('',#413314,10.); #124170=VECTOR('',#413317,10.); #124171=VECTOR('',#413318,10.); #124172=VECTOR('',#413319,10.); #124173=VECTOR('',#413322,10.); #124174=VECTOR('',#413323,10.); #124175=VECTOR('',#413324,10.); #124176=VECTOR('',#413327,10.); #124177=VECTOR('',#413328,10.); #124178=VECTOR('',#413329,10.); #124179=VECTOR('',#413332,10.); #124180=VECTOR('',#413333,10.); #124181=VECTOR('',#413334,10.); #124182=VECTOR('',#413337,10.); #124183=VECTOR('',#413338,10.); #124184=VECTOR('',#413339,10.); #124185=VECTOR('',#413342,10.); #124186=VECTOR('',#413343,10.); #124187=VECTOR('',#413344,10.); #124188=VECTOR('',#413347,10.); #124189=VECTOR('',#413348,10.); #124190=VECTOR('',#413349,10.); #124191=VECTOR('',#413352,10.); #124192=VECTOR('',#413353,10.); #124193=VECTOR('',#413354,10.); #124194=VECTOR('',#413357,10.); #124195=VECTOR('',#413358,10.); #124196=VECTOR('',#413359,10.); #124197=VECTOR('',#413362,10.); #124198=VECTOR('',#413363,10.); #124199=VECTOR('',#413364,10.); #124200=VECTOR('',#413367,10.); #124201=VECTOR('',#413368,10.); #124202=VECTOR('',#413369,10.); #124203=VECTOR('',#413372,10.); #124204=VECTOR('',#413373,10.); #124205=VECTOR('',#413374,10.); #124206=VECTOR('',#413377,10.); #124207=VECTOR('',#413378,10.); #124208=VECTOR('',#413379,10.); #124209=VECTOR('',#413382,10.); #124210=VECTOR('',#413383,10.); #124211=VECTOR('',#413384,10.); #124212=VECTOR('',#413387,10.); #124213=VECTOR('',#413388,10.); #124214=VECTOR('',#413389,10.); #124215=VECTOR('',#413392,10.); #124216=VECTOR('',#413393,10.); #124217=VECTOR('',#413394,10.); #124218=VECTOR('',#413397,10.); #124219=VECTOR('',#413398,10.); #124220=VECTOR('',#413399,10.); #124221=VECTOR('',#413402,10.); #124222=VECTOR('',#413403,10.); #124223=VECTOR('',#413404,10.); #124224=VECTOR('',#413407,10.); #124225=VECTOR('',#413408,10.); #124226=VECTOR('',#413409,10.); #124227=VECTOR('',#413412,10.); #124228=VECTOR('',#413413,10.); #124229=VECTOR('',#413414,10.); #124230=VECTOR('',#413417,10.); #124231=VECTOR('',#413418,10.); #124232=VECTOR('',#413419,10.); #124233=VECTOR('',#413422,10.); #124234=VECTOR('',#413423,10.); #124235=VECTOR('',#413424,10.); #124236=VECTOR('',#413427,10.); #124237=VECTOR('',#413428,10.); #124238=VECTOR('',#413429,10.); #124239=VECTOR('',#413432,10.); #124240=VECTOR('',#413433,10.); #124241=VECTOR('',#413434,10.); #124242=VECTOR('',#413437,10.); #124243=VECTOR('',#413438,10.); #124244=VECTOR('',#413439,10.); #124245=VECTOR('',#413442,10.); #124246=VECTOR('',#413443,10.); #124247=VECTOR('',#413444,10.); #124248=VECTOR('',#413447,10.); #124249=VECTOR('',#413448,10.); #124250=VECTOR('',#413449,10.); #124251=VECTOR('',#413452,10.); #124252=VECTOR('',#413453,10.); #124253=VECTOR('',#413454,10.); #124254=VECTOR('',#413457,10.); #124255=VECTOR('',#413458,10.); #124256=VECTOR('',#413459,10.); #124257=VECTOR('',#413462,10.); #124258=VECTOR('',#413463,10.); #124259=VECTOR('',#413464,10.); #124260=VECTOR('',#413467,10.); #124261=VECTOR('',#413468,10.); #124262=VECTOR('',#413469,10.); #124263=VECTOR('',#413472,10.); #124264=VECTOR('',#413473,10.); #124265=VECTOR('',#413474,10.); #124266=VECTOR('',#413477,10.); #124267=VECTOR('',#413478,10.); #124268=VECTOR('',#413479,10.); #124269=VECTOR('',#413482,10.); #124270=VECTOR('',#413483,10.); #124271=VECTOR('',#413484,10.); #124272=VECTOR('',#413487,10.); #124273=VECTOR('',#413488,10.); #124274=VECTOR('',#413489,10.); #124275=VECTOR('',#413492,10.); #124276=VECTOR('',#413493,10.); #124277=VECTOR('',#413494,10.); #124278=VECTOR('',#413497,10.); #124279=VECTOR('',#413498,10.); #124280=VECTOR('',#413499,10.); #124281=VECTOR('',#413502,10.); #124282=VECTOR('',#413503,10.); #124283=VECTOR('',#413504,10.); #124284=VECTOR('',#413507,10.); #124285=VECTOR('',#413508,10.); #124286=VECTOR('',#413509,10.); #124287=VECTOR('',#413512,10.); #124288=VECTOR('',#413513,10.); #124289=VECTOR('',#413514,10.); #124290=VECTOR('',#413517,10.); #124291=VECTOR('',#413518,10.); #124292=VECTOR('',#413519,10.); #124293=VECTOR('',#413522,10.); #124294=VECTOR('',#413523,10.); #124295=VECTOR('',#413524,10.); #124296=VECTOR('',#413527,10.); #124297=VECTOR('',#413528,10.); #124298=VECTOR('',#413529,10.); #124299=VECTOR('',#413532,10.); #124300=VECTOR('',#413533,10.); #124301=VECTOR('',#413534,10.); #124302=VECTOR('',#413537,10.); #124303=VECTOR('',#413538,10.); #124304=VECTOR('',#413539,10.); #124305=VECTOR('',#413542,10.); #124306=VECTOR('',#413543,10.); #124307=VECTOR('',#413544,10.); #124308=VECTOR('',#413547,10.); #124309=VECTOR('',#413548,10.); #124310=VECTOR('',#413549,10.); #124311=VECTOR('',#413552,10.); #124312=VECTOR('',#413553,10.); #124313=VECTOR('',#413554,10.); #124314=VECTOR('',#413557,10.); #124315=VECTOR('',#413558,10.); #124316=VECTOR('',#413559,10.); #124317=VECTOR('',#413562,10.); #124318=VECTOR('',#413563,10.); #124319=VECTOR('',#413564,10.); #124320=VECTOR('',#413567,10.); #124321=VECTOR('',#413568,10.); #124322=VECTOR('',#413569,10.); #124323=VECTOR('',#413572,10.); #124324=VECTOR('',#413573,10.); #124325=VECTOR('',#413580,10.); #124326=VECTOR('',#413581,10.); #124327=VECTOR('',#413582,10.); #124328=VECTOR('',#413583,10.); #124329=VECTOR('',#413590,10.); #124330=VECTOR('',#413593,10.); #124331=VECTOR('',#413594,10.); #124332=VECTOR('',#413595,10.); #124333=VECTOR('',#413604,10.); #124334=VECTOR('',#413605,10.); #124335=VECTOR('',#413606,10.); #124336=VECTOR('',#413607,10.); #124337=VECTOR('',#413610,10.); #124338=VECTOR('',#413611,10.); #124339=VECTOR('',#413612,10.); #124340=VECTOR('',#413615,10.); #124341=VECTOR('',#413616,10.); #124342=VECTOR('',#413617,10.); #124343=VECTOR('',#413620,10.); #124344=VECTOR('',#413621,10.); #124345=VECTOR('',#413622,10.); #124346=VECTOR('',#413625,10.); #124347=VECTOR('',#413626,10.); #124348=VECTOR('',#413627,10.); #124349=VECTOR('',#413630,10.); #124350=VECTOR('',#413631,10.); #124351=VECTOR('',#413632,10.); #124352=VECTOR('',#413635,10.); #124353=VECTOR('',#413636,10.); #124354=VECTOR('',#413637,10.); #124355=VECTOR('',#413640,10.); #124356=VECTOR('',#413641,10.); #124357=VECTOR('',#413642,10.); #124358=VECTOR('',#413645,10.); #124359=VECTOR('',#413646,10.); #124360=VECTOR('',#413647,10.); #124361=VECTOR('',#413650,10.); #124362=VECTOR('',#413651,10.); #124363=VECTOR('',#413652,10.); #124364=VECTOR('',#413655,10.); #124365=VECTOR('',#413656,10.); #124366=VECTOR('',#413657,10.); #124367=VECTOR('',#413660,10.); #124368=VECTOR('',#413661,10.); #124369=VECTOR('',#413662,10.); #124370=VECTOR('',#413665,10.); #124371=VECTOR('',#413666,10.); #124372=VECTOR('',#413667,10.); #124373=VECTOR('',#413670,10.); #124374=VECTOR('',#413671,10.); #124375=VECTOR('',#413672,10.); #124376=VECTOR('',#413675,10.); #124377=VECTOR('',#413676,10.); #124378=VECTOR('',#413677,10.); #124379=VECTOR('',#413680,10.); #124380=VECTOR('',#413681,10.); #124381=VECTOR('',#413682,10.); #124382=VECTOR('',#413685,10.); #124383=VECTOR('',#413686,10.); #124384=VECTOR('',#413687,10.); #124385=VECTOR('',#413690,10.); #124386=VECTOR('',#413691,10.); #124387=VECTOR('',#413692,10.); #124388=VECTOR('',#413695,10.); #124389=VECTOR('',#413696,10.); #124390=VECTOR('',#413697,10.); #124391=VECTOR('',#413700,10.); #124392=VECTOR('',#413701,10.); #124393=VECTOR('',#413702,10.); #124394=VECTOR('',#413705,10.); #124395=VECTOR('',#413706,10.); #124396=VECTOR('',#413707,10.); #124397=VECTOR('',#413710,10.); #124398=VECTOR('',#413711,10.); #124399=VECTOR('',#413712,10.); #124400=VECTOR('',#413715,10.); #124401=VECTOR('',#413716,10.); #124402=VECTOR('',#413717,10.); #124403=VECTOR('',#413720,10.); #124404=VECTOR('',#413721,10.); #124405=VECTOR('',#413722,10.); #124406=VECTOR('',#413725,10.); #124407=VECTOR('',#413726,10.); #124408=VECTOR('',#413727,10.); #124409=VECTOR('',#413730,10.); #124410=VECTOR('',#413731,10.); #124411=VECTOR('',#413732,10.); #124412=VECTOR('',#413735,10.); #124413=VECTOR('',#413736,10.); #124414=VECTOR('',#413737,10.); #124415=VECTOR('',#413740,10.); #124416=VECTOR('',#413741,10.); #124417=VECTOR('',#413742,10.); #124418=VECTOR('',#413745,10.); #124419=VECTOR('',#413746,10.); #124420=VECTOR('',#413747,10.); #124421=VECTOR('',#413750,10.); #124422=VECTOR('',#413751,10.); #124423=VECTOR('',#413752,10.); #124424=VECTOR('',#413755,10.); #124425=VECTOR('',#413756,10.); #124426=VECTOR('',#413757,10.); #124427=VECTOR('',#413760,10.); #124428=VECTOR('',#413761,10.); #124429=VECTOR('',#413762,10.); #124430=VECTOR('',#413765,10.); #124431=VECTOR('',#413766,10.); #124432=VECTOR('',#413767,10.); #124433=VECTOR('',#413770,10.); #124434=VECTOR('',#413771,10.); #124435=VECTOR('',#413772,10.); #124436=VECTOR('',#413775,10.); #124437=VECTOR('',#413776,10.); #124438=VECTOR('',#413777,10.); #124439=VECTOR('',#413780,10.); #124440=VECTOR('',#413781,10.); #124441=VECTOR('',#413782,10.); #124442=VECTOR('',#413785,10.); #124443=VECTOR('',#413786,10.); #124444=VECTOR('',#413787,10.); #124445=VECTOR('',#413790,10.); #124446=VECTOR('',#413791,10.); #124447=VECTOR('',#413792,10.); #124448=VECTOR('',#413795,10.); #124449=VECTOR('',#413796,10.); #124450=VECTOR('',#413797,10.); #124451=VECTOR('',#413800,10.); #124452=VECTOR('',#413801,10.); #124453=VECTOR('',#413802,10.); #124454=VECTOR('',#413805,10.); #124455=VECTOR('',#413806,10.); #124456=VECTOR('',#413807,10.); #124457=VECTOR('',#413810,10.); #124458=VECTOR('',#413811,10.); #124459=VECTOR('',#413812,10.); #124460=VECTOR('',#413815,10.); #124461=VECTOR('',#413816,10.); #124462=VECTOR('',#413817,10.); #124463=VECTOR('',#413820,10.); #124464=VECTOR('',#413821,10.); #124465=VECTOR('',#413822,10.); #124466=VECTOR('',#413825,10.); #124467=VECTOR('',#413826,10.); #124468=VECTOR('',#413827,10.); #124469=VECTOR('',#413830,10.); #124470=VECTOR('',#413831,10.); #124471=VECTOR('',#413832,10.); #124472=VECTOR('',#413835,10.); #124473=VECTOR('',#413836,10.); #124474=VECTOR('',#413837,10.); #124475=VECTOR('',#413840,10.); #124476=VECTOR('',#413841,10.); #124477=VECTOR('',#413842,10.); #124478=VECTOR('',#413845,10.); #124479=VECTOR('',#413846,10.); #124480=VECTOR('',#413847,10.); #124481=VECTOR('',#413850,10.); #124482=VECTOR('',#413851,10.); #124483=VECTOR('',#413852,10.); #124484=VECTOR('',#413855,10.); #124485=VECTOR('',#413856,10.); #124486=VECTOR('',#413857,10.); #124487=VECTOR('',#413860,10.); #124488=VECTOR('',#413861,10.); #124489=VECTOR('',#413862,10.); #124490=VECTOR('',#413865,10.); #124491=VECTOR('',#413866,10.); #124492=VECTOR('',#413867,10.); #124493=VECTOR('',#413870,10.); #124494=VECTOR('',#413871,10.); #124495=VECTOR('',#413872,10.); #124496=VECTOR('',#413875,10.); #124497=VECTOR('',#413876,10.); #124498=VECTOR('',#413877,10.); #124499=VECTOR('',#413880,10.); #124500=VECTOR('',#413881,10.); #124501=VECTOR('',#413882,10.); #124502=VECTOR('',#413885,10.); #124503=VECTOR('',#413886,10.); #124504=VECTOR('',#413887,10.); #124505=VECTOR('',#413890,10.); #124506=VECTOR('',#413891,10.); #124507=VECTOR('',#413892,10.); #124508=VECTOR('',#413895,10.); #124509=VECTOR('',#413896,10.); #124510=VECTOR('',#413897,10.); #124511=VECTOR('',#413900,10.); #124512=VECTOR('',#413901,10.); #124513=VECTOR('',#413902,10.); #124514=VECTOR('',#413905,10.); #124515=VECTOR('',#413906,10.); #124516=VECTOR('',#413907,10.); #124517=VECTOR('',#413910,10.); #124518=VECTOR('',#413911,10.); #124519=VECTOR('',#413912,10.); #124520=VECTOR('',#413915,10.); #124521=VECTOR('',#413916,10.); #124522=VECTOR('',#413917,10.); #124523=VECTOR('',#413920,10.); #124524=VECTOR('',#413921,10.); #124525=VECTOR('',#413922,10.); #124526=VECTOR('',#413925,10.); #124527=VECTOR('',#413926,10.); #124528=VECTOR('',#413927,10.); #124529=VECTOR('',#413930,10.); #124530=VECTOR('',#413931,10.); #124531=VECTOR('',#413932,10.); #124532=VECTOR('',#413935,10.); #124533=VECTOR('',#413936,10.); #124534=VECTOR('',#413937,10.); #124535=VECTOR('',#413940,10.); #124536=VECTOR('',#413941,10.); #124537=VECTOR('',#413942,10.); #124538=VECTOR('',#413945,10.); #124539=VECTOR('',#413946,10.); #124540=VECTOR('',#413947,10.); #124541=VECTOR('',#413950,10.); #124542=VECTOR('',#413951,10.); #124543=VECTOR('',#413952,10.); #124544=VECTOR('',#413955,10.); #124545=VECTOR('',#413956,10.); #124546=VECTOR('',#413957,10.); #124547=VECTOR('',#413960,10.); #124548=VECTOR('',#413961,10.); #124549=VECTOR('',#413968,10.); #124550=VECTOR('',#413969,10.); #124551=VECTOR('',#413970,10.); #124552=VECTOR('',#413971,10.); #124553=VECTOR('',#413978,10.); #124554=VECTOR('',#413981,10.); #124555=VECTOR('',#413982,10.); #124556=VECTOR('',#413983,10.); #124557=VECTOR('',#413992,10.); #124558=VECTOR('',#413993,10.); #124559=VECTOR('',#413994,10.); #124560=VECTOR('',#413995,10.); #124561=VECTOR('',#413998,10.); #124562=VECTOR('',#413999,10.); #124563=VECTOR('',#414000,10.); #124564=VECTOR('',#414003,10.); #124565=VECTOR('',#414004,10.); #124566=VECTOR('',#414005,10.); #124567=VECTOR('',#414008,10.); #124568=VECTOR('',#414009,10.); #124569=VECTOR('',#414010,10.); #124570=VECTOR('',#414013,10.); #124571=VECTOR('',#414014,10.); #124572=VECTOR('',#414015,10.); #124573=VECTOR('',#414018,10.); #124574=VECTOR('',#414019,10.); #124575=VECTOR('',#414020,10.); #124576=VECTOR('',#414023,10.); #124577=VECTOR('',#414024,10.); #124578=VECTOR('',#414025,10.); #124579=VECTOR('',#414028,10.); #124580=VECTOR('',#414029,10.); #124581=VECTOR('',#414030,10.); #124582=VECTOR('',#414033,10.); #124583=VECTOR('',#414034,10.); #124584=VECTOR('',#414035,10.); #124585=VECTOR('',#414038,10.); #124586=VECTOR('',#414039,10.); #124587=VECTOR('',#414040,10.); #124588=VECTOR('',#414043,10.); #124589=VECTOR('',#414044,10.); #124590=VECTOR('',#414045,10.); #124591=VECTOR('',#414048,10.); #124592=VECTOR('',#414049,10.); #124593=VECTOR('',#414050,10.); #124594=VECTOR('',#414053,10.); #124595=VECTOR('',#414054,10.); #124596=VECTOR('',#414055,10.); #124597=VECTOR('',#414058,10.); #124598=VECTOR('',#414059,10.); #124599=VECTOR('',#414060,10.); #124600=VECTOR('',#414063,10.); #124601=VECTOR('',#414064,10.); #124602=VECTOR('',#414065,10.); #124603=VECTOR('',#414068,10.); #124604=VECTOR('',#414069,10.); #124605=VECTOR('',#414070,10.); #124606=VECTOR('',#414073,10.); #124607=VECTOR('',#414074,10.); #124608=VECTOR('',#414075,10.); #124609=VECTOR('',#414078,10.); #124610=VECTOR('',#414079,10.); #124611=VECTOR('',#414080,10.); #124612=VECTOR('',#414083,10.); #124613=VECTOR('',#414084,10.); #124614=VECTOR('',#414085,10.); #124615=VECTOR('',#414088,10.); #124616=VECTOR('',#414089,10.); #124617=VECTOR('',#414090,10.); #124618=VECTOR('',#414093,10.); #124619=VECTOR('',#414094,10.); #124620=VECTOR('',#414095,10.); #124621=VECTOR('',#414098,10.); #124622=VECTOR('',#414099,10.); #124623=VECTOR('',#414100,10.); #124624=VECTOR('',#414103,10.); #124625=VECTOR('',#414104,10.); #124626=VECTOR('',#414105,10.); #124627=VECTOR('',#414108,10.); #124628=VECTOR('',#414109,10.); #124629=VECTOR('',#414110,10.); #124630=VECTOR('',#414113,10.); #124631=VECTOR('',#414114,10.); #124632=VECTOR('',#414115,10.); #124633=VECTOR('',#414118,10.); #124634=VECTOR('',#414119,10.); #124635=VECTOR('',#414120,10.); #124636=VECTOR('',#414123,10.); #124637=VECTOR('',#414124,10.); #124638=VECTOR('',#414125,10.); #124639=VECTOR('',#414128,10.); #124640=VECTOR('',#414129,10.); #124641=VECTOR('',#414130,10.); #124642=VECTOR('',#414133,10.); #124643=VECTOR('',#414134,10.); #124644=VECTOR('',#414135,10.); #124645=VECTOR('',#414138,10.); #124646=VECTOR('',#414139,10.); #124647=VECTOR('',#414140,10.); #124648=VECTOR('',#414143,10.); #124649=VECTOR('',#414144,10.); #124650=VECTOR('',#414145,10.); #124651=VECTOR('',#414148,10.); #124652=VECTOR('',#414149,10.); #124653=VECTOR('',#414150,10.); #124654=VECTOR('',#414153,10.); #124655=VECTOR('',#414154,10.); #124656=VECTOR('',#414155,10.); #124657=VECTOR('',#414158,10.); #124658=VECTOR('',#414159,10.); #124659=VECTOR('',#414160,10.); #124660=VECTOR('',#414163,10.); #124661=VECTOR('',#414164,10.); #124662=VECTOR('',#414165,10.); #124663=VECTOR('',#414168,10.); #124664=VECTOR('',#414169,10.); #124665=VECTOR('',#414170,10.); #124666=VECTOR('',#414173,10.); #124667=VECTOR('',#414174,10.); #124668=VECTOR('',#414175,10.); #124669=VECTOR('',#414178,10.); #124670=VECTOR('',#414179,10.); #124671=VECTOR('',#414180,10.); #124672=VECTOR('',#414183,10.); #124673=VECTOR('',#414184,10.); #124674=VECTOR('',#414185,10.); #124675=VECTOR('',#414188,10.); #124676=VECTOR('',#414189,10.); #124677=VECTOR('',#414190,10.); #124678=VECTOR('',#414193,10.); #124679=VECTOR('',#414194,10.); #124680=VECTOR('',#414195,10.); #124681=VECTOR('',#414198,10.); #124682=VECTOR('',#414199,10.); #124683=VECTOR('',#414200,10.); #124684=VECTOR('',#414203,10.); #124685=VECTOR('',#414204,10.); #124686=VECTOR('',#414205,10.); #124687=VECTOR('',#414208,10.); #124688=VECTOR('',#414209,10.); #124689=VECTOR('',#414210,10.); #124690=VECTOR('',#414213,10.); #124691=VECTOR('',#414214,10.); #124692=VECTOR('',#414215,10.); #124693=VECTOR('',#414218,10.); #124694=VECTOR('',#414219,10.); #124695=VECTOR('',#414220,10.); #124696=VECTOR('',#414223,10.); #124697=VECTOR('',#414224,10.); #124698=VECTOR('',#414225,10.); #124699=VECTOR('',#414228,10.); #124700=VECTOR('',#414229,10.); #124701=VECTOR('',#414230,10.); #124702=VECTOR('',#414233,10.); #124703=VECTOR('',#414234,10.); #124704=VECTOR('',#414235,10.); #124705=VECTOR('',#414238,10.); #124706=VECTOR('',#414239,10.); #124707=VECTOR('',#414240,10.); #124708=VECTOR('',#414243,10.); #124709=VECTOR('',#414244,10.); #124710=VECTOR('',#414245,10.); #124711=VECTOR('',#414248,10.); #124712=VECTOR('',#414249,10.); #124713=VECTOR('',#414250,10.); #124714=VECTOR('',#414253,10.); #124715=VECTOR('',#414254,10.); #124716=VECTOR('',#414255,10.); #124717=VECTOR('',#414258,10.); #124718=VECTOR('',#414259,10.); #124719=VECTOR('',#414260,10.); #124720=VECTOR('',#414263,10.); #124721=VECTOR('',#414264,10.); #124722=VECTOR('',#414265,10.); #124723=VECTOR('',#414268,10.); #124724=VECTOR('',#414269,10.); #124725=VECTOR('',#414278,0.514999999999999); #124726=VECTOR('',#414283,10.); #124727=VECTOR('',#414284,10.); #124728=VECTOR('',#414285,10.); #124729=VECTOR('',#414286,10.); #124730=VECTOR('',#414289,10.); #124731=VECTOR('',#414290,10.); #124732=VECTOR('',#414291,10.); #124733=VECTOR('',#414294,10.); #124734=VECTOR('',#414295,10.); #124735=VECTOR('',#414296,10.); #124736=VECTOR('',#414299,10.); #124737=VECTOR('',#414300,10.); #124738=VECTOR('',#414307,10.); #124739=VECTOR('',#414308,10.); #124740=VECTOR('',#414309,10.); #124741=VECTOR('',#414310,10.); #124742=VECTOR('',#414317,10.); #124743=VECTOR('',#414320,10.); #124744=VECTOR('',#414321,10.); #124745=VECTOR('',#414322,10.); #124746=VECTOR('',#414331,10.); #124747=VECTOR('',#414332,10.); #124748=VECTOR('',#414333,10.); #124749=VECTOR('',#414334,10.); #124750=VECTOR('',#414337,10.); #124751=VECTOR('',#414338,10.); #124752=VECTOR('',#414339,10.); #124753=VECTOR('',#414342,10.); #124754=VECTOR('',#414343,10.); #124755=VECTOR('',#414344,10.); #124756=VECTOR('',#414347,10.); #124757=VECTOR('',#414348,10.); #124758=VECTOR('',#414349,10.); #124759=VECTOR('',#414352,10.); #124760=VECTOR('',#414353,10.); #124761=VECTOR('',#414354,10.); #124762=VECTOR('',#414357,10.); #124763=VECTOR('',#414358,10.); #124764=VECTOR('',#414359,10.); #124765=VECTOR('',#414362,10.); #124766=VECTOR('',#414363,10.); #124767=VECTOR('',#414364,10.); #124768=VECTOR('',#414367,10.); #124769=VECTOR('',#414368,10.); #124770=VECTOR('',#414369,10.); #124771=VECTOR('',#414372,10.); #124772=VECTOR('',#414373,10.); #124773=VECTOR('',#414374,10.); #124774=VECTOR('',#414377,10.); #124775=VECTOR('',#414378,10.); #124776=VECTOR('',#414379,10.); #124777=VECTOR('',#414382,10.); #124778=VECTOR('',#414383,10.); #124779=VECTOR('',#414384,10.); #124780=VECTOR('',#414387,10.); #124781=VECTOR('',#414388,10.); #124782=VECTOR('',#414389,10.); #124783=VECTOR('',#414392,10.); #124784=VECTOR('',#414393,10.); #124785=VECTOR('',#414394,10.); #124786=VECTOR('',#414397,10.); #124787=VECTOR('',#414398,10.); #124788=VECTOR('',#414399,10.); #124789=VECTOR('',#414402,10.); #124790=VECTOR('',#414403,10.); #124791=VECTOR('',#414404,10.); #124792=VECTOR('',#414407,10.); #124793=VECTOR('',#414408,10.); #124794=VECTOR('',#414409,10.); #124795=VECTOR('',#414412,10.); #124796=VECTOR('',#414413,10.); #124797=VECTOR('',#414414,10.); #124798=VECTOR('',#414417,10.); #124799=VECTOR('',#414418,10.); #124800=VECTOR('',#414419,10.); #124801=VECTOR('',#414422,10.); #124802=VECTOR('',#414423,10.); #124803=VECTOR('',#414424,10.); #124804=VECTOR('',#414427,10.); #124805=VECTOR('',#414428,10.); #124806=VECTOR('',#414429,10.); #124807=VECTOR('',#414432,10.); #124808=VECTOR('',#414433,10.); #124809=VECTOR('',#414434,10.); #124810=VECTOR('',#414437,10.); #124811=VECTOR('',#414438,10.); #124812=VECTOR('',#414439,10.); #124813=VECTOR('',#414442,10.); #124814=VECTOR('',#414443,10.); #124815=VECTOR('',#414444,10.); #124816=VECTOR('',#414447,10.); #124817=VECTOR('',#414448,10.); #124818=VECTOR('',#414449,10.); #124819=VECTOR('',#414452,10.); #124820=VECTOR('',#414453,10.); #124821=VECTOR('',#414454,10.); #124822=VECTOR('',#414457,10.); #124823=VECTOR('',#414458,10.); #124824=VECTOR('',#414459,10.); #124825=VECTOR('',#414462,10.); #124826=VECTOR('',#414463,10.); #124827=VECTOR('',#414464,10.); #124828=VECTOR('',#414467,10.); #124829=VECTOR('',#414468,10.); #124830=VECTOR('',#414469,10.); #124831=VECTOR('',#414472,10.); #124832=VECTOR('',#414473,10.); #124833=VECTOR('',#414474,10.); #124834=VECTOR('',#414477,10.); #124835=VECTOR('',#414478,10.); #124836=VECTOR('',#414479,10.); #124837=VECTOR('',#414482,10.); #124838=VECTOR('',#414483,10.); #124839=VECTOR('',#414484,10.); #124840=VECTOR('',#414487,10.); #124841=VECTOR('',#414488,10.); #124842=VECTOR('',#414489,10.); #124843=VECTOR('',#414492,10.); #124844=VECTOR('',#414493,10.); #124845=VECTOR('',#414494,10.); #124846=VECTOR('',#414497,10.); #124847=VECTOR('',#414498,10.); #124848=VECTOR('',#414499,10.); #124849=VECTOR('',#414502,10.); #124850=VECTOR('',#414503,10.); #124851=VECTOR('',#414504,10.); #124852=VECTOR('',#414507,10.); #124853=VECTOR('',#414508,10.); #124854=VECTOR('',#414509,10.); #124855=VECTOR('',#414512,10.); #124856=VECTOR('',#414513,10.); #124857=VECTOR('',#414514,10.); #124858=VECTOR('',#414517,10.); #124859=VECTOR('',#414518,10.); #124860=VECTOR('',#414519,10.); #124861=VECTOR('',#414522,10.); #124862=VECTOR('',#414523,10.); #124863=VECTOR('',#414524,10.); #124864=VECTOR('',#414527,10.); #124865=VECTOR('',#414528,10.); #124866=VECTOR('',#414529,10.); #124867=VECTOR('',#414532,10.); #124868=VECTOR('',#414533,10.); #124869=VECTOR('',#414534,10.); #124870=VECTOR('',#414537,10.); #124871=VECTOR('',#414538,10.); #124872=VECTOR('',#414539,10.); #124873=VECTOR('',#414542,10.); #124874=VECTOR('',#414543,10.); #124875=VECTOR('',#414544,10.); #124876=VECTOR('',#414547,10.); #124877=VECTOR('',#414548,10.); #124878=VECTOR('',#414549,10.); #124879=VECTOR('',#414552,10.); #124880=VECTOR('',#414553,10.); #124881=VECTOR('',#414554,10.); #124882=VECTOR('',#414557,10.); #124883=VECTOR('',#414558,10.); #124884=VECTOR('',#414559,10.); #124885=VECTOR('',#414562,10.); #124886=VECTOR('',#414563,10.); #124887=VECTOR('',#414564,10.); #124888=VECTOR('',#414567,10.); #124889=VECTOR('',#414568,10.); #124890=VECTOR('',#414569,10.); #124891=VECTOR('',#414572,10.); #124892=VECTOR('',#414573,10.); #124893=VECTOR('',#414574,10.); #124894=VECTOR('',#414577,10.); #124895=VECTOR('',#414578,10.); #124896=VECTOR('',#414579,10.); #124897=VECTOR('',#414582,10.); #124898=VECTOR('',#414583,10.); #124899=VECTOR('',#414584,10.); #124900=VECTOR('',#414587,10.); #124901=VECTOR('',#414588,10.); #124902=VECTOR('',#414589,10.); #124903=VECTOR('',#414592,10.); #124904=VECTOR('',#414593,10.); #124905=VECTOR('',#414594,10.); #124906=VECTOR('',#414597,10.); #124907=VECTOR('',#414598,10.); #124908=VECTOR('',#414599,10.); #124909=VECTOR('',#414602,10.); #124910=VECTOR('',#414603,10.); #124911=VECTOR('',#414604,10.); #124912=VECTOR('',#414607,10.); #124913=VECTOR('',#414608,10.); #124914=VECTOR('',#414615,10.); #124915=VECTOR('',#414616,10.); #124916=VECTOR('',#414617,10.); #124917=VECTOR('',#414618,10.); #124918=VECTOR('',#414625,10.); #124919=VECTOR('',#414628,10.); #124920=VECTOR('',#414629,10.); #124921=VECTOR('',#414630,10.); #124922=VECTOR('',#414639,10.); #124923=VECTOR('',#414640,10.); #124924=VECTOR('',#414641,10.); #124925=VECTOR('',#414642,10.); #124926=VECTOR('',#414645,10.); #124927=VECTOR('',#414646,10.); #124928=VECTOR('',#414647,10.); #124929=VECTOR('',#414650,10.); #124930=VECTOR('',#414651,10.); #124931=VECTOR('',#414652,10.); #124932=VECTOR('',#414655,10.); #124933=VECTOR('',#414656,10.); #124934=VECTOR('',#414657,10.); #124935=VECTOR('',#414660,10.); #124936=VECTOR('',#414661,10.); #124937=VECTOR('',#414662,10.); #124938=VECTOR('',#414665,10.); #124939=VECTOR('',#414666,10.); #124940=VECTOR('',#414667,10.); #124941=VECTOR('',#414670,10.); #124942=VECTOR('',#414671,10.); #124943=VECTOR('',#414672,10.); #124944=VECTOR('',#414675,10.); #124945=VECTOR('',#414676,10.); #124946=VECTOR('',#414677,10.); #124947=VECTOR('',#414680,10.); #124948=VECTOR('',#414681,10.); #124949=VECTOR('',#414682,10.); #124950=VECTOR('',#414685,10.); #124951=VECTOR('',#414686,10.); #124952=VECTOR('',#414687,10.); #124953=VECTOR('',#414690,10.); #124954=VECTOR('',#414691,10.); #124955=VECTOR('',#414692,10.); #124956=VECTOR('',#414695,10.); #124957=VECTOR('',#414696,10.); #124958=VECTOR('',#414697,10.); #124959=VECTOR('',#414700,10.); #124960=VECTOR('',#414701,10.); #124961=VECTOR('',#414702,10.); #124962=VECTOR('',#414705,10.); #124963=VECTOR('',#414706,10.); #124964=VECTOR('',#414707,10.); #124965=VECTOR('',#414710,10.); #124966=VECTOR('',#414711,10.); #124967=VECTOR('',#414712,10.); #124968=VECTOR('',#414715,10.); #124969=VECTOR('',#414716,10.); #124970=VECTOR('',#414717,10.); #124971=VECTOR('',#414720,10.); #124972=VECTOR('',#414721,10.); #124973=VECTOR('',#414722,10.); #124974=VECTOR('',#414725,10.); #124975=VECTOR('',#414726,10.); #124976=VECTOR('',#414727,10.); #124977=VECTOR('',#414730,10.); #124978=VECTOR('',#414731,10.); #124979=VECTOR('',#414732,10.); #124980=VECTOR('',#414735,10.); #124981=VECTOR('',#414736,10.); #124982=VECTOR('',#414737,10.); #124983=VECTOR('',#414740,10.); #124984=VECTOR('',#414741,10.); #124985=VECTOR('',#414742,10.); #124986=VECTOR('',#414745,10.); #124987=VECTOR('',#414746,10.); #124988=VECTOR('',#414747,10.); #124989=VECTOR('',#414750,10.); #124990=VECTOR('',#414751,10.); #124991=VECTOR('',#414752,10.); #124992=VECTOR('',#414755,10.); #124993=VECTOR('',#414756,10.); #124994=VECTOR('',#414757,10.); #124995=VECTOR('',#414760,10.); #124996=VECTOR('',#414761,10.); #124997=VECTOR('',#414762,10.); #124998=VECTOR('',#414765,10.); #124999=VECTOR('',#414766,10.); #125000=VECTOR('',#414767,10.); #125001=VECTOR('',#414770,10.); #125002=VECTOR('',#414771,10.); #125003=VECTOR('',#414772,10.); #125004=VECTOR('',#414775,10.); #125005=VECTOR('',#414776,10.); #125006=VECTOR('',#414777,10.); #125007=VECTOR('',#414780,10.); #125008=VECTOR('',#414781,10.); #125009=VECTOR('',#414782,10.); #125010=VECTOR('',#414785,10.); #125011=VECTOR('',#414786,10.); #125012=VECTOR('',#414787,10.); #125013=VECTOR('',#414790,10.); #125014=VECTOR('',#414791,10.); #125015=VECTOR('',#414792,10.); #125016=VECTOR('',#414795,10.); #125017=VECTOR('',#414796,10.); #125018=VECTOR('',#414797,10.); #125019=VECTOR('',#414800,10.); #125020=VECTOR('',#414801,10.); #125021=VECTOR('',#414802,10.); #125022=VECTOR('',#414805,10.); #125023=VECTOR('',#414806,10.); #125024=VECTOR('',#414807,10.); #125025=VECTOR('',#414810,10.); #125026=VECTOR('',#414811,10.); #125027=VECTOR('',#414812,10.); #125028=VECTOR('',#414815,10.); #125029=VECTOR('',#414816,10.); #125030=VECTOR('',#414817,10.); #125031=VECTOR('',#414820,10.); #125032=VECTOR('',#414821,10.); #125033=VECTOR('',#414822,10.); #125034=VECTOR('',#414825,10.); #125035=VECTOR('',#414826,10.); #125036=VECTOR('',#414827,10.); #125037=VECTOR('',#414830,10.); #125038=VECTOR('',#414831,10.); #125039=VECTOR('',#414832,10.); #125040=VECTOR('',#414835,10.); #125041=VECTOR('',#414836,10.); #125042=VECTOR('',#414837,10.); #125043=VECTOR('',#414840,10.); #125044=VECTOR('',#414841,10.); #125045=VECTOR('',#414842,10.); #125046=VECTOR('',#414845,10.); #125047=VECTOR('',#414846,10.); #125048=VECTOR('',#414847,10.); #125049=VECTOR('',#414850,10.); #125050=VECTOR('',#414851,10.); #125051=VECTOR('',#414852,10.); #125052=VECTOR('',#414855,10.); #125053=VECTOR('',#414856,10.); #125054=VECTOR('',#414857,10.); #125055=VECTOR('',#414860,10.); #125056=VECTOR('',#414861,10.); #125057=VECTOR('',#414862,10.); #125058=VECTOR('',#414865,10.); #125059=VECTOR('',#414866,10.); #125060=VECTOR('',#414867,10.); #125061=VECTOR('',#414870,10.); #125062=VECTOR('',#414871,10.); #125063=VECTOR('',#414872,10.); #125064=VECTOR('',#414875,10.); #125065=VECTOR('',#414876,10.); #125066=VECTOR('',#414877,10.); #125067=VECTOR('',#414880,10.); #125068=VECTOR('',#414881,10.); #125069=VECTOR('',#414882,10.); #125070=VECTOR('',#414885,10.); #125071=VECTOR('',#414886,10.); #125072=VECTOR('',#414887,10.); #125073=VECTOR('',#414890,10.); #125074=VECTOR('',#414891,10.); #125075=VECTOR('',#414892,10.); #125076=VECTOR('',#414895,10.); #125077=VECTOR('',#414896,10.); #125078=VECTOR('',#414897,10.); #125079=VECTOR('',#414900,10.); #125080=VECTOR('',#414901,10.); #125081=VECTOR('',#414902,10.); #125082=VECTOR('',#414905,10.); #125083=VECTOR('',#414906,10.); #125084=VECTOR('',#414907,10.); #125085=VECTOR('',#414910,10.); #125086=VECTOR('',#414911,10.); #125087=VECTOR('',#414912,10.); #125088=VECTOR('',#414915,10.); #125089=VECTOR('',#414916,10.); #125090=VECTOR('',#414917,10.); #125091=VECTOR('',#414920,10.); #125092=VECTOR('',#414921,10.); #125093=VECTOR('',#414922,10.); #125094=VECTOR('',#414925,10.); #125095=VECTOR('',#414926,10.); #125096=VECTOR('',#414927,10.); #125097=VECTOR('',#414930,10.); #125098=VECTOR('',#414931,10.); #125099=VECTOR('',#414932,10.); #125100=VECTOR('',#414935,10.); #125101=VECTOR('',#414936,10.); #125102=VECTOR('',#414937,10.); #125103=VECTOR('',#414940,10.); #125104=VECTOR('',#414941,10.); #125105=VECTOR('',#414942,10.); #125106=VECTOR('',#414945,10.); #125107=VECTOR('',#414946,10.); #125108=VECTOR('',#414947,10.); #125109=VECTOR('',#414950,10.); #125110=VECTOR('',#414951,10.); #125111=VECTOR('',#414952,10.); #125112=VECTOR('',#414955,10.); #125113=VECTOR('',#414956,10.); #125114=VECTOR('',#414957,10.); #125115=VECTOR('',#414960,10.); #125116=VECTOR('',#414961,10.); #125117=VECTOR('',#414962,10.); #125118=VECTOR('',#414965,10.); #125119=VECTOR('',#414966,10.); #125120=VECTOR('',#414967,10.); #125121=VECTOR('',#414970,10.); #125122=VECTOR('',#414971,10.); #125123=VECTOR('',#414972,10.); #125124=VECTOR('',#414975,10.); #125125=VECTOR('',#414976,10.); #125126=VECTOR('',#414977,10.); #125127=VECTOR('',#414980,10.); #125128=VECTOR('',#414981,10.); #125129=VECTOR('',#414982,10.); #125130=VECTOR('',#414985,10.); #125131=VECTOR('',#414986,10.); #125132=VECTOR('',#414987,10.); #125133=VECTOR('',#414990,10.); #125134=VECTOR('',#414991,10.); #125135=VECTOR('',#414992,10.); #125136=VECTOR('',#414995,10.); #125137=VECTOR('',#414996,10.); #125138=VECTOR('',#415005,0.465); #125139=VECTOR('',#415010,10.); #125140=VECTOR('',#415011,10.); #125141=VECTOR('',#415012,10.); #125142=VECTOR('',#415013,10.); #125143=VECTOR('',#415020,10.); #125144=VECTOR('',#415035,0.514999999999999); #125145=VECTOR('',#415040,10.); #125146=VECTOR('',#415041,10.); #125147=VECTOR('',#415042,10.); #125148=VECTOR('',#415043,10.); #125149=VECTOR('',#415050,10.); #125150=VECTOR('',#415063,10.); #125151=VECTOR('',#415064,10.); #125152=VECTOR('',#415065,10.); #125153=VECTOR('',#415066,10.); #125154=VECTOR('',#415073,10.); #125155=VECTOR('',#415076,10.); #125156=VECTOR('',#415077,10.); #125157=VECTOR('',#415078,10.); #125158=VECTOR('',#415087,10.); #125159=VECTOR('',#415088,10.); #125160=VECTOR('',#415089,10.); #125161=VECTOR('',#415090,10.); #125162=VECTOR('',#415093,10.); #125163=VECTOR('',#415094,10.); #125164=VECTOR('',#415095,10.); #125165=VECTOR('',#415098,10.); #125166=VECTOR('',#415099,10.); #125167=VECTOR('',#415100,10.); #125168=VECTOR('',#415103,10.); #125169=VECTOR('',#415104,10.); #125170=VECTOR('',#415105,10.); #125171=VECTOR('',#415108,10.); #125172=VECTOR('',#415109,10.); #125173=VECTOR('',#415110,10.); #125174=VECTOR('',#415113,10.); #125175=VECTOR('',#415114,10.); #125176=VECTOR('',#415115,10.); #125177=VECTOR('',#415118,10.); #125178=VECTOR('',#415119,10.); #125179=VECTOR('',#415120,10.); #125180=VECTOR('',#415123,10.); #125181=VECTOR('',#415124,10.); #125182=VECTOR('',#415125,10.); #125183=VECTOR('',#415128,10.); #125184=VECTOR('',#415129,10.); #125185=VECTOR('',#415130,10.); #125186=VECTOR('',#415133,10.); #125187=VECTOR('',#415134,10.); #125188=VECTOR('',#415135,10.); #125189=VECTOR('',#415138,10.); #125190=VECTOR('',#415139,10.); #125191=VECTOR('',#415140,10.); #125192=VECTOR('',#415143,10.); #125193=VECTOR('',#415144,10.); #125194=VECTOR('',#415145,10.); #125195=VECTOR('',#415148,10.); #125196=VECTOR('',#415149,10.); #125197=VECTOR('',#415150,10.); #125198=VECTOR('',#415153,10.); #125199=VECTOR('',#415154,10.); #125200=VECTOR('',#415155,10.); #125201=VECTOR('',#415158,10.); #125202=VECTOR('',#415159,10.); #125203=VECTOR('',#415160,10.); #125204=VECTOR('',#415163,10.); #125205=VECTOR('',#415164,10.); #125206=VECTOR('',#415165,10.); #125207=VECTOR('',#415168,10.); #125208=VECTOR('',#415169,10.); #125209=VECTOR('',#415170,10.); #125210=VECTOR('',#415173,10.); #125211=VECTOR('',#415174,10.); #125212=VECTOR('',#415175,10.); #125213=VECTOR('',#415178,10.); #125214=VECTOR('',#415179,10.); #125215=VECTOR('',#415180,10.); #125216=VECTOR('',#415183,10.); #125217=VECTOR('',#415184,10.); #125218=VECTOR('',#415185,10.); #125219=VECTOR('',#415188,10.); #125220=VECTOR('',#415189,10.); #125221=VECTOR('',#415190,10.); #125222=VECTOR('',#415193,10.); #125223=VECTOR('',#415194,10.); #125224=VECTOR('',#415195,10.); #125225=VECTOR('',#415198,10.); #125226=VECTOR('',#415199,10.); #125227=VECTOR('',#415200,10.); #125228=VECTOR('',#415203,10.); #125229=VECTOR('',#415204,10.); #125230=VECTOR('',#415205,10.); #125231=VECTOR('',#415208,10.); #125232=VECTOR('',#415209,10.); #125233=VECTOR('',#415210,10.); #125234=VECTOR('',#415213,10.); #125235=VECTOR('',#415214,10.); #125236=VECTOR('',#415215,10.); #125237=VECTOR('',#415218,10.); #125238=VECTOR('',#415219,10.); #125239=VECTOR('',#415220,10.); #125240=VECTOR('',#415223,10.); #125241=VECTOR('',#415224,10.); #125242=VECTOR('',#415225,10.); #125243=VECTOR('',#415228,10.); #125244=VECTOR('',#415229,10.); #125245=VECTOR('',#415230,10.); #125246=VECTOR('',#415233,10.); #125247=VECTOR('',#415234,10.); #125248=VECTOR('',#415235,10.); #125249=VECTOR('',#415238,10.); #125250=VECTOR('',#415239,10.); #125251=VECTOR('',#415240,10.); #125252=VECTOR('',#415243,10.); #125253=VECTOR('',#415244,10.); #125254=VECTOR('',#415245,10.); #125255=VECTOR('',#415248,10.); #125256=VECTOR('',#415249,10.); #125257=VECTOR('',#415250,10.); #125258=VECTOR('',#415253,10.); #125259=VECTOR('',#415254,10.); #125260=VECTOR('',#415255,10.); #125261=VECTOR('',#415258,10.); #125262=VECTOR('',#415259,10.); #125263=VECTOR('',#415260,10.); #125264=VECTOR('',#415263,10.); #125265=VECTOR('',#415264,10.); #125266=VECTOR('',#415265,10.); #125267=VECTOR('',#415268,10.); #125268=VECTOR('',#415269,10.); #125269=VECTOR('',#415270,10.); #125270=VECTOR('',#415273,10.); #125271=VECTOR('',#415274,10.); #125272=VECTOR('',#415275,10.); #125273=VECTOR('',#415278,10.); #125274=VECTOR('',#415279,10.); #125275=VECTOR('',#415280,10.); #125276=VECTOR('',#415283,10.); #125277=VECTOR('',#415284,10.); #125278=VECTOR('',#415285,10.); #125279=VECTOR('',#415288,10.); #125280=VECTOR('',#415289,10.); #125281=VECTOR('',#415290,10.); #125282=VECTOR('',#415293,10.); #125283=VECTOR('',#415294,10.); #125284=VECTOR('',#415295,10.); #125285=VECTOR('',#415298,10.); #125286=VECTOR('',#415299,10.); #125287=VECTOR('',#415300,10.); #125288=VECTOR('',#415303,10.); #125289=VECTOR('',#415304,10.); #125290=VECTOR('',#415305,10.); #125291=VECTOR('',#415308,10.); #125292=VECTOR('',#415309,10.); #125293=VECTOR('',#415310,10.); #125294=VECTOR('',#415313,10.); #125295=VECTOR('',#415314,10.); #125296=VECTOR('',#415315,10.); #125297=VECTOR('',#415318,10.); #125298=VECTOR('',#415319,10.); #125299=VECTOR('',#415320,10.); #125300=VECTOR('',#415323,10.); #125301=VECTOR('',#415324,10.); #125302=VECTOR('',#415325,10.); #125303=VECTOR('',#415328,10.); #125304=VECTOR('',#415329,10.); #125305=VECTOR('',#415330,10.); #125306=VECTOR('',#415333,10.); #125307=VECTOR('',#415334,10.); #125308=VECTOR('',#415335,10.); #125309=VECTOR('',#415338,10.); #125310=VECTOR('',#415339,10.); #125311=VECTOR('',#415340,10.); #125312=VECTOR('',#415343,10.); #125313=VECTOR('',#415344,10.); #125314=VECTOR('',#415345,10.); #125315=VECTOR('',#415348,10.); #125316=VECTOR('',#415349,10.); #125317=VECTOR('',#415350,10.); #125318=VECTOR('',#415353,10.); #125319=VECTOR('',#415354,10.); #125320=VECTOR('',#415355,10.); #125321=VECTOR('',#415358,10.); #125322=VECTOR('',#415359,10.); #125323=VECTOR('',#415360,10.); #125324=VECTOR('',#415363,10.); #125325=VECTOR('',#415364,10.); #125326=VECTOR('',#415373,0.465); #125327=VECTOR('',#415378,10.); #125328=VECTOR('',#415379,10.); #125329=VECTOR('',#415380,10.); #125330=VECTOR('',#415381,10.); #125331=VECTOR('',#415388,10.); #125332=VECTOR('',#415403,0.465); #125333=VECTOR('',#415408,10.); #125334=VECTOR('',#415409,10.); #125335=VECTOR('',#415410,10.); #125336=VECTOR('',#415411,10.); #125337=VECTOR('',#415418,10.); #125338=VECTOR('',#415433,0.465); #125339=VECTOR('',#415438,10.); #125340=VECTOR('',#415439,10.); #125341=VECTOR('',#415440,10.); #125342=VECTOR('',#415441,10.); #125343=VECTOR('',#415448,10.); #125344=VECTOR('',#415463,0.564999999999998); #125345=VECTOR('',#415468,10.); #125346=VECTOR('',#415469,10.); #125347=VECTOR('',#415470,10.); #125348=VECTOR('',#415471,10.); #125349=VECTOR('',#415474,10.); #125350=VECTOR('',#415475,10.); #125351=VECTOR('',#415476,10.); #125352=VECTOR('',#415479,10.); #125353=VECTOR('',#415480,10.); #125354=VECTOR('',#415481,10.); #125355=VECTOR('',#415484,10.); #125356=VECTOR('',#415485,10.); #125357=VECTOR('',#415494,0.465); #125358=VECTOR('',#415499,10.); #125359=VECTOR('',#415500,10.); #125360=VECTOR('',#415501,10.); #125361=VECTOR('',#415502,10.); #125362=VECTOR('',#415509,10.); #125363=VECTOR('',#415522,10.); #125364=VECTOR('',#415523,10.); #125365=VECTOR('',#415524,10.); #125366=VECTOR('',#415525,10.); #125367=VECTOR('',#415528,10.); #125368=VECTOR('',#415529,10.); #125369=VECTOR('',#415530,10.); #125370=VECTOR('',#415533,10.); #125371=VECTOR('',#415534,10.); #125372=VECTOR('',#415535,10.); #125373=VECTOR('',#415538,10.); #125374=VECTOR('',#415539,10.); #125375=VECTOR('',#415546,10.); #125376=VECTOR('',#415547,10.); #125377=VECTOR('',#415548,10.); #125378=VECTOR('',#415549,10.); #125379=VECTOR('',#415552,10.); #125380=VECTOR('',#415553,10.); #125381=VECTOR('',#415554,10.); #125382=VECTOR('',#415557,10.); #125383=VECTOR('',#415558,10.); #125384=VECTOR('',#415559,10.); #125385=VECTOR('',#415562,10.); #125386=VECTOR('',#415563,10.); #125387=VECTOR('',#415570,10.); #125388=VECTOR('',#415571,10.); #125389=VECTOR('',#415572,10.); #125390=VECTOR('',#415573,10.); #125391=VECTOR('',#415576,10.); #125392=VECTOR('',#415577,10.); #125393=VECTOR('',#415578,10.); #125394=VECTOR('',#415581,10.); #125395=VECTOR('',#415582,10.); #125396=VECTOR('',#415583,10.); #125397=VECTOR('',#415586,10.); #125398=VECTOR('',#415587,10.); #125399=VECTOR('',#415594,10.); #125400=VECTOR('',#415595,10.); #125401=VECTOR('',#415596,10.); #125402=VECTOR('',#415597,10.); #125403=VECTOR('',#415600,10.); #125404=VECTOR('',#415601,10.); #125405=VECTOR('',#415602,10.); #125406=VECTOR('',#415605,10.); #125407=VECTOR('',#415606,10.); #125408=VECTOR('',#415607,10.); #125409=VECTOR('',#415610,10.); #125410=VECTOR('',#415611,10.); #125411=VECTOR('',#415618,10.); #125412=VECTOR('',#415619,10.); #125413=VECTOR('',#415620,10.); #125414=VECTOR('',#415621,10.); #125415=VECTOR('',#415624,10.); #125416=VECTOR('',#415625,10.); #125417=VECTOR('',#415626,10.); #125418=VECTOR('',#415629,10.); #125419=VECTOR('',#415630,10.); #125420=VECTOR('',#415631,10.); #125421=VECTOR('',#415634,10.); #125422=VECTOR('',#415635,10.); #125423=VECTOR('',#415642,10.); #125424=VECTOR('',#415643,10.); #125425=VECTOR('',#415644,10.); #125426=VECTOR('',#415645,10.); #125427=VECTOR('',#415648,10.); #125428=VECTOR('',#415649,10.); #125429=VECTOR('',#415650,10.); #125430=VECTOR('',#415653,10.); #125431=VECTOR('',#415654,10.); #125432=VECTOR('',#415655,10.); #125433=VECTOR('',#415658,10.); #125434=VECTOR('',#415659,10.); #125435=VECTOR('',#415666,10.); #125436=VECTOR('',#415667,10.); #125437=VECTOR('',#415668,10.); #125438=VECTOR('',#415669,10.); #125439=VECTOR('',#415672,10.); #125440=VECTOR('',#415673,10.); #125441=VECTOR('',#415674,10.); #125442=VECTOR('',#415677,10.); #125443=VECTOR('',#415678,10.); #125444=VECTOR('',#415679,10.); #125445=VECTOR('',#415682,10.); #125446=VECTOR('',#415683,10.); #125447=VECTOR('',#415690,10.); #125448=VECTOR('',#415691,10.); #125449=VECTOR('',#415692,10.); #125450=VECTOR('',#415693,10.); #125451=VECTOR('',#415696,10.); #125452=VECTOR('',#415697,10.); #125453=VECTOR('',#415698,10.); #125454=VECTOR('',#415701,10.); #125455=VECTOR('',#415702,10.); #125456=VECTOR('',#415703,10.); #125457=VECTOR('',#415706,10.); #125458=VECTOR('',#415707,10.); #125459=VECTOR('',#415714,10.); #125460=VECTOR('',#415715,10.); #125461=VECTOR('',#415716,10.); #125462=VECTOR('',#415717,10.); #125463=VECTOR('',#415720,10.); #125464=VECTOR('',#415721,10.); #125465=VECTOR('',#415722,10.); #125466=VECTOR('',#415725,10.); #125467=VECTOR('',#415726,10.); #125468=VECTOR('',#415727,10.); #125469=VECTOR('',#415730,10.); #125470=VECTOR('',#415731,10.); #125471=VECTOR('',#415738,10.); #125472=VECTOR('',#415739,10.); #125473=VECTOR('',#415740,10.); #125474=VECTOR('',#415741,10.); #125475=VECTOR('',#415744,10.); #125476=VECTOR('',#415745,10.); #125477=VECTOR('',#415746,10.); #125478=VECTOR('',#415749,10.); #125479=VECTOR('',#415750,10.); #125480=VECTOR('',#415751,10.); #125481=VECTOR('',#415754,10.); #125482=VECTOR('',#415755,10.); #125483=VECTOR('',#415762,10.); #125484=VECTOR('',#415763,10.); #125485=VECTOR('',#415764,10.); #125486=VECTOR('',#415765,10.); #125487=VECTOR('',#415768,10.); #125488=VECTOR('',#415769,10.); #125489=VECTOR('',#415770,10.); #125490=VECTOR('',#415773,10.); #125491=VECTOR('',#415774,10.); #125492=VECTOR('',#415775,10.); #125493=VECTOR('',#415778,10.); #125494=VECTOR('',#415779,10.); #125495=VECTOR('',#415786,10.); #125496=VECTOR('',#415787,10.); #125497=VECTOR('',#415788,10.); #125498=VECTOR('',#415789,10.); #125499=VECTOR('',#415792,10.); #125500=VECTOR('',#415793,10.); #125501=VECTOR('',#415794,10.); #125502=VECTOR('',#415797,10.); #125503=VECTOR('',#415798,10.); #125504=VECTOR('',#415799,10.); #125505=VECTOR('',#415802,10.); #125506=VECTOR('',#415803,10.); #125507=VECTOR('',#415810,10.); #125508=VECTOR('',#415811,10.); #125509=VECTOR('',#415812,10.); #125510=VECTOR('',#415813,10.); #125511=VECTOR('',#415816,10.); #125512=VECTOR('',#415817,10.); #125513=VECTOR('',#415818,10.); #125514=VECTOR('',#415821,10.); #125515=VECTOR('',#415822,10.); #125516=VECTOR('',#415823,10.); #125517=VECTOR('',#415826,10.); #125518=VECTOR('',#415827,10.); #125519=VECTOR('',#415834,10.); #125520=VECTOR('',#415835,10.); #125521=VECTOR('',#415836,10.); #125522=VECTOR('',#415837,10.); #125523=VECTOR('',#415840,10.); #125524=VECTOR('',#415841,10.); #125525=VECTOR('',#415842,10.); #125526=VECTOR('',#415845,10.); #125527=VECTOR('',#415846,10.); #125528=VECTOR('',#415847,10.); #125529=VECTOR('',#415850,10.); #125530=VECTOR('',#415851,10.); #125531=VECTOR('',#415858,10.); #125532=VECTOR('',#415859,10.); #125533=VECTOR('',#415860,10.); #125534=VECTOR('',#415861,10.); #125535=VECTOR('',#415864,10.); #125536=VECTOR('',#415865,10.); #125537=VECTOR('',#415866,10.); #125538=VECTOR('',#415869,10.); #125539=VECTOR('',#415870,10.); #125540=VECTOR('',#415871,10.); #125541=VECTOR('',#415874,10.); #125542=VECTOR('',#415875,10.); #125543=VECTOR('',#415882,10.); #125544=VECTOR('',#415883,10.); #125545=VECTOR('',#415884,10.); #125546=VECTOR('',#415885,10.); #125547=VECTOR('',#415888,10.); #125548=VECTOR('',#415889,10.); #125549=VECTOR('',#415890,10.); #125550=VECTOR('',#415893,10.); #125551=VECTOR('',#415894,10.); #125552=VECTOR('',#415895,10.); #125553=VECTOR('',#415898,10.); #125554=VECTOR('',#415899,10.); #125555=VECTOR('',#415906,10.); #125556=VECTOR('',#415907,10.); #125557=VECTOR('',#415908,10.); #125558=VECTOR('',#415909,10.); #125559=VECTOR('',#415912,10.); #125560=VECTOR('',#415913,10.); #125561=VECTOR('',#415914,10.); #125562=VECTOR('',#415917,10.); #125563=VECTOR('',#415918,10.); #125564=VECTOR('',#415919,10.); #125565=VECTOR('',#415922,10.); #125566=VECTOR('',#415923,10.); #125567=VECTOR('',#415930,10.); #125568=VECTOR('',#415931,10.); #125569=VECTOR('',#415932,10.); #125570=VECTOR('',#415933,10.); #125571=VECTOR('',#415936,10.); #125572=VECTOR('',#415937,10.); #125573=VECTOR('',#415938,10.); #125574=VECTOR('',#415941,10.); #125575=VECTOR('',#415942,10.); #125576=VECTOR('',#415943,10.); #125577=VECTOR('',#415946,10.); #125578=VECTOR('',#415947,10.); #125579=VECTOR('',#415954,10.); #125580=VECTOR('',#415955,10.); #125581=VECTOR('',#415956,10.); #125582=VECTOR('',#415957,10.); #125583=VECTOR('',#415960,10.); #125584=VECTOR('',#415961,10.); #125585=VECTOR('',#415962,10.); #125586=VECTOR('',#415965,10.); #125587=VECTOR('',#415966,10.); #125588=VECTOR('',#415967,10.); #125589=VECTOR('',#415970,10.); #125590=VECTOR('',#415971,10.); #125591=VECTOR('',#415978,10.); #125592=VECTOR('',#415979,10.); #125593=VECTOR('',#415980,10.); #125594=VECTOR('',#415981,10.); #125595=VECTOR('',#415984,10.); #125596=VECTOR('',#415985,10.); #125597=VECTOR('',#415986,10.); #125598=VECTOR('',#415989,10.); #125599=VECTOR('',#415990,10.); #125600=VECTOR('',#415991,10.); #125601=VECTOR('',#415994,10.); #125602=VECTOR('',#415995,10.); #125603=VECTOR('',#416002,10.); #125604=VECTOR('',#416003,10.); #125605=VECTOR('',#416004,10.); #125606=VECTOR('',#416005,10.); #125607=VECTOR('',#416008,10.); #125608=VECTOR('',#416009,10.); #125609=VECTOR('',#416010,10.); #125610=VECTOR('',#416013,10.); #125611=VECTOR('',#416014,10.); #125612=VECTOR('',#416015,10.); #125613=VECTOR('',#416018,10.); #125614=VECTOR('',#416019,10.); #125615=VECTOR('',#416026,10.); #125616=VECTOR('',#416027,10.); #125617=VECTOR('',#416028,10.); #125618=VECTOR('',#416029,10.); #125619=VECTOR('',#416032,10.); #125620=VECTOR('',#416033,10.); #125621=VECTOR('',#416034,10.); #125622=VECTOR('',#416037,10.); #125623=VECTOR('',#416038,10.); #125624=VECTOR('',#416039,10.); #125625=VECTOR('',#416042,10.); #125626=VECTOR('',#416043,10.); #125627=VECTOR('',#416050,10.); #125628=VECTOR('',#416051,10.); #125629=VECTOR('',#416052,10.); #125630=VECTOR('',#416053,10.); #125631=VECTOR('',#416056,10.); #125632=VECTOR('',#416057,10.); #125633=VECTOR('',#416058,10.); #125634=VECTOR('',#416061,10.); #125635=VECTOR('',#416062,10.); #125636=VECTOR('',#416063,10.); #125637=VECTOR('',#416066,10.); #125638=VECTOR('',#416067,10.); #125639=VECTOR('',#416076,0.114999999999998); #125640=VECTOR('',#416083,0.114999999999998); #125641=VECTOR('',#416088,10.); #125642=VECTOR('',#416089,10.); #125643=VECTOR('',#416090,10.); #125644=VECTOR('',#416091,10.); #125645=VECTOR('',#416094,10.); #125646=VECTOR('',#416095,10.); #125647=VECTOR('',#416096,10.); #125648=VECTOR('',#416099,10.); #125649=VECTOR('',#416100,10.); #125650=VECTOR('',#416101,10.); #125651=VECTOR('',#416104,10.); #125652=VECTOR('',#416105,10.); #125653=VECTOR('',#416112,10.); #125654=VECTOR('',#416113,10.); #125655=VECTOR('',#416114,10.); #125656=VECTOR('',#416115,10.); #125657=VECTOR('',#416118,10.); #125658=VECTOR('',#416119,10.); #125659=VECTOR('',#416120,10.); #125660=VECTOR('',#416123,10.); #125661=VECTOR('',#416124,10.); #125662=VECTOR('',#416125,10.); #125663=VECTOR('',#416128,10.); #125664=VECTOR('',#416129,10.); #125665=VECTOR('',#416138,0.114999999999998); #125666=VECTOR('',#416145,0.114999999999998); #125667=VECTOR('',#416150,10.); #125668=VECTOR('',#416151,10.); #125669=VECTOR('',#416152,10.); #125670=VECTOR('',#416153,10.); #125671=VECTOR('',#416156,10.); #125672=VECTOR('',#416157,10.); #125673=VECTOR('',#416158,10.); #125674=VECTOR('',#416161,10.); #125675=VECTOR('',#416162,10.); #125676=VECTOR('',#416163,10.); #125677=VECTOR('',#416166,10.); #125678=VECTOR('',#416167,10.); #125679=VECTOR('',#416174,10.); #125680=VECTOR('',#416175,10.); #125681=VECTOR('',#416176,10.); #125682=VECTOR('',#416177,10.); #125683=VECTOR('',#416180,10.); #125684=VECTOR('',#416181,10.); #125685=VECTOR('',#416182,10.); #125686=VECTOR('',#416185,10.); #125687=VECTOR('',#416186,10.); #125688=VECTOR('',#416187,10.); #125689=VECTOR('',#416190,10.); #125690=VECTOR('',#416191,10.); #125691=VECTOR('',#416198,10.); #125692=VECTOR('',#416199,10.); #125693=VECTOR('',#416200,10.); #125694=VECTOR('',#416201,10.); #125695=VECTOR('',#416204,10.); #125696=VECTOR('',#416205,10.); #125697=VECTOR('',#416206,10.); #125698=VECTOR('',#416209,10.); #125699=VECTOR('',#416210,10.); #125700=VECTOR('',#416211,10.); #125701=VECTOR('',#416214,10.); #125702=VECTOR('',#416215,10.); #125703=VECTOR('',#416222,10.); #125704=VECTOR('',#416223,10.); #125705=VECTOR('',#416224,10.); #125706=VECTOR('',#416225,10.); #125707=VECTOR('',#416228,10.); #125708=VECTOR('',#416229,10.); #125709=VECTOR('',#416230,10.); #125710=VECTOR('',#416233,10.); #125711=VECTOR('',#416234,10.); #125712=VECTOR('',#416235,10.); #125713=VECTOR('',#416238,10.); #125714=VECTOR('',#416239,10.); #125715=VECTOR('',#416246,10.); #125716=VECTOR('',#416247,10.); #125717=VECTOR('',#416248,10.); #125718=VECTOR('',#416249,10.); #125719=VECTOR('',#416252,10.); #125720=VECTOR('',#416253,10.); #125721=VECTOR('',#416254,10.); #125722=VECTOR('',#416257,10.); #125723=VECTOR('',#416258,10.); #125724=VECTOR('',#416259,10.); #125725=VECTOR('',#416262,10.); #125726=VECTOR('',#416263,10.); #125727=VECTOR('',#416270,10.); #125728=VECTOR('',#416271,10.); #125729=VECTOR('',#416272,10.); #125730=VECTOR('',#416273,10.); #125731=VECTOR('',#416276,10.); #125732=VECTOR('',#416277,10.); #125733=VECTOR('',#416278,10.); #125734=VECTOR('',#416281,10.); #125735=VECTOR('',#416282,10.); #125736=VECTOR('',#416283,10.); #125737=VECTOR('',#416286,10.); #125738=VECTOR('',#416287,10.); #125739=VECTOR('',#416294,10.); #125740=VECTOR('',#416295,10.); #125741=VECTOR('',#416296,10.); #125742=VECTOR('',#416297,10.); #125743=VECTOR('',#416300,10.); #125744=VECTOR('',#416301,10.); #125745=VECTOR('',#416302,10.); #125746=VECTOR('',#416305,10.); #125747=VECTOR('',#416306,10.); #125748=VECTOR('',#416307,10.); #125749=VECTOR('',#416310,10.); #125750=VECTOR('',#416311,10.); #125751=VECTOR('',#416318,10.); #125752=VECTOR('',#416319,10.); #125753=VECTOR('',#416320,10.); #125754=VECTOR('',#416321,10.); #125755=VECTOR('',#416324,10.); #125756=VECTOR('',#416325,10.); #125757=VECTOR('',#416326,10.); #125758=VECTOR('',#416329,10.); #125759=VECTOR('',#416330,10.); #125760=VECTOR('',#416331,10.); #125761=VECTOR('',#416334,10.); #125762=VECTOR('',#416335,10.); #125763=VECTOR('',#416342,10.); #125764=VECTOR('',#416343,10.); #125765=VECTOR('',#416344,10.); #125766=VECTOR('',#416345,10.); #125767=VECTOR('',#416348,10.); #125768=VECTOR('',#416349,10.); #125769=VECTOR('',#416350,10.); #125770=VECTOR('',#416353,10.); #125771=VECTOR('',#416354,10.); #125772=VECTOR('',#416355,10.); #125773=VECTOR('',#416358,10.); #125774=VECTOR('',#416359,10.); #125775=VECTOR('',#416366,10.); #125776=VECTOR('',#416367,10.); #125777=VECTOR('',#416368,10.); #125778=VECTOR('',#416369,10.); #125779=VECTOR('',#416372,10.); #125780=VECTOR('',#416373,10.); #125781=VECTOR('',#416374,10.); #125782=VECTOR('',#416377,10.); #125783=VECTOR('',#416378,10.); #125784=VECTOR('',#416379,10.); #125785=VECTOR('',#416382,10.); #125786=VECTOR('',#416383,10.); #125787=VECTOR('',#416390,10.); #125788=VECTOR('',#416391,10.); #125789=VECTOR('',#416392,10.); #125790=VECTOR('',#416393,10.); #125791=VECTOR('',#416396,10.); #125792=VECTOR('',#416397,10.); #125793=VECTOR('',#416398,10.); #125794=VECTOR('',#416401,10.); #125795=VECTOR('',#416402,10.); #125796=VECTOR('',#416403,10.); #125797=VECTOR('',#416406,10.); #125798=VECTOR('',#416407,10.); #125799=VECTOR('',#416414,10.); #125800=VECTOR('',#416415,10.); #125801=VECTOR('',#416416,10.); #125802=VECTOR('',#416417,10.); #125803=VECTOR('',#416420,10.); #125804=VECTOR('',#416421,10.); #125805=VECTOR('',#416422,10.); #125806=VECTOR('',#416425,10.); #125807=VECTOR('',#416426,10.); #125808=VECTOR('',#416427,10.); #125809=VECTOR('',#416430,10.); #125810=VECTOR('',#416431,10.); #125811=VECTOR('',#416438,10.); #125812=VECTOR('',#416439,10.); #125813=VECTOR('',#416440,10.); #125814=VECTOR('',#416441,10.); #125815=VECTOR('',#416444,10.); #125816=VECTOR('',#416445,10.); #125817=VECTOR('',#416446,10.); #125818=VECTOR('',#416449,10.); #125819=VECTOR('',#416450,10.); #125820=VECTOR('',#416451,10.); #125821=VECTOR('',#416454,10.); #125822=VECTOR('',#416455,10.); #125823=VECTOR('',#416462,10.); #125824=VECTOR('',#416463,10.); #125825=VECTOR('',#416464,10.); #125826=VECTOR('',#416465,10.); #125827=VECTOR('',#416468,10.); #125828=VECTOR('',#416469,10.); #125829=VECTOR('',#416470,10.); #125830=VECTOR('',#416473,10.); #125831=VECTOR('',#416474,10.); #125832=VECTOR('',#416475,10.); #125833=VECTOR('',#416478,10.); #125834=VECTOR('',#416479,10.); #125835=VECTOR('',#416486,10.); #125836=VECTOR('',#416487,10.); #125837=VECTOR('',#416488,10.); #125838=VECTOR('',#416489,10.); #125839=VECTOR('',#416492,10.); #125840=VECTOR('',#416493,10.); #125841=VECTOR('',#416494,10.); #125842=VECTOR('',#416497,10.); #125843=VECTOR('',#416498,10.); #125844=VECTOR('',#416499,10.); #125845=VECTOR('',#416502,10.); #125846=VECTOR('',#416503,10.); #125847=VECTOR('',#416510,10.); #125848=VECTOR('',#416511,10.); #125849=VECTOR('',#416512,10.); #125850=VECTOR('',#416513,10.); #125851=VECTOR('',#416516,10.); #125852=VECTOR('',#416517,10.); #125853=VECTOR('',#416518,10.); #125854=VECTOR('',#416521,10.); #125855=VECTOR('',#416522,10.); #125856=VECTOR('',#416523,10.); #125857=VECTOR('',#416526,10.); #125858=VECTOR('',#416527,10.); #125859=VECTOR('',#416534,10.); #125860=VECTOR('',#416535,10.); #125861=VECTOR('',#416536,10.); #125862=VECTOR('',#416537,10.); #125863=VECTOR('',#416540,10.); #125864=VECTOR('',#416541,10.); #125865=VECTOR('',#416542,10.); #125866=VECTOR('',#416545,10.); #125867=VECTOR('',#416546,10.); #125868=VECTOR('',#416547,10.); #125869=VECTOR('',#416550,10.); #125870=VECTOR('',#416551,10.); #125871=VECTOR('',#416560,0.139999999999998); #125872=VECTOR('',#416565,10.); #125873=VECTOR('',#416566,10.); #125874=VECTOR('',#416567,10.); #125875=VECTOR('',#416568,10.); #125876=VECTOR('',#416571,10.); #125877=VECTOR('',#416572,10.); #125878=VECTOR('',#416573,10.); #125879=VECTOR('',#416576,10.); #125880=VECTOR('',#416577,10.); #125881=VECTOR('',#416578,10.); #125882=VECTOR('',#416581,10.); #125883=VECTOR('',#416582,10.); #125884=VECTOR('',#416589,10.); #125885=VECTOR('',#416590,10.); #125886=VECTOR('',#416591,10.); #125887=VECTOR('',#416592,10.); #125888=VECTOR('',#416595,10.); #125889=VECTOR('',#416596,10.); #125890=VECTOR('',#416597,10.); #125891=VECTOR('',#416600,10.); #125892=VECTOR('',#416601,10.); #125893=VECTOR('',#416602,10.); #125894=VECTOR('',#416605,10.); #125895=VECTOR('',#416606,10.); #125896=VECTOR('',#416613,10.); #125897=VECTOR('',#416614,10.); #125898=VECTOR('',#416615,10.); #125899=VECTOR('',#416616,10.); #125900=VECTOR('',#416619,10.); #125901=VECTOR('',#416620,10.); #125902=VECTOR('',#416621,10.); #125903=VECTOR('',#416624,10.); #125904=VECTOR('',#416625,10.); #125905=VECTOR('',#416626,10.); #125906=VECTOR('',#416629,10.); #125907=VECTOR('',#416630,10.); #125908=VECTOR('',#416637,10.); #125909=VECTOR('',#416638,10.); #125910=VECTOR('',#416639,10.); #125911=VECTOR('',#416640,10.); #125912=VECTOR('',#416643,10.); #125913=VECTOR('',#416644,10.); #125914=VECTOR('',#416645,10.); #125915=VECTOR('',#416648,10.); #125916=VECTOR('',#416649,10.); #125917=VECTOR('',#416650,10.); #125918=VECTOR('',#416653,10.); #125919=VECTOR('',#416654,10.); #125920=VECTOR('',#416661,10.); #125921=VECTOR('',#416662,10.); #125922=VECTOR('',#416663,10.); #125923=VECTOR('',#416664,10.); #125924=VECTOR('',#416667,10.); #125925=VECTOR('',#416668,10.); #125926=VECTOR('',#416669,10.); #125927=VECTOR('',#416672,10.); #125928=VECTOR('',#416673,10.); #125929=VECTOR('',#416674,10.); #125930=VECTOR('',#416677,10.); #125931=VECTOR('',#416678,10.); #125932=VECTOR('',#416687,0.140000000000002); #125933=VECTOR('',#416694,0.140000000000002); #125934=VECTOR('',#416701,0.140000000000002); #125935=VECTOR('',#416708,0.140000000000002); #125936=VECTOR('',#416715,0.140000000000002); #125937=VECTOR('',#416722,0.140000000000002); #125938=VECTOR('',#416729,0.140000000000002); #125939=VECTOR('',#416736,0.140000000000002); #125940=VECTOR('',#416743,0.140000000000002); #125941=VECTOR('',#416750,0.140000000000002); #125942=VECTOR('',#416757,0.140000000000002); #125943=VECTOR('',#416764,0.140000000000002); #125944=VECTOR('',#416771,0.140000000000002); #125945=VECTOR('',#416778,0.140000000000002); #125946=VECTOR('',#416785,0.140000000000002); #125947=VECTOR('',#416790,10.); #125948=VECTOR('',#416791,10.); #125949=VECTOR('',#416792,10.); #125950=VECTOR('',#416793,10.); #125951=VECTOR('',#416796,10.); #125952=VECTOR('',#416797,10.); #125953=VECTOR('',#416798,10.); #125954=VECTOR('',#416801,10.); #125955=VECTOR('',#416802,10.); #125956=VECTOR('',#416803,10.); #125957=VECTOR('',#416806,10.); #125958=VECTOR('',#416807,10.); #125959=VECTOR('',#416814,10.); #125960=VECTOR('',#416815,10.); #125961=VECTOR('',#416816,10.); #125962=VECTOR('',#416817,10.); #125963=VECTOR('',#416820,10.); #125964=VECTOR('',#416821,10.); #125965=VECTOR('',#416822,10.); #125966=VECTOR('',#416825,10.); #125967=VECTOR('',#416826,10.); #125968=VECTOR('',#416827,10.); #125969=VECTOR('',#416830,10.); #125970=VECTOR('',#416831,10.); #125971=VECTOR('',#416838,10.); #125972=VECTOR('',#416839,10.); #125973=VECTOR('',#416840,10.); #125974=VECTOR('',#416841,10.); #125975=VECTOR('',#416844,10.); #125976=VECTOR('',#416845,10.); #125977=VECTOR('',#416846,10.); #125978=VECTOR('',#416849,10.); #125979=VECTOR('',#416850,10.); #125980=VECTOR('',#416851,10.); #125981=VECTOR('',#416854,10.); #125982=VECTOR('',#416855,10.); #125983=VECTOR('',#416862,10.); #125984=VECTOR('',#416863,10.); #125985=VECTOR('',#416864,10.); #125986=VECTOR('',#416865,10.); #125987=VECTOR('',#416868,10.); #125988=VECTOR('',#416869,10.); #125989=VECTOR('',#416870,10.); #125990=VECTOR('',#416873,10.); #125991=VECTOR('',#416874,10.); #125992=VECTOR('',#416875,10.); #125993=VECTOR('',#416878,10.); #125994=VECTOR('',#416879,10.); #125995=VECTOR('',#416888,0.139999999999998); #125996=VECTOR('',#416893,10.); #125997=VECTOR('',#416894,10.); #125998=VECTOR('',#416895,10.); #125999=VECTOR('',#416896,10.); #126000=VECTOR('',#416899,10.); #126001=VECTOR('',#416900,10.); #126002=VECTOR('',#416901,10.); #126003=VECTOR('',#416904,10.); #126004=VECTOR('',#416905,10.); #126005=VECTOR('',#416906,10.); #126006=VECTOR('',#416909,10.); #126007=VECTOR('',#416910,10.); #126008=VECTOR('',#416917,10.); #126009=VECTOR('',#416918,10.); #126010=VECTOR('',#416919,10.); #126011=VECTOR('',#416920,10.); #126012=VECTOR('',#416923,10.); #126013=VECTOR('',#416924,10.); #126014=VECTOR('',#416925,10.); #126015=VECTOR('',#416928,10.); #126016=VECTOR('',#416929,10.); #126017=VECTOR('',#416930,10.); #126018=VECTOR('',#416933,10.); #126019=VECTOR('',#416934,10.); #126020=VECTOR('',#416941,10.); #126021=VECTOR('',#416942,10.); #126022=VECTOR('',#416943,10.); #126023=VECTOR('',#416944,10.); #126024=VECTOR('',#416947,10.); #126025=VECTOR('',#416948,10.); #126026=VECTOR('',#416949,10.); #126027=VECTOR('',#416952,10.); #126028=VECTOR('',#416953,10.); #126029=VECTOR('',#416954,10.); #126030=VECTOR('',#416957,10.); #126031=VECTOR('',#416958,10.); #126032=VECTOR('',#416965,10.); #126033=VECTOR('',#416966,10.); #126034=VECTOR('',#416967,10.); #126035=VECTOR('',#416968,10.); #126036=VECTOR('',#416971,10.); #126037=VECTOR('',#416972,10.); #126038=VECTOR('',#416973,10.); #126039=VECTOR('',#416976,10.); #126040=VECTOR('',#416977,10.); #126041=VECTOR('',#416978,10.); #126042=VECTOR('',#416981,10.); #126043=VECTOR('',#416982,10.); #126044=VECTOR('',#416989,10.); #126045=VECTOR('',#416990,10.); #126046=VECTOR('',#416991,10.); #126047=VECTOR('',#416992,10.); #126048=VECTOR('',#416995,10.); #126049=VECTOR('',#416996,10.); #126050=VECTOR('',#416997,10.); #126051=VECTOR('',#417000,10.); #126052=VECTOR('',#417001,10.); #126053=VECTOR('',#417002,10.); #126054=VECTOR('',#417005,10.); #126055=VECTOR('',#417006,10.); #126056=VECTOR('',#417015,0.139999999999998); #126057=VECTOR('',#417022,0.139999999999998); #126058=VECTOR('',#417029,0.139999999999998); #126059=VECTOR('',#417036,0.139999999999998); #126060=VECTOR('',#417043,0.139999999999998); #126061=VECTOR('',#417050,0.139999999999998); #126062=VECTOR('',#417057,0.139999999999998); #126063=VECTOR('',#417064,0.139999999999998); #126064=VECTOR('',#417071,0.139999999999998); #126065=VECTOR('',#417078,0.139999999999998); #126066=VECTOR('',#417085,0.139999999999998); #126067=VECTOR('',#417092,0.139999999999998); #126068=VECTOR('',#417099,0.139999999999998); #126069=VECTOR('',#417106,0.139999999999998); #126070=VECTOR('',#417113,0.139999999999998); #126071=VECTOR('',#417118,10.); #126072=VECTOR('',#417119,10.); #126073=VECTOR('',#417120,10.); #126074=VECTOR('',#417121,10.); #126075=VECTOR('',#417124,10.); #126076=VECTOR('',#417125,10.); #126077=VECTOR('',#417126,10.); #126078=VECTOR('',#417129,10.); #126079=VECTOR('',#417130,10.); #126080=VECTOR('',#417131,10.); #126081=VECTOR('',#417134,10.); #126082=VECTOR('',#417135,10.); #126083=VECTOR('',#417142,10.); #126084=VECTOR('',#417143,10.); #126085=VECTOR('',#417144,10.); #126086=VECTOR('',#417145,10.); #126087=VECTOR('',#417148,10.); #126088=VECTOR('',#417149,10.); #126089=VECTOR('',#417150,10.); #126090=VECTOR('',#417153,10.); #126091=VECTOR('',#417154,10.); #126092=VECTOR('',#417155,10.); #126093=VECTOR('',#417158,10.); #126094=VECTOR('',#417159,10.); #126095=VECTOR('',#417166,10.); #126096=VECTOR('',#417167,10.); #126097=VECTOR('',#417168,10.); #126098=VECTOR('',#417169,10.); #126099=VECTOR('',#417172,10.); #126100=VECTOR('',#417173,10.); #126101=VECTOR('',#417174,10.); #126102=VECTOR('',#417177,10.); #126103=VECTOR('',#417178,10.); #126104=VECTOR('',#417179,10.); #126105=VECTOR('',#417182,10.); #126106=VECTOR('',#417183,10.); #126107=VECTOR('',#417190,10.); #126108=VECTOR('',#417191,10.); #126109=VECTOR('',#417192,10.); #126110=VECTOR('',#417193,10.); #126111=VECTOR('',#417196,10.); #126112=VECTOR('',#417197,10.); #126113=VECTOR('',#417198,10.); #126114=VECTOR('',#417201,10.); #126115=VECTOR('',#417202,10.); #126116=VECTOR('',#417203,10.); #126117=VECTOR('',#417206,10.); #126118=VECTOR('',#417207,10.); #126119=VECTOR('',#417216,0.139999999999998); #126120=VECTOR('',#417221,10.); #126121=VECTOR('',#417222,10.); #126122=VECTOR('',#417223,10.); #126123=VECTOR('',#417224,10.); #126124=VECTOR('',#417227,10.); #126125=VECTOR('',#417228,10.); #126126=VECTOR('',#417229,10.); #126127=VECTOR('',#417232,10.); #126128=VECTOR('',#417233,10.); #126129=VECTOR('',#417234,10.); #126130=VECTOR('',#417237,10.); #126131=VECTOR('',#417238,10.); #126132=VECTOR('',#417247,0.139999999999998); #126133=VECTOR('',#417252,10.); #126134=VECTOR('',#417253,10.); #126135=VECTOR('',#417254,10.); #126136=VECTOR('',#417255,10.); #126137=VECTOR('',#417258,10.); #126138=VECTOR('',#417259,10.); #126139=VECTOR('',#417260,10.); #126140=VECTOR('',#417263,10.); #126141=VECTOR('',#417264,10.); #126142=VECTOR('',#417265,10.); #126143=VECTOR('',#417268,10.); #126144=VECTOR('',#417269,10.); #126145=VECTOR('',#417278,0.140000000000002); #126146=VECTOR('',#417283,10.); #126147=VECTOR('',#417284,10.); #126148=VECTOR('',#417285,10.); #126149=VECTOR('',#417286,10.); #126150=VECTOR('',#417289,10.); #126151=VECTOR('',#417290,10.); #126152=VECTOR('',#417291,10.); #126153=VECTOR('',#417294,10.); #126154=VECTOR('',#417295,10.); #126155=VECTOR('',#417296,10.); #126156=VECTOR('',#417299,10.); #126157=VECTOR('',#417300,10.); #126158=VECTOR('',#417309,0.139999999999998); #126159=VECTOR('',#417316,0.139999999999998); #126160=VECTOR('',#417323,0.139999999999998); #126161=VECTOR('',#417330,0.139999999999998); #126162=VECTOR('',#417337,0.139999999999998); #126163=VECTOR('',#417344,0.139999999999998); #126164=VECTOR('',#417351,0.139999999999998); #126165=VECTOR('',#417358,0.139999999999998); #126166=VECTOR('',#417365,0.139999999999998); #126167=VECTOR('',#417372,0.139999999999998); #126168=VECTOR('',#417379,0.139999999999998); #126169=VECTOR('',#417386,0.139999999999998); #126170=VECTOR('',#417393,0.139999999999998); #126171=VECTOR('',#417400,0.139999999999998); #126172=VECTOR('',#417407,0.139999999999998); #126173=VECTOR('',#417412,10.); #126174=VECTOR('',#417413,10.); #126175=VECTOR('',#417414,10.); #126176=VECTOR('',#417415,10.); #126177=VECTOR('',#417418,10.); #126178=VECTOR('',#417419,10.); #126179=VECTOR('',#417420,10.); #126180=VECTOR('',#417423,10.); #126181=VECTOR('',#417424,10.); #126182=VECTOR('',#417425,10.); #126183=VECTOR('',#417428,10.); #126184=VECTOR('',#417429,10.); #126185=VECTOR('',#417436,10.); #126186=VECTOR('',#417437,10.); #126187=VECTOR('',#417438,10.); #126188=VECTOR('',#417439,10.); #126189=VECTOR('',#417442,10.); #126190=VECTOR('',#417443,10.); #126191=VECTOR('',#417444,10.); #126192=VECTOR('',#417447,10.); #126193=VECTOR('',#417448,10.); #126194=VECTOR('',#417449,10.); #126195=VECTOR('',#417452,10.); #126196=VECTOR('',#417453,10.); #126197=VECTOR('',#417460,10.); #126198=VECTOR('',#417461,10.); #126199=VECTOR('',#417462,10.); #126200=VECTOR('',#417463,10.); #126201=VECTOR('',#417466,10.); #126202=VECTOR('',#417467,10.); #126203=VECTOR('',#417468,10.); #126204=VECTOR('',#417471,10.); #126205=VECTOR('',#417472,10.); #126206=VECTOR('',#417473,10.); #126207=VECTOR('',#417476,10.); #126208=VECTOR('',#417477,10.); #126209=VECTOR('',#417484,10.); #126210=VECTOR('',#417485,10.); #126211=VECTOR('',#417486,10.); #126212=VECTOR('',#417487,10.); #126213=VECTOR('',#417490,10.); #126214=VECTOR('',#417491,10.); #126215=VECTOR('',#417492,10.); #126216=VECTOR('',#417495,10.); #126217=VECTOR('',#417496,10.); #126218=VECTOR('',#417497,10.); #126219=VECTOR('',#417500,10.); #126220=VECTOR('',#417501,10.); #126221=VECTOR('',#417508,10.); #126222=VECTOR('',#417509,10.); #126223=VECTOR('',#417510,10.); #126224=VECTOR('',#417511,10.); #126225=VECTOR('',#417514,10.); #126226=VECTOR('',#417515,10.); #126227=VECTOR('',#417516,10.); #126228=VECTOR('',#417519,10.); #126229=VECTOR('',#417520,10.); #126230=VECTOR('',#417521,10.); #126231=VECTOR('',#417524,10.); #126232=VECTOR('',#417525,10.); #126233=VECTOR('',#417532,10.); #126234=VECTOR('',#417533,10.); #126235=VECTOR('',#417534,10.); #126236=VECTOR('',#417535,10.); #126237=VECTOR('',#417538,10.); #126238=VECTOR('',#417539,10.); #126239=VECTOR('',#417540,10.); #126240=VECTOR('',#417543,10.); #126241=VECTOR('',#417544,10.); #126242=VECTOR('',#417545,10.); #126243=VECTOR('',#417548,10.); #126244=VECTOR('',#417549,10.); #126245=VECTOR('',#417556,10.); #126246=VECTOR('',#417557,10.); #126247=VECTOR('',#417558,10.); #126248=VECTOR('',#417559,10.); #126249=VECTOR('',#417562,10.); #126250=VECTOR('',#417563,10.); #126251=VECTOR('',#417564,10.); #126252=VECTOR('',#417567,10.); #126253=VECTOR('',#417568,10.); #126254=VECTOR('',#417569,10.); #126255=VECTOR('',#417572,10.); #126256=VECTOR('',#417573,10.); #126257=VECTOR('',#417580,10.); #126258=VECTOR('',#417581,10.); #126259=VECTOR('',#417582,10.); #126260=VECTOR('',#417583,10.); #126261=VECTOR('',#417586,10.); #126262=VECTOR('',#417587,10.); #126263=VECTOR('',#417588,10.); #126264=VECTOR('',#417591,10.); #126265=VECTOR('',#417592,10.); #126266=VECTOR('',#417593,10.); #126267=VECTOR('',#417596,10.); #126268=VECTOR('',#417597,10.); #126269=VECTOR('',#417604,10.); #126270=VECTOR('',#417605,10.); #126271=VECTOR('',#417606,10.); #126272=VECTOR('',#417607,10.); #126273=VECTOR('',#417610,10.); #126274=VECTOR('',#417611,10.); #126275=VECTOR('',#417612,10.); #126276=VECTOR('',#417615,10.); #126277=VECTOR('',#417616,10.); #126278=VECTOR('',#417617,10.); #126279=VECTOR('',#417620,10.); #126280=VECTOR('',#417621,10.); #126281=VECTOR('',#417628,10.); #126282=VECTOR('',#417629,10.); #126283=VECTOR('',#417630,10.); #126284=VECTOR('',#417631,10.); #126285=VECTOR('',#417634,10.); #126286=VECTOR('',#417635,10.); #126287=VECTOR('',#417636,10.); #126288=VECTOR('',#417639,10.); #126289=VECTOR('',#417640,10.); #126290=VECTOR('',#417641,10.); #126291=VECTOR('',#417644,10.); #126292=VECTOR('',#417645,10.); #126293=VECTOR('',#417652,10.); #126294=VECTOR('',#417653,10.); #126295=VECTOR('',#417654,10.); #126296=VECTOR('',#417655,10.); #126297=VECTOR('',#417658,10.); #126298=VECTOR('',#417659,10.); #126299=VECTOR('',#417660,10.); #126300=VECTOR('',#417663,10.); #126301=VECTOR('',#417664,10.); #126302=VECTOR('',#417665,10.); #126303=VECTOR('',#417668,10.); #126304=VECTOR('',#417669,10.); #126305=VECTOR('',#417676,10.); #126306=VECTOR('',#417677,10.); #126307=VECTOR('',#417678,10.); #126308=VECTOR('',#417679,10.); #126309=VECTOR('',#417682,10.); #126310=VECTOR('',#417683,10.); #126311=VECTOR('',#417684,10.); #126312=VECTOR('',#417687,10.); #126313=VECTOR('',#417688,10.); #126314=VECTOR('',#417689,10.); #126315=VECTOR('',#417692,10.); #126316=VECTOR('',#417693,10.); #126317=VECTOR('',#417700,10.); #126318=VECTOR('',#417701,10.); #126319=VECTOR('',#417702,10.); #126320=VECTOR('',#417703,10.); #126321=VECTOR('',#417706,10.); #126322=VECTOR('',#417707,10.); #126323=VECTOR('',#417708,10.); #126324=VECTOR('',#417711,10.); #126325=VECTOR('',#417712,10.); #126326=VECTOR('',#417713,10.); #126327=VECTOR('',#417716,10.); #126328=VECTOR('',#417717,10.); #126329=VECTOR('',#417724,10.); #126330=VECTOR('',#417725,10.); #126331=VECTOR('',#417726,10.); #126332=VECTOR('',#417727,10.); #126333=VECTOR('',#417730,10.); #126334=VECTOR('',#417731,10.); #126335=VECTOR('',#417732,10.); #126336=VECTOR('',#417735,10.); #126337=VECTOR('',#417736,10.); #126338=VECTOR('',#417737,10.); #126339=VECTOR('',#417740,10.); #126340=VECTOR('',#417741,10.); #126341=VECTOR('',#417748,10.); #126342=VECTOR('',#417749,10.); #126343=VECTOR('',#417750,10.); #126344=VECTOR('',#417751,10.); #126345=VECTOR('',#417754,10.); #126346=VECTOR('',#417755,10.); #126347=VECTOR('',#417756,10.); #126348=VECTOR('',#417759,10.); #126349=VECTOR('',#417760,10.); #126350=VECTOR('',#417761,10.); #126351=VECTOR('',#417764,10.); #126352=VECTOR('',#417765,10.); #126353=VECTOR('',#417772,10.); #126354=VECTOR('',#417773,10.); #126355=VECTOR('',#417774,10.); #126356=VECTOR('',#417775,10.); #126357=VECTOR('',#417778,10.); #126358=VECTOR('',#417779,10.); #126359=VECTOR('',#417780,10.); #126360=VECTOR('',#417783,10.); #126361=VECTOR('',#417784,10.); #126362=VECTOR('',#417785,10.); #126363=VECTOR('',#417788,10.); #126364=VECTOR('',#417789,10.); #126365=VECTOR('',#417796,10.); #126366=VECTOR('',#417797,10.); #126367=VECTOR('',#417798,10.); #126368=VECTOR('',#417799,10.); #126369=VECTOR('',#417802,10.); #126370=VECTOR('',#417803,10.); #126371=VECTOR('',#417804,10.); #126372=VECTOR('',#417807,10.); #126373=VECTOR('',#417808,10.); #126374=VECTOR('',#417809,10.); #126375=VECTOR('',#417812,10.); #126376=VECTOR('',#417813,10.); #126377=VECTOR('',#417820,10.); #126378=VECTOR('',#417821,10.); #126379=VECTOR('',#417822,10.); #126380=VECTOR('',#417823,10.); #126381=VECTOR('',#417826,10.); #126382=VECTOR('',#417827,10.); #126383=VECTOR('',#417828,10.); #126384=VECTOR('',#417831,10.); #126385=VECTOR('',#417832,10.); #126386=VECTOR('',#417833,10.); #126387=VECTOR('',#417836,10.); #126388=VECTOR('',#417837,10.); #126389=VECTOR('',#417844,10.); #126390=VECTOR('',#417845,10.); #126391=VECTOR('',#417846,10.); #126392=VECTOR('',#417847,10.); #126393=VECTOR('',#417850,10.); #126394=VECTOR('',#417851,10.); #126395=VECTOR('',#417852,10.); #126396=VECTOR('',#417855,10.); #126397=VECTOR('',#417856,10.); #126398=VECTOR('',#417857,10.); #126399=VECTOR('',#417860,10.); #126400=VECTOR('',#417861,10.); #126401=VECTOR('',#417868,10.); #126402=VECTOR('',#417869,10.); #126403=VECTOR('',#417870,10.); #126404=VECTOR('',#417871,10.); #126405=VECTOR('',#417874,10.); #126406=VECTOR('',#417875,10.); #126407=VECTOR('',#417876,10.); #126408=VECTOR('',#417879,10.); #126409=VECTOR('',#417880,10.); #126410=VECTOR('',#417881,10.); #126411=VECTOR('',#417884,10.); #126412=VECTOR('',#417885,10.); #126413=VECTOR('',#417892,10.); #126414=VECTOR('',#417893,10.); #126415=VECTOR('',#417894,10.); #126416=VECTOR('',#417895,10.); #126417=VECTOR('',#417898,10.); #126418=VECTOR('',#417899,10.); #126419=VECTOR('',#417900,10.); #126420=VECTOR('',#417903,10.); #126421=VECTOR('',#417904,10.); #126422=VECTOR('',#417905,10.); #126423=VECTOR('',#417908,10.); #126424=VECTOR('',#417909,10.); #126425=VECTOR('',#417918,0.140000000000002); #126426=VECTOR('',#417925,0.140000000000002); #126427=VECTOR('',#417932,0.140000000000002); #126428=VECTOR('',#417939,0.140000000000002); #126429=VECTOR('',#417946,0.140000000000002); #126430=VECTOR('',#417953,0.140000000000002); #126431=VECTOR('',#417960,0.140000000000002); #126432=VECTOR('',#417967,0.140000000000002); #126433=VECTOR('',#417974,0.140000000000002); #126434=VECTOR('',#417981,0.140000000000002); #126435=VECTOR('',#417988,0.140000000000002); #126436=VECTOR('',#417995,0.140000000000002); #126437=VECTOR('',#418002,0.140000000000002); #126438=VECTOR('',#418009,0.140000000000002); #126439=VECTOR('',#418016,0.140000000000002); #126440=VECTOR('',#418021,10.); #126441=VECTOR('',#418022,10.); #126442=VECTOR('',#418023,10.); #126443=VECTOR('',#418024,10.); #126444=VECTOR('',#418027,10.); #126445=VECTOR('',#418028,10.); #126446=VECTOR('',#418029,10.); #126447=VECTOR('',#418032,10.); #126448=VECTOR('',#418033,10.); #126449=VECTOR('',#418034,10.); #126450=VECTOR('',#418037,10.); #126451=VECTOR('',#418038,10.); #126452=VECTOR('',#418045,10.); #126453=VECTOR('',#418046,10.); #126454=VECTOR('',#418047,10.); #126455=VECTOR('',#418048,10.); #126456=VECTOR('',#418051,10.); #126457=VECTOR('',#418052,10.); #126458=VECTOR('',#418053,10.); #126459=VECTOR('',#418056,10.); #126460=VECTOR('',#418057,10.); #126461=VECTOR('',#418058,10.); #126462=VECTOR('',#418061,10.); #126463=VECTOR('',#418062,10.); #126464=VECTOR('',#418069,10.); #126465=VECTOR('',#418070,10.); #126466=VECTOR('',#418071,10.); #126467=VECTOR('',#418072,10.); #126468=VECTOR('',#418075,10.); #126469=VECTOR('',#418076,10.); #126470=VECTOR('',#418077,10.); #126471=VECTOR('',#418080,10.); #126472=VECTOR('',#418081,10.); #126473=VECTOR('',#418082,10.); #126474=VECTOR('',#418085,10.); #126475=VECTOR('',#418086,10.); #126476=VECTOR('',#418093,10.); #126477=VECTOR('',#418094,10.); #126478=VECTOR('',#418095,10.); #126479=VECTOR('',#418096,10.); #126480=VECTOR('',#418099,10.); #126481=VECTOR('',#418100,10.); #126482=VECTOR('',#418101,10.); #126483=VECTOR('',#418104,10.); #126484=VECTOR('',#418105,10.); #126485=VECTOR('',#418106,10.); #126486=VECTOR('',#418109,10.); #126487=VECTOR('',#418110,10.); #126488=VECTOR('',#418117,10.); #126489=VECTOR('',#418118,10.); #126490=VECTOR('',#418119,10.); #126491=VECTOR('',#418120,10.); #126492=VECTOR('',#418123,10.); #126493=VECTOR('',#418124,10.); #126494=VECTOR('',#418125,10.); #126495=VECTOR('',#418128,10.); #126496=VECTOR('',#418129,10.); #126497=VECTOR('',#418130,10.); #126498=VECTOR('',#418133,10.); #126499=VECTOR('',#418134,10.); #126500=VECTOR('',#418141,10.); #126501=VECTOR('',#418142,10.); #126502=VECTOR('',#418143,10.); #126503=VECTOR('',#418144,10.); #126504=VECTOR('',#418147,10.); #126505=VECTOR('',#418148,10.); #126506=VECTOR('',#418149,10.); #126507=VECTOR('',#418152,10.); #126508=VECTOR('',#418153,10.); #126509=VECTOR('',#418154,10.); #126510=VECTOR('',#418157,10.); #126511=VECTOR('',#418158,10.); #126512=VECTOR('',#418165,10.); #126513=VECTOR('',#418166,10.); #126514=VECTOR('',#418167,10.); #126515=VECTOR('',#418168,10.); #126516=VECTOR('',#418171,10.); #126517=VECTOR('',#418172,10.); #126518=VECTOR('',#418173,10.); #126519=VECTOR('',#418176,10.); #126520=VECTOR('',#418177,10.); #126521=VECTOR('',#418178,10.); #126522=VECTOR('',#418181,10.); #126523=VECTOR('',#418182,10.); #126524=VECTOR('',#418191,0.140000000000002); #126525=VECTOR('',#418196,10.); #126526=VECTOR('',#418197,10.); #126527=VECTOR('',#418198,10.); #126528=VECTOR('',#418199,10.); #126529=VECTOR('',#418202,10.); #126530=VECTOR('',#418203,10.); #126531=VECTOR('',#418204,10.); #126532=VECTOR('',#418207,10.); #126533=VECTOR('',#418208,10.); #126534=VECTOR('',#418209,10.); #126535=VECTOR('',#418212,10.); #126536=VECTOR('',#418213,10.); #126537=VECTOR('',#418222,0.114999999999998); #126538=VECTOR('',#418229,0.114999999999998); #126539=VECTOR('',#418234,10.); #126540=VECTOR('',#418235,10.); #126541=VECTOR('',#418236,10.); #126542=VECTOR('',#418237,10.); #126543=VECTOR('',#418240,10.); #126544=VECTOR('',#418241,10.); #126545=VECTOR('',#418242,10.); #126546=VECTOR('',#418245,10.); #126547=VECTOR('',#418246,10.); #126548=VECTOR('',#418247,10.); #126549=VECTOR('',#418250,10.); #126550=VECTOR('',#418251,10.); #126551=VECTOR('',#418260,0.114999999999998); #126552=VECTOR('',#418267,0.114999999999998); #126553=VECTOR('',#418272,10.); #126554=VECTOR('',#418273,10.); #126555=VECTOR('',#418274,10.); #126556=VECTOR('',#418275,10.); #126557=VECTOR('',#418278,10.); #126558=VECTOR('',#418279,10.); #126559=VECTOR('',#418280,10.); #126560=VECTOR('',#418283,10.); #126561=VECTOR('',#418284,10.); #126562=VECTOR('',#418285,10.); #126563=VECTOR('',#418288,10.); #126564=VECTOR('',#418289,10.); #126565=VECTOR('',#418298,0.139999999999998); #126566=VECTOR('',#418303,10.); #126567=VECTOR('',#418304,10.); #126568=VECTOR('',#418305,10.); #126569=VECTOR('',#418306,10.); #126570=VECTOR('',#418309,10.); #126571=VECTOR('',#418310,10.); #126572=VECTOR('',#418311,10.); #126573=VECTOR('',#418314,10.); #126574=VECTOR('',#418315,10.); #126575=VECTOR('',#418316,10.); #126576=VECTOR('',#418319,10.); #126577=VECTOR('',#418320,10.); #126578=VECTOR('',#418331,0.325); #126579=VECTOR('',#418338,0.325); #126580=VECTOR('',#418345,0.600000000000001); #126581=VECTOR('',#418352,0.175000000000001); #126582=VECTOR('',#418359,0.175000000000001); #126583=VECTOR('',#418366,0.175000000000001); #126584=VECTOR('',#418373,0.175000000000001); #126585=VECTOR('',#418380,0.175000000000001); #126586=VECTOR('',#418387,0.175000000000001); #126587=VECTOR('',#418394,0.175000000000001); #126588=VECTOR('',#418401,0.175000000000001); #126589=VECTOR('',#418408,0.175000000000001); #126590=VECTOR('',#418415,0.175000000000001); #126591=VECTOR('',#418422,0.175000000000001); #126592=VECTOR('',#418429,0.175000000000001); #126593=VECTOR('',#418436,0.175000000000001); #126594=VECTOR('',#418443,0.175000000000001); #126595=VECTOR('',#418450,0.175000000000001); #126596=VECTOR('',#418457,0.5); #126597=VECTOR('',#418464,0.175000000000001); #126598=VECTOR('',#418471,0.175000000000001); #126599=VECTOR('',#418478,0.175000000000001); #126600=VECTOR('',#418485,0.175000000000001); #126601=VECTOR('',#418492,0.175000000000001); #126602=VECTOR('',#418499,0.175000000000001); #126603=VECTOR('',#418506,0.175000000000001); #126604=VECTOR('',#418513,0.175000000000001); #126605=VECTOR('',#418520,0.175000000000001); #126606=VECTOR('',#418527,0.175000000000001); #126607=VECTOR('',#418534,0.175000000000001); #126608=VECTOR('',#418541,0.175000000000001); #126609=VECTOR('',#418548,0.175000000000001); #126610=VECTOR('',#418555,0.175000000000001); #126611=VECTOR('',#418562,0.175000000000001); #126612=VECTOR('',#418569,0.550000000000002); #126613=VECTOR('',#418576,0.175000000000001); #126614=VECTOR('',#418583,0.175000000000001); #126615=VECTOR('',#418590,0.175000000000001); #126616=VECTOR('',#418597,0.175000000000001); #126617=VECTOR('',#418604,0.175000000000001); #126618=VECTOR('',#418611,0.175000000000001); #126619=VECTOR('',#418618,0.175000000000001); #126620=VECTOR('',#418625,0.175000000000001); #126621=VECTOR('',#418632,0.175000000000001); #126622=VECTOR('',#418639,0.175000000000001); #126623=VECTOR('',#418646,0.175000000000001); #126624=VECTOR('',#418653,0.175000000000001); #126625=VECTOR('',#418660,0.175000000000001); #126626=VECTOR('',#418667,0.175000000000001); #126627=VECTOR('',#418674,0.175000000000001); #126628=VECTOR('',#418681,0.5); #126629=VECTOR('',#418688,0.175000000000001); #126630=VECTOR('',#418695,0.175000000000001); #126631=VECTOR('',#418702,0.175000000000001); #126632=VECTOR('',#418709,0.175000000000001); #126633=VECTOR('',#418716,0.175000000000001); #126634=VECTOR('',#418723,0.175000000000001); #126635=VECTOR('',#418730,0.175000000000001); #126636=VECTOR('',#418737,0.175000000000001); #126637=VECTOR('',#418744,0.175000000000001); #126638=VECTOR('',#418751,0.175000000000001); #126639=VECTOR('',#418758,0.175000000000001); #126640=VECTOR('',#418765,0.175000000000001); #126641=VECTOR('',#418772,0.175000000000001); #126642=VECTOR('',#418779,0.175000000000001); #126643=VECTOR('',#418786,0.175000000000001); #126644=VECTOR('',#418793,0.600000000000001); #126645=VECTOR('',#418800,0.175000000000001); #126646=VECTOR('',#418807,0.175000000000001); #126647=VECTOR('',#418814,0.175000000000001); #126648=VECTOR('',#418821,0.175000000000001); #126649=VECTOR('',#418828,0.175000000000001); #126650=VECTOR('',#418835,0.175); #126651=VECTOR('',#418842,0.175000000000001); #126652=VECTOR('',#418849,0.175000000000001); #126653=VECTOR('',#418856,0.175000000000001); #126654=VECTOR('',#418863,0.175000000000001); #126655=VECTOR('',#418870,0.175000000000001); #126656=VECTOR('',#418877,0.175000000000001); #126657=VECTOR('',#418884,0.175000000000001); #126658=VECTOR('',#418891,0.175); #126659=VECTOR('',#418898,0.175000000000001); #126660=VECTOR('',#418905,0.175000000000001); #126661=VECTOR('',#418912,0.175000000000001); #126662=VECTOR('',#418919,0.175000000000001); #126663=VECTOR('',#418926,0.175000000000001); #126664=VECTOR('',#418933,0.175000000000001); #126665=VECTOR('',#418940,0.175000000000001); #126666=VECTOR('',#418947,0.175000000000001); #126667=VECTOR('',#418954,0.175000000000001); #126668=VECTOR('',#418961,0.175000000000001); #126669=VECTOR('',#418968,0.175000000000001); #126670=VECTOR('',#418975,0.175000000000001); #126671=VECTOR('',#418982,0.175000000000001); #126672=VECTOR('',#418989,0.175000000000001); #126673=VECTOR('',#418996,0.175000000000001); #126674=VECTOR('',#419003,0.175000000000001); #126675=VECTOR('',#419010,0.175000000000001); #126676=VECTOR('',#419017,0.499999999999998); #126677=VECTOR('',#419024,0.175000000000001); #126678=VECTOR('',#419031,0.175000000000001); #126679=VECTOR('',#419038,0.175000000000001); #126680=VECTOR('',#419045,0.175000000000001); #126681=VECTOR('',#419052,0.175000000000001); #126682=VECTOR('',#419059,0.175000000000001); #126683=VECTOR('',#419066,0.175000000000001); #126684=VECTOR('',#419073,0.175000000000001); #126685=VECTOR('',#419080,0.175000000000001); #126686=VECTOR('',#419087,0.175000000000001); #126687=VECTOR('',#419094,0.175000000000001); #126688=VECTOR('',#419101,0.175000000000001); #126689=VECTOR('',#419108,0.175000000000001); #126690=VECTOR('',#419115,0.175000000000001); #126691=VECTOR('',#419122,0.175000000000001); #126692=VECTOR('',#419129,0.499999999999998); #126693=VECTOR('',#419136,0.175000000000001); #126694=VECTOR('',#419143,0.175000000000001); #126695=VECTOR('',#419150,0.175000000000001); #126696=VECTOR('',#419157,0.175000000000001); #126697=VECTOR('',#419164,0.175000000000001); #126698=VECTOR('',#419171,0.175000000000001); #126699=VECTOR('',#419178,0.175000000000001); #126700=VECTOR('',#419185,0.175000000000001); #126701=VECTOR('',#419192,0.175000000000001); #126702=VECTOR('',#419199,0.175000000000001); #126703=VECTOR('',#419206,0.175000000000001); #126704=VECTOR('',#419213,0.175000000000001); #126705=VECTOR('',#419220,0.175000000000001); #126706=VECTOR('',#419227,0.175000000000001); #126707=VECTOR('',#419234,0.175000000000001); #126708=VECTOR('',#419241,0.550000000000002); #126709=VECTOR('',#419248,0.150000000000001); #126710=VECTOR('',#419255,0.175); #126711=VECTOR('',#419262,0.150000000000001); #126712=VECTOR('',#419269,0.175000000000001); #126713=VECTOR('',#419276,0.175000000000001); #126714=VECTOR('',#419283,0.175); #126715=VECTOR('',#419290,0.150000000000001); #126716=VECTOR('',#419297,0.175000000000001); #126717=VECTOR('',#419304,0.175000000000001); #126718=VECTOR('',#419311,0.175); #126719=VECTOR('',#419318,0.175000000000001); #126720=VECTOR('',#419325,0.175000000000001); #126721=VECTOR('',#419332,0.175000000000001); #126722=VECTOR('',#419339,0.175); #126723=VECTOR('',#419346,0.175000000000001); #126724=VECTOR('',#419353,0.175000000000001); #126725=VECTOR('',#419360,0.175000000000001); #126726=VECTOR('',#419367,0.175); #126727=VECTOR('',#419374,0.175000000000001); #126728=VECTOR('',#419381,0.175000000000001); #126729=VECTOR('',#419388,0.175000000000001); #126730=VECTOR('',#419395,0.175000000000001); #126731=VECTOR('',#419402,0.175000000000001); #126732=VECTOR('',#419409,0.175000000000001); #126733=VECTOR('',#419416,0.175000000000001); #126734=VECTOR('',#419423,0.175); #126735=VECTOR('',#419430,0.175000000000001); #126736=VECTOR('',#419437,0.175000000000001); #126737=VECTOR('',#419444,0.175000000000001); #126738=VECTOR('',#419451,0.175); #126739=VECTOR('',#419458,0.175000000000001); #126740=VECTOR('',#419465,0.499999999999998); #126741=VECTOR('',#419470,10.); #126742=VECTOR('',#419471,10.); #126743=VECTOR('',#419472,10.); #126744=VECTOR('',#419473,10.); #126745=VECTOR('',#419480,10.); #126746=VECTOR('',#419483,10.); #126747=VECTOR('',#419484,10.); #126748=VECTOR('',#419485,10.); #126749=VECTOR('',#419496,0.175000000000001); #126750=VECTOR('',#419501,10.); #126751=VECTOR('',#419502,10.); #126752=VECTOR('',#419503,10.); #126753=VECTOR('',#419504,10.); #126754=VECTOR('',#419511,10.); #126755=VECTOR('',#419514,10.); #126756=VECTOR('',#419515,10.); #126757=VECTOR('',#419516,10.); #126758=VECTOR('',#419527,0.175000000000001); #126759=VECTOR('',#419534,0.150000000000001); #126760=VECTOR('',#419541,0.175000000000001); #126761=VECTOR('',#419546,10.); #126762=VECTOR('',#419547,10.); #126763=VECTOR('',#419548,10.); #126764=VECTOR('',#419549,10.); #126765=VECTOR('',#419556,10.); #126766=VECTOR('',#419559,10.); #126767=VECTOR('',#419560,10.); #126768=VECTOR('',#419561,10.); #126769=VECTOR('',#419572,0.175000000000001); #126770=VECTOR('',#419579,0.150000000000001); #126771=VECTOR('',#419586,0.175000000000001); #126772=VECTOR('',#419593,0.150000000000001); #126773=VECTOR('',#419600,0.175000000000001); #126774=VECTOR('',#419607,0.150000000000001); #126775=VECTOR('',#419614,0.175000000000001); #126776=VECTOR('',#419621,0.150000000000001); #126777=VECTOR('',#419628,0.175000000000001); #126778=VECTOR('',#419635,0.175000000000001); #126779=VECTOR('',#419640,10.); #126780=VECTOR('',#419641,10.); #126781=VECTOR('',#419642,10.); #126782=VECTOR('',#419643,10.); #126783=VECTOR('',#419650,10.); #126784=VECTOR('',#419653,10.); #126785=VECTOR('',#419654,10.); #126786=VECTOR('',#419655,10.); #126787=VECTOR('',#419666,0.175000000000001); #126788=VECTOR('',#419671,10.); #126789=VECTOR('',#419672,10.); #126790=VECTOR('',#419673,10.); #126791=VECTOR('',#419674,10.); #126792=VECTOR('',#419681,10.); #126793=VECTOR('',#419684,10.); #126794=VECTOR('',#419685,10.); #126795=VECTOR('',#419686,10.); #126796=VECTOR('',#419697,0.175000000000001); #126797=VECTOR('',#419702,10.); #126798=VECTOR('',#419703,10.); #126799=VECTOR('',#419704,10.); #126800=VECTOR('',#419705,10.); #126801=VECTOR('',#419712,10.); #126802=VECTOR('',#419715,10.); #126803=VECTOR('',#419716,10.); #126804=VECTOR('',#419717,10.); #126805=VECTOR('',#419728,0.175000000000001); #126806=VECTOR('',#419735,0.175000000000001); #126807=VECTOR('',#419742,0.175000000000001); #126808=VECTOR('',#419749,0.175000000000001); #126809=VECTOR('',#419756,0.600000000000001); #126810=VECTOR('',#419763,0.175000000000001); #126811=VECTOR('',#419770,0.175000000000001); #126812=VECTOR('',#419777,0.175000000000001); #126813=VECTOR('',#419784,0.175000000000001); #126814=VECTOR('',#419791,0.175000000000001); #126815=VECTOR('',#419798,0.175000000000001); #126816=VECTOR('',#419805,0.175000000000001); #126817=VECTOR('',#419812,0.175000000000001); #126818=VECTOR('',#419819,0.175000000000001); #126819=VECTOR('',#419826,0.175000000000001); #126820=VECTOR('',#419833,0.175000000000001); #126821=VECTOR('',#419840,0.175000000000001); #126822=VECTOR('',#419847,0.175000000000001); #126823=VECTOR('',#419854,0.175000000000001); #126824=VECTOR('',#419861,0.175000000000001); #126825=VECTOR('',#419868,0.499999999999998); #126826=VECTOR('',#419875,0.175000000000001); #126827=VECTOR('',#419882,0.175000000000001); #126828=VECTOR('',#419889,0.175000000000001); #126829=VECTOR('',#419896,0.175000000000001); #126830=VECTOR('',#419903,0.175000000000001); #126831=VECTOR('',#419910,0.175000000000001); #126832=VECTOR('',#419917,0.175000000000001); #126833=VECTOR('',#419924,0.175000000000001); #126834=VECTOR('',#419931,0.175000000000001); #126835=VECTOR('',#419938,0.175000000000001); #126836=VECTOR('',#419945,0.175000000000001); #126837=VECTOR('',#419952,0.175000000000001); #126838=VECTOR('',#419959,0.175000000000001); #126839=VECTOR('',#419966,0.175000000000001); #126840=VECTOR('',#419973,0.175000000000001); #126841=VECTOR('',#419980,0.549999999999997); #126842=VECTOR('',#419987,0.175000000000001); #126843=VECTOR('',#419994,0.175000000000001); #126844=VECTOR('',#420001,0.175000000000001); #126845=VECTOR('',#420008,0.175000000000001); #126846=VECTOR('',#420015,0.175000000000001); #126847=VECTOR('',#420022,0.175000000000001); #126848=VECTOR('',#420029,0.175000000000001); #126849=VECTOR('',#420036,0.175000000000001); #126850=VECTOR('',#420043,0.175000000000001); #126851=VECTOR('',#420050,0.175000000000001); #126852=VECTOR('',#420057,0.175000000000001); #126853=VECTOR('',#420064,0.175000000000001); #126854=VECTOR('',#420071,0.175000000000001); #126855=VECTOR('',#420078,0.175000000000001); #126856=VECTOR('',#420085,0.175000000000001); #126857=VECTOR('',#420092,0.499999999999998); #126858=VECTOR('',#420099,0.175000000000001); #126859=VECTOR('',#420106,0.175000000000001); #126860=VECTOR('',#420113,0.175000000000001); #126861=VECTOR('',#420120,0.175000000000001); #126862=VECTOR('',#420127,0.175000000000001); #126863=VECTOR('',#420134,0.175000000000001); #126864=VECTOR('',#420141,0.175000000000001); #126865=VECTOR('',#420148,0.175000000000001); #126866=VECTOR('',#420155,0.175000000000001); #126867=VECTOR('',#420162,0.175000000000001); #126868=VECTOR('',#420169,0.175000000000001); #126869=VECTOR('',#420176,0.175000000000001); #126870=VECTOR('',#420183,0.175000000000001); #126871=VECTOR('',#420190,0.175000000000001); #126872=VECTOR('',#420197,0.175000000000001); #126873=VECTOR('',#420204,0.600000000000001); #126874=VECTOR('',#420211,0.175000000000001); #126875=VECTOR('',#420218,0.175000000000001); #126876=VECTOR('',#420225,0.175000000000001); #126877=VECTOR('',#420232,0.175000000000001); #126878=VECTOR('',#420239,0.175000000000001); #126879=VECTOR('',#420246,0.175000000000001); #126880=VECTOR('',#420253,0.175000000000001); #126881=VECTOR('',#420260,0.175000000000001); #126882=VECTOR('',#420267,0.175000000000001); #126883=VECTOR('',#420274,0.175000000000001); #126884=VECTOR('',#420281,0.175000000000001); #126885=VECTOR('',#420288,0.175000000000001); #126886=VECTOR('',#420295,0.175000000000001); #126887=VECTOR('',#420302,0.175000000000001); #126888=VECTOR('',#420309,0.175000000000001); #126889=VECTOR('',#420316,0.499999999999998); #126890=VECTOR('',#420323,0.175000000000001); #126891=VECTOR('',#420330,0.175000000000001); #126892=VECTOR('',#420337,0.175000000000001); #126893=VECTOR('',#420344,0.175000000000001); #126894=VECTOR('',#420351,0.175000000000001); #126895=VECTOR('',#420358,0.175000000000001); #126896=VECTOR('',#420365,0.175000000000001); #126897=VECTOR('',#420372,0.175000000000001); #126898=VECTOR('',#420379,0.175000000000001); #126899=VECTOR('',#420386,0.175000000000001); #126900=VECTOR('',#420393,0.175000000000001); #126901=VECTOR('',#420400,0.175000000000001); #126902=VECTOR('',#420407,0.175000000000001); #126903=VECTOR('',#420414,0.175000000000001); #126904=VECTOR('',#420421,0.175000000000001); #126905=VECTOR('',#420428,0.549999999999997); #126906=VECTOR('',#420435,0.175000000000001); #126907=VECTOR('',#420442,0.175000000000001); #126908=VECTOR('',#420449,0.175000000000001); #126909=VECTOR('',#420456,0.175000000000001); #126910=VECTOR('',#420463,0.175000000000001); #126911=VECTOR('',#420470,0.175000000000001); #126912=VECTOR('',#420477,0.175000000000001); #126913=VECTOR('',#420484,0.175000000000001); #126914=VECTOR('',#420491,0.175000000000001); #126915=VECTOR('',#420498,0.175000000000001); #126916=VECTOR('',#420505,0.175000000000001); #126917=VECTOR('',#420512,0.175000000000001); #126918=VECTOR('',#420519,0.175000000000001); #126919=VECTOR('',#420526,0.175000000000001); #126920=VECTOR('',#420533,0.175000000000001); #126921=VECTOR('',#420540,0.499999999999998); #126922=VECTOR('',#420547,0.175000000000001); #126923=VECTOR('',#420554,0.175000000000001); #126924=VECTOR('',#420561,0.175000000000001); #126925=VECTOR('',#420568,0.175000000000001); #126926=VECTOR('',#420575,0.175000000000001); #126927=VECTOR('',#420582,0.175000000000001); #126928=VECTOR('',#420589,0.175000000000001); #126929=VECTOR('',#420596,0.175000000000001); #126930=VECTOR('',#420603,0.175000000000001); #126931=VECTOR('',#420610,0.175000000000001); #126932=VECTOR('',#420617,0.175000000000001); #126933=VECTOR('',#420624,0.175000000000001); #126934=VECTOR('',#420631,0.175000000000001); #126935=VECTOR('',#420638,0.175000000000001); #126936=VECTOR('',#420645,0.175000000000001); #126937=VECTOR('',#420652,34.); #126938=VECTOR('',#420665,0.325); #126939=VECTOR('',#420672,0.325); #126940=VECTOR('',#420679,0.600000000000001); #126941=VECTOR('',#420686,0.175000000000001); #126942=VECTOR('',#420693,0.175000000000001); #126943=VECTOR('',#420700,0.175000000000001); #126944=VECTOR('',#420707,0.175000000000001); #126945=VECTOR('',#420714,0.175000000000001); #126946=VECTOR('',#420721,0.175000000000001); #126947=VECTOR('',#420728,0.175000000000001); #126948=VECTOR('',#420735,0.175000000000001); #126949=VECTOR('',#420742,0.175000000000001); #126950=VECTOR('',#420749,0.175000000000001); #126951=VECTOR('',#420756,0.175000000000001); #126952=VECTOR('',#420763,0.175000000000001); #126953=VECTOR('',#420770,0.175000000000001); #126954=VECTOR('',#420777,0.175000000000001); #126955=VECTOR('',#420784,0.175000000000001); #126956=VECTOR('',#420791,0.5); #126957=VECTOR('',#420798,0.175000000000001); #126958=VECTOR('',#420805,0.175000000000001); #126959=VECTOR('',#420812,0.175000000000001); #126960=VECTOR('',#420819,0.175000000000001); #126961=VECTOR('',#420826,0.175000000000001); #126962=VECTOR('',#420833,0.175000000000001); #126963=VECTOR('',#420840,0.175000000000001); #126964=VECTOR('',#420847,0.175000000000001); #126965=VECTOR('',#420854,0.175000000000001); #126966=VECTOR('',#420861,0.175000000000001); #126967=VECTOR('',#420868,0.175000000000001); #126968=VECTOR('',#420875,0.175000000000001); #126969=VECTOR('',#420882,0.175000000000001); #126970=VECTOR('',#420889,0.175000000000001); #126971=VECTOR('',#420896,0.175000000000001); #126972=VECTOR('',#420903,0.550000000000002); #126973=VECTOR('',#420910,0.175000000000001); #126974=VECTOR('',#420917,0.175000000000001); #126975=VECTOR('',#420924,0.175000000000001); #126976=VECTOR('',#420931,0.175000000000001); #126977=VECTOR('',#420938,0.175000000000001); #126978=VECTOR('',#420945,0.175000000000001); #126979=VECTOR('',#420952,0.175000000000001); #126980=VECTOR('',#420959,0.175000000000001); #126981=VECTOR('',#420966,0.175000000000001); #126982=VECTOR('',#420973,0.175000000000001); #126983=VECTOR('',#420980,0.175000000000001); #126984=VECTOR('',#420987,0.175000000000001); #126985=VECTOR('',#420994,0.175000000000001); #126986=VECTOR('',#421001,0.175000000000001); #126987=VECTOR('',#421008,0.175000000000001); #126988=VECTOR('',#421015,0.5); #126989=VECTOR('',#421022,0.175000000000001); #126990=VECTOR('',#421029,0.175000000000001); #126991=VECTOR('',#421036,0.175000000000001); #126992=VECTOR('',#421043,0.175000000000001); #126993=VECTOR('',#421050,0.175000000000001); #126994=VECTOR('',#421057,0.175000000000001); #126995=VECTOR('',#421064,0.175000000000001); #126996=VECTOR('',#421071,0.175000000000001); #126997=VECTOR('',#421078,0.175000000000001); #126998=VECTOR('',#421085,0.175000000000001); #126999=VECTOR('',#421092,0.175000000000001); #127000=VECTOR('',#421099,0.175000000000001); #127001=VECTOR('',#421106,0.175000000000001); #127002=VECTOR('',#421113,0.175000000000001); #127003=VECTOR('',#421120,0.175000000000001); #127004=VECTOR('',#421127,0.600000000000001); #127005=VECTOR('',#421134,0.175000000000001); #127006=VECTOR('',#421141,0.175000000000001); #127007=VECTOR('',#421148,0.175000000000001); #127008=VECTOR('',#421155,0.175000000000001); #127009=VECTOR('',#421162,0.175000000000001); #127010=VECTOR('',#421169,0.175); #127011=VECTOR('',#421176,0.175000000000001); #127012=VECTOR('',#421183,0.175000000000001); #127013=VECTOR('',#421190,0.175000000000001); #127014=VECTOR('',#421197,0.175000000000001); #127015=VECTOR('',#421204,0.175000000000001); #127016=VECTOR('',#421211,0.175000000000001); #127017=VECTOR('',#421218,0.175000000000001); #127018=VECTOR('',#421225,0.175); #127019=VECTOR('',#421232,0.175000000000001); #127020=VECTOR('',#421239,0.175000000000001); #127021=VECTOR('',#421246,0.175000000000001); #127022=VECTOR('',#421253,0.175000000000001); #127023=VECTOR('',#421260,0.175000000000001); #127024=VECTOR('',#421267,0.175000000000001); #127025=VECTOR('',#421274,0.175000000000001); #127026=VECTOR('',#421281,0.175000000000001); #127027=VECTOR('',#421288,0.175000000000001); #127028=VECTOR('',#421295,0.175000000000001); #127029=VECTOR('',#421302,0.175000000000001); #127030=VECTOR('',#421309,0.175000000000001); #127031=VECTOR('',#421316,0.175000000000001); #127032=VECTOR('',#421323,0.175000000000001); #127033=VECTOR('',#421330,0.175000000000001); #127034=VECTOR('',#421337,0.175000000000001); #127035=VECTOR('',#421344,0.175000000000001); #127036=VECTOR('',#421351,0.499999999999998); #127037=VECTOR('',#421358,0.175000000000001); #127038=VECTOR('',#421365,0.175000000000001); #127039=VECTOR('',#421372,0.175000000000001); #127040=VECTOR('',#421379,0.175000000000001); #127041=VECTOR('',#421386,0.175000000000001); #127042=VECTOR('',#421393,0.175000000000001); #127043=VECTOR('',#421400,0.175000000000001); #127044=VECTOR('',#421407,0.175000000000001); #127045=VECTOR('',#421414,0.175000000000001); #127046=VECTOR('',#421421,0.175000000000001); #127047=VECTOR('',#421428,0.175000000000001); #127048=VECTOR('',#421435,0.175000000000001); #127049=VECTOR('',#421442,0.175000000000001); #127050=VECTOR('',#421449,0.175000000000001); #127051=VECTOR('',#421456,0.175000000000001); #127052=VECTOR('',#421463,0.499999999999998); #127053=VECTOR('',#421470,0.175000000000001); #127054=VECTOR('',#421477,0.175000000000001); #127055=VECTOR('',#421484,0.175000000000001); #127056=VECTOR('',#421491,0.175000000000001); #127057=VECTOR('',#421498,0.175000000000001); #127058=VECTOR('',#421505,0.175000000000001); #127059=VECTOR('',#421512,0.175000000000001); #127060=VECTOR('',#421519,0.175000000000001); #127061=VECTOR('',#421526,0.175000000000001); #127062=VECTOR('',#421533,0.175000000000001); #127063=VECTOR('',#421540,0.175000000000001); #127064=VECTOR('',#421547,0.175000000000001); #127065=VECTOR('',#421554,0.175000000000001); #127066=VECTOR('',#421561,0.175000000000001); #127067=VECTOR('',#421568,0.175000000000001); #127068=VECTOR('',#421575,0.550000000000002); #127069=VECTOR('',#421582,0.150000000000001); #127070=VECTOR('',#421589,0.175); #127071=VECTOR('',#421596,0.150000000000001); #127072=VECTOR('',#421603,0.175000000000001); #127073=VECTOR('',#421610,0.175000000000001); #127074=VECTOR('',#421617,0.175); #127075=VECTOR('',#421624,0.150000000000001); #127076=VECTOR('',#421631,0.175000000000001); #127077=VECTOR('',#421638,0.175000000000001); #127078=VECTOR('',#421645,0.175); #127079=VECTOR('',#421652,0.175000000000001); #127080=VECTOR('',#421659,0.175000000000001); #127081=VECTOR('',#421666,0.175000000000001); #127082=VECTOR('',#421673,0.175); #127083=VECTOR('',#421680,0.175000000000001); #127084=VECTOR('',#421687,0.175000000000001); #127085=VECTOR('',#421694,0.175000000000001); #127086=VECTOR('',#421701,0.175); #127087=VECTOR('',#421708,0.175000000000001); #127088=VECTOR('',#421715,0.175000000000001); #127089=VECTOR('',#421722,0.175000000000001); #127090=VECTOR('',#421729,0.175000000000001); #127091=VECTOR('',#421736,0.175000000000001); #127092=VECTOR('',#421743,0.175000000000001); #127093=VECTOR('',#421750,0.175000000000001); #127094=VECTOR('',#421757,0.175); #127095=VECTOR('',#421764,0.175000000000001); #127096=VECTOR('',#421771,0.175000000000001); #127097=VECTOR('',#421778,0.175000000000001); #127098=VECTOR('',#421785,0.175); #127099=VECTOR('',#421792,0.175000000000001); #127100=VECTOR('',#421799,0.499999999999998); #127101=VECTOR('',#421804,10.); #127102=VECTOR('',#421805,10.); #127103=VECTOR('',#421806,10.); #127104=VECTOR('',#421807,10.); #127105=VECTOR('',#421814,10.); #127106=VECTOR('',#421817,10.); #127107=VECTOR('',#421818,10.); #127108=VECTOR('',#421819,10.); #127109=VECTOR('',#421830,0.175000000000001); #127110=VECTOR('',#421835,10.); #127111=VECTOR('',#421836,10.); #127112=VECTOR('',#421837,10.); #127113=VECTOR('',#421838,10.); #127114=VECTOR('',#421845,10.); #127115=VECTOR('',#421848,10.); #127116=VECTOR('',#421849,10.); #127117=VECTOR('',#421850,10.); #127118=VECTOR('',#421861,0.175000000000001); #127119=VECTOR('',#421868,0.150000000000001); #127120=VECTOR('',#421875,0.175000000000001); #127121=VECTOR('',#421880,10.); #127122=VECTOR('',#421881,10.); #127123=VECTOR('',#421882,10.); #127124=VECTOR('',#421883,10.); #127125=VECTOR('',#421890,10.); #127126=VECTOR('',#421893,10.); #127127=VECTOR('',#421894,10.); #127128=VECTOR('',#421895,10.); #127129=VECTOR('',#421906,0.175000000000001); #127130=VECTOR('',#421913,0.150000000000001); #127131=VECTOR('',#421920,0.175000000000001); #127132=VECTOR('',#421927,0.150000000000001); #127133=VECTOR('',#421934,0.175000000000001); #127134=VECTOR('',#421941,0.150000000000001); #127135=VECTOR('',#421948,0.175000000000001); #127136=VECTOR('',#421955,0.150000000000001); #127137=VECTOR('',#421962,0.175000000000001); #127138=VECTOR('',#421969,0.175000000000001); #127139=VECTOR('',#421974,10.); #127140=VECTOR('',#421975,10.); #127141=VECTOR('',#421976,10.); #127142=VECTOR('',#421977,10.); #127143=VECTOR('',#421984,10.); #127144=VECTOR('',#421987,10.); #127145=VECTOR('',#421988,10.); #127146=VECTOR('',#421989,10.); #127147=VECTOR('',#422000,0.175000000000001); #127148=VECTOR('',#422005,10.); #127149=VECTOR('',#422006,10.); #127150=VECTOR('',#422007,10.); #127151=VECTOR('',#422008,10.); #127152=VECTOR('',#422015,10.); #127153=VECTOR('',#422018,10.); #127154=VECTOR('',#422019,10.); #127155=VECTOR('',#422020,10.); #127156=VECTOR('',#422031,0.175000000000001); #127157=VECTOR('',#422036,10.); #127158=VECTOR('',#422037,10.); #127159=VECTOR('',#422038,10.); #127160=VECTOR('',#422039,10.); #127161=VECTOR('',#422046,10.); #127162=VECTOR('',#422049,10.); #127163=VECTOR('',#422050,10.); #127164=VECTOR('',#422051,10.); #127165=VECTOR('',#422062,0.175000000000001); #127166=VECTOR('',#422069,0.175000000000001); #127167=VECTOR('',#422076,0.175000000000001); #127168=VECTOR('',#422083,0.175000000000001); #127169=VECTOR('',#422090,0.600000000000001); #127170=VECTOR('',#422097,0.175000000000001); #127171=VECTOR('',#422104,0.175000000000001); #127172=VECTOR('',#422111,0.175000000000001); #127173=VECTOR('',#422118,0.175000000000001); #127174=VECTOR('',#422125,0.175000000000001); #127175=VECTOR('',#422132,0.175000000000001); #127176=VECTOR('',#422139,0.175000000000001); #127177=VECTOR('',#422146,0.175000000000001); #127178=VECTOR('',#422153,0.175000000000001); #127179=VECTOR('',#422160,0.175000000000001); #127180=VECTOR('',#422167,0.175000000000001); #127181=VECTOR('',#422174,0.175000000000001); #127182=VECTOR('',#422181,0.175000000000001); #127183=VECTOR('',#422188,0.175000000000001); #127184=VECTOR('',#422195,0.175000000000001); #127185=VECTOR('',#422202,0.499999999999998); #127186=VECTOR('',#422209,0.175000000000001); #127187=VECTOR('',#422216,0.175000000000001); #127188=VECTOR('',#422223,0.175000000000001); #127189=VECTOR('',#422230,0.175000000000001); #127190=VECTOR('',#422237,0.175000000000001); #127191=VECTOR('',#422244,0.175000000000001); #127192=VECTOR('',#422251,0.175000000000001); #127193=VECTOR('',#422258,0.175000000000001); #127194=VECTOR('',#422265,0.175000000000001); #127195=VECTOR('',#422272,0.175000000000001); #127196=VECTOR('',#422279,0.175000000000001); #127197=VECTOR('',#422286,0.175000000000001); #127198=VECTOR('',#422293,0.175000000000001); #127199=VECTOR('',#422300,0.175000000000001); #127200=VECTOR('',#422307,0.175000000000001); #127201=VECTOR('',#422314,0.549999999999997); #127202=VECTOR('',#422321,0.175000000000001); #127203=VECTOR('',#422328,0.175000000000001); #127204=VECTOR('',#422335,0.175000000000001); #127205=VECTOR('',#422342,0.175000000000001); #127206=VECTOR('',#422349,0.175000000000001); #127207=VECTOR('',#422356,0.175000000000001); #127208=VECTOR('',#422363,0.175000000000001); #127209=VECTOR('',#422370,0.175000000000001); #127210=VECTOR('',#422377,0.175000000000001); #127211=VECTOR('',#422384,0.175000000000001); #127212=VECTOR('',#422391,0.175000000000001); #127213=VECTOR('',#422398,0.175000000000001); #127214=VECTOR('',#422405,0.175000000000001); #127215=VECTOR('',#422412,0.175000000000001); #127216=VECTOR('',#422419,0.175000000000001); #127217=VECTOR('',#422426,0.499999999999998); #127218=VECTOR('',#422433,0.175000000000001); #127219=VECTOR('',#422440,0.175000000000001); #127220=VECTOR('',#422447,0.175000000000001); #127221=VECTOR('',#422454,0.175000000000001); #127222=VECTOR('',#422461,0.175000000000001); #127223=VECTOR('',#422468,0.175000000000001); #127224=VECTOR('',#422475,0.175000000000001); #127225=VECTOR('',#422482,0.175000000000001); #127226=VECTOR('',#422489,0.175000000000001); #127227=VECTOR('',#422496,0.175000000000001); #127228=VECTOR('',#422503,0.175000000000001); #127229=VECTOR('',#422510,0.175000000000001); #127230=VECTOR('',#422517,0.175000000000001); #127231=VECTOR('',#422524,0.175000000000001); #127232=VECTOR('',#422531,0.175000000000001); #127233=VECTOR('',#422538,0.600000000000001); #127234=VECTOR('',#422545,0.175000000000001); #127235=VECTOR('',#422552,0.175000000000001); #127236=VECTOR('',#422559,0.175000000000001); #127237=VECTOR('',#422566,0.175000000000001); #127238=VECTOR('',#422573,0.175000000000001); #127239=VECTOR('',#422580,0.175000000000001); #127240=VECTOR('',#422587,0.175000000000001); #127241=VECTOR('',#422594,0.175000000000001); #127242=VECTOR('',#422601,0.175000000000001); #127243=VECTOR('',#422608,0.175000000000001); #127244=VECTOR('',#422615,0.175000000000001); #127245=VECTOR('',#422622,0.175000000000001); #127246=VECTOR('',#422629,0.175000000000001); #127247=VECTOR('',#422636,0.175000000000001); #127248=VECTOR('',#422643,0.175000000000001); #127249=VECTOR('',#422650,0.499999999999998); #127250=VECTOR('',#422657,0.175000000000001); #127251=VECTOR('',#422664,0.175000000000001); #127252=VECTOR('',#422671,0.175000000000001); #127253=VECTOR('',#422678,0.175000000000001); #127254=VECTOR('',#422685,0.175000000000001); #127255=VECTOR('',#422692,0.175000000000001); #127256=VECTOR('',#422699,0.175000000000001); #127257=VECTOR('',#422706,0.175000000000001); #127258=VECTOR('',#422713,0.175000000000001); #127259=VECTOR('',#422720,0.175000000000001); #127260=VECTOR('',#422727,0.175000000000001); #127261=VECTOR('',#422734,0.175000000000001); #127262=VECTOR('',#422741,0.175000000000001); #127263=VECTOR('',#422748,0.175000000000001); #127264=VECTOR('',#422755,0.175000000000001); #127265=VECTOR('',#422762,0.549999999999997); #127266=VECTOR('',#422769,0.175000000000001); #127267=VECTOR('',#422776,0.175000000000001); #127268=VECTOR('',#422783,0.175000000000001); #127269=VECTOR('',#422790,0.175000000000001); #127270=VECTOR('',#422797,0.175000000000001); #127271=VECTOR('',#422804,0.175000000000001); #127272=VECTOR('',#422811,0.175000000000001); #127273=VECTOR('',#422818,0.175000000000001); #127274=VECTOR('',#422825,0.175000000000001); #127275=VECTOR('',#422832,0.175000000000001); #127276=VECTOR('',#422839,0.175000000000001); #127277=VECTOR('',#422846,0.175000000000001); #127278=VECTOR('',#422853,0.175000000000001); #127279=VECTOR('',#422860,0.175000000000001); #127280=VECTOR('',#422867,0.175000000000001); #127281=VECTOR('',#422874,0.499999999999998); #127282=VECTOR('',#422881,0.175000000000001); #127283=VECTOR('',#422888,0.175000000000001); #127284=VECTOR('',#422895,0.175000000000001); #127285=VECTOR('',#422902,0.175000000000001); #127286=VECTOR('',#422909,0.175000000000001); #127287=VECTOR('',#422916,0.175000000000001); #127288=VECTOR('',#422923,0.175000000000001); #127289=VECTOR('',#422930,0.175000000000001); #127290=VECTOR('',#422937,0.175000000000001); #127291=VECTOR('',#422944,0.175000000000001); #127292=VECTOR('',#422951,0.175000000000001); #127293=VECTOR('',#422958,0.175000000000001); #127294=VECTOR('',#422965,0.175000000000001); #127295=VECTOR('',#422972,0.175000000000001); #127296=VECTOR('',#422979,0.175000000000001); #127297=VECTOR('',#422986,34.); #127298=VECTOR('',#423001,10.); #127299=VECTOR('',#423002,10.); #127300=VECTOR('',#423003,10.); #127301=VECTOR('',#423004,10.); #127302=VECTOR('',#423007,1.); #127303=VECTOR('',#423008,10.); #127304=VECTOR('',#423009,1.); #127305=VECTOR('',#423012,1.); #127306=VECTOR('',#423013,1.); #127307=VECTOR('',#423014,1.); #127308=VECTOR('',#423015,1.); #127309=VECTOR('',#423016,1.); #127310=VECTOR('',#423017,1.); #127311=VECTOR('',#423018,1.); #127312=VECTOR('',#423019,1.); #127313=VECTOR('',#423020,1.); #127314=VECTOR('',#423021,1.); #127315=VECTOR('',#423022,1.); #127316=VECTOR('',#423023,1.); #127317=VECTOR('',#423024,1.); #127318=VECTOR('',#423025,1.); #127319=VECTOR('',#423026,1.); #127320=VECTOR('',#423027,1.); #127321=VECTOR('',#423028,1.); #127322=VECTOR('',#423029,1.); #127323=VECTOR('',#423030,1.); #127324=VECTOR('',#423031,1.); #127325=VECTOR('',#423032,1.); #127326=VECTOR('',#423033,1.); #127327=VECTOR('',#423034,10.); #127328=VECTOR('',#423035,10.); #127329=VECTOR('',#423038,10.); #127330=VECTOR('',#423039,10.); #127331=VECTOR('',#423042,10.); #127332=VECTOR('',#423043,1.); #127333=VECTOR('',#423044,1.); #127334=VECTOR('',#423047,1.); #127335=VECTOR('',#423048,10.); #127336=VECTOR('',#423049,10.); #127337=VECTOR('',#423050,10.); #127338=VECTOR('',#423051,10.); #127339=VECTOR('',#423054,1.); #127340=VECTOR('',#423055,1.); #127341=VECTOR('',#423056,1.); #127342=VECTOR('',#423057,1.); #127343=VECTOR('',#423058,1.); #127344=VECTOR('',#423059,1.); #127345=VECTOR('',#423060,1.); #127346=VECTOR('',#423061,1.); #127347=VECTOR('',#423062,1.); #127348=VECTOR('',#423063,1.); #127349=VECTOR('',#423064,1.); #127350=VECTOR('',#423065,1.); #127351=VECTOR('',#423066,1.); #127352=VECTOR('',#423067,1.); #127353=VECTOR('',#423068,1.); #127354=VECTOR('',#423069,1.); #127355=VECTOR('',#423070,1.); #127356=VECTOR('',#423071,1.); #127357=VECTOR('',#423072,1.); #127358=VECTOR('',#423073,1.); #127359=VECTOR('',#423074,1.); #127360=VECTOR('',#423077,1.); #127361=VECTOR('',#423078,1.); #127362=VECTOR('',#423079,1.); #127363=VECTOR('',#423080,1.); #127364=VECTOR('',#423081,1.); #127365=VECTOR('',#423082,1.); #127366=VECTOR('',#423083,1.); #127367=VECTOR('',#423086,1.); #127368=VECTOR('',#423087,1.); #127369=VECTOR('',#423088,1.); #127370=VECTOR('',#423089,1.); #127371=VECTOR('',#423090,1.); #127372=VECTOR('',#423091,1.); #127373=VECTOR('',#423092,1.); #127374=VECTOR('',#423095,1.); #127375=VECTOR('',#423096,1.); #127376=VECTOR('',#423097,1.); #127377=VECTOR('',#423098,1.); #127378=VECTOR('',#423099,1.); #127379=VECTOR('',#423100,1.); #127380=VECTOR('',#423101,1.); #127381=VECTOR('',#423104,1.); #127382=VECTOR('',#423105,1.); #127383=VECTOR('',#423106,1.); #127384=VECTOR('',#423109,1.); #127385=VECTOR('',#423110,1.); #127386=VECTOR('',#423111,1.); #127387=VECTOR('',#423114,1.); #127388=VECTOR('',#423115,1.); #127389=VECTOR('',#423116,1.); #127390=VECTOR('',#423117,1.); #127391=VECTOR('',#423118,1.); #127392=VECTOR('',#423119,1.); #127393=VECTOR('',#423120,1.); #127394=VECTOR('',#423123,1.); #127395=VECTOR('',#423124,1.); #127396=VECTOR('',#423125,1.); #127397=VECTOR('',#423128,1.); #127398=VECTOR('',#423129,1.); #127399=VECTOR('',#423130,1.); #127400=VECTOR('',#423131,1.); #127401=VECTOR('',#423132,1.); #127402=VECTOR('',#423133,1.); #127403=VECTOR('',#423134,1.); #127404=VECTOR('',#423135,1.); #127405=VECTOR('',#423136,1.); #127406=VECTOR('',#423137,1.); #127407=VECTOR('',#423138,1.); #127408=VECTOR('',#423139,1.); #127409=VECTOR('',#423142,1.); #127410=VECTOR('',#423143,1.); #127411=VECTOR('',#423150,1.00000000000064); #127412=VECTOR('',#423151,1.); #127413=VECTOR('',#423152,1.00000000000064); #127414=VECTOR('',#423153,1.); #127415=VECTOR('',#423156,1.); #127416=VECTOR('',#423159,1.); #127417=VECTOR('',#423162,1.); #127418=VECTOR('',#423171,1.); #127419=VECTOR('',#423172,1.); #127420=VECTOR('',#423173,1.); #127421=VECTOR('',#423174,1.); #127422=VECTOR('',#423177,1.); #127423=VECTOR('',#423178,1.); #127424=VECTOR('',#423179,1.); #127425=VECTOR('',#423182,1.); #127426=VECTOR('',#423183,1.); #127427=VECTOR('',#423184,1.); #127428=VECTOR('',#423187,1.); #127429=VECTOR('',#423190,1.); #127430=VECTOR('',#423193,1.); #127431=VECTOR('',#423194,1.); #127432=VECTOR('',#423195,1.); #127433=VECTOR('',#423198,1.); #127434=VECTOR('',#423199,1.); #127435=VECTOR('',#423200,1.); #127436=VECTOR('',#423203,1.); #127437=VECTOR('',#423206,1.); #127438=VECTOR('',#423209,1.); #127439=VECTOR('',#423210,1.); #127440=VECTOR('',#423211,1.); #127441=VECTOR('',#423212,1.); #127442=VECTOR('',#423215,0.999999999999891); #127443=VECTOR('',#423216,0.999999999999891); #127444=VECTOR('',#423219,0.999999999999891); #127445=VECTOR('',#423222,0.999999999999891); #127446=VECTOR('',#423227,0.999999999999891); #127447=VECTOR('',#423228,0.999999999999891); #127448=VECTOR('',#423231,0.999999999999891); #127449=VECTOR('',#423234,0.999999999999891); #127450=VECTOR('',#423245,1.); #127451=VECTOR('',#423248,1.); #127452=VECTOR('',#423253,1.); #127453=VECTOR('',#423254,1.); #127454=VECTOR('',#423255,1.); #127455=VECTOR('',#423256,1.); #127456=VECTOR('',#423259,1.); #127457=VECTOR('',#423260,1.); #127458=VECTOR('',#423261,1.); #127459=VECTOR('',#423264,1.); #127460=VECTOR('',#423265,1.); #127461=VECTOR('',#423266,1.); #127462=VECTOR('',#423269,1.); #127463=VECTOR('',#423272,1.); #127464=VECTOR('',#423275,1.); #127465=VECTOR('',#423276,1.); #127466=VECTOR('',#423277,1.); #127467=VECTOR('',#423280,1.); #127468=VECTOR('',#423281,1.); #127469=VECTOR('',#423282,1.); #127470=VECTOR('',#423285,1.); #127471=VECTOR('',#423288,1.); #127472=VECTOR('',#423291,1.); #127473=VECTOR('',#423292,1.); #127474=VECTOR('',#423293,1.); #127475=VECTOR('',#423294,1.); #127476=VECTOR('',#423297,1.); #127477=VECTOR('',#423298,1.); #127478=VECTOR('',#423299,1.); #127479=VECTOR('',#423300,1.); #127480=VECTOR('',#423303,1.); #127481=VECTOR('',#423304,1.); #127482=VECTOR('',#423305,1.); #127483=VECTOR('',#423308,1.); #127484=VECTOR('',#423309,1.); #127485=VECTOR('',#423310,1.); #127486=VECTOR('',#423313,1.); #127487=VECTOR('',#423316,1.); #127488=VECTOR('',#423319,1.); #127489=VECTOR('',#423320,1.); #127490=VECTOR('',#423321,1.); #127491=VECTOR('',#423324,1.); #127492=VECTOR('',#423325,1.); #127493=VECTOR('',#423326,1.); #127494=VECTOR('',#423329,1.); #127495=VECTOR('',#423332,1.); #127496=VECTOR('',#423335,1.); #127497=VECTOR('',#423336,1.); #127498=VECTOR('',#423337,1.); #127499=VECTOR('',#423338,1.); #127500=VECTOR('',#423341,1.); #127501=VECTOR('',#423342,1.); #127502=VECTOR('',#423343,1.); #127503=VECTOR('',#423344,1.); #127504=VECTOR('',#423347,1.); #127505=VECTOR('',#423348,1.); #127506=VECTOR('',#423349,1.); #127507=VECTOR('',#423352,1.); #127508=VECTOR('',#423353,1.); #127509=VECTOR('',#423354,1.); #127510=VECTOR('',#423357,1.); #127511=VECTOR('',#423360,1.); #127512=VECTOR('',#423363,1.); #127513=VECTOR('',#423364,1.); #127514=VECTOR('',#423365,1.); #127515=VECTOR('',#423368,1.); #127516=VECTOR('',#423369,1.); #127517=VECTOR('',#423370,1.); #127518=VECTOR('',#423373,1.); #127519=VECTOR('',#423376,1.); #127520=VECTOR('',#423379,1.); #127521=VECTOR('',#423380,1.); #127522=VECTOR('',#423381,1.); #127523=VECTOR('',#423382,1.); #127524=VECTOR('',#423385,0.999999999999891); #127525=VECTOR('',#423386,0.999999999999891); #127526=VECTOR('',#423389,0.999999999999891); #127527=VECTOR('',#423392,0.999999999999891); #127528=VECTOR('',#423397,0.999999999999891); #127529=VECTOR('',#423398,0.999999999999891); #127530=VECTOR('',#423401,0.999999999999891); #127531=VECTOR('',#423404,0.999999999999891); #127532=VECTOR('',#423409,0.999999999999891); #127533=VECTOR('',#423410,0.999999999999891); #127534=VECTOR('',#423413,0.999999999999891); #127535=VECTOR('',#423416,0.999999999999891); #127536=VECTOR('',#423421,0.999999999999891); #127537=VECTOR('',#423422,0.999999999999891); #127538=VECTOR('',#423425,0.999999999999891); #127539=VECTOR('',#423428,0.999999999999891); #127540=VECTOR('',#423433,0.999999999999891); #127541=VECTOR('',#423434,0.999999999999891); #127542=VECTOR('',#423437,0.999999999999891); #127543=VECTOR('',#423440,0.999999999999891); #127544=VECTOR('',#423445,0.999999999999891); #127545=VECTOR('',#423446,0.999999999999891); #127546=VECTOR('',#423449,0.999999999999891); #127547=VECTOR('',#423452,0.999999999999891); #127548=VECTOR('',#423505,10.); #127549=VECTOR('',#423506,10.); #127550=VECTOR('',#423525,10.); #127551=VECTOR('',#423526,10.); #127552=VECTOR('',#423529,10.); #127553=VECTOR('',#423530,10.); #127554=VECTOR('',#423541,10.); #127555=VECTOR('',#423542,10.); #127556=VECTOR('',#423545,10.); #127557=VECTOR('',#423546,10.); #127558=VECTOR('',#423549,10.); #127559=VECTOR('',#423550,10.); #127560=VECTOR('',#423553,10.); #127561=VECTOR('',#423554,10.); #127562=VECTOR('',#423557,10.); #127563=VECTOR('',#423558,10.); #127564=VECTOR('',#423561,10.); #127565=VECTOR('',#423562,10.); #127566=VECTOR('',#423565,10.); #127567=VECTOR('',#423566,10.); #127568=VECTOR('',#423569,10.); #127569=VECTOR('',#423570,10.); #127570=VECTOR('',#423573,10.); #127571=VECTOR('',#423574,10.); #127572=VECTOR('',#423629,10.); #127573=VECTOR('',#423630,10.); #127574=VECTOR('',#423649,10.); #127575=VECTOR('',#423650,10.); #127576=VECTOR('',#423653,10.); #127577=VECTOR('',#423654,10.); #127578=VECTOR('',#423665,10.); #127579=VECTOR('',#423666,10.); #127580=VECTOR('',#423669,10.); #127581=VECTOR('',#423670,10.); #127582=VECTOR('',#423673,10.); #127583=VECTOR('',#423674,10.); #127584=VECTOR('',#423677,10.); #127585=VECTOR('',#423678,10.); #127586=VECTOR('',#423681,10.); #127587=VECTOR('',#423682,10.); #127588=VECTOR('',#423685,10.); #127589=VECTOR('',#423686,10.); #127590=VECTOR('',#423689,10.); #127591=VECTOR('',#423690,10.); #127592=VECTOR('',#423693,10.); #127593=VECTOR('',#423694,10.); #127594=VECTOR('',#423697,10.); #127595=VECTOR('',#423698,10.); #127596=VECTOR('',#423713,10.); #127597=VECTOR('',#423714,10.); #127598=VECTOR('',#423715,10.); #127599=VECTOR('',#423716,10.); #127600=VECTOR('',#423719,10.); #127601=VECTOR('',#423720,10.); #127602=VECTOR('',#423721,10.); #127603=VECTOR('',#423724,10.); #127604=VECTOR('',#423725,10.); #127605=VECTOR('',#423726,10.); #127606=VECTOR('',#423729,10.); #127607=VECTOR('',#423730,10.); #127608=VECTOR('',#423737,10.); #127609=VECTOR('',#423738,10.); #127610=VECTOR('',#423739,10.); #127611=VECTOR('',#423740,10.); #127612=VECTOR('',#423743,10.); #127613=VECTOR('',#423744,10.); #127614=VECTOR('',#423745,10.); #127615=VECTOR('',#423746,10.); #127616=VECTOR('',#423749,10.); #127617=VECTOR('',#423750,10.); #127618=VECTOR('',#423753,10.); #127619=VECTOR('',#423756,10.); #127620=VECTOR('',#423761,10.); #127621=VECTOR('',#423762,10.); #127622=VECTOR('',#423763,10.); #127623=VECTOR('',#423764,10.); #127624=VECTOR('',#423767,10.); #127625=VECTOR('',#423768,10.); #127626=VECTOR('',#423769,10.); #127627=VECTOR('',#423772,10.); #127628=VECTOR('',#423773,10.); #127629=VECTOR('',#423774,10.); #127630=VECTOR('',#423777,10.); #127631=VECTOR('',#423778,10.); #127632=VECTOR('',#423795,1000.); #127633=VECTOR('',#423796,1000.); #127634=VECTOR('',#423797,1000.); #127635=VECTOR('',#423798,1000.); #127636=VECTOR('',#423799,1000.); #127637=VECTOR('',#423800,1000.); #127638=VECTOR('',#423801,1000.); #127639=VECTOR('',#423802,1000.); #127640=VECTOR('',#423803,1000.); #127641=VECTOR('',#423804,1000.); #127642=VECTOR('',#423805,999.999999999999); #127643=VECTOR('',#423806,1000.); #127644=VECTOR('',#423807,999.999999999999); #127645=VECTOR('',#423808,1000.); #127646=VECTOR('',#423809,1000.); #127647=VECTOR('',#423810,1000.); #127648=VECTOR('',#423813,1000.); #127649=VECTOR('',#423814,1000.); #127650=VECTOR('',#423815,1000.); #127651=VECTOR('',#423816,1000.); #127652=VECTOR('',#423821,1000.); #127653=VECTOR('',#423824,1000.); #127654=VECTOR('',#423827,1000.); #127655=VECTOR('',#423830,1000.); #127656=VECTOR('',#423835,1000.); #127657=VECTOR('',#423838,1000.); #127658=VECTOR('',#423841,1000.); #127659=VECTOR('',#423844,1000.); #127660=VECTOR('',#423847,1000.); #127661=VECTOR('',#423848,1000.); #127662=VECTOR('',#423851,1000.); #127663=VECTOR('',#423852,1000.); #127664=VECTOR('',#423855,1000.); #127665=VECTOR('',#423856,1000.); #127666=VECTOR('',#423857,1000.); #127667=VECTOR('',#423858,1000.); #127668=VECTOR('',#423859,1000.); #127669=VECTOR('',#423860,1000.); #127670=VECTOR('',#423861,1000.); #127671=VECTOR('',#423862,1000.); #127672=VECTOR('',#423863,1000.); #127673=VECTOR('',#423864,1000.); #127674=VECTOR('',#423865,1000.); #127675=VECTOR('',#423866,1000.); #127676=VECTOR('',#423867,1000.); #127677=VECTOR('',#423868,1000.); #127678=VECTOR('',#423869,1000.); #127679=VECTOR('',#423870,1000.); #127680=VECTOR('',#423873,1000.); #127681=VECTOR('',#423874,1000.); #127682=VECTOR('',#423875,1000.); #127683=VECTOR('',#423878,1000.); #127684=VECTOR('',#423879,1000.); #127685=VECTOR('',#423880,1000.); #127686=VECTOR('',#423881,1000.); #127687=VECTOR('',#423882,1000.); #127688=VECTOR('',#423883,1000.); #127689=VECTOR('',#423884,1000.); #127690=VECTOR('',#423885,1000.); #127691=VECTOR('',#423886,1000.); #127692=VECTOR('',#423887,1000.); #127693=VECTOR('',#423888,1000.); #127694=VECTOR('',#423889,1000.); #127695=VECTOR('',#423890,1000.); #127696=VECTOR('',#423891,1000.); #127697=VECTOR('',#423892,1000.); #127698=VECTOR('',#423893,1000.); #127699=VECTOR('',#423894,1000.); #127700=VECTOR('',#423895,1000.); #127701=VECTOR('',#423896,1000.); #127702=VECTOR('',#423899,1000.); #127703=VECTOR('',#423902,1000.); #127704=VECTOR('',#423903,1000.); #127705=VECTOR('',#423904,1000.); #127706=VECTOR('',#423909,1000.); #127707=VECTOR('',#423910,1000.); #127708=VECTOR('',#423911,1000.); #127709=VECTOR('',#423912,1000.); #127710=VECTOR('',#423917,1000.); #127711=VECTOR('',#423920,1000.); #127712=VECTOR('',#423925,1000.); #127713=VECTOR('',#423926,1000.); #127714=VECTOR('',#423927,1000.); #127715=VECTOR('',#423928,1000.); #127716=VECTOR('',#423931,1000.); #127717=VECTOR('',#423932,1000.); #127718=VECTOR('',#423933,1000.); #127719=VECTOR('',#423934,1000.); #127720=VECTOR('',#423937,1000.); #127721=VECTOR('',#423938,1000.); #127722=VECTOR('',#423939,1000.); #127723=VECTOR('',#423940,1000.); #127724=VECTOR('',#423943,1000.); #127725=VECTOR('',#423944,1000.); #127726=VECTOR('',#423945,1000.); #127727=VECTOR('',#423946,1000.); #127728=VECTOR('',#423949,1000.); #127729=VECTOR('',#423950,1000.); #127730=VECTOR('',#423951,1000.); #127731=VECTOR('',#423952,1000.); #127732=VECTOR('',#423955,1000.); #127733=VECTOR('',#423956,1000.); #127734=VECTOR('',#423957,1000.); #127735=VECTOR('',#423958,1000.); #127736=VECTOR('',#423961,1000.); #127737=VECTOR('',#423962,1000.); #127738=VECTOR('',#423963,1000.); #127739=VECTOR('',#423964,1000.); #127740=VECTOR('',#423967,1000.); #127741=VECTOR('',#423968,1000.); #127742=VECTOR('',#423969,1000.); #127743=VECTOR('',#423970,1000.); #127744=VECTOR('',#423973,1000.); #127745=VECTOR('',#423974,1000.); #127746=VECTOR('',#423975,1000.); #127747=VECTOR('',#423976,1000.); #127748=VECTOR('',#423979,1000.); #127749=VECTOR('',#423980,1000.); #127750=VECTOR('',#423981,1000.); #127751=VECTOR('',#423982,1000.); #127752=VECTOR('',#423985,1000.); #127753=VECTOR('',#423986,1000.); #127754=VECTOR('',#423987,1000.); #127755=VECTOR('',#423988,1000.); #127756=VECTOR('',#423991,1000.); #127757=VECTOR('',#423992,1000.); #127758=VECTOR('',#423993,1000.); #127759=VECTOR('',#423994,1000.); #127760=VECTOR('',#423997,1000.); #127761=VECTOR('',#423998,1000.); #127762=VECTOR('',#423999,1000.); #127763=VECTOR('',#424002,1000.); #127764=VECTOR('',#424003,1000.); #127765=VECTOR('',#424004,1000.); #127766=VECTOR('',#424007,1000.); #127767=VECTOR('',#424008,1000.); #127768=VECTOR('',#424009,1000.); #127769=VECTOR('',#424010,1000.); #127770=VECTOR('',#424013,1000.); #127771=VECTOR('',#424014,1000.); #127772=VECTOR('',#424017,1000.); #127773=VECTOR('',#424018,1000.); #127774=VECTOR('',#424019,1000.); #127775=VECTOR('',#424022,1000.); #127776=VECTOR('',#424023,1000.); #127777=VECTOR('',#424024,1000.); #127778=VECTOR('',#424027,1000.); #127779=VECTOR('',#424028,1000.); #127780=VECTOR('',#424029,1000.); #127781=VECTOR('',#424030,1000.); #127782=VECTOR('',#424033,1000.); #127783=VECTOR('',#424034,1000.); #127784=VECTOR('',#424037,1000.); #127785=VECTOR('',#424038,1000.); #127786=VECTOR('',#424039,1000.); #127787=VECTOR('',#424042,1000.); #127788=VECTOR('',#424043,1000.); #127789=VECTOR('',#424044,1000.); #127790=VECTOR('',#424047,1000.); #127791=VECTOR('',#424048,1000.); #127792=VECTOR('',#424049,1000.); #127793=VECTOR('',#424050,1000.); #127794=VECTOR('',#424053,1000.); #127795=VECTOR('',#424054,1000.); #127796=VECTOR('',#424057,1000.); #127797=VECTOR('',#424058,1000.); #127798=VECTOR('',#424059,1000.); #127799=VECTOR('',#424062,1000.); #127800=VECTOR('',#424063,1000.); #127801=VECTOR('',#424064,1000.); #127802=VECTOR('',#424067,1000.); #127803=VECTOR('',#424068,1000.); #127804=VECTOR('',#424069,1000.); #127805=VECTOR('',#424070,1000.); #127806=VECTOR('',#424073,1000.); #127807=VECTOR('',#424074,1000.); #127808=VECTOR('',#424077,1000.); #127809=VECTOR('',#424078,1000.); #127810=VECTOR('',#424079,1000.); #127811=VECTOR('',#424082,1000.); #127812=VECTOR('',#424083,1000.); #127813=VECTOR('',#424084,1000.); #127814=VECTOR('',#424087,1000.); #127815=VECTOR('',#424088,1000.); #127816=VECTOR('',#424089,1000.); #127817=VECTOR('',#424090,1000.); #127818=VECTOR('',#424093,1000.); #127819=VECTOR('',#424094,1000.); #127820=VECTOR('',#424097,1000.); #127821=VECTOR('',#424098,1000.); #127822=VECTOR('',#424099,1000.); #127823=VECTOR('',#424102,1000.); #127824=VECTOR('',#424103,1000.); #127825=VECTOR('',#424104,1000.); #127826=VECTOR('',#424107,1000.); #127827=VECTOR('',#424108,1000.); #127828=VECTOR('',#424109,1000.); #127829=VECTOR('',#424110,1000.); #127830=VECTOR('',#424113,1000.); #127831=VECTOR('',#424114,1000.); #127832=VECTOR('',#424117,1000.); #127833=VECTOR('',#424118,1000.); #127834=VECTOR('',#424119,1000.); #127835=VECTOR('',#424122,1000.); #127836=VECTOR('',#424123,1000.); #127837=VECTOR('',#424124,1000.); #127838=VECTOR('',#424127,1000.); #127839=VECTOR('',#424128,1000.); #127840=VECTOR('',#424129,1000.); #127841=VECTOR('',#424132,1000.); #127842=VECTOR('',#424133,1000.); #127843=VECTOR('',#424134,1000.); #127844=VECTOR('',#424137,1000.); #127845=VECTOR('',#424138,1000.); #127846=VECTOR('',#424139,1000.); #127847=VECTOR('',#424140,1000.); #127848=VECTOR('',#424143,1000.); #127849=VECTOR('',#424144,1000.); #127850=VECTOR('',#424147,1000.); #127851=VECTOR('',#424148,1000.); #127852=VECTOR('',#424149,1000.); #127853=VECTOR('',#424152,1000.); #127854=VECTOR('',#424153,1000.); #127855=VECTOR('',#424154,1000.); #127856=VECTOR('',#424157,1000.); #127857=VECTOR('',#424158,1000.); #127858=VECTOR('',#424159,1000.); #127859=VECTOR('',#424160,1000.); #127860=VECTOR('',#424163,1000.); #127861=VECTOR('',#424164,1000.); #127862=VECTOR('',#424167,1000.); #127863=VECTOR('',#424168,1000.); #127864=VECTOR('',#424169,1000.); #127865=VECTOR('',#424172,1000.); #127866=VECTOR('',#424173,1000.); #127867=VECTOR('',#424174,1000.); #127868=VECTOR('',#424177,1000.); #127869=VECTOR('',#424178,1000.); #127870=VECTOR('',#424179,1000.); #127871=VECTOR('',#424180,1000.); #127872=VECTOR('',#424183,1000.); #127873=VECTOR('',#424184,1000.); #127874=VECTOR('',#424187,1000.); #127875=VECTOR('',#424188,1000.); #127876=VECTOR('',#424189,1000.); #127877=VECTOR('',#424192,1000.); #127878=VECTOR('',#424193,1000.); #127879=VECTOR('',#424194,1000.); #127880=VECTOR('',#424197,1000.); #127881=VECTOR('',#424198,1000.); #127882=VECTOR('',#424199,1000.); #127883=VECTOR('',#424200,1000.); #127884=VECTOR('',#424203,1000.); #127885=VECTOR('',#424204,1000.); #127886=VECTOR('',#424207,1000.); #127887=VECTOR('',#424208,1000.); #127888=VECTOR('',#424209,1000.); #127889=VECTOR('',#424212,1000.); #127890=VECTOR('',#424213,1000.); #127891=VECTOR('',#424214,1000.); #127892=VECTOR('',#424217,1000.); #127893=VECTOR('',#424218,1000.); #127894=VECTOR('',#424219,1000.); #127895=VECTOR('',#424220,1000.); #127896=VECTOR('',#424223,1000.); #127897=VECTOR('',#424224,1000.); #127898=VECTOR('',#424227,1000.); #127899=VECTOR('',#424228,1000.); #127900=VECTOR('',#424229,1000.); #127901=VECTOR('',#424232,1000.); #127902=VECTOR('',#424233,1000.); #127903=VECTOR('',#424234,1000.); #127904=VECTOR('',#424237,1000.); #127905=VECTOR('',#424238,1000.); #127906=VECTOR('',#424239,1000.); #127907=VECTOR('',#424240,1000.); #127908=VECTOR('',#424243,1000.); #127909=VECTOR('',#424244,1000.); #127910=VECTOR('',#424245,1000.); #127911=VECTOR('',#424246,1000.); #127912=VECTOR('',#424247,1000.); #127913=VECTOR('',#424250,1000.); #127914=VECTOR('',#424251,1000.); #127915=VECTOR('',#424252,1000.); #127916=VECTOR('',#424255,1000.); #127917=VECTOR('',#424256,1000.); #127918=VECTOR('',#424257,1000.); #127919=VECTOR('',#424258,1000.); #127920=VECTOR('',#424259,1000.); #127921=VECTOR('',#424260,1000.); #127922=VECTOR('',#424261,1000.); #127923=VECTOR('',#424262,1000.); #127924=VECTOR('',#424263,1000.); #127925=VECTOR('',#424264,1000.); #127926=VECTOR('',#424265,1000.); #127927=VECTOR('',#424266,1000.); #127928=VECTOR('',#424267,1000.); #127929=VECTOR('',#424270,1000.); #127930=VECTOR('',#424271,1000.); #127931=VECTOR('',#424272,1000.); #127932=VECTOR('',#424273,1000.); #127933=VECTOR('',#424274,1000.); #127934=VECTOR('',#424277,1000.); #127935=VECTOR('',#424278,1000.); #127936=VECTOR('',#424279,1000.); #127937=VECTOR('',#424280,1000.); #127938=VECTOR('',#424281,1000.); #127939=VECTOR('',#424284,1000.); #127940=VECTOR('',#424287,1000.); #127941=VECTOR('',#424292,1000.); #127942=VECTOR('',#424293,1000.); #127943=VECTOR('',#424294,1000.); #127944=VECTOR('',#424297,1000.); #127945=VECTOR('',#424298,1000.); #127946=VECTOR('',#424299,1000.); #127947=VECTOR('',#424300,1000.); #127948=VECTOR('',#424303,1000.); #127949=VECTOR('',#424304,1000.); #127950=VECTOR('',#424305,1000.); #127951=VECTOR('',#424306,1000.); #127952=VECTOR('',#424307,1000.); #127953=VECTOR('',#424308,1000.); #127954=VECTOR('',#424309,1000.); #127955=VECTOR('',#424310,1000.); #127956=VECTOR('',#424311,1000.); #127957=VECTOR('',#424312,1000.); #127958=VECTOR('',#424313,1000.); #127959=VECTOR('',#424314,1000.); #127960=VECTOR('',#424315,1000.); #127961=VECTOR('',#424316,1000.); #127962=VECTOR('',#424319,1000.); #127963=VECTOR('',#424320,1000.); #127964=VECTOR('',#424321,1000.); #127965=VECTOR('',#424322,1000.); #127966=VECTOR('',#424325,1000.); #127967=VECTOR('',#424326,1000.); #127968=VECTOR('',#424327,1000.); #127969=VECTOR('',#424330,1000.); #127970=VECTOR('',#424331,1000.); #127971=VECTOR('',#424332,1000.); #127972=VECTOR('',#424335,1000.); #127973=VECTOR('',#424338,1000.); #127974=VECTOR('',#424339,1000.); #127975=VECTOR('',#424340,1000.); #127976=VECTOR('',#424347,1000.); #127977=VECTOR('',#424348,1000.); #127978=VECTOR('',#424351,1000.); #127979=VECTOR('',#424352,1000.); #127980=VECTOR('',#424355,1000.); #127981=VECTOR('',#424356,1000.); #127982=VECTOR('',#424357,1000.); #127983=VECTOR('',#424358,1000.); #127984=VECTOR('',#424359,1000.); #127985=VECTOR('',#424362,1000.); #127986=VECTOR('',#424367,1000.); #127987=VECTOR('',#424368,1000.); #127988=VECTOR('',#424369,1000.); #127989=VECTOR('',#424370,1000.); #127990=VECTOR('',#424373,1000.); #127991=VECTOR('',#424374,1000.); #127992=VECTOR('',#424377,1000.); #127993=VECTOR('',#424378,1000.); #127994=VECTOR('',#424381,1000.); #127995=VECTOR('',#424382,1000.); #127996=VECTOR('',#424387,1000.); #127997=VECTOR('',#424392,1000.); #127998=VECTOR('',#424393,1000.); #127999=VECTOR('',#424394,1000.); #128000=VECTOR('',#424395,1000.); #128001=VECTOR('',#424404,1000.); #128002=VECTOR('',#424409,1000.); #128003=VECTOR('',#424410,1000.); #128004=VECTOR('',#424411,1000.); #128005=VECTOR('',#424414,1000.); #128006=VECTOR('',#424415,1000.); #128007=VECTOR('',#424416,1000.); #128008=VECTOR('',#424417,1000.); #128009=VECTOR('',#424418,1000.); #128010=VECTOR('',#424419,1000.); #128011=VECTOR('',#424420,1000.); #128012=VECTOR('',#424421,1000.); #128013=VECTOR('',#424422,1000.); #128014=VECTOR('',#424423,1000.); #128015=VECTOR('',#424428,1000.); #128016=VECTOR('',#424431,1000.); #128017=VECTOR('',#424436,1000.); #128018=VECTOR('',#424437,1000.); #128019=VECTOR('',#424438,1000.); #128020=VECTOR('',#424441,1000.); #128021=VECTOR('',#424444,1000.); #128022=VECTOR('',#424451,1000.); #128023=VECTOR('',#424452,1000.); #128024=VECTOR('',#424453,1000.); #128025=VECTOR('',#424454,1000.); #128026=VECTOR('',#424455,1000.); #128027=VECTOR('',#424456,1000.); #128028=VECTOR('',#424457,1000.); #128029=VECTOR('',#424460,1000.); #128030=VECTOR('',#424463,1000.); #128031=VECTOR('',#424466,1000.); #128032=VECTOR('',#424469,1000.); #128033=VECTOR('',#424472,1000.); #128034=VECTOR('',#424473,1000.); #128035=VECTOR('',#424474,1000.); #128036=VECTOR('',#424475,1000.); #128037=VECTOR('',#424478,1000.); #128038=VECTOR('',#424479,1000.); #128039=VECTOR('',#424480,1000.); #128040=VECTOR('',#424483,1000.); #128041=VECTOR('',#424484,1000.); #128042=VECTOR('',#424485,1000.); #128043=VECTOR('',#424492,1000.); #128044=VECTOR('',#424495,1000.); #128045=VECTOR('',#424496,1000.); #128046=VECTOR('',#424497,1000.); #128047=VECTOR('',#424500,1000.); #128048=VECTOR('',#424501,1000.); #128049=VECTOR('',#424502,1000.); #128050=VECTOR('',#424505,1000.); #128051=VECTOR('',#424506,1000.); #128052=VECTOR('',#424507,1000.); #128053=VECTOR('',#424508,1000.); #128054=VECTOR('',#424511,1000.); #128055=VECTOR('',#424512,1000.); #128056=VECTOR('',#424513,1000.); #128057=VECTOR('',#424514,1000.); #128058=VECTOR('',#424517,1000.); #128059=VECTOR('',#424518,1000.); #128060=VECTOR('',#424519,1000.); #128061=VECTOR('',#424528,1000.); #128062=VECTOR('',#424529,1000.); #128063=VECTOR('',#424530,1000.); #128064=VECTOR('',#424533,1000.); #128065=VECTOR('',#424534,1000.); #128066=VECTOR('',#424535,1000.); #128067=VECTOR('',#424538,1000.); #128068=VECTOR('',#424539,1000.); #128069=VECTOR('',#424542,1000.); #128070=VECTOR('',#424545,1000.); #128071=VECTOR('',#424548,1000.); #128072=VECTOR('',#424551,1000.); #128073=VECTOR('',#424554,1000.); #128074=VECTOR('',#424557,1000.); #128075=VECTOR('',#424562,1000.); #128076=VECTOR('',#424565,1000.); #128077=VECTOR('',#424568,1000.); #128078=VECTOR('',#424573,1000.); #128079=VECTOR('',#424594,1000.); #128080=VECTOR('',#424597,1000.); #128081=VECTOR('',#424602,1000.); #128082=VECTOR('',#424605,1000.); #128083=VECTOR('',#424610,1000.); #128084=VECTOR('',#424613,1000.); #128085=VECTOR('',#424614,1000.); #128086=VECTOR('',#424615,1000.); #128087=VECTOR('',#424616,1000.); #128088=VECTOR('',#424619,1000.); #128089=VECTOR('',#424624,1000.); #128090=VECTOR('',#424625,1000.); #128091=VECTOR('',#424626,1000.); #128092=VECTOR('',#424627,1000.); #128093=VECTOR('',#424628,1000.); #128094=VECTOR('',#424629,1000.); #128095=VECTOR('',#424632,1000.); #128096=VECTOR('',#424633,1000.); #128097=VECTOR('',#424634,1000.); #128098=VECTOR('',#424635,1000.); #128099=VECTOR('',#424638,1000.); #128100=VECTOR('',#424639,1000.); #128101=VECTOR('',#424642,1000.); #128102=VECTOR('',#424645,1000.); #128103=VECTOR('',#424646,1000.); #128104=VECTOR('',#424647,1000.); #128105=VECTOR('',#424648,1000.); #128106=VECTOR('',#424651,1000.); #128107=VECTOR('',#424656,1000.); #128108=VECTOR('',#424659,1000.); #128109=VECTOR('',#424664,1000.); #128110=VECTOR('',#424667,1000.); #128111=VECTOR('',#424668,1000.); #128112=VECTOR('',#424671,1000.); #128113=VECTOR('',#424672,1000.); #128114=VECTOR('',#424673,1000.); #128115=VECTOR('',#424674,1000.); #128116=VECTOR('',#424675,1000.); #128117=VECTOR('',#424678,1000.); #128118=VECTOR('',#424681,1000.); #128119=VECTOR('',#424690,0.25); #128120=VECTOR('',#424699,0.25); #128121=VECTOR('',#424708,0.15); #128122=VECTOR('',#424711,0.25); #128123=VECTOR('',#424716,1000.); #128124=VECTOR('',#424717,1000.); #128125=VECTOR('',#424724,1000.); #128126=VECTOR('',#424729,1000.); #128127=VECTOR('',#424732,1000.); #128128=VECTOR('',#424737,1000.); #128129=VECTOR('',#424738,1000.); #128130=VECTOR('',#424739,1000.); #128131=VECTOR('',#424740,1000.); #128132=VECTOR('',#424741,1000.); #128133=VECTOR('',#424742,1000.); #128134=VECTOR('',#424743,1000.); #128135=VECTOR('',#424744,1000.); #128136=VECTOR('',#424745,1000.); #128137=VECTOR('',#424746,1000.); #128138=VECTOR('',#424747,1000.); #128139=VECTOR('',#424748,1000.); #128140=VECTOR('',#424751,1000.); #128141=VECTOR('',#424756,1000.); #128142=VECTOR('',#424761,1000.); #128143=VECTOR('',#424766,1000.); #128144=VECTOR('',#424771,1000.); #128145=VECTOR('',#424776,1000.); #128146=VECTOR('',#424781,1000.); #128147=VECTOR('',#424786,1000.); #128148=VECTOR('',#424791,1000.); #128149=VECTOR('',#424796,1000.); #128150=VECTOR('',#424801,1000.); #128151=VECTOR('',#424806,1000.); #128152=VECTOR('',#424811,1000.); #128153=VECTOR('',#424812,1000.); #128154=VECTOR('',#424813,1000.); #128155=VECTOR('',#424814,1000.); #128156=VECTOR('',#424815,1000.); #128157=VECTOR('',#424818,1000.); #128158=VECTOR('',#424819,1000.); #128159=VECTOR('',#424822,1000.); #128160=VECTOR('',#424823,1000.); #128161=VECTOR('',#424824,1000.); #128162=VECTOR('',#424825,1000.); #128163=VECTOR('',#424828,1000.); #128164=VECTOR('',#424829,1000.); #128165=VECTOR('',#424830,1000.); #128166=VECTOR('',#424831,1000.); #128167=VECTOR('',#424834,1000.); #128168=VECTOR('',#424837,1000.); #128169=VECTOR('',#424838,1000.); #128170=VECTOR('',#424839,1000.); #128171=VECTOR('',#424842,1000.); #128172=VECTOR('',#424843,1000.); #128173=VECTOR('',#424844,1000.); #128174=VECTOR('',#424845,1000.); #128175=VECTOR('',#424846,1000.); #128176=VECTOR('',#424849,1000.); #128177=VECTOR('',#424850,1000.); #128178=VECTOR('',#424851,1000.); #128179=VECTOR('',#424852,1000.); #128180=VECTOR('',#424853,1000.); #128181=VECTOR('',#424854,1000.); #128182=VECTOR('',#424855,1000.); #128183=VECTOR('',#424856,1000.); #128184=VECTOR('',#424857,1000.); #128185=VECTOR('',#424858,1000.); #128186=VECTOR('',#424859,1000.); #128187=VECTOR('',#424860,1000.); #128188=VECTOR('',#424861,1000.); #128189=VECTOR('',#424862,1000.); #128190=VECTOR('',#424863,1000.); #128191=VECTOR('',#424866,1000.); #128192=VECTOR('',#424867,1000.); #128193=VECTOR('',#424870,1000.); #128194=VECTOR('',#424871,1000.); #128195=VECTOR('',#424874,1000.); #128196=VECTOR('',#424875,1000.); #128197=VECTOR('',#424880,1000.); #128198=VECTOR('',#424881,1000.); #128199=VECTOR('',#424884,1000.); #128200=VECTOR('',#424885,1000.); #128201=VECTOR('',#424888,1000.); #128202=VECTOR('',#424889,1000.); #128203=VECTOR('',#424894,1000.); #128204=VECTOR('',#424895,1000.); #128205=VECTOR('',#424898,1000.); #128206=VECTOR('',#424899,1000.); #128207=VECTOR('',#424902,1000.); #128208=VECTOR('',#424903,1000.); #128209=VECTOR('',#424906,1000.); #128210=VECTOR('',#424907,1000.); #128211=VECTOR('',#424910,1000.); #128212=VECTOR('',#424911,1000.); #128213=VECTOR('',#424914,1000.); #128214=VECTOR('',#424915,1000.); #128215=VECTOR('',#424918,1000.); #128216=VECTOR('',#424919,1000.); #128217=VECTOR('',#424922,1000.); #128218=VECTOR('',#424923,1000.); #128219=VECTOR('',#424926,1000.); #128220=VECTOR('',#424927,1000.); #128221=VECTOR('',#424930,1000.); #128222=VECTOR('',#424931,1000.); #128223=VECTOR('',#424934,1000.); #128224=VECTOR('',#424935,1000.); #128225=VECTOR('',#424938,1000.); #128226=VECTOR('',#424939,1000.); #128227=VECTOR('',#424942,1000.); #128228=VECTOR('',#424943,1000.); #128229=VECTOR('',#424946,1000.); #128230=VECTOR('',#424947,1000.); #128231=VECTOR('',#424950,1000.); #128232=VECTOR('',#424953,1000.); #128233=VECTOR('',#424954,1000.); #128234=VECTOR('',#424957,1000.); #128235=VECTOR('',#424958,1000.); #128236=VECTOR('',#424961,1000.); #128237=VECTOR('',#424962,1000.); #128238=VECTOR('',#424965,1000.); #128239=VECTOR('',#424966,1000.); #128240=VECTOR('',#424969,1000.); #128241=VECTOR('',#424970,1000.); #128242=VECTOR('',#424973,1000.); #128243=VECTOR('',#424974,1000.); #128244=VECTOR('',#424977,1000.); #128245=VECTOR('',#424978,1000.); #128246=VECTOR('',#424981,1000.); #128247=VECTOR('',#424982,1000.); #128248=VECTOR('',#424985,1000.); #128249=VECTOR('',#424986,1000.); #128250=VECTOR('',#424989,1000.); #128251=VECTOR('',#424990,1000.); #128252=VECTOR('',#424993,1000.); #128253=VECTOR('',#424994,1000.); #128254=VECTOR('',#424997,1000.); #128255=VECTOR('',#424998,1000.); #128256=VECTOR('',#425001,1000.); #128257=VECTOR('',#425002,1000.); #128258=VECTOR('',#425005,1000.); #128259=VECTOR('',#425006,1000.); #128260=VECTOR('',#425009,1000.); #128261=VECTOR('',#425014,1000.); #128262=VECTOR('',#425017,1000.); #128263=VECTOR('',#425022,1000.); #128264=VECTOR('',#425025,1000.); #128265=VECTOR('',#425032,1000.); #128266=VECTOR('',#425041,1000.); #128267=VECTOR('',#425042,1000.); #128268=VECTOR('',#425043,1000.); #128269=VECTOR('',#425044,1000.); #128270=VECTOR('',#425047,1000.); #128271=VECTOR('',#425050,1000.); #128272=VECTOR('',#425053,1000.); #128273=VECTOR('',#425054,1000.); #128274=VECTOR('',#425055,1000.); #128275=VECTOR('',#425058,1000.); #128276=VECTOR('',#425061,1000.); #128277=VECTOR('',#425064,1000.); #128278=VECTOR('',#425065,1000.); #128279=VECTOR('',#425066,1000.); #128280=VECTOR('',#425069,1000.); #128281=VECTOR('',#425072,1000.); #128282=VECTOR('',#425073,1000.); #128283=VECTOR('',#425074,1000.); #128284=VECTOR('',#425077,1000.); #128285=VECTOR('',#425082,1000.); #128286=VECTOR('',#425083,1000.); #128287=VECTOR('',#425084,1000.); #128288=VECTOR('',#425087,1000.); #128289=VECTOR('',#425088,1000.); #128290=VECTOR('',#425089,1000.); #128291=VECTOR('',#425090,1000.); #128292=VECTOR('',#425091,1000.); #128293=VECTOR('',#425094,1000.); #128294=VECTOR('',#425095,1000.); #128295=VECTOR('',#425096,1000.); #128296=VECTOR('',#425099,1000.); #128297=VECTOR('',#425100,1000.); #128298=VECTOR('',#425101,1000.); #128299=VECTOR('',#425102,1000.); #128300=VECTOR('',#425105,1000.); #128301=VECTOR('',#425106,1000.); #128302=VECTOR('',#425109,1000.); #128303=VECTOR('',#425110,1000.); #128304=VECTOR('',#425113,1000.); #128305=VECTOR('',#425114,1000.); #128306=VECTOR('',#425117,1000.); #128307=VECTOR('',#425120,1000.); #128308=VECTOR('',#425123,1000.); #128309=VECTOR('',#425128,1000.); #128310=VECTOR('',#425131,1000.); #128311=VECTOR('',#425134,1000.); #128312=VECTOR('',#425145,1000.); #128313=VECTOR('',#425146,1000.); #128314=VECTOR('',#425147,1000.); #128315=VECTOR('',#425148,1000.); #128316=VECTOR('',#425151,1000.); #128317=VECTOR('',#425154,1000.); #128318=VECTOR('',#425157,1000.); #128319=VECTOR('',#425158,1000.); #128320=VECTOR('',#425159,1000.); #128321=VECTOR('',#425162,1000.); #128322=VECTOR('',#425165,1000.); #128323=VECTOR('',#425168,1000.); #128324=VECTOR('',#425169,1000.); #128325=VECTOR('',#425170,1000.); #128326=VECTOR('',#425173,1000.); #128327=VECTOR('',#425176,1000.); #128328=VECTOR('',#425177,1000.); #128329=VECTOR('',#425178,1000.); #128330=VECTOR('',#425181,1000.); #128331=VECTOR('',#425186,1000.); #128332=VECTOR('',#425187,1000.); #128333=VECTOR('',#425188,1000.); #128334=VECTOR('',#425191,1000.); #128335=VECTOR('',#425192,1000.); #128336=VECTOR('',#425193,1000.); #128337=VECTOR('',#425194,1000.); #128338=VECTOR('',#425195,1000.); #128339=VECTOR('',#425198,1000.); #128340=VECTOR('',#425199,1000.); #128341=VECTOR('',#425200,1000.); #128342=VECTOR('',#425203,1000.); #128343=VECTOR('',#425204,1000.); #128344=VECTOR('',#425205,1000.); #128345=VECTOR('',#425206,1000.); #128346=VECTOR('',#425209,1000.); #128347=VECTOR('',#425210,1000.); #128348=VECTOR('',#425213,1000.); #128349=VECTOR('',#425214,1000.); #128350=VECTOR('',#425217,1000.); #128351=VECTOR('',#425218,1000.); #128352=VECTOR('',#425221,1000.); #128353=VECTOR('',#425224,1000.); #128354=VECTOR('',#425227,1000.); #128355=VECTOR('',#425232,1000.); #128356=VECTOR('',#425235,1000.); #128357=VECTOR('',#425238,1000.); #128358=VECTOR('',#425249,1000.); #128359=VECTOR('',#425250,1000.); #128360=VECTOR('',#425251,1000.); #128361=VECTOR('',#425252,1000.); #128362=VECTOR('',#425255,1000.); #128363=VECTOR('',#425258,1000.); #128364=VECTOR('',#425261,1000.); #128365=VECTOR('',#425262,1000.); #128366=VECTOR('',#425263,1000.); #128367=VECTOR('',#425266,1000.); #128368=VECTOR('',#425269,1000.); #128369=VECTOR('',#425272,1000.); #128370=VECTOR('',#425273,1000.); #128371=VECTOR('',#425274,1000.); #128372=VECTOR('',#425277,1000.); #128373=VECTOR('',#425280,1000.); #128374=VECTOR('',#425281,1000.); #128375=VECTOR('',#425282,1000.); #128376=VECTOR('',#425285,1000.); #128377=VECTOR('',#425290,1000.); #128378=VECTOR('',#425291,1000.); #128379=VECTOR('',#425292,1000.); #128380=VECTOR('',#425295,1000.); #128381=VECTOR('',#425296,1000.); #128382=VECTOR('',#425297,1000.); #128383=VECTOR('',#425298,1000.); #128384=VECTOR('',#425299,1000.); #128385=VECTOR('',#425302,1000.); #128386=VECTOR('',#425303,1000.); #128387=VECTOR('',#425304,1000.); #128388=VECTOR('',#425307,1000.); #128389=VECTOR('',#425308,1000.); #128390=VECTOR('',#425309,1000.); #128391=VECTOR('',#425310,1000.); #128392=VECTOR('',#425313,1000.); #128393=VECTOR('',#425314,1000.); #128394=VECTOR('',#425317,1000.); #128395=VECTOR('',#425318,1000.); #128396=VECTOR('',#425321,1000.); #128397=VECTOR('',#425322,1000.); #128398=VECTOR('',#425325,1000.); #128399=VECTOR('',#425328,1000.); #128400=VECTOR('',#425331,1000.); #128401=VECTOR('',#425336,1000.); #128402=VECTOR('',#425339,1000.); #128403=VECTOR('',#425342,1000.); #128404=VECTOR('',#425353,1000.); #128405=VECTOR('',#425354,1000.); #128406=VECTOR('',#425355,1000.); #128407=VECTOR('',#425356,1000.); #128408=VECTOR('',#425359,1000.); #128409=VECTOR('',#425362,1000.); #128410=VECTOR('',#425365,1000.); #128411=VECTOR('',#425366,1000.); #128412=VECTOR('',#425367,1000.); #128413=VECTOR('',#425370,1000.); #128414=VECTOR('',#425373,1000.); #128415=VECTOR('',#425376,1000.); #128416=VECTOR('',#425377,1000.); #128417=VECTOR('',#425378,1000.); #128418=VECTOR('',#425381,1000.); #128419=VECTOR('',#425384,1000.); #128420=VECTOR('',#425385,1000.); #128421=VECTOR('',#425386,1000.); #128422=VECTOR('',#425389,1000.); #128423=VECTOR('',#425394,1000.); #128424=VECTOR('',#425395,1000.); #128425=VECTOR('',#425396,1000.); #128426=VECTOR('',#425399,1000.); #128427=VECTOR('',#425400,1000.); #128428=VECTOR('',#425401,1000.); #128429=VECTOR('',#425402,1000.); #128430=VECTOR('',#425403,1000.); #128431=VECTOR('',#425406,1000.); #128432=VECTOR('',#425407,1000.); #128433=VECTOR('',#425408,1000.); #128434=VECTOR('',#425411,1000.); #128435=VECTOR('',#425412,1000.); #128436=VECTOR('',#425413,1000.); #128437=VECTOR('',#425414,1000.); #128438=VECTOR('',#425417,1000.); #128439=VECTOR('',#425418,1000.); #128440=VECTOR('',#425421,1000.); #128441=VECTOR('',#425422,1000.); #128442=VECTOR('',#425425,1000.); #128443=VECTOR('',#425426,1000.); #128444=VECTOR('',#425429,1000.); #128445=VECTOR('',#425432,1000.); #128446=VECTOR('',#425435,1000.); #128447=VECTOR('',#425440,1000.); #128448=VECTOR('',#425443,1000.); #128449=VECTOR('',#425446,1000.); #128450=VECTOR('',#425457,1000.); #128451=VECTOR('',#425458,1000.); #128452=VECTOR('',#425459,1000.); #128453=VECTOR('',#425460,1000.); #128454=VECTOR('',#425463,1000.); #128455=VECTOR('',#425466,1000.); #128456=VECTOR('',#425469,1000.); #128457=VECTOR('',#425470,1000.); #128458=VECTOR('',#425471,1000.); #128459=VECTOR('',#425474,1000.); #128460=VECTOR('',#425477,1000.); #128461=VECTOR('',#425480,1000.); #128462=VECTOR('',#425481,1000.); #128463=VECTOR('',#425482,1000.); #128464=VECTOR('',#425485,1000.); #128465=VECTOR('',#425488,1000.); #128466=VECTOR('',#425489,1000.); #128467=VECTOR('',#425490,1000.); #128468=VECTOR('',#425493,1000.); #128469=VECTOR('',#425498,1000.); #128470=VECTOR('',#425499,1000.); #128471=VECTOR('',#425500,1000.); #128472=VECTOR('',#425503,1000.); #128473=VECTOR('',#425504,1000.); #128474=VECTOR('',#425505,1000.); #128475=VECTOR('',#425506,1000.); #128476=VECTOR('',#425507,1000.); #128477=VECTOR('',#425510,1000.); #128478=VECTOR('',#425511,1000.); #128479=VECTOR('',#425512,1000.); #128480=VECTOR('',#425515,1000.); #128481=VECTOR('',#425516,1000.); #128482=VECTOR('',#425517,1000.); #128483=VECTOR('',#425518,1000.); #128484=VECTOR('',#425521,1000.); #128485=VECTOR('',#425522,1000.); #128486=VECTOR('',#425525,1000.); #128487=VECTOR('',#425526,1000.); #128488=VECTOR('',#425529,1000.); #128489=VECTOR('',#425530,1000.); #128490=VECTOR('',#425533,1000.); #128491=VECTOR('',#425536,1000.); #128492=VECTOR('',#425539,1000.); #128493=VECTOR('',#425544,1000.); #128494=VECTOR('',#425547,1000.); #128495=VECTOR('',#425550,1000.); #128496=VECTOR('',#425561,999.999999999999); #128497=VECTOR('',#425562,1000.); #128498=VECTOR('',#425563,999.999999999999); #128499=VECTOR('',#425564,1000.); #128500=VECTOR('',#425567,1000.); #128501=VECTOR('',#425570,1000.); #128502=VECTOR('',#425573,1000.); #128503=VECTOR('',#425574,1000.); #128504=VECTOR('',#425575,1000.); #128505=VECTOR('',#425578,1000.); #128506=VECTOR('',#425581,1000.); #128507=VECTOR('',#425584,1000.); #128508=VECTOR('',#425585,1000.); #128509=VECTOR('',#425586,1000.); #128510=VECTOR('',#425589,1000.); #128511=VECTOR('',#425592,1000.); #128512=VECTOR('',#425593,1000.); #128513=VECTOR('',#425594,1000.); #128514=VECTOR('',#425597,1000.); #128515=VECTOR('',#425602,1000.); #128516=VECTOR('',#425603,1000.); #128517=VECTOR('',#425604,1000.); #128518=VECTOR('',#425607,1000.); #128519=VECTOR('',#425608,1000.); #128520=VECTOR('',#425609,1000.); #128521=VECTOR('',#425610,1000.); #128522=VECTOR('',#425611,1000.); #128523=VECTOR('',#425614,1000.); #128524=VECTOR('',#425615,1000.); #128525=VECTOR('',#425616,1000.); #128526=VECTOR('',#425619,1000.); #128527=VECTOR('',#425620,1000.); #128528=VECTOR('',#425621,1000.); #128529=VECTOR('',#425622,1000.); #128530=VECTOR('',#425625,1000.); #128531=VECTOR('',#425626,1000.); #128532=VECTOR('',#425629,1000.); #128533=VECTOR('',#425630,1000.); #128534=VECTOR('',#425633,1000.); #128535=VECTOR('',#425634,1000.); #128536=VECTOR('',#425637,1000.); #128537=VECTOR('',#425640,1000.); #128538=VECTOR('',#425643,1000.); #128539=VECTOR('',#425648,1000.); #128540=VECTOR('',#425651,1000.); #128541=VECTOR('',#425654,1000.); #128542=VECTOR('',#425665,1000.); #128543=VECTOR('',#425666,1000.); #128544=VECTOR('',#425667,1000.); #128545=VECTOR('',#425668,1000.); #128546=VECTOR('',#425671,1000.); #128547=VECTOR('',#425674,1000.); #128548=VECTOR('',#425677,1000.); #128549=VECTOR('',#425678,1000.); #128550=VECTOR('',#425679,1000.); #128551=VECTOR('',#425682,1000.); #128552=VECTOR('',#425685,1000.); #128553=VECTOR('',#425688,1000.); #128554=VECTOR('',#425689,1000.); #128555=VECTOR('',#425690,1000.); #128556=VECTOR('',#425693,1000.); #128557=VECTOR('',#425696,1000.); #128558=VECTOR('',#425697,1000.); #128559=VECTOR('',#425698,1000.); #128560=VECTOR('',#425701,1000.); #128561=VECTOR('',#425706,1000.); #128562=VECTOR('',#425707,1000.); #128563=VECTOR('',#425708,1000.); #128564=VECTOR('',#425711,1000.); #128565=VECTOR('',#425712,1000.); #128566=VECTOR('',#425713,1000.); #128567=VECTOR('',#425714,1000.); #128568=VECTOR('',#425715,1000.); #128569=VECTOR('',#425718,1000.); #128570=VECTOR('',#425719,1000.); #128571=VECTOR('',#425720,1000.); #128572=VECTOR('',#425723,1000.); #128573=VECTOR('',#425724,1000.); #128574=VECTOR('',#425725,1000.); #128575=VECTOR('',#425726,1000.); #128576=VECTOR('',#425729,1000.); #128577=VECTOR('',#425730,1000.); #128578=VECTOR('',#425733,1000.); #128579=VECTOR('',#425734,1000.); #128580=VECTOR('',#425737,1000.); #128581=VECTOR('',#425738,1000.); #128582=VECTOR('',#425741,1000.); #128583=VECTOR('',#425744,1000.); #128584=VECTOR('',#425747,1000.); #128585=VECTOR('',#425752,1000.); #128586=VECTOR('',#425755,1000.); #128587=VECTOR('',#425758,1000.); #128588=VECTOR('',#425769,1000.); #128589=VECTOR('',#425770,1000.); #128590=VECTOR('',#425771,1000.); #128591=VECTOR('',#425772,1000.); #128592=VECTOR('',#425775,1000.); #128593=VECTOR('',#425776,1000.); #128594=VECTOR('',#425779,1000.); #128595=VECTOR('',#425782,1000.); #128596=VECTOR('',#425783,1000.); #128597=VECTOR('',#425784,1000.); #128598=VECTOR('',#425787,1000.); #128599=VECTOR('',#425792,1000.); #128600=VECTOR('',#425795,1000.); #128601=VECTOR('',#425798,1000.); #128602=VECTOR('',#425799,1000.); #128603=VECTOR('',#425800,1000.); #128604=VECTOR('',#425803,1000.); #128605=VECTOR('',#425806,1000.); #128606=VECTOR('',#425807,1000.); #128607=VECTOR('',#425810,1000.); #128608=VECTOR('',#425811,1000.); #128609=VECTOR('',#425812,1000.); #128610=VECTOR('',#425815,1000.); #128611=VECTOR('',#425816,1000.); #128612=VECTOR('',#425817,1000.); #128613=VECTOR('',#425818,1000.); #128614=VECTOR('',#425819,1000.); #128615=VECTOR('',#425822,1000.); #128616=VECTOR('',#425823,1000.); #128617=VECTOR('',#425824,1000.); #128618=VECTOR('',#425827,1000.); #128619=VECTOR('',#425828,1000.); #128620=VECTOR('',#425829,1000.); #128621=VECTOR('',#425830,1000.); #128622=VECTOR('',#425833,1000.); #128623=VECTOR('',#425834,1000.); #128624=VECTOR('',#425837,1000.); #128625=VECTOR('',#425838,1000.); #128626=VECTOR('',#425841,1000.); #128627=VECTOR('',#425842,1000.); #128628=VECTOR('',#425845,1000.); #128629=VECTOR('',#425848,1000.); #128630=VECTOR('',#425851,1000.); #128631=VECTOR('',#425856,1000.); #128632=VECTOR('',#425859,1000.); #128633=VECTOR('',#425862,1000.); #128634=VECTOR('',#425873,1000.); #128635=VECTOR('',#425874,1000.); #128636=VECTOR('',#425875,1000.); #128637=VECTOR('',#425876,1000.); #128638=VECTOR('',#425879,1000.); #128639=VECTOR('',#425880,1000.); #128640=VECTOR('',#425883,1000.); #128641=VECTOR('',#425886,1000.); #128642=VECTOR('',#425887,1000.); #128643=VECTOR('',#425888,1000.); #128644=VECTOR('',#425891,1000.); #128645=VECTOR('',#425896,1000.); #128646=VECTOR('',#425899,1000.); #128647=VECTOR('',#425902,1000.); #128648=VECTOR('',#425903,1000.); #128649=VECTOR('',#425904,1000.); #128650=VECTOR('',#425907,1000.); #128651=VECTOR('',#425910,1000.); #128652=VECTOR('',#425911,1000.); #128653=VECTOR('',#425914,1000.); #128654=VECTOR('',#425915,1000.); #128655=VECTOR('',#425916,1000.); #128656=VECTOR('',#425919,1000.); #128657=VECTOR('',#425920,1000.); #128658=VECTOR('',#425921,1000.); #128659=VECTOR('',#425922,1000.); #128660=VECTOR('',#425923,1000.); #128661=VECTOR('',#425926,1000.); #128662=VECTOR('',#425927,1000.); #128663=VECTOR('',#425928,1000.); #128664=VECTOR('',#425931,1000.); #128665=VECTOR('',#425932,1000.); #128666=VECTOR('',#425933,1000.); #128667=VECTOR('',#425934,1000.); #128668=VECTOR('',#425937,1000.); #128669=VECTOR('',#425938,1000.); #128670=VECTOR('',#425941,1000.); #128671=VECTOR('',#425942,1000.); #128672=VECTOR('',#425945,1000.); #128673=VECTOR('',#425946,1000.); #128674=VECTOR('',#425949,1000.); #128675=VECTOR('',#425952,1000.); #128676=VECTOR('',#425955,1000.); #128677=VECTOR('',#425960,1000.); #128678=VECTOR('',#425963,1000.); #128679=VECTOR('',#425966,1000.); #128680=VECTOR('',#425977,999.999999999999); #128681=VECTOR('',#425978,1000.); #128682=VECTOR('',#425979,999.999999999999); #128683=VECTOR('',#425980,1000.); #128684=VECTOR('',#425983,1000.); #128685=VECTOR('',#425984,1000.); #128686=VECTOR('',#425987,1000.); #128687=VECTOR('',#425990,1000.); #128688=VECTOR('',#425991,1000.); #128689=VECTOR('',#425992,1000.); #128690=VECTOR('',#425995,1000.); #128691=VECTOR('',#426000,1000.); #128692=VECTOR('',#426003,1000.); #128693=VECTOR('',#426006,1000.); #128694=VECTOR('',#426007,1000.); #128695=VECTOR('',#426008,1000.); #128696=VECTOR('',#426011,1000.); #128697=VECTOR('',#426014,1000.); #128698=VECTOR('',#426015,1000.); #128699=VECTOR('',#426018,1000.); #128700=VECTOR('',#426019,1000.); #128701=VECTOR('',#426020,1000.); #128702=VECTOR('',#426023,1000.); #128703=VECTOR('',#426024,1000.); #128704=VECTOR('',#426025,1000.); #128705=VECTOR('',#426026,1000.); #128706=VECTOR('',#426027,1000.); #128707=VECTOR('',#426030,1000.); #128708=VECTOR('',#426031,1000.); #128709=VECTOR('',#426032,1000.); #128710=VECTOR('',#426035,1000.); #128711=VECTOR('',#426036,1000.); #128712=VECTOR('',#426037,1000.); #128713=VECTOR('',#426038,1000.); #128714=VECTOR('',#426041,1000.); #128715=VECTOR('',#426042,1000.); #128716=VECTOR('',#426045,1000.); #128717=VECTOR('',#426046,1000.); #128718=VECTOR('',#426049,1000.); #128719=VECTOR('',#426050,1000.); #128720=VECTOR('',#426053,1000.); #128721=VECTOR('',#426056,1000.); #128722=VECTOR('',#426059,1000.); #128723=VECTOR('',#426064,1000.); #128724=VECTOR('',#426067,1000.); #128725=VECTOR('',#426070,1000.); #128726=VECTOR('',#426081,1000.); #128727=VECTOR('',#426082,1000.); #128728=VECTOR('',#426083,1000.); #128729=VECTOR('',#426084,1000.); #128730=VECTOR('',#426087,1000.); #128731=VECTOR('',#426088,1000.); #128732=VECTOR('',#426091,1000.); #128733=VECTOR('',#426094,1000.); #128734=VECTOR('',#426095,1000.); #128735=VECTOR('',#426096,1000.); #128736=VECTOR('',#426099,1000.); #128737=VECTOR('',#426104,1000.); #128738=VECTOR('',#426107,1000.); #128739=VECTOR('',#426110,1000.); #128740=VECTOR('',#426111,1000.); #128741=VECTOR('',#426112,1000.); #128742=VECTOR('',#426115,1000.); #128743=VECTOR('',#426118,1000.); #128744=VECTOR('',#426119,1000.); #128745=VECTOR('',#426122,1000.); #128746=VECTOR('',#426123,1000.); #128747=VECTOR('',#426124,1000.); #128748=VECTOR('',#426127,1000.); #128749=VECTOR('',#426128,1000.); #128750=VECTOR('',#426129,1000.); #128751=VECTOR('',#426130,1000.); #128752=VECTOR('',#426131,1000.); #128753=VECTOR('',#426134,1000.); #128754=VECTOR('',#426135,1000.); #128755=VECTOR('',#426136,1000.); #128756=VECTOR('',#426139,1000.); #128757=VECTOR('',#426140,1000.); #128758=VECTOR('',#426141,1000.); #128759=VECTOR('',#426142,1000.); #128760=VECTOR('',#426145,1000.); #128761=VECTOR('',#426146,1000.); #128762=VECTOR('',#426149,1000.); #128763=VECTOR('',#426150,1000.); #128764=VECTOR('',#426153,1000.); #128765=VECTOR('',#426154,1000.); #128766=VECTOR('',#426157,1000.); #128767=VECTOR('',#426160,1000.); #128768=VECTOR('',#426163,1000.); #128769=VECTOR('',#426168,1000.); #128770=VECTOR('',#426171,1000.); #128771=VECTOR('',#426174,1000.); #128772=VECTOR('',#426185,1000.); #128773=VECTOR('',#426186,1000.); #128774=VECTOR('',#426187,1000.); #128775=VECTOR('',#426188,1000.); #128776=VECTOR('',#426191,1000.); #128777=VECTOR('',#426192,1000.); #128778=VECTOR('',#426195,1000.); #128779=VECTOR('',#426198,1000.); #128780=VECTOR('',#426199,1000.); #128781=VECTOR('',#426200,1000.); #128782=VECTOR('',#426203,1000.); #128783=VECTOR('',#426208,1000.); #128784=VECTOR('',#426211,1000.); #128785=VECTOR('',#426214,1000.); #128786=VECTOR('',#426215,1000.); #128787=VECTOR('',#426216,1000.); #128788=VECTOR('',#426219,1000.); #128789=VECTOR('',#426222,1000.); #128790=VECTOR('',#426223,1000.); #128791=VECTOR('',#426226,1000.); #128792=VECTOR('',#426227,1000.); #128793=VECTOR('',#426228,1000.); #128794=VECTOR('',#426231,1000.); #128795=VECTOR('',#426232,1000.); #128796=VECTOR('',#426233,1000.); #128797=VECTOR('',#426234,1000.); #128798=VECTOR('',#426235,1000.); #128799=VECTOR('',#426238,1000.); #128800=VECTOR('',#426239,1000.); #128801=VECTOR('',#426240,1000.); #128802=VECTOR('',#426243,1000.); #128803=VECTOR('',#426244,1000.); #128804=VECTOR('',#426245,1000.); #128805=VECTOR('',#426246,1000.); #128806=VECTOR('',#426249,1000.); #128807=VECTOR('',#426250,1000.); #128808=VECTOR('',#426253,1000.); #128809=VECTOR('',#426254,1000.); #128810=VECTOR('',#426257,1000.); #128811=VECTOR('',#426258,1000.); #128812=VECTOR('',#426261,1000.); #128813=VECTOR('',#426264,1000.); #128814=VECTOR('',#426267,1000.); #128815=VECTOR('',#426272,1000.); #128816=VECTOR('',#426275,1000.); #128817=VECTOR('',#426278,1000.); #128818=VECTOR('',#426289,1000.); #128819=VECTOR('',#426290,1000.); #128820=VECTOR('',#426291,1000.); #128821=VECTOR('',#426292,1000.); #128822=VECTOR('',#426295,1000.); #128823=VECTOR('',#426296,1000.); #128824=VECTOR('',#426299,1000.); #128825=VECTOR('',#426302,1000.); #128826=VECTOR('',#426303,1000.); #128827=VECTOR('',#426304,1000.); #128828=VECTOR('',#426307,1000.); #128829=VECTOR('',#426312,1000.); #128830=VECTOR('',#426315,1000.); #128831=VECTOR('',#426318,1000.); #128832=VECTOR('',#426319,1000.); #128833=VECTOR('',#426320,1000.); #128834=VECTOR('',#426323,1000.); #128835=VECTOR('',#426326,1000.); #128836=VECTOR('',#426327,1000.); #128837=VECTOR('',#426330,1000.); #128838=VECTOR('',#426331,1000.); #128839=VECTOR('',#426332,1000.); #128840=VECTOR('',#426335,1000.); #128841=VECTOR('',#426336,1000.); #128842=VECTOR('',#426337,1000.); #128843=VECTOR('',#426338,1000.); #128844=VECTOR('',#426339,1000.); #128845=VECTOR('',#426342,1000.); #128846=VECTOR('',#426343,1000.); #128847=VECTOR('',#426344,1000.); #128848=VECTOR('',#426347,1000.); #128849=VECTOR('',#426348,1000.); #128850=VECTOR('',#426349,1000.); #128851=VECTOR('',#426350,1000.); #128852=VECTOR('',#426353,1000.); #128853=VECTOR('',#426354,1000.); #128854=VECTOR('',#426357,1000.); #128855=VECTOR('',#426358,1000.); #128856=VECTOR('',#426361,1000.); #128857=VECTOR('',#426362,1000.); #128858=VECTOR('',#426365,1000.); #128859=VECTOR('',#426368,1000.); #128860=VECTOR('',#426371,1000.); #128861=VECTOR('',#426376,1000.); #128862=VECTOR('',#426379,1000.); #128863=VECTOR('',#426382,1000.); #128864=VECTOR('',#426393,1000.); #128865=VECTOR('',#426394,1000.); #128866=VECTOR('',#426395,1000.); #128867=VECTOR('',#426396,1000.); #128868=VECTOR('',#426399,1000.); #128869=VECTOR('',#426400,1000.); #128870=VECTOR('',#426403,1000.); #128871=VECTOR('',#426406,1000.); #128872=VECTOR('',#426407,1000.); #128873=VECTOR('',#426408,1000.); #128874=VECTOR('',#426411,1000.); #128875=VECTOR('',#426416,1000.); #128876=VECTOR('',#426419,1000.); #128877=VECTOR('',#426422,1000.); #128878=VECTOR('',#426423,1000.); #128879=VECTOR('',#426424,1000.); #128880=VECTOR('',#426427,1000.); #128881=VECTOR('',#426430,1000.); #128882=VECTOR('',#426431,1000.); #128883=VECTOR('',#426434,1000.); #128884=VECTOR('',#426435,1000.); #128885=VECTOR('',#426436,1000.); #128886=VECTOR('',#426439,1000.); #128887=VECTOR('',#426440,1000.); #128888=VECTOR('',#426441,1000.); #128889=VECTOR('',#426442,1000.); #128890=VECTOR('',#426443,1000.); #128891=VECTOR('',#426446,1000.); #128892=VECTOR('',#426447,1000.); #128893=VECTOR('',#426448,1000.); #128894=VECTOR('',#426451,1000.); #128895=VECTOR('',#426452,1000.); #128896=VECTOR('',#426453,1000.); #128897=VECTOR('',#426454,1000.); #128898=VECTOR('',#426457,1000.); #128899=VECTOR('',#426458,1000.); #128900=VECTOR('',#426461,1000.); #128901=VECTOR('',#426462,1000.); #128902=VECTOR('',#426465,1000.); #128903=VECTOR('',#426466,1000.); #128904=VECTOR('',#426469,1000.); #128905=VECTOR('',#426472,1000.); #128906=VECTOR('',#426475,1000.); #128907=VECTOR('',#426480,1000.); #128908=VECTOR('',#426483,1000.); #128909=VECTOR('',#426486,1000.); #128910=VECTOR('',#426497,1000.); #128911=VECTOR('',#426498,1000.); #128912=VECTOR('',#426499,1000.); #128913=VECTOR('',#426500,1000.); #128914=VECTOR('',#426503,1000.); #128915=VECTOR('',#426504,1000.); #128916=VECTOR('',#426505,1000.); #128917=VECTOR('',#426508,1000.); #128918=VECTOR('',#426509,1000.); #128919=VECTOR('',#426510,1000.); #128920=VECTOR('',#426513,1000.); #128921=VECTOR('',#426514,1000.); #128922=VECTOR('',#426515,1000.); #128923=VECTOR('',#426520,1000.); #128924=VECTOR('',#426525,1000.); #128925=VECTOR('',#426526,1000.); #128926=VECTOR('',#426527,1000.); #128927=VECTOR('',#426530,1000.); #128928=VECTOR('',#426531,1000.); #128929=VECTOR('',#426532,1000.); #128930=VECTOR('',#426535,1000.); #128931=VECTOR('',#426536,1000.); #128932=VECTOR('',#426537,1000.); #128933=VECTOR('',#426542,1000.); #128934=VECTOR('',#426547,1000.); #128935=VECTOR('',#426548,1000.); #128936=VECTOR('',#426549,1000.); #128937=VECTOR('',#426554,1000.); #128938=VECTOR('',#426561,1000.); #128939=VECTOR('',#426566,1000.); #128940=VECTOR('',#426567,1000.); #128941=VECTOR('',#426568,1000.); #128942=VECTOR('',#426573,1000.); #128943=VECTOR('',#426578,1000.); #128944=VECTOR('',#426579,1000.); #128945=VECTOR('',#426580,1000.); #128946=VECTOR('',#426585,1000.); #128947=VECTOR('',#426590,1000.); #128948=VECTOR('',#426591,1000.); #128949=VECTOR('',#426592,1000.); #128950=VECTOR('',#426595,1000.); #128951=VECTOR('',#426596,1000.); #128952=VECTOR('',#426597,1000.); #128953=VECTOR('',#426600,1000.); #128954=VECTOR('',#426601,1000.); #128955=VECTOR('',#426602,1000.); #128956=VECTOR('',#426605,1000.); #128957=VECTOR('',#426606,1000.); #128958=VECTOR('',#426607,1000.); #128959=VECTOR('',#426610,1000.); #128960=VECTOR('',#426611,1000.); #128961=VECTOR('',#426612,1000.); #128962=VECTOR('',#426615,1000.); #128963=VECTOR('',#426616,1000.); #128964=VECTOR('',#426617,1000.); #128965=VECTOR('',#426620,1000.); #128966=VECTOR('',#426621,1000.); #128967=VECTOR('',#426622,1000.); #128968=VECTOR('',#426627,1000.); #128969=VECTOR('',#426632,1000.); #128970=VECTOR('',#426633,1000.); #128971=VECTOR('',#426634,1000.); #128972=VECTOR('',#426639,1000.); #128973=VECTOR('',#426644,1000.); #128974=VECTOR('',#426645,1000.); #128975=VECTOR('',#426646,1000.); #128976=VECTOR('',#426651,1000.); #128977=VECTOR('',#426658,1000.); #128978=VECTOR('',#426663,1000.); #128979=VECTOR('',#426664,1000.); #128980=VECTOR('',#426665,1000.); #128981=VECTOR('',#426670,1000.); #128982=VECTOR('',#426675,1000.); #128983=VECTOR('',#426676,1000.); #128984=VECTOR('',#426677,1000.); #128985=VECTOR('',#426680,1000.); #128986=VECTOR('',#426681,1000.); #128987=VECTOR('',#426682,1000.); #128988=VECTOR('',#426685,1000.); #128989=VECTOR('',#426686,1000.); #128990=VECTOR('',#426687,1000.); #128991=VECTOR('',#426692,1000.); #128992=VECTOR('',#426697,1000.); #128993=VECTOR('',#426698,1000.); #128994=VECTOR('',#426707,1000.); #128995=VECTOR('',#426708,1000.); #128996=VECTOR('',#426709,1000.); #128997=VECTOR('',#426710,1000.); #128998=VECTOR('',#426713,1000.); #128999=VECTOR('',#426714,1000.); #129000=VECTOR('',#426715,1000.); #129001=VECTOR('',#426716,1000.); #129002=VECTOR('',#426721,1000.); #129003=VECTOR('',#426724,1000.); #129004=VECTOR('',#426727,1000.); #129005=VECTOR('',#426730,1000.); #129006=VECTOR('',#426733,1000.); #129007=VECTOR('',#426736,1000.); #129008=VECTOR('',#426739,1000.); #129009=VECTOR('',#426742,1000.); #129010=VECTOR('',#426743,1000.); #129011=VECTOR('',#426744,1000.); #129012=VECTOR('',#426745,1000.); #129013=VECTOR('',#426748,1000.); #129014=VECTOR('',#426749,1000.); #129015=VECTOR('',#426752,1000.); #129016=VECTOR('',#426755,1000.); #129017=VECTOR('',#426760,1000.); #129018=VECTOR('',#426763,1000.); #129019=VECTOR('',#426766,1000.); #129020=VECTOR('',#426769,1000.); #129021=VECTOR('',#426770,1000.); #129022=VECTOR('',#426773,1000.); #129023=VECTOR('',#426774,1000.); #129024=VECTOR('',#426775,1000.); #129025=VECTOR('',#426776,1000.); #129026=VECTOR('',#426777,1000.); #129027=VECTOR('',#426778,1000.); #129028=VECTOR('',#426779,1000.); #129029=VECTOR('',#426780,1000.); #129030=VECTOR('',#426781,1000.); #129031=VECTOR('',#426782,1000.); #129032=VECTOR('',#426785,1000.); #129033=VECTOR('',#426788,1000.); #129034=VECTOR('',#426789,1000.); #129035=VECTOR('',#426792,1000.); #129036=VECTOR('',#426793,1000.); #129037=VECTOR('',#426796,1000.); #129038=VECTOR('',#426799,1000.); #129039=VECTOR('',#426802,1000.); #129040=VECTOR('',#426807,1000.); #129041=VECTOR('',#426810,1000.); #129042=VECTOR('',#426813,1000.); #129043=VECTOR('',#426814,1000.); #129044=VECTOR('',#426817,1000.); #129045=VECTOR('',#426818,1000.); #129046=VECTOR('',#426819,1000.); #129047=VECTOR('',#426820,1000.); #129048=VECTOR('',#426823,1000.); #129049=VECTOR('',#426826,1000.); #129050=VECTOR('',#426829,1000.); #129051=VECTOR('',#426832,1000.); #129052=VECTOR('',#426835,1000.); #129053=VECTOR('',#426838,1000.); #129054=VECTOR('',#426841,1000.); #129055=VECTOR('',#426842,1000.); #129056=VECTOR('',#426843,1000.); #129057=VECTOR('',#426844,1000.); #129058=VECTOR('',#426845,1000.); #129059=VECTOR('',#426846,1000.); #129060=VECTOR('',#426847,1000.); #129061=VECTOR('',#426848,1000.); #129062=VECTOR('',#426851,1000.); #129063=VECTOR('',#426852,1000.); #129064=VECTOR('',#426855,1000.); #129065=VECTOR('',#426856,1000.); #129066=VECTOR('',#426857,1000.); #129067=VECTOR('',#426862,1000.); #129068=VECTOR('',#426863,1000.); #129069=VECTOR('',#426866,1000.); #129070=VECTOR('',#426871,1000.); #129071=VECTOR('',#426872,1000.); #129072=VECTOR('',#426875,1000.); #129073=VECTOR('',#426880,1000.); #129074=VECTOR('',#426881,1000.); #129075=VECTOR('',#426884,1000.); #129076=VECTOR('',#426885,1000.); #129077=VECTOR('',#426886,1000.); #129078=VECTOR('',#426887,1000.); #129079=VECTOR('',#426888,1000.); #129080=VECTOR('',#426889,1000.); #129081=VECTOR('',#426890,1000.); #129082=VECTOR('',#426891,1000.); #129083=VECTOR('',#426892,1000.); #129084=VECTOR('',#426893,1000.); #129085=VECTOR('',#426894,1000.); #129086=VECTOR('',#426895,1000.); #129087=VECTOR('',#426896,1000.); #129088=VECTOR('',#426897,1000.); #129089=VECTOR('',#426898,1000.); #129090=VECTOR('',#426899,1000.); #129091=VECTOR('',#426900,1000.); #129092=VECTOR('',#426901,1000.); #129093=VECTOR('',#426902,1000.); #129094=VECTOR('',#426903,1000.); #129095=VECTOR('',#426904,1000.); #129096=VECTOR('',#426905,1000.); #129097=VECTOR('',#426908,1000.); #129098=VECTOR('',#426911,1000.); #129099=VECTOR('',#426916,1000.); #129100=VECTOR('',#426919,1000.); #129101=VECTOR('',#426922,1000.); #129102=VECTOR('',#426925,1000.); #129103=VECTOR('',#426928,1000.); #129104=VECTOR('',#426929,1000.); #129105=VECTOR('',#426930,1000.); #129106=VECTOR('',#426935,1000.); #129107=VECTOR('',#426936,1000.); #129108=VECTOR('',#426937,1000.); #129109=VECTOR('',#426938,1000.); #129110=VECTOR('',#426939,1000.); #129111=VECTOR('',#426942,1000.); #129112=VECTOR('',#426945,1000.); #129113=VECTOR('',#426948,1000.); #129114=VECTOR('',#426951,1000.); #129115=VECTOR('',#426954,1000.); #129116=VECTOR('',#426961,1000.); #129117=VECTOR('',#426964,1000.); #129118=VECTOR('',#426967,1000.); #129119=VECTOR('',#426968,1000.); #129120=VECTOR('',#426969,1000.); #129121=VECTOR('',#426970,1000.); #129122=VECTOR('',#426971,1000.); #129123=VECTOR('',#426972,1000.); #129124=VECTOR('',#426975,1000.); #129125=VECTOR('',#426978,1000.); #129126=VECTOR('',#426979,1000.); #129127=VECTOR('',#426980,1000.); #129128=VECTOR('',#426983,1000.); #129129=VECTOR('',#426984,1000.); #129130=VECTOR('',#426989,1000.); #129131=VECTOR('',#426992,1000.); #129132=VECTOR('',#426995,1000.); #129133=VECTOR('',#426998,1000.); #129134=VECTOR('',#427003,1000.); #129135=VECTOR('',#427006,1000.); #129136=VECTOR('',#427009,1000.); #129137=VECTOR('',#427010,1000.); #129138=VECTOR('',#427013,1000.); #129139=VECTOR('',#427014,1000.); #129140=VECTOR('',#427015,1000.); #129141=VECTOR('',#427016,1000.); #129142=VECTOR('',#427019,1000.); #129143=VECTOR('',#427022,1000.); #129144=VECTOR('',#427025,1000.); #129145=VECTOR('',#427030,1000.); #129146=VECTOR('',#427033,1000.); #129147=VECTOR('',#427036,1000.); #129148=VECTOR('',#427039,1000.); #129149=VECTOR('',#427040,1000.); #129150=VECTOR('',#427041,1000.); #129151=VECTOR('',#427042,1000.); #129152=VECTOR('',#427043,1000.); #129153=VECTOR('',#427044,1000.); #129154=VECTOR('',#427045,1000.); #129155=VECTOR('',#427046,1000.); #129156=VECTOR('',#427047,1000.); #129157=VECTOR('',#427050,1000.); #129158=VECTOR('',#427051,1000.); #129159=VECTOR('',#427052,1000.); #129160=VECTOR('',#427055,1000.); #129161=VECTOR('',#427056,1000.); #129162=VECTOR('',#427057,1000.); #129163=VECTOR('',#427060,1000.); #129164=VECTOR('',#427061,1000.); #129165=VECTOR('',#427062,1000.); #129166=VECTOR('',#427065,1000.); #129167=VECTOR('',#427066,1000.); #129168=VECTOR('',#427067,1000.); #129169=VECTOR('',#427076,1000.); #129170=VECTOR('',#427077,1000.); #129171=VECTOR('',#427078,1000.); #129172=VECTOR('',#427081,1000.); #129173=VECTOR('',#427084,1000.); #129174=VECTOR('',#427085,1000.); #129175=VECTOR('',#427090,1000.); #129176=VECTOR('',#427091,1000.); #129177=VECTOR('',#427092,1000.); #129178=VECTOR('',#427093,1000.); #129179=VECTOR('',#427098,1000.); #129180=VECTOR('',#427099,1000.); #129181=VECTOR('',#427100,1000.); #129182=VECTOR('',#427103,1000.); #129183=VECTOR('',#427106,1000.); #129184=VECTOR('',#427109,1000.); #129185=VECTOR('',#427112,1000.); #129186=VECTOR('',#427117,1000.); #129187=VECTOR('',#427120,1000.); #129188=VECTOR('',#427121,1000.); #129189=VECTOR('',#427122,1000.); #129190=VECTOR('',#427125,1000.); #129191=VECTOR('',#427128,1000.); #129192=VECTOR('',#427135,1000.); #129193=VECTOR('',#427138,1000.); #129194=VECTOR('',#427141,1000.); #129195=VECTOR('',#427144,1000.); #129196=VECTOR('',#427147,1000.); #129197=VECTOR('',#427150,1000.); #129198=VECTOR('',#427151,1000.); #129199=VECTOR('',#427152,1000.); #129200=VECTOR('',#427153,1000.); #129201=VECTOR('',#427154,1000.); #129202=VECTOR('',#427157,1000.); #129203=VECTOR('',#427158,1000.); #129204=VECTOR('',#427159,1000.); #129205=VECTOR('',#427162,1000.); #129206=VECTOR('',#427163,1000.); #129207=VECTOR('',#427166,1000.); #129208=VECTOR('',#427167,1000.); #129209=VECTOR('',#427170,1000.); #129210=VECTOR('',#427171,1000.); #129211=VECTOR('',#427172,1000.); #129212=VECTOR('',#427175,1000.); #129213=VECTOR('',#427176,1000.); #129214=VECTOR('',#427177,1000.); #129215=VECTOR('',#427180,1000.); #129216=VECTOR('',#427181,1000.); #129217=VECTOR('',#427182,1000.); #129218=VECTOR('',#427183,1000.); #129219=VECTOR('',#427184,1000.); #129220=VECTOR('',#427187,1000.); #129221=VECTOR('',#427190,1000.); #129222=VECTOR('',#427193,1000.); #129223=VECTOR('',#427196,1000.); #129224=VECTOR('',#427199,1000.); #129225=VECTOR('',#427206,1000.); #129226=VECTOR('',#427209,1000.); #129227=VECTOR('',#427212,1000.); #129228=VECTOR('',#427213,1000.); #129229=VECTOR('',#427214,1000.); #129230=VECTOR('',#427217,1000.); #129231=VECTOR('',#427222,1000.); #129232=VECTOR('',#427225,1000.); #129233=VECTOR('',#427228,1000.); #129234=VECTOR('',#427231,1000.); #129235=VECTOR('',#427234,1000.); #129236=VECTOR('',#427235,1000.); #129237=VECTOR('',#427236,1000.); #129238=VECTOR('',#427237,1000.); #129239=VECTOR('',#427238,1000.); #129240=VECTOR('',#427241,1000.); #129241=VECTOR('',#427248,1000.); #129242=VECTOR('',#427249,1000.); #129243=VECTOR('',#427256,1000.); #129244=VECTOR('',#427259,1000.); #129245=VECTOR('',#427262,1000.); #129246=VECTOR('',#427265,1000.); #129247=VECTOR('',#427268,1000.); #129248=VECTOR('',#427273,1000.); #129249=VECTOR('',#427276,1000.); #129250=VECTOR('',#427279,1000.); #129251=VECTOR('',#427282,1000.); #129252=VECTOR('',#427283,1000.); #129253=VECTOR('',#427284,1000.); #129254=VECTOR('',#427285,1000.); #129255=VECTOR('',#427288,1000.); #129256=VECTOR('',#427289,1000.); #129257=VECTOR('',#427292,1000.); #129258=VECTOR('',#427295,1000.); #129259=VECTOR('',#427300,1000.); #129260=VECTOR('',#427303,1000.); #129261=VECTOR('',#427306,1000.); #129262=VECTOR('',#427309,1000.); #129263=VECTOR('',#427310,1000.); #129264=VECTOR('',#427311,1000.); #129265=VECTOR('',#427312,1000.); #129266=VECTOR('',#427313,1000.); #129267=VECTOR('',#427314,1000.); #129268=VECTOR('',#427333,1000.); #129269=VECTOR('',#427338,1000.); #129270=VECTOR('',#427355,1000.); #129271=VECTOR('',#427356,1000.); #129272=VECTOR('',#427359,1000.); #129273=VECTOR('',#427360,1000.); #129274=VECTOR('',#427361,1000.); #129275=VECTOR('',#427364,1000.); #129276=VECTOR('',#427367,1000.); #129277=VECTOR('',#427372,1000.); #129278=VECTOR('',#427373,1000.); #129279=VECTOR('',#427376,1000.); #129280=VECTOR('',#427379,1000.); #129281=VECTOR('',#427382,1000.); #129282=VECTOR('',#427385,1000.); #129283=VECTOR('',#427388,1000.); #129284=VECTOR('',#427391,1000.); #129285=VECTOR('',#427394,1000.); #129286=VECTOR('',#427411,1000.); #129287=VECTOR('',#427412,1000.); #129288=VECTOR('',#427413,1000.); #129289=VECTOR('',#427416,1000.); #129290=VECTOR('',#427419,1000.); #129291=VECTOR('',#427422,1000.); #129292=VECTOR('',#427425,1000.); #129293=VECTOR('',#427430,1000.); #129294=VECTOR('',#427433,1000.); #129295=VECTOR('',#427434,1000.); #129296=VECTOR('',#427437,1000.); #129297=VECTOR('',#427440,1000.); #129298=VECTOR('',#427447,1000.); #129299=VECTOR('',#427450,1000.); #129300=VECTOR('',#427453,1000.); #129301=VECTOR('',#427456,1000.); #129302=VECTOR('',#427459,1000.); #129303=VECTOR('',#427462,1000.); #129304=VECTOR('',#427463,1000.); #129305=VECTOR('',#427464,1000.); #129306=VECTOR('',#427465,1000.); #129307=VECTOR('',#427468,1000.); #129308=VECTOR('',#427473,1000.); #129309=VECTOR('',#427476,1000.); #129310=VECTOR('',#427479,1000.); #129311=VECTOR('',#427480,1000.); #129312=VECTOR('',#427483,1000.); #129313=VECTOR('',#427484,1000.); #129314=VECTOR('',#427485,1000.); #129315=VECTOR('',#427486,1000.); #129316=VECTOR('',#427487,1000.); #129317=VECTOR('',#427488,1000.); #129318=VECTOR('',#427489,1000.); #129319=VECTOR('',#427490,1000.); #129320=VECTOR('',#427491,1000.); #129321=VECTOR('',#427492,1000.); #129322=VECTOR('',#427493,1000.); #129323=VECTOR('',#427494,1000.); #129324=VECTOR('',#427505,1000.); #129325=VECTOR('',#427506,1000.); #129326=VECTOR('',#427509,1000.); #129327=VECTOR('',#427510,1000.); #129328=VECTOR('',#427513,1000.); #129329=VECTOR('',#427514,1000.); #129330=VECTOR('',#427517,1000.); #129331=VECTOR('',#427522,1000.); #129332=VECTOR('',#427523,1000.); #129333=VECTOR('',#427524,1000.); #129334=VECTOR('',#427527,1000.); #129335=VECTOR('',#427530,1000.); #129336=VECTOR('',#427533,1000.); #129337=VECTOR('',#427536,1000.); #129338=VECTOR('',#427539,1000.); #129339=VECTOR('',#427546,1000.); #129340=VECTOR('',#427547,1000.); #129341=VECTOR('',#427550,1000.); #129342=VECTOR('',#427553,1000.); #129343=VECTOR('',#427558,1000.); #129344=VECTOR('',#427559,1000.); #129345=VECTOR('',#427562,1000.); #129346=VECTOR('',#427567,1000.); #129347=VECTOR('',#427570,1000.); #129348=VECTOR('',#427571,1000.); #129349=VECTOR('',#427574,1000.); #129350=VECTOR('',#427575,1000.); #129351=VECTOR('',#427578,1000.); #129352=VECTOR('',#427579,1000.); #129353=VECTOR('',#427582,1000.); #129354=VECTOR('',#427585,1000.); #129355=VECTOR('',#427588,1000.); #129356=VECTOR('',#427591,1000.); #129357=VECTOR('',#427594,1000.); #129358=VECTOR('',#427597,1000.); #129359=VECTOR('',#427600,1000.); #129360=VECTOR('',#427603,1000.); #129361=VECTOR('',#427608,1000.); #129362=VECTOR('',#427609,1000.); #129363=VECTOR('',#427612,1000.); #129364=VECTOR('',#427613,1000.); #129365=VECTOR('',#427616,1000.); #129366=VECTOR('',#427619,1000.); #129367=VECTOR('',#427622,1000.); #129368=VECTOR('',#427625,1000.); #129369=VECTOR('',#427628,1000.); #129370=VECTOR('',#427631,1000.); #129371=VECTOR('',#427634,1000.); #129372=VECTOR('',#427637,1000.); #129373=VECTOR('',#427642,1000.); #129374=VECTOR('',#427643,1000.); #129375=VECTOR('',#427646,1000.); #129376=VECTOR('',#427649,1000.); #129377=VECTOR('',#427652,1000.); #129378=VECTOR('',#427655,1000.); #129379=VECTOR('',#427658,1000.); #129380=VECTOR('',#427661,1000.); #129381=VECTOR('',#427664,1000.); #129382=VECTOR('',#427667,1000.); #129383=VECTOR('',#427670,1000.); #129384=VECTOR('',#427673,1000.); #129385=VECTOR('',#427676,1000.); #129386=VECTOR('',#427679,1000.); #129387=VECTOR('',#427682,1000.); #129388=VECTOR('',#427685,1000.); #129389=VECTOR('',#427688,1000.); #129390=VECTOR('',#427691,1000.); #129391=VECTOR('',#427694,1000.); #129392=VECTOR('',#427697,1000.); #129393=VECTOR('',#427698,1000.); #129394=VECTOR('',#427701,1000.); #129395=VECTOR('',#427702,1000.); #129396=VECTOR('',#427705,1000.); #129397=VECTOR('',#427708,1000.); #129398=VECTOR('',#427711,1000.); #129399=VECTOR('',#427714,1000.); #129400=VECTOR('',#427717,1000.); #129401=VECTOR('',#427720,1000.); #129402=VECTOR('',#427723,1000.); #129403=VECTOR('',#427726,1000.); #129404=VECTOR('',#427729,1000.); #129405=VECTOR('',#427732,1000.); #129406=VECTOR('',#427735,1000.); #129407=VECTOR('',#427746,1000.); #129408=VECTOR('',#427749,1000.); #129409=VECTOR('',#427752,1000.); #129410=VECTOR('',#427755,1000.); #129411=VECTOR('',#427758,1000.); #129412=VECTOR('',#427761,1000.); #129413=VECTOR('',#427764,1000.); #129414=VECTOR('',#427767,1000.); #129415=VECTOR('',#427772,1000.); #129416=VECTOR('',#427773,1000.); #129417=VECTOR('',#427778,1000.); #129418=VECTOR('',#427779,1000.); #129419=VECTOR('',#427786,1000.); #129420=VECTOR('',#427789,1000.); #129421=VECTOR('',#427792,1000.); #129422=VECTOR('',#427797,1000.); #129423=VECTOR('',#427800,1000.); #129424=VECTOR('',#427803,1000.); #129425=VECTOR('',#427808,1000.); #129426=VECTOR('',#427811,1000.); #129427=VECTOR('',#427814,1000.); #129428=VECTOR('',#427819,1000.); #129429=VECTOR('',#427822,1000.); #129430=VECTOR('',#427825,1000.); #129431=VECTOR('',#427832,1000.); #129432=VECTOR('',#427835,1000.); #129433=VECTOR('',#427838,1000.); #129434=VECTOR('',#427841,1000.); #129435=VECTOR('',#427844,1000.); #129436=VECTOR('',#427847,1000.); #129437=VECTOR('',#427852,1000.); #129438=VECTOR('',#427855,1000.); #129439=VECTOR('',#427858,1000.); #129440=VECTOR('',#427861,1000.); #129441=VECTOR('',#427864,1000.); #129442=VECTOR('',#427867,1000.); #129443=VECTOR('',#427872,1000.); #129444=VECTOR('',#427875,1000.); #129445=VECTOR('',#427876,1000.); #129446=VECTOR('',#427881,1000.); #129447=VECTOR('',#427886,1000.); #129448=VECTOR('',#427889,1000.); #129449=VECTOR('',#427892,1000.); #129450=VECTOR('',#427897,1000.); #129451=VECTOR('',#427900,1000.); #129452=VECTOR('',#427903,1000.); #129453=VECTOR('',#427908,1000.); #129454=VECTOR('',#427911,1000.); #129455=VECTOR('',#427914,1000.); #129456=VECTOR('',#427919,1000.); #129457=VECTOR('',#427922,1000.); #129458=VECTOR('',#427925,1000.); #129459=VECTOR('',#427932,1000.); #129460=VECTOR('',#427935,1000.); #129461=VECTOR('',#427938,1000.); #129462=VECTOR('',#427941,1000.); #129463=VECTOR('',#427944,1000.); #129464=VECTOR('',#427947,1000.); #129465=VECTOR('',#427952,1000.); #129466=VECTOR('',#427955,1000.); #129467=VECTOR('',#427958,1000.); #129468=VECTOR('',#427961,1000.); #129469=VECTOR('',#427964,1000.); #129470=VECTOR('',#427967,1000.); #129471=VECTOR('',#427972,1000.); #129472=VECTOR('',#427973,1000.); #129473=VECTOR('',#427974,1000.); #129474=VECTOR('',#427975,1000.); #129475=VECTOR('',#427982,1000.); #129476=VECTOR('',#427985,1000.); #129477=VECTOR('',#427986,1000.); #129478=VECTOR('',#427987,1000.); #129479=VECTOR('',#427996,1000.); #129480=VECTOR('',#428001,1000.); #129481=VECTOR('',#428004,1000.); #129482=VECTOR('',#428007,1000.); #129483=VECTOR('',#428014,1000.); #129484=VECTOR('',#428021,1000.); #129485=VECTOR('',#428022,1000.); #129486=VECTOR('',#428023,1000.); #129487=VECTOR('',#428044,1000.); #129488=VECTOR('',#428045,1000.); #129489=VECTOR('',#428046,1000.); #129490=VECTOR('',#428049,1000.); #129491=VECTOR('',#428050,1000.); #129492=VECTOR('',#428051,1000.); #129493=VECTOR('',#428054,1000.); #129494=VECTOR('',#428055,1000.); #129495=VECTOR('',#428062,1000.); #129496=VECTOR('',#428063,1000.); #129497=VECTOR('',#428066,1000.); #129498=VECTOR('',#428067,1000.); #129499=VECTOR('',#428070,1000.); #129500=VECTOR('',#428073,1000.); #129501=VECTOR('',#428076,1000.); #129502=VECTOR('',#428079,1000.); #129503=VECTOR('',#428082,1000.); #129504=VECTOR('',#428085,1000.); #129505=VECTOR('',#428088,1000.); #129506=VECTOR('',#428091,1000.); #129507=VECTOR('',#428094,1000.); #129508=VECTOR('',#428097,1000.); #129509=VECTOR('',#428100,1000.); #129510=VECTOR('',#428103,1000.); #129511=VECTOR('',#428108,1000.); #129512=VECTOR('',#428109,1000.); #129513=VECTOR('',#428112,1000.); #129514=VECTOR('',#428115,1000.); #129515=VECTOR('',#428118,1000.); #129516=VECTOR('',#428121,1000.); #129517=VECTOR('',#428124,1000.); #129518=VECTOR('',#428127,1000.); #129519=VECTOR('',#428130,1000.); #129520=VECTOR('',#428133,1000.); #129521=VECTOR('',#428136,1000.); #129522=VECTOR('',#428139,1000.); #129523=VECTOR('',#428142,1000.); #129524=VECTOR('',#428143,1000.); #129525=VECTOR('',#428146,1000.); #129526=VECTOR('',#428147,1000.); #129527=VECTOR('',#428150,1000.); #129528=VECTOR('',#428153,1000.); #129529=VECTOR('',#428166,1000.); #129530=VECTOR('',#428169,1000.); #129531=VECTOR('',#428170,1000.); #129532=VECTOR('',#428175,1000.); #129533=VECTOR('',#428180,1000.); #129534=VECTOR('',#428183,1000.); #129535=VECTOR('',#428186,1000.); #129536=VECTOR('',#428191,1000.); #129537=VECTOR('',#428194,1000.); #129538=VECTOR('',#428197,1000.); #129539=VECTOR('',#428202,1000.); #129540=VECTOR('',#428205,1000.); #129541=VECTOR('',#428208,1000.); #129542=VECTOR('',#428213,1000.); #129543=VECTOR('',#428216,1000.); #129544=VECTOR('',#428219,1000.); #129545=VECTOR('',#428226,1000.); #129546=VECTOR('',#428229,1000.); #129547=VECTOR('',#428232,1000.); #129548=VECTOR('',#428235,1000.); #129549=VECTOR('',#428238,1000.); #129550=VECTOR('',#428241,1000.); #129551=VECTOR('',#428246,1000.); #129552=VECTOR('',#428249,1000.); #129553=VECTOR('',#428252,1000.); #129554=VECTOR('',#428255,1000.); #129555=VECTOR('',#428258,1000.); #129556=VECTOR('',#428261,1000.); #129557=VECTOR('',#428266,1000.); #129558=VECTOR('',#428267,1000.); #129559=VECTOR('',#428272,1000.); #129560=VECTOR('',#428273,1000.); #129561=VECTOR('',#428280,1000.); #129562=VECTOR('',#428283,1000.); #129563=VECTOR('',#428286,1000.); #129564=VECTOR('',#428291,1000.); #129565=VECTOR('',#428294,1000.); #129566=VECTOR('',#428297,1000.); #129567=VECTOR('',#428302,1000.); #129568=VECTOR('',#428305,1000.); #129569=VECTOR('',#428308,1000.); #129570=VECTOR('',#428313,1000.); #129571=VECTOR('',#428316,1000.); #129572=VECTOR('',#428319,1000.); #129573=VECTOR('',#428326,1000.); #129574=VECTOR('',#428329,1000.); #129575=VECTOR('',#428332,1000.); #129576=VECTOR('',#428335,1000.); #129577=VECTOR('',#428338,1000.); #129578=VECTOR('',#428341,1000.); #129579=VECTOR('',#428346,1000.); #129580=VECTOR('',#428349,1000.); #129581=VECTOR('',#428352,1000.); #129582=VECTOR('',#428355,1000.); #129583=VECTOR('',#428358,1000.); #129584=VECTOR('',#428361,1000.); #129585=VECTOR('',#428368,1000.); #129586=VECTOR('',#428371,1000.); #129587=VECTOR('',#428374,1000.); #129588=VECTOR('',#428375,1000.); #129589=VECTOR('',#428376,1000.); #129590=VECTOR('',#428385,1000.); #129591=VECTOR('',#428386,1000.); #129592=VECTOR('',#428387,1000.); #129593=VECTOR('',#428392,1000.); #129594=VECTOR('',#428393,1000.); #129595=VECTOR('',#428398,1000.); #129596=VECTOR('',#428401,1000.); #129597=VECTOR('',#428410,1000.); #129598=VECTOR('',#428415,1000.); #129599=VECTOR('',#428422,1000.); #129600=VECTOR('',#428423,1000.); #129601=VECTOR('',#428438,1000.); #129602=VECTOR('',#428439,1000.); #129603=VECTOR('',#428440,1000.); #129604=VECTOR('',#428443,1000.); #129605=VECTOR('',#428444,1000.); #129606=VECTOR('',#428445,1000.); #129607=VECTOR('',#428448,1000.); #129608=VECTOR('',#428449,1000.); #129609=VECTOR('',#428456,1000.); #129610=VECTOR('',#428457,1000.); #129611=VECTOR('',#428458,1000.); #129612=VECTOR('',#428459,1000.); #129613=VECTOR('',#428464,1000.); #129614=VECTOR('',#428469,1000.); #129615=VECTOR('',#428470,1000.); #129616=VECTOR('',#428471,1000.); #129617=VECTOR('',#428472,1000.); #129618=VECTOR('',#428479,1000.); #129619=VECTOR('',#428486,1000.); #129620=VECTOR('',#428493,1000.); #129621=VECTOR('',#428496,1000.); #129622=VECTOR('',#428497,1000.); #129623=VECTOR('',#428498,1000.); #129624=VECTOR('',#428505,1000.); #129625=VECTOR('',#428512,1000.); #129626=VECTOR('',#428519,1000.); #129627=VECTOR('',#428522,1000.); #129628=VECTOR('',#428523,1000.); #129629=VECTOR('',#428524,1000.); #129630=VECTOR('',#428531,1000.); #129631=VECTOR('',#428534,1000.); #129632=VECTOR('',#428535,1000.); #129633=VECTOR('',#428536,1000.); #129634=VECTOR('',#428545,1000.); #129635=VECTOR('',#428546,1000.); #129636=VECTOR('',#428547,1000.); #129637=VECTOR('',#428548,1000.); #129638=VECTOR('',#428553,1000.); #129639=VECTOR('',#428560,1000.); #129640=VECTOR('',#428567,1000.); #129641=VECTOR('',#428572,1000.); #129642=VECTOR('',#428573,1000.); #129643=VECTOR('',#428574,1000.); #129644=VECTOR('',#428579,1000.); #129645=VECTOR('',#428586,1000.); #129646=VECTOR('',#428593,1000.); #129647=VECTOR('',#428598,1000.); #129648=VECTOR('',#428599,1000.); #129649=VECTOR('',#428600,1000.); #129650=VECTOR('',#428605,1000.); #129651=VECTOR('',#428610,1000.); #129652=VECTOR('',#428611,1000.); #129653=VECTOR('',#428612,1000.); #129654=VECTOR('',#428621,1000.); #129655=VECTOR('',#428622,1000.); #129656=VECTOR('',#428623,1000.); #129657=VECTOR('',#428624,1000.); #129658=VECTOR('',#428627,1000.); #129659=VECTOR('',#428632,1000.); #129660=VECTOR('',#428633,1000.); #129661=VECTOR('',#428634,1000.); #129662=VECTOR('',#428635,1000.); #129663=VECTOR('',#428638,1000.); #129664=VECTOR('',#428639,1000.); #129665=VECTOR('',#428644,1000.); #129666=VECTOR('',#428647,1000.); #129667=VECTOR('',#428648,1000.); #129668=VECTOR('',#428653,1000.); #129669=VECTOR('',#428658,1000.); #129670=VECTOR('',#428659,1000.); #129671=VECTOR('',#428662,1000.); #129672=VECTOR('',#428663,1000.); #129673=VECTOR('',#428666,1000.); #129674=VECTOR('',#428667,1000.); #129675=VECTOR('',#428668,1000.); #129676=VECTOR('',#428669,1000.); #129677=VECTOR('',#428672,1000.); #129678=VECTOR('',#428673,1000.); #129679=VECTOR('',#428678,1000.); #129680=VECTOR('',#428681,1000.); #129681=VECTOR('',#428682,1000.); #129682=VECTOR('',#428685,1000.); #129683=VECTOR('',#428686,1000.); #129684=VECTOR('',#428687,1000.); #129685=VECTOR('',#428694,1000.); #129686=VECTOR('',#428695,1000.); #129687=VECTOR('',#428698,1000.); #129688=VECTOR('',#428703,1000.); #129689=VECTOR('',#428704,1000.); #129690=VECTOR('',#428705,1000.); #129691=VECTOR('',#428706,1000.); #129692=VECTOR('',#428709,1000.); #129693=VECTOR('',#428710,1000.); #129694=VECTOR('',#428711,1000.); #129695=VECTOR('',#428712,1000.); #129696=VECTOR('',#428717,1000.); #129697=VECTOR('',#428720,1000.); #129698=VECTOR('',#428721,1000.); #129699=VECTOR('',#428722,1000.); #129700=VECTOR('',#428723,1000.); #129701=VECTOR('',#428728,1000.); #129702=VECTOR('',#428735,1000.); #129703=VECTOR('',#428748,1000.); #129704=VECTOR('',#428751,1000.); #129705=VECTOR('',#428752,1000.); #129706=VECTOR('',#428753,1000.); #129707=VECTOR('',#428758,1000.); #129708=VECTOR('',#428763,1000.); #129709=VECTOR('',#428764,1000.); #129710=VECTOR('',#428765,1000.); #129711=VECTOR('',#428770,1000.); #129712=VECTOR('',#428775,1000.); #129713=VECTOR('',#428776,1000.); #129714=VECTOR('',#428777,1000.); #129715=VECTOR('',#428782,1000.); #129716=VECTOR('',#428797,1000.); #129717=VECTOR('',#428802,1000.); #129718=VECTOR('',#428809,1000.); #129719=VECTOR('',#428814,1000.); #129720=VECTOR('',#428815,1000.); #129721=VECTOR('',#428816,1000.); #129722=VECTOR('',#428821,1000.); #129723=VECTOR('',#428836,1000.); #129724=VECTOR('',#428841,1000.); #129725=VECTOR('',#428848,1000.); #129726=VECTOR('',#428853,1000.); #129727=VECTOR('',#428854,1000.); #129728=VECTOR('',#428855,1000.); #129729=VECTOR('',#428860,1000.); #129730=VECTOR('',#428865,1000.); #129731=VECTOR('',#428866,1000.); #129732=VECTOR('',#428867,1000.); #129733=VECTOR('',#428872,1000.); #129734=VECTOR('',#428877,1000.); #129735=VECTOR('',#428878,1000.); #129736=VECTOR('',#428883,1000.); #129737=VECTOR('',#428890,1000.); #129738=VECTOR('',#428895,1000.); #129739=VECTOR('',#428902,1000.); #129740=VECTOR('',#428907,1000.); #129741=VECTOR('',#428908,1000.); #129742=VECTOR('',#428909,1000.); #129743=VECTOR('',#428912,1000.); #129744=VECTOR('',#428913,1000.); #129745=VECTOR('',#428914,1000.); #129746=VECTOR('',#428915,1000.); #129747=VECTOR('',#428920,1000.); #129748=VECTOR('',#428929,1000.); #129749=VECTOR('',#428932,1000.); #129750=VECTOR('',#428935,1000.); #129751=VECTOR('',#428938,1000.); #129752=VECTOR('',#428943,1000.); #129753=VECTOR('',#428944,1000.); #129754=VECTOR('',#428945,1000.); #129755=VECTOR('',#428950,1000.); #129756=VECTOR('',#428953,1000.); #129757=VECTOR('',#428956,1000.); #129758=VECTOR('',#428959,1000.); #129759=VECTOR('',#428960,1000.); #129760=VECTOR('',#428963,1000.); #129761=VECTOR('',#428964,1000.); #129762=VECTOR('',#428969,1000.); #129763=VECTOR('',#428974,1000.); #129764=VECTOR('',#428981,1000.); #129765=VECTOR('',#428988,1000.); #129766=VECTOR('',#428993,1000.); #129767=VECTOR('',#429006,1000.); #129768=VECTOR('',#429009,1000.); #129769=VECTOR('',#429012,1000.); #129770=VECTOR('',#429015,1000.); #129771=VECTOR('',#429018,1000.); #129772=VECTOR('',#429021,1000.); #129773=VECTOR('',#429026,1000.); #129774=VECTOR('',#429029,1000.); #129775=VECTOR('',#429032,1000.); #129776=VECTOR('',#429035,1000.); #129777=VECTOR('',#429038,1000.); #129778=VECTOR('',#429041,1000.); #129779=VECTOR('',#429046,1000.); #129780=VECTOR('',#429049,1000.); #129781=VECTOR('',#429052,1000.); #129782=VECTOR('',#429055,1000.); #129783=VECTOR('',#429058,1000.); #129784=VECTOR('',#429061,1000.); #129785=VECTOR('',#429066,1000.); #129786=VECTOR('',#429069,1000.); #129787=VECTOR('',#429072,1000.); #129788=VECTOR('',#429075,1000.); #129789=VECTOR('',#429078,1000.); #129790=VECTOR('',#429081,1000.); #129791=VECTOR('',#429088,1000.); #129792=VECTOR('',#429089,1000.); #129793=VECTOR('',#429090,1000.); #129794=VECTOR('',#429091,1000.); #129795=VECTOR('',#429094,1000.); #129796=VECTOR('',#429097,1000.); #129797=VECTOR('',#429100,1000.); #129798=VECTOR('',#429101,1000.); #129799=VECTOR('',#429102,1000.); #129800=VECTOR('',#429105,1000.); #129801=VECTOR('',#429108,1000.); #129802=VECTOR('',#429111,1000.); #129803=VECTOR('',#429112,1000.); #129804=VECTOR('',#429113,1000.); #129805=VECTOR('',#429116,1000.); #129806=VECTOR('',#429119,1000.); #129807=VECTOR('',#429120,1000.); #129808=VECTOR('',#429121,1000.); #129809=VECTOR('',#429124,1000.); #129810=VECTOR('',#429129,1000.); #129811=VECTOR('',#429130,1000.); #129812=VECTOR('',#429131,1000.); #129813=VECTOR('',#429134,1000.); #129814=VECTOR('',#429135,1000.); #129815=VECTOR('',#429136,1000.); #129816=VECTOR('',#429137,1000.); #129817=VECTOR('',#429138,1000.); #129818=VECTOR('',#429141,1000.); #129819=VECTOR('',#429142,1000.); #129820=VECTOR('',#429143,1000.); #129821=VECTOR('',#429146,1000.); #129822=VECTOR('',#429147,1000.); #129823=VECTOR('',#429148,1000.); #129824=VECTOR('',#429149,1000.); #129825=VECTOR('',#429152,1000.); #129826=VECTOR('',#429153,1000.); #129827=VECTOR('',#429156,1000.); #129828=VECTOR('',#429157,1000.); #129829=VECTOR('',#429160,1000.); #129830=VECTOR('',#429161,1000.); #129831=VECTOR('',#429164,1000.); #129832=VECTOR('',#429167,1000.); #129833=VECTOR('',#429170,1000.); #129834=VECTOR('',#429175,1000.); #129835=VECTOR('',#429178,1000.); #129836=VECTOR('',#429181,1000.); #129837=VECTOR('',#429236,1.); #129838=VECTOR('',#429237,1.); #129839=VECTOR('',#429238,1.); #129840=VECTOR('',#429239,1.); #129841=VECTOR('',#429240,1.); #129842=VECTOR('',#429241,1.); #129843=VECTOR('',#429242,1.); #129844=VECTOR('',#429243,1.); #129845=VECTOR('',#429244,1.); #129846=VECTOR('',#429245,1.); #129847=VECTOR('',#429246,1.); #129848=VECTOR('',#429247,1.); #129849=VECTOR('',#429250,1.); #129850=VECTOR('',#429251,1.); #129851=VECTOR('',#429252,1.); #129852=VECTOR('',#429253,1.); #129853=VECTOR('',#429256,1.); #129854=VECTOR('',#429257,1.); #129855=VECTOR('',#429258,1.); #129856=VECTOR('',#429259,1.); #129857=VECTOR('',#429262,1.); #129858=VECTOR('',#429263,1.); #129859=VECTOR('',#429264,1.); #129860=VECTOR('',#429265,1.); #129861=VECTOR('',#429266,1.); #129862=VECTOR('',#429267,1.); #129863=VECTOR('',#429268,1.); #129864=VECTOR('',#429269,1.); #129865=VECTOR('',#429272,1.); #129866=VECTOR('',#429273,1.); #129867=VECTOR('',#429274,1.); #129868=VECTOR('',#429275,1.); #129869=VECTOR('',#429276,1.); #129870=VECTOR('',#429277,1.); #129871=VECTOR('',#429278,1.); #129872=VECTOR('',#429279,1.); #129873=VECTOR('',#429282,1.); #129874=VECTOR('',#429283,1.); #129875=VECTOR('',#429284,1.); #129876=VECTOR('',#429285,1.); #129877=VECTOR('',#429286,1.); #129878=VECTOR('',#429287,1.); #129879=VECTOR('',#429288,1.); #129880=VECTOR('',#429289,1.); #129881=VECTOR('',#429290,1.); #129882=VECTOR('',#429291,1.); #129883=VECTOR('',#429292,1.); #129884=VECTOR('',#429293,1.); #129885=VECTOR('',#429296,1.00000000000024); #129886=VECTOR('',#429297,1.00000000000024); #129887=VECTOR('',#429298,1.); #129888=VECTOR('',#429299,1.); #129889=VECTOR('',#429302,1.00000000000024); #129890=VECTOR('',#429303,1.); #129891=VECTOR('',#429304,1.); #129892=VECTOR('',#429305,1.00000000000024); #129893=VECTOR('',#429308,1.); #129894=VECTOR('',#429309,1.); #129895=VECTOR('',#429312,1.00000000000024); #129896=VECTOR('',#429313,1.00000000000024); #129897=VECTOR('',#429314,1.); #129898=VECTOR('',#429315,1.); #129899=VECTOR('',#429318,1.00000000000024); #129900=VECTOR('',#429319,1.); #129901=VECTOR('',#429320,1.00000000000024); #129902=VECTOR('',#429323,1.); #129903=VECTOR('',#429324,1.); #129904=VECTOR('',#429327,1.); #129905=VECTOR('',#429328,1.); #129906=VECTOR('',#429329,1.); #129907=VECTOR('',#429330,1.); #129908=VECTOR('',#429331,1.); #129909=VECTOR('',#429332,1.); #129910=VECTOR('',#429333,1.); #129911=VECTOR('',#429336,1.); #129912=VECTOR('',#429339,1.); #129913=VECTOR('',#429340,1.); #129914=VECTOR('',#429341,1.); #129915=VECTOR('',#429344,1.); #129916=VECTOR('',#429347,1.); #129917=VECTOR('',#429348,1.); #129918=VECTOR('',#429349,1.); #129919=VECTOR('',#429350,1.); #129920=VECTOR('',#429355,1.); #129921=VECTOR('',#429358,1.); #129922=VECTOR('',#429359,1.00000000000064); #129923=VECTOR('',#429360,1.00000000000064); #129924=VECTOR('',#429361,1.); #129925=VECTOR('',#429362,1.); #129926=VECTOR('',#429363,1.); #129927=VECTOR('',#429364,1.); #129928=VECTOR('',#429365,1.); #129929=VECTOR('',#429366,1.00000000000064); #129930=VECTOR('',#429367,1.00000000000064); #129931=VECTOR('',#429368,1.); #129932=VECTOR('',#429375,1.); #129933=VECTOR('',#429378,1.); #129934=VECTOR('',#429379,1.00000000000064); #129935=VECTOR('',#429380,1.00000000000064); #129936=VECTOR('',#429381,1.); #129937=VECTOR('',#429382,1.); #129938=VECTOR('',#429383,1.); #129939=VECTOR('',#429384,1.); #129940=VECTOR('',#429385,1.); #129941=VECTOR('',#429386,1.); #129942=VECTOR('',#429387,1.00000000000064); #129943=VECTOR('',#429388,1.00000000000064); #129944=VECTOR('',#429389,1.); #129945=VECTOR('',#429392,1.); #129946=VECTOR('',#429393,1.); #129947=VECTOR('',#429394,1.); #129948=VECTOR('',#429395,1.); #129949=VECTOR('',#429400,1.); #129950=VECTOR('',#429401,1.); #129951=VECTOR('',#429404,1.); #129952=VECTOR('',#429405,1.); #129953=VECTOR('',#429408,1.); #129954=VECTOR('',#429411,1.); #129955=VECTOR('',#429414,1.); #129956=VECTOR('',#429417,1.); #129957=VECTOR('',#429420,1.); #129958=VECTOR('',#429423,1.); #129959=VECTOR('',#429426,1.); #129960=VECTOR('',#429429,1.); #129961=VECTOR('',#429432,1.); #129962=VECTOR('',#429435,1.); #129963=VECTOR('',#429438,1.); #129964=VECTOR('',#429451,1.); #129965=VECTOR('',#429452,1.); #129966=VECTOR('',#429453,1.); #129967=VECTOR('',#429456,1.); #129968=VECTOR('',#429457,1.); #129969=VECTOR('',#429460,1.); #129970=VECTOR('',#429461,1.); #129971=VECTOR('',#429464,1.); #129972=VECTOR('',#429467,1.); #129973=VECTOR('',#429468,1.); #129974=VECTOR('',#429469,1.); #129975=VECTOR('',#429470,1.); #129976=VECTOR('',#429473,0.999999999999891); #129977=VECTOR('',#429474,0.999999999999891); #129978=VECTOR('',#429477,0.999999999999891); #129979=VECTOR('',#429480,0.999999999999891); #129980=VECTOR('',#429485,1.); #129981=VECTOR('',#429486,1.); #129982=VECTOR('',#429487,1.); #129983=VECTOR('',#429490,1.); #129984=VECTOR('',#429491,1.); #129985=VECTOR('',#429494,1.); #129986=VECTOR('',#429495,1.); #129987=VECTOR('',#429498,1.); #129988=VECTOR('',#429501,1.); #129989=VECTOR('',#429502,1.); #129990=VECTOR('',#429503,1.); #129991=VECTOR('',#429504,1.); #129992=VECTOR('',#429507,0.999999999999891); #129993=VECTOR('',#429508,0.999999999999891); #129994=VECTOR('',#429511,0.999999999999891); #129995=VECTOR('',#429514,0.999999999999891); #129996=VECTOR('',#429519,1.); #129997=VECTOR('',#429520,1.); #129998=VECTOR('',#429521,1.); #129999=VECTOR('',#429524,1.); #130000=VECTOR('',#429525,1.); #130001=VECTOR('',#429526,1.); #130002=VECTOR('',#429527,1.); #130003=VECTOR('',#429530,1.); #130004=VECTOR('',#429531,1.); #130005=VECTOR('',#429532,1.); #130006=VECTOR('',#429535,1.); #130007=VECTOR('',#429538,1.); #130008=VECTOR('',#429541,0.999999999999891); #130009=VECTOR('',#429542,0.999999999999891); #130010=VECTOR('',#429545,0.999999999999891); #130011=VECTOR('',#429548,0.999999999999891); #130012=VECTOR('',#429553,1.); #130013=VECTOR('',#429554,1.); #130014=VECTOR('',#429555,1.); #130015=VECTOR('',#429558,1.); #130016=VECTOR('',#429559,1.); #130017=VECTOR('',#429560,1.); #130018=VECTOR('',#429561,1.); #130019=VECTOR('',#429564,1.); #130020=VECTOR('',#429565,1.); #130021=VECTOR('',#429566,1.); #130022=VECTOR('',#429569,1.); #130023=VECTOR('',#429572,1.); #130024=VECTOR('',#429575,0.999999999999891); #130025=VECTOR('',#429576,0.999999999999891); #130026=VECTOR('',#429579,0.999999999999891); #130027=VECTOR('',#429582,0.999999999999891); #130028=VECTOR('',#429587,1.00000000000064); #130029=VECTOR('',#429588,1.); #130030=VECTOR('',#429591,1.); #130031=VECTOR('',#429594,1.00000000000064); #130032=VECTOR('',#429595,1.); #130033=VECTOR('',#429598,1.); #130034=VECTOR('',#429605,1.00000000000065); #130035=VECTOR('',#429608,1.00000000000065); #130036=VECTOR('',#429659,10.); #130037=VECTOR('',#429660,10.); #130038=VECTOR('',#429679,10.); #130039=VECTOR('',#429680,10.); #130040=VECTOR('',#429683,10.); #130041=VECTOR('',#429684,10.); #130042=VECTOR('',#429695,10.); #130043=VECTOR('',#429696,10.); #130044=VECTOR('',#429699,10.); #130045=VECTOR('',#429700,10.); #130046=VECTOR('',#429703,10.); #130047=VECTOR('',#429704,10.); #130048=VECTOR('',#429707,10.); #130049=VECTOR('',#429708,10.); #130050=VECTOR('',#429711,10.); #130051=VECTOR('',#429712,10.); #130052=VECTOR('',#429715,10.); #130053=VECTOR('',#429716,10.); #130054=VECTOR('',#429719,10.); #130055=VECTOR('',#429720,10.); #130056=VECTOR('',#429723,10.); #130057=VECTOR('',#429724,10.); #130058=VECTOR('',#429727,10.); #130059=VECTOR('',#429728,10.); #130060=VECTOR('',#429783,10.); #130061=VECTOR('',#429784,10.); #130062=VECTOR('',#429803,10.); #130063=VECTOR('',#429804,10.); #130064=VECTOR('',#429807,10.); #130065=VECTOR('',#429808,10.); #130066=VECTOR('',#429819,10.); #130067=VECTOR('',#429820,10.); #130068=VECTOR('',#429823,10.); #130069=VECTOR('',#429824,10.); #130070=VECTOR('',#429827,10.); #130071=VECTOR('',#429828,10.); #130072=VECTOR('',#429831,10.); #130073=VECTOR('',#429832,10.); #130074=VECTOR('',#429835,10.); #130075=VECTOR('',#429836,10.); #130076=VECTOR('',#429839,10.); #130077=VECTOR('',#429840,10.); #130078=VECTOR('',#429843,10.); #130079=VECTOR('',#429844,10.); #130080=VECTOR('',#429847,10.); #130081=VECTOR('',#429848,10.); #130082=VECTOR('',#429851,10.); #130083=VECTOR('',#429852,10.); #130084=VECTOR('',#429867,10.); #130085=VECTOR('',#429868,10.); #130086=VECTOR('',#429869,10.); #130087=VECTOR('',#429870,10.); #130088=VECTOR('',#429873,10.); #130089=VECTOR('',#429874,10.); #130090=VECTOR('',#429875,10.); #130091=VECTOR('',#429878,10.); #130092=VECTOR('',#429879,10.); #130093=VECTOR('',#429880,10.); #130094=VECTOR('',#429883,10.); #130095=VECTOR('',#429884,10.); #130096=VECTOR('',#429937,10.); #130097=VECTOR('',#429938,10.); #130098=VECTOR('',#429957,10.); #130099=VECTOR('',#429958,10.); #130100=VECTOR('',#429961,10.); #130101=VECTOR('',#429962,10.); #130102=VECTOR('',#429973,10.); #130103=VECTOR('',#429974,10.); #130104=VECTOR('',#429977,10.); #130105=VECTOR('',#429978,10.); #130106=VECTOR('',#429981,10.); #130107=VECTOR('',#429982,10.); #130108=VECTOR('',#429985,10.); #130109=VECTOR('',#429986,10.); #130110=VECTOR('',#429989,10.); #130111=VECTOR('',#429990,10.); #130112=VECTOR('',#429993,10.); #130113=VECTOR('',#429994,10.); #130114=VECTOR('',#429997,10.); #130115=VECTOR('',#429998,10.); #130116=VECTOR('',#430001,10.); #130117=VECTOR('',#430002,10.); #130118=VECTOR('',#430005,10.); #130119=VECTOR('',#430006,10.); #130120=VECTOR('',#430061,10.); #130121=VECTOR('',#430062,10.); #130122=VECTOR('',#430081,10.); #130123=VECTOR('',#430082,10.); #130124=VECTOR('',#430085,10.); #130125=VECTOR('',#430086,10.); #130126=VECTOR('',#430097,10.); #130127=VECTOR('',#430098,10.); #130128=VECTOR('',#430101,10.); #130129=VECTOR('',#430102,10.); #130130=VECTOR('',#430105,10.); #130131=VECTOR('',#430106,10.); #130132=VECTOR('',#430109,10.); #130133=VECTOR('',#430110,10.); #130134=VECTOR('',#430113,10.); #130135=VECTOR('',#430114,10.); #130136=VECTOR('',#430117,10.); #130137=VECTOR('',#430118,10.); #130138=VECTOR('',#430121,10.); #130139=VECTOR('',#430122,10.); #130140=VECTOR('',#430125,10.); #130141=VECTOR('',#430126,10.); #130142=VECTOR('',#430129,10.); #130143=VECTOR('',#430130,10.); #130144=VECTOR('',#430145,10.); #130145=VECTOR('',#430146,10.); #130146=VECTOR('',#430147,10.); #130147=VECTOR('',#430148,10.); #130148=VECTOR('',#430151,10.); #130149=VECTOR('',#430152,10.); #130150=VECTOR('',#430153,10.); #130151=VECTOR('',#430156,10.); #130152=VECTOR('',#430157,10.); #130153=VECTOR('',#430158,10.); #130154=VECTOR('',#430161,10.); #130155=VECTOR('',#430162,10.); #130156=VECTOR('',#430215,10.); #130157=VECTOR('',#430216,10.); #130158=VECTOR('',#430235,10.); #130159=VECTOR('',#430236,10.); #130160=VECTOR('',#430239,10.); #130161=VECTOR('',#430240,10.); #130162=VECTOR('',#430251,10.); #130163=VECTOR('',#430252,10.); #130164=VECTOR('',#430255,10.); #130165=VECTOR('',#430256,10.); #130166=VECTOR('',#430259,10.); #130167=VECTOR('',#430260,10.); #130168=VECTOR('',#430263,10.); #130169=VECTOR('',#430264,10.); #130170=VECTOR('',#430267,10.); #130171=VECTOR('',#430268,10.); #130172=VECTOR('',#430271,10.); #130173=VECTOR('',#430272,10.); #130174=VECTOR('',#430275,10.); #130175=VECTOR('',#430276,10.); #130176=VECTOR('',#430279,10.); #130177=VECTOR('',#430280,10.); #130178=VECTOR('',#430283,10.); #130179=VECTOR('',#430284,10.); #130180=VECTOR('',#430339,10.); #130181=VECTOR('',#430340,10.); #130182=VECTOR('',#430359,10.); #130183=VECTOR('',#430360,10.); #130184=VECTOR('',#430363,10.); #130185=VECTOR('',#430364,10.); #130186=VECTOR('',#430375,10.); #130187=VECTOR('',#430376,10.); #130188=VECTOR('',#430379,10.); #130189=VECTOR('',#430380,10.); #130190=VECTOR('',#430383,10.); #130191=VECTOR('',#430384,10.); #130192=VECTOR('',#430387,10.); #130193=VECTOR('',#430388,10.); #130194=VECTOR('',#430391,10.); #130195=VECTOR('',#430392,10.); #130196=VECTOR('',#430395,10.); #130197=VECTOR('',#430396,10.); #130198=VECTOR('',#430399,10.); #130199=VECTOR('',#430400,10.); #130200=VECTOR('',#430403,10.); #130201=VECTOR('',#430404,10.); #130202=VECTOR('',#430407,10.); #130203=VECTOR('',#430408,10.); #130204=VECTOR('',#430423,10.); #130205=VECTOR('',#430424,10.); #130206=VECTOR('',#430425,10.); #130207=VECTOR('',#430426,10.); #130208=VECTOR('',#430429,10.); #130209=VECTOR('',#430430,10.); #130210=VECTOR('',#430431,10.); #130211=VECTOR('',#430434,10.); #130212=VECTOR('',#430435,10.); #130213=VECTOR('',#430436,10.); #130214=VECTOR('',#430439,10.); #130215=VECTOR('',#430440,10.); #130216=VECTOR('',#430455,3.11666666666667); #130217=VECTOR('',#430474,4.25); #130218=VECTOR('',#430497,4.25); #130219=VECTOR('',#430514,10.); #130220=VECTOR('',#430517,10.); #130221=VECTOR('',#430520,10.); #130222=VECTOR('',#430527,10.); #130223=VECTOR('',#430530,10.); #130224=VECTOR('',#430533,10.); #130225=VECTOR('',#430550,10.); #130226=VECTOR('',#430561,10.); #130227=VECTOR('',#430572,10.); #130228=VECTOR('',#430595,10.); #130229=VECTOR('',#430606,10.); #130230=VECTOR('',#430617,10.); #130231=VECTOR('',#430630,0.325); #130232=VECTOR('',#430641,0.325); #130233=VECTOR('',#430650,10.); #130234=VECTOR('',#430651,10.); #130235=VECTOR('',#430652,10.); #130236=VECTOR('',#430653,10.); #130237=VECTOR('',#430658,10.); #130238=VECTOR('',#430663,10.); #130239=VECTOR('',#430668,10.); #130240=VECTOR('',#430673,10.); #130241=VECTOR('',#430678,10.); #130242=VECTOR('',#430679,10.); #130243=VECTOR('',#430680,10.); #130244=VECTOR('',#430681,10.); #130245=VECTOR('',#430686,10.); #130246=VECTOR('',#430691,10.); #130247=VECTOR('',#430696,10.); #130248=VECTOR('',#430701,10.); #130249=VECTOR('',#430706,10.); #130250=VECTOR('',#430707,10.); #130251=VECTOR('',#430708,10.); #130252=VECTOR('',#430709,10.); #130253=VECTOR('',#430714,10.); #130254=VECTOR('',#430719,10.); #130255=VECTOR('',#430724,10.); #130256=VECTOR('',#430729,10.); #130257=VECTOR('',#430734,10.); #130258=VECTOR('',#430735,10.); #130259=VECTOR('',#430736,10.); #130260=VECTOR('',#430737,10.); #130261=VECTOR('',#430742,10.); #130262=VECTOR('',#430747,10.); #130263=VECTOR('',#430752,10.); #130264=VECTOR('',#430757,10.); #130265=VECTOR('',#430762,10.); #130266=VECTOR('',#430763,10.); #130267=VECTOR('',#430764,10.); #130268=VECTOR('',#430765,10.); #130269=VECTOR('',#430770,10.); #130270=VECTOR('',#430775,10.); #130271=VECTOR('',#430780,10.); #130272=VECTOR('',#430785,10.); #130273=VECTOR('',#430790,10.); #130274=VECTOR('',#430791,10.); #130275=VECTOR('',#430792,10.); #130276=VECTOR('',#430793,10.); #130277=VECTOR('',#430798,10.); #130278=VECTOR('',#430803,10.); #130279=VECTOR('',#430808,10.); #130280=VECTOR('',#430813,10.); #130281=VECTOR('',#430818,10.); #130282=VECTOR('',#430819,10.); #130283=VECTOR('',#430820,10.); #130284=VECTOR('',#430821,10.); #130285=VECTOR('',#430826,10.); #130286=VECTOR('',#430831,10.); #130287=VECTOR('',#430836,10.); #130288=VECTOR('',#430841,10.); #130289=VECTOR('',#430846,10.); #130290=VECTOR('',#430847,10.); #130291=VECTOR('',#430848,10.); #130292=VECTOR('',#430849,10.); #130293=VECTOR('',#430854,10.); #130294=VECTOR('',#430859,10.); #130295=VECTOR('',#430864,10.); #130296=VECTOR('',#430869,10.); #130297=VECTOR('',#430874,10.); #130298=VECTOR('',#430875,10.); #130299=VECTOR('',#430876,10.); #130300=VECTOR('',#430877,10.); #130301=VECTOR('',#430882,10.); #130302=VECTOR('',#430887,10.); #130303=VECTOR('',#430892,10.); #130304=VECTOR('',#430897,10.); #130305=VECTOR('',#430910,0.0750000000000001); #130306=VECTOR('',#430917,10.); #130307=VECTOR('',#430918,10.); #130308=VECTOR('',#430919,10.); #130309=VECTOR('',#430920,10.); #130310=VECTOR('',#430923,10.); #130311=VECTOR('',#430924,10.); #130312=VECTOR('',#430925,10.); #130313=VECTOR('',#430928,10.); #130314=VECTOR('',#430929,10.); #130315=VECTOR('',#430930,10.); #130316=VECTOR('',#430933,10.); #130317=VECTOR('',#430934,10.); #130318=VECTOR('',#430937,10.); #130319=VECTOR('',#430938,10.); #130320=VECTOR('',#430939,10.); #130321=VECTOR('',#430942,10.); #130322=VECTOR('',#430943,10.); #130323=VECTOR('',#430948,10.); #130324=VECTOR('',#430949,10.); #130325=VECTOR('',#430952,10.); #130326=VECTOR('',#430957,10.); #130327=VECTOR('',#430958,10.); #130328=VECTOR('',#430959,10.); #130329=VECTOR('',#430960,10.); #130330=VECTOR('',#430963,10.); #130331=VECTOR('',#430964,10.); #130332=VECTOR('',#430965,10.); #130333=VECTOR('',#430968,10.); #130334=VECTOR('',#430969,10.); #130335=VECTOR('',#430970,10.); #130336=VECTOR('',#430973,10.); #130337=VECTOR('',#430974,10.); #130338=VECTOR('',#430981,10.); #130339=VECTOR('',#430982,10.); #130340=VECTOR('',#430983,10.); #130341=VECTOR('',#430984,10.); #130342=VECTOR('',#430987,10.); #130343=VECTOR('',#430988,10.); #130344=VECTOR('',#430989,10.); #130345=VECTOR('',#430996,10.); #130346=VECTOR('',#430999,10.); #130347=VECTOR('',#431000,10.); #130348=VECTOR('',#431001,10.); #130349=VECTOR('',#431008,10.); #130350=VECTOR('',#431011,10.); #130351=VECTOR('',#431012,10.); #130352=VECTOR('',#431019,10.); #130353=VECTOR('',#431020,10.); #130354=VECTOR('',#431021,10.); #130355=VECTOR('',#431022,10.); #130356=VECTOR('',#431025,10.); #130357=VECTOR('',#431026,10.); #130358=VECTOR('',#431027,10.); #130359=VECTOR('',#431032,10.); #130360=VECTOR('',#431037,10.); #130361=VECTOR('',#431038,10.); #130362=VECTOR('',#431039,10.); #130363=VECTOR('',#431044,10.); #130364=VECTOR('',#431049,10.); #130365=VECTOR('',#431050,10.); #130366=VECTOR('',#431057,10.); #130367=VECTOR('',#431058,10.); #130368=VECTOR('',#431059,10.); #130369=VECTOR('',#431060,10.); #130370=VECTOR('',#431063,10.); #130371=VECTOR('',#431064,10.); #130372=VECTOR('',#431065,10.); #130373=VECTOR('',#431072,10.); #130374=VECTOR('',#431075,10.); #130375=VECTOR('',#431076,10.); #130376=VECTOR('',#431077,10.); #130377=VECTOR('',#431084,10.); #130378=VECTOR('',#431087,10.); #130379=VECTOR('',#431088,10.); #130380=VECTOR('',#431095,10.); #130381=VECTOR('',#431096,10.); #130382=VECTOR('',#431097,10.); #130383=VECTOR('',#431098,10.); #130384=VECTOR('',#431101,10.); #130385=VECTOR('',#431102,10.); #130386=VECTOR('',#431103,10.); #130387=VECTOR('',#431110,10.); #130388=VECTOR('',#431113,10.); #130389=VECTOR('',#431114,10.); #130390=VECTOR('',#431115,10.); #130391=VECTOR('',#431122,10.); #130392=VECTOR('',#431125,10.); #130393=VECTOR('',#431126,10.); #130394=VECTOR('',#431133,10.); #130395=VECTOR('',#431134,10.); #130396=VECTOR('',#431135,10.); #130397=VECTOR('',#431136,10.); #130398=VECTOR('',#431139,10.); #130399=VECTOR('',#431140,10.); #130400=VECTOR('',#431141,10.); #130401=VECTOR('',#431148,10.); #130402=VECTOR('',#431151,10.); #130403=VECTOR('',#431152,10.); #130404=VECTOR('',#431153,10.); #130405=VECTOR('',#431160,10.); #130406=VECTOR('',#431163,10.); #130407=VECTOR('',#431164,10.); #130408=VECTOR('',#431171,10.); #130409=VECTOR('',#431172,10.); #130410=VECTOR('',#431173,10.); #130411=VECTOR('',#431174,10.); #130412=VECTOR('',#431177,10.); #130413=VECTOR('',#431178,10.); #130414=VECTOR('',#431179,10.); #130415=VECTOR('',#431184,10.); #130416=VECTOR('',#431189,10.); #130417=VECTOR('',#431190,10.); #130418=VECTOR('',#431191,10.); #130419=VECTOR('',#431196,10.); #130420=VECTOR('',#431201,10.); #130421=VECTOR('',#431202,10.); #130422=VECTOR('',#431209,10.); #130423=VECTOR('',#431210,10.); #130424=VECTOR('',#431211,10.); #130425=VECTOR('',#431212,10.); #130426=VECTOR('',#431215,10.); #130427=VECTOR('',#431216,10.); #130428=VECTOR('',#431217,10.); #130429=VECTOR('',#431222,10.); #130430=VECTOR('',#431227,10.); #130431=VECTOR('',#431228,10.); #130432=VECTOR('',#431229,10.); #130433=VECTOR('',#431234,10.); #130434=VECTOR('',#431239,10.); #130435=VECTOR('',#431240,10.); #130436=VECTOR('',#431247,10.); #130437=VECTOR('',#431248,10.); #130438=VECTOR('',#431249,10.); #130439=VECTOR('',#431250,10.); #130440=VECTOR('',#431253,10.); #130441=VECTOR('',#431254,10.); #130442=VECTOR('',#431255,10.); #130443=VECTOR('',#431260,10.); #130444=VECTOR('',#431265,10.); #130445=VECTOR('',#431266,10.); #130446=VECTOR('',#431267,10.); #130447=VECTOR('',#431272,10.); #130448=VECTOR('',#431277,10.); #130449=VECTOR('',#431278,10.); #130450=VECTOR('',#431285,10.); #130451=VECTOR('',#431286,10.); #130452=VECTOR('',#431287,10.); #130453=VECTOR('',#431288,10.); #130454=VECTOR('',#431291,10.); #130455=VECTOR('',#431292,10.); #130456=VECTOR('',#431293,10.); #130457=VECTOR('',#431296,10.); #130458=VECTOR('',#431297,10.); #130459=VECTOR('',#431298,10.); #130460=VECTOR('',#431301,10.); #130461=VECTOR('',#431302,10.); #130462=VECTOR('',#431309,10.); #130463=VECTOR('',#431310,10.); #130464=VECTOR('',#431311,10.); #130465=VECTOR('',#431312,10.); #130466=VECTOR('',#431315,10.); #130467=VECTOR('',#431316,10.); #130468=VECTOR('',#431317,10.); #130469=VECTOR('',#431320,10.); #130470=VECTOR('',#431321,10.); #130471=VECTOR('',#431322,10.); #130472=VECTOR('',#431325,10.); #130473=VECTOR('',#431326,10.); #130474=VECTOR('',#431333,10.); #130475=VECTOR('',#431334,10.); #130476=VECTOR('',#431335,10.); #130477=VECTOR('',#431336,10.); #130478=VECTOR('',#431339,10.); #130479=VECTOR('',#431340,10.); #130480=VECTOR('',#431341,10.); #130481=VECTOR('',#431344,10.); #130482=VECTOR('',#431345,10.); #130483=VECTOR('',#431346,10.); #130484=VECTOR('',#431349,10.); #130485=VECTOR('',#431350,10.); #130486=VECTOR('',#431357,10.); #130487=VECTOR('',#431358,10.); #130488=VECTOR('',#431359,10.); #130489=VECTOR('',#431360,10.); #130490=VECTOR('',#431363,10.); #130491=VECTOR('',#431364,10.); #130492=VECTOR('',#431365,10.); #130493=VECTOR('',#431368,10.); #130494=VECTOR('',#431369,10.); #130495=VECTOR('',#431370,10.); #130496=VECTOR('',#431373,10.); #130497=VECTOR('',#431374,10.); #130498=VECTOR('',#431381,10.); #130499=VECTOR('',#431382,10.); #130500=VECTOR('',#431383,10.); #130501=VECTOR('',#431384,10.); #130502=VECTOR('',#431387,10.); #130503=VECTOR('',#431388,10.); #130504=VECTOR('',#431389,10.); #130505=VECTOR('',#431392,10.); #130506=VECTOR('',#431393,10.); #130507=VECTOR('',#431394,10.); #130508=VECTOR('',#431397,10.); #130509=VECTOR('',#431398,10.); #130510=VECTOR('',#431405,10.); #130511=VECTOR('',#431406,10.); #130512=VECTOR('',#431407,10.); #130513=VECTOR('',#431408,10.); #130514=VECTOR('',#431411,10.); #130515=VECTOR('',#431412,10.); #130516=VECTOR('',#431413,10.); #130517=VECTOR('',#431416,10.); #130518=VECTOR('',#431417,10.); #130519=VECTOR('',#431418,10.); #130520=VECTOR('',#431421,10.); #130521=VECTOR('',#431422,10.); #130522=VECTOR('',#431429,10.); #130523=VECTOR('',#431430,10.); #130524=VECTOR('',#431431,10.); #130525=VECTOR('',#431432,10.); #130526=VECTOR('',#431435,10.); #130527=VECTOR('',#431436,10.); #130528=VECTOR('',#431437,10.); #130529=VECTOR('',#431440,10.); #130530=VECTOR('',#431441,10.); #130531=VECTOR('',#431442,10.); #130532=VECTOR('',#431445,10.); #130533=VECTOR('',#431446,10.); #130534=VECTOR('',#431453,10.); #130535=VECTOR('',#431454,10.); #130536=VECTOR('',#431455,10.); #130537=VECTOR('',#431456,10.); #130538=VECTOR('',#431459,10.); #130539=VECTOR('',#431460,10.); #130540=VECTOR('',#431461,10.); #130541=VECTOR('',#431464,10.); #130542=VECTOR('',#431465,10.); #130543=VECTOR('',#431466,10.); #130544=VECTOR('',#431469,10.); #130545=VECTOR('',#431470,10.); #130546=VECTOR('',#431485,0.0750000000000001); #130547=VECTOR('',#431492,10.); #130548=VECTOR('',#431493,10.); #130549=VECTOR('',#431494,10.); #130550=VECTOR('',#431495,10.); #130551=VECTOR('',#431498,10.); #130552=VECTOR('',#431499,10.); #130553=VECTOR('',#431500,10.); #130554=VECTOR('',#431503,10.); #130555=VECTOR('',#431504,10.); #130556=VECTOR('',#431505,10.); #130557=VECTOR('',#431508,10.); #130558=VECTOR('',#431509,10.); #130559=VECTOR('',#431512,10.); #130560=VECTOR('',#431513,10.); #130561=VECTOR('',#431514,10.); #130562=VECTOR('',#431517,10.); #130563=VECTOR('',#431518,10.); #130564=VECTOR('',#431523,10.); #130565=VECTOR('',#431524,10.); #130566=VECTOR('',#431527,10.); #130567=VECTOR('',#431532,10.); #130568=VECTOR('',#431533,10.); #130569=VECTOR('',#431534,10.); #130570=VECTOR('',#431535,10.); #130571=VECTOR('',#431538,10.); #130572=VECTOR('',#431539,10.); #130573=VECTOR('',#431540,10.); #130574=VECTOR('',#431543,10.); #130575=VECTOR('',#431544,10.); #130576=VECTOR('',#431545,10.); #130577=VECTOR('',#431548,10.); #130578=VECTOR('',#431549,10.); #130579=VECTOR('',#431556,10.); #130580=VECTOR('',#431557,10.); #130581=VECTOR('',#431558,10.); #130582=VECTOR('',#431559,10.); #130583=VECTOR('',#431562,10.); #130584=VECTOR('',#431563,10.); #130585=VECTOR('',#431564,10.); #130586=VECTOR('',#431571,10.); #130587=VECTOR('',#431574,10.); #130588=VECTOR('',#431575,10.); #130589=VECTOR('',#431576,10.); #130590=VECTOR('',#431583,10.); #130591=VECTOR('',#431586,10.); #130592=VECTOR('',#431587,10.); #130593=VECTOR('',#431594,10.); #130594=VECTOR('',#431595,10.); #130595=VECTOR('',#431596,10.); #130596=VECTOR('',#431597,10.); #130597=VECTOR('',#431600,10.); #130598=VECTOR('',#431601,10.); #130599=VECTOR('',#431602,10.); #130600=VECTOR('',#431607,10.); #130601=VECTOR('',#431612,10.); #130602=VECTOR('',#431613,10.); #130603=VECTOR('',#431614,10.); #130604=VECTOR('',#431619,10.); #130605=VECTOR('',#431624,10.); #130606=VECTOR('',#431625,10.); #130607=VECTOR('',#431632,10.); #130608=VECTOR('',#431633,10.); #130609=VECTOR('',#431634,10.); #130610=VECTOR('',#431635,10.); #130611=VECTOR('',#431638,10.); #130612=VECTOR('',#431639,10.); #130613=VECTOR('',#431640,10.); #130614=VECTOR('',#431647,10.); #130615=VECTOR('',#431650,10.); #130616=VECTOR('',#431651,10.); #130617=VECTOR('',#431652,10.); #130618=VECTOR('',#431659,10.); #130619=VECTOR('',#431662,10.); #130620=VECTOR('',#431663,10.); #130621=VECTOR('',#431670,10.); #130622=VECTOR('',#431671,10.); #130623=VECTOR('',#431672,10.); #130624=VECTOR('',#431673,10.); #130625=VECTOR('',#431676,10.); #130626=VECTOR('',#431677,10.); #130627=VECTOR('',#431678,10.); #130628=VECTOR('',#431685,10.); #130629=VECTOR('',#431688,10.); #130630=VECTOR('',#431689,10.); #130631=VECTOR('',#431690,10.); #130632=VECTOR('',#431697,10.); #130633=VECTOR('',#431700,10.); #130634=VECTOR('',#431701,10.); #130635=VECTOR('',#431708,10.); #130636=VECTOR('',#431709,10.); #130637=VECTOR('',#431710,10.); #130638=VECTOR('',#431711,10.); #130639=VECTOR('',#431714,10.); #130640=VECTOR('',#431715,10.); #130641=VECTOR('',#431716,10.); #130642=VECTOR('',#431723,10.); #130643=VECTOR('',#431726,10.); #130644=VECTOR('',#431727,10.); #130645=VECTOR('',#431728,10.); #130646=VECTOR('',#431735,10.); #130647=VECTOR('',#431738,10.); #130648=VECTOR('',#431739,10.); #130649=VECTOR('',#431746,10.); #130650=VECTOR('',#431747,10.); #130651=VECTOR('',#431748,10.); #130652=VECTOR('',#431749,10.); #130653=VECTOR('',#431752,10.); #130654=VECTOR('',#431753,10.); #130655=VECTOR('',#431754,10.); #130656=VECTOR('',#431759,10.); #130657=VECTOR('',#431764,10.); #130658=VECTOR('',#431765,10.); #130659=VECTOR('',#431766,10.); #130660=VECTOR('',#431771,10.); #130661=VECTOR('',#431776,10.); #130662=VECTOR('',#431777,10.); #130663=VECTOR('',#431784,10.); #130664=VECTOR('',#431785,10.); #130665=VECTOR('',#431786,10.); #130666=VECTOR('',#431787,10.); #130667=VECTOR('',#431790,10.); #130668=VECTOR('',#431791,10.); #130669=VECTOR('',#431792,10.); #130670=VECTOR('',#431797,10.); #130671=VECTOR('',#431802,10.); #130672=VECTOR('',#431803,10.); #130673=VECTOR('',#431804,10.); #130674=VECTOR('',#431809,10.); #130675=VECTOR('',#431814,10.); #130676=VECTOR('',#431815,10.); #130677=VECTOR('',#431822,10.); #130678=VECTOR('',#431823,10.); #130679=VECTOR('',#431824,10.); #130680=VECTOR('',#431825,10.); #130681=VECTOR('',#431828,10.); #130682=VECTOR('',#431829,10.); #130683=VECTOR('',#431830,10.); #130684=VECTOR('',#431835,10.); #130685=VECTOR('',#431840,10.); #130686=VECTOR('',#431841,10.); #130687=VECTOR('',#431842,10.); #130688=VECTOR('',#431847,10.); #130689=VECTOR('',#431852,10.); #130690=VECTOR('',#431853,10.); #130691=VECTOR('',#431860,10.); #130692=VECTOR('',#431861,10.); #130693=VECTOR('',#431862,10.); #130694=VECTOR('',#431863,10.); #130695=VECTOR('',#431866,10.); #130696=VECTOR('',#431867,10.); #130697=VECTOR('',#431868,10.); #130698=VECTOR('',#431871,10.); #130699=VECTOR('',#431872,10.); #130700=VECTOR('',#431873,10.); #130701=VECTOR('',#431876,10.); #130702=VECTOR('',#431877,10.); #130703=VECTOR('',#431884,10.); #130704=VECTOR('',#431885,10.); #130705=VECTOR('',#431886,10.); #130706=VECTOR('',#431887,10.); #130707=VECTOR('',#431890,10.); #130708=VECTOR('',#431891,10.); #130709=VECTOR('',#431892,10.); #130710=VECTOR('',#431895,10.); #130711=VECTOR('',#431896,10.); #130712=VECTOR('',#431897,10.); #130713=VECTOR('',#431900,10.); #130714=VECTOR('',#431901,10.); #130715=VECTOR('',#431908,10.); #130716=VECTOR('',#431909,10.); #130717=VECTOR('',#431910,10.); #130718=VECTOR('',#431911,10.); #130719=VECTOR('',#431914,10.); #130720=VECTOR('',#431915,10.); #130721=VECTOR('',#431916,10.); #130722=VECTOR('',#431919,10.); #130723=VECTOR('',#431920,10.); #130724=VECTOR('',#431921,10.); #130725=VECTOR('',#431924,10.); #130726=VECTOR('',#431925,10.); #130727=VECTOR('',#431932,10.); #130728=VECTOR('',#431933,10.); #130729=VECTOR('',#431934,10.); #130730=VECTOR('',#431935,10.); #130731=VECTOR('',#431938,10.); #130732=VECTOR('',#431939,10.); #130733=VECTOR('',#431940,10.); #130734=VECTOR('',#431943,10.); #130735=VECTOR('',#431944,10.); #130736=VECTOR('',#431945,10.); #130737=VECTOR('',#431948,10.); #130738=VECTOR('',#431949,10.); #130739=VECTOR('',#431956,10.); #130740=VECTOR('',#431957,10.); #130741=VECTOR('',#431958,10.); #130742=VECTOR('',#431959,10.); #130743=VECTOR('',#431962,10.); #130744=VECTOR('',#431963,10.); #130745=VECTOR('',#431964,10.); #130746=VECTOR('',#431967,10.); #130747=VECTOR('',#431968,10.); #130748=VECTOR('',#431969,10.); #130749=VECTOR('',#431972,10.); #130750=VECTOR('',#431973,10.); #130751=VECTOR('',#431980,10.); #130752=VECTOR('',#431981,10.); #130753=VECTOR('',#431982,10.); #130754=VECTOR('',#431983,10.); #130755=VECTOR('',#431986,10.); #130756=VECTOR('',#431987,10.); #130757=VECTOR('',#431988,10.); #130758=VECTOR('',#431991,10.); #130759=VECTOR('',#431992,10.); #130760=VECTOR('',#431993,10.); #130761=VECTOR('',#431996,10.); #130762=VECTOR('',#431997,10.); #130763=VECTOR('',#432004,10.); #130764=VECTOR('',#432005,10.); #130765=VECTOR('',#432006,10.); #130766=VECTOR('',#432007,10.); #130767=VECTOR('',#432010,10.); #130768=VECTOR('',#432011,10.); #130769=VECTOR('',#432012,10.); #130770=VECTOR('',#432015,10.); #130771=VECTOR('',#432016,10.); #130772=VECTOR('',#432017,10.); #130773=VECTOR('',#432020,10.); #130774=VECTOR('',#432021,10.); #130775=VECTOR('',#432028,10.); #130776=VECTOR('',#432029,10.); #130777=VECTOR('',#432030,10.); #130778=VECTOR('',#432031,10.); #130779=VECTOR('',#432034,10.); #130780=VECTOR('',#432035,10.); #130781=VECTOR('',#432036,10.); #130782=VECTOR('',#432039,10.); #130783=VECTOR('',#432040,10.); #130784=VECTOR('',#432041,10.); #130785=VECTOR('',#432044,10.); #130786=VECTOR('',#432045,10.); #130787=VECTOR('',#432058,10.); #130788=VECTOR('',#432059,10.); #130789=VECTOR('',#432060,10.); #130790=VECTOR('',#432061,10.); #130791=VECTOR('',#432064,10.); #130792=VECTOR('',#432065,10.); #130793=VECTOR('',#432066,10.); #130794=VECTOR('',#432069,10.); #130795=VECTOR('',#432070,10.); #130796=VECTOR('',#432071,10.); #130797=VECTOR('',#432074,10.); #130798=VECTOR('',#432075,10.); #130799=VECTOR('',#432078,10.); #130800=VECTOR('',#432079,10.); #130801=VECTOR('',#432080,10.); #130802=VECTOR('',#432083,10.); #130803=VECTOR('',#432084,10.); #130804=VECTOR('',#432089,10.); #130805=VECTOR('',#432090,10.); #130806=VECTOR('',#432093,10.); #130807=VECTOR('',#432098,10.); #130808=VECTOR('',#432099,10.); #130809=VECTOR('',#432100,10.); #130810=VECTOR('',#432101,10.); #130811=VECTOR('',#432104,10.); #130812=VECTOR('',#432105,10.); #130813=VECTOR('',#432106,10.); #130814=VECTOR('',#432109,10.); #130815=VECTOR('',#432110,10.); #130816=VECTOR('',#432111,10.); #130817=VECTOR('',#432114,10.); #130818=VECTOR('',#432115,10.); #130819=VECTOR('',#432122,10.); #130820=VECTOR('',#432123,10.); #130821=VECTOR('',#432124,10.); #130822=VECTOR('',#432125,10.); #130823=VECTOR('',#432128,10.); #130824=VECTOR('',#432129,10.); #130825=VECTOR('',#432130,10.); #130826=VECTOR('',#432133,10.); #130827=VECTOR('',#432134,10.); #130828=VECTOR('',#432135,10.); #130829=VECTOR('',#432138,10.); #130830=VECTOR('',#432139,10.); #130831=VECTOR('',#432146,10.); #130832=VECTOR('',#432147,10.); #130833=VECTOR('',#432148,10.); #130834=VECTOR('',#432149,10.); #130835=VECTOR('',#432152,10.); #130836=VECTOR('',#432153,10.); #130837=VECTOR('',#432154,10.); #130838=VECTOR('',#432157,10.); #130839=VECTOR('',#432158,10.); #130840=VECTOR('',#432159,10.); #130841=VECTOR('',#432162,10.); #130842=VECTOR('',#432163,10.); #130843=VECTOR('',#432170,10.); #130844=VECTOR('',#432171,10.); #130845=VECTOR('',#432172,10.); #130846=VECTOR('',#432173,10.); #130847=VECTOR('',#432176,10.); #130848=VECTOR('',#432177,10.); #130849=VECTOR('',#432178,10.); #130850=VECTOR('',#432181,10.); #130851=VECTOR('',#432182,10.); #130852=VECTOR('',#432183,10.); #130853=VECTOR('',#432186,10.); #130854=VECTOR('',#432187,10.); #130855=VECTOR('',#432202,3.595); #130856=VECTOR('',#432209,5.76); #130857=VECTOR('',#432218,3.5); #130858=VECTOR('',#432221,3.5); #130859=VECTOR('',#432224,3.5); #130860=VECTOR('',#432229,3.5); #130861=VECTOR('',#432234,3.5); #130862=VECTOR('',#432237,3.5); #130863=VECTOR('',#432244,1000.); #130864=VECTOR('',#432245,1000.); #130865=VECTOR('',#432246,1000.); #130866=VECTOR('',#432247,1000.); #130867=VECTOR('',#432248,1000.); #130868=VECTOR('',#432249,1000.); #130869=VECTOR('',#432252,1000.); #130870=VECTOR('',#432253,1000.); #130871=VECTOR('',#432256,1000.); #130872=VECTOR('',#432259,1000.); #130873=VECTOR('',#432262,1000.); #130874=VECTOR('',#432265,1000.); #130875=VECTOR('',#432270,3.5); #130876=VECTOR('',#432275,3.5); #130877=VECTOR('',#432278,3.5); #130878=VECTOR('',#432281,3.5); #130879=VECTOR('',#432284,3.5); #130880=VECTOR('',#432287,3.5); #130881=VECTOR('',#432290,3.5); #130882=VECTOR('',#432293,3.02375); #130883=VECTOR('',#432298,3.02375); #130884=VECTOR('',#432303,3.02375); #130885=VECTOR('',#432306,3.02375); #130886=VECTOR('',#432309,3.02375); #130887=VECTOR('',#432312,3.02375); #130888=VECTOR('',#432315,3.02375); #130889=VECTOR('',#432318,10.); #130890=VECTOR('',#432319,10.); #130891=VECTOR('',#432320,10.); #130892=VECTOR('',#432321,10.); #130893=VECTOR('',#432324,10.); #130894=VECTOR('',#432325,10.); #130895=VECTOR('',#432326,10.); #130896=VECTOR('',#432327,10.); #130897=VECTOR('',#432330,10.); #130898=VECTOR('',#432331,10.); #130899=VECTOR('',#432332,10.); #130900=VECTOR('',#432333,10.); #130901=VECTOR('',#432336,10.); #130902=VECTOR('',#432337,10.); #130903=VECTOR('',#432338,10.); #130904=VECTOR('',#432339,10.); #130905=VECTOR('',#432342,10.); #130906=VECTOR('',#432343,10.); #130907=VECTOR('',#432344,10.); #130908=VECTOR('',#432345,10.); #130909=VECTOR('',#432348,10.); #130910=VECTOR('',#432349,10.); #130911=VECTOR('',#432350,10.); #130912=VECTOR('',#432351,10.); #130913=VECTOR('',#432354,1000.); #130914=VECTOR('',#432355,10.); #130915=VECTOR('',#432356,1000.); #130916=VECTOR('',#432357,10.); #130917=VECTOR('',#432358,10.); #130918=VECTOR('',#432359,10.); #130919=VECTOR('',#432360,10.); #130920=VECTOR('',#432363,1000.); #130921=VECTOR('',#432364,1000.); #130922=VECTOR('',#432365,10.); #130923=VECTOR('',#432368,10.); #130924=VECTOR('',#432369,1000.); #130925=VECTOR('',#432372,10.); #130926=VECTOR('',#432377,10.); #130927=VECTOR('',#432378,10.); #130928=VECTOR('',#432379,10.); #130929=VECTOR('',#432380,10.); #130930=VECTOR('',#432381,10.); #130931=VECTOR('',#432384,10.); #130932=VECTOR('',#432387,1000.); #130933=VECTOR('',#432388,1000.); #130934=VECTOR('',#432389,10.); #130935=VECTOR('',#432390,10.); #130936=VECTOR('',#432391,10.); #130937=VECTOR('',#432392,10.); #130938=VECTOR('',#432395,1000.); #130939=VECTOR('',#432396,1000.); #130940=VECTOR('',#432399,1000.); #130941=VECTOR('',#432402,10.); #130942=VECTOR('',#432403,1000.); #130943=VECTOR('',#432404,1000.); #130944=VECTOR('',#432407,10.); #130945=VECTOR('',#432408,1000.); #130946=VECTOR('',#432411,10.); #130947=VECTOR('',#432412,1000.); #130948=VECTOR('',#432413,1000.); #130949=VECTOR('',#432416,10.); #130950=VECTOR('',#432417,1000.); #130951=VECTOR('',#432420,10.); #130952=VECTOR('',#432421,1000.); #130953=VECTOR('',#432422,1000.); #130954=VECTOR('',#432425,10.); #130955=VECTOR('',#432426,1000.); #130956=VECTOR('',#432429,1000.); #130957=VECTOR('',#432432,1000.); #130958=VECTOR('',#432435,10.); #130959=VECTOR('',#432436,1000.); #130960=VECTOR('',#432437,1000.); #130961=VECTOR('',#432440,10.); #130962=VECTOR('',#432441,1000.); #130963=VECTOR('',#432444,10.); #130964=VECTOR('',#432445,1000.); #130965=VECTOR('',#432446,1000.); #130966=VECTOR('',#432449,10.); #130967=VECTOR('',#432450,1000.); #130968=VECTOR('',#432453,10.); #130969=VECTOR('',#432454,1000.); #130970=VECTOR('',#432455,1000.); #130971=VECTOR('',#432458,10.); #130972=VECTOR('',#432459,1000.); #130973=VECTOR('',#432462,10.); #130974=VECTOR('',#432463,1000.); #130975=VECTOR('',#432464,1000.); #130976=VECTOR('',#432467,10.); #130977=VECTOR('',#432468,1000.); #130978=VECTOR('',#432545,10.); #130979=VECTOR('',#432546,1000.); #130980=VECTOR('',#432547,1000.); #130981=VECTOR('',#432550,10.); #130982=VECTOR('',#432551,1000.); #130983=VECTOR('',#432554,10.); #130984=VECTOR('',#432555,1000.); #130985=VECTOR('',#432556,1000.); #130986=VECTOR('',#432559,10.); #130987=VECTOR('',#432560,1000.); #130988=VECTOR('',#432563,10.); #130989=VECTOR('',#432564,1000.); #130990=VECTOR('',#432565,1000.); #130991=VECTOR('',#432568,10.); #130992=VECTOR('',#432569,1000.); #130993=VECTOR('',#432572,10.); #130994=VECTOR('',#432573,1000.); #130995=VECTOR('',#432574,1000.); #130996=VECTOR('',#432577,10.); #130997=VECTOR('',#432578,1000.); #130998=VECTOR('',#432631,1000.); #130999=VECTOR('',#432634,1000.); #131000=VECTOR('',#432637,10.); #131001=VECTOR('',#432638,1000.); #131002=VECTOR('',#432639,1000.); #131003=VECTOR('',#432642,10.); #131004=VECTOR('',#432643,1000.); #131005=VECTOR('',#432646,10.); #131006=VECTOR('',#432647,1000.); #131007=VECTOR('',#432648,1000.); #131008=VECTOR('',#432651,10.); #131009=VECTOR('',#432652,1000.); #131010=VECTOR('',#432655,10.); #131011=VECTOR('',#432656,1000.); #131012=VECTOR('',#432657,1000.); #131013=VECTOR('',#432660,10.); #131014=VECTOR('',#432661,1000.); #131015=VECTOR('',#432698,3.); #131016=VECTOR('',#432701,10.); #131017=VECTOR('',#432702,10.); #131018=VECTOR('',#432703,1.); #131019=VECTOR('',#432704,10.); #131020=VECTOR('',#432707,10.); #131021=VECTOR('',#432708,1.); #131022=VECTOR('',#432709,1.); #131023=VECTOR('',#432710,10.); #131024=VECTOR('',#432715,1.); #131025=VECTOR('',#432724,1.); #131026=VECTOR('',#432727,1.); #131027=VECTOR('',#432730,10.); #131028=VECTOR('',#432731,10.); #131029=VECTOR('',#432734,10.); #131030=VECTOR('',#432737,1.); #131031=VECTOR('',#432738,10.); #131032=VECTOR('',#432741,10.); #131033=VECTOR('',#432744,1.); #131034=VECTOR('',#432745,10.); #131035=VECTOR('',#432746,10.); #131036=VECTOR('',#432749,10.); #131037=VECTOR('',#432750,1.); #131038=VECTOR('',#432751,10.); #131039=VECTOR('',#432754,10.); #131040=VECTOR('',#432755,1.); #131041=VECTOR('',#432756,10.); #131042=VECTOR('',#432759,10.); #131043=VECTOR('',#432760,10.); #131044=VECTOR('',#432765,10.); #131045=VECTOR('',#432768,10.); #131046=VECTOR('',#432769,10.); #131047=VECTOR('',#432778,10.); #131048=VECTOR('',#432781,10.); #131049=VECTOR('',#432782,10.); #131050=VECTOR('',#432785,1.); #131051=VECTOR('',#432792,10.); #131052=VECTOR('',#432795,10.); #131053=VECTOR('',#432796,1.); #131054=VECTOR('',#432799,10.); #131055=VECTOR('',#432800,10.); #131056=VECTOR('',#432803,10.); #131057=VECTOR('',#432806,10.); #131058=VECTOR('',#432807,10.); #131059=VECTOR('',#432810,1.); #131060=VECTOR('',#432813,10.); #131061=VECTOR('',#432814,1.); #131062=VECTOR('',#432815,10.); #131063=VECTOR('',#432818,10.); #131064=VECTOR('',#432819,1.); #131065=VECTOR('',#432822,10.); #131066=VECTOR('',#432823,10.); #131067=VECTOR('',#432826,10.); #131068=VECTOR('',#432829,1.); #131069=VECTOR('',#432830,10.); #131070=VECTOR('',#432835,10.); #131071=VECTOR('',#432836,10.); #131072=VECTOR('',#432839,10.); #131073=VECTOR('',#432842,10.); #131074=VECTOR('',#432845,10.); #131075=VECTOR('',#432846,10.); #131076=VECTOR('',#432849,1.); #131077=VECTOR('',#432852,10.); #131078=VECTOR('',#432855,10.); #131079=VECTOR('',#432862,10.); #131080=VECTOR('',#432865,1.); #131081=VECTOR('',#432866,1.); #131082=VECTOR('',#432867,10.); #131083=VECTOR('',#432868,10.); #131084=VECTOR('',#432875,1.); #131085=VECTOR('',#432878,1.); #131086=VECTOR('',#432881,1.); #131087=VECTOR('',#432886,1.); #131088=VECTOR('',#432887,10.); #131089=VECTOR('',#432888,10.); #131090=VECTOR('',#432891,1.); #131091=VECTOR('',#432894,10.); #131092=VECTOR('',#432895,10.); #131093=VECTOR('',#432898,10.); #131094=VECTOR('',#432901,1.); #131095=VECTOR('',#432902,10.); #131096=VECTOR('',#432905,10.); #131097=VECTOR('',#432908,1.); #131098=VECTOR('',#432909,10.); #131099=VECTOR('',#432910,10.); #131100=VECTOR('',#432915,10.); #131101=VECTOR('',#432916,10.); #131102=VECTOR('',#432919,1.); #131103=VECTOR('',#432922,10.); #131104=VECTOR('',#432923,10.); #131105=VECTOR('',#432924,10.); #131106=VECTOR('',#432927,10.); #131107=VECTOR('',#432928,10.); #131108=VECTOR('',#432933,10.); #131109=VECTOR('',#432936,10.); #131110=VECTOR('',#432939,10.); #131111=VECTOR('',#432942,1.); #131112=VECTOR('',#432945,10.); #131113=VECTOR('',#432946,10.); #131114=VECTOR('',#432949,10.); #131115=VECTOR('',#432952,10.); #131116=VECTOR('',#432959,10.); #131117=VECTOR('',#432960,10.); #131118=VECTOR('',#432961,1.); #131119=VECTOR('',#432962,10.); #131120=VECTOR('',#432965,10.); #131121=VECTOR('',#432966,1.); #131122=VECTOR('',#432967,1.); #131123=VECTOR('',#432968,10.); #131124=VECTOR('',#432973,1.); #131125=VECTOR('',#432982,1.); #131126=VECTOR('',#432985,1.); #131127=VECTOR('',#432988,10.); #131128=VECTOR('',#432989,10.); #131129=VECTOR('',#432992,10.); #131130=VECTOR('',#432995,1.); #131131=VECTOR('',#432996,10.); #131132=VECTOR('',#432999,10.); #131133=VECTOR('',#433002,1.); #131134=VECTOR('',#433003,10.); #131135=VECTOR('',#433004,10.); #131136=VECTOR('',#433007,10.); #131137=VECTOR('',#433008,1.); #131138=VECTOR('',#433009,10.); #131139=VECTOR('',#433012,10.); #131140=VECTOR('',#433013,1.); #131141=VECTOR('',#433014,10.); #131142=VECTOR('',#433017,10.); #131143=VECTOR('',#433018,10.); #131144=VECTOR('',#433023,10.); #131145=VECTOR('',#433026,10.); #131146=VECTOR('',#433027,10.); #131147=VECTOR('',#433036,10.); #131148=VECTOR('',#433039,10.); #131149=VECTOR('',#433040,10.); #131150=VECTOR('',#433043,1.); #131151=VECTOR('',#433050,10.); #131152=VECTOR('',#433053,10.); #131153=VECTOR('',#433054,1.); #131154=VECTOR('',#433057,10.); #131155=VECTOR('',#433058,10.); #131156=VECTOR('',#433061,10.); #131157=VECTOR('',#433064,10.); #131158=VECTOR('',#433065,10.); #131159=VECTOR('',#433068,1.); #131160=VECTOR('',#433071,10.); #131161=VECTOR('',#433072,1.); #131162=VECTOR('',#433073,10.); #131163=VECTOR('',#433076,10.); #131164=VECTOR('',#433077,1.); #131165=VECTOR('',#433080,10.); #131166=VECTOR('',#433081,10.); #131167=VECTOR('',#433084,10.); #131168=VECTOR('',#433087,1.); #131169=VECTOR('',#433088,10.); #131170=VECTOR('',#433093,10.); #131171=VECTOR('',#433094,10.); #131172=VECTOR('',#433097,10.); #131173=VECTOR('',#433098,1.); #131174=VECTOR('',#433101,10.); #131175=VECTOR('',#433102,1.); #131176=VECTOR('',#433105,10.); #131177=VECTOR('',#433110,10.); #131178=VECTOR('',#433115,10.); #131179=VECTOR('',#433118,10.); #131180=VECTOR('',#433123,1.); #131181=VECTOR('',#433126,1.); #131182=VECTOR('',#433129,10.); #131183=VECTOR('',#433130,10.); #131184=VECTOR('',#433133,1.); #131185=VECTOR('',#433134,10.); #131186=VECTOR('',#433135,10.); #131187=VECTOR('',#433136,1.); #131188=VECTOR('',#433137,1.); #131189=VECTOR('',#433138,10.); #131190=VECTOR('',#433139,10.); #131191=VECTOR('',#433140,10.); #131192=VECTOR('',#433143,1.); #131193=VECTOR('',#433144,10.); #131194=VECTOR('',#433145,10.); #131195=VECTOR('',#433148,1.); #131196=VECTOR('',#433153,10.); #131197=VECTOR('',#433156,10.); #131198=VECTOR('',#433159,1.); #131199=VECTOR('',#433160,10.); #131200=VECTOR('',#433165,10.); #131201=VECTOR('',#433168,1.); #131202=VECTOR('',#433171,10.); #131203=VECTOR('',#433174,10.); #131204=VECTOR('',#433175,1.); #131205=VECTOR('',#433176,10.); #131206=VECTOR('',#433177,10.); #131207=VECTOR('',#433178,1.); #131208=VECTOR('',#433179,1.); #131209=VECTOR('',#433180,10.); #131210=VECTOR('',#433181,10.); #131211=VECTOR('',#433182,10.); #131212=VECTOR('',#433193,10.); #131213=VECTOR('',#433194,10.); #131214=VECTOR('',#433195,10.); #131215=VECTOR('',#433196,10.); #131216=VECTOR('',#433197,10.); #131217=VECTOR('',#433198,10.); #131218=VECTOR('',#433201,10.); #131219=VECTOR('',#433202,10.); #131220=VECTOR('',#433203,10.); #131221=VECTOR('',#433206,10.); #131222=VECTOR('',#433207,10.); #131223=VECTOR('',#433208,10.); #131224=VECTOR('',#433211,10.); #131225=VECTOR('',#433212,10.); #131226=VECTOR('',#433215,10.); #131227=VECTOR('',#433216,10.); #131228=VECTOR('',#433217,10.); #131229=VECTOR('',#433222,1.); #131230=VECTOR('',#433223,1.); #131231=VECTOR('',#433224,1.); #131232=VECTOR('',#433225,10.); #131233=VECTOR('',#433226,1.); #131234=VECTOR('',#433227,10.); #131235=VECTOR('',#433228,1.); #131236=VECTOR('',#433229,1.); #131237=VECTOR('',#433230,1.); #131238=VECTOR('',#433235,10.); #131239=VECTOR('',#433236,10.); #131240=VECTOR('',#433237,1.); #131241=VECTOR('',#433238,1.); #131242=VECTOR('',#433241,10.); #131243=VECTOR('',#433242,10.); #131244=VECTOR('',#433243,1.); #131245=VECTOR('',#433246,10.); #131246=VECTOR('',#433247,10.); #131247=VECTOR('',#433248,1.); #131248=VECTOR('',#433249,1.); #131249=VECTOR('',#433252,10.); #131250=VECTOR('',#433253,10.); #131251=VECTOR('',#433254,1.); #131252=VECTOR('',#433255,10.); #131253=VECTOR('',#433258,10.); #131254=VECTOR('',#433259,1.); #131255=VECTOR('',#433260,1.); #131256=VECTOR('',#433263,10.); #131257=VECTOR('',#433264,1.); #131258=VECTOR('',#433265,10.); #131259=VECTOR('',#433266,1.); #131260=VECTOR('',#433271,10.); #131261=VECTOR('',#433276,10.); #131262=VECTOR('',#433277,1.); #131263=VECTOR('',#433278,1.); #131264=VECTOR('',#433283,1.); #131265=VECTOR('',#433284,10.); #131266=VECTOR('',#433287,1.); #131267=VECTOR('',#433288,10.); #131268=VECTOR('',#433291,10.); #131269=VECTOR('',#433292,10.); #131270=VECTOR('',#433293,1.); #131271=VECTOR('',#433296,1.); #131272=VECTOR('',#433297,10.); #131273=VECTOR('',#433300,10.); #131274=VECTOR('',#433301,10.); #131275=VECTOR('',#433308,10.); #131276=VECTOR('',#433309,1.); #131277=VECTOR('',#433310,10.); #131278=VECTOR('',#433311,1.); #131279=VECTOR('',#433316,10.); #131280=VECTOR('',#433321,10.); #131281=VECTOR('',#433322,1.); #131282=VECTOR('',#433323,1.); #131283=VECTOR('',#433326,10.); #131284=VECTOR('',#433327,10.); #131285=VECTOR('',#433328,10.); #131286=VECTOR('',#433329,10.); #131287=VECTOR('',#433332,1.); #131288=VECTOR('',#433333,1.); #131289=VECTOR('',#433336,10.); #131290=VECTOR('',#433337,1.); #131291=VECTOR('',#433338,10.); #131292=VECTOR('',#433339,1.); #131293=VECTOR('',#433348,10.); #131294=VECTOR('',#433349,10.); #131295=VECTOR('',#433350,10.); #131296=VECTOR('',#433355,1.); #131297=VECTOR('',#433358,1.); #131298=VECTOR('',#433361,10.); #131299=VECTOR('',#433362,1.); #131300=VECTOR('',#433365,1.); #131301=VECTOR('',#433368,1.); #131302=VECTOR('',#433369,1.); #131303=VECTOR('',#433370,10.); #131304=VECTOR('',#433371,10.); #131305=VECTOR('',#433372,1.); #131306=VECTOR('',#433373,1.); #131307=VECTOR('',#433374,1.); #131308=VECTOR('',#433377,10.); #131309=VECTOR('',#433378,1.); #131310=VECTOR('',#433379,1.); #131311=VECTOR('',#433382,10.); #131312=VECTOR('',#433383,1.); #131313=VECTOR('',#433384,1.); #131314=VECTOR('',#433389,1.); #131315=VECTOR('',#433392,10.); #131316=VECTOR('',#433393,1.); #131317=VECTOR('',#433394,1.); #131318=VECTOR('',#433395,10.); #131319=VECTOR('',#433398,10.); #131320=VECTOR('',#433399,1.); #131321=VECTOR('',#433404,10.); #131322=VECTOR('',#433405,1.); #131323=VECTOR('',#433406,1.); #131324=VECTOR('',#433407,1.); #131325=VECTOR('',#433408,10.); #131326=VECTOR('',#433411,10.); #131327=VECTOR('',#433414,10.); #131328=VECTOR('',#433417,10.); #131329=VECTOR('',#433418,1.); #131330=VECTOR('',#433419,1.); #131331=VECTOR('',#433420,10.); #131332=VECTOR('',#433427,10.); #131333=VECTOR('',#433430,10.); #131334=VECTOR('',#433431,1.); #131335=VECTOR('',#433432,10.); #131336=VECTOR('',#433439,10.); #131337=VECTOR('',#433442,10.); #131338=VECTOR('',#433443,1.); #131339=VECTOR('',#433444,1.); #131340=VECTOR('',#433447,10.); #131341=VECTOR('',#433448,1.); #131342=VECTOR('',#433449,1.); #131343=VECTOR('',#433452,10.); #131344=VECTOR('',#433453,1.); #131345=VECTOR('',#433456,10.); #131346=VECTOR('',#433457,1.); #131347=VECTOR('',#433458,1.); #131348=VECTOR('',#433461,10.); #131349=VECTOR('',#433462,1.); #131350=VECTOR('',#433465,10.); #131351=VECTOR('',#433466,1.); #131352=VECTOR('',#433471,10.); #131353=VECTOR('',#433474,10.); #131354=VECTOR('',#433475,10.); #131355=VECTOR('',#433482,10.); #131356=VECTOR('',#433483,1.); #131357=VECTOR('',#433486,1.); #131358=VECTOR('',#433491,10.); #131359=VECTOR('',#433492,10.); #131360=VECTOR('',#433495,10.); #131361=VECTOR('',#433496,10.); #131362=VECTOR('',#433499,10.); #131363=VECTOR('',#433500,10.); #131364=VECTOR('',#433501,10.); #131365=VECTOR('',#433502,10.); #131366=VECTOR('',#433503,10.); #131367=VECTOR('',#433504,10.); #131368=VECTOR('',#433517,10.); #131369=VECTOR('',#433522,10.); #131370=VECTOR('',#433525,10.); #131371=VECTOR('',#433528,10.); #131372=VECTOR('',#433531,10.); #131373=VECTOR('',#433534,10.); #131374=VECTOR('',#433537,10.); #131375=VECTOR('',#433538,10.); #131376=VECTOR('',#433539,10.); #131377=VECTOR('',#433540,10.); #131378=VECTOR('',#433549,10.); #131379=VECTOR('',#433550,10.); #131380=VECTOR('',#433559,10.); #131381=VECTOR('',#433560,10.); #131382=VECTOR('',#433561,1.); #131383=VECTOR('',#433564,1.); #131384=VECTOR('',#433571,10.); #131385=VECTOR('',#433572,10.); #131386=VECTOR('',#433575,10.); #131387=VECTOR('',#433576,10.); #131388=VECTOR('',#433577,1.); #131389=VECTOR('',#433578,10.); #131390=VECTOR('',#433587,10.); #131391=VECTOR('',#433588,1.); #131392=VECTOR('',#433589,10.); #131393=VECTOR('',#433590,10.); #131394=VECTOR('',#433591,1.); #131395=VECTOR('',#433592,10.); #131396=VECTOR('',#433593,10.); #131397=VECTOR('',#433594,10.); #131398=VECTOR('',#433595,10.); #131399=VECTOR('',#433598,10.); #131400=VECTOR('',#433601,1.); #131401=VECTOR('',#433604,10.); #131402=VECTOR('',#433605,1.); #131403=VECTOR('',#433606,10.); #131404=VECTOR('',#433609,1.); #131405=VECTOR('',#433612,10.); #131406=VECTOR('',#433613,10.); #131407=VECTOR('',#433614,10.); #131408=VECTOR('',#433615,10.); #131409=VECTOR('',#433616,1.); #131410=VECTOR('',#433617,1.); #131411=VECTOR('',#433618,10.); #131412=VECTOR('',#433619,10.); #131413=VECTOR('',#433622,10.); #131414=VECTOR('',#433625,10.); #131415=VECTOR('',#433628,10.); #131416=VECTOR('',#433629,1.); #131417=VECTOR('',#433630,10.); #131418=VECTOR('',#433633,1.); #131419=VECTOR('',#433636,10.); #131420=VECTOR('',#433639,10.); #131421=VECTOR('',#433642,10.); #131422=VECTOR('',#433649,10.); #131423=VECTOR('',#433652,10.); #131424=VECTOR('',#433655,10.); #131425=VECTOR('',#433658,10.); #131426=VECTOR('',#433661,10.); #131427=VECTOR('',#433664,10.); #131428=VECTOR('',#433667,10.); #131429=VECTOR('',#433670,10.); #131430=VECTOR('',#433673,1.); #131431=VECTOR('',#433676,10.); #131432=VECTOR('',#433677,1.); #131433=VECTOR('',#433678,1.); #131434=VECTOR('',#433679,1.); #131435=VECTOR('',#433682,10.); #131436=VECTOR('',#433683,1.); #131437=VECTOR('',#433684,1.); #131438=VECTOR('',#433685,1.); #131439=VECTOR('',#433692,1.); #131440=VECTOR('',#433697,1.); #131441=VECTOR('',#433702,1.); #131442=VECTOR('',#433707,10.); #131443=VECTOR('',#433712,10.); #131444=VECTOR('',#433719,10.); #131445=VECTOR('',#433724,10.); #131446=VECTOR('',#433727,10.); #131447=VECTOR('',#433732,10.); #131448=VECTOR('',#433735,10.); #131449=VECTOR('',#433736,1.); #131450=VECTOR('',#433739,10.); #131451=VECTOR('',#433740,1.); #131452=VECTOR('',#433741,1.); #131453=VECTOR('',#433742,1.); #131454=VECTOR('',#433745,10.); #131455=VECTOR('',#433746,1.); #131456=VECTOR('',#433747,1.); #131457=VECTOR('',#433748,1.); #131458=VECTOR('',#433755,1.); #131459=VECTOR('',#433760,1.); #131460=VECTOR('',#433767,1.); #131461=VECTOR('',#433774,10.); #131462=VECTOR('',#433781,10.); #131463=VECTOR('',#433786,10.); #131464=VECTOR('',#433789,10.); #131465=VECTOR('',#433798,1.); #131466=VECTOR('',#433803,1.); #131467=VECTOR('',#433806,10.); #131468=VECTOR('',#433807,10.); #131469=VECTOR('',#433812,10.); #131470=VECTOR('',#433813,10.); #131471=VECTOR('',#433814,10.); #131472=VECTOR('',#433821,10.); #131473=VECTOR('',#433826,10.); #131474=VECTOR('',#433827,10.); #131475=VECTOR('',#433828,10.); #131476=VECTOR('',#433831,1.); #131477=VECTOR('',#433832,10.); #131478=VECTOR('',#433835,10.); #131479=VECTOR('',#433836,10.); #131480=VECTOR('',#433839,10.); #131481=VECTOR('',#433840,10.); #131482=VECTOR('',#433845,10.); #131483=VECTOR('',#433846,10.); #131484=VECTOR('',#433853,10.); #131485=VECTOR('',#433856,10.); #131486=VECTOR('',#433857,10.); #131487=VECTOR('',#433858,1.); #131488=VECTOR('',#433863,10.); #131489=VECTOR('',#433866,10.); #131490=VECTOR('',#433869,10.); #131491=VECTOR('',#433870,1.); #131492=VECTOR('',#433871,10.); #131493=VECTOR('',#433874,10.); #131494=VECTOR('',#433875,10.); #131495=VECTOR('',#433876,10.); #131496=VECTOR('',#433879,10.); #131497=VECTOR('',#433882,10.); #131498=VECTOR('',#433883,10.); #131499=VECTOR('',#433888,10.); #131500=VECTOR('',#433893,10.); #131501=VECTOR('',#433894,10.); #131502=VECTOR('',#433897,10.); #131503=VECTOR('',#433898,10.); #131504=VECTOR('',#433899,10.); #131505=VECTOR('',#433900,10.); #131506=VECTOR('',#433901,1.); #131507=VECTOR('',#433906,10.); #131508=VECTOR('',#433907,10.); #131509=VECTOR('',#433912,10.); #131510=VECTOR('',#433917,1.); #131511=VECTOR('',#433922,10.); #131512=VECTOR('',#433925,1.); #131513=VECTOR('',#433928,1.); #131514=VECTOR('',#433933,10.); #131515=VECTOR('',#433938,1.); #131516=VECTOR('',#433941,1.); #131517=VECTOR('',#433944,10.); #131518=VECTOR('',#433947,1.); #131519=VECTOR('',#433950,1.); #131520=VECTOR('',#433951,1.); #131521=VECTOR('',#433954,1.); #131522=VECTOR('',#433965,1.); #131523=VECTOR('',#433968,1.); #131524=VECTOR('',#433973,1.); #131525=VECTOR('',#433976,1.); #131526=VECTOR('',#433979,0.999999999999929); #131527=VECTOR('',#433982,1.); #131528=VECTOR('',#433987,0.999999999999929); #131529=VECTOR('',#433990,1.); #131530=VECTOR('',#433995,1.); #131531=VECTOR('',#434004,1.); #131532=VECTOR('',#434009,1.); #131533=VECTOR('',#434010,1.); #131534=VECTOR('',#434011,1.); #131535=VECTOR('',#434012,1.); #131536=VECTOR('',#434015,1.); #131537=VECTOR('',#434018,0.999999999999929); #131538=VECTOR('',#434019,1.); #131539=VECTOR('',#434020,0.999999999999929); #131540=VECTOR('',#434025,1.); #131541=VECTOR('',#434030,0.999999999999786); #131542=VECTOR('',#434031,0.999999999999786); #131543=VECTOR('',#434034,1.); #131544=VECTOR('',#434037,1.); #131545=VECTOR('',#434040,1.); #131546=VECTOR('',#434043,1.); #131547=VECTOR('',#434046,1.); #131548=VECTOR('',#434049,1.); #131549=VECTOR('',#434050,0.999999999999929); #131550=VECTOR('',#434051,0.999999999999929); #131551=VECTOR('',#434054,1.); #131552=VECTOR('',#434061,0.999999999999786); #131553=VECTOR('',#434062,0.999999999999786); #131554=VECTOR('',#434065,0.999999999999929); #131555=VECTOR('',#434068,0.999999999999786); #131556=VECTOR('',#434071,0.999999999999786); #131557=VECTOR('',#434076,0.999999999999929); #131558=VECTOR('',#434079,0.999999999999786); #131559=VECTOR('',#434082,0.999999999999786); #131560=VECTOR('',#434089,1.); #131561=VECTOR('',#434092,1.); #131562=VECTOR('',#434097,1.); #131563=VECTOR('',#434100,1.); #131564=VECTOR('',#434103,0.999999999999929); #131565=VECTOR('',#434106,1.); #131566=VECTOR('',#434111,0.999999999999929); #131567=VECTOR('',#434114,1.); #131568=VECTOR('',#434121,1.); #131569=VECTOR('',#434128,1.); #131570=VECTOR('',#434133,1.); #131571=VECTOR('',#434134,1.); #131572=VECTOR('',#434135,1.); #131573=VECTOR('',#434136,1.); #131574=VECTOR('',#434139,1.); #131575=VECTOR('',#434142,1.); #131576=VECTOR('',#434143,0.999999999999929); #131577=VECTOR('',#434144,0.999999999999929); #131578=VECTOR('',#434147,0.999999999999786); #131579=VECTOR('',#434148,1.); #131580=VECTOR('',#434149,0.999999999999786); #131581=VECTOR('',#434152,1.); #131582=VECTOR('',#434155,1.); #131583=VECTOR('',#434158,1.); #131584=VECTOR('',#434161,1.); #131585=VECTOR('',#434164,1.); #131586=VECTOR('',#434167,0.999999999999929); #131587=VECTOR('',#434168,1.); #131588=VECTOR('',#434169,0.999999999999929); #131589=VECTOR('',#434172,1.); #131590=VECTOR('',#434173,0.999999999999786); #131591=VECTOR('',#434174,0.999999999999786); #131592=VECTOR('',#434177,0.999999999999929); #131593=VECTOR('',#434180,0.999999999999786); #131594=VECTOR('',#434187,0.999999999999786); #131595=VECTOR('',#434192,0.999999999999929); #131596=VECTOR('',#434195,0.999999999999786); #131597=VECTOR('',#434198,0.999999999999786); #131598=VECTOR('',#434219,0.15); #131599=VECTOR('',#434226,10.); #131600=VECTOR('',#434227,10.); #131601=VECTOR('',#434228,10.); #131602=VECTOR('',#434229,10.); #131603=VECTOR('',#434232,10.); #131604=VECTOR('',#434233,10.); #131605=VECTOR('',#434234,10.); #131606=VECTOR('',#434237,10.); #131607=VECTOR('',#434238,10.); #131608=VECTOR('',#434239,10.); #131609=VECTOR('',#434242,10.); #131610=VECTOR('',#434243,10.); #131611=VECTOR('',#434246,10.); #131612=VECTOR('',#434247,10.); #131613=VECTOR('',#434248,10.); #131614=VECTOR('',#434251,10.); #131615=VECTOR('',#434252,10.); #131616=VECTOR('',#434257,10.); #131617=VECTOR('',#434258,10.); #131618=VECTOR('',#434261,10.); #131619=VECTOR('',#434268,10.); #131620=VECTOR('',#434269,10.); #131621=VECTOR('',#434270,10.); #131622=VECTOR('',#434273,10.); #131623=VECTOR('',#434276,10.); #131624=VECTOR('',#434279,10.); #131625=VECTOR('',#434280,10.); #131626=VECTOR('',#434281,10.); #131627=VECTOR('',#434282,10.); #131628=VECTOR('',#434285,10.); #131629=VECTOR('',#434286,10.); #131630=VECTOR('',#434289,10.); #131631=VECTOR('',#434290,10.); #131632=VECTOR('',#434291,10.); #131633=VECTOR('',#434294,10.); #131634=VECTOR('',#434295,10.); #131635=VECTOR('',#434304,10.); #131636=VECTOR('',#434305,10.); #131637=VECTOR('',#434306,10.); #131638=VECTOR('',#434309,10.); #131639=VECTOR('',#434312,10.); #131640=VECTOR('',#434315,10.); #131641=VECTOR('',#434316,10.); #131642=VECTOR('',#434317,10.); #131643=VECTOR('',#434318,10.); #131644=VECTOR('',#434321,10.); #131645=VECTOR('',#434322,10.); #131646=VECTOR('',#434325,10.); #131647=VECTOR('',#434326,10.); #131648=VECTOR('',#434327,10.); #131649=VECTOR('',#434330,10.); #131650=VECTOR('',#434331,10.); #131651=VECTOR('',#434340,10.); #131652=VECTOR('',#434341,10.); #131653=VECTOR('',#434342,10.); #131654=VECTOR('',#434345,10.); #131655=VECTOR('',#434348,10.); #131656=VECTOR('',#434351,10.); #131657=VECTOR('',#434352,10.); #131658=VECTOR('',#434353,10.); #131659=VECTOR('',#434354,10.); #131660=VECTOR('',#434357,10.); #131661=VECTOR('',#434358,10.); #131662=VECTOR('',#434361,10.); #131663=VECTOR('',#434362,10.); #131664=VECTOR('',#434363,10.); #131665=VECTOR('',#434366,10.); #131666=VECTOR('',#434367,10.); #131667=VECTOR('',#434376,10.); #131668=VECTOR('',#434377,10.); #131669=VECTOR('',#434378,10.); #131670=VECTOR('',#434381,10.); #131671=VECTOR('',#434384,10.); #131672=VECTOR('',#434387,10.); #131673=VECTOR('',#434388,10.); #131674=VECTOR('',#434389,10.); #131675=VECTOR('',#434390,10.); #131676=VECTOR('',#434393,10.); #131677=VECTOR('',#434394,10.); #131678=VECTOR('',#434397,10.); #131679=VECTOR('',#434398,10.); #131680=VECTOR('',#434399,10.); #131681=VECTOR('',#434402,10.); #131682=VECTOR('',#434403,10.); #131683=VECTOR('',#434412,10.); #131684=VECTOR('',#434413,10.); #131685=VECTOR('',#434414,10.); #131686=VECTOR('',#434417,10.); #131687=VECTOR('',#434420,10.); #131688=VECTOR('',#434423,10.); #131689=VECTOR('',#434424,10.); #131690=VECTOR('',#434425,10.); #131691=VECTOR('',#434426,10.); #131692=VECTOR('',#434429,10.); #131693=VECTOR('',#434430,10.); #131694=VECTOR('',#434433,10.); #131695=VECTOR('',#434434,10.); #131696=VECTOR('',#434435,10.); #131697=VECTOR('',#434438,10.); #131698=VECTOR('',#434439,10.); #131699=VECTOR('',#434448,10.); #131700=VECTOR('',#434449,10.); #131701=VECTOR('',#434450,10.); #131702=VECTOR('',#434453,10.); #131703=VECTOR('',#434456,10.); #131704=VECTOR('',#434459,10.); #131705=VECTOR('',#434460,10.); #131706=VECTOR('',#434461,10.); #131707=VECTOR('',#434462,10.); #131708=VECTOR('',#434465,10.); #131709=VECTOR('',#434466,10.); #131710=VECTOR('',#434469,10.); #131711=VECTOR('',#434470,10.); #131712=VECTOR('',#434471,10.); #131713=VECTOR('',#434474,10.); #131714=VECTOR('',#434475,10.); #131715=VECTOR('',#434484,10.); #131716=VECTOR('',#434485,10.); #131717=VECTOR('',#434486,10.); #131718=VECTOR('',#434489,10.); #131719=VECTOR('',#434492,10.); #131720=VECTOR('',#434495,10.); #131721=VECTOR('',#434496,10.); #131722=VECTOR('',#434497,10.); #131723=VECTOR('',#434498,10.); #131724=VECTOR('',#434501,10.); #131725=VECTOR('',#434502,10.); #131726=VECTOR('',#434505,10.); #131727=VECTOR('',#434506,10.); #131728=VECTOR('',#434507,10.); #131729=VECTOR('',#434510,10.); #131730=VECTOR('',#434511,10.); #131731=VECTOR('',#434520,10.); #131732=VECTOR('',#434521,10.); #131733=VECTOR('',#434522,10.); #131734=VECTOR('',#434525,10.); #131735=VECTOR('',#434528,10.); #131736=VECTOR('',#434531,10.); #131737=VECTOR('',#434532,10.); #131738=VECTOR('',#434533,10.); #131739=VECTOR('',#434534,10.); #131740=VECTOR('',#434537,10.); #131741=VECTOR('',#434538,10.); #131742=VECTOR('',#434541,10.); #131743=VECTOR('',#434542,10.); #131744=VECTOR('',#434543,10.); #131745=VECTOR('',#434546,10.); #131746=VECTOR('',#434547,10.); #131747=VECTOR('',#434556,10.); #131748=VECTOR('',#434557,10.); #131749=VECTOR('',#434558,10.); #131750=VECTOR('',#434561,10.); #131751=VECTOR('',#434564,10.); #131752=VECTOR('',#434567,10.); #131753=VECTOR('',#434568,10.); #131754=VECTOR('',#434569,10.); #131755=VECTOR('',#434570,10.); #131756=VECTOR('',#434573,10.); #131757=VECTOR('',#434574,10.); #131758=VECTOR('',#434577,10.); #131759=VECTOR('',#434578,10.); #131760=VECTOR('',#434579,10.); #131761=VECTOR('',#434582,10.); #131762=VECTOR('',#434583,10.); #131763=VECTOR('',#434592,10.); #131764=VECTOR('',#434593,10.); #131765=VECTOR('',#434594,10.); #131766=VECTOR('',#434597,10.); #131767=VECTOR('',#434600,10.); #131768=VECTOR('',#434603,10.); #131769=VECTOR('',#434604,10.); #131770=VECTOR('',#434605,10.); #131771=VECTOR('',#434606,10.); #131772=VECTOR('',#434609,10.); #131773=VECTOR('',#434610,10.); #131774=VECTOR('',#434613,10.); #131775=VECTOR('',#434614,10.); #131776=VECTOR('',#434615,10.); #131777=VECTOR('',#434618,10.); #131778=VECTOR('',#434619,10.); #131779=VECTOR('',#434628,10.); #131780=VECTOR('',#434629,10.); #131781=VECTOR('',#434630,10.); #131782=VECTOR('',#434633,10.); #131783=VECTOR('',#434636,10.); #131784=VECTOR('',#434639,10.); #131785=VECTOR('',#434640,10.); #131786=VECTOR('',#434641,10.); #131787=VECTOR('',#434642,10.); #131788=VECTOR('',#434645,10.); #131789=VECTOR('',#434646,10.); #131790=VECTOR('',#434649,10.); #131791=VECTOR('',#434650,10.); #131792=VECTOR('',#434651,10.); #131793=VECTOR('',#434654,10.); #131794=VECTOR('',#434655,10.); #131795=VECTOR('',#434664,10.); #131796=VECTOR('',#434665,10.); #131797=VECTOR('',#434666,10.); #131798=VECTOR('',#434669,10.); #131799=VECTOR('',#434672,10.); #131800=VECTOR('',#434675,10.); #131801=VECTOR('',#434676,10.); #131802=VECTOR('',#434677,10.); #131803=VECTOR('',#434678,10.); #131804=VECTOR('',#434681,10.); #131805=VECTOR('',#434682,10.); #131806=VECTOR('',#434685,10.); #131807=VECTOR('',#434686,10.); #131808=VECTOR('',#434687,10.); #131809=VECTOR('',#434690,10.); #131810=VECTOR('',#434691,10.); #131811=VECTOR('',#434700,10.); #131812=VECTOR('',#434701,10.); #131813=VECTOR('',#434702,10.); #131814=VECTOR('',#434705,10.); #131815=VECTOR('',#434708,10.); #131816=VECTOR('',#434711,10.); #131817=VECTOR('',#434712,10.); #131818=VECTOR('',#434713,10.); #131819=VECTOR('',#434714,10.); #131820=VECTOR('',#434717,10.); #131821=VECTOR('',#434718,10.); #131822=VECTOR('',#434721,10.); #131823=VECTOR('',#434722,10.); #131824=VECTOR('',#434723,10.); #131825=VECTOR('',#434726,10.); #131826=VECTOR('',#434727,10.); #131827=VECTOR('',#434736,10.); #131828=VECTOR('',#434737,10.); #131829=VECTOR('',#434738,10.); #131830=VECTOR('',#434741,10.); #131831=VECTOR('',#434744,10.); #131832=VECTOR('',#434747,10.); #131833=VECTOR('',#434748,10.); #131834=VECTOR('',#434749,10.); #131835=VECTOR('',#434750,10.); #131836=VECTOR('',#434753,10.); #131837=VECTOR('',#434754,10.); #131838=VECTOR('',#434757,10.); #131839=VECTOR('',#434758,10.); #131840=VECTOR('',#434759,10.); #131841=VECTOR('',#434762,10.); #131842=VECTOR('',#434763,10.); #131843=VECTOR('',#434772,10.); #131844=VECTOR('',#434773,10.); #131845=VECTOR('',#434774,10.); #131846=VECTOR('',#434777,10.); #131847=VECTOR('',#434780,10.); #131848=VECTOR('',#434783,10.); #131849=VECTOR('',#434784,10.); #131850=VECTOR('',#434785,10.); #131851=VECTOR('',#434786,10.); #131852=VECTOR('',#434789,10.); #131853=VECTOR('',#434790,10.); #131854=VECTOR('',#434793,10.); #131855=VECTOR('',#434794,10.); #131856=VECTOR('',#434795,10.); #131857=VECTOR('',#434798,10.); #131858=VECTOR('',#434799,10.); #131859=VECTOR('',#434808,10.); #131860=VECTOR('',#434809,10.); #131861=VECTOR('',#434810,10.); #131862=VECTOR('',#434813,10.); #131863=VECTOR('',#434816,10.); #131864=VECTOR('',#434819,10.); #131865=VECTOR('',#434820,10.); #131866=VECTOR('',#434821,10.); #131867=VECTOR('',#434822,10.); #131868=VECTOR('',#434825,10.); #131869=VECTOR('',#434826,10.); #131870=VECTOR('',#434829,10.); #131871=VECTOR('',#434830,10.); #131872=VECTOR('',#434831,10.); #131873=VECTOR('',#434834,10.); #131874=VECTOR('',#434835,10.); #131875=VECTOR('',#434844,10.); #131876=VECTOR('',#434845,10.); #131877=VECTOR('',#434846,10.); #131878=VECTOR('',#434849,10.); #131879=VECTOR('',#434852,10.); #131880=VECTOR('',#434855,10.); #131881=VECTOR('',#434856,10.); #131882=VECTOR('',#434857,10.); #131883=VECTOR('',#434858,10.); #131884=VECTOR('',#434861,10.); #131885=VECTOR('',#434862,10.); #131886=VECTOR('',#434865,10.); #131887=VECTOR('',#434866,10.); #131888=VECTOR('',#434867,10.); #131889=VECTOR('',#434870,10.); #131890=VECTOR('',#434871,10.); #131891=VECTOR('',#434880,10.); #131892=VECTOR('',#434881,10.); #131893=VECTOR('',#434882,10.); #131894=VECTOR('',#434885,10.); #131895=VECTOR('',#434888,10.); #131896=VECTOR('',#434891,10.); #131897=VECTOR('',#434892,10.); #131898=VECTOR('',#434893,10.); #131899=VECTOR('',#434894,10.); #131900=VECTOR('',#434897,10.); #131901=VECTOR('',#434898,10.); #131902=VECTOR('',#434901,10.); #131903=VECTOR('',#434902,10.); #131904=VECTOR('',#434903,10.); #131905=VECTOR('',#434906,10.); #131906=VECTOR('',#434907,10.); #131907=VECTOR('',#434916,10.); #131908=VECTOR('',#434917,10.); #131909=VECTOR('',#434918,10.); #131910=VECTOR('',#434921,10.); #131911=VECTOR('',#434924,10.); #131912=VECTOR('',#434927,10.); #131913=VECTOR('',#434928,10.); #131914=VECTOR('',#434929,10.); #131915=VECTOR('',#434930,10.); #131916=VECTOR('',#434933,10.); #131917=VECTOR('',#434934,10.); #131918=VECTOR('',#434937,10.); #131919=VECTOR('',#434938,10.); #131920=VECTOR('',#434939,10.); #131921=VECTOR('',#434942,10.); #131922=VECTOR('',#434943,10.); #131923=VECTOR('',#434952,10.); #131924=VECTOR('',#434953,10.); #131925=VECTOR('',#434954,10.); #131926=VECTOR('',#434957,10.); #131927=VECTOR('',#434960,10.); #131928=VECTOR('',#434963,10.); #131929=VECTOR('',#434964,10.); #131930=VECTOR('',#434965,10.); #131931=VECTOR('',#434966,10.); #131932=VECTOR('',#434969,10.); #131933=VECTOR('',#434970,10.); #131934=VECTOR('',#434973,10.); #131935=VECTOR('',#434974,10.); #131936=VECTOR('',#434975,10.); #131937=VECTOR('',#434978,10.); #131938=VECTOR('',#434979,10.); #131939=VECTOR('',#434988,10.); #131940=VECTOR('',#434989,10.); #131941=VECTOR('',#434990,10.); #131942=VECTOR('',#434993,10.); #131943=VECTOR('',#434996,10.); #131944=VECTOR('',#434999,10.); #131945=VECTOR('',#435000,10.); #131946=VECTOR('',#435001,10.); #131947=VECTOR('',#435002,10.); #131948=VECTOR('',#435005,10.); #131949=VECTOR('',#435006,10.); #131950=VECTOR('',#435009,10.); #131951=VECTOR('',#435010,10.); #131952=VECTOR('',#435011,10.); #131953=VECTOR('',#435014,10.); #131954=VECTOR('',#435015,10.); #131955=VECTOR('',#435024,10.); #131956=VECTOR('',#435025,10.); #131957=VECTOR('',#435026,10.); #131958=VECTOR('',#435029,10.); #131959=VECTOR('',#435032,10.); #131960=VECTOR('',#435035,10.); #131961=VECTOR('',#435036,10.); #131962=VECTOR('',#435037,10.); #131963=VECTOR('',#435038,10.); #131964=VECTOR('',#435041,10.); #131965=VECTOR('',#435042,10.); #131966=VECTOR('',#435045,10.); #131967=VECTOR('',#435046,10.); #131968=VECTOR('',#435047,10.); #131969=VECTOR('',#435050,10.); #131970=VECTOR('',#435051,10.); #131971=VECTOR('',#435060,10.); #131972=VECTOR('',#435061,10.); #131973=VECTOR('',#435062,10.); #131974=VECTOR('',#435065,10.); #131975=VECTOR('',#435068,10.); #131976=VECTOR('',#435071,10.); #131977=VECTOR('',#435072,10.); #131978=VECTOR('',#435073,10.); #131979=VECTOR('',#435074,10.); #131980=VECTOR('',#435077,10.); #131981=VECTOR('',#435078,10.); #131982=VECTOR('',#435081,10.); #131983=VECTOR('',#435082,10.); #131984=VECTOR('',#435083,10.); #131985=VECTOR('',#435086,10.); #131986=VECTOR('',#435087,10.); #131987=VECTOR('',#435096,10.); #131988=VECTOR('',#435097,10.); #131989=VECTOR('',#435098,10.); #131990=VECTOR('',#435101,10.); #131991=VECTOR('',#435104,10.); #131992=VECTOR('',#435107,10.); #131993=VECTOR('',#435108,10.); #131994=VECTOR('',#435109,10.); #131995=VECTOR('',#435110,10.); #131996=VECTOR('',#435113,10.); #131997=VECTOR('',#435114,10.); #131998=VECTOR('',#435117,10.); #131999=VECTOR('',#435118,10.); #132000=VECTOR('',#435119,10.); #132001=VECTOR('',#435122,10.); #132002=VECTOR('',#435123,10.); #132003=VECTOR('',#435132,10.); #132004=VECTOR('',#435133,10.); #132005=VECTOR('',#435134,10.); #132006=VECTOR('',#435139,10.); #132007=VECTOR('',#435140,10.); #132008=VECTOR('',#435143,10.); #132009=VECTOR('',#435144,10.); #132010=VECTOR('',#435145,10.); #132011=VECTOR('',#435148,10.); #132012=VECTOR('',#435149,10.); #132013=VECTOR('',#435150,10.); #132014=VECTOR('',#435153,10.); #132015=VECTOR('',#435154,10.); #132016=VECTOR('',#435155,10.); #132017=VECTOR('',#435158,10.); #132018=VECTOR('',#435159,10.); #132019=VECTOR('',#435168,10.); #132020=VECTOR('',#435169,10.); #132021=VECTOR('',#435170,10.); #132022=VECTOR('',#435175,10.); #132023=VECTOR('',#435176,10.); #132024=VECTOR('',#435179,10.); #132025=VECTOR('',#435180,10.); #132026=VECTOR('',#435181,10.); #132027=VECTOR('',#435184,10.); #132028=VECTOR('',#435185,10.); #132029=VECTOR('',#435186,10.); #132030=VECTOR('',#435189,10.); #132031=VECTOR('',#435190,10.); #132032=VECTOR('',#435191,10.); #132033=VECTOR('',#435194,10.); #132034=VECTOR('',#435195,10.); #132035=VECTOR('',#435204,10.); #132036=VECTOR('',#435205,10.); #132037=VECTOR('',#435206,10.); #132038=VECTOR('',#435211,10.); #132039=VECTOR('',#435212,10.); #132040=VECTOR('',#435215,10.); #132041=VECTOR('',#435216,10.); #132042=VECTOR('',#435217,10.); #132043=VECTOR('',#435220,10.); #132044=VECTOR('',#435221,10.); #132045=VECTOR('',#435222,10.); #132046=VECTOR('',#435225,10.); #132047=VECTOR('',#435226,10.); #132048=VECTOR('',#435227,10.); #132049=VECTOR('',#435230,10.); #132050=VECTOR('',#435231,10.); #132051=VECTOR('',#435240,10.); #132052=VECTOR('',#435241,10.); #132053=VECTOR('',#435242,10.); #132054=VECTOR('',#435247,10.); #132055=VECTOR('',#435248,10.); #132056=VECTOR('',#435251,10.); #132057=VECTOR('',#435252,10.); #132058=VECTOR('',#435253,10.); #132059=VECTOR('',#435256,10.); #132060=VECTOR('',#435257,10.); #132061=VECTOR('',#435258,10.); #132062=VECTOR('',#435261,10.); #132063=VECTOR('',#435262,10.); #132064=VECTOR('',#435263,10.); #132065=VECTOR('',#435266,10.); #132066=VECTOR('',#435267,10.); #132067=VECTOR('',#435276,10.); #132068=VECTOR('',#435277,10.); #132069=VECTOR('',#435278,10.); #132070=VECTOR('',#435283,10.); #132071=VECTOR('',#435284,10.); #132072=VECTOR('',#435287,10.); #132073=VECTOR('',#435288,10.); #132074=VECTOR('',#435289,10.); #132075=VECTOR('',#435292,10.); #132076=VECTOR('',#435293,10.); #132077=VECTOR('',#435294,10.); #132078=VECTOR('',#435297,10.); #132079=VECTOR('',#435298,10.); #132080=VECTOR('',#435299,10.); #132081=VECTOR('',#435302,10.); #132082=VECTOR('',#435303,10.); #132083=VECTOR('',#435312,10.); #132084=VECTOR('',#435313,10.); #132085=VECTOR('',#435314,10.); #132086=VECTOR('',#435319,10.); #132087=VECTOR('',#435320,10.); #132088=VECTOR('',#435323,10.); #132089=VECTOR('',#435324,10.); #132090=VECTOR('',#435325,10.); #132091=VECTOR('',#435328,10.); #132092=VECTOR('',#435329,10.); #132093=VECTOR('',#435330,10.); #132094=VECTOR('',#435333,10.); #132095=VECTOR('',#435334,10.); #132096=VECTOR('',#435335,10.); #132097=VECTOR('',#435338,10.); #132098=VECTOR('',#435339,10.); #132099=VECTOR('',#435348,10.); #132100=VECTOR('',#435349,10.); #132101=VECTOR('',#435350,10.); #132102=VECTOR('',#435355,10.); #132103=VECTOR('',#435356,10.); #132104=VECTOR('',#435359,10.); #132105=VECTOR('',#435360,10.); #132106=VECTOR('',#435361,10.); #132107=VECTOR('',#435364,10.); #132108=VECTOR('',#435365,10.); #132109=VECTOR('',#435366,10.); #132110=VECTOR('',#435369,10.); #132111=VECTOR('',#435370,10.); #132112=VECTOR('',#435371,10.); #132113=VECTOR('',#435374,10.); #132114=VECTOR('',#435375,10.); #132115=VECTOR('',#435384,10.); #132116=VECTOR('',#435385,10.); #132117=VECTOR('',#435386,10.); #132118=VECTOR('',#435391,10.); #132119=VECTOR('',#435392,10.); #132120=VECTOR('',#435395,10.); #132121=VECTOR('',#435396,10.); #132122=VECTOR('',#435397,10.); #132123=VECTOR('',#435400,10.); #132124=VECTOR('',#435401,10.); #132125=VECTOR('',#435402,10.); #132126=VECTOR('',#435405,10.); #132127=VECTOR('',#435406,10.); #132128=VECTOR('',#435407,10.); #132129=VECTOR('',#435410,10.); #132130=VECTOR('',#435411,10.); #132131=VECTOR('',#435420,10.); #132132=VECTOR('',#435421,10.); #132133=VECTOR('',#435422,10.); #132134=VECTOR('',#435427,10.); #132135=VECTOR('',#435428,10.); #132136=VECTOR('',#435431,10.); #132137=VECTOR('',#435432,10.); #132138=VECTOR('',#435433,10.); #132139=VECTOR('',#435436,10.); #132140=VECTOR('',#435437,10.); #132141=VECTOR('',#435438,10.); #132142=VECTOR('',#435441,10.); #132143=VECTOR('',#435442,10.); #132144=VECTOR('',#435443,10.); #132145=VECTOR('',#435446,10.); #132146=VECTOR('',#435447,10.); #132147=VECTOR('',#435456,10.); #132148=VECTOR('',#435457,10.); #132149=VECTOR('',#435458,10.); #132150=VECTOR('',#435463,10.); #132151=VECTOR('',#435464,10.); #132152=VECTOR('',#435467,10.); #132153=VECTOR('',#435468,10.); #132154=VECTOR('',#435469,10.); #132155=VECTOR('',#435472,10.); #132156=VECTOR('',#435473,10.); #132157=VECTOR('',#435474,10.); #132158=VECTOR('',#435477,10.); #132159=VECTOR('',#435478,10.); #132160=VECTOR('',#435479,10.); #132161=VECTOR('',#435482,10.); #132162=VECTOR('',#435483,10.); #132163=VECTOR('',#435492,10.); #132164=VECTOR('',#435493,10.); #132165=VECTOR('',#435494,10.); #132166=VECTOR('',#435499,10.); #132167=VECTOR('',#435500,10.); #132168=VECTOR('',#435503,10.); #132169=VECTOR('',#435504,10.); #132170=VECTOR('',#435505,10.); #132171=VECTOR('',#435508,10.); #132172=VECTOR('',#435509,10.); #132173=VECTOR('',#435510,10.); #132174=VECTOR('',#435513,10.); #132175=VECTOR('',#435514,10.); #132176=VECTOR('',#435515,10.); #132177=VECTOR('',#435518,10.); #132178=VECTOR('',#435519,10.); #132179=VECTOR('',#435528,10.); #132180=VECTOR('',#435529,10.); #132181=VECTOR('',#435530,10.); #132182=VECTOR('',#435535,10.); #132183=VECTOR('',#435536,10.); #132184=VECTOR('',#435539,10.); #132185=VECTOR('',#435540,10.); #132186=VECTOR('',#435541,10.); #132187=VECTOR('',#435544,10.); #132188=VECTOR('',#435545,10.); #132189=VECTOR('',#435546,10.); #132190=VECTOR('',#435549,10.); #132191=VECTOR('',#435550,10.); #132192=VECTOR('',#435551,10.); #132193=VECTOR('',#435554,10.); #132194=VECTOR('',#435555,10.); #132195=VECTOR('',#435564,10.); #132196=VECTOR('',#435565,10.); #132197=VECTOR('',#435566,10.); #132198=VECTOR('',#435571,10.); #132199=VECTOR('',#435572,10.); #132200=VECTOR('',#435575,10.); #132201=VECTOR('',#435576,10.); #132202=VECTOR('',#435577,10.); #132203=VECTOR('',#435580,10.); #132204=VECTOR('',#435581,10.); #132205=VECTOR('',#435582,10.); #132206=VECTOR('',#435585,10.); #132207=VECTOR('',#435586,10.); #132208=VECTOR('',#435587,10.); #132209=VECTOR('',#435590,10.); #132210=VECTOR('',#435591,10.); #132211=VECTOR('',#435600,10.); #132212=VECTOR('',#435601,10.); #132213=VECTOR('',#435602,10.); #132214=VECTOR('',#435607,10.); #132215=VECTOR('',#435608,10.); #132216=VECTOR('',#435611,10.); #132217=VECTOR('',#435612,10.); #132218=VECTOR('',#435613,10.); #132219=VECTOR('',#435616,10.); #132220=VECTOR('',#435617,10.); #132221=VECTOR('',#435618,10.); #132222=VECTOR('',#435621,10.); #132223=VECTOR('',#435622,10.); #132224=VECTOR('',#435623,10.); #132225=VECTOR('',#435626,10.); #132226=VECTOR('',#435627,10.); #132227=VECTOR('',#435636,10.); #132228=VECTOR('',#435637,10.); #132229=VECTOR('',#435638,10.); #132230=VECTOR('',#435643,10.); #132231=VECTOR('',#435644,10.); #132232=VECTOR('',#435647,10.); #132233=VECTOR('',#435648,10.); #132234=VECTOR('',#435649,10.); #132235=VECTOR('',#435652,10.); #132236=VECTOR('',#435653,10.); #132237=VECTOR('',#435654,10.); #132238=VECTOR('',#435657,10.); #132239=VECTOR('',#435658,10.); #132240=VECTOR('',#435659,10.); #132241=VECTOR('',#435662,10.); #132242=VECTOR('',#435663,10.); #132243=VECTOR('',#435672,10.); #132244=VECTOR('',#435673,10.); #132245=VECTOR('',#435674,10.); #132246=VECTOR('',#435679,10.); #132247=VECTOR('',#435680,10.); #132248=VECTOR('',#435683,10.); #132249=VECTOR('',#435684,10.); #132250=VECTOR('',#435685,10.); #132251=VECTOR('',#435688,10.); #132252=VECTOR('',#435689,10.); #132253=VECTOR('',#435690,10.); #132254=VECTOR('',#435693,10.); #132255=VECTOR('',#435694,10.); #132256=VECTOR('',#435695,10.); #132257=VECTOR('',#435698,10.); #132258=VECTOR('',#435699,10.); #132259=VECTOR('',#435708,10.); #132260=VECTOR('',#435709,10.); #132261=VECTOR('',#435710,10.); #132262=VECTOR('',#435715,10.); #132263=VECTOR('',#435716,10.); #132264=VECTOR('',#435719,10.); #132265=VECTOR('',#435720,10.); #132266=VECTOR('',#435721,10.); #132267=VECTOR('',#435724,10.); #132268=VECTOR('',#435725,10.); #132269=VECTOR('',#435726,10.); #132270=VECTOR('',#435729,10.); #132271=VECTOR('',#435730,10.); #132272=VECTOR('',#435731,10.); #132273=VECTOR('',#435734,10.); #132274=VECTOR('',#435735,10.); #132275=VECTOR('',#435744,10.); #132276=VECTOR('',#435745,10.); #132277=VECTOR('',#435746,10.); #132278=VECTOR('',#435751,10.); #132279=VECTOR('',#435752,10.); #132280=VECTOR('',#435755,10.); #132281=VECTOR('',#435756,10.); #132282=VECTOR('',#435757,10.); #132283=VECTOR('',#435760,10.); #132284=VECTOR('',#435761,10.); #132285=VECTOR('',#435762,10.); #132286=VECTOR('',#435765,10.); #132287=VECTOR('',#435766,10.); #132288=VECTOR('',#435767,10.); #132289=VECTOR('',#435770,10.); #132290=VECTOR('',#435771,10.); #132291=VECTOR('',#435780,10.); #132292=VECTOR('',#435781,10.); #132293=VECTOR('',#435782,10.); #132294=VECTOR('',#435787,10.); #132295=VECTOR('',#435788,10.); #132296=VECTOR('',#435791,10.); #132297=VECTOR('',#435792,10.); #132298=VECTOR('',#435793,10.); #132299=VECTOR('',#435796,10.); #132300=VECTOR('',#435797,10.); #132301=VECTOR('',#435798,10.); #132302=VECTOR('',#435801,10.); #132303=VECTOR('',#435802,10.); #132304=VECTOR('',#435803,10.); #132305=VECTOR('',#435806,10.); #132306=VECTOR('',#435807,10.); #132307=VECTOR('',#435816,10.); #132308=VECTOR('',#435817,10.); #132309=VECTOR('',#435818,10.); #132310=VECTOR('',#435823,10.); #132311=VECTOR('',#435824,10.); #132312=VECTOR('',#435827,10.); #132313=VECTOR('',#435828,10.); #132314=VECTOR('',#435829,10.); #132315=VECTOR('',#435832,10.); #132316=VECTOR('',#435833,10.); #132317=VECTOR('',#435834,10.); #132318=VECTOR('',#435837,10.); #132319=VECTOR('',#435838,10.); #132320=VECTOR('',#435839,10.); #132321=VECTOR('',#435842,10.); #132322=VECTOR('',#435843,10.); #132323=VECTOR('',#435852,10.); #132324=VECTOR('',#435853,10.); #132325=VECTOR('',#435854,10.); #132326=VECTOR('',#435859,10.); #132327=VECTOR('',#435860,10.); #132328=VECTOR('',#435863,10.); #132329=VECTOR('',#435864,10.); #132330=VECTOR('',#435865,10.); #132331=VECTOR('',#435868,10.); #132332=VECTOR('',#435869,10.); #132333=VECTOR('',#435870,10.); #132334=VECTOR('',#435873,10.); #132335=VECTOR('',#435874,10.); #132336=VECTOR('',#435875,10.); #132337=VECTOR('',#435878,10.); #132338=VECTOR('',#435879,10.); #132339=VECTOR('',#435888,10.); #132340=VECTOR('',#435889,10.); #132341=VECTOR('',#435890,10.); #132342=VECTOR('',#435895,10.); #132343=VECTOR('',#435896,10.); #132344=VECTOR('',#435899,10.); #132345=VECTOR('',#435900,10.); #132346=VECTOR('',#435901,10.); #132347=VECTOR('',#435904,10.); #132348=VECTOR('',#435905,10.); #132349=VECTOR('',#435906,10.); #132350=VECTOR('',#435909,10.); #132351=VECTOR('',#435910,10.); #132352=VECTOR('',#435911,10.); #132353=VECTOR('',#435914,10.); #132354=VECTOR('',#435915,10.); #132355=VECTOR('',#435924,10.); #132356=VECTOR('',#435925,10.); #132357=VECTOR('',#435926,10.); #132358=VECTOR('',#435931,10.); #132359=VECTOR('',#435932,10.); #132360=VECTOR('',#435935,10.); #132361=VECTOR('',#435936,10.); #132362=VECTOR('',#435937,10.); #132363=VECTOR('',#435940,10.); #132364=VECTOR('',#435941,10.); #132365=VECTOR('',#435942,10.); #132366=VECTOR('',#435945,10.); #132367=VECTOR('',#435946,10.); #132368=VECTOR('',#435947,10.); #132369=VECTOR('',#435950,10.); #132370=VECTOR('',#435951,10.); #132371=VECTOR('',#435960,10.); #132372=VECTOR('',#435961,10.); #132373=VECTOR('',#435962,10.); #132374=VECTOR('',#435967,10.); #132375=VECTOR('',#435968,10.); #132376=VECTOR('',#435971,10.); #132377=VECTOR('',#435972,10.); #132378=VECTOR('',#435973,10.); #132379=VECTOR('',#435976,10.); #132380=VECTOR('',#435977,10.); #132381=VECTOR('',#435978,10.); #132382=VECTOR('',#435981,10.); #132383=VECTOR('',#435982,10.); #132384=VECTOR('',#435983,10.); #132385=VECTOR('',#435986,10.); #132386=VECTOR('',#435987,10.); #132387=VECTOR('',#435994,10.); #132388=VECTOR('',#435995,10.); #132389=VECTOR('',#435996,10.); #132390=VECTOR('',#435997,10.); #132391=VECTOR('',#436000,10.); #132392=VECTOR('',#436001,10.); #132393=VECTOR('',#436002,10.); #132394=VECTOR('',#436005,10.); #132395=VECTOR('',#436006,10.); #132396=VECTOR('',#436007,10.); #132397=VECTOR('',#436010,10.); #132398=VECTOR('',#436011,10.); #132399=VECTOR('',#436064,10.); #132400=VECTOR('',#436065,10.); #132401=VECTOR('',#436084,10.); #132402=VECTOR('',#436085,10.); #132403=VECTOR('',#436088,10.); #132404=VECTOR('',#436089,10.); #132405=VECTOR('',#436100,10.); #132406=VECTOR('',#436101,10.); #132407=VECTOR('',#436104,10.); #132408=VECTOR('',#436105,10.); #132409=VECTOR('',#436108,10.); #132410=VECTOR('',#436109,10.); #132411=VECTOR('',#436112,10.); #132412=VECTOR('',#436113,10.); #132413=VECTOR('',#436116,10.); #132414=VECTOR('',#436117,10.); #132415=VECTOR('',#436120,10.); #132416=VECTOR('',#436121,10.); #132417=VECTOR('',#436124,10.); #132418=VECTOR('',#436125,10.); #132419=VECTOR('',#436128,10.); #132420=VECTOR('',#436129,10.); #132421=VECTOR('',#436132,10.); #132422=VECTOR('',#436133,10.); #132423=VECTOR('',#436188,10.); #132424=VECTOR('',#436189,10.); #132425=VECTOR('',#436208,10.); #132426=VECTOR('',#436209,10.); #132427=VECTOR('',#436212,10.); #132428=VECTOR('',#436213,10.); #132429=VECTOR('',#436224,10.); #132430=VECTOR('',#436225,10.); #132431=VECTOR('',#436228,10.); #132432=VECTOR('',#436229,10.); #132433=VECTOR('',#436232,10.); #132434=VECTOR('',#436233,10.); #132435=VECTOR('',#436236,10.); #132436=VECTOR('',#436237,10.); #132437=VECTOR('',#436240,10.); #132438=VECTOR('',#436241,10.); #132439=VECTOR('',#436244,10.); #132440=VECTOR('',#436245,10.); #132441=VECTOR('',#436248,10.); #132442=VECTOR('',#436249,10.); #132443=VECTOR('',#436252,10.); #132444=VECTOR('',#436253,10.); #132445=VECTOR('',#436256,10.); #132446=VECTOR('',#436257,10.); #132447=VECTOR('',#436272,10.); #132448=VECTOR('',#436273,10.); #132449=VECTOR('',#436274,10.); #132450=VECTOR('',#436275,10.); #132451=VECTOR('',#436278,10.); #132452=VECTOR('',#436279,10.); #132453=VECTOR('',#436280,10.); #132454=VECTOR('',#436283,10.); #132455=VECTOR('',#436284,10.); #132456=VECTOR('',#436285,10.); #132457=VECTOR('',#436288,10.); #132458=VECTOR('',#436289,10.); #132459=VECTOR('',#436302,10.); #132460=VECTOR('',#436303,10.); #132461=VECTOR('',#436304,10.); #132462=VECTOR('',#436305,10.); #132463=VECTOR('',#436308,10.); #132464=VECTOR('',#436309,10.); #132465=VECTOR('',#436310,10.); #132466=VECTOR('',#436313,10.); #132467=VECTOR('',#436314,10.); #132468=VECTOR('',#436315,10.); #132469=VECTOR('',#436318,10.); #132470=VECTOR('',#436319,10.); #132471=VECTOR('',#436322,10.); #132472=VECTOR('',#436323,10.); #132473=VECTOR('',#436324,10.); #132474=VECTOR('',#436327,10.); #132475=VECTOR('',#436328,10.); #132476=VECTOR('',#436333,10.); #132477=VECTOR('',#436334,10.); #132478=VECTOR('',#436337,10.); #132479=VECTOR('',#436342,10.); #132480=VECTOR('',#436343,10.); #132481=VECTOR('',#436344,10.); #132482=VECTOR('',#436345,10.); #132483=VECTOR('',#436348,10.); #132484=VECTOR('',#436349,10.); #132485=VECTOR('',#436350,10.); #132486=VECTOR('',#436353,10.); #132487=VECTOR('',#436354,10.); #132488=VECTOR('',#436355,10.); #132489=VECTOR('',#436358,10.); #132490=VECTOR('',#436359,10.); #132491=VECTOR('',#436366,10.); #132492=VECTOR('',#436367,10.); #132493=VECTOR('',#436368,10.); #132494=VECTOR('',#436369,10.); #132495=VECTOR('',#436372,10.); #132496=VECTOR('',#436373,10.); #132497=VECTOR('',#436374,10.); #132498=VECTOR('',#436377,10.); #132499=VECTOR('',#436378,10.); #132500=VECTOR('',#436379,10.); #132501=VECTOR('',#436382,10.); #132502=VECTOR('',#436383,10.); #132503=VECTOR('',#436390,10.); #132504=VECTOR('',#436391,10.); #132505=VECTOR('',#436392,10.); #132506=VECTOR('',#436393,10.); #132507=VECTOR('',#436396,10.); #132508=VECTOR('',#436397,10.); #132509=VECTOR('',#436398,10.); #132510=VECTOR('',#436401,10.); #132511=VECTOR('',#436402,10.); #132512=VECTOR('',#436403,10.); #132513=VECTOR('',#436406,10.); #132514=VECTOR('',#436407,10.); #132515=VECTOR('',#436414,10.); #132516=VECTOR('',#436415,10.); #132517=VECTOR('',#436416,10.); #132518=VECTOR('',#436417,10.); #132519=VECTOR('',#436420,10.); #132520=VECTOR('',#436421,10.); #132521=VECTOR('',#436422,10.); #132522=VECTOR('',#436425,10.); #132523=VECTOR('',#436426,10.); #132524=VECTOR('',#436427,10.); #132525=VECTOR('',#436430,10.); #132526=VECTOR('',#436431,10.); #132527=VECTOR('',#436438,10.); #132528=VECTOR('',#436439,10.); #132529=VECTOR('',#436440,10.); #132530=VECTOR('',#436441,10.); #132531=VECTOR('',#436444,10.); #132532=VECTOR('',#436445,10.); #132533=VECTOR('',#436446,10.); #132534=VECTOR('',#436449,10.); #132535=VECTOR('',#436450,10.); #132536=VECTOR('',#436451,10.); #132537=VECTOR('',#436454,10.); #132538=VECTOR('',#436455,10.); #132539=VECTOR('',#436470,0.1995); #132540=VECTOR('',#436477,10.); #132541=VECTOR('',#436478,10.); #132542=VECTOR('',#436479,10.); #132543=VECTOR('',#436480,10.); #132544=VECTOR('',#436483,10.); #132545=VECTOR('',#436484,10.); #132546=VECTOR('',#436485,10.); #132547=VECTOR('',#436488,10.); #132548=VECTOR('',#436489,10.); #132549=VECTOR('',#436490,10.); #132550=VECTOR('',#436493,10.); #132551=VECTOR('',#436494,10.); #132552=VECTOR('',#436497,10.); #132553=VECTOR('',#436498,10.); #132554=VECTOR('',#436499,10.); #132555=VECTOR('',#436502,10.); #132556=VECTOR('',#436503,10.); #132557=VECTOR('',#436508,10.); #132558=VECTOR('',#436509,10.); #132559=VECTOR('',#436510,10.); #132560=VECTOR('',#436511,10.); #132561=VECTOR('',#436514,10.); #132562=VECTOR('',#436515,10.); #132563=VECTOR('',#436518,10.); #132564=VECTOR('',#436519,10.); #132565=VECTOR('',#436520,10.); #132566=VECTOR('',#436523,10.); #132567=VECTOR('',#436526,10.); #132568=VECTOR('',#436535,10.); #132569=VECTOR('',#436538,10.); #132570=VECTOR('',#436539,10.); #132571=VECTOR('',#436540,10.); #132572=VECTOR('',#436543,10.); #132573=VECTOR('',#436546,10.); #132574=VECTOR('',#436547,10.); #132575=VECTOR('',#436548,10.); #132576=VECTOR('',#436551,10.); #132577=VECTOR('',#436552,10.); #132578=VECTOR('',#436553,10.); #132579=VECTOR('',#436558,10.); #132580=VECTOR('',#436561,10.); #132581=VECTOR('',#436562,10.); #132582=VECTOR('',#436565,10.); #132583=VECTOR('',#436566,10.); #132584=VECTOR('',#436569,10.); #132585=VECTOR('',#436570,10.); #132586=VECTOR('',#436575,10.); #132587=VECTOR('',#436578,10.); #132588=VECTOR('',#436579,10.); #132589=VECTOR('',#436584,10.); #132590=VECTOR('',#436587,10.); #132591=VECTOR('',#436588,10.); #132592=VECTOR('',#436591,10.); #132593=VECTOR('',#436592,10.); #132594=VECTOR('',#436595,10.); #132595=VECTOR('',#436596,10.); #132596=VECTOR('',#436607,10.); #132597=VECTOR('',#436608,10.); #132598=VECTOR('',#436609,10.); #132599=VECTOR('',#436612,10.); #132600=VECTOR('',#436615,10.); #132601=VECTOR('',#436616,10.); #132602=VECTOR('',#436617,10.); #132603=VECTOR('',#436620,10.); #132604=VECTOR('',#436623,10.); #132605=VECTOR('',#436624,10.); #132606=VECTOR('',#436625,10.); #132607=VECTOR('',#436628,10.); #132608=VECTOR('',#436633,10.); #132609=VECTOR('',#436634,10.); #132610=VECTOR('',#436637,10.); #132611=VECTOR('',#436638,10.); #132612=VECTOR('',#436641,10.); #132613=VECTOR('',#436642,10.); #132614=VECTOR('',#436645,10.); #132615=VECTOR('',#436650,10.); #132616=VECTOR('',#436651,10.); #132617=VECTOR('',#436654,10.); #132618=VECTOR('',#436659,10.); #132619=VECTOR('',#436660,10.); #132620=VECTOR('',#436663,10.); #132621=VECTOR('',#436664,10.); #132622=VECTOR('',#436667,10.); #132623=VECTOR('',#436668,10.); #132624=VECTOR('',#436679,10.); #132625=VECTOR('',#436682,10.); #132626=VECTOR('',#436683,10.); #132627=VECTOR('',#436684,10.); #132628=VECTOR('',#436687,10.); #132629=VECTOR('',#436690,10.); #132630=VECTOR('',#436691,10.); #132631=VECTOR('',#436692,10.); #132632=VECTOR('',#436695,10.); #132633=VECTOR('',#436696,10.); #132634=VECTOR('',#436697,10.); #132635=VECTOR('',#436702,10.); #132636=VECTOR('',#436705,10.); #132637=VECTOR('',#436706,10.); #132638=VECTOR('',#436709,10.); #132639=VECTOR('',#436710,10.); #132640=VECTOR('',#436713,10.); #132641=VECTOR('',#436714,10.); #132642=VECTOR('',#436719,10.); #132643=VECTOR('',#436722,10.); #132644=VECTOR('',#436723,10.); #132645=VECTOR('',#436728,10.); #132646=VECTOR('',#436731,10.); #132647=VECTOR('',#436732,10.); #132648=VECTOR('',#436735,10.); #132649=VECTOR('',#436736,10.); #132650=VECTOR('',#436739,10.); #132651=VECTOR('',#436740,10.); #132652=VECTOR('',#436751,10.); #132653=VECTOR('',#436754,10.); #132654=VECTOR('',#436755,10.); #132655=VECTOR('',#436756,10.); #132656=VECTOR('',#436759,10.); #132657=VECTOR('',#436762,10.); #132658=VECTOR('',#436763,10.); #132659=VECTOR('',#436764,10.); #132660=VECTOR('',#436767,10.); #132661=VECTOR('',#436768,10.); #132662=VECTOR('',#436769,10.); #132663=VECTOR('',#436774,10.); #132664=VECTOR('',#436777,10.); #132665=VECTOR('',#436778,10.); #132666=VECTOR('',#436781,10.); #132667=VECTOR('',#436782,10.); #132668=VECTOR('',#436785,10.); #132669=VECTOR('',#436786,10.); #132670=VECTOR('',#436791,10.); #132671=VECTOR('',#436794,10.); #132672=VECTOR('',#436795,10.); #132673=VECTOR('',#436800,10.); #132674=VECTOR('',#436803,10.); #132675=VECTOR('',#436804,10.); #132676=VECTOR('',#436807,10.); #132677=VECTOR('',#436808,10.); #132678=VECTOR('',#436811,10.); #132679=VECTOR('',#436812,10.); #132680=VECTOR('',#436823,10.); #132681=VECTOR('',#436826,10.); #132682=VECTOR('',#436827,10.); #132683=VECTOR('',#436828,10.); #132684=VECTOR('',#436831,10.); #132685=VECTOR('',#436834,10.); #132686=VECTOR('',#436835,10.); #132687=VECTOR('',#436836,10.); #132688=VECTOR('',#436839,10.); #132689=VECTOR('',#436840,10.); #132690=VECTOR('',#436841,10.); #132691=VECTOR('',#436846,10.); #132692=VECTOR('',#436849,10.); #132693=VECTOR('',#436850,10.); #132694=VECTOR('',#436853,10.); #132695=VECTOR('',#436854,10.); #132696=VECTOR('',#436857,10.); #132697=VECTOR('',#436858,10.); #132698=VECTOR('',#436863,10.); #132699=VECTOR('',#436866,10.); #132700=VECTOR('',#436867,10.); #132701=VECTOR('',#436872,10.); #132702=VECTOR('',#436875,10.); #132703=VECTOR('',#436876,10.); #132704=VECTOR('',#436879,10.); #132705=VECTOR('',#436880,10.); #132706=VECTOR('',#436883,10.); #132707=VECTOR('',#436884,10.); #132708=VECTOR('',#436895,10.); #132709=VECTOR('',#436896,10.); #132710=VECTOR('',#436897,10.); #132711=VECTOR('',#436900,10.); #132712=VECTOR('',#436903,10.); #132713=VECTOR('',#436904,10.); #132714=VECTOR('',#436905,10.); #132715=VECTOR('',#436908,10.); #132716=VECTOR('',#436911,10.); #132717=VECTOR('',#436912,10.); #132718=VECTOR('',#436913,10.); #132719=VECTOR('',#436916,10.); #132720=VECTOR('',#436921,10.); #132721=VECTOR('',#436922,10.); #132722=VECTOR('',#436925,10.); #132723=VECTOR('',#436926,10.); #132724=VECTOR('',#436929,10.); #132725=VECTOR('',#436930,10.); #132726=VECTOR('',#436933,10.); #132727=VECTOR('',#436938,10.); #132728=VECTOR('',#436939,10.); #132729=VECTOR('',#436942,10.); #132730=VECTOR('',#436947,10.); #132731=VECTOR('',#436948,10.); #132732=VECTOR('',#436951,10.); #132733=VECTOR('',#436952,10.); #132734=VECTOR('',#436955,10.); #132735=VECTOR('',#436956,10.); #132736=VECTOR('',#436967,10.); #132737=VECTOR('',#436968,10.); #132738=VECTOR('',#436969,10.); #132739=VECTOR('',#436972,10.); #132740=VECTOR('',#436975,10.); #132741=VECTOR('',#436976,10.); #132742=VECTOR('',#436977,10.); #132743=VECTOR('',#436980,10.); #132744=VECTOR('',#436983,10.); #132745=VECTOR('',#436984,10.); #132746=VECTOR('',#436985,10.); #132747=VECTOR('',#436988,10.); #132748=VECTOR('',#436993,10.); #132749=VECTOR('',#436994,10.); #132750=VECTOR('',#436997,10.); #132751=VECTOR('',#436998,10.); #132752=VECTOR('',#437001,10.); #132753=VECTOR('',#437002,10.); #132754=VECTOR('',#437005,10.); #132755=VECTOR('',#437010,10.); #132756=VECTOR('',#437011,10.); #132757=VECTOR('',#437014,10.); #132758=VECTOR('',#437019,10.); #132759=VECTOR('',#437020,10.); #132760=VECTOR('',#437023,10.); #132761=VECTOR('',#437024,10.); #132762=VECTOR('',#437027,10.); #132763=VECTOR('',#437028,10.); #132764=VECTOR('',#437039,10.); #132765=VECTOR('',#437040,10.); #132766=VECTOR('',#437041,10.); #132767=VECTOR('',#437044,10.); #132768=VECTOR('',#437047,10.); #132769=VECTOR('',#437048,10.); #132770=VECTOR('',#437049,10.); #132771=VECTOR('',#437052,10.); #132772=VECTOR('',#437055,10.); #132773=VECTOR('',#437056,10.); #132774=VECTOR('',#437057,10.); #132775=VECTOR('',#437060,10.); #132776=VECTOR('',#437065,10.); #132777=VECTOR('',#437066,10.); #132778=VECTOR('',#437069,10.); #132779=VECTOR('',#437070,10.); #132780=VECTOR('',#437073,10.); #132781=VECTOR('',#437074,10.); #132782=VECTOR('',#437077,10.); #132783=VECTOR('',#437082,10.); #132784=VECTOR('',#437083,10.); #132785=VECTOR('',#437086,10.); #132786=VECTOR('',#437091,10.); #132787=VECTOR('',#437092,10.); #132788=VECTOR('',#437095,10.); #132789=VECTOR('',#437096,10.); #132790=VECTOR('',#437099,10.); #132791=VECTOR('',#437100,10.); #132792=VECTOR('',#437117,0.085); #132793=VECTOR('',#437124,10.); #132794=VECTOR('',#437125,10.); #132795=VECTOR('',#437126,10.); #132796=VECTOR('',#437127,10.); #132797=VECTOR('',#437130,10.); #132798=VECTOR('',#437131,10.); #132799=VECTOR('',#437132,10.); #132800=VECTOR('',#437135,10.); #132801=VECTOR('',#437136,10.); #132802=VECTOR('',#437137,10.); #132803=VECTOR('',#437140,10.); #132804=VECTOR('',#437141,10.); #132805=VECTOR('',#437144,10.); #132806=VECTOR('',#437145,10.); #132807=VECTOR('',#437146,10.); #132808=VECTOR('',#437149,10.); #132809=VECTOR('',#437150,10.); #132810=VECTOR('',#437155,10.); #132811=VECTOR('',#437156,10.); #132812=VECTOR('',#437157,10.); #132813=VECTOR('',#437158,10.); #132814=VECTOR('',#437161,10.); #132815=VECTOR('',#437162,10.); #132816=VECTOR('',#437165,10.); #132817=VECTOR('',#437166,10.); #132818=VECTOR('',#437167,10.); #132819=VECTOR('',#437170,10.); #132820=VECTOR('',#437173,10.); #132821=VECTOR('',#437182,10.); #132822=VECTOR('',#437183,10.); #132823=VECTOR('',#437184,10.); #132824=VECTOR('',#437187,10.); #132825=VECTOR('',#437190,10.); #132826=VECTOR('',#437191,10.); #132827=VECTOR('',#437192,10.); #132828=VECTOR('',#437195,10.); #132829=VECTOR('',#437198,10.); #132830=VECTOR('',#437199,10.); #132831=VECTOR('',#437200,10.); #132832=VECTOR('',#437203,10.); #132833=VECTOR('',#437204,10.); #132834=VECTOR('',#437207,10.); #132835=VECTOR('',#437208,10.); #132836=VECTOR('',#437213,10.); #132837=VECTOR('',#437216,10.); #132838=VECTOR('',#437217,10.); #132839=VECTOR('',#437222,10.); #132840=VECTOR('',#437225,10.); #132841=VECTOR('',#437226,10.); #132842=VECTOR('',#437229,10.); #132843=VECTOR('',#437230,10.); #132844=VECTOR('',#437233,10.); #132845=VECTOR('',#437234,10.); #132846=VECTOR('',#437239,10.); #132847=VECTOR('',#437242,10.); #132848=VECTOR('',#437243,10.); #132849=VECTOR('',#437254,10.); #132850=VECTOR('',#437255,10.); #132851=VECTOR('',#437256,10.); #132852=VECTOR('',#437259,10.); #132853=VECTOR('',#437262,10.); #132854=VECTOR('',#437263,10.); #132855=VECTOR('',#437264,10.); #132856=VECTOR('',#437267,10.); #132857=VECTOR('',#437270,10.); #132858=VECTOR('',#437271,10.); #132859=VECTOR('',#437272,10.); #132860=VECTOR('',#437275,10.); #132861=VECTOR('',#437276,10.); #132862=VECTOR('',#437279,10.); #132863=VECTOR('',#437280,10.); #132864=VECTOR('',#437285,10.); #132865=VECTOR('',#437288,10.); #132866=VECTOR('',#437289,10.); #132867=VECTOR('',#437294,10.); #132868=VECTOR('',#437297,10.); #132869=VECTOR('',#437298,10.); #132870=VECTOR('',#437301,10.); #132871=VECTOR('',#437302,10.); #132872=VECTOR('',#437305,10.); #132873=VECTOR('',#437306,10.); #132874=VECTOR('',#437311,10.); #132875=VECTOR('',#437314,10.); #132876=VECTOR('',#437315,10.); #132877=VECTOR('',#437326,10.); #132878=VECTOR('',#437327,10.); #132879=VECTOR('',#437328,10.); #132880=VECTOR('',#437331,10.); #132881=VECTOR('',#437334,10.); #132882=VECTOR('',#437335,10.); #132883=VECTOR('',#437336,10.); #132884=VECTOR('',#437339,10.); #132885=VECTOR('',#437342,10.); #132886=VECTOR('',#437343,10.); #132887=VECTOR('',#437344,10.); #132888=VECTOR('',#437347,10.); #132889=VECTOR('',#437348,10.); #132890=VECTOR('',#437351,10.); #132891=VECTOR('',#437352,10.); #132892=VECTOR('',#437357,10.); #132893=VECTOR('',#437360,10.); #132894=VECTOR('',#437361,10.); #132895=VECTOR('',#437366,10.); #132896=VECTOR('',#437369,10.); #132897=VECTOR('',#437370,10.); #132898=VECTOR('',#437373,10.); #132899=VECTOR('',#437374,10.); #132900=VECTOR('',#437377,10.); #132901=VECTOR('',#437378,10.); #132902=VECTOR('',#437383,10.); #132903=VECTOR('',#437386,10.); #132904=VECTOR('',#437387,10.); #132905=VECTOR('',#437398,10.); #132906=VECTOR('',#437399,10.); #132907=VECTOR('',#437400,10.); #132908=VECTOR('',#437403,10.); #132909=VECTOR('',#437406,10.); #132910=VECTOR('',#437407,10.); #132911=VECTOR('',#437408,10.); #132912=VECTOR('',#437411,10.); #132913=VECTOR('',#437414,10.); #132914=VECTOR('',#437415,10.); #132915=VECTOR('',#437416,10.); #132916=VECTOR('',#437419,10.); #132917=VECTOR('',#437420,10.); #132918=VECTOR('',#437423,10.); #132919=VECTOR('',#437424,10.); #132920=VECTOR('',#437429,10.); #132921=VECTOR('',#437432,10.); #132922=VECTOR('',#437433,10.); #132923=VECTOR('',#437438,10.); #132924=VECTOR('',#437441,10.); #132925=VECTOR('',#437442,10.); #132926=VECTOR('',#437445,10.); #132927=VECTOR('',#437446,10.); #132928=VECTOR('',#437449,10.); #132929=VECTOR('',#437450,10.); #132930=VECTOR('',#437455,10.); #132931=VECTOR('',#437458,10.); #132932=VECTOR('',#437459,10.); #132933=VECTOR('',#437470,10.); #132934=VECTOR('',#437471,10.); #132935=VECTOR('',#437472,10.); #132936=VECTOR('',#437475,10.); #132937=VECTOR('',#437478,10.); #132938=VECTOR('',#437479,10.); #132939=VECTOR('',#437480,10.); #132940=VECTOR('',#437483,10.); #132941=VECTOR('',#437486,10.); #132942=VECTOR('',#437487,10.); #132943=VECTOR('',#437488,10.); #132944=VECTOR('',#437491,10.); #132945=VECTOR('',#437492,10.); #132946=VECTOR('',#437495,10.); #132947=VECTOR('',#437496,10.); #132948=VECTOR('',#437501,10.); #132949=VECTOR('',#437504,10.); #132950=VECTOR('',#437505,10.); #132951=VECTOR('',#437510,10.); #132952=VECTOR('',#437513,10.); #132953=VECTOR('',#437514,10.); #132954=VECTOR('',#437517,10.); #132955=VECTOR('',#437518,10.); #132956=VECTOR('',#437521,10.); #132957=VECTOR('',#437522,10.); #132958=VECTOR('',#437527,10.); #132959=VECTOR('',#437530,10.); #132960=VECTOR('',#437531,10.); #132961=VECTOR('',#437586,10.); #132962=VECTOR('',#437587,10.); #132963=VECTOR('',#437606,10.); #132964=VECTOR('',#437607,10.); #132965=VECTOR('',#437610,10.); #132966=VECTOR('',#437611,10.); #132967=VECTOR('',#437622,10.); #132968=VECTOR('',#437623,10.); #132969=VECTOR('',#437626,10.); #132970=VECTOR('',#437627,10.); #132971=VECTOR('',#437630,10.); #132972=VECTOR('',#437631,10.); #132973=VECTOR('',#437634,10.); #132974=VECTOR('',#437635,10.); #132975=VECTOR('',#437638,10.); #132976=VECTOR('',#437639,10.); #132977=VECTOR('',#437642,10.); #132978=VECTOR('',#437643,10.); #132979=VECTOR('',#437646,10.); #132980=VECTOR('',#437647,10.); #132981=VECTOR('',#437650,10.); #132982=VECTOR('',#437651,10.); #132983=VECTOR('',#437654,10.); #132984=VECTOR('',#437655,10.); #132985=VECTOR('',#437710,10.); #132986=VECTOR('',#437711,10.); #132987=VECTOR('',#437730,10.); #132988=VECTOR('',#437731,10.); #132989=VECTOR('',#437734,10.); #132990=VECTOR('',#437735,10.); #132991=VECTOR('',#437746,10.); #132992=VECTOR('',#437747,10.); #132993=VECTOR('',#437750,10.); #132994=VECTOR('',#437751,10.); #132995=VECTOR('',#437754,10.); #132996=VECTOR('',#437755,10.); #132997=VECTOR('',#437758,10.); #132998=VECTOR('',#437759,10.); #132999=VECTOR('',#437762,10.); #133000=VECTOR('',#437763,10.); #133001=VECTOR('',#437766,10.); #133002=VECTOR('',#437767,10.); #133003=VECTOR('',#437770,10.); #133004=VECTOR('',#437771,10.); #133005=VECTOR('',#437774,10.); #133006=VECTOR('',#437775,10.); #133007=VECTOR('',#437778,10.); #133008=VECTOR('',#437779,10.); #133009=VECTOR('',#437794,10.); #133010=VECTOR('',#437795,10.); #133011=VECTOR('',#437796,10.); #133012=VECTOR('',#437797,10.); #133013=VECTOR('',#437800,10.); #133014=VECTOR('',#437801,10.); #133015=VECTOR('',#437802,10.); #133016=VECTOR('',#437805,10.); #133017=VECTOR('',#437806,10.); #133018=VECTOR('',#437807,10.); #133019=VECTOR('',#437810,10.); #133020=VECTOR('',#437811,10.); #133021=CIRCLE('',#326592,0.325); #133022=CIRCLE('',#326593,0.325); #133023=CIRCLE('',#326595,0.325); #133024=CIRCLE('',#326596,0.325); #133025=CIRCLE('',#326598,0.600000000000001); #133026=CIRCLE('',#326599,0.600000000000001); #133027=CIRCLE('',#326601,0.175000000000001); #133028=CIRCLE('',#326602,0.175000000000001); #133029=CIRCLE('',#326604,0.175000000000001); #133030=CIRCLE('',#326605,0.175000000000001); #133031=CIRCLE('',#326607,0.175000000000001); #133032=CIRCLE('',#326608,0.175000000000001); #133033=CIRCLE('',#326610,0.175000000000001); #133034=CIRCLE('',#326611,0.175000000000001); #133035=CIRCLE('',#326613,0.175000000000001); #133036=CIRCLE('',#326614,0.175000000000001); #133037=CIRCLE('',#326616,0.175000000000001); #133038=CIRCLE('',#326617,0.175000000000001); #133039=CIRCLE('',#326619,0.175000000000001); #133040=CIRCLE('',#326620,0.175000000000001); #133041=CIRCLE('',#326622,0.175000000000001); #133042=CIRCLE('',#326623,0.175000000000001); #133043=CIRCLE('',#326625,0.175000000000001); #133044=CIRCLE('',#326626,0.175000000000001); #133045=CIRCLE('',#326628,0.175000000000001); #133046=CIRCLE('',#326629,0.175000000000001); #133047=CIRCLE('',#326631,0.175000000000001); #133048=CIRCLE('',#326632,0.175000000000001); #133049=CIRCLE('',#326634,0.175000000000001); #133050=CIRCLE('',#326635,0.175000000000001); #133051=CIRCLE('',#326637,0.175000000000001); #133052=CIRCLE('',#326638,0.175000000000001); #133053=CIRCLE('',#326640,0.175000000000001); #133054=CIRCLE('',#326641,0.175000000000001); #133055=CIRCLE('',#326643,0.175000000000001); #133056=CIRCLE('',#326644,0.175000000000001); #133057=CIRCLE('',#326646,0.5); #133058=CIRCLE('',#326647,0.5); #133059=CIRCLE('',#326649,0.175000000000001); #133060=CIRCLE('',#326650,0.175000000000001); #133061=CIRCLE('',#326652,0.175000000000001); #133062=CIRCLE('',#326653,0.175000000000001); #133063=CIRCLE('',#326655,0.175000000000001); #133064=CIRCLE('',#326656,0.175000000000001); #133065=CIRCLE('',#326658,0.175000000000001); #133066=CIRCLE('',#326659,0.175000000000001); #133067=CIRCLE('',#326661,0.175000000000001); #133068=CIRCLE('',#326662,0.175000000000001); #133069=CIRCLE('',#326664,0.175000000000001); #133070=CIRCLE('',#326665,0.175000000000001); #133071=CIRCLE('',#326667,0.175000000000001); #133072=CIRCLE('',#326668,0.175000000000001); #133073=CIRCLE('',#326670,0.175000000000001); #133074=CIRCLE('',#326671,0.175000000000001); #133075=CIRCLE('',#326673,0.175000000000001); #133076=CIRCLE('',#326674,0.175000000000001); #133077=CIRCLE('',#326676,0.175000000000001); #133078=CIRCLE('',#326677,0.175000000000001); #133079=CIRCLE('',#326679,0.175000000000001); #133080=CIRCLE('',#326680,0.175000000000001); #133081=CIRCLE('',#326682,0.175000000000001); #133082=CIRCLE('',#326683,0.175000000000001); #133083=CIRCLE('',#326685,0.175000000000001); #133084=CIRCLE('',#326686,0.175000000000001); #133085=CIRCLE('',#326688,0.175000000000001); #133086=CIRCLE('',#326689,0.175000000000001); #133087=CIRCLE('',#326691,0.175000000000001); #133088=CIRCLE('',#326692,0.175000000000001); #133089=CIRCLE('',#326694,0.550000000000002); #133090=CIRCLE('',#326695,0.550000000000002); #133091=CIRCLE('',#326697,0.175000000000001); #133092=CIRCLE('',#326698,0.175000000000001); #133093=CIRCLE('',#326700,0.175000000000001); #133094=CIRCLE('',#326701,0.175000000000001); #133095=CIRCLE('',#326703,0.175000000000001); #133096=CIRCLE('',#326704,0.175000000000001); #133097=CIRCLE('',#326706,0.175000000000001); #133098=CIRCLE('',#326707,0.175000000000001); #133099=CIRCLE('',#326709,0.175000000000001); #133100=CIRCLE('',#326710,0.175000000000001); #133101=CIRCLE('',#326712,0.175000000000001); #133102=CIRCLE('',#326713,0.175000000000001); #133103=CIRCLE('',#326715,0.175000000000001); #133104=CIRCLE('',#326716,0.175000000000001); #133105=CIRCLE('',#326718,0.175000000000001); #133106=CIRCLE('',#326719,0.175000000000001); #133107=CIRCLE('',#326721,0.175000000000001); #133108=CIRCLE('',#326722,0.175000000000001); #133109=CIRCLE('',#326724,0.175000000000001); #133110=CIRCLE('',#326725,0.175000000000001); #133111=CIRCLE('',#326727,0.175000000000001); #133112=CIRCLE('',#326728,0.175000000000001); #133113=CIRCLE('',#326730,0.175000000000001); #133114=CIRCLE('',#326731,0.175000000000001); #133115=CIRCLE('',#326733,0.175000000000001); #133116=CIRCLE('',#326734,0.175000000000001); #133117=CIRCLE('',#326736,0.175000000000001); #133118=CIRCLE('',#326737,0.175000000000001); #133119=CIRCLE('',#326739,0.175000000000001); #133120=CIRCLE('',#326740,0.175000000000001); #133121=CIRCLE('',#326742,0.5); #133122=CIRCLE('',#326743,0.5); #133123=CIRCLE('',#326745,0.175000000000001); #133124=CIRCLE('',#326746,0.175000000000001); #133125=CIRCLE('',#326748,0.175000000000001); #133126=CIRCLE('',#326749,0.175000000000001); #133127=CIRCLE('',#326751,0.175000000000001); #133128=CIRCLE('',#326752,0.175000000000001); #133129=CIRCLE('',#326754,0.175000000000001); #133130=CIRCLE('',#326755,0.175000000000001); #133131=CIRCLE('',#326757,0.175000000000001); #133132=CIRCLE('',#326758,0.175000000000001); #133133=CIRCLE('',#326760,0.175000000000001); #133134=CIRCLE('',#326761,0.175000000000001); #133135=CIRCLE('',#326763,0.175000000000001); #133136=CIRCLE('',#326764,0.175000000000001); #133137=CIRCLE('',#326766,0.175000000000001); #133138=CIRCLE('',#326767,0.175000000000001); #133139=CIRCLE('',#326769,0.175000000000001); #133140=CIRCLE('',#326770,0.175000000000001); #133141=CIRCLE('',#326772,0.175000000000001); #133142=CIRCLE('',#326773,0.175000000000001); #133143=CIRCLE('',#326775,0.175000000000001); #133144=CIRCLE('',#326776,0.175000000000001); #133145=CIRCLE('',#326778,0.175000000000001); #133146=CIRCLE('',#326779,0.175000000000001); #133147=CIRCLE('',#326781,0.175000000000001); #133148=CIRCLE('',#326782,0.175000000000001); #133149=CIRCLE('',#326784,0.175000000000001); #133150=CIRCLE('',#326785,0.175000000000001); #133151=CIRCLE('',#326787,0.175000000000001); #133152=CIRCLE('',#326788,0.175000000000001); #133153=CIRCLE('',#326790,0.600000000000001); #133154=CIRCLE('',#326791,0.600000000000001); #133155=CIRCLE('',#326793,0.175000000000001); #133156=CIRCLE('',#326794,0.175000000000001); #133157=CIRCLE('',#326796,0.175000000000001); #133158=CIRCLE('',#326797,0.175000000000001); #133159=CIRCLE('',#326799,0.175000000000001); #133160=CIRCLE('',#326800,0.175000000000001); #133161=CIRCLE('',#326802,0.175000000000001); #133162=CIRCLE('',#326803,0.175000000000001); #133163=CIRCLE('',#326805,0.175000000000001); #133164=CIRCLE('',#326806,0.175000000000001); #133165=CIRCLE('',#326808,0.175); #133166=CIRCLE('',#326809,0.175); #133167=CIRCLE('',#326811,0.175000000000001); #133168=CIRCLE('',#326812,0.175000000000001); #133169=CIRCLE('',#326814,0.175000000000001); #133170=CIRCLE('',#326815,0.175000000000001); #133171=CIRCLE('',#326817,0.175000000000001); #133172=CIRCLE('',#326818,0.175000000000001); #133173=CIRCLE('',#326820,0.175000000000001); #133174=CIRCLE('',#326821,0.175000000000001); #133175=CIRCLE('',#326823,0.175000000000001); #133176=CIRCLE('',#326824,0.175000000000001); #133177=CIRCLE('',#326826,0.175000000000001); #133178=CIRCLE('',#326827,0.175000000000001); #133179=CIRCLE('',#326829,0.175000000000001); #133180=CIRCLE('',#326830,0.175000000000001); #133181=CIRCLE('',#326832,0.175); #133182=CIRCLE('',#326833,0.175); #133183=CIRCLE('',#326835,0.175000000000001); #133184=CIRCLE('',#326836,0.175000000000001); #133185=CIRCLE('',#326838,0.175000000000001); #133186=CIRCLE('',#326839,0.175000000000001); #133187=CIRCLE('',#326841,0.175000000000001); #133188=CIRCLE('',#326842,0.175000000000001); #133189=CIRCLE('',#326844,0.175000000000001); #133190=CIRCLE('',#326845,0.175000000000001); #133191=CIRCLE('',#326847,0.175000000000001); #133192=CIRCLE('',#326848,0.175000000000001); #133193=CIRCLE('',#326850,0.175000000000001); #133194=CIRCLE('',#326851,0.175000000000001); #133195=CIRCLE('',#326853,0.175000000000001); #133196=CIRCLE('',#326854,0.175000000000001); #133197=CIRCLE('',#326856,0.175000000000001); #133198=CIRCLE('',#326857,0.175000000000001); #133199=CIRCLE('',#326859,0.175000000000001); #133200=CIRCLE('',#326860,0.175000000000001); #133201=CIRCLE('',#326862,0.175000000000001); #133202=CIRCLE('',#326863,0.175000000000001); #133203=CIRCLE('',#326865,0.175000000000001); #133204=CIRCLE('',#326866,0.175000000000001); #133205=CIRCLE('',#326868,0.175000000000001); #133206=CIRCLE('',#326869,0.175000000000001); #133207=CIRCLE('',#326871,0.175000000000001); #133208=CIRCLE('',#326872,0.175000000000001); #133209=CIRCLE('',#326874,0.175000000000001); #133210=CIRCLE('',#326875,0.175000000000001); #133211=CIRCLE('',#326877,0.175000000000001); #133212=CIRCLE('',#326878,0.175000000000001); #133213=CIRCLE('',#326880,0.175000000000001); #133214=CIRCLE('',#326881,0.175000000000001); #133215=CIRCLE('',#326883,0.175000000000001); #133216=CIRCLE('',#326884,0.175000000000001); #133217=CIRCLE('',#326886,0.499999999999998); #133218=CIRCLE('',#326887,0.499999999999998); #133219=CIRCLE('',#326889,0.175000000000001); #133220=CIRCLE('',#326890,0.175000000000001); #133221=CIRCLE('',#326892,0.175000000000001); #133222=CIRCLE('',#326893,0.175000000000001); #133223=CIRCLE('',#326895,0.175000000000001); #133224=CIRCLE('',#326896,0.175000000000001); #133225=CIRCLE('',#326898,0.175000000000001); #133226=CIRCLE('',#326899,0.175000000000001); #133227=CIRCLE('',#326901,0.175000000000001); #133228=CIRCLE('',#326902,0.175000000000001); #133229=CIRCLE('',#326904,0.175000000000001); #133230=CIRCLE('',#326905,0.175000000000001); #133231=CIRCLE('',#326907,0.175000000000001); #133232=CIRCLE('',#326908,0.175000000000001); #133233=CIRCLE('',#326910,0.175000000000001); #133234=CIRCLE('',#326911,0.175000000000001); #133235=CIRCLE('',#326913,0.175000000000001); #133236=CIRCLE('',#326914,0.175000000000001); #133237=CIRCLE('',#326916,0.175000000000001); #133238=CIRCLE('',#326917,0.175000000000001); #133239=CIRCLE('',#326919,0.175000000000001); #133240=CIRCLE('',#326920,0.175000000000001); #133241=CIRCLE('',#326922,0.175000000000001); #133242=CIRCLE('',#326923,0.175000000000001); #133243=CIRCLE('',#326925,0.175000000000001); #133244=CIRCLE('',#326926,0.175000000000001); #133245=CIRCLE('',#326928,0.175000000000001); #133246=CIRCLE('',#326929,0.175000000000001); #133247=CIRCLE('',#326931,0.175000000000001); #133248=CIRCLE('',#326932,0.175000000000001); #133249=CIRCLE('',#326934,0.499999999999998); #133250=CIRCLE('',#326935,0.499999999999998); #133251=CIRCLE('',#326937,0.175000000000001); #133252=CIRCLE('',#326938,0.175000000000001); #133253=CIRCLE('',#326940,0.175000000000001); #133254=CIRCLE('',#326941,0.175000000000001); #133255=CIRCLE('',#326943,0.175000000000001); #133256=CIRCLE('',#326944,0.175000000000001); #133257=CIRCLE('',#326946,0.175000000000001); #133258=CIRCLE('',#326947,0.175000000000001); #133259=CIRCLE('',#326949,0.175000000000001); #133260=CIRCLE('',#326950,0.175000000000001); #133261=CIRCLE('',#326952,0.175000000000001); #133262=CIRCLE('',#326953,0.175000000000001); #133263=CIRCLE('',#326955,0.175000000000001); #133264=CIRCLE('',#326956,0.175000000000001); #133265=CIRCLE('',#326958,0.175000000000001); #133266=CIRCLE('',#326959,0.175000000000001); #133267=CIRCLE('',#326961,0.175000000000001); #133268=CIRCLE('',#326962,0.175000000000001); #133269=CIRCLE('',#326964,0.175000000000001); #133270=CIRCLE('',#326965,0.175000000000001); #133271=CIRCLE('',#326967,0.175000000000001); #133272=CIRCLE('',#326968,0.175000000000001); #133273=CIRCLE('',#326970,0.175000000000001); #133274=CIRCLE('',#326971,0.175000000000001); #133275=CIRCLE('',#326973,0.175000000000001); #133276=CIRCLE('',#326974,0.175000000000001); #133277=CIRCLE('',#326976,0.175000000000001); #133278=CIRCLE('',#326977,0.175000000000001); #133279=CIRCLE('',#326979,0.175000000000001); #133280=CIRCLE('',#326980,0.175000000000001); #133281=CIRCLE('',#326982,0.550000000000002); #133282=CIRCLE('',#326983,0.550000000000002); #133283=CIRCLE('',#326985,0.150000000000001); #133284=CIRCLE('',#326986,0.150000000000001); #133285=CIRCLE('',#326988,0.175); #133286=CIRCLE('',#326989,0.175); #133287=CIRCLE('',#326991,0.150000000000001); #133288=CIRCLE('',#326992,0.150000000000001); #133289=CIRCLE('',#326994,0.175000000000001); #133290=CIRCLE('',#326995,0.175000000000001); #133291=CIRCLE('',#326997,0.175000000000001); #133292=CIRCLE('',#326998,0.175000000000001); #133293=CIRCLE('',#327000,0.175); #133294=CIRCLE('',#327001,0.175); #133295=CIRCLE('',#327003,0.150000000000001); #133296=CIRCLE('',#327004,0.150000000000001); #133297=CIRCLE('',#327006,0.175000000000001); #133298=CIRCLE('',#327007,0.175000000000001); #133299=CIRCLE('',#327009,0.175000000000001); #133300=CIRCLE('',#327010,0.175000000000001); #133301=CIRCLE('',#327012,0.175); #133302=CIRCLE('',#327013,0.175); #133303=CIRCLE('',#327015,0.175000000000001); #133304=CIRCLE('',#327016,0.175000000000001); #133305=CIRCLE('',#327018,0.175000000000001); #133306=CIRCLE('',#327019,0.175000000000001); #133307=CIRCLE('',#327021,0.175000000000001); #133308=CIRCLE('',#327022,0.175000000000001); #133309=CIRCLE('',#327024,0.175); #133310=CIRCLE('',#327025,0.175); #133311=CIRCLE('',#327027,0.175000000000001); #133312=CIRCLE('',#327028,0.175000000000001); #133313=CIRCLE('',#327030,0.175000000000001); #133314=CIRCLE('',#327031,0.175000000000001); #133315=CIRCLE('',#327033,0.175000000000001); #133316=CIRCLE('',#327034,0.175000000000001); #133317=CIRCLE('',#327036,0.175); #133318=CIRCLE('',#327037,0.175); #133319=CIRCLE('',#327039,0.175000000000001); #133320=CIRCLE('',#327040,0.175000000000001); #133321=CIRCLE('',#327042,0.175000000000001); #133322=CIRCLE('',#327043,0.175000000000001); #133323=CIRCLE('',#327045,0.175000000000001); #133324=CIRCLE('',#327046,0.175000000000001); #133325=CIRCLE('',#327048,0.175000000000001); #133326=CIRCLE('',#327049,0.175000000000001); #133327=CIRCLE('',#327051,0.175000000000001); #133328=CIRCLE('',#327052,0.175000000000001); #133329=CIRCLE('',#327054,0.175000000000001); #133330=CIRCLE('',#327055,0.175000000000001); #133331=CIRCLE('',#327057,0.175000000000001); #133332=CIRCLE('',#327058,0.175000000000001); #133333=CIRCLE('',#327060,0.175); #133334=CIRCLE('',#327061,0.175); #133335=CIRCLE('',#327063,0.175000000000001); #133336=CIRCLE('',#327064,0.175000000000001); #133337=CIRCLE('',#327066,0.175000000000001); #133338=CIRCLE('',#327067,0.175000000000001); #133339=CIRCLE('',#327069,0.175000000000001); #133340=CIRCLE('',#327070,0.175000000000001); #133341=CIRCLE('',#327072,0.175); #133342=CIRCLE('',#327073,0.175); #133343=CIRCLE('',#327075,0.175000000000001); #133344=CIRCLE('',#327076,0.175000000000001); #133345=CIRCLE('',#327078,0.499999999999998); #133346=CIRCLE('',#327079,0.499999999999998); #133347=CIRCLE('',#327082,0.35); #133348=CIRCLE('',#327083,0.35); #133349=CIRCLE('',#327086,0.35); #133350=CIRCLE('',#327087,0.35); #133351=CIRCLE('',#327089,0.175000000000001); #133352=CIRCLE('',#327090,0.175000000000001); #133353=CIRCLE('',#327093,0.6); #133354=CIRCLE('',#327094,0.6); #133355=CIRCLE('',#327097,0.6); #133356=CIRCLE('',#327098,0.6); #133357=CIRCLE('',#327100,0.175000000000001); #133358=CIRCLE('',#327101,0.175000000000001); #133359=CIRCLE('',#327103,0.150000000000001); #133360=CIRCLE('',#327104,0.150000000000001); #133361=CIRCLE('',#327106,0.175000000000001); #133362=CIRCLE('',#327107,0.175000000000001); #133363=CIRCLE('',#327110,0.6); #133364=CIRCLE('',#327111,0.6); #133365=CIRCLE('',#327114,0.6); #133366=CIRCLE('',#327115,0.6); #133367=CIRCLE('',#327117,0.175000000000001); #133368=CIRCLE('',#327118,0.175000000000001); #133369=CIRCLE('',#327120,0.150000000000001); #133370=CIRCLE('',#327121,0.150000000000001); #133371=CIRCLE('',#327123,0.175000000000001); #133372=CIRCLE('',#327124,0.175000000000001); #133373=CIRCLE('',#327126,0.150000000000001); #133374=CIRCLE('',#327127,0.150000000000001); #133375=CIRCLE('',#327129,0.175000000000001); #133376=CIRCLE('',#327130,0.175000000000001); #133377=CIRCLE('',#327132,0.150000000000001); #133378=CIRCLE('',#327133,0.150000000000001); #133379=CIRCLE('',#327135,0.175000000000001); #133380=CIRCLE('',#327136,0.175000000000001); #133381=CIRCLE('',#327138,0.150000000000001); #133382=CIRCLE('',#327139,0.150000000000001); #133383=CIRCLE('',#327141,0.175000000000001); #133384=CIRCLE('',#327142,0.175000000000001); #133385=CIRCLE('',#327144,0.175000000000001); #133386=CIRCLE('',#327145,0.175000000000001); #133387=CIRCLE('',#327148,0.35); #133388=CIRCLE('',#327149,0.35); #133389=CIRCLE('',#327152,0.35); #133390=CIRCLE('',#327153,0.35); #133391=CIRCLE('',#327155,0.175000000000001); #133392=CIRCLE('',#327156,0.175000000000001); #133393=CIRCLE('',#327159,0.35); #133394=CIRCLE('',#327160,0.35); #133395=CIRCLE('',#327163,0.35); #133396=CIRCLE('',#327164,0.35); #133397=CIRCLE('',#327166,0.175000000000001); #133398=CIRCLE('',#327167,0.175000000000001); #133399=CIRCLE('',#327170,0.35); #133400=CIRCLE('',#327171,0.35); #133401=CIRCLE('',#327174,0.35); #133402=CIRCLE('',#327175,0.35); #133403=CIRCLE('',#327177,0.175000000000001); #133404=CIRCLE('',#327178,0.175000000000001); #133405=CIRCLE('',#327180,0.175000000000001); #133406=CIRCLE('',#327181,0.175000000000001); #133407=CIRCLE('',#327183,0.175000000000001); #133408=CIRCLE('',#327184,0.175000000000001); #133409=CIRCLE('',#327186,0.175000000000001); #133410=CIRCLE('',#327187,0.175000000000001); #133411=CIRCLE('',#327189,0.600000000000001); #133412=CIRCLE('',#327190,0.600000000000001); #133413=CIRCLE('',#327192,0.175000000000001); #133414=CIRCLE('',#327193,0.175000000000001); #133415=CIRCLE('',#327195,0.175000000000001); #133416=CIRCLE('',#327196,0.175000000000001); #133417=CIRCLE('',#327198,0.175000000000001); #133418=CIRCLE('',#327199,0.175000000000001); #133419=CIRCLE('',#327201,0.175000000000001); #133420=CIRCLE('',#327202,0.175000000000001); #133421=CIRCLE('',#327204,0.175000000000001); #133422=CIRCLE('',#327205,0.175000000000001); #133423=CIRCLE('',#327207,0.175000000000001); #133424=CIRCLE('',#327208,0.175000000000001); #133425=CIRCLE('',#327210,0.175000000000001); #133426=CIRCLE('',#327211,0.175000000000001); #133427=CIRCLE('',#327213,0.175000000000001); #133428=CIRCLE('',#327214,0.175000000000001); #133429=CIRCLE('',#327216,0.175000000000001); #133430=CIRCLE('',#327217,0.175000000000001); #133431=CIRCLE('',#327219,0.175000000000001); #133432=CIRCLE('',#327220,0.175000000000001); #133433=CIRCLE('',#327222,0.175000000000001); #133434=CIRCLE('',#327223,0.175000000000001); #133435=CIRCLE('',#327225,0.175000000000001); #133436=CIRCLE('',#327226,0.175000000000001); #133437=CIRCLE('',#327228,0.175000000000001); #133438=CIRCLE('',#327229,0.175000000000001); #133439=CIRCLE('',#327231,0.175000000000001); #133440=CIRCLE('',#327232,0.175000000000001); #133441=CIRCLE('',#327234,0.175000000000001); #133442=CIRCLE('',#327235,0.175000000000001); #133443=CIRCLE('',#327237,0.499999999999998); #133444=CIRCLE('',#327238,0.499999999999998); #133445=CIRCLE('',#327240,0.175000000000001); #133446=CIRCLE('',#327241,0.175000000000001); #133447=CIRCLE('',#327243,0.175000000000001); #133448=CIRCLE('',#327244,0.175000000000001); #133449=CIRCLE('',#327246,0.175000000000001); #133450=CIRCLE('',#327247,0.175000000000001); #133451=CIRCLE('',#327249,0.175000000000001); #133452=CIRCLE('',#327250,0.175000000000001); #133453=CIRCLE('',#327252,0.175000000000001); #133454=CIRCLE('',#327253,0.175000000000001); #133455=CIRCLE('',#327255,0.175000000000001); #133456=CIRCLE('',#327256,0.175000000000001); #133457=CIRCLE('',#327258,0.175000000000001); #133458=CIRCLE('',#327259,0.175000000000001); #133459=CIRCLE('',#327261,0.175000000000001); #133460=CIRCLE('',#327262,0.175000000000001); #133461=CIRCLE('',#327264,0.175000000000001); #133462=CIRCLE('',#327265,0.175000000000001); #133463=CIRCLE('',#327267,0.175000000000001); #133464=CIRCLE('',#327268,0.175000000000001); #133465=CIRCLE('',#327270,0.175000000000001); #133466=CIRCLE('',#327271,0.175000000000001); #133467=CIRCLE('',#327273,0.175000000000001); #133468=CIRCLE('',#327274,0.175000000000001); #133469=CIRCLE('',#327276,0.175000000000001); #133470=CIRCLE('',#327277,0.175000000000001); #133471=CIRCLE('',#327279,0.175000000000001); #133472=CIRCLE('',#327280,0.175000000000001); #133473=CIRCLE('',#327282,0.175000000000001); #133474=CIRCLE('',#327283,0.175000000000001); #133475=CIRCLE('',#327285,0.549999999999997); #133476=CIRCLE('',#327286,0.549999999999997); #133477=CIRCLE('',#327288,0.175000000000001); #133478=CIRCLE('',#327289,0.175000000000001); #133479=CIRCLE('',#327291,0.175000000000001); #133480=CIRCLE('',#327292,0.175000000000001); #133481=CIRCLE('',#327294,0.175000000000001); #133482=CIRCLE('',#327295,0.175000000000001); #133483=CIRCLE('',#327297,0.175000000000001); #133484=CIRCLE('',#327298,0.175000000000001); #133485=CIRCLE('',#327300,0.175000000000001); #133486=CIRCLE('',#327301,0.175000000000001); #133487=CIRCLE('',#327303,0.175000000000001); #133488=CIRCLE('',#327304,0.175000000000001); #133489=CIRCLE('',#327306,0.175000000000001); #133490=CIRCLE('',#327307,0.175000000000001); #133491=CIRCLE('',#327309,0.175000000000001); #133492=CIRCLE('',#327310,0.175000000000001); #133493=CIRCLE('',#327312,0.175000000000001); #133494=CIRCLE('',#327313,0.175000000000001); #133495=CIRCLE('',#327315,0.175000000000001); #133496=CIRCLE('',#327316,0.175000000000001); #133497=CIRCLE('',#327318,0.175000000000001); #133498=CIRCLE('',#327319,0.175000000000001); #133499=CIRCLE('',#327321,0.175000000000001); #133500=CIRCLE('',#327322,0.175000000000001); #133501=CIRCLE('',#327324,0.175000000000001); #133502=CIRCLE('',#327325,0.175000000000001); #133503=CIRCLE('',#327327,0.175000000000001); #133504=CIRCLE('',#327328,0.175000000000001); #133505=CIRCLE('',#327330,0.175000000000001); #133506=CIRCLE('',#327331,0.175000000000001); #133507=CIRCLE('',#327333,0.499999999999998); #133508=CIRCLE('',#327334,0.499999999999998); #133509=CIRCLE('',#327336,0.175000000000001); #133510=CIRCLE('',#327337,0.175000000000001); #133511=CIRCLE('',#327339,0.175000000000001); #133512=CIRCLE('',#327340,0.175000000000001); #133513=CIRCLE('',#327342,0.175000000000001); #133514=CIRCLE('',#327343,0.175000000000001); #133515=CIRCLE('',#327345,0.175000000000001); #133516=CIRCLE('',#327346,0.175000000000001); #133517=CIRCLE('',#327348,0.175000000000001); #133518=CIRCLE('',#327349,0.175000000000001); #133519=CIRCLE('',#327351,0.175000000000001); #133520=CIRCLE('',#327352,0.175000000000001); #133521=CIRCLE('',#327354,0.175000000000001); #133522=CIRCLE('',#327355,0.175000000000001); #133523=CIRCLE('',#327357,0.175000000000001); #133524=CIRCLE('',#327358,0.175000000000001); #133525=CIRCLE('',#327360,0.175000000000001); #133526=CIRCLE('',#327361,0.175000000000001); #133527=CIRCLE('',#327363,0.175000000000001); #133528=CIRCLE('',#327364,0.175000000000001); #133529=CIRCLE('',#327366,0.175000000000001); #133530=CIRCLE('',#327367,0.175000000000001); #133531=CIRCLE('',#327369,0.175000000000001); #133532=CIRCLE('',#327370,0.175000000000001); #133533=CIRCLE('',#327372,0.175000000000001); #133534=CIRCLE('',#327373,0.175000000000001); #133535=CIRCLE('',#327375,0.175000000000001); #133536=CIRCLE('',#327376,0.175000000000001); #133537=CIRCLE('',#327378,0.175000000000001); #133538=CIRCLE('',#327379,0.175000000000001); #133539=CIRCLE('',#327381,0.600000000000001); #133540=CIRCLE('',#327382,0.600000000000001); #133541=CIRCLE('',#327384,0.175000000000001); #133542=CIRCLE('',#327385,0.175000000000001); #133543=CIRCLE('',#327387,0.175000000000001); #133544=CIRCLE('',#327388,0.175000000000001); #133545=CIRCLE('',#327390,0.175000000000001); #133546=CIRCLE('',#327391,0.175000000000001); #133547=CIRCLE('',#327393,0.175000000000001); #133548=CIRCLE('',#327394,0.175000000000001); #133549=CIRCLE('',#327396,0.175000000000001); #133550=CIRCLE('',#327397,0.175000000000001); #133551=CIRCLE('',#327399,0.175000000000001); #133552=CIRCLE('',#327400,0.175000000000001); #133553=CIRCLE('',#327402,0.175000000000001); #133554=CIRCLE('',#327403,0.175000000000001); #133555=CIRCLE('',#327405,0.175000000000001); #133556=CIRCLE('',#327406,0.175000000000001); #133557=CIRCLE('',#327408,0.175000000000001); #133558=CIRCLE('',#327409,0.175000000000001); #133559=CIRCLE('',#327411,0.175000000000001); #133560=CIRCLE('',#327412,0.175000000000001); #133561=CIRCLE('',#327414,0.175000000000001); #133562=CIRCLE('',#327415,0.175000000000001); #133563=CIRCLE('',#327417,0.175000000000001); #133564=CIRCLE('',#327418,0.175000000000001); #133565=CIRCLE('',#327420,0.175000000000001); #133566=CIRCLE('',#327421,0.175000000000001); #133567=CIRCLE('',#327423,0.175000000000001); #133568=CIRCLE('',#327424,0.175000000000001); #133569=CIRCLE('',#327426,0.175000000000001); #133570=CIRCLE('',#327427,0.175000000000001); #133571=CIRCLE('',#327429,0.499999999999998); #133572=CIRCLE('',#327430,0.499999999999998); #133573=CIRCLE('',#327432,0.175000000000001); #133574=CIRCLE('',#327433,0.175000000000001); #133575=CIRCLE('',#327435,0.175000000000001); #133576=CIRCLE('',#327436,0.175000000000001); #133577=CIRCLE('',#327438,0.175000000000001); #133578=CIRCLE('',#327439,0.175000000000001); #133579=CIRCLE('',#327441,0.175000000000001); #133580=CIRCLE('',#327442,0.175000000000001); #133581=CIRCLE('',#327444,0.175000000000001); #133582=CIRCLE('',#327445,0.175000000000001); #133583=CIRCLE('',#327447,0.175000000000001); #133584=CIRCLE('',#327448,0.175000000000001); #133585=CIRCLE('',#327450,0.175000000000001); #133586=CIRCLE('',#327451,0.175000000000001); #133587=CIRCLE('',#327453,0.175000000000001); #133588=CIRCLE('',#327454,0.175000000000001); #133589=CIRCLE('',#327456,0.175000000000001); #133590=CIRCLE('',#327457,0.175000000000001); #133591=CIRCLE('',#327459,0.175000000000001); #133592=CIRCLE('',#327460,0.175000000000001); #133593=CIRCLE('',#327462,0.175000000000001); #133594=CIRCLE('',#327463,0.175000000000001); #133595=CIRCLE('',#327465,0.175000000000001); #133596=CIRCLE('',#327466,0.175000000000001); #133597=CIRCLE('',#327468,0.175000000000001); #133598=CIRCLE('',#327469,0.175000000000001); #133599=CIRCLE('',#327471,0.175000000000001); #133600=CIRCLE('',#327472,0.175000000000001); #133601=CIRCLE('',#327474,0.175000000000001); #133602=CIRCLE('',#327475,0.175000000000001); #133603=CIRCLE('',#327477,0.549999999999997); #133604=CIRCLE('',#327478,0.549999999999997); #133605=CIRCLE('',#327480,0.175000000000001); #133606=CIRCLE('',#327481,0.175000000000001); #133607=CIRCLE('',#327483,0.175000000000001); #133608=CIRCLE('',#327484,0.175000000000001); #133609=CIRCLE('',#327486,0.175000000000001); #133610=CIRCLE('',#327487,0.175000000000001); #133611=CIRCLE('',#327489,0.175000000000001); #133612=CIRCLE('',#327490,0.175000000000001); #133613=CIRCLE('',#327492,0.175000000000001); #133614=CIRCLE('',#327493,0.175000000000001); #133615=CIRCLE('',#327495,0.175000000000001); #133616=CIRCLE('',#327496,0.175000000000001); #133617=CIRCLE('',#327498,0.175000000000001); #133618=CIRCLE('',#327499,0.175000000000001); #133619=CIRCLE('',#327501,0.175000000000001); #133620=CIRCLE('',#327502,0.175000000000001); #133621=CIRCLE('',#327504,0.175000000000001); #133622=CIRCLE('',#327505,0.175000000000001); #133623=CIRCLE('',#327507,0.175000000000001); #133624=CIRCLE('',#327508,0.175000000000001); #133625=CIRCLE('',#327510,0.175000000000001); #133626=CIRCLE('',#327511,0.175000000000001); #133627=CIRCLE('',#327513,0.175000000000001); #133628=CIRCLE('',#327514,0.175000000000001); #133629=CIRCLE('',#327516,0.175000000000001); #133630=CIRCLE('',#327517,0.175000000000001); #133631=CIRCLE('',#327519,0.175000000000001); #133632=CIRCLE('',#327520,0.175000000000001); #133633=CIRCLE('',#327522,0.175000000000001); #133634=CIRCLE('',#327523,0.175000000000001); #133635=CIRCLE('',#327525,0.499999999999998); #133636=CIRCLE('',#327526,0.499999999999998); #133637=CIRCLE('',#327528,0.175000000000001); #133638=CIRCLE('',#327529,0.175000000000001); #133639=CIRCLE('',#327531,0.175000000000001); #133640=CIRCLE('',#327532,0.175000000000001); #133641=CIRCLE('',#327534,0.175000000000001); #133642=CIRCLE('',#327535,0.175000000000001); #133643=CIRCLE('',#327537,0.175000000000001); #133644=CIRCLE('',#327538,0.175000000000001); #133645=CIRCLE('',#327540,0.175000000000001); #133646=CIRCLE('',#327541,0.175000000000001); #133647=CIRCLE('',#327543,0.175000000000001); #133648=CIRCLE('',#327544,0.175000000000001); #133649=CIRCLE('',#327546,0.175000000000001); #133650=CIRCLE('',#327547,0.175000000000001); #133651=CIRCLE('',#327549,0.175000000000001); #133652=CIRCLE('',#327550,0.175000000000001); #133653=CIRCLE('',#327552,0.175000000000001); #133654=CIRCLE('',#327553,0.175000000000001); #133655=CIRCLE('',#327555,0.175000000000001); #133656=CIRCLE('',#327556,0.175000000000001); #133657=CIRCLE('',#327558,0.175000000000001); #133658=CIRCLE('',#327559,0.175000000000001); #133659=CIRCLE('',#327561,0.175000000000001); #133660=CIRCLE('',#327562,0.175000000000001); #133661=CIRCLE('',#327564,0.175000000000001); #133662=CIRCLE('',#327565,0.175000000000001); #133663=CIRCLE('',#327567,0.175000000000001); #133664=CIRCLE('',#327568,0.175000000000001); #133665=CIRCLE('',#327570,0.175000000000001); #133666=CIRCLE('',#327571,0.175000000000001); #133667=CIRCLE('',#327573,34.); #133668=CIRCLE('',#327574,34.); #133669=CIRCLE('',#327578,0.14); #133670=CIRCLE('',#327579,0.14); #133671=CIRCLE('',#327581,0.175000000000001); #133672=CIRCLE('',#327582,0.175000000000001); #133673=CIRCLE('',#327586,0.14); #133674=CIRCLE('',#327587,0.14); #133675=CIRCLE('',#327589,0.175000000000001); #133676=CIRCLE('',#327590,0.175000000000001); #133677=CIRCLE('',#327594,0.14); #133678=CIRCLE('',#327595,0.14); #133679=CIRCLE('',#327597,0.175000000000001); #133680=CIRCLE('',#327598,0.175000000000001); #133681=CIRCLE('',#327602,0.139999999999998); #133682=CIRCLE('',#327603,0.139999999999998); #133683=CIRCLE('',#327605,0.175000000000001); #133684=CIRCLE('',#327606,0.175000000000001); #133685=CIRCLE('',#327610,0.139999999999998); #133686=CIRCLE('',#327611,0.139999999999998); #133687=CIRCLE('',#327613,0.175000000000001); #133688=CIRCLE('',#327614,0.175000000000001); #133689=CIRCLE('',#327618,0.14); #133690=CIRCLE('',#327619,0.14); #133691=CIRCLE('',#327621,0.175000000000001); #133692=CIRCLE('',#327622,0.175000000000001); #133693=CIRCLE('',#327626,0.140000000000002); #133694=CIRCLE('',#327627,0.140000000000002); #133695=CIRCLE('',#327629,0.175000000000001); #133696=CIRCLE('',#327630,0.175000000000001); #133697=CIRCLE('',#327634,0.139999999999998); #133698=CIRCLE('',#327635,0.139999999999998); #133699=CIRCLE('',#327637,0.175000000000001); #133700=CIRCLE('',#327638,0.175000000000001); #133701=CIRCLE('',#327642,0.139999999999998); #133702=CIRCLE('',#327643,0.139999999999998); #133703=CIRCLE('',#327645,0.175000000000001); #133704=CIRCLE('',#327646,0.175000000000001); #133705=CIRCLE('',#327650,0.14); #133706=CIRCLE('',#327651,0.14); #133707=CIRCLE('',#327653,0.175000000000001); #133708=CIRCLE('',#327654,0.175000000000001); #133709=CIRCLE('',#327658,0.139999999999998); #133710=CIRCLE('',#327659,0.139999999999998); #133711=CIRCLE('',#327661,0.175000000000001); #133712=CIRCLE('',#327662,0.175000000000001); #133713=CIRCLE('',#327666,0.139999999999998); #133714=CIRCLE('',#327667,0.139999999999998); #133715=CIRCLE('',#327669,0.175000000000001); #133716=CIRCLE('',#327670,0.175000000000001); #133717=CIRCLE('',#327674,0.140000000000002); #133718=CIRCLE('',#327675,0.140000000000002); #133719=CIRCLE('',#327677,0.175000000000001); #133720=CIRCLE('',#327678,0.175000000000001); #133721=CIRCLE('',#327682,0.14); #133722=CIRCLE('',#327683,0.14); #133723=CIRCLE('',#327685,0.175000000000001); #133724=CIRCLE('',#327686,0.175000000000001); #133725=CIRCLE('',#327690,0.139999999999998); #133726=CIRCLE('',#327691,0.139999999999998); #133727=CIRCLE('',#327693,0.175000000000001); #133728=CIRCLE('',#327694,0.175000000000001); #133729=CIRCLE('',#327698,0.465); #133730=CIRCLE('',#327699,0.465); #133731=CIRCLE('',#327701,0.499999999999998); #133732=CIRCLE('',#327702,0.499999999999998); #133733=CIRCLE('',#327706,0.139999999999998); #133734=CIRCLE('',#327707,0.139999999999998); #133735=CIRCLE('',#327709,0.175000000000001); #133736=CIRCLE('',#327710,0.175000000000001); #133737=CIRCLE('',#327714,0.14); #133738=CIRCLE('',#327715,0.14); #133739=CIRCLE('',#327717,0.175000000000001); #133740=CIRCLE('',#327718,0.175000000000001); #133741=CIRCLE('',#327722,0.139999999999998); #133742=CIRCLE('',#327723,0.139999999999998); #133743=CIRCLE('',#327725,0.175000000000001); #133744=CIRCLE('',#327726,0.175000000000001); #133745=CIRCLE('',#327730,0.139999999999998); #133746=CIRCLE('',#327731,0.139999999999998); #133747=CIRCLE('',#327733,0.175000000000001); #133748=CIRCLE('',#327734,0.175000000000001); #133749=CIRCLE('',#327738,0.139999999999998); #133750=CIRCLE('',#327739,0.139999999999998); #133751=CIRCLE('',#327741,0.175000000000001); #133752=CIRCLE('',#327742,0.175000000000001); #133753=CIRCLE('',#327746,0.14); #133754=CIRCLE('',#327747,0.14); #133755=CIRCLE('',#327749,0.175000000000001); #133756=CIRCLE('',#327750,0.175000000000001); #133757=CIRCLE('',#327754,0.139999999999998); #133758=CIRCLE('',#327755,0.139999999999998); #133759=CIRCLE('',#327757,0.175000000000001); #133760=CIRCLE('',#327758,0.175000000000001); #133761=CIRCLE('',#327762,0.139999999999998); #133762=CIRCLE('',#327763,0.139999999999998); #133763=CIRCLE('',#327765,0.175000000000001); #133764=CIRCLE('',#327766,0.175000000000001); #133765=CIRCLE('',#327770,0.139999999999998); #133766=CIRCLE('',#327771,0.139999999999998); #133767=CIRCLE('',#327773,0.175000000000001); #133768=CIRCLE('',#327774,0.175000000000001); #133769=CIRCLE('',#327778,0.14); #133770=CIRCLE('',#327779,0.14); #133771=CIRCLE('',#327781,0.175000000000001); #133772=CIRCLE('',#327782,0.175000000000001); #133773=CIRCLE('',#327786,0.139999999999998); #133774=CIRCLE('',#327787,0.139999999999998); #133775=CIRCLE('',#327789,0.175000000000001); #133776=CIRCLE('',#327790,0.175000000000001); #133777=CIRCLE('',#327794,0.139999999999998); #133778=CIRCLE('',#327795,0.139999999999998); #133779=CIRCLE('',#327797,0.175000000000001); #133780=CIRCLE('',#327798,0.175000000000001); #133781=CIRCLE('',#327802,0.139999999999998); #133782=CIRCLE('',#327803,0.139999999999998); #133783=CIRCLE('',#327805,0.175000000000001); #133784=CIRCLE('',#327806,0.175000000000001); #133785=CIRCLE('',#327810,0.14); #133786=CIRCLE('',#327811,0.14); #133787=CIRCLE('',#327813,0.175000000000001); #133788=CIRCLE('',#327814,0.175000000000001); #133789=CIRCLE('',#327818,0.139999999999998); #133790=CIRCLE('',#327819,0.139999999999998); #133791=CIRCLE('',#327821,0.175000000000001); #133792=CIRCLE('',#327822,0.175000000000001); #133793=CIRCLE('',#327826,0.514999999999999); #133794=CIRCLE('',#327827,0.514999999999999); #133795=CIRCLE('',#327829,0.549999999999997); #133796=CIRCLE('',#327830,0.549999999999997); #133797=CIRCLE('',#327834,0.139999999999998); #133798=CIRCLE('',#327835,0.139999999999998); #133799=CIRCLE('',#327837,0.175000000000001); #133800=CIRCLE('',#327838,0.175000000000001); #133801=CIRCLE('',#327842,0.140000000000002); #133802=CIRCLE('',#327843,0.140000000000002); #133803=CIRCLE('',#327845,0.175000000000001); #133804=CIRCLE('',#327846,0.175000000000001); #133805=CIRCLE('',#327850,0.139999999999998); #133806=CIRCLE('',#327851,0.139999999999998); #133807=CIRCLE('',#327853,0.175000000000001); #133808=CIRCLE('',#327854,0.175000000000001); #133809=CIRCLE('',#327858,0.139999999999998); #133810=CIRCLE('',#327859,0.139999999999998); #133811=CIRCLE('',#327861,0.175000000000001); #133812=CIRCLE('',#327862,0.175000000000001); #133813=CIRCLE('',#327866,0.140000000000002); #133814=CIRCLE('',#327867,0.140000000000002); #133815=CIRCLE('',#327869,0.175000000000001); #133816=CIRCLE('',#327870,0.175000000000001); #133817=CIRCLE('',#327874,0.140000000000002); #133818=CIRCLE('',#327875,0.140000000000002); #133819=CIRCLE('',#327877,0.175000000000001); #133820=CIRCLE('',#327878,0.175000000000001); #133821=CIRCLE('',#327882,0.139999999999998); #133822=CIRCLE('',#327883,0.139999999999998); #133823=CIRCLE('',#327885,0.175000000000001); #133824=CIRCLE('',#327886,0.175000000000001); #133825=CIRCLE('',#327890,0.139999999999998); #133826=CIRCLE('',#327891,0.139999999999998); #133827=CIRCLE('',#327893,0.175000000000001); #133828=CIRCLE('',#327894,0.175000000000001); #133829=CIRCLE('',#327898,0.140000000000002); #133830=CIRCLE('',#327899,0.140000000000002); #133831=CIRCLE('',#327901,0.175000000000001); #133832=CIRCLE('',#327902,0.175000000000001); #133833=CIRCLE('',#327906,0.140000000000002); #133834=CIRCLE('',#327907,0.140000000000002); #133835=CIRCLE('',#327909,0.175000000000001); #133836=CIRCLE('',#327910,0.175000000000001); #133837=CIRCLE('',#327914,0.140000000000002); #133838=CIRCLE('',#327915,0.140000000000002); #133839=CIRCLE('',#327917,0.175000000000001); #133840=CIRCLE('',#327918,0.175000000000001); #133841=CIRCLE('',#327922,0.139999999999998); #133842=CIRCLE('',#327923,0.139999999999998); #133843=CIRCLE('',#327925,0.175000000000001); #133844=CIRCLE('',#327926,0.175000000000001); #133845=CIRCLE('',#327930,0.140000000000002); #133846=CIRCLE('',#327931,0.140000000000002); #133847=CIRCLE('',#327933,0.175000000000001); #133848=CIRCLE('',#327934,0.175000000000001); #133849=CIRCLE('',#327938,0.140000000000002); #133850=CIRCLE('',#327939,0.140000000000002); #133851=CIRCLE('',#327941,0.175000000000001); #133852=CIRCLE('',#327942,0.175000000000001); #133853=CIRCLE('',#327946,0.140000000000002); #133854=CIRCLE('',#327947,0.140000000000002); #133855=CIRCLE('',#327949,0.175000000000001); #133856=CIRCLE('',#327950,0.175000000000001); #133857=CIRCLE('',#327954,0.465); #133858=CIRCLE('',#327955,0.465); #133859=CIRCLE('',#327957,0.499999999999998); #133860=CIRCLE('',#327958,0.499999999999998); #133861=CIRCLE('',#327962,0.139999999999998); #133862=CIRCLE('',#327963,0.139999999999998); #133863=CIRCLE('',#327965,0.175000000000001); #133864=CIRCLE('',#327966,0.175000000000001); #133865=CIRCLE('',#327970,0.140000000000002); #133866=CIRCLE('',#327971,0.140000000000002); #133867=CIRCLE('',#327973,0.175000000000001); #133868=CIRCLE('',#327974,0.175000000000001); #133869=CIRCLE('',#327978,0.139999999999998); #133870=CIRCLE('',#327979,0.139999999999998); #133871=CIRCLE('',#327981,0.175000000000001); #133872=CIRCLE('',#327982,0.175000000000001); #133873=CIRCLE('',#327986,0.139999999999998); #133874=CIRCLE('',#327987,0.139999999999998); #133875=CIRCLE('',#327989,0.175000000000001); #133876=CIRCLE('',#327990,0.175000000000001); #133877=CIRCLE('',#327994,0.139999999999998); #133878=CIRCLE('',#327995,0.139999999999998); #133879=CIRCLE('',#327997,0.175000000000001); #133880=CIRCLE('',#327998,0.175000000000001); #133881=CIRCLE('',#328002,0.140000000000002); #133882=CIRCLE('',#328003,0.140000000000002); #133883=CIRCLE('',#328005,0.175000000000001); #133884=CIRCLE('',#328006,0.175000000000001); #133885=CIRCLE('',#328010,0.139999999999998); #133886=CIRCLE('',#328011,0.139999999999998); #133887=CIRCLE('',#328013,0.175000000000001); #133888=CIRCLE('',#328014,0.175000000000001); #133889=CIRCLE('',#328018,0.139999999999998); #133890=CIRCLE('',#328019,0.139999999999998); #133891=CIRCLE('',#328021,0.175000000000001); #133892=CIRCLE('',#328022,0.175000000000001); #133893=CIRCLE('',#328026,0.139999999999998); #133894=CIRCLE('',#328027,0.139999999999998); #133895=CIRCLE('',#328029,0.175000000000001); #133896=CIRCLE('',#328030,0.175000000000001); #133897=CIRCLE('',#328034,0.140000000000002); #133898=CIRCLE('',#328035,0.140000000000002); #133899=CIRCLE('',#328037,0.175000000000001); #133900=CIRCLE('',#328038,0.175000000000001); #133901=CIRCLE('',#328042,0.139999999999998); #133902=CIRCLE('',#328043,0.139999999999998); #133903=CIRCLE('',#328045,0.175000000000001); #133904=CIRCLE('',#328046,0.175000000000001); #133905=CIRCLE('',#328050,0.139999999999998); #133906=CIRCLE('',#328051,0.139999999999998); #133907=CIRCLE('',#328053,0.175000000000001); #133908=CIRCLE('',#328054,0.175000000000001); #133909=CIRCLE('',#328058,0.139999999999998); #133910=CIRCLE('',#328059,0.139999999999998); #133911=CIRCLE('',#328061,0.175000000000001); #133912=CIRCLE('',#328062,0.175000000000001); #133913=CIRCLE('',#328066,0.14); #133914=CIRCLE('',#328067,0.14); #133915=CIRCLE('',#328069,0.175000000000001); #133916=CIRCLE('',#328070,0.175000000000001); #133917=CIRCLE('',#328074,0.139999999999998); #133918=CIRCLE('',#328075,0.139999999999998); #133919=CIRCLE('',#328077,0.175000000000001); #133920=CIRCLE('',#328078,0.175000000000001); #133921=CIRCLE('',#328082,0.564999999999998); #133922=CIRCLE('',#328083,0.564999999999998); #133923=CIRCLE('',#328085,0.600000000000001); #133924=CIRCLE('',#328086,0.600000000000001); #133925=CIRCLE('',#328090,0.140000000000002); #133926=CIRCLE('',#328091,0.140000000000002); #133927=CIRCLE('',#328093,0.175000000000001); #133928=CIRCLE('',#328094,0.175000000000001); #133929=CIRCLE('',#328098,0.140000000000002); #133930=CIRCLE('',#328099,0.140000000000002); #133931=CIRCLE('',#328101,0.175000000000001); #133932=CIRCLE('',#328102,0.175000000000001); #133933=CIRCLE('',#328106,0.140000000000002); #133934=CIRCLE('',#328107,0.140000000000002); #133935=CIRCLE('',#328109,0.175000000000001); #133936=CIRCLE('',#328110,0.175000000000001); #133937=CIRCLE('',#328114,0.139999999999998); #133938=CIRCLE('',#328115,0.139999999999998); #133939=CIRCLE('',#328117,0.175000000000001); #133940=CIRCLE('',#328118,0.175000000000001); #133941=CIRCLE('',#328122,0.140000000000002); #133942=CIRCLE('',#328123,0.140000000000002); #133943=CIRCLE('',#328125,0.175000000000001); #133944=CIRCLE('',#328126,0.175000000000001); #133945=CIRCLE('',#328130,0.140000000000002); #133946=CIRCLE('',#328131,0.140000000000002); #133947=CIRCLE('',#328133,0.175000000000001); #133948=CIRCLE('',#328134,0.175000000000001); #133949=CIRCLE('',#328138,0.140000000000002); #133950=CIRCLE('',#328139,0.140000000000002); #133951=CIRCLE('',#328141,0.175000000000001); #133952=CIRCLE('',#328142,0.175000000000001); #133953=CIRCLE('',#328146,0.139999999999998); #133954=CIRCLE('',#328147,0.139999999999998); #133955=CIRCLE('',#328149,0.175000000000001); #133956=CIRCLE('',#328150,0.175000000000001); #133957=CIRCLE('',#328154,0.140000000000002); #133958=CIRCLE('',#328155,0.140000000000002); #133959=CIRCLE('',#328157,0.175000000000001); #133960=CIRCLE('',#328158,0.175000000000001); #133961=CIRCLE('',#328162,0.140000000000002); #133962=CIRCLE('',#328163,0.140000000000002); #133963=CIRCLE('',#328165,0.175000000000001); #133964=CIRCLE('',#328166,0.175000000000001); #133965=CIRCLE('',#328170,0.140000000000002); #133966=CIRCLE('',#328171,0.140000000000002); #133967=CIRCLE('',#328173,0.175000000000001); #133968=CIRCLE('',#328174,0.175000000000001); #133969=CIRCLE('',#328178,0.139999999999998); #133970=CIRCLE('',#328179,0.139999999999998); #133971=CIRCLE('',#328181,0.175000000000001); #133972=CIRCLE('',#328182,0.175000000000001); #133973=CIRCLE('',#328186,0.140000000000002); #133974=CIRCLE('',#328187,0.140000000000002); #133975=CIRCLE('',#328189,0.175000000000001); #133976=CIRCLE('',#328190,0.175000000000001); #133977=CIRCLE('',#328194,0.140000000000002); #133978=CIRCLE('',#328195,0.140000000000002); #133979=CIRCLE('',#328197,0.175000000000001); #133980=CIRCLE('',#328198,0.175000000000001); #133981=CIRCLE('',#328202,0.140000000000002); #133982=CIRCLE('',#328203,0.140000000000002); #133983=CIRCLE('',#328205,0.175000000000001); #133984=CIRCLE('',#328206,0.175000000000001); #133985=CIRCLE('',#328210,0.465); #133986=CIRCLE('',#328211,0.465); #133987=CIRCLE('',#328213,0.499999999999998); #133988=CIRCLE('',#328214,0.499999999999998); #133989=CIRCLE('',#328218,0.140000000000002); #133990=CIRCLE('',#328219,0.140000000000002); #133991=CIRCLE('',#328221,0.175000000000001); #133992=CIRCLE('',#328222,0.175000000000001); #133993=CIRCLE('',#328226,0.140000000000002); #133994=CIRCLE('',#328227,0.140000000000002); #133995=CIRCLE('',#328229,0.175000000000001); #133996=CIRCLE('',#328230,0.175000000000001); #133997=CIRCLE('',#328234,0.140000000000002); #133998=CIRCLE('',#328235,0.140000000000002); #133999=CIRCLE('',#328237,0.175000000000001); #134000=CIRCLE('',#328238,0.175000000000001); #134001=CIRCLE('',#328242,0.139999999999998); #134002=CIRCLE('',#328243,0.139999999999998); #134003=CIRCLE('',#328245,0.175000000000001); #134004=CIRCLE('',#328246,0.175000000000001); #134005=CIRCLE('',#328250,0.140000000000002); #134006=CIRCLE('',#328251,0.140000000000002); #134007=CIRCLE('',#328253,0.175000000000001); #134008=CIRCLE('',#328254,0.175000000000001); #134009=CIRCLE('',#328258,0.140000000000002); #134010=CIRCLE('',#328259,0.140000000000002); #134011=CIRCLE('',#328261,0.175000000000001); #134012=CIRCLE('',#328262,0.175000000000001); #134013=CIRCLE('',#328266,0.140000000000002); #134014=CIRCLE('',#328267,0.140000000000002); #134015=CIRCLE('',#328269,0.175000000000001); #134016=CIRCLE('',#328270,0.175000000000001); #134017=CIRCLE('',#328274,0.139999999999998); #134018=CIRCLE('',#328275,0.139999999999998); #134019=CIRCLE('',#328277,0.175000000000001); #134020=CIRCLE('',#328278,0.175000000000001); #134021=CIRCLE('',#328282,0.140000000000002); #134022=CIRCLE('',#328283,0.140000000000002); #134023=CIRCLE('',#328285,0.175000000000001); #134024=CIRCLE('',#328286,0.175000000000001); #134025=CIRCLE('',#328290,0.140000000000002); #134026=CIRCLE('',#328291,0.140000000000002); #134027=CIRCLE('',#328293,0.175000000000001); #134028=CIRCLE('',#328294,0.175000000000001); #134029=CIRCLE('',#328298,0.140000000000002); #134030=CIRCLE('',#328299,0.140000000000002); #134031=CIRCLE('',#328301,0.175000000000001); #134032=CIRCLE('',#328302,0.175000000000001); #134033=CIRCLE('',#328306,0.139999999999998); #134034=CIRCLE('',#328307,0.139999999999998); #134035=CIRCLE('',#328309,0.175000000000001); #134036=CIRCLE('',#328310,0.175000000000001); #134037=CIRCLE('',#328314,0.140000000000002); #134038=CIRCLE('',#328315,0.140000000000002); #134039=CIRCLE('',#328317,0.175000000000001); #134040=CIRCLE('',#328318,0.175000000000001); #134041=CIRCLE('',#328322,0.140000000000002); #134042=CIRCLE('',#328323,0.140000000000002); #134043=CIRCLE('',#328325,0.175000000000001); #134044=CIRCLE('',#328326,0.175000000000001); #134045=CIRCLE('',#328330,0.140000000000002); #134046=CIRCLE('',#328331,0.140000000000002); #134047=CIRCLE('',#328333,0.175000000000001); #134048=CIRCLE('',#328334,0.175000000000001); #134049=CIRCLE('',#328338,0.514999999999999); #134050=CIRCLE('',#328339,0.514999999999999); #134051=CIRCLE('',#328341,0.549999999999997); #134052=CIRCLE('',#328342,0.549999999999997); #134053=CIRCLE('',#328346,0.140000000000002); #134054=CIRCLE('',#328347,0.140000000000002); #134055=CIRCLE('',#328349,0.175000000000001); #134056=CIRCLE('',#328350,0.175000000000001); #134057=CIRCLE('',#328354,0.140000000000002); #134058=CIRCLE('',#328355,0.140000000000002); #134059=CIRCLE('',#328357,0.175000000000001); #134060=CIRCLE('',#328358,0.175000000000001); #134061=CIRCLE('',#328362,0.140000000000002); #134062=CIRCLE('',#328363,0.140000000000002); #134063=CIRCLE('',#328365,0.175000000000001); #134064=CIRCLE('',#328366,0.175000000000001); #134065=CIRCLE('',#328370,0.139999999999998); #134066=CIRCLE('',#328371,0.139999999999998); #134067=CIRCLE('',#328373,0.175000000000001); #134068=CIRCLE('',#328374,0.175000000000001); #134069=CIRCLE('',#328378,0.140000000000002); #134070=CIRCLE('',#328379,0.140000000000002); #134071=CIRCLE('',#328381,0.175000000000001); #134072=CIRCLE('',#328382,0.175000000000001); #134073=CIRCLE('',#328386,0.139999999999998); #134074=CIRCLE('',#328387,0.139999999999998); #134075=CIRCLE('',#328389,0.175000000000001); #134076=CIRCLE('',#328390,0.175000000000001); #134077=CIRCLE('',#328394,0.140000000000002); #134078=CIRCLE('',#328395,0.140000000000002); #134079=CIRCLE('',#328397,0.175000000000001); #134080=CIRCLE('',#328398,0.175000000000001); #134081=CIRCLE('',#328402,0.139999999999998); #134082=CIRCLE('',#328403,0.139999999999998); #134083=CIRCLE('',#328405,0.175000000000001); #134084=CIRCLE('',#328406,0.175000000000001); #134085=CIRCLE('',#328410,0.140000000000002); #134086=CIRCLE('',#328411,0.140000000000002); #134087=CIRCLE('',#328413,0.175000000000001); #134088=CIRCLE('',#328414,0.175000000000001); #134089=CIRCLE('',#328418,0.139999999999998); #134090=CIRCLE('',#328419,0.139999999999998); #134091=CIRCLE('',#328421,0.175000000000001); #134092=CIRCLE('',#328422,0.175000000000001); #134093=CIRCLE('',#328426,0.140000000000002); #134094=CIRCLE('',#328427,0.140000000000002); #134095=CIRCLE('',#328429,0.175000000000001); #134096=CIRCLE('',#328430,0.175000000000001); #134097=CIRCLE('',#328434,0.139999999999998); #134098=CIRCLE('',#328435,0.139999999999998); #134099=CIRCLE('',#328437,0.175000000000001); #134100=CIRCLE('',#328438,0.175000000000001); #134101=CIRCLE('',#328442,0.140000000000002); #134102=CIRCLE('',#328443,0.140000000000002); #134103=CIRCLE('',#328445,0.175000000000001); #134104=CIRCLE('',#328446,0.175000000000001); #134105=CIRCLE('',#328450,0.139999999999998); #134106=CIRCLE('',#328451,0.139999999999998); #134107=CIRCLE('',#328453,0.175000000000001); #134108=CIRCLE('',#328454,0.175000000000001); #134109=CIRCLE('',#328458,0.140000000000002); #134110=CIRCLE('',#328459,0.140000000000002); #134111=CIRCLE('',#328461,0.175000000000001); #134112=CIRCLE('',#328462,0.175000000000001); #134113=CIRCLE('',#328466,0.465); #134114=CIRCLE('',#328467,0.465); #134115=CIRCLE('',#328469,0.499999999999998); #134116=CIRCLE('',#328470,0.499999999999998); #134117=CIRCLE('',#328474,0.140000000000002); #134118=CIRCLE('',#328475,0.140000000000002); #134119=CIRCLE('',#328477,0.175000000000001); #134120=CIRCLE('',#328478,0.175000000000001); #134121=CIRCLE('',#328482,0.140000000000002); #134122=CIRCLE('',#328483,0.140000000000002); #134123=CIRCLE('',#328485,0.175000000000001); #134124=CIRCLE('',#328486,0.175000000000001); #134125=CIRCLE('',#328490,0.140000000000002); #134126=CIRCLE('',#328491,0.140000000000002); #134127=CIRCLE('',#328493,0.175000000000001); #134128=CIRCLE('',#328494,0.175000000000001); #134129=CIRCLE('',#328498,0.139999999999998); #134130=CIRCLE('',#328499,0.139999999999998); #134131=CIRCLE('',#328501,0.175000000000001); #134132=CIRCLE('',#328502,0.175000000000001); #134133=CIRCLE('',#328506,0.140000000000002); #134134=CIRCLE('',#328507,0.140000000000002); #134135=CIRCLE('',#328509,0.175000000000001); #134136=CIRCLE('',#328510,0.175000000000001); #134137=CIRCLE('',#328514,0.140000000000002); #134138=CIRCLE('',#328515,0.140000000000002); #134139=CIRCLE('',#328517,0.175000000000001); #134140=CIRCLE('',#328518,0.175000000000001); #134141=CIRCLE('',#328522,0.140000000000002); #134142=CIRCLE('',#328523,0.140000000000002); #134143=CIRCLE('',#328525,0.175000000000001); #134144=CIRCLE('',#328526,0.175000000000001); #134145=CIRCLE('',#328530,0.139999999999998); #134146=CIRCLE('',#328531,0.139999999999998); #134147=CIRCLE('',#328533,0.175000000000001); #134148=CIRCLE('',#328534,0.175000000000001); #134149=CIRCLE('',#328538,0.140000000000002); #134150=CIRCLE('',#328539,0.140000000000002); #134151=CIRCLE('',#328541,0.175000000000001); #134152=CIRCLE('',#328542,0.175000000000001); #134153=CIRCLE('',#328546,0.140000000000002); #134154=CIRCLE('',#328547,0.140000000000002); #134155=CIRCLE('',#328549,0.175000000000001); #134156=CIRCLE('',#328550,0.175000000000001); #134157=CIRCLE('',#328554,0.140000000000002); #134158=CIRCLE('',#328555,0.140000000000002); #134159=CIRCLE('',#328557,0.175000000000001); #134160=CIRCLE('',#328558,0.175000000000001); #134161=CIRCLE('',#328562,0.139999999999998); #134162=CIRCLE('',#328563,0.139999999999998); #134163=CIRCLE('',#328565,0.175000000000001); #134164=CIRCLE('',#328566,0.175000000000001); #134165=CIRCLE('',#328570,0.140000000000002); #134166=CIRCLE('',#328571,0.140000000000002); #134167=CIRCLE('',#328573,0.175000000000001); #134168=CIRCLE('',#328574,0.175000000000001); #134169=CIRCLE('',#328578,0.140000000000002); #134170=CIRCLE('',#328579,0.140000000000002); #134171=CIRCLE('',#328581,0.175000000000001); #134172=CIRCLE('',#328582,0.175000000000001); #134173=CIRCLE('',#328586,0.140000000000002); #134174=CIRCLE('',#328587,0.140000000000002); #134175=CIRCLE('',#328589,0.175000000000001); #134176=CIRCLE('',#328590,0.175000000000001); #134177=CIRCLE('',#328594,0.564999999999998); #134178=CIRCLE('',#328595,0.564999999999998); #134179=CIRCLE('',#328597,0.600000000000001); #134180=CIRCLE('',#328598,0.600000000000001); #134181=CIRCLE('',#328602,0.14); #134182=CIRCLE('',#328603,0.14); #134183=CIRCLE('',#328605,0.175000000000001); #134184=CIRCLE('',#328606,0.175000000000001); #134185=CIRCLE('',#328610,0.139999999999998); #134186=CIRCLE('',#328611,0.139999999999998); #134187=CIRCLE('',#328613,0.175000000000001); #134188=CIRCLE('',#328614,0.175000000000001); #134189=CIRCLE('',#328618,0.140000000000002); #134190=CIRCLE('',#328619,0.140000000000002); #134191=CIRCLE('',#328621,0.175000000000001); #134192=CIRCLE('',#328622,0.175000000000001); #134193=CIRCLE('',#328626,0.139999999999998); #134194=CIRCLE('',#328627,0.139999999999998); #134195=CIRCLE('',#328629,0.175000000000001); #134196=CIRCLE('',#328630,0.175000000000001); #134197=CIRCLE('',#328635,0.315); #134198=CIRCLE('',#328636,0.315); #134199=CIRCLE('',#328639,0.315); #134200=CIRCLE('',#328640,0.315); #134201=CIRCLE('',#328643,0.35); #134202=CIRCLE('',#328644,0.35); #134203=CIRCLE('',#328647,0.35); #134204=CIRCLE('',#328648,0.35); #134205=CIRCLE('',#328652,0.139999999999998); #134206=CIRCLE('',#328653,0.139999999999998); #134207=CIRCLE('',#328655,0.175000000000001); #134208=CIRCLE('',#328656,0.175000000000001); #134209=CIRCLE('',#328661,0.315); #134210=CIRCLE('',#328662,0.315); #134211=CIRCLE('',#328665,0.315); #134212=CIRCLE('',#328666,0.315); #134213=CIRCLE('',#328669,0.35); #134214=CIRCLE('',#328670,0.35); #134215=CIRCLE('',#328673,0.35); #134216=CIRCLE('',#328674,0.35); #134217=CIRCLE('',#328678,0.139999999999998); #134218=CIRCLE('',#328679,0.139999999999998); #134219=CIRCLE('',#328681,0.175000000000001); #134220=CIRCLE('',#328682,0.175000000000001); #134221=CIRCLE('',#328687,0.315); #134222=CIRCLE('',#328688,0.315); #134223=CIRCLE('',#328691,0.315); #134224=CIRCLE('',#328692,0.315); #134225=CIRCLE('',#328695,0.35); #134226=CIRCLE('',#328696,0.35); #134227=CIRCLE('',#328699,0.35); #134228=CIRCLE('',#328700,0.35); #134229=CIRCLE('',#328704,0.140000000000002); #134230=CIRCLE('',#328705,0.140000000000002); #134231=CIRCLE('',#328707,0.175000000000001); #134232=CIRCLE('',#328708,0.175000000000001); #134233=CIRCLE('',#328712,0.139999999999998); #134234=CIRCLE('',#328713,0.139999999999998); #134235=CIRCLE('',#328715,0.175000000000001); #134236=CIRCLE('',#328716,0.175000000000001); #134237=CIRCLE('',#328720,0.114999999999998); #134238=CIRCLE('',#328721,0.114999999999998); #134239=CIRCLE('',#328723,0.150000000000001); #134240=CIRCLE('',#328724,0.150000000000001); #134241=CIRCLE('',#328728,0.139999999999998); #134242=CIRCLE('',#328729,0.139999999999998); #134243=CIRCLE('',#328731,0.175000000000001); #134244=CIRCLE('',#328732,0.175000000000001); #134245=CIRCLE('',#328736,0.114999999999998); #134246=CIRCLE('',#328737,0.114999999999998); #134247=CIRCLE('',#328739,0.150000000000001); #134248=CIRCLE('',#328740,0.150000000000001); #134249=CIRCLE('',#328744,0.139999999999998); #134250=CIRCLE('',#328745,0.139999999999998); #134251=CIRCLE('',#328747,0.175000000000001); #134252=CIRCLE('',#328748,0.175000000000001); #134253=CIRCLE('',#328752,0.114999999999998); #134254=CIRCLE('',#328753,0.114999999999998); #134255=CIRCLE('',#328755,0.150000000000001); #134256=CIRCLE('',#328756,0.150000000000001); #134257=CIRCLE('',#328760,0.139999999999998); #134258=CIRCLE('',#328761,0.139999999999998); #134259=CIRCLE('',#328763,0.175000000000001); #134260=CIRCLE('',#328764,0.175000000000001); #134261=CIRCLE('',#328768,0.114999999999998); #134262=CIRCLE('',#328769,0.114999999999998); #134263=CIRCLE('',#328771,0.150000000000001); #134264=CIRCLE('',#328772,0.150000000000001); #134265=CIRCLE('',#328776,0.139999999999998); #134266=CIRCLE('',#328777,0.139999999999998); #134267=CIRCLE('',#328779,0.175000000000001); #134268=CIRCLE('',#328780,0.175000000000001); #134269=CIRCLE('',#328785,0.565); #134270=CIRCLE('',#328786,0.565); #134271=CIRCLE('',#328789,0.565); #134272=CIRCLE('',#328790,0.565); #134273=CIRCLE('',#328793,0.6); #134274=CIRCLE('',#328794,0.6); #134275=CIRCLE('',#328797,0.6); #134276=CIRCLE('',#328798,0.6); #134277=CIRCLE('',#328802,0.139999999999998); #134278=CIRCLE('',#328803,0.139999999999998); #134279=CIRCLE('',#328805,0.175000000000001); #134280=CIRCLE('',#328806,0.175000000000001); #134281=CIRCLE('',#328810,0.114999999999998); #134282=CIRCLE('',#328811,0.114999999999998); #134283=CIRCLE('',#328813,0.150000000000001); #134284=CIRCLE('',#328814,0.150000000000001); #134285=CIRCLE('',#328818,0.139999999999998); #134286=CIRCLE('',#328819,0.139999999999998); #134287=CIRCLE('',#328821,0.175000000000001); #134288=CIRCLE('',#328822,0.175000000000001); #134289=CIRCLE('',#328827,0.565); #134290=CIRCLE('',#328828,0.565); #134291=CIRCLE('',#328831,0.565); #134292=CIRCLE('',#328832,0.565); #134293=CIRCLE('',#328835,0.6); #134294=CIRCLE('',#328836,0.6); #134295=CIRCLE('',#328839,0.6); #134296=CIRCLE('',#328840,0.6); #134297=CIRCLE('',#328844,0.139999999999998); #134298=CIRCLE('',#328845,0.139999999999998); #134299=CIRCLE('',#328847,0.175000000000001); #134300=CIRCLE('',#328848,0.175000000000001); #134301=CIRCLE('',#328853,0.315); #134302=CIRCLE('',#328854,0.315); #134303=CIRCLE('',#328857,0.315); #134304=CIRCLE('',#328858,0.315); #134305=CIRCLE('',#328861,0.35); #134306=CIRCLE('',#328862,0.35); #134307=CIRCLE('',#328865,0.35); #134308=CIRCLE('',#328866,0.35); #134309=CIRCLE('',#328870,0.465); #134310=CIRCLE('',#328871,0.465); #134311=CIRCLE('',#328873,0.499999999999998); #134312=CIRCLE('',#328874,0.499999999999998); #134313=CIRCLE('',#328878,0.139999999999998); #134314=CIRCLE('',#328879,0.139999999999998); #134315=CIRCLE('',#328881,0.175000000000001); #134316=CIRCLE('',#328882,0.175000000000001); #134317=CIRCLE('',#328886,0.14); #134318=CIRCLE('',#328887,0.14); #134319=CIRCLE('',#328889,0.175); #134320=CIRCLE('',#328890,0.175); #134321=CIRCLE('',#328894,0.139999999999998); #134322=CIRCLE('',#328895,0.139999999999998); #134323=CIRCLE('',#328897,0.175000000000001); #134324=CIRCLE('',#328898,0.175000000000001); #134325=CIRCLE('',#328902,0.139999999999998); #134326=CIRCLE('',#328903,0.139999999999998); #134327=CIRCLE('',#328905,0.175000000000001); #134328=CIRCLE('',#328906,0.175000000000001); #134329=CIRCLE('',#328910,0.139999999999998); #134330=CIRCLE('',#328911,0.139999999999998); #134331=CIRCLE('',#328913,0.175000000000001); #134332=CIRCLE('',#328914,0.175000000000001); #134333=CIRCLE('',#328918,0.14); #134334=CIRCLE('',#328919,0.14); #134335=CIRCLE('',#328921,0.175); #134336=CIRCLE('',#328922,0.175); #134337=CIRCLE('',#328926,0.139999999999998); #134338=CIRCLE('',#328927,0.139999999999998); #134339=CIRCLE('',#328929,0.175000000000001); #134340=CIRCLE('',#328930,0.175000000000001); #134341=CIRCLE('',#328934,0.139999999999998); #134342=CIRCLE('',#328935,0.139999999999998); #134343=CIRCLE('',#328937,0.175000000000001); #134344=CIRCLE('',#328938,0.175000000000001); #134345=CIRCLE('',#328942,0.139999999999998); #134346=CIRCLE('',#328943,0.139999999999998); #134347=CIRCLE('',#328945,0.175000000000001); #134348=CIRCLE('',#328946,0.175000000000001); #134349=CIRCLE('',#328950,0.14); #134350=CIRCLE('',#328951,0.14); #134351=CIRCLE('',#328953,0.175000000000001); #134352=CIRCLE('',#328954,0.175000000000001); #134353=CIRCLE('',#328958,0.139999999999998); #134354=CIRCLE('',#328959,0.139999999999998); #134355=CIRCLE('',#328961,0.175000000000001); #134356=CIRCLE('',#328962,0.175000000000001); #134357=CIRCLE('',#328966,0.139999999999998); #134358=CIRCLE('',#328967,0.139999999999998); #134359=CIRCLE('',#328969,0.175000000000001); #134360=CIRCLE('',#328970,0.175000000000001); #134361=CIRCLE('',#328974,0.139999999999998); #134362=CIRCLE('',#328975,0.139999999999998); #134363=CIRCLE('',#328977,0.175000000000001); #134364=CIRCLE('',#328978,0.175000000000001); #134365=CIRCLE('',#328982,0.14); #134366=CIRCLE('',#328983,0.14); #134367=CIRCLE('',#328985,0.175); #134368=CIRCLE('',#328986,0.175); #134369=CIRCLE('',#328990,0.139999999999998); #134370=CIRCLE('',#328991,0.139999999999998); #134371=CIRCLE('',#328993,0.175000000000001); #134372=CIRCLE('',#328994,0.175000000000001); #134373=CIRCLE('',#328998,0.139999999999998); #134374=CIRCLE('',#328999,0.139999999999998); #134375=CIRCLE('',#329001,0.175000000000001); #134376=CIRCLE('',#329002,0.175000000000001); #134377=CIRCLE('',#329006,0.140000000000002); #134378=CIRCLE('',#329007,0.140000000000002); #134379=CIRCLE('',#329009,0.175000000000001); #134380=CIRCLE('',#329010,0.175000000000001); #134381=CIRCLE('',#329014,0.14); #134382=CIRCLE('',#329015,0.14); #134383=CIRCLE('',#329017,0.175); #134384=CIRCLE('',#329018,0.175); #134385=CIRCLE('',#329022,0.139999999999998); #134386=CIRCLE('',#329023,0.139999999999998); #134387=CIRCLE('',#329025,0.175000000000001); #134388=CIRCLE('',#329026,0.175000000000001); #134389=CIRCLE('',#329030,0.139999999999998); #134390=CIRCLE('',#329031,0.139999999999998); #134391=CIRCLE('',#329033,0.175000000000001); #134392=CIRCLE('',#329034,0.175000000000001); #134393=CIRCLE('',#329038,0.139999999999998); #134394=CIRCLE('',#329039,0.139999999999998); #134395=CIRCLE('',#329041,0.175000000000001); #134396=CIRCLE('',#329042,0.175000000000001); #134397=CIRCLE('',#329046,0.14); #134398=CIRCLE('',#329047,0.14); #134399=CIRCLE('',#329049,0.175); #134400=CIRCLE('',#329050,0.175); #134401=CIRCLE('',#329054,0.139999999999998); #134402=CIRCLE('',#329055,0.139999999999998); #134403=CIRCLE('',#329057,0.175000000000001); #134404=CIRCLE('',#329058,0.175000000000001); #134405=CIRCLE('',#329062,0.139999999999998); #134406=CIRCLE('',#329063,0.139999999999998); #134407=CIRCLE('',#329065,0.175000000000001); #134408=CIRCLE('',#329066,0.175000000000001); #134409=CIRCLE('',#329070,0.114999999999998); #134410=CIRCLE('',#329071,0.114999999999998); #134411=CIRCLE('',#329073,0.150000000000001); #134412=CIRCLE('',#329074,0.150000000000001); #134413=CIRCLE('',#329078,0.14); #134414=CIRCLE('',#329079,0.14); #134415=CIRCLE('',#329081,0.175); #134416=CIRCLE('',#329082,0.175); #134417=CIRCLE('',#329086,0.140000000000002); #134418=CIRCLE('',#329087,0.140000000000002); #134419=CIRCLE('',#329089,0.175000000000001); #134420=CIRCLE('',#329090,0.175000000000001); #134421=CIRCLE('',#329094,0.139999999999998); #134422=CIRCLE('',#329095,0.139999999999998); #134423=CIRCLE('',#329097,0.175000000000001); #134424=CIRCLE('',#329098,0.175000000000001); #134425=CIRCLE('',#329102,0.114999999999998); #134426=CIRCLE('',#329103,0.114999999999998); #134427=CIRCLE('',#329105,0.150000000000001); #134428=CIRCLE('',#329106,0.150000000000001); #134429=CIRCLE('',#329110,0.14); #134430=CIRCLE('',#329111,0.14); #134431=CIRCLE('',#329113,0.175); #134432=CIRCLE('',#329114,0.175); #134433=CIRCLE('',#329118,0.114999999999998); #134434=CIRCLE('',#329119,0.114999999999998); #134435=CIRCLE('',#329121,0.150000000000001); #134436=CIRCLE('',#329122,0.150000000000001); #134437=CIRCLE('',#329126,0.514999999999999); #134438=CIRCLE('',#329127,0.514999999999999); #134439=CIRCLE('',#329129,0.550000000000002); #134440=CIRCLE('',#329130,0.550000000000002); #134441=CIRCLE('',#329134,0.139999999999998); #134442=CIRCLE('',#329135,0.139999999999998); #134443=CIRCLE('',#329137,0.175000000000001); #134444=CIRCLE('',#329138,0.175000000000001); #134445=CIRCLE('',#329142,0.140000000000002); #134446=CIRCLE('',#329143,0.140000000000002); #134447=CIRCLE('',#329145,0.175000000000001); #134448=CIRCLE('',#329146,0.175000000000001); #134449=CIRCLE('',#329150,0.139999999999998); #134450=CIRCLE('',#329151,0.139999999999998); #134451=CIRCLE('',#329153,0.175000000000001); #134452=CIRCLE('',#329154,0.175000000000001); #134453=CIRCLE('',#329158,0.139999999999998); #134454=CIRCLE('',#329159,0.139999999999998); #134455=CIRCLE('',#329161,0.175000000000001); #134456=CIRCLE('',#329162,0.175000000000001); #134457=CIRCLE('',#329166,0.139999999999998); #134458=CIRCLE('',#329167,0.139999999999998); #134459=CIRCLE('',#329169,0.175000000000001); #134460=CIRCLE('',#329170,0.175000000000001); #134461=CIRCLE('',#329174,0.140000000000002); #134462=CIRCLE('',#329175,0.140000000000002); #134463=CIRCLE('',#329177,0.175000000000001); #134464=CIRCLE('',#329178,0.175000000000001); #134465=CIRCLE('',#329182,0.139999999999998); #134466=CIRCLE('',#329183,0.139999999999998); #134467=CIRCLE('',#329185,0.175000000000001); #134468=CIRCLE('',#329186,0.175000000000001); #134469=CIRCLE('',#329190,0.139999999999998); #134470=CIRCLE('',#329191,0.139999999999998); #134471=CIRCLE('',#329193,0.175000000000001); #134472=CIRCLE('',#329194,0.175000000000001); #134473=CIRCLE('',#329198,0.139999999999998); #134474=CIRCLE('',#329199,0.139999999999998); #134475=CIRCLE('',#329201,0.175000000000001); #134476=CIRCLE('',#329202,0.175000000000001); #134477=CIRCLE('',#329206,0.140000000000002); #134478=CIRCLE('',#329207,0.140000000000002); #134479=CIRCLE('',#329209,0.175000000000001); #134480=CIRCLE('',#329210,0.175000000000001); #134481=CIRCLE('',#329214,0.139999999999998); #134482=CIRCLE('',#329215,0.139999999999998); #134483=CIRCLE('',#329217,0.175000000000001); #134484=CIRCLE('',#329218,0.175000000000001); #134485=CIRCLE('',#329222,0.139999999999998); #134486=CIRCLE('',#329223,0.139999999999998); #134487=CIRCLE('',#329225,0.175000000000001); #134488=CIRCLE('',#329226,0.175000000000001); #134489=CIRCLE('',#329230,0.139999999999998); #134490=CIRCLE('',#329231,0.139999999999998); #134491=CIRCLE('',#329233,0.175000000000001); #134492=CIRCLE('',#329234,0.175000000000001); #134493=CIRCLE('',#329238,0.140000000000002); #134494=CIRCLE('',#329239,0.140000000000002); #134495=CIRCLE('',#329241,0.175000000000001); #134496=CIRCLE('',#329242,0.175000000000001); #134497=CIRCLE('',#329246,0.139999999999998); #134498=CIRCLE('',#329247,0.139999999999998); #134499=CIRCLE('',#329249,0.175000000000001); #134500=CIRCLE('',#329250,0.175000000000001); #134501=CIRCLE('',#329254,0.465); #134502=CIRCLE('',#329255,0.465); #134503=CIRCLE('',#329257,0.499999999999998); #134504=CIRCLE('',#329258,0.499999999999998); #134505=CIRCLE('',#329262,0.139999999999998); #134506=CIRCLE('',#329263,0.139999999999998); #134507=CIRCLE('',#329265,0.175000000000001); #134508=CIRCLE('',#329266,0.175000000000001); #134509=CIRCLE('',#329270,0.140000000000002); #134510=CIRCLE('',#329271,0.140000000000002); #134511=CIRCLE('',#329273,0.175000000000001); #134512=CIRCLE('',#329274,0.175000000000001); #134513=CIRCLE('',#329278,0.139999999999998); #134514=CIRCLE('',#329279,0.139999999999998); #134515=CIRCLE('',#329281,0.175000000000001); #134516=CIRCLE('',#329282,0.175000000000001); #134517=CIRCLE('',#329286,0.139999999999998); #134518=CIRCLE('',#329287,0.139999999999998); #134519=CIRCLE('',#329289,0.175000000000001); #134520=CIRCLE('',#329290,0.175000000000001); #134521=CIRCLE('',#329294,0.14); #134522=CIRCLE('',#329295,0.14); #134523=CIRCLE('',#329297,0.175000000000001); #134524=CIRCLE('',#329298,0.175000000000001); #134525=CIRCLE('',#329302,0.140000000000002); #134526=CIRCLE('',#329303,0.140000000000002); #134527=CIRCLE('',#329305,0.175000000000001); #134528=CIRCLE('',#329306,0.175000000000001); #134529=CIRCLE('',#329310,0.139999999999998); #134530=CIRCLE('',#329311,0.139999999999998); #134531=CIRCLE('',#329313,0.175000000000001); #134532=CIRCLE('',#329314,0.175000000000001); #134533=CIRCLE('',#329318,0.139999999999998); #134534=CIRCLE('',#329319,0.139999999999998); #134535=CIRCLE('',#329321,0.175000000000001); #134536=CIRCLE('',#329322,0.175000000000001); #134537=CIRCLE('',#329326,0.139999999999998); #134538=CIRCLE('',#329327,0.139999999999998); #134539=CIRCLE('',#329329,0.175000000000001); #134540=CIRCLE('',#329330,0.175000000000001); #134541=CIRCLE('',#329334,0.140000000000002); #134542=CIRCLE('',#329335,0.140000000000002); #134543=CIRCLE('',#329337,0.175000000000001); #134544=CIRCLE('',#329338,0.175000000000001); #134545=CIRCLE('',#329342,0.139999999999998); #134546=CIRCLE('',#329343,0.139999999999998); #134547=CIRCLE('',#329345,0.175000000000001); #134548=CIRCLE('',#329346,0.175000000000001); #134549=CIRCLE('',#329350,0.139999999999998); #134550=CIRCLE('',#329351,0.139999999999998); #134551=CIRCLE('',#329353,0.175000000000001); #134552=CIRCLE('',#329354,0.175000000000001); #134553=CIRCLE('',#329358,0.139999999999998); #134554=CIRCLE('',#329359,0.139999999999998); #134555=CIRCLE('',#329361,0.175000000000001); #134556=CIRCLE('',#329362,0.175000000000001); #134557=CIRCLE('',#329366,0.140000000000002); #134558=CIRCLE('',#329367,0.140000000000002); #134559=CIRCLE('',#329369,0.175000000000001); #134560=CIRCLE('',#329370,0.175000000000001); #134561=CIRCLE('',#329374,0.139999999999998); #134562=CIRCLE('',#329375,0.139999999999998); #134563=CIRCLE('',#329377,0.175000000000001); #134564=CIRCLE('',#329378,0.175000000000001); #134565=CIRCLE('',#329382,0.465); #134566=CIRCLE('',#329383,0.465); #134567=CIRCLE('',#329385,0.499999999999998); #134568=CIRCLE('',#329386,0.499999999999998); #134569=CIRCLE('',#329390,0.14); #134570=CIRCLE('',#329391,0.14); #134571=CIRCLE('',#329393,0.175000000000001); #134572=CIRCLE('',#329394,0.175000000000001); #134573=CIRCLE('',#329398,0.140000000000002); #134574=CIRCLE('',#329399,0.140000000000002); #134575=CIRCLE('',#329401,0.175000000000001); #134576=CIRCLE('',#329402,0.175000000000001); #134577=CIRCLE('',#329406,0.14); #134578=CIRCLE('',#329407,0.14); #134579=CIRCLE('',#329409,0.175000000000001); #134580=CIRCLE('',#329410,0.175000000000001); #134581=CIRCLE('',#329414,0.139999999999998); #134582=CIRCLE('',#329415,0.139999999999998); #134583=CIRCLE('',#329417,0.175000000000001); #134584=CIRCLE('',#329418,0.175000000000001); #134585=CIRCLE('',#329422,0.14); #134586=CIRCLE('',#329423,0.14); #134587=CIRCLE('',#329425,0.175000000000001); #134588=CIRCLE('',#329426,0.175000000000001); #134589=CIRCLE('',#329430,0.140000000000002); #134590=CIRCLE('',#329431,0.140000000000002); #134591=CIRCLE('',#329433,0.175000000000001); #134592=CIRCLE('',#329434,0.175000000000001); #134593=CIRCLE('',#329438,0.14); #134594=CIRCLE('',#329439,0.14); #134595=CIRCLE('',#329441,0.175000000000001); #134596=CIRCLE('',#329442,0.175000000000001); #134597=CIRCLE('',#329446,0.139999999999998); #134598=CIRCLE('',#329447,0.139999999999998); #134599=CIRCLE('',#329449,0.175000000000001); #134600=CIRCLE('',#329450,0.175000000000001); #134601=CIRCLE('',#329454,0.14); #134602=CIRCLE('',#329455,0.14); #134603=CIRCLE('',#329457,0.175000000000001); #134604=CIRCLE('',#329458,0.175000000000001); #134605=CIRCLE('',#329462,0.140000000000002); #134606=CIRCLE('',#329463,0.140000000000002); #134607=CIRCLE('',#329465,0.175000000000001); #134608=CIRCLE('',#329466,0.175000000000001); #134609=CIRCLE('',#329470,0.14); #134610=CIRCLE('',#329471,0.14); #134611=CIRCLE('',#329473,0.175000000000001); #134612=CIRCLE('',#329474,0.175000000000001); #134613=CIRCLE('',#329478,0.139999999999998); #134614=CIRCLE('',#329479,0.139999999999998); #134615=CIRCLE('',#329481,0.175000000000001); #134616=CIRCLE('',#329482,0.175000000000001); #134617=CIRCLE('',#329486,0.14); #134618=CIRCLE('',#329487,0.14); #134619=CIRCLE('',#329489,0.175000000000001); #134620=CIRCLE('',#329490,0.175000000000001); #134621=CIRCLE('',#329494,0.140000000000002); #134622=CIRCLE('',#329495,0.140000000000002); #134623=CIRCLE('',#329497,0.175000000000001); #134624=CIRCLE('',#329498,0.175000000000001); #134625=CIRCLE('',#329502,0.14); #134626=CIRCLE('',#329503,0.14); #134627=CIRCLE('',#329505,0.175000000000001); #134628=CIRCLE('',#329506,0.175000000000001); #134629=CIRCLE('',#329510,0.139999999999998); #134630=CIRCLE('',#329511,0.139999999999998); #134631=CIRCLE('',#329513,0.175000000000001); #134632=CIRCLE('',#329514,0.175000000000001); #134633=CIRCLE('',#329518,0.14); #134634=CIRCLE('',#329519,0.14); #134635=CIRCLE('',#329521,0.175000000000001); #134636=CIRCLE('',#329522,0.175000000000001); #134637=CIRCLE('',#329526,0.14); #134638=CIRCLE('',#329527,0.14); #134639=CIRCLE('',#329529,0.175); #134640=CIRCLE('',#329530,0.175); #134641=CIRCLE('',#329534,0.14); #134642=CIRCLE('',#329535,0.14); #134643=CIRCLE('',#329537,0.175000000000001); #134644=CIRCLE('',#329538,0.175000000000001); #134645=CIRCLE('',#329542,0.139999999999998); #134646=CIRCLE('',#329543,0.139999999999998); #134647=CIRCLE('',#329545,0.175000000000001); #134648=CIRCLE('',#329546,0.175000000000001); #134649=CIRCLE('',#329550,0.14); #134650=CIRCLE('',#329551,0.14); #134651=CIRCLE('',#329553,0.175000000000001); #134652=CIRCLE('',#329554,0.175000000000001); #134653=CIRCLE('',#329558,0.14); #134654=CIRCLE('',#329559,0.14); #134655=CIRCLE('',#329561,0.175000000000001); #134656=CIRCLE('',#329562,0.175000000000001); #134657=CIRCLE('',#329566,0.14); #134658=CIRCLE('',#329567,0.14); #134659=CIRCLE('',#329569,0.175000000000001); #134660=CIRCLE('',#329570,0.175000000000001); #134661=CIRCLE('',#329574,0.139999999999998); #134662=CIRCLE('',#329575,0.139999999999998); #134663=CIRCLE('',#329577,0.175000000000001); #134664=CIRCLE('',#329578,0.175000000000001); #134665=CIRCLE('',#329582,0.139999999999998); #134666=CIRCLE('',#329583,0.139999999999998); #134667=CIRCLE('',#329585,0.175000000000001); #134668=CIRCLE('',#329586,0.175000000000001); #134669=CIRCLE('',#329590,0.14); #134670=CIRCLE('',#329591,0.14); #134671=CIRCLE('',#329593,0.175); #134672=CIRCLE('',#329594,0.175); #134673=CIRCLE('',#329598,0.139999999999998); #134674=CIRCLE('',#329599,0.139999999999998); #134675=CIRCLE('',#329601,0.175000000000001); #134676=CIRCLE('',#329602,0.175000000000001); #134677=CIRCLE('',#329606,0.139999999999998); #134678=CIRCLE('',#329607,0.139999999999998); #134679=CIRCLE('',#329609,0.175000000000001); #134680=CIRCLE('',#329610,0.175000000000001); #134681=CIRCLE('',#329614,0.139999999999998); #134682=CIRCLE('',#329615,0.139999999999998); #134683=CIRCLE('',#329617,0.175000000000001); #134684=CIRCLE('',#329618,0.175000000000001); #134685=CIRCLE('',#329622,0.14); #134686=CIRCLE('',#329623,0.14); #134687=CIRCLE('',#329625,0.175000000000001); #134688=CIRCLE('',#329626,0.175000000000001); #134689=CIRCLE('',#329630,0.139999999999998); #134690=CIRCLE('',#329631,0.139999999999998); #134691=CIRCLE('',#329633,0.175000000000001); #134692=CIRCLE('',#329634,0.175000000000001); #134693=CIRCLE('',#329638,0.565000000000002); #134694=CIRCLE('',#329639,0.565000000000002); #134695=CIRCLE('',#329641,0.600000000000001); #134696=CIRCLE('',#329642,0.600000000000001); #134697=CIRCLE('',#329646,0.140000000000002); #134698=CIRCLE('',#329647,0.140000000000002); #134699=CIRCLE('',#329649,0.175000000000001); #134700=CIRCLE('',#329650,0.175000000000001); #134701=CIRCLE('',#329654,0.139999999999998); #134702=CIRCLE('',#329655,0.139999999999998); #134703=CIRCLE('',#329657,0.175000000000001); #134704=CIRCLE('',#329658,0.175000000000001); #134705=CIRCLE('',#329662,0.140000000000002); #134706=CIRCLE('',#329663,0.140000000000002); #134707=CIRCLE('',#329665,0.175000000000001); #134708=CIRCLE('',#329666,0.175000000000001); #134709=CIRCLE('',#329670,0.139999999999998); #134710=CIRCLE('',#329671,0.139999999999998); #134711=CIRCLE('',#329673,0.175000000000001); #134712=CIRCLE('',#329674,0.175000000000001); #134713=CIRCLE('',#329678,0.140000000000002); #134714=CIRCLE('',#329679,0.140000000000002); #134715=CIRCLE('',#329681,0.175000000000001); #134716=CIRCLE('',#329682,0.175000000000001); #134717=CIRCLE('',#329686,0.139999999999998); #134718=CIRCLE('',#329687,0.139999999999998); #134719=CIRCLE('',#329689,0.175000000000001); #134720=CIRCLE('',#329690,0.175000000000001); #134721=CIRCLE('',#329694,0.140000000000002); #134722=CIRCLE('',#329695,0.140000000000002); #134723=CIRCLE('',#329697,0.175000000000001); #134724=CIRCLE('',#329698,0.175000000000001); #134725=CIRCLE('',#329702,0.139999999999998); #134726=CIRCLE('',#329703,0.139999999999998); #134727=CIRCLE('',#329705,0.175000000000001); #134728=CIRCLE('',#329706,0.175000000000001); #134729=CIRCLE('',#329710,0.140000000000002); #134730=CIRCLE('',#329711,0.140000000000002); #134731=CIRCLE('',#329713,0.175000000000001); #134732=CIRCLE('',#329714,0.175000000000001); #134733=CIRCLE('',#329718,0.139999999999998); #134734=CIRCLE('',#329719,0.139999999999998); #134735=CIRCLE('',#329721,0.175000000000001); #134736=CIRCLE('',#329722,0.175000000000001); #134737=CIRCLE('',#329726,0.139999999999998); #134738=CIRCLE('',#329727,0.139999999999998); #134739=CIRCLE('',#329729,0.175000000000001); #134740=CIRCLE('',#329730,0.175000000000001); #134741=CIRCLE('',#329734,0.139999999999998); #134742=CIRCLE('',#329735,0.139999999999998); #134743=CIRCLE('',#329737,0.175000000000001); #134744=CIRCLE('',#329738,0.175000000000001); #134745=CIRCLE('',#329742,0.139999999999998); #134746=CIRCLE('',#329743,0.139999999999998); #134747=CIRCLE('',#329745,0.175000000000001); #134748=CIRCLE('',#329746,0.175000000000001); #134749=CIRCLE('',#329750,0.139999999999998); #134750=CIRCLE('',#329751,0.139999999999998); #134751=CIRCLE('',#329753,0.175000000000001); #134752=CIRCLE('',#329754,0.175000000000001); #134753=CIRCLE('',#329758,0.140000000000002); #134754=CIRCLE('',#329759,0.140000000000002); #134755=CIRCLE('',#329761,0.175000000000001); #134756=CIRCLE('',#329762,0.175000000000001); #134757=CIRCLE('',#329766,0.465); #134758=CIRCLE('',#329767,0.465); #134759=CIRCLE('',#329769,0.5); #134760=CIRCLE('',#329770,0.5); #134761=CIRCLE('',#329774,0.14); #134762=CIRCLE('',#329775,0.14); #134763=CIRCLE('',#329777,0.175000000000001); #134764=CIRCLE('',#329778,0.175000000000001); #134765=CIRCLE('',#329782,0.139999999999998); #134766=CIRCLE('',#329783,0.139999999999998); #134767=CIRCLE('',#329785,0.175000000000001); #134768=CIRCLE('',#329786,0.175000000000001); #134769=CIRCLE('',#329790,0.14); #134770=CIRCLE('',#329791,0.14); #134771=CIRCLE('',#329793,0.175000000000001); #134772=CIRCLE('',#329794,0.175000000000001); #134773=CIRCLE('',#329798,0.139999999999998); #134774=CIRCLE('',#329799,0.139999999999998); #134775=CIRCLE('',#329801,0.175000000000001); #134776=CIRCLE('',#329802,0.175000000000001); #134777=CIRCLE('',#329806,0.14); #134778=CIRCLE('',#329807,0.14); #134779=CIRCLE('',#329809,0.175000000000001); #134780=CIRCLE('',#329810,0.175000000000001); #134781=CIRCLE('',#329814,0.139999999999998); #134782=CIRCLE('',#329815,0.139999999999998); #134783=CIRCLE('',#329817,0.175000000000001); #134784=CIRCLE('',#329818,0.175000000000001); #134785=CIRCLE('',#329822,0.14); #134786=CIRCLE('',#329823,0.14); #134787=CIRCLE('',#329825,0.175000000000001); #134788=CIRCLE('',#329826,0.175000000000001); #134789=CIRCLE('',#329830,0.139999999999998); #134790=CIRCLE('',#329831,0.139999999999998); #134791=CIRCLE('',#329833,0.175000000000001); #134792=CIRCLE('',#329834,0.175000000000001); #134793=CIRCLE('',#329838,0.14); #134794=CIRCLE('',#329839,0.14); #134795=CIRCLE('',#329841,0.175000000000001); #134796=CIRCLE('',#329842,0.175000000000001); #134797=CIRCLE('',#329846,0.139999999999998); #134798=CIRCLE('',#329847,0.139999999999998); #134799=CIRCLE('',#329849,0.175000000000001); #134800=CIRCLE('',#329850,0.175000000000001); #134801=CIRCLE('',#329854,0.14); #134802=CIRCLE('',#329855,0.14); #134803=CIRCLE('',#329857,0.175000000000001); #134804=CIRCLE('',#329858,0.175000000000001); #134805=CIRCLE('',#329862,0.139999999999998); #134806=CIRCLE('',#329863,0.139999999999998); #134807=CIRCLE('',#329865,0.175000000000001); #134808=CIRCLE('',#329866,0.175000000000001); #134809=CIRCLE('',#329870,0.14); #134810=CIRCLE('',#329871,0.14); #134811=CIRCLE('',#329873,0.175000000000001); #134812=CIRCLE('',#329874,0.175000000000001); #134813=CIRCLE('',#329878,0.139999999999998); #134814=CIRCLE('',#329879,0.139999999999998); #134815=CIRCLE('',#329881,0.175000000000001); #134816=CIRCLE('',#329882,0.175000000000001); #134817=CIRCLE('',#329886,0.14); #134818=CIRCLE('',#329887,0.14); #134819=CIRCLE('',#329889,0.175000000000001); #134820=CIRCLE('',#329890,0.175000000000001); #134821=CIRCLE('',#329894,0.514999999999999); #134822=CIRCLE('',#329895,0.514999999999999); #134823=CIRCLE('',#329897,0.550000000000002); #134824=CIRCLE('',#329898,0.550000000000002); #134825=CIRCLE('',#329902,0.140000000000002); #134826=CIRCLE('',#329903,0.140000000000002); #134827=CIRCLE('',#329905,0.175000000000001); #134828=CIRCLE('',#329906,0.175000000000001); #134829=CIRCLE('',#329910,0.139999999999998); #134830=CIRCLE('',#329911,0.139999999999998); #134831=CIRCLE('',#329913,0.175000000000001); #134832=CIRCLE('',#329914,0.175000000000001); #134833=CIRCLE('',#329918,0.140000000000002); #134834=CIRCLE('',#329919,0.140000000000002); #134835=CIRCLE('',#329921,0.175000000000001); #134836=CIRCLE('',#329922,0.175000000000001); #134837=CIRCLE('',#329926,0.139999999999998); #134838=CIRCLE('',#329927,0.139999999999998); #134839=CIRCLE('',#329929,0.175000000000001); #134840=CIRCLE('',#329930,0.175000000000001); #134841=CIRCLE('',#329934,0.140000000000002); #134842=CIRCLE('',#329935,0.140000000000002); #134843=CIRCLE('',#329937,0.175000000000001); #134844=CIRCLE('',#329938,0.175000000000001); #134845=CIRCLE('',#329942,0.139999999999998); #134846=CIRCLE('',#329943,0.139999999999998); #134847=CIRCLE('',#329945,0.175000000000001); #134848=CIRCLE('',#329946,0.175000000000001); #134849=CIRCLE('',#329950,0.140000000000002); #134850=CIRCLE('',#329951,0.140000000000002); #134851=CIRCLE('',#329953,0.175000000000001); #134852=CIRCLE('',#329954,0.175000000000001); #134853=CIRCLE('',#329958,0.139999999999998); #134854=CIRCLE('',#329959,0.139999999999998); #134855=CIRCLE('',#329961,0.175000000000001); #134856=CIRCLE('',#329962,0.175000000000001); #134857=CIRCLE('',#329966,0.140000000000002); #134858=CIRCLE('',#329967,0.140000000000002); #134859=CIRCLE('',#329969,0.175000000000001); #134860=CIRCLE('',#329970,0.175000000000001); #134861=CIRCLE('',#329974,0.139999999999998); #134862=CIRCLE('',#329975,0.139999999999998); #134863=CIRCLE('',#329977,0.175000000000001); #134864=CIRCLE('',#329978,0.175000000000001); #134865=CIRCLE('',#329982,0.140000000000002); #134866=CIRCLE('',#329983,0.140000000000002); #134867=CIRCLE('',#329985,0.175000000000001); #134868=CIRCLE('',#329986,0.175000000000001); #134869=CIRCLE('',#329990,0.139999999999998); #134870=CIRCLE('',#329991,0.139999999999998); #134871=CIRCLE('',#329993,0.175000000000001); #134872=CIRCLE('',#329994,0.175000000000001); #134873=CIRCLE('',#329998,0.140000000000002); #134874=CIRCLE('',#329999,0.140000000000002); #134875=CIRCLE('',#330001,0.175000000000001); #134876=CIRCLE('',#330002,0.175000000000001); #134877=CIRCLE('',#330006,0.139999999999998); #134878=CIRCLE('',#330007,0.139999999999998); #134879=CIRCLE('',#330009,0.175000000000001); #134880=CIRCLE('',#330010,0.175000000000001); #134881=CIRCLE('',#330014,0.140000000000002); #134882=CIRCLE('',#330015,0.140000000000002); #134883=CIRCLE('',#330017,0.175000000000001); #134884=CIRCLE('',#330018,0.175000000000001); #134885=CIRCLE('',#330022,0.465); #134886=CIRCLE('',#330023,0.465); #134887=CIRCLE('',#330025,0.5); #134888=CIRCLE('',#330026,0.5); #134889=CIRCLE('',#330030,0.140000000000002); #134890=CIRCLE('',#330031,0.140000000000002); #134891=CIRCLE('',#330033,0.175000000000001); #134892=CIRCLE('',#330034,0.175000000000001); #134893=CIRCLE('',#330038,0.139999999999998); #134894=CIRCLE('',#330039,0.139999999999998); #134895=CIRCLE('',#330041,0.175000000000001); #134896=CIRCLE('',#330042,0.175000000000001); #134897=CIRCLE('',#330046,0.140000000000002); #134898=CIRCLE('',#330047,0.140000000000002); #134899=CIRCLE('',#330049,0.175000000000001); #134900=CIRCLE('',#330050,0.175000000000001); #134901=CIRCLE('',#330054,0.139999999999998); #134902=CIRCLE('',#330055,0.139999999999998); #134903=CIRCLE('',#330057,0.175000000000001); #134904=CIRCLE('',#330058,0.175000000000001); #134905=CIRCLE('',#330062,0.140000000000002); #134906=CIRCLE('',#330063,0.140000000000002); #134907=CIRCLE('',#330065,0.175000000000001); #134908=CIRCLE('',#330066,0.175000000000001); #134909=CIRCLE('',#330070,0.139999999999998); #134910=CIRCLE('',#330071,0.139999999999998); #134911=CIRCLE('',#330073,0.175000000000001); #134912=CIRCLE('',#330074,0.175000000000001); #134913=CIRCLE('',#330078,0.140000000000002); #134914=CIRCLE('',#330079,0.140000000000002); #134915=CIRCLE('',#330081,0.175000000000001); #134916=CIRCLE('',#330082,0.175000000000001); #134917=CIRCLE('',#330086,0.139999999999998); #134918=CIRCLE('',#330087,0.139999999999998); #134919=CIRCLE('',#330089,0.175000000000001); #134920=CIRCLE('',#330090,0.175000000000001); #134921=CIRCLE('',#330094,0.140000000000002); #134922=CIRCLE('',#330095,0.140000000000002); #134923=CIRCLE('',#330097,0.175000000000001); #134924=CIRCLE('',#330098,0.175000000000001); #134925=CIRCLE('',#330102,0.139999999999998); #134926=CIRCLE('',#330103,0.139999999999998); #134927=CIRCLE('',#330105,0.175000000000001); #134928=CIRCLE('',#330106,0.175000000000001); #134929=CIRCLE('',#330110,0.140000000000002); #134930=CIRCLE('',#330111,0.140000000000002); #134931=CIRCLE('',#330113,0.175000000000001); #134932=CIRCLE('',#330114,0.175000000000001); #134933=CIRCLE('',#330118,0.139999999999998); #134934=CIRCLE('',#330119,0.139999999999998); #134935=CIRCLE('',#330121,0.175000000000001); #134936=CIRCLE('',#330122,0.175000000000001); #134937=CIRCLE('',#330126,0.140000000000002); #134938=CIRCLE('',#330127,0.140000000000002); #134939=CIRCLE('',#330129,0.175000000000001); #134940=CIRCLE('',#330130,0.175000000000001); #134941=CIRCLE('',#330134,0.140000000000002); #134942=CIRCLE('',#330135,0.140000000000002); #134943=CIRCLE('',#330137,0.175000000000001); #134944=CIRCLE('',#330138,0.175000000000001); #134945=CIRCLE('',#330142,0.140000000000002); #134946=CIRCLE('',#330143,0.140000000000002); #134947=CIRCLE('',#330145,0.175000000000001); #134948=CIRCLE('',#330146,0.175000000000001); #134949=CIRCLE('',#330150,0.564999999999998); #134950=CIRCLE('',#330151,0.564999999999998); #134951=CIRCLE('',#330153,0.600000000000001); #134952=CIRCLE('',#330154,0.600000000000001); #134953=CIRCLE('',#330159,0.564999999999998); #134954=CIRCLE('',#330160,0.564999999999998); #134955=CIRCLE('',#330163,0.900857088405025); #134956=CIRCLE('',#330164,0.900857088405025); #134957=CIRCLE('',#330166,0.900856769723134); #134958=CIRCLE('',#330167,0.900856769723134); #134959=CIRCLE('',#330231,0.565000000000002); #134960=CIRCLE('',#330232,0.565000000000002); #134961=CIRCLE('',#330235,0.900857088405025); #134962=CIRCLE('',#330236,0.900857088405025); #134963=CIRCLE('',#330238,0.900856769723134); #134964=CIRCLE('',#330239,0.900856769723134); #134965=CIRCLE('',#330243,0.140000000000002); #134966=CIRCLE('',#330244,0.140000000000002); #134967=CIRCLE('',#330246,0.140000000000002); #134968=CIRCLE('',#330247,0.140000000000002); #134969=CIRCLE('',#330250,0.275850031150924); #134970=CIRCLE('',#330251,0.275850031150924); #134971=CIRCLE('',#330253,0.275430734847663); #134972=CIRCLE('',#330254,0.275430734847663); #134973=CIRCLE('',#330257,0.275430616157734); #134974=CIRCLE('',#330258,0.275430616157734); #134975=CIRCLE('',#330260,0.275430435747982); #134976=CIRCLE('',#330261,0.275430435747982); #134977=CIRCLE('',#330264,0.275430284536495); #134978=CIRCLE('',#330265,0.275430284536495); #134979=CIRCLE('',#330269,0.514999999999999); #134980=CIRCLE('',#330270,0.514999999999999); #134981=CIRCLE('',#330273,0.825995597015953); #134982=CIRCLE('',#330274,0.825995597015953); #134983=CIRCLE('',#330276,0.825996336030616); #134984=CIRCLE('',#330277,0.825996336030616); #134985=CIRCLE('',#330281,0.465); #134986=CIRCLE('',#330282,0.465); #134987=CIRCLE('',#330285,0.750904962541172); #134988=CIRCLE('',#330286,0.750904962541172); #134989=CIRCLE('',#330288,0.750905460124643); #134990=CIRCLE('',#330289,0.750905460124643); #134991=CIRCLE('',#330293,0.139999999999998); #134992=CIRCLE('',#330294,0.139999999999998); #134993=CIRCLE('',#330297,0.275430523299251); #134994=CIRCLE('',#330298,0.275430523299251); #134995=CIRCLE('',#330301,0.127001515838141); #134996=CIRCLE('',#330302,0.127001515838141); #134997=CIRCLE('',#330311,0.275430756167228); #134998=CIRCLE('',#330312,0.275430756167228); #134999=CIRCLE('',#330314,0.275850020106942); #135000=CIRCLE('',#330315,0.275850020106942); #135001=CIRCLE('',#330319,0.139999999999998); #135002=CIRCLE('',#330320,0.139999999999998); #135003=CIRCLE('',#330323,0.275429677195186); #135004=CIRCLE('',#330324,0.275429677195186); #135005=CIRCLE('',#330333,0.275429442438526); #135006=CIRCLE('',#330334,0.275429442438526); #135007=CIRCLE('',#330346,0.127001515838136); #135008=CIRCLE('',#330347,0.127001515838136); #135009=CIRCLE('',#330350,0.127001515838139); #135010=CIRCLE('',#330351,0.127001515838139); #135011=CIRCLE('',#330360,0.127000308727438); #135012=CIRCLE('',#330361,0.127000308727438); #135013=CIRCLE('',#330371,0.127000308727433); #135014=CIRCLE('',#330372,0.127000308727433); #135015=CIRCLE('',#330381,0.127000308727435); #135016=CIRCLE('',#330382,0.127000308727435); #135017=CIRCLE('',#330386,0.127000308727438); #135018=CIRCLE('',#330387,0.127000308727438); #135019=CIRCLE('',#330396,0.127001515838141); #135020=CIRCLE('',#330397,0.127001515838141); #135021=CIRCLE('',#330404,0.127000308727433); #135022=CIRCLE('',#330405,0.127000308727433); #135023=CIRCLE('',#330408,0.127000308727433); #135024=CIRCLE('',#330409,0.127000308727433); #135025=CIRCLE('',#330422,0.127000308727433); #135026=CIRCLE('',#330423,0.127000308727433); #135027=CIRCLE('',#330426,0.127000308727433); #135028=CIRCLE('',#330427,0.127000308727433); #135029=CIRCLE('',#330434,0.127000308727425); #135030=CIRCLE('',#330435,0.127000308727425); #135031=CIRCLE('',#330444,0.127000308727441); #135032=CIRCLE('',#330445,0.127000308727441); #135033=CIRCLE('',#330449,0.127000308727431); #135034=CIRCLE('',#330450,0.127000308727431); #135035=CIRCLE('',#330459,0.127000308727431); #135036=CIRCLE('',#330460,0.127000308727431); #135037=CIRCLE('',#330466,0.139999999999998); #135038=CIRCLE('',#330467,0.139999999999998); #135039=CIRCLE('',#330470,0.275429726247122); #135040=CIRCLE('',#330471,0.275429726247122); #135041=CIRCLE('',#330475,0.127000429438417); #135042=CIRCLE('',#330476,0.127000429438417); #135043=CIRCLE('',#330480,0.127000308727438); #135044=CIRCLE('',#330481,0.127000308727438); #135045=CIRCLE('',#330491,0.127000308727435); #135046=CIRCLE('',#330492,0.127000308727435); #135047=CIRCLE('',#330496,0.127000429438417); #135048=CIRCLE('',#330497,0.127000429438417); #135049=CIRCLE('',#330500,0.27542954841201); #135050=CIRCLE('',#330501,0.27542954841201); #135051=CIRCLE('',#330505,0.139999999999998); #135052=CIRCLE('',#330506,0.139999999999998); #135053=CIRCLE('',#330509,0.275429726247122); #135054=CIRCLE('',#330510,0.275429726247122); #135055=CIRCLE('',#330514,0.127001515838136); #135056=CIRCLE('',#330515,0.127001515838136); #135057=CIRCLE('',#330525,0.127000308727438); #135058=CIRCLE('',#330526,0.127000308727438); #135059=CIRCLE('',#330529,0.275429548412009); #135060=CIRCLE('',#330530,0.275429548412009); #135061=CIRCLE('',#330534,0.139999999999998); #135062=CIRCLE('',#330535,0.139999999999998); #135063=CIRCLE('',#330538,0.275429677195186); #135064=CIRCLE('',#330539,0.275429677195186); #135065=CIRCLE('',#330548,0.127000308727438); #135066=CIRCLE('',#330549,0.127000308727438); #135067=CIRCLE('',#330552,0.275429442438526); #135068=CIRCLE('',#330553,0.275429442438526); #135069=CIRCLE('',#330557,0.139999999999998); #135070=CIRCLE('',#330558,0.139999999999998); #135071=CIRCLE('',#330561,0.275430525995305); #135072=CIRCLE('',#330562,0.275430525995305); #135073=CIRCLE('',#330565,0.127000308727436); #135074=CIRCLE('',#330566,0.127000308727436); #135075=CIRCLE('',#330577,0.275850020106943); #135076=CIRCLE('',#330578,0.275850020106943); #135077=CIRCLE('',#330582,0.140000000000002); #135078=CIRCLE('',#330583,0.140000000000002); #135079=CIRCLE('',#330585,0.140000000000002); #135080=CIRCLE('',#330586,0.140000000000002); #135081=CIRCLE('',#330589,0.275850031150944); #135082=CIRCLE('',#330590,0.275850031150944); #135083=CIRCLE('',#330592,0.275430734847662); #135084=CIRCLE('',#330593,0.275430734847662); #135085=CIRCLE('',#330596,0.275446747951507); #135086=CIRCLE('',#330597,0.275446747951507); #135087=CIRCLE('',#330599,0.275424633936642); #135088=CIRCLE('',#330600,0.275424633936642); #135089=CIRCLE('',#330603,0.275430284536491); #135090=CIRCLE('',#330604,0.275430284536491); #135091=CIRCLE('',#330608,0.139999999999998); #135092=CIRCLE('',#330609,0.139999999999998); #135093=CIRCLE('',#330612,0.275429726247122); #135094=CIRCLE('',#330613,0.275429726247122); #135095=CIRCLE('',#330617,0.127001515838133); #135096=CIRCLE('',#330618,0.127001515838133); #135097=CIRCLE('',#330628,0.127000308727435); #135098=CIRCLE('',#330629,0.127000308727435); #135099=CIRCLE('',#330632,0.275429548412009); #135100=CIRCLE('',#330633,0.275429548412009); #135101=CIRCLE('',#330637,0.139999999999998); #135102=CIRCLE('',#330638,0.139999999999998); #135103=CIRCLE('',#330641,0.275429726247122); #135104=CIRCLE('',#330642,0.275429726247122); #135105=CIRCLE('',#330646,0.127000429438417); #135106=CIRCLE('',#330647,0.127000429438417); #135107=CIRCLE('',#330651,0.127000308727435); #135108=CIRCLE('',#330652,0.127000308727435); #135109=CIRCLE('',#330662,0.127000308727433); #135110=CIRCLE('',#330663,0.127000308727433); #135111=CIRCLE('',#330667,0.127000429438417); #135112=CIRCLE('',#330668,0.127000429438417); #135113=CIRCLE('',#330671,0.27542954841201); #135114=CIRCLE('',#330672,0.27542954841201); #135115=CIRCLE('',#330680,0.127000308727439); #135116=CIRCLE('',#330681,0.127000308727439); #135117=CIRCLE('',#330684,0.127000308727438); #135118=CIRCLE('',#330685,0.127000308727438); #135119=CIRCLE('',#330690,0.127000308727438); #135120=CIRCLE('',#330691,0.127000308727438); #135121=CIRCLE('',#330700,0.127000308727433); #135122=CIRCLE('',#330701,0.127000308727433); #135123=CIRCLE('',#330704,0.127000308727435); #135124=CIRCLE('',#330705,0.127000308727435); #135125=CIRCLE('',#330714,0.139999999999998); #135126=CIRCLE('',#330715,0.139999999999998); #135127=CIRCLE('',#330718,0.275429677195186); #135128=CIRCLE('',#330719,0.275429677195186); #135129=CIRCLE('',#330723,0.127000308727438); #135130=CIRCLE('',#330724,0.127000308727438); #135131=CIRCLE('',#330727,0.127000308727438); #135132=CIRCLE('',#330728,0.127000308727438); #135133=CIRCLE('',#330731,0.127000308727446); #135134=CIRCLE('',#330732,0.127000308727446); #135135=CIRCLE('',#330741,0.127000308727441); #135136=CIRCLE('',#330742,0.127000308727441); #135137=CIRCLE('',#330748,0.127000308727447); #135138=CIRCLE('',#330749,0.127000308727447); #135139=CIRCLE('',#330752,0.275429442438526); #135140=CIRCLE('',#330753,0.275429442438526); #135141=CIRCLE('',#330757,0.139999999999998); #135142=CIRCLE('',#330758,0.139999999999998); #135143=CIRCLE('',#330761,0.27543052329925); #135144=CIRCLE('',#330762,0.27543052329925); #135145=CIRCLE('',#330771,0.275430756167224); #135146=CIRCLE('',#330772,0.275430756167224); #135147=CIRCLE('',#330774,0.275850020106942); #135148=CIRCLE('',#330775,0.275850020106942); #135149=CIRCLE('',#330784,0.127000308727433); #135150=CIRCLE('',#330785,0.127000308727433); #135151=CIRCLE('',#330790,0.127000308727444); #135152=CIRCLE('',#330791,0.127000308727444); #135153=CIRCLE('',#330794,0.127000308727438); #135154=CIRCLE('',#330795,0.127000308727438); #135155=CIRCLE('',#330798,0.127000308727436); #135156=CIRCLE('',#330799,0.127000308727436); #135157=CIRCLE('',#330803,0.127000308727438); #135158=CIRCLE('',#330804,0.127000308727438); #135159=CIRCLE('',#330813,0.127000308727443); #135160=CIRCLE('',#330814,0.127000308727443); #135161=CIRCLE('',#330818,0.127000308727427); #135162=CIRCLE('',#330819,0.127000308727427); #135163=CIRCLE('',#330825,0.127000308727438); #135164=CIRCLE('',#330826,0.127000308727438); #135165=CIRCLE('',#330829,0.127001515838136); #135166=CIRCLE('',#330830,0.127001515838136); #135167=CIRCLE('',#330843,0.127001515838136); #135168=CIRCLE('',#330844,0.127001515838136); #135169=CIRCLE('',#330847,0.127000308727433); #135170=CIRCLE('',#330848,0.127000308727433); #135171=CIRCLE('',#330854,0.127000308727433); #135172=CIRCLE('',#330855,0.127000308727433); #135173=CIRCLE('',#330859,0.127000308727443); #135174=CIRCLE('',#330860,0.127000308727443); #135175=CIRCLE('',#330869,0.127000308727438); #135176=CIRCLE('',#330870,0.127000308727438); #135177=CIRCLE('',#330874,0.127000308727436); #135178=CIRCLE('',#330875,0.127000308727436); #135179=CIRCLE('',#330878,0.127000308727438); #135180=CIRCLE('',#330879,0.127000308727438); #135181=CIRCLE('',#330882,0.127000308727444); #135182=CIRCLE('',#330883,0.127000308727444); #135183=CIRCLE('',#330888,0.127000308727433); #135184=CIRCLE('',#330889,0.127000308727433); #135185=CIRCLE('',#330899,0.127000308727438); #135186=CIRCLE('',#330900,0.127000308727438); #135187=CIRCLE('',#330904,0.127000308727438); #135188=CIRCLE('',#330905,0.127000308727438); #135189=CIRCLE('',#330914,0.127000308727438); #135190=CIRCLE('',#330915,0.127000308727438); #135191=CIRCLE('',#330923,0.139999999999998); #135192=CIRCLE('',#330924,0.139999999999998); #135193=CIRCLE('',#330928,0.127028798213342); #135194=CIRCLE('',#330929,0.127028798213342); #135195=CIRCLE('',#330934,0.126750626298977); #135196=CIRCLE('',#330935,0.126750626298977); #135197=CIRCLE('',#330939,0.275429704872054); #135198=CIRCLE('',#330940,0.275429704872054); #135199=CIRCLE('',#330942,0.275429777742635); #135200=CIRCLE('',#330943,0.275429777742635); #135201=CIRCLE('',#330946,0.127000308727434); #135202=CIRCLE('',#330947,0.127000308727434); #135203=CIRCLE('',#330951,0.127000308727438); #135204=CIRCLE('',#330952,0.127000308727438); #135205=CIRCLE('',#330961,0.139999999999998); #135206=CIRCLE('',#330962,0.139999999999998); #135207=CIRCLE('',#330965,0.275429677195186); #135208=CIRCLE('',#330966,0.275429677195186); #135209=CIRCLE('',#330970,0.127000308727435); #135210=CIRCLE('',#330971,0.127000308727435); #135211=CIRCLE('',#330981,0.127000308727435); #135212=CIRCLE('',#330982,0.127000308727435); #135213=CIRCLE('',#330985,0.275429442438527); #135214=CIRCLE('',#330986,0.275429442438527); #135215=CIRCLE('',#330990,0.139999999999998); #135216=CIRCLE('',#330991,0.139999999999998); #135217=CIRCLE('',#330994,0.275850826114455); #135218=CIRCLE('',#330995,0.275850826114455); #135219=CIRCLE('',#330997,0.275429566248993); #135220=CIRCLE('',#330998,0.275429566248993); #135221=CIRCLE('',#331007,0.127000308727425); #135222=CIRCLE('',#331008,0.127000308727425); #135223=CIRCLE('',#331011,0.275430756167224); #135224=CIRCLE('',#331012,0.275430756167224); #135225=CIRCLE('',#331016,0.139999999999998); #135226=CIRCLE('',#331017,0.139999999999998); #135227=CIRCLE('',#331024,0.127000308727433); #135228=CIRCLE('',#331025,0.127000308727433); #135229=CIRCLE('',#331029,0.127000308727438); #135230=CIRCLE('',#331030,0.127000308727438); #135231=CIRCLE('',#331033,0.275430616157731); #135232=CIRCLE('',#331034,0.275430616157731); #135233=CIRCLE('',#331036,0.275430435747982); #135234=CIRCLE('',#331037,0.275430435747982); #135235=CIRCLE('',#331041,0.127000308727436); #135236=CIRCLE('',#331042,0.127000308727436); #135237=CIRCLE('',#331049,0.139999999999998); #135238=CIRCLE('',#331050,0.139999999999998); #135239=CIRCLE('',#331053,0.275430523299249); #135240=CIRCLE('',#331054,0.275430523299249); #135241=CIRCLE('',#331057,0.127001515838139); #135242=CIRCLE('',#331058,0.127001515838139); #135243=CIRCLE('',#331067,0.275430756167228); #135244=CIRCLE('',#331068,0.275430756167228); #135245=CIRCLE('',#331070,0.275850020106943); #135246=CIRCLE('',#331071,0.275850020106943); #135247=CIRCLE('',#331075,0.139999999999998); #135248=CIRCLE('',#331076,0.139999999999998); #135249=CIRCLE('',#331084,0.127000308727438); #135250=CIRCLE('',#331085,0.127000308727438); #135251=CIRCLE('',#331089,0.275430616157734); #135252=CIRCLE('',#331090,0.275430616157734); #135253=CIRCLE('',#331092,0.275430906036581); #135254=CIRCLE('',#331093,0.275430906036581); #135255=CIRCLE('',#331096,0.127000308727438); #135256=CIRCLE('',#331097,0.127000308727438); #135257=CIRCLE('',#331101,0.127000308727433); #135258=CIRCLE('',#331102,0.127000308727433); #135259=CIRCLE('',#331108,0.139999999999998); #135260=CIRCLE('',#331109,0.139999999999998); #135261=CIRCLE('',#331112,0.27543052329925); #135262=CIRCLE('',#331113,0.27543052329925); #135263=CIRCLE('',#331122,0.275430756167225); #135264=CIRCLE('',#331123,0.275430756167225); #135265=CIRCLE('',#331125,0.275850020106942); #135266=CIRCLE('',#331126,0.275850020106942); #135267=CIRCLE('',#331130,0.140000000000002); #135268=CIRCLE('',#331131,0.140000000000002); #135269=CIRCLE('',#331134,0.275850826114459); #135270=CIRCLE('',#331135,0.275850826114459); #135271=CIRCLE('',#331137,0.275429566248995); #135272=CIRCLE('',#331138,0.275429566248995); #135273=CIRCLE('',#331147,0.275430756167224); #135274=CIRCLE('',#331148,0.275430756167224); #135275=CIRCLE('',#331152,0.139999999999998); #135276=CIRCLE('',#331153,0.139999999999998); #135277=CIRCLE('',#331160,0.12700030872744); #135278=CIRCLE('',#331161,0.12700030872744); #135279=CIRCLE('',#331168,0.275429728561975); #135280=CIRCLE('',#331169,0.275429728561975); #135281=CIRCLE('',#331171,0.275429566248996); #135282=CIRCLE('',#331172,0.275429566248996); #135283=CIRCLE('',#331175,0.127000308727436); #135284=CIRCLE('',#331176,0.127000308727436); #135285=CIRCLE('',#331179,0.127000308727438); #135286=CIRCLE('',#331180,0.127000308727438); #135287=CIRCLE('',#331183,0.127000308727438); #135288=CIRCLE('',#331184,0.127000308727438); #135289=CIRCLE('',#331187,0.127000308727431); #135290=CIRCLE('',#331188,0.127000308727431); #135291=CIRCLE('',#331194,0.139999999999998); #135292=CIRCLE('',#331195,0.139999999999998); #135293=CIRCLE('',#331205,0.275429728561975); #135294=CIRCLE('',#331206,0.275429728561975); #135295=CIRCLE('',#331208,0.275429566248998); #135296=CIRCLE('',#331209,0.275429566248998); #135297=CIRCLE('',#331212,0.127000308727436); #135298=CIRCLE('',#331213,0.127000308727436); #135299=CIRCLE('',#331216,0.127000308727438); #135300=CIRCLE('',#331217,0.127000308727438); #135301=CIRCLE('',#331220,0.12700151583812); #135302=CIRCLE('',#331221,0.12700151583812); #135303=CIRCLE('',#331226,0.140000000000002); #135304=CIRCLE('',#331227,0.140000000000002); #135305=CIRCLE('',#331234,0.127000308727436); #135306=CIRCLE('',#331235,0.127000308727436); #135307=CIRCLE('',#331238,0.127000308727436); #135308=CIRCLE('',#331239,0.127000308727436); #135309=CIRCLE('',#331242,0.127000308727436); #135310=CIRCLE('',#331243,0.127000308727436); #135311=CIRCLE('',#331246,0.127000308727447); #135312=CIRCLE('',#331247,0.127000308727447); #135313=CIRCLE('',#331250,0.275429704872057); #135314=CIRCLE('',#331251,0.275429704872057); #135315=CIRCLE('',#331253,0.275429777742636); #135316=CIRCLE('',#331254,0.275429777742636); #135317=CIRCLE('',#331261,0.12700030872743); #135318=CIRCLE('',#331262,0.12700030872743); #135319=CIRCLE('',#331272,0.127000308727437); #135320=CIRCLE('',#331273,0.127000308727437); #135321=CIRCLE('',#331276,0.127000308727438); #135322=CIRCLE('',#331277,0.127000308727438); #135323=CIRCLE('',#331288,0.127000308727433); #135324=CIRCLE('',#331289,0.127000308727433); #135325=CIRCLE('',#331292,0.127000308727438); #135326=CIRCLE('',#331293,0.127000308727438); #135327=CIRCLE('',#331302,0.139999999999998); #135328=CIRCLE('',#331303,0.139999999999998); #135329=CIRCLE('',#331311,0.139999999999998); #135330=CIRCLE('',#331312,0.139999999999998); #135331=CIRCLE('',#331315,0.275429677195186); #135332=CIRCLE('',#331316,0.275429677195186); #135333=CIRCLE('',#331319,0.127000308727449); #135334=CIRCLE('',#331320,0.127000308727449); #135335=CIRCLE('',#331326,0.127000308727436); #135336=CIRCLE('',#331327,0.127000308727436); #135337=CIRCLE('',#331336,0.12700030872744); #135338=CIRCLE('',#331337,0.12700030872744); #135339=CIRCLE('',#331340,0.127000308727438); #135340=CIRCLE('',#331341,0.127000308727438); #135341=CIRCLE('',#331344,0.127000308727438); #135342=CIRCLE('',#331345,0.127000308727438); #135343=CIRCLE('',#331349,0.275429442438526); #135344=CIRCLE('',#331350,0.275429442438526); #135345=CIRCLE('',#331354,0.139999999999998); #135346=CIRCLE('',#331355,0.139999999999998); #135347=CIRCLE('',#331364,0.127000308727438); #135348=CIRCLE('',#331365,0.127000308727438); #135349=CIRCLE('',#331368,0.275429704872049); #135350=CIRCLE('',#331369,0.275429704872049); #135351=CIRCLE('',#331371,0.27542977774263); #135352=CIRCLE('',#331372,0.27542977774263); #135353=CIRCLE('',#331376,0.127000308727437); #135354=CIRCLE('',#331377,0.127000308727437); #135355=CIRCLE('',#331382,0.140000000000002); #135356=CIRCLE('',#331383,0.140000000000002); #135357=CIRCLE('',#331395,0.127000308727447); #135358=CIRCLE('',#331396,0.127000308727447); #135359=CIRCLE('',#331399,0.127000308727447); #135360=CIRCLE('',#331400,0.127000308727447); #135361=CIRCLE('',#331405,0.127001515838128); #135362=CIRCLE('',#331406,0.127001515838128); #135363=CIRCLE('',#331409,0.127000308727427); #135364=CIRCLE('',#331410,0.127000308727427); #135365=CIRCLE('',#331415,0.275429704872049); #135366=CIRCLE('',#331416,0.275429704872049); #135367=CIRCLE('',#331418,0.27542977774263); #135368=CIRCLE('',#331419,0.27542977774263); #135369=CIRCLE('',#331422,0.127000308727435); #135370=CIRCLE('',#331423,0.127000308727435); #135371=CIRCLE('',#331426,0.127000308727427); #135372=CIRCLE('',#331427,0.127000308727427); #135373=CIRCLE('',#331432,0.12700030872744); #135374=CIRCLE('',#331433,0.12700030872744); #135375=CIRCLE('',#331436,0.127000308727436); #135376=CIRCLE('',#331437,0.127000308727436); #135377=CIRCLE('',#331450,0.140000000000002); #135378=CIRCLE('',#331451,0.140000000000002); #135379=CIRCLE('',#331458,0.127000308727433); #135380=CIRCLE('',#331459,0.127000308727433); #135381=CIRCLE('',#331463,0.127000308727438); #135382=CIRCLE('',#331464,0.127000308727438); #135383=CIRCLE('',#331468,0.275429728561973); #135384=CIRCLE('',#331469,0.275429728561973); #135385=CIRCLE('',#331471,0.275429566248996); #135386=CIRCLE('',#331472,0.275429566248996); #135387=CIRCLE('',#331475,0.127001515838138); #135388=CIRCLE('',#331476,0.127001515838138); #135389=CIRCLE('',#331480,0.127001515838136); #135390=CIRCLE('',#331481,0.127001515838136); #135391=CIRCLE('',#331488,0.139999999999998); #135392=CIRCLE('',#331489,0.139999999999998); #135393=CIRCLE('',#331497,0.139999999999998); #135394=CIRCLE('',#331498,0.139999999999998); #135395=CIRCLE('',#331506,0.139999999999998); #135396=CIRCLE('',#331507,0.139999999999998); #135397=CIRCLE('',#331510,0.275430525995305); #135398=CIRCLE('',#331511,0.275430525995305); #135399=CIRCLE('',#331514,0.127000308727435); #135400=CIRCLE('',#331515,0.127000308727435); #135401=CIRCLE('',#331526,0.275850020106943); #135402=CIRCLE('',#331527,0.275850020106943); #135403=CIRCLE('',#331531,0.465); #135404=CIRCLE('',#331532,0.465); #135405=CIRCLE('',#331535,0.750904962541172); #135406=CIRCLE('',#331536,0.750904962541172); #135407=CIRCLE('',#331538,0.750905460124644); #135408=CIRCLE('',#331539,0.750905460124644); #135409=CIRCLE('',#331543,0.139999999999998); #135410=CIRCLE('',#331544,0.139999999999998); #135411=CIRCLE('',#331546,0.465); #135412=CIRCLE('',#331547,0.465); #135413=CIRCLE('',#331550,0.275429677195186); #135414=CIRCLE('',#331551,0.275429677195186); #135415=CIRCLE('',#331554,0.750581792116793); #135416=CIRCLE('',#331555,0.750581792116793); #135417=CIRCLE('',#331557,0.750581774250364); #135418=CIRCLE('',#331558,0.750581774250364); #135419=CIRCLE('',#331561,0.275429442438529); #135420=CIRCLE('',#331562,0.275429442438529); #135421=CIRCLE('',#331566,0.465); #135422=CIRCLE('',#331567,0.465); #135423=CIRCLE('',#331570,0.750904962541172); #135424=CIRCLE('',#331571,0.750904962541172); #135425=CIRCLE('',#331573,0.750905460124643); #135426=CIRCLE('',#331574,0.750905460124643); #135427=CIRCLE('',#331578,0.564999999999998); #135428=CIRCLE('',#331579,0.564999999999998); #135429=CIRCLE('',#331587,0.139999999999998); #135430=CIRCLE('',#331588,0.139999999999998); #135431=CIRCLE('',#331602,0.127000308727433); #135432=CIRCLE('',#331603,0.127000308727433); #135433=CIRCLE('',#331606,0.127000308727437); #135434=CIRCLE('',#331607,0.127000308727437); #135435=CIRCLE('',#331612,0.127000308727438); #135436=CIRCLE('',#331613,0.127000308727438); #135437=CIRCLE('',#331616,0.127000308727433); #135438=CIRCLE('',#331617,0.127000308727433); #135439=CIRCLE('',#331620,0.275429704872049); #135440=CIRCLE('',#331621,0.275429704872049); #135441=CIRCLE('',#331623,0.27542977774263); #135442=CIRCLE('',#331624,0.27542977774263); #135443=CIRCLE('',#331629,0.127001515838136); #135444=CIRCLE('',#331630,0.127001515838136); #135445=CIRCLE('',#331633,0.127001515838129); #135446=CIRCLE('',#331634,0.127001515838129); #135447=CIRCLE('',#331639,0.127000308727433); #135448=CIRCLE('',#331640,0.127000308727433); #135449=CIRCLE('',#331643,0.127000308727449); #135450=CIRCLE('',#331644,0.127000308727449); #135451=CIRCLE('',#331655,0.139999999999998); #135452=CIRCLE('',#331656,0.139999999999998); #135453=CIRCLE('',#331662,0.127000308727433); #135454=CIRCLE('',#331663,0.127000308727433); #135455=CIRCLE('',#331666,0.127000308727438); #135456=CIRCLE('',#331667,0.127000308727438); #135457=CIRCLE('',#331671,0.127001515838136); #135458=CIRCLE('',#331672,0.127001515838136); #135459=CIRCLE('',#331675,0.275429728561973); #135460=CIRCLE('',#331676,0.275429728561973); #135461=CIRCLE('',#331678,0.275429566248995); #135462=CIRCLE('',#331679,0.275429566248995); #135463=CIRCLE('',#331683,0.127000308727438); #135464=CIRCLE('',#331684,0.127000308727438); #135465=CIRCLE('',#331721,0.140000000000002); #135466=CIRCLE('',#331722,0.140000000000002); #135467=CIRCLE('',#331728,0.127001515838122); #135468=CIRCLE('',#331729,0.127001515838122); #135469=CIRCLE('',#331732,0.12700030872744); #135470=CIRCLE('',#331733,0.12700030872744); #135471=CIRCLE('',#331736,0.127000308727447); #135472=CIRCLE('',#331737,0.127000308727447); #135473=CIRCLE('',#331740,0.275429704872057); #135474=CIRCLE('',#331741,0.275429704872057); #135475=CIRCLE('',#331743,0.275429777742636); #135476=CIRCLE('',#331744,0.275429777742636); #135477=CIRCLE('',#331753,0.139999999999998); #135478=CIRCLE('',#331754,0.139999999999998); #135479=CIRCLE('',#331757,0.275429677195186); #135480=CIRCLE('',#331758,0.275429677195186); #135481=CIRCLE('',#331761,0.127000308727433); #135482=CIRCLE('',#331762,0.127000308727433); #135483=CIRCLE('',#331765,0.127000308727444); #135484=CIRCLE('',#331766,0.127000308727444); #135485=CIRCLE('',#331777,0.12700030872744); #135486=CIRCLE('',#331778,0.12700030872744); #135487=CIRCLE('',#331781,0.127000308727438); #135488=CIRCLE('',#331782,0.127000308727438); #135489=CIRCLE('',#331787,0.275429442438529); #135490=CIRCLE('',#331788,0.275429442438529); #135491=CIRCLE('',#331792,0.139999999999998); #135492=CIRCLE('',#331793,0.139999999999998); #135493=CIRCLE('',#331796,0.275850031150926); #135494=CIRCLE('',#331797,0.275850031150926); #135495=CIRCLE('',#331799,0.27543073484766); #135496=CIRCLE('',#331800,0.27543073484766); #135497=CIRCLE('',#331808,0.127000308727438); #135498=CIRCLE('',#331809,0.127000308727438); #135499=CIRCLE('',#331812,0.275430620370238); #135500=CIRCLE('',#331813,0.275430620370238); #135501=CIRCLE('',#331821,0.127001515838141); #135502=CIRCLE('',#331822,0.127001515838141); #135503=CIRCLE('',#331825,0.127001515838136); #135504=CIRCLE('',#331826,0.127001515838136); #135505=CIRCLE('',#331831,0.127000308727438); #135506=CIRCLE('',#331832,0.127000308727438); #135507=CIRCLE('',#331835,0.127000308727438); #135508=CIRCLE('',#331836,0.127000308727438); #135509=CIRCLE('',#331847,0.127000308727438); #135510=CIRCLE('',#331848,0.127000308727438); #135511=CIRCLE('',#331851,0.127000308727438); #135512=CIRCLE('',#331852,0.127000308727438); #135513=CIRCLE('',#331857,0.127000308727438); #135514=CIRCLE('',#331858,0.127000308727438); #135515=CIRCLE('',#331861,0.127000308727438); #135516=CIRCLE('',#331862,0.127000308727438); #135517=CIRCLE('',#331871,0.139999999999998); #135518=CIRCLE('',#331872,0.139999999999998); #135519=CIRCLE('',#331875,0.275429677195185); #135520=CIRCLE('',#331876,0.275429677195185); #135521=CIRCLE('',#331885,0.275429442438528); #135522=CIRCLE('',#331886,0.275429442438528); #135523=CIRCLE('',#331890,0.139999999999998); #135524=CIRCLE('',#331891,0.139999999999998); #135525=CIRCLE('',#331893,0.139999999999998); #135526=CIRCLE('',#331894,0.139999999999998); #135527=CIRCLE('',#331898,0.275429728561973); #135528=CIRCLE('',#331899,0.275429728561973); #135529=CIRCLE('',#331901,0.275429566248995); #135530=CIRCLE('',#331902,0.275429566248995); #135531=CIRCLE('',#331906,0.275640885203079); #135532=CIRCLE('',#331907,0.275640885203079); #135533=CIRCLE('',#331909,0.275640720012506); #135534=CIRCLE('',#331910,0.275640720012506); #135535=CIRCLE('',#331922,0.127000308727432); #135536=CIRCLE('',#331923,0.127000308727432); #135537=CIRCLE('',#331926,0.126758017197154); #135538=CIRCLE('',#331927,0.126758017197154); #135539=CIRCLE('',#331935,0.127001515838137); #135540=CIRCLE('',#331936,0.127001515838137); #135541=CIRCLE('',#331949,0.14); #135542=CIRCLE('',#331950,0.14); #135543=CIRCLE('',#331952,0.14); #135544=CIRCLE('',#331953,0.14); #135545=CIRCLE('',#331955,0.14); #135546=CIRCLE('',#331956,0.14); #135547=CIRCLE('',#331958,0.14); #135548=CIRCLE('',#331959,0.14); #135549=CIRCLE('',#331961,0.14); #135550=CIRCLE('',#331962,0.14); #135551=CIRCLE('',#331964,0.14); #135552=CIRCLE('',#331965,0.14); #135553=CIRCLE('',#331967,0.14); #135554=CIRCLE('',#331968,0.14); #135555=CIRCLE('',#331970,0.14); #135556=CIRCLE('',#331971,0.14); #135557=CIRCLE('',#331973,0.465); #135558=CIRCLE('',#331974,0.465); #135559=CIRCLE('',#331976,0.514999999999999); #135560=CIRCLE('',#331977,0.514999999999999); #135561=CIRCLE('',#331979,0.14); #135562=CIRCLE('',#331980,0.14); #135563=CIRCLE('',#331986,0.127001515838136); #135564=CIRCLE('',#331987,0.127001515838136); #135565=CIRCLE('',#331990,0.127001515838141); #135566=CIRCLE('',#331991,0.127001515838141); #135567=CIRCLE('',#332111,0.127000308727438); #135568=CIRCLE('',#332112,0.127000308727438); #135569=CIRCLE('',#332115,0.127000308727438); #135570=CIRCLE('',#332116,0.127000308727438); #135571=CIRCLE('',#332125,0.465); #135572=CIRCLE('',#332126,0.465); #135573=CIRCLE('',#332129,0.750904962541172); #135574=CIRCLE('',#332130,0.750904962541172); #135575=CIRCLE('',#332132,0.750905460124644); #135576=CIRCLE('',#332133,0.750905460124644); #135577=CIRCLE('',#332227,0.140000000000002); #135578=CIRCLE('',#332228,0.140000000000002); #135579=CIRCLE('',#332230,0.140000000000002); #135580=CIRCLE('',#332231,0.140000000000002); #135581=CIRCLE('',#332233,0.140000000000002); #135582=CIRCLE('',#332234,0.140000000000002); #135583=CIRCLE('',#332409,0.140000000000002); #135584=CIRCLE('',#332410,0.140000000000002); #135585=CIRCLE('',#332413,0.565); #135586=CIRCLE('',#332414,0.565); #135587=CIRCLE('',#332417,0.565); #135588=CIRCLE('',#332418,0.565); #135589=CIRCLE('',#332420,0.514999999999999); #135590=CIRCLE('',#332421,0.514999999999999); #135591=CIRCLE('',#332423,0.140000000000002); #135592=CIRCLE('',#332424,0.140000000000002); #135593=CIRCLE('',#332426,0.140000000000002); #135594=CIRCLE('',#332427,0.140000000000002); #135595=CIRCLE('',#332429,0.140000000000002); #135596=CIRCLE('',#332430,0.140000000000002); #135597=CIRCLE('',#332432,0.140000000000002); #135598=CIRCLE('',#332433,0.140000000000002); #135599=CIRCLE('',#332435,0.140000000000002); #135600=CIRCLE('',#332436,0.140000000000002); #135601=CIRCLE('',#332438,0.140000000000002); #135602=CIRCLE('',#332439,0.140000000000002); #135603=CIRCLE('',#332441,0.140000000000002); #135604=CIRCLE('',#332442,0.140000000000002); #135605=CIRCLE('',#332444,0.140000000000002); #135606=CIRCLE('',#332445,0.140000000000002); #135607=CIRCLE('',#332721,0.139999999999998); #135608=CIRCLE('',#332722,0.139999999999998); #135609=CIRCLE('',#332724,0.139999999999998); #135610=CIRCLE('',#332725,0.139999999999998); #135611=CIRCLE('',#332727,0.139999999999998); #135612=CIRCLE('',#332728,0.139999999999998); #135613=CIRCLE('',#332730,0.465); #135614=CIRCLE('',#332731,0.465); #135615=CIRCLE('',#332733,0.139999999999998); #135616=CIRCLE('',#332734,0.139999999999998); #135617=CIRCLE('',#332736,0.139999999999998); #135618=CIRCLE('',#332737,0.139999999999998); #135619=CIRCLE('',#332739,0.139999999999998); #135620=CIRCLE('',#332740,0.139999999999998); #135621=CIRCLE('',#332742,0.139999999999998); #135622=CIRCLE('',#332743,0.139999999999998); #135623=CIRCLE('',#332745,0.139999999999998); #135624=CIRCLE('',#332746,0.139999999999998); #135625=CIRCLE('',#332748,0.139999999999998); #135626=CIRCLE('',#332749,0.139999999999998); #135627=CIRCLE('',#332751,0.14); #135628=CIRCLE('',#332752,0.14); #135629=CIRCLE('',#332754,0.14); #135630=CIRCLE('',#332755,0.14); #135631=CIRCLE('',#332757,0.14); #135632=CIRCLE('',#332758,0.14); #135633=CIRCLE('',#332760,0.14); #135634=CIRCLE('',#332761,0.14); #135635=CIRCLE('',#332763,0.140000000000002); #135636=CIRCLE('',#332764,0.140000000000002); #135637=CIRCLE('',#332766,0.140000000000002); #135638=CIRCLE('',#332767,0.140000000000002); #135639=CIRCLE('',#332769,0.140000000000002); #135640=CIRCLE('',#332770,0.140000000000002); #135641=CIRCLE('',#332772,0.140000000000002); #135642=CIRCLE('',#332773,0.140000000000002); #135643=CIRCLE('',#332775,0.140000000000002); #135644=CIRCLE('',#332776,0.140000000000002); #135645=CIRCLE('',#332778,0.140000000000002); #135646=CIRCLE('',#332779,0.140000000000002); #135647=CIRCLE('',#332781,0.140000000000002); #135648=CIRCLE('',#332782,0.140000000000002); #135649=CIRCLE('',#332784,0.140000000000002); #135650=CIRCLE('',#332785,0.140000000000002); #135651=CIRCLE('',#332787,0.140000000000002); #135652=CIRCLE('',#332788,0.140000000000002); #135653=CIRCLE('',#332790,0.140000000000002); #135654=CIRCLE('',#332791,0.140000000000002); #135655=CIRCLE('',#332793,0.140000000000002); #135656=CIRCLE('',#332794,0.140000000000002); #135657=CIRCLE('',#332796,0.140000000000002); #135658=CIRCLE('',#332797,0.140000000000002); #135659=CIRCLE('',#332799,0.14); #135660=CIRCLE('',#332800,0.14); #135661=CIRCLE('',#332802,0.14); #135662=CIRCLE('',#332803,0.14); #135663=CIRCLE('',#332805,0.14); #135664=CIRCLE('',#332806,0.14); #135665=CIRCLE('',#332808,0.14); #135666=CIRCLE('',#332809,0.14); #135667=CIRCLE('',#332811,0.14); #135668=CIRCLE('',#332812,0.14); #135669=CIRCLE('',#332814,0.14); #135670=CIRCLE('',#332815,0.14); #135671=CIRCLE('',#332817,0.14); #135672=CIRCLE('',#332818,0.14); #135673=CIRCLE('',#332820,0.14); #135674=CIRCLE('',#332821,0.14); #135675=CIRCLE('',#332823,0.465); #135676=CIRCLE('',#332824,0.465); #135677=CIRCLE('',#332827,0.315); #135678=CIRCLE('',#332828,0.315); #135679=CIRCLE('',#332831,0.315); #135680=CIRCLE('',#332832,0.315); #135681=CIRCLE('',#332834,0.114999999999998); #135682=CIRCLE('',#332835,0.114999999999998); #135683=CIRCLE('',#332837,0.114999999999998); #135684=CIRCLE('',#332838,0.114999999999998); #135685=CIRCLE('',#332840,0.114999999999998); #135686=CIRCLE('',#332841,0.114999999999998); #135687=CIRCLE('',#332843,0.114999999999998); #135688=CIRCLE('',#332844,0.114999999999998); #135689=CIRCLE('',#332847,0.315); #135690=CIRCLE('',#332848,0.315); #135691=CIRCLE('',#332851,0.315); #135692=CIRCLE('',#332852,0.315); #135693=CIRCLE('',#332855,0.315); #135694=CIRCLE('',#332856,0.315); #135695=CIRCLE('',#332859,0.315); #135696=CIRCLE('',#332860,0.315); #135697=CIRCLE('',#332863,0.315); #135698=CIRCLE('',#332864,0.315); #135699=CIRCLE('',#332867,0.315); #135700=CIRCLE('',#332868,0.315); #135701=CIRCLE('',#332870,0.140000000000002); #135702=CIRCLE('',#332871,0.140000000000002); #135703=CIRCLE('',#332873,0.140000000000002); #135704=CIRCLE('',#332874,0.140000000000002); #135705=CIRCLE('',#332876,0.564999999999998); #135706=CIRCLE('',#332877,0.564999999999998); #135707=CIRCLE('',#332879,0.14); #135708=CIRCLE('',#332880,0.14); #135709=CIRCLE('',#332882,0.140000000000002); #135710=CIRCLE('',#332883,0.140000000000002); #135711=CIRCLE('',#332885,0.140000000000002); #135712=CIRCLE('',#332886,0.140000000000002); #135713=CIRCLE('',#332888,0.140000000000002); #135714=CIRCLE('',#332889,0.140000000000002); #135715=CIRCLE('',#332891,0.140000000000002); #135716=CIRCLE('',#332892,0.140000000000002); #135717=CIRCLE('',#332894,0.140000000000002); #135718=CIRCLE('',#332895,0.140000000000002); #135719=CIRCLE('',#332897,0.140000000000002); #135720=CIRCLE('',#332898,0.140000000000002); #135721=CIRCLE('',#332900,0.140000000000002); #135722=CIRCLE('',#332901,0.140000000000002); #135723=CIRCLE('',#332903,0.14); #135724=CIRCLE('',#332904,0.14); #135725=CIRCLE('',#332906,0.14); #135726=CIRCLE('',#332907,0.14); #135727=CIRCLE('',#332909,0.14); #135728=CIRCLE('',#332910,0.14); #135729=CIRCLE('',#332912,0.14); #135730=CIRCLE('',#332913,0.14); #135731=CIRCLE('',#332915,0.14); #135732=CIRCLE('',#332916,0.14); #135733=CIRCLE('',#332918,0.14); #135734=CIRCLE('',#332919,0.14); #135735=CIRCLE('',#332921,0.14); #135736=CIRCLE('',#332922,0.14); #135737=CIRCLE('',#332924,0.14); #135738=CIRCLE('',#332925,0.14); #135739=CIRCLE('',#334076,0.139999999999998); #135740=CIRCLE('',#334077,0.139999999999998); #135741=CIRCLE('',#334079,0.139999999999998); #135742=CIRCLE('',#334080,0.139999999999998); #135743=CIRCLE('',#334082,0.139999999999998); #135744=CIRCLE('',#334083,0.139999999999998); #135745=CIRCLE('',#334226,0.139999999999998); #135746=CIRCLE('',#334227,0.139999999999998); #135747=CIRCLE('',#334229,0.139999999999998); #135748=CIRCLE('',#334230,0.139999999999998); #135749=CIRCLE('',#334232,0.139999999999998); #135750=CIRCLE('',#334233,0.139999999999998); #135751=CIRCLE('',#334235,0.139999999999998); #135752=CIRCLE('',#334236,0.139999999999998); #135753=CIRCLE('',#334238,0.139999999999998); #135754=CIRCLE('',#334239,0.139999999999998); #135755=CIRCLE('',#334241,0.139999999999998); #135756=CIRCLE('',#334242,0.139999999999998); #135757=CIRCLE('',#334244,0.139999999999998); #135758=CIRCLE('',#334245,0.139999999999998); #135759=CIRCLE('',#334247,0.139999999999998); #135760=CIRCLE('',#334248,0.139999999999998); #135761=CIRCLE('',#334250,0.139999999999998); #135762=CIRCLE('',#334251,0.139999999999998); #135763=CIRCLE('',#334253,0.139999999999998); #135764=CIRCLE('',#334254,0.139999999999998); #135765=CIRCLE('',#334256,0.139999999999998); #135766=CIRCLE('',#334257,0.139999999999998); #135767=CIRCLE('',#334259,0.139999999999998); #135768=CIRCLE('',#334260,0.139999999999998); #135769=CIRCLE('',#334262,0.139999999999998); #135770=CIRCLE('',#334263,0.139999999999998); #135771=CIRCLE('',#334265,0.139999999999998); #135772=CIRCLE('',#334266,0.139999999999998); #135773=CIRCLE('',#334268,0.139999999999998); #135774=CIRCLE('',#334269,0.139999999999998); #135775=CIRCLE('',#334271,0.139999999999998); #135776=CIRCLE('',#334272,0.139999999999998); #135777=CIRCLE('',#334274,0.139999999999998); #135778=CIRCLE('',#334275,0.139999999999998); #135779=CIRCLE('',#334277,0.139999999999998); #135780=CIRCLE('',#334278,0.139999999999998); #135781=CIRCLE('',#334280,0.139999999999998); #135782=CIRCLE('',#334281,0.139999999999998); #135783=CIRCLE('',#334283,0.139999999999998); #135784=CIRCLE('',#334284,0.139999999999998); #135785=CIRCLE('',#334286,0.139999999999998); #135786=CIRCLE('',#334287,0.139999999999998); #135787=CIRCLE('',#334289,0.139999999999998); #135788=CIRCLE('',#334290,0.139999999999998); #135789=CIRCLE('',#334292,0.139999999999998); #135790=CIRCLE('',#334293,0.139999999999998); #135791=CIRCLE('',#334295,0.139999999999998); #135792=CIRCLE('',#334296,0.139999999999998); #135793=CIRCLE('',#334298,0.139999999999998); #135794=CIRCLE('',#334299,0.139999999999998); #135795=CIRCLE('',#334301,0.139999999999998); #135796=CIRCLE('',#334302,0.139999999999998); #135797=CIRCLE('',#334304,0.139999999999998); #135798=CIRCLE('',#334305,0.139999999999998); #135799=CIRCLE('',#334307,0.139999999999998); #135800=CIRCLE('',#334308,0.139999999999998); #135801=CIRCLE('',#334310,0.139999999999998); #135802=CIRCLE('',#334311,0.139999999999998); #135803=CIRCLE('',#334313,0.139999999999998); #135804=CIRCLE('',#334314,0.139999999999998); #135805=CIRCLE('',#334316,0.139999999999998); #135806=CIRCLE('',#334317,0.139999999999998); #135807=CIRCLE('',#334319,0.139999999999998); #135808=CIRCLE('',#334320,0.139999999999998); #135809=CIRCLE('',#334322,0.139999999999998); #135810=CIRCLE('',#334323,0.139999999999998); #135811=CIRCLE('',#334325,0.139999999999998); #135812=CIRCLE('',#334326,0.139999999999998); #135813=CIRCLE('',#334328,0.139999999999998); #135814=CIRCLE('',#334329,0.139999999999998); #135815=CIRCLE('',#334331,0.139999999999998); #135816=CIRCLE('',#334332,0.139999999999998); #135817=CIRCLE('',#334334,0.139999999999998); #135818=CIRCLE('',#334335,0.139999999999998); #135819=CIRCLE('',#334337,0.139999999999998); #135820=CIRCLE('',#334338,0.139999999999998); #135821=CIRCLE('',#334340,0.139999999999998); #135822=CIRCLE('',#334341,0.139999999999998); #135823=CIRCLE('',#334343,0.139999999999998); #135824=CIRCLE('',#334344,0.139999999999998); #135825=CIRCLE('',#334346,0.139999999999998); #135826=CIRCLE('',#334347,0.139999999999998); #135827=CIRCLE('',#334349,0.139999999999998); #135828=CIRCLE('',#334350,0.139999999999998); #135829=CIRCLE('',#334352,0.139999999999998); #135830=CIRCLE('',#334353,0.139999999999998); #135831=CIRCLE('',#334355,0.139999999999998); #135832=CIRCLE('',#334356,0.139999999999998); #135833=CIRCLE('',#334358,0.139999999999998); #135834=CIRCLE('',#334359,0.139999999999998); #135835=CIRCLE('',#334361,0.139999999999998); #135836=CIRCLE('',#334362,0.139999999999998); #135837=CIRCLE('',#334364,0.139999999999998); #135838=CIRCLE('',#334365,0.139999999999998); #135839=CIRCLE('',#334367,0.139999999999998); #135840=CIRCLE('',#334368,0.139999999999998); #135841=CIRCLE('',#334370,0.139999999999998); #135842=CIRCLE('',#334371,0.139999999999998); #135843=CIRCLE('',#335174,0.514999999999999); #135844=CIRCLE('',#335175,0.514999999999999); #135845=CIRCLE('',#335178,0.565); #135846=CIRCLE('',#335179,0.565); #135847=CIRCLE('',#335182,0.565); #135848=CIRCLE('',#335183,0.565); #135849=CIRCLE('',#335302,0.140000000000002); #135850=CIRCLE('',#335303,0.140000000000002); #135851=CIRCLE('',#335305,0.140000000000002); #135852=CIRCLE('',#335306,0.140000000000002); #135853=CIRCLE('',#335308,0.140000000000002); #135854=CIRCLE('',#335309,0.140000000000002); #135855=CIRCLE('',#335311,0.140000000000002); #135856=CIRCLE('',#335312,0.140000000000002); #135857=CIRCLE('',#335314,0.140000000000002); #135858=CIRCLE('',#335315,0.140000000000002); #135859=CIRCLE('',#335317,0.140000000000002); #135860=CIRCLE('',#335318,0.140000000000002); #135861=CIRCLE('',#335320,0.140000000000002); #135862=CIRCLE('',#335321,0.140000000000002); #135863=CIRCLE('',#335323,0.140000000000002); #135864=CIRCLE('',#335324,0.140000000000002); #135865=CIRCLE('',#335326,0.140000000000002); #135866=CIRCLE('',#335327,0.140000000000002); #135867=CIRCLE('',#335329,0.140000000000002); #135868=CIRCLE('',#335330,0.140000000000002); #135869=CIRCLE('',#335332,0.140000000000002); #135870=CIRCLE('',#335333,0.140000000000002); #135871=CIRCLE('',#335335,0.140000000000002); #135872=CIRCLE('',#335336,0.140000000000002); #135873=CIRCLE('',#335338,0.140000000000002); #135874=CIRCLE('',#335339,0.140000000000002); #135875=CIRCLE('',#335341,0.140000000000002); #135876=CIRCLE('',#335342,0.140000000000002); #135877=CIRCLE('',#335344,0.140000000000002); #135878=CIRCLE('',#335345,0.140000000000002); #135879=CIRCLE('',#335390,0.139999999999998); #135880=CIRCLE('',#335391,0.139999999999998); #135881=CIRCLE('',#335398,0.127000308727436); #135882=CIRCLE('',#335399,0.127000308727436); #135883=CIRCLE('',#335403,0.127000308727438); #135884=CIRCLE('',#335404,0.127000308727438); #135885=CIRCLE('',#335422,0.127000308727436); #135886=CIRCLE('',#335423,0.127000308727436); #135887=CIRCLE('',#335426,0.127000308727436); #135888=CIRCLE('',#335427,0.127000308727436); #135889=CIRCLE('',#335430,0.126951739765291); #135890=CIRCLE('',#335431,0.126951739765291); #135891=CIRCLE('',#335436,0.127000308727438); #135892=CIRCLE('',#335437,0.127000308727438); #135893=CIRCLE('',#335440,0.127000308727433); #135894=CIRCLE('',#335441,0.127000308727433); #135895=CIRCLE('',#335445,0.127001515838136); #135896=CIRCLE('',#335446,0.127001515838136); #135897=CIRCLE('',#335453,0.139999999999998); #135898=CIRCLE('',#335454,0.139999999999998); #135899=CIRCLE('',#335456,0.139999999999998); #135900=CIRCLE('',#335457,0.139999999999998); #135901=CIRCLE('',#335459,0.139999999999998); #135902=CIRCLE('',#335460,0.139999999999998); #135903=CIRCLE('',#335462,0.139999999999998); #135904=CIRCLE('',#335463,0.139999999999998); #135905=CIRCLE('',#335465,0.139999999999998); #135906=CIRCLE('',#335466,0.139999999999998); #135907=CIRCLE('',#335468,0.139999999999998); #135908=CIRCLE('',#335469,0.139999999999998); #135909=CIRCLE('',#335471,0.139999999999998); #135910=CIRCLE('',#335472,0.139999999999998); #135911=CIRCLE('',#335474,0.139999999999998); #135912=CIRCLE('',#335475,0.139999999999998); #135913=CIRCLE('',#335477,0.139999999999998); #135914=CIRCLE('',#335478,0.139999999999998); #135915=CIRCLE('',#335480,0.139999999999998); #135916=CIRCLE('',#335481,0.139999999999998); #135917=CIRCLE('',#335483,0.139999999999998); #135918=CIRCLE('',#335484,0.139999999999998); #135919=CIRCLE('',#335486,0.139999999999998); #135920=CIRCLE('',#335487,0.139999999999998); #135921=CIRCLE('',#335489,0.139999999999998); #135922=CIRCLE('',#335490,0.139999999999998); #135923=CIRCLE('',#335492,0.139999999999998); #135924=CIRCLE('',#335493,0.139999999999998); #135925=CIRCLE('',#335495,0.139999999999998); #135926=CIRCLE('',#335496,0.139999999999998); #135927=CIRCLE('',#335549,0.139999999999998); #135928=CIRCLE('',#335550,0.139999999999998); #135929=CIRCLE('',#335558,0.127001515838136); #135930=CIRCLE('',#335559,0.127001515838136); #135931=CIRCLE('',#335563,0.127000308727433); #135932=CIRCLE('',#335564,0.127000308727433); #135933=CIRCLE('',#335567,0.127000308727438); #135934=CIRCLE('',#335568,0.127000308727438); #135935=CIRCLE('',#335573,0.127000308727447); #135936=CIRCLE('',#335574,0.127000308727447); #135937=CIRCLE('',#335577,0.127000308727436); #135938=CIRCLE('',#335578,0.127000308727436); #135939=CIRCLE('',#335581,0.127000308727436); #135940=CIRCLE('',#335582,0.127000308727436); #135941=CIRCLE('',#335600,0.127000308727436); #135942=CIRCLE('',#335601,0.127000308727436); #135943=CIRCLE('',#335605,0.127000308727438); #135944=CIRCLE('',#335606,0.127000308727438); #135945=CIRCLE('',#335612,0.139999999999998); #135946=CIRCLE('',#335613,0.139999999999998); #135947=CIRCLE('',#335615,0.14); #135948=CIRCLE('',#335616,0.14); #135949=CIRCLE('',#335618,0.14); #135950=CIRCLE('',#335619,0.14); #135951=CIRCLE('',#335621,0.14); #135952=CIRCLE('',#335622,0.14); #135953=CIRCLE('',#335624,0.14); #135954=CIRCLE('',#335625,0.14); #135955=CIRCLE('',#335627,0.14); #135956=CIRCLE('',#335628,0.14); #135957=CIRCLE('',#335630,0.14); #135958=CIRCLE('',#335631,0.14); #135959=CIRCLE('',#335633,0.14); #135960=CIRCLE('',#335634,0.14); #135961=CIRCLE('',#335636,0.14); #135962=CIRCLE('',#335637,0.14); #135963=CIRCLE('',#335639,0.14); #135964=CIRCLE('',#335640,0.14); #135965=CIRCLE('',#335642,0.14); #135966=CIRCLE('',#335643,0.14); #135967=CIRCLE('',#335645,0.14); #135968=CIRCLE('',#335646,0.14); #135969=CIRCLE('',#335648,0.14); #135970=CIRCLE('',#335649,0.14); #135971=CIRCLE('',#335651,0.139999999999998); #135972=CIRCLE('',#335652,0.139999999999998); #135973=CIRCLE('',#335654,0.139999999999998); #135974=CIRCLE('',#335655,0.139999999999998); #135975=CIRCLE('',#335657,0.139999999999998); #135976=CIRCLE('',#335658,0.139999999999998); #135977=CIRCLE('',#335660,0.139999999999998); #135978=CIRCLE('',#335661,0.139999999999998); #135979=CIRCLE('',#335663,0.139999999999998); #135980=CIRCLE('',#335664,0.139999999999998); #135981=CIRCLE('',#335666,0.14); #135982=CIRCLE('',#335667,0.14); #135983=CIRCLE('',#335669,0.139999999999998); #135984=CIRCLE('',#335670,0.139999999999998); #135985=CIRCLE('',#335672,0.139999999999998); #135986=CIRCLE('',#335673,0.139999999999998); #135987=CIRCLE('',#335675,0.139999999999998); #135988=CIRCLE('',#335676,0.139999999999998); #135989=CIRCLE('',#335678,0.139999999999998); #135990=CIRCLE('',#335679,0.139999999999998); #135991=CIRCLE('',#335681,0.139999999999998); #135992=CIRCLE('',#335682,0.139999999999998); #135993=CIRCLE('',#335684,0.139999999999998); #135994=CIRCLE('',#335685,0.139999999999998); #135995=CIRCLE('',#335687,0.139999999999998); #135996=CIRCLE('',#335688,0.139999999999998); #135997=CIRCLE('',#335690,0.139999999999998); #135998=CIRCLE('',#335691,0.139999999999998); #135999=CIRCLE('',#335693,0.139999999999998); #136000=CIRCLE('',#335694,0.139999999999998); #136001=CIRCLE('',#335696,0.139999999999998); #136002=CIRCLE('',#335697,0.139999999999998); #136003=CIRCLE('',#335699,0.14); #136004=CIRCLE('',#335700,0.14); #136005=CIRCLE('',#335702,0.14); #136006=CIRCLE('',#335703,0.14); #136007=CIRCLE('',#335706,0.275429677195184); #136008=CIRCLE('',#335707,0.275429677195184); #136009=CIRCLE('',#335712,0.127000308727435); #136010=CIRCLE('',#335713,0.127000308727435); #136011=CIRCLE('',#335716,0.127000308727438); #136012=CIRCLE('',#335717,0.127000308727438); #136013=CIRCLE('',#335791,0.127000308727436); #136014=CIRCLE('',#335792,0.127000308727436); #136015=CIRCLE('',#335795,0.127000308727427); #136016=CIRCLE('',#335796,0.127000308727427); #136017=CIRCLE('',#335799,0.275429442438526); #136018=CIRCLE('',#335800,0.275429442438526); #136019=CIRCLE('',#335804,0.139999999999998); #136020=CIRCLE('',#335805,0.139999999999998); #136021=CIRCLE('',#335813,0.127000308727436); #136022=CIRCLE('',#335814,0.127000308727436); #136023=CIRCLE('',#335818,0.127000308727438); #136024=CIRCLE('',#335819,0.127000308727438); #136025=CIRCLE('',#335822,0.275429728561973); #136026=CIRCLE('',#335823,0.275429728561973); #136027=CIRCLE('',#335825,0.275429566248994); #136028=CIRCLE('',#335826,0.275429566248994); #136029=CIRCLE('',#335830,0.127000308727431); #136030=CIRCLE('',#335831,0.127000308727431); #136031=CIRCLE('',#335835,0.127000308727438); #136032=CIRCLE('',#335836,0.127000308727438); #136033=CIRCLE('',#335842,0.140000000000002); #136034=CIRCLE('',#335843,0.140000000000002); #136035=CIRCLE('',#335845,0.140000000000002); #136036=CIRCLE('',#335846,0.140000000000002); #136037=CIRCLE('',#335848,0.140000000000002); #136038=CIRCLE('',#335849,0.140000000000002); #136039=CIRCLE('',#335851,0.140000000000002); #136040=CIRCLE('',#335852,0.140000000000002); #136041=CIRCLE('',#335854,0.140000000000002); #136042=CIRCLE('',#335855,0.140000000000002); #136043=CIRCLE('',#335857,0.139999999999998); #136044=CIRCLE('',#335858,0.139999999999998); #136045=CIRCLE('',#335860,0.140000000000002); #136046=CIRCLE('',#335861,0.140000000000002); #136047=CIRCLE('',#335863,0.140000000000002); #136048=CIRCLE('',#335864,0.140000000000002); #136049=CIRCLE('',#335866,0.140000000000002); #136050=CIRCLE('',#335867,0.140000000000002); #136051=CIRCLE('',#335869,0.140000000000002); #136052=CIRCLE('',#335870,0.140000000000002); #136053=CIRCLE('',#335872,0.465); #136054=CIRCLE('',#335873,0.465); #136055=CIRCLE('',#335899,0.275170203557187); #136056=CIRCLE('',#335900,0.275170203557187); #136057=CIRCLE('',#335904,0.127000308727437); #136058=CIRCLE('',#335905,0.127000308727437); #136059=CIRCLE('',#335915,0.127000308727438); #136060=CIRCLE('',#335916,0.127000308727438); #136061=CIRCLE('',#335919,0.275004382214899); #136062=CIRCLE('',#335920,0.275004382214899); #136063=CIRCLE('',#335937,0.12700030872744); #136064=CIRCLE('',#335938,0.12700030872744); #136065=CIRCLE('',#335941,0.127000308727433); #136066=CIRCLE('',#335942,0.127000308727433); #136067=CIRCLE('',#336013,0.140000000000002); #136068=CIRCLE('',#336014,0.140000000000002); #136069=CIRCLE('',#336016,0.140000000000002); #136070=CIRCLE('',#336017,0.140000000000002); #136071=CIRCLE('',#336019,0.140000000000002); #136072=CIRCLE('',#336020,0.140000000000002); #136073=CIRCLE('',#336022,0.140000000000002); #136074=CIRCLE('',#336023,0.140000000000002); #136075=CIRCLE('',#336025,0.140000000000002); #136076=CIRCLE('',#336026,0.140000000000002); #136077=CIRCLE('',#336028,0.140000000000002); #136078=CIRCLE('',#336029,0.140000000000002); #136079=CIRCLE('',#336031,0.140000000000002); #136080=CIRCLE('',#336032,0.140000000000002); #136081=CIRCLE('',#336034,0.140000000000002); #136082=CIRCLE('',#336035,0.140000000000002); #136083=CIRCLE('',#336037,0.140000000000002); #136084=CIRCLE('',#336038,0.140000000000002); #136085=CIRCLE('',#336040,0.140000000000002); #136086=CIRCLE('',#336041,0.140000000000002); #136087=CIRCLE('',#336043,0.140000000000002); #136088=CIRCLE('',#336044,0.140000000000002); #136089=CIRCLE('',#336046,0.140000000000002); #136090=CIRCLE('',#336047,0.140000000000002); #136091=CIRCLE('',#336049,0.140000000000002); #136092=CIRCLE('',#336050,0.140000000000002); #136093=CIRCLE('',#336052,0.140000000000002); #136094=CIRCLE('',#336053,0.140000000000002); #136095=CIRCLE('',#336055,0.140000000000002); #136096=CIRCLE('',#336056,0.140000000000002); #136097=CIRCLE('',#336058,0.140000000000002); #136098=CIRCLE('',#336059,0.140000000000002); #136099=CIRCLE('',#336061,0.140000000000002); #136100=CIRCLE('',#336062,0.140000000000002); #136101=CIRCLE('',#336064,0.140000000000002); #136102=CIRCLE('',#336065,0.140000000000002); #136103=CIRCLE('',#336067,0.140000000000002); #136104=CIRCLE('',#336068,0.140000000000002); #136105=CIRCLE('',#336070,0.140000000000002); #136106=CIRCLE('',#336071,0.140000000000002); #136107=CIRCLE('',#336073,0.140000000000002); #136108=CIRCLE('',#336074,0.140000000000002); #136109=CIRCLE('',#336076,0.140000000000002); #136110=CIRCLE('',#336077,0.140000000000002); #136111=CIRCLE('',#336079,0.140000000000002); #136112=CIRCLE('',#336080,0.140000000000002); #136113=CIRCLE('',#336082,0.140000000000002); #136114=CIRCLE('',#336083,0.140000000000002); #136115=CIRCLE('',#336085,0.140000000000002); #136116=CIRCLE('',#336086,0.140000000000002); #136117=CIRCLE('',#336088,0.140000000000002); #136118=CIRCLE('',#336089,0.140000000000002); #136119=CIRCLE('',#336091,0.140000000000002); #136120=CIRCLE('',#336092,0.140000000000002); #136121=CIRCLE('',#336094,0.140000000000002); #136122=CIRCLE('',#336095,0.140000000000002); #136123=CIRCLE('',#336097,0.140000000000002); #136124=CIRCLE('',#336098,0.140000000000002); #136125=CIRCLE('',#336100,0.140000000000002); #136126=CIRCLE('',#336101,0.140000000000002); #136127=CIRCLE('',#336103,0.140000000000002); #136128=CIRCLE('',#336104,0.140000000000002); #136129=CIRCLE('',#336107,0.275429677195184); #136130=CIRCLE('',#336108,0.275429677195184); #136131=CIRCLE('',#336111,0.127000308727425); #136132=CIRCLE('',#336112,0.127000308727425); #136133=CIRCLE('',#336115,0.127001515838118); #136134=CIRCLE('',#336116,0.127001515838118); #136135=CIRCLE('',#336185,0.127000308727436); #136136=CIRCLE('',#336186,0.127000308727436); #136137=CIRCLE('',#336189,0.127000308727438); #136138=CIRCLE('',#336190,0.127000308727438); #136139=CIRCLE('',#336195,0.275429442438526); #136140=CIRCLE('',#336196,0.275429442438526); #136141=CIRCLE('',#336200,0.140000000000002); #136142=CIRCLE('',#336201,0.140000000000002); #136143=CIRCLE('',#336208,0.127000308727438); #136144=CIRCLE('',#336209,0.127000308727438); #136145=CIRCLE('',#336213,0.127000308727433); #136146=CIRCLE('',#336214,0.127000308727433); #136147=CIRCLE('',#336218,0.275429728561973); #136148=CIRCLE('',#336219,0.275429728561973); #136149=CIRCLE('',#336221,0.275429566248996); #136150=CIRCLE('',#336222,0.275429566248996); #136151=CIRCLE('',#336225,0.12700030872744); #136152=CIRCLE('',#336226,0.12700030872744); #136153=CIRCLE('',#336230,0.127000308727436); #136154=CIRCLE('',#336231,0.127000308727436); #136155=CIRCLE('',#336238,0.139999999999998); #136156=CIRCLE('',#336239,0.139999999999998); #136157=CIRCLE('',#336241,0.139999999999998); #136158=CIRCLE('',#336242,0.139999999999998); #136159=CIRCLE('',#336244,0.139999999999998); #136160=CIRCLE('',#336245,0.139999999999998); #136161=CIRCLE('',#336247,0.139999999999998); #136162=CIRCLE('',#336248,0.139999999999998); #136163=CIRCLE('',#336250,0.114999999999998); #136164=CIRCLE('',#336251,0.114999999999998); #136165=CIRCLE('',#336253,0.114999999999998); #136166=CIRCLE('',#336254,0.114999999999998); #136167=CIRCLE('',#336256,0.114999999999998); #136168=CIRCLE('',#336257,0.114999999999998); #136169=CIRCLE('',#336259,0.114999999999998); #136170=CIRCLE('',#336260,0.114999999999998); #136171=CIRCLE('',#336262,0.139999999999998); #136172=CIRCLE('',#336263,0.139999999999998); #136173=CIRCLE('',#336265,0.139999999999998); #136174=CIRCLE('',#336266,0.139999999999998); #136175=CIRCLE('',#336268,0.139999999999998); #136176=CIRCLE('',#336269,0.139999999999998); #136177=CIRCLE('',#336271,0.139999999999998); #136178=CIRCLE('',#336272,0.139999999999998); #136179=CIRCLE('',#336274,0.139999999999998); #136180=CIRCLE('',#336275,0.139999999999998); #136181=CIRCLE('',#336277,0.139999999999998); #136182=CIRCLE('',#336278,0.139999999999998); #136183=CIRCLE('',#336280,0.139999999999998); #136184=CIRCLE('',#336281,0.139999999999998); #136185=CIRCLE('',#336283,0.139999999999998); #136186=CIRCLE('',#336284,0.139999999999998); #136187=CIRCLE('',#336509,0.2750043822149); #136188=CIRCLE('',#336510,0.2750043822149); #136189=CIRCLE('',#336513,0.127000308727437); #136190=CIRCLE('',#336514,0.127000308727437); #136191=CIRCLE('',#336524,0.127000308727438); #136192=CIRCLE('',#336525,0.127000308727438); #136193=CIRCLE('',#336529,0.275180228450174); #136194=CIRCLE('',#336530,0.275180228450174); #136195=CIRCLE('',#336754,0.564999999999998); #136196=CIRCLE('',#336755,0.564999999999998); #136197=CIRCLE('',#336758,0.900857088405025); #136198=CIRCLE('',#336759,0.900857088405025); #136199=CIRCLE('',#336761,0.900856769723134); #136200=CIRCLE('',#336762,0.900856769723134); #136201=CIRCLE('',#336766,0.565000000000002); #136202=CIRCLE('',#336767,0.565000000000002); #136203=CIRCLE('',#336770,0.900857088405025); #136204=CIRCLE('',#336771,0.900857088405025); #136205=CIRCLE('',#336773,0.900856769723134); #136206=CIRCLE('',#336774,0.900856769723134); #136207=CIRCLE('',#336778,0.465); #136208=CIRCLE('',#336779,0.465); #136209=CIRCLE('',#336782,0.750904962541172); #136210=CIRCLE('',#336783,0.750904962541172); #136211=CIRCLE('',#336785,0.750905460124643); #136212=CIRCLE('',#336786,0.750905460124643); #136213=CIRCLE('',#336790,0.514999999999999); #136214=CIRCLE('',#336791,0.514999999999999); #136215=CIRCLE('',#336794,0.825995597015953); #136216=CIRCLE('',#336795,0.825995597015953); #136217=CIRCLE('',#336797,0.825996336030616); #136218=CIRCLE('',#336798,0.825996336030616); #136219=CIRCLE('',#336802,0.514999999999999); #136220=CIRCLE('',#336803,0.514999999999999); #136221=CIRCLE('',#336811,0.465); #136222=CIRCLE('',#336812,0.465); #136223=CIRCLE('',#336815,0.750904962541172); #136224=CIRCLE('',#336816,0.750904962541172); #136225=CIRCLE('',#336818,0.750905460124644); #136226=CIRCLE('',#336819,0.750905460124644); #136227=CIRCLE('',#336823,0.465); #136228=CIRCLE('',#336824,0.465); #136229=CIRCLE('',#336827,0.750904962541172); #136230=CIRCLE('',#336828,0.750904962541172); #136231=CIRCLE('',#336830,0.750905460124644); #136232=CIRCLE('',#336831,0.750905460124644); #136233=CIRCLE('',#336835,0.564999999999998); #136234=CIRCLE('',#336836,0.564999999999998); #136235=CIRCLE('',#336839,0.900857088405025); #136236=CIRCLE('',#336840,0.900857088405025); #136237=CIRCLE('',#336842,0.900856769723134); #136238=CIRCLE('',#336843,0.900856769723134); #136239=CIRCLE('',#336847,0.465); #136240=CIRCLE('',#336848,0.465); #136241=CIRCLE('',#336851,0.750904962541171); #136242=CIRCLE('',#336852,0.750904962541171); #136243=CIRCLE('',#336854,0.750905460124643); #136244=CIRCLE('',#336855,0.750905460124643); #136245=CIRCLE('',#336860,0.315); #136246=CIRCLE('',#336861,0.315); #136247=CIRCLE('',#336864,0.315); #136248=CIRCLE('',#336865,0.315); #136249=CIRCLE('',#336926,0.565); #136250=CIRCLE('',#336927,0.565); #136251=CIRCLE('',#336930,0.565); #136252=CIRCLE('',#336931,0.565); #136253=CIRCLE('',#337008,0.315); #136254=CIRCLE('',#337009,0.315); #136255=CIRCLE('',#337012,0.315); #136256=CIRCLE('',#337013,0.315); #136257=CIRCLE('',#337073,0.514999999999999); #136258=CIRCLE('',#337074,0.514999999999999); #136259=CIRCLE('',#337083,0.315); #136260=CIRCLE('',#337084,0.315); #136261=CIRCLE('',#337087,0.315); #136262=CIRCLE('',#337088,0.315); #136263=CIRCLE('',#337149,0.565); #136264=CIRCLE('',#337150,0.565); #136265=CIRCLE('',#337153,0.565); #136266=CIRCLE('',#337154,0.565); #136267=CIRCLE('',#337230,0.465); #136268=CIRCLE('',#337231,0.465); #136269=CIRCLE('',#337234,0.750904962541172); #136270=CIRCLE('',#337235,0.750904962541172); #136271=CIRCLE('',#337237,0.750905460124644); #136272=CIRCLE('',#337238,0.750905460124644); #136273=CIRCLE('',#337242,0.514999999999999); #136274=CIRCLE('',#337243,0.514999999999999); #136275=CIRCLE('',#337246,0.825995597015952); #136276=CIRCLE('',#337247,0.825995597015952); #136277=CIRCLE('',#337249,0.825996336030615); #136278=CIRCLE('',#337250,0.825996336030615); #136279=CIRCLE('',#337255,0.315); #136280=CIRCLE('',#337256,0.315); #136281=CIRCLE('',#337259,0.315); #136282=CIRCLE('',#337260,0.315); #136283=CIRCLE('',#337320,0.465); #136284=CIRCLE('',#337321,0.465); #136285=CIRCLE('',#337324,0.750904962541172); #136286=CIRCLE('',#337325,0.750904962541172); #136287=CIRCLE('',#337327,0.750905460124644); #136288=CIRCLE('',#337328,0.750905460124644); #136289=CIRCLE('',#337332,0.465); #136290=CIRCLE('',#337333,0.465); #136291=CIRCLE('',#337336,0.750904962541172); #136292=CIRCLE('',#337337,0.750904962541172); #136293=CIRCLE('',#337339,0.750905460124643); #136294=CIRCLE('',#337340,0.750905460124643); #136295=CIRCLE('',#337344,0.465); #136296=CIRCLE('',#337345,0.465); #136297=CIRCLE('',#337348,0.750904962541172); #136298=CIRCLE('',#337349,0.750904962541172); #136299=CIRCLE('',#337351,0.750905460124643); #136300=CIRCLE('',#337352,0.750905460124643); #136301=CIRCLE('',#337356,0.564999999999998); #136302=CIRCLE('',#337357,0.564999999999998); #136303=CIRCLE('',#337365,0.465); #136304=CIRCLE('',#337366,0.465); #136305=CIRCLE('',#337369,0.750904962541172); #136306=CIRCLE('',#337370,0.750904962541172); #136307=CIRCLE('',#337372,0.750905460124643); #136308=CIRCLE('',#337373,0.750905460124643); #136309=CIRCLE('',#337455,0.14); #136310=CIRCLE('',#337456,0.14); #136311=CIRCLE('',#337458,0.14); #136312=CIRCLE('',#337459,0.14); #136313=CIRCLE('',#337461,0.14); #136314=CIRCLE('',#337462,0.14); #136315=CIRCLE('',#337464,0.14); #136316=CIRCLE('',#337465,0.14); #136317=CIRCLE('',#337467,0.14); #136318=CIRCLE('',#337468,0.14); #136319=CIRCLE('',#337470,0.14); #136320=CIRCLE('',#337471,0.14); #136321=CIRCLE('',#337635,0.14); #136322=CIRCLE('',#337636,0.14); #136323=CIRCLE('',#337638,0.14); #136324=CIRCLE('',#337639,0.14); #136325=CIRCLE('',#337641,0.14); #136326=CIRCLE('',#337642,0.14); #136327=CIRCLE('',#337644,0.14); #136328=CIRCLE('',#337645,0.14); #136329=CIRCLE('',#337647,0.14); #136330=CIRCLE('',#337648,0.14); #136331=CIRCLE('',#337650,0.14); #136332=CIRCLE('',#337651,0.14); #136333=CIRCLE('',#337695,0.140000000000002); #136334=CIRCLE('',#337696,0.140000000000002); #136335=CIRCLE('',#337698,0.140000000000002); #136336=CIRCLE('',#337699,0.140000000000002); #136337=CIRCLE('',#337701,0.140000000000002); #136338=CIRCLE('',#337702,0.140000000000002); #136339=CIRCLE('',#337704,0.140000000000002); #136340=CIRCLE('',#337705,0.140000000000002); #136341=CIRCLE('',#337707,0.140000000000002); #136342=CIRCLE('',#337708,0.140000000000002); #136343=CIRCLE('',#337710,0.140000000000002); #136344=CIRCLE('',#337711,0.140000000000002); #136345=CIRCLE('',#337731,0.139999999999998); #136346=CIRCLE('',#337732,0.139999999999998); #136347=CIRCLE('',#337734,0.139999999999998); #136348=CIRCLE('',#337735,0.139999999999998); #136349=CIRCLE('',#337737,0.139999999999998); #136350=CIRCLE('',#337738,0.139999999999998); #136351=CIRCLE('',#337740,0.139999999999998); #136352=CIRCLE('',#337741,0.139999999999998); #136353=CIRCLE('',#337743,0.139999999999998); #136354=CIRCLE('',#337744,0.139999999999998); #136355=CIRCLE('',#337746,0.139999999999998); #136356=CIRCLE('',#337747,0.139999999999998); #136357=CIRCLE('',#337749,0.139999999999998); #136358=CIRCLE('',#337750,0.139999999999998); #136359=CIRCLE('',#337752,0.139999999999998); #136360=CIRCLE('',#337753,0.139999999999998); #136361=CIRCLE('',#337755,0.139999999999998); #136362=CIRCLE('',#337756,0.139999999999998); #136363=CIRCLE('',#337758,0.139999999999998); #136364=CIRCLE('',#337759,0.139999999999998); #136365=CIRCLE('',#337761,0.139999999999998); #136366=CIRCLE('',#337762,0.139999999999998); #136367=CIRCLE('',#337764,0.139999999999998); #136368=CIRCLE('',#337765,0.139999999999998); #136369=CIRCLE('',#337767,0.139999999999998); #136370=CIRCLE('',#337768,0.139999999999998); #136371=CIRCLE('',#337770,0.139999999999998); #136372=CIRCLE('',#337771,0.139999999999998); #136373=CIRCLE('',#337773,0.139999999999998); #136374=CIRCLE('',#337774,0.139999999999998); #136375=CIRCLE('',#337776,0.139999999999998); #136376=CIRCLE('',#337777,0.139999999999998); #136377=CIRCLE('',#337779,0.139999999999998); #136378=CIRCLE('',#337780,0.139999999999998); #136379=CIRCLE('',#337782,0.139999999999998); #136380=CIRCLE('',#337783,0.139999999999998); #136381=CIRCLE('',#337785,0.139999999999998); #136382=CIRCLE('',#337786,0.139999999999998); #136383=CIRCLE('',#337788,0.139999999999998); #136384=CIRCLE('',#337789,0.139999999999998); #136385=CIRCLE('',#337791,0.139999999999998); #136386=CIRCLE('',#337792,0.139999999999998); #136387=CIRCLE('',#337794,0.139999999999998); #136388=CIRCLE('',#337795,0.139999999999998); #136389=CIRCLE('',#337797,0.139999999999998); #136390=CIRCLE('',#337798,0.139999999999998); #136391=CIRCLE('',#337800,0.139999999999998); #136392=CIRCLE('',#337801,0.139999999999998); #136393=CIRCLE('',#337803,0.139999999999998); #136394=CIRCLE('',#337804,0.139999999999998); #136395=CIRCLE('',#337806,0.139999999999998); #136396=CIRCLE('',#337807,0.139999999999998); #136397=CIRCLE('',#337809,0.139999999999998); #136398=CIRCLE('',#337810,0.139999999999998); #136399=CIRCLE('',#337812,0.139999999999998); #136400=CIRCLE('',#337813,0.139999999999998); #136401=CIRCLE('',#337815,0.139999999999998); #136402=CIRCLE('',#337816,0.139999999999998); #136403=CIRCLE('',#337818,0.139999999999998); #136404=CIRCLE('',#337819,0.139999999999998); #136405=CIRCLE('',#337821,0.139999999999998); #136406=CIRCLE('',#337822,0.139999999999998); #136407=CIRCLE('',#337824,0.139999999999998); #136408=CIRCLE('',#337825,0.139999999999998); #136409=CIRCLE('',#337827,0.139999999999998); #136410=CIRCLE('',#337828,0.139999999999998); #136411=CIRCLE('',#337830,0.139999999999998); #136412=CIRCLE('',#337831,0.139999999999998); #136413=CIRCLE('',#337833,0.139999999999998); #136414=CIRCLE('',#337834,0.139999999999998); #136415=CIRCLE('',#337836,0.139999999999998); #136416=CIRCLE('',#337837,0.139999999999998); #136417=CIRCLE('',#337839,0.139999999999998); #136418=CIRCLE('',#337840,0.139999999999998); #136419=CIRCLE('',#337842,0.139999999999998); #136420=CIRCLE('',#337843,0.139999999999998); #136421=CIRCLE('',#337845,0.139999999999998); #136422=CIRCLE('',#337846,0.139999999999998); #136423=CIRCLE('',#337848,0.139999999999998); #136424=CIRCLE('',#337849,0.139999999999998); #136425=CIRCLE('',#337851,0.139999999999998); #136426=CIRCLE('',#337852,0.139999999999998); #136427=CIRCLE('',#337854,0.139999999999998); #136428=CIRCLE('',#337855,0.139999999999998); #136429=CIRCLE('',#337857,0.139999999999998); #136430=CIRCLE('',#337858,0.139999999999998); #136431=CIRCLE('',#337860,0.139999999999998); #136432=CIRCLE('',#337861,0.139999999999998); #136433=CIRCLE('',#337863,0.139999999999998); #136434=CIRCLE('',#337864,0.139999999999998); #136435=CIRCLE('',#337866,0.139999999999998); #136436=CIRCLE('',#337867,0.139999999999998); #136437=CIRCLE('',#337869,0.139999999999998); #136438=CIRCLE('',#337870,0.139999999999998); #136439=CIRCLE('',#337872,0.139999999999998); #136440=CIRCLE('',#337873,0.139999999999998); #136441=CIRCLE('',#337875,0.139999999999998); #136442=CIRCLE('',#337876,0.139999999999998); #136443=CIRCLE('',#337992,0.139999999999998); #136444=CIRCLE('',#337993,0.139999999999998); #136445=CIRCLE('',#338013,0.139999999999998); #136446=CIRCLE('',#338014,0.139999999999998); #136447=CIRCLE('',#338088,0.14); #136448=CIRCLE('',#338089,0.14); #136449=CIRCLE('',#338091,0.14); #136450=CIRCLE('',#338092,0.14); #136451=CIRCLE('',#338094,0.14); #136452=CIRCLE('',#338095,0.14); #136453=CIRCLE('',#338097,0.14); #136454=CIRCLE('',#338098,0.14); #136455=CIRCLE('',#338100,0.14); #136456=CIRCLE('',#338101,0.14); #136457=CIRCLE('',#338103,0.14); #136458=CIRCLE('',#338104,0.14); #136459=CIRCLE('',#338106,0.14); #136460=CIRCLE('',#338107,0.14); #136461=CIRCLE('',#338109,0.14); #136462=CIRCLE('',#338110,0.14); #136463=CIRCLE('',#338112,0.14); #136464=CIRCLE('',#338113,0.14); #136465=CIRCLE('',#338127,0.139999999999998); #136466=CIRCLE('',#338128,0.139999999999998); #136467=CIRCLE('',#338166,0.139999999999998); #136468=CIRCLE('',#338167,0.139999999999998); #136469=CIRCLE('',#338175,0.139999999999998); #136470=CIRCLE('',#338176,0.139999999999998); #136471=CIRCLE('',#338250,0.140000000000002); #136472=CIRCLE('',#338251,0.140000000000002); #136473=CIRCLE('',#338253,0.140000000000002); #136474=CIRCLE('',#338254,0.140000000000002); #136475=CIRCLE('',#338256,0.140000000000002); #136476=CIRCLE('',#338257,0.140000000000002); #136477=CIRCLE('',#338259,0.140000000000002); #136478=CIRCLE('',#338260,0.140000000000002); #136479=CIRCLE('',#338262,0.140000000000002); #136480=CIRCLE('',#338263,0.140000000000002); #136481=CIRCLE('',#338265,0.140000000000002); #136482=CIRCLE('',#338266,0.140000000000002); #136483=CIRCLE('',#338292,0.140000000000002); #136484=CIRCLE('',#338293,0.140000000000002); #136485=CIRCLE('',#338295,0.140000000000002); #136486=CIRCLE('',#338296,0.140000000000002); #136487=CIRCLE('',#338298,0.140000000000002); #136488=CIRCLE('',#338299,0.140000000000002); #136489=CIRCLE('',#338301,0.140000000000002); #136490=CIRCLE('',#338302,0.140000000000002); #136491=CIRCLE('',#338304,0.140000000000002); #136492=CIRCLE('',#338305,0.140000000000002); #136493=CIRCLE('',#338307,0.140000000000002); #136494=CIRCLE('',#338308,0.140000000000002); #136495=CIRCLE('',#338447,0.564999999999998); #136496=CIRCLE('',#338448,0.564999999999998); #136497=CIRCLE('',#338451,0.900857088405025); #136498=CIRCLE('',#338452,0.900857088405025); #136499=CIRCLE('',#338454,0.900856769723134); #136500=CIRCLE('',#338455,0.900856769723134); #136501=CIRCLE('',#338471,0.114999999999998); #136502=CIRCLE('',#338472,0.114999999999998); #136503=CIRCLE('',#338474,0.114999999999998); #136504=CIRCLE('',#338475,0.114999999999998); #136505=CIRCLE('',#338489,0.114999999999998); #136506=CIRCLE('',#338490,0.114999999999998); #136507=CIRCLE('',#338492,0.114999999999998); #136508=CIRCLE('',#338493,0.114999999999998); #136509=CIRCLE('',#338507,0.114999999999998); #136510=CIRCLE('',#338508,0.114999999999998); #136511=CIRCLE('',#338510,0.114999999999998); #136512=CIRCLE('',#338511,0.114999999999998); #136513=CIRCLE('',#338525,0.114999999999998); #136514=CIRCLE('',#338526,0.114999999999998); #136515=CIRCLE('',#338528,0.114999999999998); #136516=CIRCLE('',#338529,0.114999999999998); #136517=CIRCLE('',#338549,0.127000308727433); #136518=CIRCLE('',#338550,0.127000308727433); #136519=CIRCLE('',#338557,0.126999843437875); #136520=CIRCLE('',#338558,0.126999843437875); #136521=CIRCLE('',#338562,0.126998775938454); #136522=CIRCLE('',#338563,0.126998775938454); #136523=CIRCLE('',#338572,0.126999785858201); #136524=CIRCLE('',#338573,0.126999785858201); #136525=CIRCLE('',#338576,0.127000308727438); #136526=CIRCLE('',#338577,0.127000308727438); #136527=CIRCLE('',#338587,0.127000308727436); #136528=CIRCLE('',#338588,0.127000308727436); #136529=CIRCLE('',#338591,0.127000308727441); #136530=CIRCLE('',#338592,0.127000308727441); #136531=CIRCLE('',#338597,0.127001478143445); #136532=CIRCLE('',#338598,0.127001478143445); #136533=CIRCLE('',#338601,0.127000248685803); #136534=CIRCLE('',#338602,0.127000248685803); #136535=CIRCLE('',#338609,0.127000023435621); #136536=CIRCLE('',#338610,0.127000023435621); #136537=CIRCLE('',#338618,0.127000308727437); #136538=CIRCLE('',#338619,0.127000308727437); #136539=CIRCLE('',#338625,0.139999999999998); #136540=CIRCLE('',#338626,0.139999999999998); #136541=CIRCLE('',#338628,0.139999999999998); #136542=CIRCLE('',#338629,0.139999999999998); #136543=CIRCLE('',#338632,0.275850826114455); #136544=CIRCLE('',#338633,0.275850826114455); #136545=CIRCLE('',#338635,0.275429566248996); #136546=CIRCLE('',#338636,0.275429566248996); #136547=CIRCLE('',#338639,0.275429704872049); #136548=CIRCLE('',#338640,0.275429704872049); #136549=CIRCLE('',#338642,0.27542977774263); #136550=CIRCLE('',#338643,0.27542977774263); #136551=CIRCLE('',#338646,0.275430756167224); #136552=CIRCLE('',#338647,0.275430756167224); #136553=CIRCLE('',#338651,0.139999999999998); #136554=CIRCLE('',#338652,0.139999999999998); #136555=CIRCLE('',#338655,0.275429726247122); #136556=CIRCLE('',#338656,0.275429726247122); #136557=CIRCLE('',#338665,0.27542954841201); #136558=CIRCLE('',#338666,0.27542954841201); #136559=CIRCLE('',#338670,0.139999999999998); #136560=CIRCLE('',#338671,0.139999999999998); #136561=CIRCLE('',#338678,0.127000429438416); #136562=CIRCLE('',#338679,0.127000429438416); #136563=CIRCLE('',#338682,0.127073045682421); #136564=CIRCLE('',#338683,0.127073045682421); #136565=CIRCLE('',#338685,0.275429182716805); #136566=CIRCLE('',#338686,0.275429182716805); #136567=CIRCLE('',#338688,0.275466854775623); #136568=CIRCLE('',#338689,0.275466854775623); #136569=CIRCLE('',#338696,0.139999999999998); #136570=CIRCLE('',#338697,0.139999999999998); #136571=CIRCLE('',#338699,0.140000000000002); #136572=CIRCLE('',#338700,0.140000000000002); #136573=CIRCLE('',#338703,0.275850826114459); #136574=CIRCLE('',#338704,0.275850826114459); #136575=CIRCLE('',#338706,0.275429566248996); #136576=CIRCLE('',#338707,0.275429566248996); #136577=CIRCLE('',#338714,0.127000308727436); #136578=CIRCLE('',#338715,0.127000308727436); #136579=CIRCLE('',#338719,0.127000308727436); #136580=CIRCLE('',#338720,0.127000308727436); #136581=CIRCLE('',#338723,0.127000308727436); #136582=CIRCLE('',#338724,0.127000308727436); #136583=CIRCLE('',#338729,0.127000308727441); #136584=CIRCLE('',#338730,0.127000308727441); #136585=CIRCLE('',#338733,0.127000308727438); #136586=CIRCLE('',#338734,0.127000308727438); #136587=CIRCLE('',#338737,0.275429728561968); #136588=CIRCLE('',#338738,0.275429728561968); #136589=CIRCLE('',#338740,0.275429566248991); #136590=CIRCLE('',#338741,0.275429566248991); #136591=CIRCLE('',#338746,0.127000308727436); #136592=CIRCLE('',#338747,0.127000308727436); #136593=CIRCLE('',#338750,0.127000308727436); #136594=CIRCLE('',#338751,0.127000308727436); #136595=CIRCLE('',#338756,0.12700030872744); #136596=CIRCLE('',#338757,0.12700030872744); #136597=CIRCLE('',#338761,0.127000308727436); #136598=CIRCLE('',#338762,0.127000308727436); #136599=CIRCLE('',#338765,0.127000308727441); #136600=CIRCLE('',#338766,0.127000308727441); #136601=CIRCLE('',#338769,0.127000308727433); #136602=CIRCLE('',#338770,0.127000308727433); #136603=CIRCLE('',#338773,0.127000308727438); #136604=CIRCLE('',#338774,0.127000308727438); #136605=CIRCLE('',#338777,0.275430756167224); #136606=CIRCLE('',#338778,0.275430756167224); #136607=CIRCLE('',#338782,0.140000000000002); #136608=CIRCLE('',#338783,0.140000000000002); #136609=CIRCLE('',#338785,0.139999999999998); #136610=CIRCLE('',#338786,0.139999999999998); #136611=CIRCLE('',#338789,0.275850826114452); #136612=CIRCLE('',#338790,0.275850826114452); #136613=CIRCLE('',#338792,0.275429566248992); #136614=CIRCLE('',#338793,0.275429566248992); #136615=CIRCLE('',#338797,0.275430616157734); #136616=CIRCLE('',#338798,0.275430616157734); #136617=CIRCLE('',#338800,0.275430435747982); #136618=CIRCLE('',#338801,0.275430435747982); #136619=CIRCLE('',#338804,0.127000308727439); #136620=CIRCLE('',#338805,0.127000308727439); #136621=CIRCLE('',#338808,0.275430756167224); #136622=CIRCLE('',#338809,0.275430756167224); #136623=CIRCLE('',#338813,0.139999999999998); #136624=CIRCLE('',#338814,0.139999999999998); #136625=CIRCLE('',#338821,0.127000429438416); #136626=CIRCLE('',#338822,0.127000429438416); #136627=CIRCLE('',#338825,0.127073045682421); #136628=CIRCLE('',#338826,0.127073045682421); #136629=CIRCLE('',#338828,0.275008823028508); #136630=CIRCLE('',#338829,0.275008823028508); #136631=CIRCLE('',#338831,0.127073045682415); #136632=CIRCLE('',#338832,0.127073045682415); #136633=CIRCLE('',#338835,0.127000429438414); #136634=CIRCLE('',#338836,0.127000429438414); #136635=CIRCLE('',#338846,0.275084370745809); #136636=CIRCLE('',#338847,0.275084370745809); #136637=CIRCLE('',#338854,0.139999999999998); #136638=CIRCLE('',#338855,0.139999999999998); #136639=CIRCLE('',#338858,0.275429773854103); #136640=CIRCLE('',#338859,0.275429773854103); #136641=CIRCLE('',#338861,0.12691207986283); #136642=CIRCLE('',#338862,0.12691207986283); #136643=CIRCLE('',#338865,0.127000429438417); #136644=CIRCLE('',#338866,0.127000429438417); #136645=CIRCLE('',#338876,0.275006306563116); #136646=CIRCLE('',#338877,0.275006306563116); #136647=CIRCLE('',#338887,0.127000429438417); #136648=CIRCLE('',#338888,0.127000429438417); #136649=CIRCLE('',#338891,0.126926612717977); #136650=CIRCLE('',#338892,0.126926612717977); #136651=CIRCLE('',#338894,0.275430611325914); #136652=CIRCLE('',#338895,0.275430611325914); #136653=CIRCLE('',#338899,0.139999999999998); #136654=CIRCLE('',#338900,0.139999999999998); #136655=CIRCLE('',#338902,0.139999999999998); #136656=CIRCLE('',#338903,0.139999999999998); #136657=CIRCLE('',#338907,0.127000308727438); #136658=CIRCLE('',#338908,0.127000308727438); #136659=CIRCLE('',#338912,0.27542972856197); #136660=CIRCLE('',#338913,0.27542972856197); #136661=CIRCLE('',#338915,0.275429566248993); #136662=CIRCLE('',#338916,0.275429566248993); #136663=CIRCLE('',#338919,0.127000308727433); #136664=CIRCLE('',#338920,0.127000308727433); #136665=CIRCLE('',#338925,0.127000308727449); #136666=CIRCLE('',#338926,0.127000308727449); #136667=CIRCLE('',#338933,0.127000308727451); #136668=CIRCLE('',#338934,0.127000308727451); #136669=CIRCLE('',#338938,0.127000308727436); #136670=CIRCLE('',#338939,0.127000308727436); #136671=CIRCLE('',#338942,0.12700030872744); #136672=CIRCLE('',#338943,0.12700030872744); #136673=CIRCLE('',#338948,0.12700030872744); #136674=CIRCLE('',#338949,0.12700030872744); #136675=CIRCLE('',#338952,0.127000308727449); #136676=CIRCLE('',#338953,0.127000308727449); #136677=CIRCLE('',#338956,0.127000308727438); #136678=CIRCLE('',#338957,0.127000308727438); #136679=CIRCLE('',#338961,0.275429728561968); #136680=CIRCLE('',#338962,0.275429728561968); #136681=CIRCLE('',#338964,0.275429566248991); #136682=CIRCLE('',#338965,0.275429566248991); #136683=CIRCLE('',#338968,0.127000308727436); #136684=CIRCLE('',#338969,0.127000308727436); #136685=CIRCLE('',#338975,0.127000308727425); #136686=CIRCLE('',#338976,0.127000308727425); #136687=CIRCLE('',#338979,0.127000308727425); #136688=CIRCLE('',#338980,0.127000308727425); #136689=CIRCLE('',#338985,0.127000308727436); #136690=CIRCLE('',#338986,0.127000308727436); #136691=CIRCLE('',#338990,0.127001515838133); #136692=CIRCLE('',#338991,0.127001515838133); #136693=CIRCLE('',#338994,0.127001515838138); #136694=CIRCLE('',#338995,0.127001515838138); #136695=CIRCLE('',#338998,0.127001515838138); #136696=CIRCLE('',#338999,0.127001515838138); #136697=CIRCLE('',#339002,0.127001515838131); #136698=CIRCLE('',#339003,0.127001515838131); #136699=CIRCLE('',#339007,0.126758017197157); #136700=CIRCLE('',#339008,0.126758017197157); #136701=CIRCLE('',#339012,0.139999999999998); #136702=CIRCLE('',#339013,0.139999999999998); #136703=CIRCLE('',#339015,0.139999999999998); #136704=CIRCLE('',#339016,0.139999999999998); #136705=CIRCLE('',#339019,0.275850826114455); #136706=CIRCLE('',#339020,0.275850826114455); #136707=CIRCLE('',#339022,0.275429566248996); #136708=CIRCLE('',#339023,0.275429566248996); #136709=CIRCLE('',#339026,0.275429704872049); #136710=CIRCLE('',#339027,0.275429704872049); #136711=CIRCLE('',#339029,0.27542977774263); #136712=CIRCLE('',#339030,0.27542977774263); #136713=CIRCLE('',#339033,0.275430756167224); #136714=CIRCLE('',#339034,0.275430756167224); #136715=CIRCLE('',#339038,0.139999999999998); #136716=CIRCLE('',#339039,0.139999999999998); #136717=CIRCLE('',#339041,0.139999999999998); #136718=CIRCLE('',#339042,0.139999999999998); #136719=CIRCLE('',#339045,0.275850826114455); #136720=CIRCLE('',#339046,0.275850826114455); #136721=CIRCLE('',#339048,0.275429566248993); #136722=CIRCLE('',#339049,0.275429566248993); #136723=CIRCLE('',#339052,0.275429704872047); #136724=CIRCLE('',#339053,0.275429704872047); #136725=CIRCLE('',#339055,0.275429777742628); #136726=CIRCLE('',#339056,0.275429777742628); #136727=CIRCLE('',#339059,0.275430756167224); #136728=CIRCLE('',#339060,0.275430756167224); #136729=CIRCLE('',#339064,0.139999999999998); #136730=CIRCLE('',#339065,0.139999999999998); #136731=CIRCLE('',#339067,0.139999999999998); #136732=CIRCLE('',#339068,0.139999999999998); #136733=CIRCLE('',#339071,0.275850826114455); #136734=CIRCLE('',#339072,0.275850826114455); #136735=CIRCLE('',#339074,0.275429566248995); #136736=CIRCLE('',#339075,0.275429566248995); #136737=CIRCLE('',#339078,0.275429704872054); #136738=CIRCLE('',#339079,0.275429704872054); #136739=CIRCLE('',#339081,0.275429777742634); #136740=CIRCLE('',#339082,0.275429777742634); #136741=CIRCLE('',#339085,0.275430756167224); #136742=CIRCLE('',#339086,0.275430756167224); #136743=CIRCLE('',#339090,0.139999999999998); #136744=CIRCLE('',#339091,0.139999999999998); #136745=CIRCLE('',#339093,0.140000000000002); #136746=CIRCLE('',#339094,0.140000000000002); #136747=CIRCLE('',#339097,0.275429677195186); #136748=CIRCLE('',#339098,0.275429677195186); #136749=CIRCLE('',#339103,0.27542972856197); #136750=CIRCLE('',#339104,0.27542972856197); #136751=CIRCLE('',#339106,0.275429566248992); #136752=CIRCLE('',#339107,0.275429566248992); #136753=CIRCLE('',#339110,0.127000308727437); #136754=CIRCLE('',#339111,0.127000308727437); #136755=CIRCLE('',#339114,0.127000308727438); #136756=CIRCLE('',#339115,0.127000308727438); #136757=CIRCLE('',#339118,0.275429442438527); #136758=CIRCLE('',#339119,0.275429442438527); #136759=CIRCLE('',#339123,0.139999999999998); #136760=CIRCLE('',#339124,0.139999999999998); #136761=CIRCLE('',#339132,0.139999999999998); #136762=CIRCLE('',#339133,0.139999999999998); #136763=CIRCLE('',#339141,0.140000000000002); #136764=CIRCLE('',#339142,0.140000000000002); #136765=CIRCLE('',#339150,0.139999999999998); #136766=CIRCLE('',#339151,0.139999999999998); #136767=CIRCLE('',#339154,0.275429677195181); #136768=CIRCLE('',#339155,0.275429677195181); #136769=CIRCLE('',#339164,0.275429442438521); #136770=CIRCLE('',#339165,0.275429442438521); #136771=CIRCLE('',#339169,0.139999999999998); #136772=CIRCLE('',#339170,0.139999999999998); #136773=CIRCLE('',#339172,0.139999999999998); #136774=CIRCLE('',#339173,0.139999999999998); #136775=CIRCLE('',#339177,0.12700030872745); #136776=CIRCLE('',#339178,0.12700030872745); #136777=CIRCLE('',#339182,0.127000308727449); #136778=CIRCLE('',#339183,0.127000308727449); #136779=CIRCLE('',#339187,0.27542972856197); #136780=CIRCLE('',#339188,0.27542972856197); #136781=CIRCLE('',#339190,0.275429566248993); #136782=CIRCLE('',#339191,0.275429566248993); #136783=CIRCLE('',#339194,0.127000308727438); #136784=CIRCLE('',#339195,0.127000308727438); #136785=CIRCLE('',#339199,0.127000308727439); #136786=CIRCLE('',#339200,0.127000308727439); #136787=CIRCLE('',#339208,0.127000308727438); #136788=CIRCLE('',#339209,0.127000308727438); #136789=CIRCLE('',#339213,0.12700030872744); #136790=CIRCLE('',#339214,0.12700030872744); #136791=CIRCLE('',#339217,0.12700030872744); #136792=CIRCLE('',#339218,0.12700030872744); #136793=CIRCLE('',#339223,0.12700030872744); #136794=CIRCLE('',#339224,0.12700030872744); #136795=CIRCLE('',#339227,0.127000308727436); #136796=CIRCLE('',#339228,0.127000308727436); #136797=CIRCLE('',#339232,0.127000308727438); #136798=CIRCLE('',#339233,0.127000308727438); #136799=CIRCLE('',#339236,0.275429728561968); #136800=CIRCLE('',#339237,0.275429728561968); #136801=CIRCLE('',#339239,0.275429566248991); #136802=CIRCLE('',#339240,0.275429566248991); #136803=CIRCLE('',#339244,0.127000308727438); #136804=CIRCLE('',#339245,0.127000308727438); #136805=CIRCLE('',#339250,0.12700030872744); #136806=CIRCLE('',#339251,0.12700030872744); #136807=CIRCLE('',#339254,0.12700030872744); #136808=CIRCLE('',#339255,0.12700030872744); #136809=CIRCLE('',#339260,0.127000308727447); #136810=CIRCLE('',#339261,0.127000308727447); #136811=CIRCLE('',#339265,0.127000308727451); #136812=CIRCLE('',#339266,0.127000308727451); #136813=CIRCLE('',#339269,0.12700030872744); #136814=CIRCLE('',#339270,0.12700030872744); #136815=CIRCLE('',#339273,0.127000308727438); #136816=CIRCLE('',#339274,0.127000308727438); #136817=CIRCLE('',#339277,0.126758017197157); #136818=CIRCLE('',#339278,0.126758017197157); #136819=CIRCLE('',#339282,0.140000000000002); #136820=CIRCLE('',#339283,0.140000000000002); #136821=CIRCLE('',#339285,0.140000000000002); #136822=CIRCLE('',#339286,0.140000000000002); #136823=CIRCLE('',#339289,0.275429677195186); #136824=CIRCLE('',#339290,0.275429677195186); #136825=CIRCLE('',#339293,0.275429728561971); #136826=CIRCLE('',#339294,0.275429728561971); #136827=CIRCLE('',#339296,0.275429566248994); #136828=CIRCLE('',#339297,0.275429566248994); #136829=CIRCLE('',#339300,0.275429442438529); #136830=CIRCLE('',#339301,0.275429442438529); #136831=CIRCLE('',#339305,0.139999999999998); #136832=CIRCLE('',#339306,0.139999999999998); #136833=CIRCLE('',#339309,0.275429677195182); #136834=CIRCLE('',#339310,0.275429677195182); #136835=CIRCLE('',#339319,0.275429442438522); #136836=CIRCLE('',#339320,0.275429442438522); #136837=CIRCLE('',#339324,0.139999999999998); #136838=CIRCLE('',#339325,0.139999999999998); #136839=CIRCLE('',#339328,0.275429677195182); #136840=CIRCLE('',#339329,0.275429677195182); #136841=CIRCLE('',#339338,0.275429442438521); #136842=CIRCLE('',#339339,0.275429442438521); #136843=CIRCLE('',#339343,0.139999999999998); #136844=CIRCLE('',#339344,0.139999999999998); #136845=CIRCLE('',#339347,0.275430523299247); #136846=CIRCLE('',#339348,0.275430523299247); #136847=CIRCLE('',#339351,0.127001515838137); #136848=CIRCLE('',#339352,0.127001515838137); #136849=CIRCLE('',#339355,0.127001515838134); #136850=CIRCLE('',#339356,0.127001515838134); #136851=CIRCLE('',#339367,0.275430756167224); #136852=CIRCLE('',#339368,0.275430756167224); #136853=CIRCLE('',#339370,0.275850020106943); #136854=CIRCLE('',#339371,0.275850020106943); #136855=CIRCLE('',#339375,0.465); #136856=CIRCLE('',#339376,0.465); #136857=CIRCLE('',#339386,0.750581792116793); #136858=CIRCLE('',#339387,0.750581792116793); #136859=CIRCLE('',#339389,0.750581774250364); #136860=CIRCLE('',#339390,0.750581774250364); #136861=CIRCLE('',#339393,0.127000308727438); #136862=CIRCLE('',#339394,0.127000308727438); #136863=CIRCLE('',#339397,0.127000308727433); #136864=CIRCLE('',#339398,0.127000308727433); #136865=CIRCLE('',#339403,0.465); #136866=CIRCLE('',#339404,0.465); #136867=CIRCLE('',#339407,0.750904962541172); #136868=CIRCLE('',#339408,0.750904962541172); #136869=CIRCLE('',#339410,0.750905460124643); #136870=CIRCLE('',#339411,0.750905460124643); #136871=CIRCLE('',#339415,0.139999999999998); #136872=CIRCLE('',#339416,0.139999999999998); #136873=CIRCLE('',#339425,0.127000308727438); #136874=CIRCLE('',#339426,0.127000308727438); #136875=CIRCLE('',#339430,0.275429728561968); #136876=CIRCLE('',#339431,0.275429728561968); #136877=CIRCLE('',#339433,0.275429566248991); #136878=CIRCLE('',#339434,0.275429566248991); #136879=CIRCLE('',#339437,0.127000308727444); #136880=CIRCLE('',#339438,0.127000308727444); #136881=CIRCLE('',#339442,0.127000308727438); #136882=CIRCLE('',#339443,0.127000308727438); #136883=CIRCLE('',#339446,0.127000308727435); #136884=CIRCLE('',#339447,0.127000308727435); #136885=CIRCLE('',#339453,0.465); #136886=CIRCLE('',#339454,0.465); #136887=CIRCLE('',#339460,0.127000308727438); #136888=CIRCLE('',#339461,0.127000308727438); #136889=CIRCLE('',#339464,0.127000308727438); #136890=CIRCLE('',#339465,0.127000308727438); #136891=CIRCLE('',#339468,0.750581792116793); #136892=CIRCLE('',#339469,0.750581792116793); #136893=CIRCLE('',#339471,0.750581774250364); #136894=CIRCLE('',#339472,0.750581774250364); #136895=CIRCLE('',#339486,0.127000308727435); #136896=CIRCLE('',#339487,0.127000308727435); #136897=CIRCLE('',#339510,0.127000308727435); #136898=CIRCLE('',#339511,0.127000308727435); #136899=CIRCLE('',#339517,0.564999999999998); #136900=CIRCLE('',#339518,0.564999999999998); #136901=CIRCLE('',#339522,0.127000308727436); #136902=CIRCLE('',#339523,0.127000308727436); #136903=CIRCLE('',#339526,0.127000308727438); #136904=CIRCLE('',#339527,0.127000308727438); #136905=CIRCLE('',#339531,0.12700030872744); #136906=CIRCLE('',#339532,0.12700030872744); #136907=CIRCLE('',#339542,0.127000308727444); #136908=CIRCLE('',#339543,0.127000308727444); #136909=CIRCLE('',#339549,0.127000308727441); #136910=CIRCLE('',#339550,0.127000308727441); #136911=CIRCLE('',#339568,0.126758017197157); #136912=CIRCLE('',#339569,0.126758017197157); #136913=CIRCLE('',#339573,0.139999999999998); #136914=CIRCLE('',#339574,0.139999999999998); #136915=CIRCLE('',#339576,0.139999999999998); #136916=CIRCLE('',#339577,0.139999999999998); #136917=CIRCLE('',#339580,0.275429677195185); #136918=CIRCLE('',#339581,0.275429677195185); #136919=CIRCLE('',#339584,0.27542972856197); #136920=CIRCLE('',#339585,0.27542972856197); #136921=CIRCLE('',#339587,0.275429566248993); #136922=CIRCLE('',#339588,0.275429566248993); #136923=CIRCLE('',#339591,0.275429442438528); #136924=CIRCLE('',#339592,0.275429442438528); #136925=CIRCLE('',#339596,0.140000000000002); #136926=CIRCLE('',#339597,0.140000000000002); #136927=CIRCLE('',#339605,0.139999999999998); #136928=CIRCLE('',#339606,0.139999999999998); #136929=CIRCLE('',#339608,0.139999999999998); #136930=CIRCLE('',#339609,0.139999999999998); #136931=CIRCLE('',#339612,0.275430523299248); #136932=CIRCLE('',#339613,0.275430523299248); #136933=CIRCLE('',#339616,0.127000308727438); #136934=CIRCLE('',#339617,0.127000308727438); #136935=CIRCLE('',#339620,0.127000308727433); #136936=CIRCLE('',#339621,0.127000308727433); #136937=CIRCLE('',#339624,0.127000308727436); #136938=CIRCLE('',#339625,0.127000308727436); #136939=CIRCLE('',#339628,0.127000308727438); #136940=CIRCLE('',#339629,0.127000308727438); #136941=CIRCLE('',#339632,0.127000308727444); #136942=CIRCLE('',#339633,0.127000308727444); #136943=CIRCLE('',#339636,0.275430209658209); #136944=CIRCLE('',#339637,0.275430209658209); #136945=CIRCLE('',#339639,0.275430264558768); #136946=CIRCLE('',#339640,0.275430264558768); #136947=CIRCLE('',#339648,0.275430756167224); #136948=CIRCLE('',#339649,0.275430756167224); #136949=CIRCLE('',#339651,0.275850020106942); #136950=CIRCLE('',#339652,0.275850020106942); #136951=CIRCLE('',#339656,0.139999999999998); #136952=CIRCLE('',#339657,0.139999999999998); #136953=CIRCLE('',#339659,0.139999999999998); #136954=CIRCLE('',#339660,0.139999999999998); #136955=CIRCLE('',#339663,0.275430525995305); #136956=CIRCLE('',#339664,0.275430525995305); #136957=CIRCLE('',#339667,0.275430616157734); #136958=CIRCLE('',#339668,0.275430616157734); #136959=CIRCLE('',#339670,0.27543090603658); #136960=CIRCLE('',#339671,0.27543090603658); #136961=CIRCLE('',#339675,0.275850020106941); #136962=CIRCLE('',#339676,0.275850020106941); #136963=CIRCLE('',#339680,0.139999999999998); #136964=CIRCLE('',#339681,0.139999999999998); #136965=CIRCLE('',#339689,0.565000000000002); #136966=CIRCLE('',#339690,0.565000000000002); #136967=CIRCLE('',#339692,0.140000000000002); #136968=CIRCLE('',#339693,0.140000000000002); #136969=CIRCLE('',#339695,0.514999999999999); #136970=CIRCLE('',#339696,0.514999999999999); #136971=CIRCLE('',#339698,0.465); #136972=CIRCLE('',#339699,0.465); #136973=CIRCLE('',#339771,0.275008823028508); #136974=CIRCLE('',#339772,0.275008823028508); #136975=CIRCLE('',#339777,0.825862156960858); #136976=CIRCLE('',#339778,0.825862156960858); #136977=CIRCLE('',#339780,0.825862160595456); #136978=CIRCLE('',#339781,0.825862160595456); #136979=CIRCLE('',#339784,0.127000308727435); #136980=CIRCLE('',#339785,0.127000308727435); #136981=CIRCLE('',#339788,0.127000308727438); #136982=CIRCLE('',#339789,0.127000308727438); #136983=CIRCLE('',#339792,0.275008823028508); #136984=CIRCLE('',#339793,0.275008823028508); #136985=CIRCLE('',#339805,0.140000000000002); #136986=CIRCLE('',#339806,0.140000000000002); #136987=CIRCLE('',#339810,0.126999756401237); #136988=CIRCLE('',#339811,0.126999756401237); #136989=CIRCLE('',#339833,0.127000308727433); #136990=CIRCLE('',#339834,0.127000308727433); #136991=CIRCLE('',#339839,0.127000308727438); #136992=CIRCLE('',#339840,0.127000308727438); #136993=CIRCLE('',#339844,0.127000308727441); #136994=CIRCLE('',#339845,0.127000308727441); #136995=CIRCLE('',#339848,0.275429704872047); #136996=CIRCLE('',#339849,0.275429704872047); #136997=CIRCLE('',#339851,0.275429777742628); #136998=CIRCLE('',#339852,0.275429777742628); #136999=CIRCLE('',#339856,0.127000308727438); #137000=CIRCLE('',#339857,0.127000308727438); #137001=CIRCLE('',#339861,0.127000308727438); #137002=CIRCLE('',#339862,0.127000308727438); #137003=CIRCLE('',#339865,0.127000308727433); #137004=CIRCLE('',#339866,0.127000308727433); #137005=CIRCLE('',#339873,0.126735934237225); #137006=CIRCLE('',#339874,0.126735934237225); #137007=CIRCLE('',#339878,0.14); #137008=CIRCLE('',#339879,0.14); #137009=CIRCLE('',#339881,0.14); #137010=CIRCLE('',#339882,0.14); #137011=CIRCLE('',#339884,0.14); #137012=CIRCLE('',#339885,0.14); #137013=CIRCLE('',#339887,0.14); #137014=CIRCLE('',#339888,0.14); #137015=CIRCLE('',#339890,0.14); #137016=CIRCLE('',#339891,0.14); #137017=CIRCLE('',#339893,0.14); #137018=CIRCLE('',#339894,0.14); #137019=CIRCLE('',#339896,0.14); #137020=CIRCLE('',#339897,0.14); #137021=CIRCLE('',#339899,0.14); #137022=CIRCLE('',#339900,0.14); #137023=CIRCLE('',#339902,0.465); #137024=CIRCLE('',#339903,0.465); #137025=CIRCLE('',#339905,0.465); #137026=CIRCLE('',#339906,0.465); #137027=CIRCLE('',#339908,0.514999999999999); #137028=CIRCLE('',#339909,0.514999999999999); #137029=CIRCLE('',#339911,0.14); #137030=CIRCLE('',#339912,0.14); #137031=CIRCLE('',#340246,0.140000000000002); #137032=CIRCLE('',#340247,0.140000000000002); #137033=CIRCLE('',#340249,0.139999999999998); #137034=CIRCLE('',#340250,0.139999999999998); #137035=CIRCLE('',#340252,0.139999999999998); #137036=CIRCLE('',#340253,0.139999999999998); #137037=CIRCLE('',#340255,0.139999999999998); #137038=CIRCLE('',#340256,0.139999999999998); #137039=CIRCLE('',#340258,0.139999999999998); #137040=CIRCLE('',#340259,0.139999999999998); #137041=CIRCLE('',#340261,0.139999999999998); #137042=CIRCLE('',#340262,0.139999999999998); #137043=CIRCLE('',#340264,0.465); #137044=CIRCLE('',#340265,0.465); #137045=CIRCLE('',#340267,0.139999999999998); #137046=CIRCLE('',#340268,0.139999999999998); #137047=CIRCLE('',#340270,0.139999999999998); #137048=CIRCLE('',#340271,0.139999999999998); #137049=CIRCLE('',#340273,0.139999999999998); #137050=CIRCLE('',#340274,0.139999999999998); #137051=CIRCLE('',#340276,0.139999999999998); #137052=CIRCLE('',#340277,0.139999999999998); #137053=CIRCLE('',#340279,0.139999999999998); #137054=CIRCLE('',#340280,0.139999999999998); #137055=CIRCLE('',#340282,0.139999999999998); #137056=CIRCLE('',#340283,0.139999999999998); #137057=CIRCLE('',#340285,0.514999999999999); #137058=CIRCLE('',#340286,0.514999999999999); #137059=CIRCLE('',#340288,0.139999999999998); #137060=CIRCLE('',#340289,0.139999999999998); #137061=CIRCLE('',#340291,0.139999999999998); #137062=CIRCLE('',#340292,0.139999999999998); #137063=CIRCLE('',#340294,0.139999999999998); #137064=CIRCLE('',#340295,0.139999999999998); #137065=CIRCLE('',#340297,0.139999999999998); #137066=CIRCLE('',#340298,0.139999999999998); #137067=CIRCLE('',#340300,0.139999999999998); #137068=CIRCLE('',#340301,0.139999999999998); #137069=CIRCLE('',#340303,0.139999999999998); #137070=CIRCLE('',#340304,0.139999999999998); #137071=CIRCLE('',#340306,0.139999999999998); #137072=CIRCLE('',#340307,0.139999999999998); #137073=CIRCLE('',#340309,0.139999999999998); #137074=CIRCLE('',#340310,0.139999999999998); #137075=CIRCLE('',#340312,0.14); #137076=CIRCLE('',#340313,0.14); #137077=CIRCLE('',#340315,0.139999999999998); #137078=CIRCLE('',#340316,0.139999999999998); #137079=CIRCLE('',#340318,0.14); #137080=CIRCLE('',#340319,0.14); #137081=CIRCLE('',#340321,0.139999999999998); #137082=CIRCLE('',#340322,0.139999999999998); #137083=CIRCLE('',#340324,0.14); #137084=CIRCLE('',#340325,0.14); #137085=CIRCLE('',#340327,0.139999999999998); #137086=CIRCLE('',#340328,0.139999999999998); #137087=CIRCLE('',#340330,0.14); #137088=CIRCLE('',#340331,0.14); #137089=CIRCLE('',#340333,0.140000000000002); #137090=CIRCLE('',#340334,0.140000000000002); #137091=CIRCLE('',#340336,0.139999999999998); #137092=CIRCLE('',#340337,0.139999999999998); #137093=CIRCLE('',#340339,0.140000000000002); #137094=CIRCLE('',#340340,0.140000000000002); #137095=CIRCLE('',#340342,0.139999999999998); #137096=CIRCLE('',#340343,0.139999999999998); #137097=CIRCLE('',#340345,0.140000000000002); #137098=CIRCLE('',#340346,0.140000000000002); #137099=CIRCLE('',#340348,0.139999999999998); #137100=CIRCLE('',#340349,0.139999999999998); #137101=CIRCLE('',#340351,0.140000000000002); #137102=CIRCLE('',#340352,0.140000000000002); #137103=CIRCLE('',#340354,0.140000000000002); #137104=CIRCLE('',#340355,0.140000000000002); #137105=CIRCLE('',#340357,0.139999999999998); #137106=CIRCLE('',#340358,0.139999999999998); #137107=CIRCLE('',#340360,0.140000000000002); #137108=CIRCLE('',#340361,0.140000000000002); #137109=CIRCLE('',#340363,0.140000000000002); #137110=CIRCLE('',#340364,0.140000000000002); #137111=CIRCLE('',#340366,0.139999999999998); #137112=CIRCLE('',#340367,0.139999999999998); #137113=CIRCLE('',#340369,0.140000000000002); #137114=CIRCLE('',#340370,0.140000000000002); #137115=CIRCLE('',#340372,0.140000000000002); #137116=CIRCLE('',#340373,0.140000000000002); #137117=CIRCLE('',#340375,0.139999999999998); #137118=CIRCLE('',#340376,0.139999999999998); #137119=CIRCLE('',#340378,0.140000000000002); #137120=CIRCLE('',#340379,0.140000000000002); #137121=CIRCLE('',#340381,0.139999999999998); #137122=CIRCLE('',#340382,0.139999999999998); #137123=CIRCLE('',#340384,0.140000000000002); #137124=CIRCLE('',#340385,0.140000000000002); #137125=CIRCLE('',#340387,0.139999999999998); #137126=CIRCLE('',#340388,0.139999999999998); #137127=CIRCLE('',#340390,0.140000000000002); #137128=CIRCLE('',#340391,0.140000000000002); #137129=CIRCLE('',#340393,0.14); #137130=CIRCLE('',#340394,0.14); #137131=CIRCLE('',#340396,0.139999999999998); #137132=CIRCLE('',#340397,0.139999999999998); #137133=CIRCLE('',#340399,0.14); #137134=CIRCLE('',#340400,0.14); #137135=CIRCLE('',#340402,0.139999999999998); #137136=CIRCLE('',#340403,0.139999999999998); #137137=CIRCLE('',#340405,0.14); #137138=CIRCLE('',#340406,0.14); #137139=CIRCLE('',#340408,0.139999999999998); #137140=CIRCLE('',#340409,0.139999999999998); #137141=CIRCLE('',#340411,0.14); #137142=CIRCLE('',#340412,0.14); #137143=CIRCLE('',#340414,0.14); #137144=CIRCLE('',#340415,0.14); #137145=CIRCLE('',#340417,0.139999999999998); #137146=CIRCLE('',#340418,0.139999999999998); #137147=CIRCLE('',#340420,0.14); #137148=CIRCLE('',#340421,0.14); #137149=CIRCLE('',#340423,0.139999999999998); #137150=CIRCLE('',#340424,0.139999999999998); #137151=CIRCLE('',#340426,0.14); #137152=CIRCLE('',#340427,0.14); #137153=CIRCLE('',#340429,0.139999999999998); #137154=CIRCLE('',#340430,0.139999999999998); #137155=CIRCLE('',#340432,0.14); #137156=CIRCLE('',#340433,0.14); #137157=CIRCLE('',#340435,0.465); #137158=CIRCLE('',#340436,0.465); #137159=CIRCLE('',#340439,0.315); #137160=CIRCLE('',#340440,0.315); #137161=CIRCLE('',#340443,0.315); #137162=CIRCLE('',#340444,0.315); #137163=CIRCLE('',#340447,0.565); #137164=CIRCLE('',#340448,0.565); #137165=CIRCLE('',#340451,0.565); #137166=CIRCLE('',#340452,0.565); #137167=CIRCLE('',#340454,0.139999999999998); #137168=CIRCLE('',#340455,0.139999999999998); #137169=CIRCLE('',#340457,0.114999999999998); #137170=CIRCLE('',#340458,0.114999999999998); #137171=CIRCLE('',#340461,0.565); #137172=CIRCLE('',#340462,0.565); #137173=CIRCLE('',#340465,0.565); #137174=CIRCLE('',#340466,0.565); #137175=CIRCLE('',#340468,0.139999999999998); #137176=CIRCLE('',#340469,0.139999999999998); #137177=CIRCLE('',#340471,0.114999999999998); #137178=CIRCLE('',#340472,0.114999999999998); #137179=CIRCLE('',#340474,0.139999999999998); #137180=CIRCLE('',#340475,0.139999999999998); #137181=CIRCLE('',#340477,0.114999999999998); #137182=CIRCLE('',#340478,0.114999999999998); #137183=CIRCLE('',#340480,0.114999999999998); #137184=CIRCLE('',#340481,0.114999999999998); #137185=CIRCLE('',#340484,0.315); #137186=CIRCLE('',#340485,0.315); #137187=CIRCLE('',#340488,0.315); #137188=CIRCLE('',#340489,0.315); #137189=CIRCLE('',#340491,0.139999999999998); #137190=CIRCLE('',#340492,0.139999999999998); #137191=CIRCLE('',#340495,0.315); #137192=CIRCLE('',#340496,0.315); #137193=CIRCLE('',#340499,0.315); #137194=CIRCLE('',#340500,0.315); #137195=CIRCLE('',#340503,0.315); #137196=CIRCLE('',#340504,0.315); #137197=CIRCLE('',#340507,0.315); #137198=CIRCLE('',#340508,0.315); #137199=CIRCLE('',#340510,0.139999999999998); #137200=CIRCLE('',#340511,0.139999999999998); #137201=CIRCLE('',#340513,0.139999999999998); #137202=CIRCLE('',#340514,0.139999999999998); #137203=CIRCLE('',#340516,0.140000000000002); #137204=CIRCLE('',#340517,0.140000000000002); #137205=CIRCLE('',#340519,0.139999999999998); #137206=CIRCLE('',#340520,0.139999999999998); #137207=CIRCLE('',#340522,0.140000000000002); #137208=CIRCLE('',#340523,0.140000000000002); #137209=CIRCLE('',#340525,0.140000000000002); #137210=CIRCLE('',#340526,0.140000000000002); #137211=CIRCLE('',#340528,0.139999999999998); #137212=CIRCLE('',#340529,0.139999999999998); #137213=CIRCLE('',#340531,0.140000000000002); #137214=CIRCLE('',#340532,0.140000000000002); #137215=CIRCLE('',#340534,0.139999999999998); #137216=CIRCLE('',#340535,0.139999999999998); #137217=CIRCLE('',#340537,0.139999999999998); #137218=CIRCLE('',#340538,0.139999999999998); #137219=CIRCLE('',#340540,0.139999999999998); #137220=CIRCLE('',#340541,0.139999999999998); #137221=CIRCLE('',#340543,0.139999999999998); #137222=CIRCLE('',#340544,0.139999999999998); #137223=CIRCLE('',#340546,0.139999999999998); #137224=CIRCLE('',#340547,0.139999999999998); #137225=CIRCLE('',#340549,0.140000000000002); #137226=CIRCLE('',#340550,0.140000000000002); #137227=CIRCLE('',#340552,0.514999999999999); #137228=CIRCLE('',#340553,0.514999999999999); #137229=CIRCLE('',#340555,0.140000000000002); #137230=CIRCLE('',#340556,0.140000000000002); #137231=CIRCLE('',#340558,0.139999999999998); #137232=CIRCLE('',#340559,0.139999999999998); #137233=CIRCLE('',#340561,0.140000000000002); #137234=CIRCLE('',#340562,0.140000000000002); #137235=CIRCLE('',#340564,0.140000000000002); #137236=CIRCLE('',#340565,0.140000000000002); #137237=CIRCLE('',#340567,0.139999999999998); #137238=CIRCLE('',#340568,0.139999999999998); #137239=CIRCLE('',#340570,0.140000000000002); #137240=CIRCLE('',#340571,0.140000000000002); #137241=CIRCLE('',#340573,0.140000000000002); #137242=CIRCLE('',#340574,0.140000000000002); #137243=CIRCLE('',#340576,0.139999999999998); #137244=CIRCLE('',#340577,0.139999999999998); #137245=CIRCLE('',#340579,0.140000000000002); #137246=CIRCLE('',#340580,0.140000000000002); #137247=CIRCLE('',#340582,0.140000000000002); #137248=CIRCLE('',#340583,0.140000000000002); #137249=CIRCLE('',#340585,0.139999999999998); #137250=CIRCLE('',#340586,0.139999999999998); #137251=CIRCLE('',#340588,0.140000000000002); #137252=CIRCLE('',#340589,0.140000000000002); #137253=CIRCLE('',#340591,0.564999999999998); #137254=CIRCLE('',#340592,0.564999999999998); #137255=CIRCLE('',#340594,0.14); #137256=CIRCLE('',#340595,0.14); #137257=CIRCLE('',#340597,0.139999999999998); #137258=CIRCLE('',#340598,0.139999999999998); #137259=CIRCLE('',#340600,0.140000000000002); #137260=CIRCLE('',#340601,0.140000000000002); #137261=CIRCLE('',#340603,0.140000000000002); #137262=CIRCLE('',#340604,0.140000000000002); #137263=CIRCLE('',#340606,0.139999999999998); #137264=CIRCLE('',#340607,0.139999999999998); #137265=CIRCLE('',#340609,0.140000000000002); #137266=CIRCLE('',#340610,0.140000000000002); #137267=CIRCLE('',#340612,0.140000000000002); #137268=CIRCLE('',#340613,0.140000000000002); #137269=CIRCLE('',#340615,0.139999999999998); #137270=CIRCLE('',#340616,0.139999999999998); #137271=CIRCLE('',#340618,0.140000000000002); #137272=CIRCLE('',#340619,0.140000000000002); #137273=CIRCLE('',#340621,0.140000000000002); #137274=CIRCLE('',#340622,0.140000000000002); #137275=CIRCLE('',#340624,0.139999999999998); #137276=CIRCLE('',#340625,0.139999999999998); #137277=CIRCLE('',#340627,0.140000000000002); #137278=CIRCLE('',#340628,0.140000000000002); #137279=CIRCLE('',#340630,0.14); #137280=CIRCLE('',#340631,0.14); #137281=CIRCLE('',#340633,0.139999999999998); #137282=CIRCLE('',#340634,0.139999999999998); #137283=CIRCLE('',#340636,0.14); #137284=CIRCLE('',#340637,0.14); #137285=CIRCLE('',#340639,0.14); #137286=CIRCLE('',#340640,0.14); #137287=CIRCLE('',#340642,0.139999999999998); #137288=CIRCLE('',#340643,0.139999999999998); #137289=CIRCLE('',#340645,0.14); #137290=CIRCLE('',#340646,0.14); #137291=CIRCLE('',#340648,0.14); #137292=CIRCLE('',#340649,0.14); #137293=CIRCLE('',#340651,0.139999999999998); #137294=CIRCLE('',#340652,0.139999999999998); #137295=CIRCLE('',#340654,0.14); #137296=CIRCLE('',#340655,0.14); #137297=CIRCLE('',#340657,0.14); #137298=CIRCLE('',#340658,0.14); #137299=CIRCLE('',#340660,0.139999999999998); #137300=CIRCLE('',#340661,0.139999999999998); #137301=CIRCLE('',#340663,0.14); #137302=CIRCLE('',#340664,0.14); #137303=CIRCLE('',#342510,0.140000000000002); #137304=CIRCLE('',#342511,0.140000000000002); #137305=CIRCLE('',#342513,0.140000000000002); #137306=CIRCLE('',#342514,0.140000000000002); #137307=CIRCLE('',#342516,0.140000000000002); #137308=CIRCLE('',#342517,0.140000000000002); #137309=CIRCLE('',#342519,0.140000000000002); #137310=CIRCLE('',#342520,0.140000000000002); #137311=CIRCLE('',#342522,0.140000000000002); #137312=CIRCLE('',#342523,0.140000000000002); #137313=CIRCLE('',#342525,0.140000000000002); #137314=CIRCLE('',#342526,0.140000000000002); #137315=CIRCLE('',#342528,0.140000000000002); #137316=CIRCLE('',#342529,0.140000000000002); #137317=CIRCLE('',#342531,0.140000000000002); #137318=CIRCLE('',#342532,0.140000000000002); #137319=CIRCLE('',#342534,0.140000000000002); #137320=CIRCLE('',#342535,0.140000000000002); #137321=CIRCLE('',#342537,0.140000000000002); #137322=CIRCLE('',#342538,0.140000000000002); #137323=CIRCLE('',#342540,0.140000000000002); #137324=CIRCLE('',#342541,0.140000000000002); #137325=CIRCLE('',#342543,0.140000000000002); #137326=CIRCLE('',#342544,0.140000000000002); #137327=CIRCLE('',#342546,0.140000000000002); #137328=CIRCLE('',#342547,0.140000000000002); #137329=CIRCLE('',#342549,0.140000000000002); #137330=CIRCLE('',#342550,0.140000000000002); #137331=CIRCLE('',#342552,0.139999999999998); #137332=CIRCLE('',#342553,0.139999999999998); #137333=CIRCLE('',#342555,0.140000000000002); #137334=CIRCLE('',#342556,0.140000000000002); #137335=CIRCLE('',#342634,0.139999999999998); #137336=CIRCLE('',#342635,0.139999999999998); #137337=CIRCLE('',#342637,0.139999999999998); #137338=CIRCLE('',#342638,0.139999999999998); #137339=CIRCLE('',#342640,0.139999999999998); #137340=CIRCLE('',#342641,0.139999999999998); #137341=CIRCLE('',#342643,0.139999999999998); #137342=CIRCLE('',#342644,0.139999999999998); #137343=CIRCLE('',#342646,0.139999999999998); #137344=CIRCLE('',#342647,0.139999999999998); #137345=CIRCLE('',#342649,0.139999999999998); #137346=CIRCLE('',#342650,0.139999999999998); #137347=CIRCLE('',#342652,0.139999999999998); #137348=CIRCLE('',#342653,0.139999999999998); #137349=CIRCLE('',#342655,0.139999999999998); #137350=CIRCLE('',#342656,0.139999999999998); #137351=CIRCLE('',#342658,0.139999999999998); #137352=CIRCLE('',#342659,0.139999999999998); #137353=CIRCLE('',#342661,0.139999999999998); #137354=CIRCLE('',#342662,0.139999999999998); #137355=CIRCLE('',#342664,0.139999999999998); #137356=CIRCLE('',#342665,0.139999999999998); #137357=CIRCLE('',#342667,0.139999999999998); #137358=CIRCLE('',#342668,0.139999999999998); #137359=CIRCLE('',#342670,0.139999999999998); #137360=CIRCLE('',#342671,0.139999999999998); #137361=CIRCLE('',#342673,0.139999999999998); #137362=CIRCLE('',#342674,0.139999999999998); #137363=CIRCLE('',#342676,0.139999999999998); #137364=CIRCLE('',#342677,0.139999999999998); #137365=CIRCLE('',#342679,0.139999999999998); #137366=CIRCLE('',#342680,0.139999999999998); #137367=CIRCLE('',#342754,0.14); #137368=CIRCLE('',#342755,0.14); #137369=CIRCLE('',#342757,0.14); #137370=CIRCLE('',#342758,0.14); #137371=CIRCLE('',#342760,0.14); #137372=CIRCLE('',#342761,0.14); #137373=CIRCLE('',#342763,0.14); #137374=CIRCLE('',#342764,0.14); #137375=CIRCLE('',#342766,0.14); #137376=CIRCLE('',#342767,0.14); #137377=CIRCLE('',#342769,0.14); #137378=CIRCLE('',#342770,0.14); #137379=CIRCLE('',#342772,0.14); #137380=CIRCLE('',#342773,0.14); #137381=CIRCLE('',#342775,0.14); #137382=CIRCLE('',#342776,0.14); #137383=CIRCLE('',#342778,0.14); #137384=CIRCLE('',#342779,0.14); #137385=CIRCLE('',#342781,0.14); #137386=CIRCLE('',#342782,0.14); #137387=CIRCLE('',#342784,0.14); #137388=CIRCLE('',#342785,0.14); #137389=CIRCLE('',#342787,0.14); #137390=CIRCLE('',#342788,0.14); #137391=CIRCLE('',#342790,0.139999999999998); #137392=CIRCLE('',#342791,0.139999999999998); #137393=CIRCLE('',#342793,0.139999999999998); #137394=CIRCLE('',#342794,0.139999999999998); #137395=CIRCLE('',#342796,0.139999999999998); #137396=CIRCLE('',#342797,0.139999999999998); #137397=CIRCLE('',#342799,0.139999999999998); #137398=CIRCLE('',#342800,0.139999999999998); #137399=CIRCLE('',#342802,0.139999999999998); #137400=CIRCLE('',#342803,0.139999999999998); #137401=CIRCLE('',#342805,0.14); #137402=CIRCLE('',#342806,0.14); #137403=CIRCLE('',#342808,0.139999999999998); #137404=CIRCLE('',#342809,0.139999999999998); #137405=CIRCLE('',#342811,0.139999999999998); #137406=CIRCLE('',#342812,0.139999999999998); #137407=CIRCLE('',#342814,0.139999999999998); #137408=CIRCLE('',#342815,0.139999999999998); #137409=CIRCLE('',#342817,0.139999999999998); #137410=CIRCLE('',#342818,0.139999999999998); #137411=CIRCLE('',#342820,0.139999999999998); #137412=CIRCLE('',#342821,0.139999999999998); #137413=CIRCLE('',#342823,0.139999999999998); #137414=CIRCLE('',#342824,0.139999999999998); #137415=CIRCLE('',#342826,0.139999999999998); #137416=CIRCLE('',#342827,0.139999999999998); #137417=CIRCLE('',#342829,0.139999999999998); #137418=CIRCLE('',#342830,0.139999999999998); #137419=CIRCLE('',#342832,0.139999999999998); #137420=CIRCLE('',#342833,0.139999999999998); #137421=CIRCLE('',#342835,0.139999999999998); #137422=CIRCLE('',#342836,0.139999999999998); #137423=CIRCLE('',#342838,0.14); #137424=CIRCLE('',#342839,0.14); #137425=CIRCLE('',#342841,0.14); #137426=CIRCLE('',#342842,0.14); #137427=CIRCLE('',#342891,0.139999999999998); #137428=CIRCLE('',#342892,0.139999999999998); #137429=CIRCLE('',#342894,0.139999999999998); #137430=CIRCLE('',#342895,0.139999999999998); #137431=CIRCLE('',#342898,0.275429677195186); #137432=CIRCLE('',#342899,0.275429677195186); #137433=CIRCLE('',#342902,0.27542972856197); #137434=CIRCLE('',#342903,0.27542972856197); #137435=CIRCLE('',#342905,0.275429566248992); #137436=CIRCLE('',#342906,0.275429566248992); #137437=CIRCLE('',#342909,0.275429442438528); #137438=CIRCLE('',#342910,0.275429442438528); #137439=CIRCLE('',#342914,0.140000000000002); #137440=CIRCLE('',#342915,0.140000000000002); #137441=CIRCLE('',#342917,0.140000000000002); #137442=CIRCLE('',#342918,0.140000000000002); #137443=CIRCLE('',#342920,0.140000000000002); #137444=CIRCLE('',#342921,0.140000000000002); #137445=CIRCLE('',#342923,0.140000000000002); #137446=CIRCLE('',#342924,0.140000000000002); #137447=CIRCLE('',#342926,0.140000000000002); #137448=CIRCLE('',#342927,0.140000000000002); #137449=CIRCLE('',#342929,0.140000000000002); #137450=CIRCLE('',#342930,0.140000000000002); #137451=CIRCLE('',#342932,0.140000000000002); #137452=CIRCLE('',#342933,0.140000000000002); #137453=CIRCLE('',#342935,0.140000000000002); #137454=CIRCLE('',#342936,0.140000000000002); #137455=CIRCLE('',#342938,0.140000000000002); #137456=CIRCLE('',#342939,0.140000000000002); #137457=CIRCLE('',#342941,0.465); #137458=CIRCLE('',#342942,0.465); #137459=CIRCLE('',#342970,0.139999999999998); #137460=CIRCLE('',#342971,0.139999999999998); #137461=CIRCLE('',#342974,0.275850231559089); #137462=CIRCLE('',#342975,0.275850231559089); #137463=CIRCLE('',#342977,0.275850020106942); #137464=CIRCLE('',#342978,0.275850020106942); #137465=CIRCLE('',#342982,0.140000000000002); #137466=CIRCLE('',#342983,0.140000000000002); #137467=CIRCLE('',#342985,0.140000000000002); #137468=CIRCLE('',#342986,0.140000000000002); #137469=CIRCLE('',#342988,0.140000000000002); #137470=CIRCLE('',#342989,0.140000000000002); #137471=CIRCLE('',#342991,0.140000000000002); #137472=CIRCLE('',#342992,0.140000000000002); #137473=CIRCLE('',#342994,0.140000000000002); #137474=CIRCLE('',#342995,0.140000000000002); #137475=CIRCLE('',#342997,0.140000000000002); #137476=CIRCLE('',#342998,0.140000000000002); #137477=CIRCLE('',#343000,0.140000000000002); #137478=CIRCLE('',#343001,0.140000000000002); #137479=CIRCLE('',#343003,0.140000000000002); #137480=CIRCLE('',#343004,0.140000000000002); #137481=CIRCLE('',#343006,0.140000000000002); #137482=CIRCLE('',#343007,0.140000000000002); #137483=CIRCLE('',#343009,0.140000000000002); #137484=CIRCLE('',#343010,0.140000000000002); #137485=CIRCLE('',#343012,0.140000000000002); #137486=CIRCLE('',#343013,0.140000000000002); #137487=CIRCLE('',#343015,0.140000000000002); #137488=CIRCLE('',#343016,0.140000000000002); #137489=CIRCLE('',#343018,0.140000000000002); #137490=CIRCLE('',#343019,0.140000000000002); #137491=CIRCLE('',#343021,0.140000000000002); #137492=CIRCLE('',#343022,0.140000000000002); #137493=CIRCLE('',#343024,0.140000000000002); #137494=CIRCLE('',#343025,0.140000000000002); #137495=CIRCLE('',#343027,0.140000000000002); #137496=CIRCLE('',#343028,0.140000000000002); #137497=CIRCLE('',#343030,0.140000000000002); #137498=CIRCLE('',#343031,0.140000000000002); #137499=CIRCLE('',#343033,0.140000000000002); #137500=CIRCLE('',#343034,0.140000000000002); #137501=CIRCLE('',#343036,0.140000000000002); #137502=CIRCLE('',#343037,0.140000000000002); #137503=CIRCLE('',#343039,0.140000000000002); #137504=CIRCLE('',#343040,0.140000000000002); #137505=CIRCLE('',#343042,0.140000000000002); #137506=CIRCLE('',#343043,0.140000000000002); #137507=CIRCLE('',#343045,0.140000000000002); #137508=CIRCLE('',#343046,0.140000000000002); #137509=CIRCLE('',#343048,0.140000000000002); #137510=CIRCLE('',#343049,0.140000000000002); #137511=CIRCLE('',#343051,0.140000000000002); #137512=CIRCLE('',#343052,0.140000000000002); #137513=CIRCLE('',#343054,0.140000000000002); #137514=CIRCLE('',#343055,0.140000000000002); #137515=CIRCLE('',#343057,0.140000000000002); #137516=CIRCLE('',#343058,0.140000000000002); #137517=CIRCLE('',#343060,0.140000000000002); #137518=CIRCLE('',#343061,0.140000000000002); #137519=CIRCLE('',#343063,0.140000000000002); #137520=CIRCLE('',#343064,0.140000000000002); #137521=CIRCLE('',#343066,0.140000000000002); #137522=CIRCLE('',#343067,0.140000000000002); #137523=CIRCLE('',#343069,0.140000000000002); #137524=CIRCLE('',#343070,0.140000000000002); #137525=CIRCLE('',#343119,0.140000000000002); #137526=CIRCLE('',#343120,0.140000000000002); #137527=CIRCLE('',#343122,0.140000000000002); #137528=CIRCLE('',#343123,0.140000000000002); #137529=CIRCLE('',#343126,0.275429677195186); #137530=CIRCLE('',#343127,0.275429677195186); #137531=CIRCLE('',#343130,0.27542972856197); #137532=CIRCLE('',#343131,0.27542972856197); #137533=CIRCLE('',#343133,0.275429566248994); #137534=CIRCLE('',#343134,0.275429566248994); #137535=CIRCLE('',#343137,0.275429442438528); #137536=CIRCLE('',#343138,0.275429442438528); #137537=CIRCLE('',#343142,0.139999999999998); #137538=CIRCLE('',#343143,0.139999999999998); #137539=CIRCLE('',#343146,0.27585023155909); #137540=CIRCLE('',#343147,0.27585023155909); #137541=CIRCLE('',#343149,0.275850020106942); #137542=CIRCLE('',#343150,0.275850020106942); #137543=CIRCLE('',#343154,0.139999999999998); #137544=CIRCLE('',#343155,0.139999999999998); #137545=CIRCLE('',#343157,0.139999999999998); #137546=CIRCLE('',#343158,0.139999999999998); #137547=CIRCLE('',#343160,0.139999999999998); #137548=CIRCLE('',#343161,0.139999999999998); #137549=CIRCLE('',#343163,0.114999999999998); #137550=CIRCLE('',#343164,0.114999999999998); #137551=CIRCLE('',#343166,0.114999999999998); #137552=CIRCLE('',#343167,0.114999999999998); #137553=CIRCLE('',#343169,0.114999999999998); #137554=CIRCLE('',#343170,0.114999999999998); #137555=CIRCLE('',#343172,0.114999999999998); #137556=CIRCLE('',#343173,0.114999999999998); #137557=CIRCLE('',#343175,0.139999999999998); #137558=CIRCLE('',#343176,0.139999999999998); #137559=CIRCLE('',#343178,0.139999999999998); #137560=CIRCLE('',#343179,0.139999999999998); #137561=CIRCLE('',#343181,0.139999999999998); #137562=CIRCLE('',#343182,0.139999999999998); #137563=CIRCLE('',#343184,0.139999999999998); #137564=CIRCLE('',#343185,0.139999999999998); #137565=CIRCLE('',#343187,0.139999999999998); #137566=CIRCLE('',#343188,0.139999999999998); #137567=CIRCLE('',#343190,0.139999999999998); #137568=CIRCLE('',#343191,0.139999999999998); #137569=CIRCLE('',#343193,0.139999999999998); #137570=CIRCLE('',#343194,0.139999999999998); #137571=CIRCLE('',#343196,0.139999999999998); #137572=CIRCLE('',#343197,0.139999999999998); #137573=CIRCLE('',#343368,0.564999999999998); #137574=CIRCLE('',#343369,0.564999999999998); #137575=CIRCLE('',#343372,0.900857088405025); #137576=CIRCLE('',#343373,0.900857088405025); #137577=CIRCLE('',#343375,0.900856769723134); #137578=CIRCLE('',#343376,0.900856769723134); #137579=CIRCLE('',#343380,0.565000000000002); #137580=CIRCLE('',#343381,0.565000000000002); #137581=CIRCLE('',#343384,0.900857088405025); #137582=CIRCLE('',#343385,0.900857088405025); #137583=CIRCLE('',#343387,0.900856769723134); #137584=CIRCLE('',#343388,0.900856769723134); #137585=CIRCLE('',#343392,0.465); #137586=CIRCLE('',#343393,0.465); #137587=CIRCLE('',#343396,0.750904962541172); #137588=CIRCLE('',#343397,0.750904962541172); #137589=CIRCLE('',#343399,0.750905460124643); #137590=CIRCLE('',#343400,0.750905460124643); #137591=CIRCLE('',#343404,0.514999999999999); #137592=CIRCLE('',#343405,0.514999999999999); #137593=CIRCLE('',#343408,0.825995597015953); #137594=CIRCLE('',#343409,0.825995597015953); #137595=CIRCLE('',#343411,0.825996336030616); #137596=CIRCLE('',#343412,0.825996336030616); #137597=CIRCLE('',#343416,0.514999999999999); #137598=CIRCLE('',#343417,0.514999999999999); #137599=CIRCLE('',#343425,0.465); #137600=CIRCLE('',#343426,0.465); #137601=CIRCLE('',#343429,0.750904962541172); #137602=CIRCLE('',#343430,0.750904962541172); #137603=CIRCLE('',#343432,0.750905460124644); #137604=CIRCLE('',#343433,0.750905460124644); #137605=CIRCLE('',#343437,0.465); #137606=CIRCLE('',#343438,0.465); #137607=CIRCLE('',#343441,0.750904962541172); #137608=CIRCLE('',#343442,0.750904962541172); #137609=CIRCLE('',#343444,0.750905460124644); #137610=CIRCLE('',#343445,0.750905460124644); #137611=CIRCLE('',#343449,0.564999999999998); #137612=CIRCLE('',#343450,0.564999999999998); #137613=CIRCLE('',#343453,0.900857088405025); #137614=CIRCLE('',#343454,0.900857088405025); #137615=CIRCLE('',#343456,0.900856769723134); #137616=CIRCLE('',#343457,0.900856769723134); #137617=CIRCLE('',#343461,0.465); #137618=CIRCLE('',#343462,0.465); #137619=CIRCLE('',#343465,0.750904962541171); #137620=CIRCLE('',#343466,0.750904962541171); #137621=CIRCLE('',#343468,0.750905460124643); #137622=CIRCLE('',#343469,0.750905460124643); #137623=CIRCLE('',#343474,0.315); #137624=CIRCLE('',#343475,0.315); #137625=CIRCLE('',#343478,0.315); #137626=CIRCLE('',#343479,0.315); #137627=CIRCLE('',#343540,0.565); #137628=CIRCLE('',#343541,0.565); #137629=CIRCLE('',#343544,0.565); #137630=CIRCLE('',#343545,0.565); #137631=CIRCLE('',#343622,0.315); #137632=CIRCLE('',#343623,0.315); #137633=CIRCLE('',#343626,0.315); #137634=CIRCLE('',#343627,0.315); #137635=CIRCLE('',#343687,0.514999999999999); #137636=CIRCLE('',#343688,0.514999999999999); #137637=CIRCLE('',#343697,0.315); #137638=CIRCLE('',#343698,0.315); #137639=CIRCLE('',#343701,0.315); #137640=CIRCLE('',#343702,0.315); #137641=CIRCLE('',#343763,0.565); #137642=CIRCLE('',#343764,0.565); #137643=CIRCLE('',#343767,0.565); #137644=CIRCLE('',#343768,0.565); #137645=CIRCLE('',#343844,0.465); #137646=CIRCLE('',#343845,0.465); #137647=CIRCLE('',#343848,0.750904962541172); #137648=CIRCLE('',#343849,0.750904962541172); #137649=CIRCLE('',#343851,0.750905460124644); #137650=CIRCLE('',#343852,0.750905460124644); #137651=CIRCLE('',#343856,0.514999999999999); #137652=CIRCLE('',#343857,0.514999999999999); #137653=CIRCLE('',#343860,0.825995597015952); #137654=CIRCLE('',#343861,0.825995597015952); #137655=CIRCLE('',#343863,0.825996336030615); #137656=CIRCLE('',#343864,0.825996336030615); #137657=CIRCLE('',#343869,0.315); #137658=CIRCLE('',#343870,0.315); #137659=CIRCLE('',#343873,0.315); #137660=CIRCLE('',#343874,0.315); #137661=CIRCLE('',#343934,0.465); #137662=CIRCLE('',#343935,0.465); #137663=CIRCLE('',#343938,0.750904962541172); #137664=CIRCLE('',#343939,0.750904962541172); #137665=CIRCLE('',#343941,0.750905460124644); #137666=CIRCLE('',#343942,0.750905460124644); #137667=CIRCLE('',#343946,0.465); #137668=CIRCLE('',#343947,0.465); #137669=CIRCLE('',#343950,0.750904962541172); #137670=CIRCLE('',#343951,0.750904962541172); #137671=CIRCLE('',#343953,0.750905460124643); #137672=CIRCLE('',#343954,0.750905460124643); #137673=CIRCLE('',#343958,0.465); #137674=CIRCLE('',#343959,0.465); #137675=CIRCLE('',#343962,0.750904962541172); #137676=CIRCLE('',#343963,0.750904962541172); #137677=CIRCLE('',#343965,0.750905460124643); #137678=CIRCLE('',#343966,0.750905460124643); #137679=CIRCLE('',#343970,0.564999999999998); #137680=CIRCLE('',#343971,0.564999999999998); #137681=CIRCLE('',#343979,0.465); #137682=CIRCLE('',#343980,0.465); #137683=CIRCLE('',#343983,0.750904962541172); #137684=CIRCLE('',#343984,0.750904962541172); #137685=CIRCLE('',#343986,0.750905460124643); #137686=CIRCLE('',#343987,0.750905460124643); #137687=CIRCLE('',#344129,0.114999999999998); #137688=CIRCLE('',#344130,0.114999999999998); #137689=CIRCLE('',#344132,0.114999999999998); #137690=CIRCLE('',#344133,0.114999999999998); #137691=CIRCLE('',#344147,0.114999999999998); #137692=CIRCLE('',#344148,0.114999999999998); #137693=CIRCLE('',#344150,0.114999999999998); #137694=CIRCLE('',#344151,0.114999999999998); #137695=CIRCLE('',#344255,0.139999999999998); #137696=CIRCLE('',#344256,0.139999999999998); #137697=CIRCLE('',#344288,0.140000000000002); #137698=CIRCLE('',#344289,0.140000000000002); #137699=CIRCLE('',#344291,0.140000000000002); #137700=CIRCLE('',#344292,0.140000000000002); #137701=CIRCLE('',#344294,0.140000000000002); #137702=CIRCLE('',#344295,0.140000000000002); #137703=CIRCLE('',#344297,0.140000000000002); #137704=CIRCLE('',#344298,0.140000000000002); #137705=CIRCLE('',#344300,0.140000000000002); #137706=CIRCLE('',#344301,0.140000000000002); #137707=CIRCLE('',#344303,0.140000000000002); #137708=CIRCLE('',#344304,0.140000000000002); #137709=CIRCLE('',#344306,0.140000000000002); #137710=CIRCLE('',#344307,0.140000000000002); #137711=CIRCLE('',#344309,0.140000000000002); #137712=CIRCLE('',#344310,0.140000000000002); #137713=CIRCLE('',#344312,0.140000000000002); #137714=CIRCLE('',#344313,0.140000000000002); #137715=CIRCLE('',#344315,0.140000000000002); #137716=CIRCLE('',#344316,0.140000000000002); #137717=CIRCLE('',#344318,0.140000000000002); #137718=CIRCLE('',#344319,0.140000000000002); #137719=CIRCLE('',#344321,0.140000000000002); #137720=CIRCLE('',#344322,0.140000000000002); #137721=CIRCLE('',#344324,0.140000000000002); #137722=CIRCLE('',#344325,0.140000000000002); #137723=CIRCLE('',#344327,0.140000000000002); #137724=CIRCLE('',#344328,0.140000000000002); #137725=CIRCLE('',#344330,0.140000000000002); #137726=CIRCLE('',#344331,0.140000000000002); #137727=CIRCLE('',#344357,0.139999999999998); #137728=CIRCLE('',#344358,0.139999999999998); #137729=CIRCLE('',#344390,0.139999999999998); #137730=CIRCLE('',#344391,0.139999999999998); #137731=CIRCLE('',#344393,0.139999999999998); #137732=CIRCLE('',#344394,0.139999999999998); #137733=CIRCLE('',#344396,0.139999999999998); #137734=CIRCLE('',#344397,0.139999999999998); #137735=CIRCLE('',#344399,0.139999999999998); #137736=CIRCLE('',#344400,0.139999999999998); #137737=CIRCLE('',#344402,0.139999999999998); #137738=CIRCLE('',#344403,0.139999999999998); #137739=CIRCLE('',#344405,0.139999999999998); #137740=CIRCLE('',#344406,0.139999999999998); #137741=CIRCLE('',#344408,0.139999999999998); #137742=CIRCLE('',#344409,0.139999999999998); #137743=CIRCLE('',#344411,0.139999999999998); #137744=CIRCLE('',#344412,0.139999999999998); #137745=CIRCLE('',#344414,0.139999999999998); #137746=CIRCLE('',#344415,0.139999999999998); #137747=CIRCLE('',#344417,0.139999999999998); #137748=CIRCLE('',#344418,0.139999999999998); #137749=CIRCLE('',#344420,0.139999999999998); #137750=CIRCLE('',#344421,0.139999999999998); #137751=CIRCLE('',#344423,0.139999999999998); #137752=CIRCLE('',#344424,0.139999999999998); #137753=CIRCLE('',#344426,0.139999999999998); #137754=CIRCLE('',#344427,0.139999999999998); #137755=CIRCLE('',#344429,0.139999999999998); #137756=CIRCLE('',#344430,0.139999999999998); #137757=CIRCLE('',#344432,0.139999999999998); #137758=CIRCLE('',#344433,0.139999999999998); #137759=CIRCLE('',#344459,0.139999999999998); #137760=CIRCLE('',#344460,0.139999999999998); #137761=CIRCLE('',#344468,0.139999999999998); #137762=CIRCLE('',#344469,0.139999999999998); #137763=CIRCLE('',#344477,0.140000000000002); #137764=CIRCLE('',#344478,0.140000000000002); #137765=CIRCLE('',#344486,0.139999999999998); #137766=CIRCLE('',#344487,0.139999999999998); #137767=CIRCLE('',#344489,0.139999999999998); #137768=CIRCLE('',#344490,0.139999999999998); #137769=CIRCLE('',#344492,0.139999999999998); #137770=CIRCLE('',#344493,0.139999999999998); #137771=CIRCLE('',#344495,0.139999999999998); #137772=CIRCLE('',#344496,0.139999999999998); #137773=CIRCLE('',#344498,0.139999999999998); #137774=CIRCLE('',#344499,0.139999999999998); #137775=CIRCLE('',#344501,0.139999999999998); #137776=CIRCLE('',#344502,0.139999999999998); #137777=CIRCLE('',#344504,0.139999999999998); #137778=CIRCLE('',#344505,0.139999999999998); #137779=CIRCLE('',#344507,0.139999999999998); #137780=CIRCLE('',#344508,0.139999999999998); #137781=CIRCLE('',#344510,0.139999999999998); #137782=CIRCLE('',#344511,0.139999999999998); #137783=CIRCLE('',#344513,0.139999999999998); #137784=CIRCLE('',#344514,0.139999999999998); #137785=CIRCLE('',#344516,0.139999999999998); #137786=CIRCLE('',#344517,0.139999999999998); #137787=CIRCLE('',#344519,0.139999999999998); #137788=CIRCLE('',#344520,0.139999999999998); #137789=CIRCLE('',#344522,0.139999999999998); #137790=CIRCLE('',#344523,0.139999999999998); #137791=CIRCLE('',#344525,0.139999999999998); #137792=CIRCLE('',#344526,0.139999999999998); #137793=CIRCLE('',#344528,0.139999999999998); #137794=CIRCLE('',#344529,0.139999999999998); #137795=CIRCLE('',#344657,0.140000000000002); #137796=CIRCLE('',#344658,0.140000000000002); #137797=CIRCLE('',#344660,0.140000000000002); #137798=CIRCLE('',#344661,0.140000000000002); #137799=CIRCLE('',#344663,0.140000000000002); #137800=CIRCLE('',#344664,0.140000000000002); #137801=CIRCLE('',#344666,0.140000000000002); #137802=CIRCLE('',#344667,0.140000000000002); #137803=CIRCLE('',#344669,0.140000000000002); #137804=CIRCLE('',#344670,0.140000000000002); #137805=CIRCLE('',#344672,0.140000000000002); #137806=CIRCLE('',#344673,0.140000000000002); #137807=CIRCLE('',#344675,0.140000000000002); #137808=CIRCLE('',#344676,0.140000000000002); #137809=CIRCLE('',#344678,0.140000000000002); #137810=CIRCLE('',#344679,0.140000000000002); #137811=CIRCLE('',#344681,0.140000000000002); #137812=CIRCLE('',#344682,0.140000000000002); #137813=CIRCLE('',#344684,0.140000000000002); #137814=CIRCLE('',#344685,0.140000000000002); #137815=CIRCLE('',#344687,0.140000000000002); #137816=CIRCLE('',#344688,0.140000000000002); #137817=CIRCLE('',#344690,0.140000000000002); #137818=CIRCLE('',#344691,0.140000000000002); #137819=CIRCLE('',#344693,0.140000000000002); #137820=CIRCLE('',#344694,0.140000000000002); #137821=CIRCLE('',#344696,0.140000000000002); #137822=CIRCLE('',#344697,0.140000000000002); #137823=CIRCLE('',#344699,0.140000000000002); #137824=CIRCLE('',#344700,0.140000000000002); #137825=CIRCLE('',#344744,0.140000000000002); #137826=CIRCLE('',#344745,0.140000000000002); #137827=CIRCLE('',#344753,0.114999999999998); #137828=CIRCLE('',#344754,0.114999999999998); #137829=CIRCLE('',#344756,0.114999999999998); #137830=CIRCLE('',#344757,0.114999999999998); #137831=CIRCLE('',#344765,0.114999999999998); #137832=CIRCLE('',#344766,0.114999999999998); #137833=CIRCLE('',#344768,0.114999999999998); #137834=CIRCLE('',#344769,0.114999999999998); #137835=CIRCLE('',#344777,0.139999999999998); #137836=CIRCLE('',#344778,0.139999999999998); #137837=CIRCLE('',#344787,0.325); #137838=CIRCLE('',#344788,0.325); #137839=CIRCLE('',#344790,0.325); #137840=CIRCLE('',#344791,0.325); #137841=CIRCLE('',#344793,0.600000000000001); #137842=CIRCLE('',#344794,0.600000000000001); #137843=CIRCLE('',#344796,0.175000000000001); #137844=CIRCLE('',#344797,0.175000000000001); #137845=CIRCLE('',#344799,0.175000000000001); #137846=CIRCLE('',#344800,0.175000000000001); #137847=CIRCLE('',#344802,0.175000000000001); #137848=CIRCLE('',#344803,0.175000000000001); #137849=CIRCLE('',#344805,0.175000000000001); #137850=CIRCLE('',#344806,0.175000000000001); #137851=CIRCLE('',#344808,0.175000000000001); #137852=CIRCLE('',#344809,0.175000000000001); #137853=CIRCLE('',#344811,0.175000000000001); #137854=CIRCLE('',#344812,0.175000000000001); #137855=CIRCLE('',#344814,0.175000000000001); #137856=CIRCLE('',#344815,0.175000000000001); #137857=CIRCLE('',#344817,0.175000000000001); #137858=CIRCLE('',#344818,0.175000000000001); #137859=CIRCLE('',#344820,0.175000000000001); #137860=CIRCLE('',#344821,0.175000000000001); #137861=CIRCLE('',#344823,0.175000000000001); #137862=CIRCLE('',#344824,0.175000000000001); #137863=CIRCLE('',#344826,0.175000000000001); #137864=CIRCLE('',#344827,0.175000000000001); #137865=CIRCLE('',#344829,0.175000000000001); #137866=CIRCLE('',#344830,0.175000000000001); #137867=CIRCLE('',#344832,0.175000000000001); #137868=CIRCLE('',#344833,0.175000000000001); #137869=CIRCLE('',#344835,0.175000000000001); #137870=CIRCLE('',#344836,0.175000000000001); #137871=CIRCLE('',#344838,0.175000000000001); #137872=CIRCLE('',#344839,0.175000000000001); #137873=CIRCLE('',#344841,0.5); #137874=CIRCLE('',#344842,0.5); #137875=CIRCLE('',#344844,0.175000000000001); #137876=CIRCLE('',#344845,0.175000000000001); #137877=CIRCLE('',#344847,0.175000000000001); #137878=CIRCLE('',#344848,0.175000000000001); #137879=CIRCLE('',#344850,0.175000000000001); #137880=CIRCLE('',#344851,0.175000000000001); #137881=CIRCLE('',#344853,0.175000000000001); #137882=CIRCLE('',#344854,0.175000000000001); #137883=CIRCLE('',#344856,0.175000000000001); #137884=CIRCLE('',#344857,0.175000000000001); #137885=CIRCLE('',#344859,0.175000000000001); #137886=CIRCLE('',#344860,0.175000000000001); #137887=CIRCLE('',#344862,0.175000000000001); #137888=CIRCLE('',#344863,0.175000000000001); #137889=CIRCLE('',#344865,0.175000000000001); #137890=CIRCLE('',#344866,0.175000000000001); #137891=CIRCLE('',#344868,0.175000000000001); #137892=CIRCLE('',#344869,0.175000000000001); #137893=CIRCLE('',#344871,0.175000000000001); #137894=CIRCLE('',#344872,0.175000000000001); #137895=CIRCLE('',#344874,0.175000000000001); #137896=CIRCLE('',#344875,0.175000000000001); #137897=CIRCLE('',#344877,0.175000000000001); #137898=CIRCLE('',#344878,0.175000000000001); #137899=CIRCLE('',#344880,0.175000000000001); #137900=CIRCLE('',#344881,0.175000000000001); #137901=CIRCLE('',#344883,0.175000000000001); #137902=CIRCLE('',#344884,0.175000000000001); #137903=CIRCLE('',#344886,0.175000000000001); #137904=CIRCLE('',#344887,0.175000000000001); #137905=CIRCLE('',#344889,0.550000000000002); #137906=CIRCLE('',#344890,0.550000000000002); #137907=CIRCLE('',#344892,0.175000000000001); #137908=CIRCLE('',#344893,0.175000000000001); #137909=CIRCLE('',#344895,0.175000000000001); #137910=CIRCLE('',#344896,0.175000000000001); #137911=CIRCLE('',#344898,0.175000000000001); #137912=CIRCLE('',#344899,0.175000000000001); #137913=CIRCLE('',#344901,0.175000000000001); #137914=CIRCLE('',#344902,0.175000000000001); #137915=CIRCLE('',#344904,0.175000000000001); #137916=CIRCLE('',#344905,0.175000000000001); #137917=CIRCLE('',#344907,0.175000000000001); #137918=CIRCLE('',#344908,0.175000000000001); #137919=CIRCLE('',#344910,0.175000000000001); #137920=CIRCLE('',#344911,0.175000000000001); #137921=CIRCLE('',#344913,0.175000000000001); #137922=CIRCLE('',#344914,0.175000000000001); #137923=CIRCLE('',#344916,0.175000000000001); #137924=CIRCLE('',#344917,0.175000000000001); #137925=CIRCLE('',#344919,0.175000000000001); #137926=CIRCLE('',#344920,0.175000000000001); #137927=CIRCLE('',#344922,0.175000000000001); #137928=CIRCLE('',#344923,0.175000000000001); #137929=CIRCLE('',#344925,0.175000000000001); #137930=CIRCLE('',#344926,0.175000000000001); #137931=CIRCLE('',#344928,0.175000000000001); #137932=CIRCLE('',#344929,0.175000000000001); #137933=CIRCLE('',#344931,0.175000000000001); #137934=CIRCLE('',#344932,0.175000000000001); #137935=CIRCLE('',#344934,0.175000000000001); #137936=CIRCLE('',#344935,0.175000000000001); #137937=CIRCLE('',#344937,0.5); #137938=CIRCLE('',#344938,0.5); #137939=CIRCLE('',#344940,0.175000000000001); #137940=CIRCLE('',#344941,0.175000000000001); #137941=CIRCLE('',#344943,0.175000000000001); #137942=CIRCLE('',#344944,0.175000000000001); #137943=CIRCLE('',#344946,0.175000000000001); #137944=CIRCLE('',#344947,0.175000000000001); #137945=CIRCLE('',#344949,0.175000000000001); #137946=CIRCLE('',#344950,0.175000000000001); #137947=CIRCLE('',#344952,0.175000000000001); #137948=CIRCLE('',#344953,0.175000000000001); #137949=CIRCLE('',#344955,0.175000000000001); #137950=CIRCLE('',#344956,0.175000000000001); #137951=CIRCLE('',#344958,0.175000000000001); #137952=CIRCLE('',#344959,0.175000000000001); #137953=CIRCLE('',#344961,0.175000000000001); #137954=CIRCLE('',#344962,0.175000000000001); #137955=CIRCLE('',#344964,0.175000000000001); #137956=CIRCLE('',#344965,0.175000000000001); #137957=CIRCLE('',#344967,0.175000000000001); #137958=CIRCLE('',#344968,0.175000000000001); #137959=CIRCLE('',#344970,0.175000000000001); #137960=CIRCLE('',#344971,0.175000000000001); #137961=CIRCLE('',#344973,0.175000000000001); #137962=CIRCLE('',#344974,0.175000000000001); #137963=CIRCLE('',#344976,0.175000000000001); #137964=CIRCLE('',#344977,0.175000000000001); #137965=CIRCLE('',#344979,0.175000000000001); #137966=CIRCLE('',#344980,0.175000000000001); #137967=CIRCLE('',#344982,0.175000000000001); #137968=CIRCLE('',#344983,0.175000000000001); #137969=CIRCLE('',#344985,0.600000000000001); #137970=CIRCLE('',#344986,0.600000000000001); #137971=CIRCLE('',#344988,0.175000000000001); #137972=CIRCLE('',#344989,0.175000000000001); #137973=CIRCLE('',#344991,0.175000000000001); #137974=CIRCLE('',#344992,0.175000000000001); #137975=CIRCLE('',#344994,0.175000000000001); #137976=CIRCLE('',#344995,0.175000000000001); #137977=CIRCLE('',#344997,0.175000000000001); #137978=CIRCLE('',#344998,0.175000000000001); #137979=CIRCLE('',#345000,0.175000000000001); #137980=CIRCLE('',#345001,0.175000000000001); #137981=CIRCLE('',#345003,0.175); #137982=CIRCLE('',#345004,0.175); #137983=CIRCLE('',#345006,0.175000000000001); #137984=CIRCLE('',#345007,0.175000000000001); #137985=CIRCLE('',#345009,0.175000000000001); #137986=CIRCLE('',#345010,0.175000000000001); #137987=CIRCLE('',#345012,0.175000000000001); #137988=CIRCLE('',#345013,0.175000000000001); #137989=CIRCLE('',#345015,0.175000000000001); #137990=CIRCLE('',#345016,0.175000000000001); #137991=CIRCLE('',#345018,0.175000000000001); #137992=CIRCLE('',#345019,0.175000000000001); #137993=CIRCLE('',#345021,0.175000000000001); #137994=CIRCLE('',#345022,0.175000000000001); #137995=CIRCLE('',#345024,0.175000000000001); #137996=CIRCLE('',#345025,0.175000000000001); #137997=CIRCLE('',#345027,0.175); #137998=CIRCLE('',#345028,0.175); #137999=CIRCLE('',#345030,0.175000000000001); #138000=CIRCLE('',#345031,0.175000000000001); #138001=CIRCLE('',#345033,0.175000000000001); #138002=CIRCLE('',#345034,0.175000000000001); #138003=CIRCLE('',#345036,0.175000000000001); #138004=CIRCLE('',#345037,0.175000000000001); #138005=CIRCLE('',#345039,0.175000000000001); #138006=CIRCLE('',#345040,0.175000000000001); #138007=CIRCLE('',#345042,0.175000000000001); #138008=CIRCLE('',#345043,0.175000000000001); #138009=CIRCLE('',#345045,0.175000000000001); #138010=CIRCLE('',#345046,0.175000000000001); #138011=CIRCLE('',#345048,0.175000000000001); #138012=CIRCLE('',#345049,0.175000000000001); #138013=CIRCLE('',#345051,0.175000000000001); #138014=CIRCLE('',#345052,0.175000000000001); #138015=CIRCLE('',#345054,0.175000000000001); #138016=CIRCLE('',#345055,0.175000000000001); #138017=CIRCLE('',#345057,0.175000000000001); #138018=CIRCLE('',#345058,0.175000000000001); #138019=CIRCLE('',#345060,0.175000000000001); #138020=CIRCLE('',#345061,0.175000000000001); #138021=CIRCLE('',#345063,0.175000000000001); #138022=CIRCLE('',#345064,0.175000000000001); #138023=CIRCLE('',#345066,0.175000000000001); #138024=CIRCLE('',#345067,0.175000000000001); #138025=CIRCLE('',#345069,0.175000000000001); #138026=CIRCLE('',#345070,0.175000000000001); #138027=CIRCLE('',#345072,0.175000000000001); #138028=CIRCLE('',#345073,0.175000000000001); #138029=CIRCLE('',#345075,0.175000000000001); #138030=CIRCLE('',#345076,0.175000000000001); #138031=CIRCLE('',#345078,0.175000000000001); #138032=CIRCLE('',#345079,0.175000000000001); #138033=CIRCLE('',#345081,0.499999999999998); #138034=CIRCLE('',#345082,0.499999999999998); #138035=CIRCLE('',#345084,0.175000000000001); #138036=CIRCLE('',#345085,0.175000000000001); #138037=CIRCLE('',#345087,0.175000000000001); #138038=CIRCLE('',#345088,0.175000000000001); #138039=CIRCLE('',#345090,0.175000000000001); #138040=CIRCLE('',#345091,0.175000000000001); #138041=CIRCLE('',#345093,0.175000000000001); #138042=CIRCLE('',#345094,0.175000000000001); #138043=CIRCLE('',#345096,0.175000000000001); #138044=CIRCLE('',#345097,0.175000000000001); #138045=CIRCLE('',#345099,0.175000000000001); #138046=CIRCLE('',#345100,0.175000000000001); #138047=CIRCLE('',#345102,0.175000000000001); #138048=CIRCLE('',#345103,0.175000000000001); #138049=CIRCLE('',#345105,0.175000000000001); #138050=CIRCLE('',#345106,0.175000000000001); #138051=CIRCLE('',#345108,0.175000000000001); #138052=CIRCLE('',#345109,0.175000000000001); #138053=CIRCLE('',#345111,0.175000000000001); #138054=CIRCLE('',#345112,0.175000000000001); #138055=CIRCLE('',#345114,0.175000000000001); #138056=CIRCLE('',#345115,0.175000000000001); #138057=CIRCLE('',#345117,0.175000000000001); #138058=CIRCLE('',#345118,0.175000000000001); #138059=CIRCLE('',#345120,0.175000000000001); #138060=CIRCLE('',#345121,0.175000000000001); #138061=CIRCLE('',#345123,0.175000000000001); #138062=CIRCLE('',#345124,0.175000000000001); #138063=CIRCLE('',#345126,0.175000000000001); #138064=CIRCLE('',#345127,0.175000000000001); #138065=CIRCLE('',#345129,0.499999999999998); #138066=CIRCLE('',#345130,0.499999999999998); #138067=CIRCLE('',#345132,0.175000000000001); #138068=CIRCLE('',#345133,0.175000000000001); #138069=CIRCLE('',#345135,0.175000000000001); #138070=CIRCLE('',#345136,0.175000000000001); #138071=CIRCLE('',#345138,0.175000000000001); #138072=CIRCLE('',#345139,0.175000000000001); #138073=CIRCLE('',#345141,0.175000000000001); #138074=CIRCLE('',#345142,0.175000000000001); #138075=CIRCLE('',#345144,0.175000000000001); #138076=CIRCLE('',#345145,0.175000000000001); #138077=CIRCLE('',#345147,0.175000000000001); #138078=CIRCLE('',#345148,0.175000000000001); #138079=CIRCLE('',#345150,0.175000000000001); #138080=CIRCLE('',#345151,0.175000000000001); #138081=CIRCLE('',#345153,0.175000000000001); #138082=CIRCLE('',#345154,0.175000000000001); #138083=CIRCLE('',#345156,0.175000000000001); #138084=CIRCLE('',#345157,0.175000000000001); #138085=CIRCLE('',#345159,0.175000000000001); #138086=CIRCLE('',#345160,0.175000000000001); #138087=CIRCLE('',#345162,0.175000000000001); #138088=CIRCLE('',#345163,0.175000000000001); #138089=CIRCLE('',#345165,0.175000000000001); #138090=CIRCLE('',#345166,0.175000000000001); #138091=CIRCLE('',#345168,0.175000000000001); #138092=CIRCLE('',#345169,0.175000000000001); #138093=CIRCLE('',#345171,0.175000000000001); #138094=CIRCLE('',#345172,0.175000000000001); #138095=CIRCLE('',#345174,0.175000000000001); #138096=CIRCLE('',#345175,0.175000000000001); #138097=CIRCLE('',#345177,0.550000000000002); #138098=CIRCLE('',#345178,0.550000000000002); #138099=CIRCLE('',#345180,0.150000000000001); #138100=CIRCLE('',#345181,0.150000000000001); #138101=CIRCLE('',#345183,0.175); #138102=CIRCLE('',#345184,0.175); #138103=CIRCLE('',#345186,0.150000000000001); #138104=CIRCLE('',#345187,0.150000000000001); #138105=CIRCLE('',#345189,0.175000000000001); #138106=CIRCLE('',#345190,0.175000000000001); #138107=CIRCLE('',#345192,0.175000000000001); #138108=CIRCLE('',#345193,0.175000000000001); #138109=CIRCLE('',#345195,0.175); #138110=CIRCLE('',#345196,0.175); #138111=CIRCLE('',#345198,0.150000000000001); #138112=CIRCLE('',#345199,0.150000000000001); #138113=CIRCLE('',#345201,0.175000000000001); #138114=CIRCLE('',#345202,0.175000000000001); #138115=CIRCLE('',#345204,0.175000000000001); #138116=CIRCLE('',#345205,0.175000000000001); #138117=CIRCLE('',#345207,0.175); #138118=CIRCLE('',#345208,0.175); #138119=CIRCLE('',#345210,0.175000000000001); #138120=CIRCLE('',#345211,0.175000000000001); #138121=CIRCLE('',#345213,0.175000000000001); #138122=CIRCLE('',#345214,0.175000000000001); #138123=CIRCLE('',#345216,0.175000000000001); #138124=CIRCLE('',#345217,0.175000000000001); #138125=CIRCLE('',#345219,0.175); #138126=CIRCLE('',#345220,0.175); #138127=CIRCLE('',#345222,0.175000000000001); #138128=CIRCLE('',#345223,0.175000000000001); #138129=CIRCLE('',#345225,0.175000000000001); #138130=CIRCLE('',#345226,0.175000000000001); #138131=CIRCLE('',#345228,0.175000000000001); #138132=CIRCLE('',#345229,0.175000000000001); #138133=CIRCLE('',#345231,0.175); #138134=CIRCLE('',#345232,0.175); #138135=CIRCLE('',#345234,0.175000000000001); #138136=CIRCLE('',#345235,0.175000000000001); #138137=CIRCLE('',#345237,0.175000000000001); #138138=CIRCLE('',#345238,0.175000000000001); #138139=CIRCLE('',#345240,0.175000000000001); #138140=CIRCLE('',#345241,0.175000000000001); #138141=CIRCLE('',#345243,0.175000000000001); #138142=CIRCLE('',#345244,0.175000000000001); #138143=CIRCLE('',#345246,0.175000000000001); #138144=CIRCLE('',#345247,0.175000000000001); #138145=CIRCLE('',#345249,0.175000000000001); #138146=CIRCLE('',#345250,0.175000000000001); #138147=CIRCLE('',#345252,0.175000000000001); #138148=CIRCLE('',#345253,0.175000000000001); #138149=CIRCLE('',#345255,0.175); #138150=CIRCLE('',#345256,0.175); #138151=CIRCLE('',#345258,0.175000000000001); #138152=CIRCLE('',#345259,0.175000000000001); #138153=CIRCLE('',#345261,0.175000000000001); #138154=CIRCLE('',#345262,0.175000000000001); #138155=CIRCLE('',#345264,0.175000000000001); #138156=CIRCLE('',#345265,0.175000000000001); #138157=CIRCLE('',#345267,0.175); #138158=CIRCLE('',#345268,0.175); #138159=CIRCLE('',#345270,0.175000000000001); #138160=CIRCLE('',#345271,0.175000000000001); #138161=CIRCLE('',#345273,0.499999999999998); #138162=CIRCLE('',#345274,0.499999999999998); #138163=CIRCLE('',#345277,0.35); #138164=CIRCLE('',#345278,0.35); #138165=CIRCLE('',#345281,0.35); #138166=CIRCLE('',#345282,0.35); #138167=CIRCLE('',#345284,0.175000000000001); #138168=CIRCLE('',#345285,0.175000000000001); #138169=CIRCLE('',#345288,0.6); #138170=CIRCLE('',#345289,0.6); #138171=CIRCLE('',#345292,0.6); #138172=CIRCLE('',#345293,0.6); #138173=CIRCLE('',#345295,0.175000000000001); #138174=CIRCLE('',#345296,0.175000000000001); #138175=CIRCLE('',#345298,0.150000000000001); #138176=CIRCLE('',#345299,0.150000000000001); #138177=CIRCLE('',#345301,0.175000000000001); #138178=CIRCLE('',#345302,0.175000000000001); #138179=CIRCLE('',#345305,0.6); #138180=CIRCLE('',#345306,0.6); #138181=CIRCLE('',#345309,0.6); #138182=CIRCLE('',#345310,0.6); #138183=CIRCLE('',#345312,0.175000000000001); #138184=CIRCLE('',#345313,0.175000000000001); #138185=CIRCLE('',#345315,0.150000000000001); #138186=CIRCLE('',#345316,0.150000000000001); #138187=CIRCLE('',#345318,0.175000000000001); #138188=CIRCLE('',#345319,0.175000000000001); #138189=CIRCLE('',#345321,0.150000000000001); #138190=CIRCLE('',#345322,0.150000000000001); #138191=CIRCLE('',#345324,0.175000000000001); #138192=CIRCLE('',#345325,0.175000000000001); #138193=CIRCLE('',#345327,0.150000000000001); #138194=CIRCLE('',#345328,0.150000000000001); #138195=CIRCLE('',#345330,0.175000000000001); #138196=CIRCLE('',#345331,0.175000000000001); #138197=CIRCLE('',#345333,0.150000000000001); #138198=CIRCLE('',#345334,0.150000000000001); #138199=CIRCLE('',#345336,0.175000000000001); #138200=CIRCLE('',#345337,0.175000000000001); #138201=CIRCLE('',#345339,0.175000000000001); #138202=CIRCLE('',#345340,0.175000000000001); #138203=CIRCLE('',#345343,0.35); #138204=CIRCLE('',#345344,0.35); #138205=CIRCLE('',#345347,0.35); #138206=CIRCLE('',#345348,0.35); #138207=CIRCLE('',#345350,0.175000000000001); #138208=CIRCLE('',#345351,0.175000000000001); #138209=CIRCLE('',#345354,0.35); #138210=CIRCLE('',#345355,0.35); #138211=CIRCLE('',#345358,0.35); #138212=CIRCLE('',#345359,0.35); #138213=CIRCLE('',#345361,0.175000000000001); #138214=CIRCLE('',#345362,0.175000000000001); #138215=CIRCLE('',#345365,0.35); #138216=CIRCLE('',#345366,0.35); #138217=CIRCLE('',#345369,0.35); #138218=CIRCLE('',#345370,0.35); #138219=CIRCLE('',#345372,0.175000000000001); #138220=CIRCLE('',#345373,0.175000000000001); #138221=CIRCLE('',#345375,0.175000000000001); #138222=CIRCLE('',#345376,0.175000000000001); #138223=CIRCLE('',#345378,0.175000000000001); #138224=CIRCLE('',#345379,0.175000000000001); #138225=CIRCLE('',#345381,0.175000000000001); #138226=CIRCLE('',#345382,0.175000000000001); #138227=CIRCLE('',#345384,0.600000000000001); #138228=CIRCLE('',#345385,0.600000000000001); #138229=CIRCLE('',#345387,0.175000000000001); #138230=CIRCLE('',#345388,0.175000000000001); #138231=CIRCLE('',#345390,0.175000000000001); #138232=CIRCLE('',#345391,0.175000000000001); #138233=CIRCLE('',#345393,0.175000000000001); #138234=CIRCLE('',#345394,0.175000000000001); #138235=CIRCLE('',#345396,0.175000000000001); #138236=CIRCLE('',#345397,0.175000000000001); #138237=CIRCLE('',#345399,0.175000000000001); #138238=CIRCLE('',#345400,0.175000000000001); #138239=CIRCLE('',#345402,0.175000000000001); #138240=CIRCLE('',#345403,0.175000000000001); #138241=CIRCLE('',#345405,0.175000000000001); #138242=CIRCLE('',#345406,0.175000000000001); #138243=CIRCLE('',#345408,0.175000000000001); #138244=CIRCLE('',#345409,0.175000000000001); #138245=CIRCLE('',#345411,0.175000000000001); #138246=CIRCLE('',#345412,0.175000000000001); #138247=CIRCLE('',#345414,0.175000000000001); #138248=CIRCLE('',#345415,0.175000000000001); #138249=CIRCLE('',#345417,0.175000000000001); #138250=CIRCLE('',#345418,0.175000000000001); #138251=CIRCLE('',#345420,0.175000000000001); #138252=CIRCLE('',#345421,0.175000000000001); #138253=CIRCLE('',#345423,0.175000000000001); #138254=CIRCLE('',#345424,0.175000000000001); #138255=CIRCLE('',#345426,0.175000000000001); #138256=CIRCLE('',#345427,0.175000000000001); #138257=CIRCLE('',#345429,0.175000000000001); #138258=CIRCLE('',#345430,0.175000000000001); #138259=CIRCLE('',#345432,0.499999999999998); #138260=CIRCLE('',#345433,0.499999999999998); #138261=CIRCLE('',#345435,0.175000000000001); #138262=CIRCLE('',#345436,0.175000000000001); #138263=CIRCLE('',#345438,0.175000000000001); #138264=CIRCLE('',#345439,0.175000000000001); #138265=CIRCLE('',#345441,0.175000000000001); #138266=CIRCLE('',#345442,0.175000000000001); #138267=CIRCLE('',#345444,0.175000000000001); #138268=CIRCLE('',#345445,0.175000000000001); #138269=CIRCLE('',#345447,0.175000000000001); #138270=CIRCLE('',#345448,0.175000000000001); #138271=CIRCLE('',#345450,0.175000000000001); #138272=CIRCLE('',#345451,0.175000000000001); #138273=CIRCLE('',#345453,0.175000000000001); #138274=CIRCLE('',#345454,0.175000000000001); #138275=CIRCLE('',#345456,0.175000000000001); #138276=CIRCLE('',#345457,0.175000000000001); #138277=CIRCLE('',#345459,0.175000000000001); #138278=CIRCLE('',#345460,0.175000000000001); #138279=CIRCLE('',#345462,0.175000000000001); #138280=CIRCLE('',#345463,0.175000000000001); #138281=CIRCLE('',#345465,0.175000000000001); #138282=CIRCLE('',#345466,0.175000000000001); #138283=CIRCLE('',#345468,0.175000000000001); #138284=CIRCLE('',#345469,0.175000000000001); #138285=CIRCLE('',#345471,0.175000000000001); #138286=CIRCLE('',#345472,0.175000000000001); #138287=CIRCLE('',#345474,0.175000000000001); #138288=CIRCLE('',#345475,0.175000000000001); #138289=CIRCLE('',#345477,0.175000000000001); #138290=CIRCLE('',#345478,0.175000000000001); #138291=CIRCLE('',#345480,0.549999999999997); #138292=CIRCLE('',#345481,0.549999999999997); #138293=CIRCLE('',#345483,0.175000000000001); #138294=CIRCLE('',#345484,0.175000000000001); #138295=CIRCLE('',#345486,0.175000000000001); #138296=CIRCLE('',#345487,0.175000000000001); #138297=CIRCLE('',#345489,0.175000000000001); #138298=CIRCLE('',#345490,0.175000000000001); #138299=CIRCLE('',#345492,0.175000000000001); #138300=CIRCLE('',#345493,0.175000000000001); #138301=CIRCLE('',#345495,0.175000000000001); #138302=CIRCLE('',#345496,0.175000000000001); #138303=CIRCLE('',#345498,0.175000000000001); #138304=CIRCLE('',#345499,0.175000000000001); #138305=CIRCLE('',#345501,0.175000000000001); #138306=CIRCLE('',#345502,0.175000000000001); #138307=CIRCLE('',#345504,0.175000000000001); #138308=CIRCLE('',#345505,0.175000000000001); #138309=CIRCLE('',#345507,0.175000000000001); #138310=CIRCLE('',#345508,0.175000000000001); #138311=CIRCLE('',#345510,0.175000000000001); #138312=CIRCLE('',#345511,0.175000000000001); #138313=CIRCLE('',#345513,0.175000000000001); #138314=CIRCLE('',#345514,0.175000000000001); #138315=CIRCLE('',#345516,0.175000000000001); #138316=CIRCLE('',#345517,0.175000000000001); #138317=CIRCLE('',#345519,0.175000000000001); #138318=CIRCLE('',#345520,0.175000000000001); #138319=CIRCLE('',#345522,0.175000000000001); #138320=CIRCLE('',#345523,0.175000000000001); #138321=CIRCLE('',#345525,0.175000000000001); #138322=CIRCLE('',#345526,0.175000000000001); #138323=CIRCLE('',#345528,0.499999999999998); #138324=CIRCLE('',#345529,0.499999999999998); #138325=CIRCLE('',#345531,0.175000000000001); #138326=CIRCLE('',#345532,0.175000000000001); #138327=CIRCLE('',#345534,0.175000000000001); #138328=CIRCLE('',#345535,0.175000000000001); #138329=CIRCLE('',#345537,0.175000000000001); #138330=CIRCLE('',#345538,0.175000000000001); #138331=CIRCLE('',#345540,0.175000000000001); #138332=CIRCLE('',#345541,0.175000000000001); #138333=CIRCLE('',#345543,0.175000000000001); #138334=CIRCLE('',#345544,0.175000000000001); #138335=CIRCLE('',#345546,0.175000000000001); #138336=CIRCLE('',#345547,0.175000000000001); #138337=CIRCLE('',#345549,0.175000000000001); #138338=CIRCLE('',#345550,0.175000000000001); #138339=CIRCLE('',#345552,0.175000000000001); #138340=CIRCLE('',#345553,0.175000000000001); #138341=CIRCLE('',#345555,0.175000000000001); #138342=CIRCLE('',#345556,0.175000000000001); #138343=CIRCLE('',#345558,0.175000000000001); #138344=CIRCLE('',#345559,0.175000000000001); #138345=CIRCLE('',#345561,0.175000000000001); #138346=CIRCLE('',#345562,0.175000000000001); #138347=CIRCLE('',#345564,0.175000000000001); #138348=CIRCLE('',#345565,0.175000000000001); #138349=CIRCLE('',#345567,0.175000000000001); #138350=CIRCLE('',#345568,0.175000000000001); #138351=CIRCLE('',#345570,0.175000000000001); #138352=CIRCLE('',#345571,0.175000000000001); #138353=CIRCLE('',#345573,0.175000000000001); #138354=CIRCLE('',#345574,0.175000000000001); #138355=CIRCLE('',#345576,0.600000000000001); #138356=CIRCLE('',#345577,0.600000000000001); #138357=CIRCLE('',#345579,0.175000000000001); #138358=CIRCLE('',#345580,0.175000000000001); #138359=CIRCLE('',#345582,0.175000000000001); #138360=CIRCLE('',#345583,0.175000000000001); #138361=CIRCLE('',#345585,0.175000000000001); #138362=CIRCLE('',#345586,0.175000000000001); #138363=CIRCLE('',#345588,0.175000000000001); #138364=CIRCLE('',#345589,0.175000000000001); #138365=CIRCLE('',#345591,0.175000000000001); #138366=CIRCLE('',#345592,0.175000000000001); #138367=CIRCLE('',#345594,0.175000000000001); #138368=CIRCLE('',#345595,0.175000000000001); #138369=CIRCLE('',#345597,0.175000000000001); #138370=CIRCLE('',#345598,0.175000000000001); #138371=CIRCLE('',#345600,0.175000000000001); #138372=CIRCLE('',#345601,0.175000000000001); #138373=CIRCLE('',#345603,0.175000000000001); #138374=CIRCLE('',#345604,0.175000000000001); #138375=CIRCLE('',#345606,0.175000000000001); #138376=CIRCLE('',#345607,0.175000000000001); #138377=CIRCLE('',#345609,0.175000000000001); #138378=CIRCLE('',#345610,0.175000000000001); #138379=CIRCLE('',#345612,0.175000000000001); #138380=CIRCLE('',#345613,0.175000000000001); #138381=CIRCLE('',#345615,0.175000000000001); #138382=CIRCLE('',#345616,0.175000000000001); #138383=CIRCLE('',#345618,0.175000000000001); #138384=CIRCLE('',#345619,0.175000000000001); #138385=CIRCLE('',#345621,0.175000000000001); #138386=CIRCLE('',#345622,0.175000000000001); #138387=CIRCLE('',#345624,0.499999999999998); #138388=CIRCLE('',#345625,0.499999999999998); #138389=CIRCLE('',#345627,0.175000000000001); #138390=CIRCLE('',#345628,0.175000000000001); #138391=CIRCLE('',#345630,0.175000000000001); #138392=CIRCLE('',#345631,0.175000000000001); #138393=CIRCLE('',#345633,0.175000000000001); #138394=CIRCLE('',#345634,0.175000000000001); #138395=CIRCLE('',#345636,0.175000000000001); #138396=CIRCLE('',#345637,0.175000000000001); #138397=CIRCLE('',#345639,0.175000000000001); #138398=CIRCLE('',#345640,0.175000000000001); #138399=CIRCLE('',#345642,0.175000000000001); #138400=CIRCLE('',#345643,0.175000000000001); #138401=CIRCLE('',#345645,0.175000000000001); #138402=CIRCLE('',#345646,0.175000000000001); #138403=CIRCLE('',#345648,0.175000000000001); #138404=CIRCLE('',#345649,0.175000000000001); #138405=CIRCLE('',#345651,0.175000000000001); #138406=CIRCLE('',#345652,0.175000000000001); #138407=CIRCLE('',#345654,0.175000000000001); #138408=CIRCLE('',#345655,0.175000000000001); #138409=CIRCLE('',#345657,0.175000000000001); #138410=CIRCLE('',#345658,0.175000000000001); #138411=CIRCLE('',#345660,0.175000000000001); #138412=CIRCLE('',#345661,0.175000000000001); #138413=CIRCLE('',#345663,0.175000000000001); #138414=CIRCLE('',#345664,0.175000000000001); #138415=CIRCLE('',#345666,0.175000000000001); #138416=CIRCLE('',#345667,0.175000000000001); #138417=CIRCLE('',#345669,0.175000000000001); #138418=CIRCLE('',#345670,0.175000000000001); #138419=CIRCLE('',#345672,0.549999999999997); #138420=CIRCLE('',#345673,0.549999999999997); #138421=CIRCLE('',#345675,0.175000000000001); #138422=CIRCLE('',#345676,0.175000000000001); #138423=CIRCLE('',#345678,0.175000000000001); #138424=CIRCLE('',#345679,0.175000000000001); #138425=CIRCLE('',#345681,0.175000000000001); #138426=CIRCLE('',#345682,0.175000000000001); #138427=CIRCLE('',#345684,0.175000000000001); #138428=CIRCLE('',#345685,0.175000000000001); #138429=CIRCLE('',#345687,0.175000000000001); #138430=CIRCLE('',#345688,0.175000000000001); #138431=CIRCLE('',#345690,0.175000000000001); #138432=CIRCLE('',#345691,0.175000000000001); #138433=CIRCLE('',#345693,0.175000000000001); #138434=CIRCLE('',#345694,0.175000000000001); #138435=CIRCLE('',#345696,0.175000000000001); #138436=CIRCLE('',#345697,0.175000000000001); #138437=CIRCLE('',#345699,0.175000000000001); #138438=CIRCLE('',#345700,0.175000000000001); #138439=CIRCLE('',#345702,0.175000000000001); #138440=CIRCLE('',#345703,0.175000000000001); #138441=CIRCLE('',#345705,0.175000000000001); #138442=CIRCLE('',#345706,0.175000000000001); #138443=CIRCLE('',#345708,0.175000000000001); #138444=CIRCLE('',#345709,0.175000000000001); #138445=CIRCLE('',#345711,0.175000000000001); #138446=CIRCLE('',#345712,0.175000000000001); #138447=CIRCLE('',#345714,0.175000000000001); #138448=CIRCLE('',#345715,0.175000000000001); #138449=CIRCLE('',#345717,0.175000000000001); #138450=CIRCLE('',#345718,0.175000000000001); #138451=CIRCLE('',#345720,0.499999999999998); #138452=CIRCLE('',#345721,0.499999999999998); #138453=CIRCLE('',#345723,0.175000000000001); #138454=CIRCLE('',#345724,0.175000000000001); #138455=CIRCLE('',#345726,0.175000000000001); #138456=CIRCLE('',#345727,0.175000000000001); #138457=CIRCLE('',#345729,0.175000000000001); #138458=CIRCLE('',#345730,0.175000000000001); #138459=CIRCLE('',#345732,0.175000000000001); #138460=CIRCLE('',#345733,0.175000000000001); #138461=CIRCLE('',#345735,0.175000000000001); #138462=CIRCLE('',#345736,0.175000000000001); #138463=CIRCLE('',#345738,0.175000000000001); #138464=CIRCLE('',#345739,0.175000000000001); #138465=CIRCLE('',#345741,0.175000000000001); #138466=CIRCLE('',#345742,0.175000000000001); #138467=CIRCLE('',#345744,0.175000000000001); #138468=CIRCLE('',#345745,0.175000000000001); #138469=CIRCLE('',#345747,0.175000000000001); #138470=CIRCLE('',#345748,0.175000000000001); #138471=CIRCLE('',#345750,0.175000000000001); #138472=CIRCLE('',#345751,0.175000000000001); #138473=CIRCLE('',#345753,0.175000000000001); #138474=CIRCLE('',#345754,0.175000000000001); #138475=CIRCLE('',#345756,0.175000000000001); #138476=CIRCLE('',#345757,0.175000000000001); #138477=CIRCLE('',#345759,0.175000000000001); #138478=CIRCLE('',#345760,0.175000000000001); #138479=CIRCLE('',#345762,0.175000000000001); #138480=CIRCLE('',#345763,0.175000000000001); #138481=CIRCLE('',#345765,0.175000000000001); #138482=CIRCLE('',#345766,0.175000000000001); #138483=CIRCLE('',#345768,34.); #138484=CIRCLE('',#345769,34.); #138485=CIRCLE('',#345774,0.325); #138486=CIRCLE('',#345775,0.325); #138487=CIRCLE('',#345777,0.325); #138488=CIRCLE('',#345778,0.325); #138489=CIRCLE('',#345780,0.600000000000001); #138490=CIRCLE('',#345781,0.600000000000001); #138491=CIRCLE('',#345783,0.175000000000001); #138492=CIRCLE('',#345784,0.175000000000001); #138493=CIRCLE('',#345786,0.175000000000001); #138494=CIRCLE('',#345787,0.175000000000001); #138495=CIRCLE('',#345789,0.175000000000001); #138496=CIRCLE('',#345790,0.175000000000001); #138497=CIRCLE('',#345792,0.175000000000001); #138498=CIRCLE('',#345793,0.175000000000001); #138499=CIRCLE('',#345795,0.175000000000001); #138500=CIRCLE('',#345796,0.175000000000001); #138501=CIRCLE('',#345798,0.175000000000001); #138502=CIRCLE('',#345799,0.175000000000001); #138503=CIRCLE('',#345801,0.175000000000001); #138504=CIRCLE('',#345802,0.175000000000001); #138505=CIRCLE('',#345804,0.175000000000001); #138506=CIRCLE('',#345805,0.175000000000001); #138507=CIRCLE('',#345807,0.175000000000001); #138508=CIRCLE('',#345808,0.175000000000001); #138509=CIRCLE('',#345810,0.175000000000001); #138510=CIRCLE('',#345811,0.175000000000001); #138511=CIRCLE('',#345813,0.175000000000001); #138512=CIRCLE('',#345814,0.175000000000001); #138513=CIRCLE('',#345816,0.175000000000001); #138514=CIRCLE('',#345817,0.175000000000001); #138515=CIRCLE('',#345819,0.175000000000001); #138516=CIRCLE('',#345820,0.175000000000001); #138517=CIRCLE('',#345822,0.175000000000001); #138518=CIRCLE('',#345823,0.175000000000001); #138519=CIRCLE('',#345825,0.175000000000001); #138520=CIRCLE('',#345826,0.175000000000001); #138521=CIRCLE('',#345828,0.5); #138522=CIRCLE('',#345829,0.5); #138523=CIRCLE('',#345831,0.175000000000001); #138524=CIRCLE('',#345832,0.175000000000001); #138525=CIRCLE('',#345834,0.175000000000001); #138526=CIRCLE('',#345835,0.175000000000001); #138527=CIRCLE('',#345837,0.175000000000001); #138528=CIRCLE('',#345838,0.175000000000001); #138529=CIRCLE('',#345840,0.175000000000001); #138530=CIRCLE('',#345841,0.175000000000001); #138531=CIRCLE('',#345843,0.175000000000001); #138532=CIRCLE('',#345844,0.175000000000001); #138533=CIRCLE('',#345846,0.175000000000001); #138534=CIRCLE('',#345847,0.175000000000001); #138535=CIRCLE('',#345849,0.175000000000001); #138536=CIRCLE('',#345850,0.175000000000001); #138537=CIRCLE('',#345852,0.175000000000001); #138538=CIRCLE('',#345853,0.175000000000001); #138539=CIRCLE('',#345855,0.175000000000001); #138540=CIRCLE('',#345856,0.175000000000001); #138541=CIRCLE('',#345858,0.175000000000001); #138542=CIRCLE('',#345859,0.175000000000001); #138543=CIRCLE('',#345861,0.175000000000001); #138544=CIRCLE('',#345862,0.175000000000001); #138545=CIRCLE('',#345864,0.175000000000001); #138546=CIRCLE('',#345865,0.175000000000001); #138547=CIRCLE('',#345867,0.175000000000001); #138548=CIRCLE('',#345868,0.175000000000001); #138549=CIRCLE('',#345870,0.175000000000001); #138550=CIRCLE('',#345871,0.175000000000001); #138551=CIRCLE('',#345873,0.175000000000001); #138552=CIRCLE('',#345874,0.175000000000001); #138553=CIRCLE('',#345876,0.550000000000002); #138554=CIRCLE('',#345877,0.550000000000002); #138555=CIRCLE('',#345879,0.175000000000001); #138556=CIRCLE('',#345880,0.175000000000001); #138557=CIRCLE('',#345882,0.175000000000001); #138558=CIRCLE('',#345883,0.175000000000001); #138559=CIRCLE('',#345885,0.175000000000001); #138560=CIRCLE('',#345886,0.175000000000001); #138561=CIRCLE('',#345888,0.175000000000001); #138562=CIRCLE('',#345889,0.175000000000001); #138563=CIRCLE('',#345891,0.175000000000001); #138564=CIRCLE('',#345892,0.175000000000001); #138565=CIRCLE('',#345894,0.175000000000001); #138566=CIRCLE('',#345895,0.175000000000001); #138567=CIRCLE('',#345897,0.175000000000001); #138568=CIRCLE('',#345898,0.175000000000001); #138569=CIRCLE('',#345900,0.175000000000001); #138570=CIRCLE('',#345901,0.175000000000001); #138571=CIRCLE('',#345903,0.175000000000001); #138572=CIRCLE('',#345904,0.175000000000001); #138573=CIRCLE('',#345906,0.175000000000001); #138574=CIRCLE('',#345907,0.175000000000001); #138575=CIRCLE('',#345909,0.175000000000001); #138576=CIRCLE('',#345910,0.175000000000001); #138577=CIRCLE('',#345912,0.175000000000001); #138578=CIRCLE('',#345913,0.175000000000001); #138579=CIRCLE('',#345915,0.175000000000001); #138580=CIRCLE('',#345916,0.175000000000001); #138581=CIRCLE('',#345918,0.175000000000001); #138582=CIRCLE('',#345919,0.175000000000001); #138583=CIRCLE('',#345921,0.175000000000001); #138584=CIRCLE('',#345922,0.175000000000001); #138585=CIRCLE('',#345924,0.5); #138586=CIRCLE('',#345925,0.5); #138587=CIRCLE('',#345927,0.175000000000001); #138588=CIRCLE('',#345928,0.175000000000001); #138589=CIRCLE('',#345930,0.175000000000001); #138590=CIRCLE('',#345931,0.175000000000001); #138591=CIRCLE('',#345933,0.175000000000001); #138592=CIRCLE('',#345934,0.175000000000001); #138593=CIRCLE('',#345936,0.175000000000001); #138594=CIRCLE('',#345937,0.175000000000001); #138595=CIRCLE('',#345939,0.175000000000001); #138596=CIRCLE('',#345940,0.175000000000001); #138597=CIRCLE('',#345942,0.175000000000001); #138598=CIRCLE('',#345943,0.175000000000001); #138599=CIRCLE('',#345945,0.175000000000001); #138600=CIRCLE('',#345946,0.175000000000001); #138601=CIRCLE('',#345948,0.175000000000001); #138602=CIRCLE('',#345949,0.175000000000001); #138603=CIRCLE('',#345951,0.175000000000001); #138604=CIRCLE('',#345952,0.175000000000001); #138605=CIRCLE('',#345954,0.175000000000001); #138606=CIRCLE('',#345955,0.175000000000001); #138607=CIRCLE('',#345957,0.175000000000001); #138608=CIRCLE('',#345958,0.175000000000001); #138609=CIRCLE('',#345960,0.175000000000001); #138610=CIRCLE('',#345961,0.175000000000001); #138611=CIRCLE('',#345963,0.175000000000001); #138612=CIRCLE('',#345964,0.175000000000001); #138613=CIRCLE('',#345966,0.175000000000001); #138614=CIRCLE('',#345967,0.175000000000001); #138615=CIRCLE('',#345969,0.175000000000001); #138616=CIRCLE('',#345970,0.175000000000001); #138617=CIRCLE('',#345972,0.600000000000001); #138618=CIRCLE('',#345973,0.600000000000001); #138619=CIRCLE('',#345975,0.175000000000001); #138620=CIRCLE('',#345976,0.175000000000001); #138621=CIRCLE('',#345978,0.175000000000001); #138622=CIRCLE('',#345979,0.175000000000001); #138623=CIRCLE('',#345981,0.175000000000001); #138624=CIRCLE('',#345982,0.175000000000001); #138625=CIRCLE('',#345984,0.175000000000001); #138626=CIRCLE('',#345985,0.175000000000001); #138627=CIRCLE('',#345987,0.175000000000001); #138628=CIRCLE('',#345988,0.175000000000001); #138629=CIRCLE('',#345990,0.175); #138630=CIRCLE('',#345991,0.175); #138631=CIRCLE('',#345993,0.175000000000001); #138632=CIRCLE('',#345994,0.175000000000001); #138633=CIRCLE('',#345996,0.175000000000001); #138634=CIRCLE('',#345997,0.175000000000001); #138635=CIRCLE('',#345999,0.175000000000001); #138636=CIRCLE('',#346000,0.175000000000001); #138637=CIRCLE('',#346002,0.175000000000001); #138638=CIRCLE('',#346003,0.175000000000001); #138639=CIRCLE('',#346005,0.175000000000001); #138640=CIRCLE('',#346006,0.175000000000001); #138641=CIRCLE('',#346008,0.175000000000001); #138642=CIRCLE('',#346009,0.175000000000001); #138643=CIRCLE('',#346011,0.175000000000001); #138644=CIRCLE('',#346012,0.175000000000001); #138645=CIRCLE('',#346014,0.175); #138646=CIRCLE('',#346015,0.175); #138647=CIRCLE('',#346017,0.175000000000001); #138648=CIRCLE('',#346018,0.175000000000001); #138649=CIRCLE('',#346020,0.175000000000001); #138650=CIRCLE('',#346021,0.175000000000001); #138651=CIRCLE('',#346023,0.175000000000001); #138652=CIRCLE('',#346024,0.175000000000001); #138653=CIRCLE('',#346026,0.175000000000001); #138654=CIRCLE('',#346027,0.175000000000001); #138655=CIRCLE('',#346029,0.175000000000001); #138656=CIRCLE('',#346030,0.175000000000001); #138657=CIRCLE('',#346032,0.175000000000001); #138658=CIRCLE('',#346033,0.175000000000001); #138659=CIRCLE('',#346035,0.175000000000001); #138660=CIRCLE('',#346036,0.175000000000001); #138661=CIRCLE('',#346038,0.175000000000001); #138662=CIRCLE('',#346039,0.175000000000001); #138663=CIRCLE('',#346041,0.175000000000001); #138664=CIRCLE('',#346042,0.175000000000001); #138665=CIRCLE('',#346044,0.175000000000001); #138666=CIRCLE('',#346045,0.175000000000001); #138667=CIRCLE('',#346047,0.175000000000001); #138668=CIRCLE('',#346048,0.175000000000001); #138669=CIRCLE('',#346050,0.175000000000001); #138670=CIRCLE('',#346051,0.175000000000001); #138671=CIRCLE('',#346053,0.175000000000001); #138672=CIRCLE('',#346054,0.175000000000001); #138673=CIRCLE('',#346056,0.175000000000001); #138674=CIRCLE('',#346057,0.175000000000001); #138675=CIRCLE('',#346059,0.175000000000001); #138676=CIRCLE('',#346060,0.175000000000001); #138677=CIRCLE('',#346062,0.175000000000001); #138678=CIRCLE('',#346063,0.175000000000001); #138679=CIRCLE('',#346065,0.175000000000001); #138680=CIRCLE('',#346066,0.175000000000001); #138681=CIRCLE('',#346068,0.499999999999998); #138682=CIRCLE('',#346069,0.499999999999998); #138683=CIRCLE('',#346071,0.175000000000001); #138684=CIRCLE('',#346072,0.175000000000001); #138685=CIRCLE('',#346074,0.175000000000001); #138686=CIRCLE('',#346075,0.175000000000001); #138687=CIRCLE('',#346077,0.175000000000001); #138688=CIRCLE('',#346078,0.175000000000001); #138689=CIRCLE('',#346080,0.175000000000001); #138690=CIRCLE('',#346081,0.175000000000001); #138691=CIRCLE('',#346083,0.175000000000001); #138692=CIRCLE('',#346084,0.175000000000001); #138693=CIRCLE('',#346086,0.175000000000001); #138694=CIRCLE('',#346087,0.175000000000001); #138695=CIRCLE('',#346089,0.175000000000001); #138696=CIRCLE('',#346090,0.175000000000001); #138697=CIRCLE('',#346092,0.175000000000001); #138698=CIRCLE('',#346093,0.175000000000001); #138699=CIRCLE('',#346095,0.175000000000001); #138700=CIRCLE('',#346096,0.175000000000001); #138701=CIRCLE('',#346098,0.175000000000001); #138702=CIRCLE('',#346099,0.175000000000001); #138703=CIRCLE('',#346101,0.175000000000001); #138704=CIRCLE('',#346102,0.175000000000001); #138705=CIRCLE('',#346104,0.175000000000001); #138706=CIRCLE('',#346105,0.175000000000001); #138707=CIRCLE('',#346107,0.175000000000001); #138708=CIRCLE('',#346108,0.175000000000001); #138709=CIRCLE('',#346110,0.175000000000001); #138710=CIRCLE('',#346111,0.175000000000001); #138711=CIRCLE('',#346113,0.175000000000001); #138712=CIRCLE('',#346114,0.175000000000001); #138713=CIRCLE('',#346116,0.499999999999998); #138714=CIRCLE('',#346117,0.499999999999998); #138715=CIRCLE('',#346119,0.175000000000001); #138716=CIRCLE('',#346120,0.175000000000001); #138717=CIRCLE('',#346122,0.175000000000001); #138718=CIRCLE('',#346123,0.175000000000001); #138719=CIRCLE('',#346125,0.175000000000001); #138720=CIRCLE('',#346126,0.175000000000001); #138721=CIRCLE('',#346128,0.175000000000001); #138722=CIRCLE('',#346129,0.175000000000001); #138723=CIRCLE('',#346131,0.175000000000001); #138724=CIRCLE('',#346132,0.175000000000001); #138725=CIRCLE('',#346134,0.175000000000001); #138726=CIRCLE('',#346135,0.175000000000001); #138727=CIRCLE('',#346137,0.175000000000001); #138728=CIRCLE('',#346138,0.175000000000001); #138729=CIRCLE('',#346140,0.175000000000001); #138730=CIRCLE('',#346141,0.175000000000001); #138731=CIRCLE('',#346143,0.175000000000001); #138732=CIRCLE('',#346144,0.175000000000001); #138733=CIRCLE('',#346146,0.175000000000001); #138734=CIRCLE('',#346147,0.175000000000001); #138735=CIRCLE('',#346149,0.175000000000001); #138736=CIRCLE('',#346150,0.175000000000001); #138737=CIRCLE('',#346152,0.175000000000001); #138738=CIRCLE('',#346153,0.175000000000001); #138739=CIRCLE('',#346155,0.175000000000001); #138740=CIRCLE('',#346156,0.175000000000001); #138741=CIRCLE('',#346158,0.175000000000001); #138742=CIRCLE('',#346159,0.175000000000001); #138743=CIRCLE('',#346161,0.175000000000001); #138744=CIRCLE('',#346162,0.175000000000001); #138745=CIRCLE('',#346164,0.550000000000002); #138746=CIRCLE('',#346165,0.550000000000002); #138747=CIRCLE('',#346167,0.150000000000001); #138748=CIRCLE('',#346168,0.150000000000001); #138749=CIRCLE('',#346170,0.175); #138750=CIRCLE('',#346171,0.175); #138751=CIRCLE('',#346173,0.150000000000001); #138752=CIRCLE('',#346174,0.150000000000001); #138753=CIRCLE('',#346176,0.175000000000001); #138754=CIRCLE('',#346177,0.175000000000001); #138755=CIRCLE('',#346179,0.175000000000001); #138756=CIRCLE('',#346180,0.175000000000001); #138757=CIRCLE('',#346182,0.175); #138758=CIRCLE('',#346183,0.175); #138759=CIRCLE('',#346185,0.150000000000001); #138760=CIRCLE('',#346186,0.150000000000001); #138761=CIRCLE('',#346188,0.175000000000001); #138762=CIRCLE('',#346189,0.175000000000001); #138763=CIRCLE('',#346191,0.175000000000001); #138764=CIRCLE('',#346192,0.175000000000001); #138765=CIRCLE('',#346194,0.175); #138766=CIRCLE('',#346195,0.175); #138767=CIRCLE('',#346197,0.175000000000001); #138768=CIRCLE('',#346198,0.175000000000001); #138769=CIRCLE('',#346200,0.175000000000001); #138770=CIRCLE('',#346201,0.175000000000001); #138771=CIRCLE('',#346203,0.175000000000001); #138772=CIRCLE('',#346204,0.175000000000001); #138773=CIRCLE('',#346206,0.175); #138774=CIRCLE('',#346207,0.175); #138775=CIRCLE('',#346209,0.175000000000001); #138776=CIRCLE('',#346210,0.175000000000001); #138777=CIRCLE('',#346212,0.175000000000001); #138778=CIRCLE('',#346213,0.175000000000001); #138779=CIRCLE('',#346215,0.175000000000001); #138780=CIRCLE('',#346216,0.175000000000001); #138781=CIRCLE('',#346218,0.175); #138782=CIRCLE('',#346219,0.175); #138783=CIRCLE('',#346221,0.175000000000001); #138784=CIRCLE('',#346222,0.175000000000001); #138785=CIRCLE('',#346224,0.175000000000001); #138786=CIRCLE('',#346225,0.175000000000001); #138787=CIRCLE('',#346227,0.175000000000001); #138788=CIRCLE('',#346228,0.175000000000001); #138789=CIRCLE('',#346230,0.175000000000001); #138790=CIRCLE('',#346231,0.175000000000001); #138791=CIRCLE('',#346233,0.175000000000001); #138792=CIRCLE('',#346234,0.175000000000001); #138793=CIRCLE('',#346236,0.175000000000001); #138794=CIRCLE('',#346237,0.175000000000001); #138795=CIRCLE('',#346239,0.175000000000001); #138796=CIRCLE('',#346240,0.175000000000001); #138797=CIRCLE('',#346242,0.175); #138798=CIRCLE('',#346243,0.175); #138799=CIRCLE('',#346245,0.175000000000001); #138800=CIRCLE('',#346246,0.175000000000001); #138801=CIRCLE('',#346248,0.175000000000001); #138802=CIRCLE('',#346249,0.175000000000001); #138803=CIRCLE('',#346251,0.175000000000001); #138804=CIRCLE('',#346252,0.175000000000001); #138805=CIRCLE('',#346254,0.175); #138806=CIRCLE('',#346255,0.175); #138807=CIRCLE('',#346257,0.175000000000001); #138808=CIRCLE('',#346258,0.175000000000001); #138809=CIRCLE('',#346260,0.499999999999998); #138810=CIRCLE('',#346261,0.499999999999998); #138811=CIRCLE('',#346264,0.35); #138812=CIRCLE('',#346265,0.35); #138813=CIRCLE('',#346268,0.35); #138814=CIRCLE('',#346269,0.35); #138815=CIRCLE('',#346271,0.175000000000001); #138816=CIRCLE('',#346272,0.175000000000001); #138817=CIRCLE('',#346275,0.6); #138818=CIRCLE('',#346276,0.6); #138819=CIRCLE('',#346279,0.6); #138820=CIRCLE('',#346280,0.6); #138821=CIRCLE('',#346282,0.175000000000001); #138822=CIRCLE('',#346283,0.175000000000001); #138823=CIRCLE('',#346285,0.150000000000001); #138824=CIRCLE('',#346286,0.150000000000001); #138825=CIRCLE('',#346288,0.175000000000001); #138826=CIRCLE('',#346289,0.175000000000001); #138827=CIRCLE('',#346292,0.6); #138828=CIRCLE('',#346293,0.6); #138829=CIRCLE('',#346296,0.6); #138830=CIRCLE('',#346297,0.6); #138831=CIRCLE('',#346299,0.175000000000001); #138832=CIRCLE('',#346300,0.175000000000001); #138833=CIRCLE('',#346302,0.150000000000001); #138834=CIRCLE('',#346303,0.150000000000001); #138835=CIRCLE('',#346305,0.175000000000001); #138836=CIRCLE('',#346306,0.175000000000001); #138837=CIRCLE('',#346308,0.150000000000001); #138838=CIRCLE('',#346309,0.150000000000001); #138839=CIRCLE('',#346311,0.175000000000001); #138840=CIRCLE('',#346312,0.175000000000001); #138841=CIRCLE('',#346314,0.150000000000001); #138842=CIRCLE('',#346315,0.150000000000001); #138843=CIRCLE('',#346317,0.175000000000001); #138844=CIRCLE('',#346318,0.175000000000001); #138845=CIRCLE('',#346320,0.150000000000001); #138846=CIRCLE('',#346321,0.150000000000001); #138847=CIRCLE('',#346323,0.175000000000001); #138848=CIRCLE('',#346324,0.175000000000001); #138849=CIRCLE('',#346326,0.175000000000001); #138850=CIRCLE('',#346327,0.175000000000001); #138851=CIRCLE('',#346330,0.35); #138852=CIRCLE('',#346331,0.35); #138853=CIRCLE('',#346334,0.35); #138854=CIRCLE('',#346335,0.35); #138855=CIRCLE('',#346337,0.175000000000001); #138856=CIRCLE('',#346338,0.175000000000001); #138857=CIRCLE('',#346341,0.35); #138858=CIRCLE('',#346342,0.35); #138859=CIRCLE('',#346345,0.35); #138860=CIRCLE('',#346346,0.35); #138861=CIRCLE('',#346348,0.175000000000001); #138862=CIRCLE('',#346349,0.175000000000001); #138863=CIRCLE('',#346352,0.35); #138864=CIRCLE('',#346353,0.35); #138865=CIRCLE('',#346356,0.35); #138866=CIRCLE('',#346357,0.35); #138867=CIRCLE('',#346359,0.175000000000001); #138868=CIRCLE('',#346360,0.175000000000001); #138869=CIRCLE('',#346362,0.175000000000001); #138870=CIRCLE('',#346363,0.175000000000001); #138871=CIRCLE('',#346365,0.175000000000001); #138872=CIRCLE('',#346366,0.175000000000001); #138873=CIRCLE('',#346368,0.175000000000001); #138874=CIRCLE('',#346369,0.175000000000001); #138875=CIRCLE('',#346371,0.600000000000001); #138876=CIRCLE('',#346372,0.600000000000001); #138877=CIRCLE('',#346374,0.175000000000001); #138878=CIRCLE('',#346375,0.175000000000001); #138879=CIRCLE('',#346377,0.175000000000001); #138880=CIRCLE('',#346378,0.175000000000001); #138881=CIRCLE('',#346380,0.175000000000001); #138882=CIRCLE('',#346381,0.175000000000001); #138883=CIRCLE('',#346383,0.175000000000001); #138884=CIRCLE('',#346384,0.175000000000001); #138885=CIRCLE('',#346386,0.175000000000001); #138886=CIRCLE('',#346387,0.175000000000001); #138887=CIRCLE('',#346389,0.175000000000001); #138888=CIRCLE('',#346390,0.175000000000001); #138889=CIRCLE('',#346392,0.175000000000001); #138890=CIRCLE('',#346393,0.175000000000001); #138891=CIRCLE('',#346395,0.175000000000001); #138892=CIRCLE('',#346396,0.175000000000001); #138893=CIRCLE('',#346398,0.175000000000001); #138894=CIRCLE('',#346399,0.175000000000001); #138895=CIRCLE('',#346401,0.175000000000001); #138896=CIRCLE('',#346402,0.175000000000001); #138897=CIRCLE('',#346404,0.175000000000001); #138898=CIRCLE('',#346405,0.175000000000001); #138899=CIRCLE('',#346407,0.175000000000001); #138900=CIRCLE('',#346408,0.175000000000001); #138901=CIRCLE('',#346410,0.175000000000001); #138902=CIRCLE('',#346411,0.175000000000001); #138903=CIRCLE('',#346413,0.175000000000001); #138904=CIRCLE('',#346414,0.175000000000001); #138905=CIRCLE('',#346416,0.175000000000001); #138906=CIRCLE('',#346417,0.175000000000001); #138907=CIRCLE('',#346419,0.499999999999998); #138908=CIRCLE('',#346420,0.499999999999998); #138909=CIRCLE('',#346422,0.175000000000001); #138910=CIRCLE('',#346423,0.175000000000001); #138911=CIRCLE('',#346425,0.175000000000001); #138912=CIRCLE('',#346426,0.175000000000001); #138913=CIRCLE('',#346428,0.175000000000001); #138914=CIRCLE('',#346429,0.175000000000001); #138915=CIRCLE('',#346431,0.175000000000001); #138916=CIRCLE('',#346432,0.175000000000001); #138917=CIRCLE('',#346434,0.175000000000001); #138918=CIRCLE('',#346435,0.175000000000001); #138919=CIRCLE('',#346437,0.175000000000001); #138920=CIRCLE('',#346438,0.175000000000001); #138921=CIRCLE('',#346440,0.175000000000001); #138922=CIRCLE('',#346441,0.175000000000001); #138923=CIRCLE('',#346443,0.175000000000001); #138924=CIRCLE('',#346444,0.175000000000001); #138925=CIRCLE('',#346446,0.175000000000001); #138926=CIRCLE('',#346447,0.175000000000001); #138927=CIRCLE('',#346449,0.175000000000001); #138928=CIRCLE('',#346450,0.175000000000001); #138929=CIRCLE('',#346452,0.175000000000001); #138930=CIRCLE('',#346453,0.175000000000001); #138931=CIRCLE('',#346455,0.175000000000001); #138932=CIRCLE('',#346456,0.175000000000001); #138933=CIRCLE('',#346458,0.175000000000001); #138934=CIRCLE('',#346459,0.175000000000001); #138935=CIRCLE('',#346461,0.175000000000001); #138936=CIRCLE('',#346462,0.175000000000001); #138937=CIRCLE('',#346464,0.175000000000001); #138938=CIRCLE('',#346465,0.175000000000001); #138939=CIRCLE('',#346467,0.549999999999997); #138940=CIRCLE('',#346468,0.549999999999997); #138941=CIRCLE('',#346470,0.175000000000001); #138942=CIRCLE('',#346471,0.175000000000001); #138943=CIRCLE('',#346473,0.175000000000001); #138944=CIRCLE('',#346474,0.175000000000001); #138945=CIRCLE('',#346476,0.175000000000001); #138946=CIRCLE('',#346477,0.175000000000001); #138947=CIRCLE('',#346479,0.175000000000001); #138948=CIRCLE('',#346480,0.175000000000001); #138949=CIRCLE('',#346482,0.175000000000001); #138950=CIRCLE('',#346483,0.175000000000001); #138951=CIRCLE('',#346485,0.175000000000001); #138952=CIRCLE('',#346486,0.175000000000001); #138953=CIRCLE('',#346488,0.175000000000001); #138954=CIRCLE('',#346489,0.175000000000001); #138955=CIRCLE('',#346491,0.175000000000001); #138956=CIRCLE('',#346492,0.175000000000001); #138957=CIRCLE('',#346494,0.175000000000001); #138958=CIRCLE('',#346495,0.175000000000001); #138959=CIRCLE('',#346497,0.175000000000001); #138960=CIRCLE('',#346498,0.175000000000001); #138961=CIRCLE('',#346500,0.175000000000001); #138962=CIRCLE('',#346501,0.175000000000001); #138963=CIRCLE('',#346503,0.175000000000001); #138964=CIRCLE('',#346504,0.175000000000001); #138965=CIRCLE('',#346506,0.175000000000001); #138966=CIRCLE('',#346507,0.175000000000001); #138967=CIRCLE('',#346509,0.175000000000001); #138968=CIRCLE('',#346510,0.175000000000001); #138969=CIRCLE('',#346512,0.175000000000001); #138970=CIRCLE('',#346513,0.175000000000001); #138971=CIRCLE('',#346515,0.499999999999998); #138972=CIRCLE('',#346516,0.499999999999998); #138973=CIRCLE('',#346518,0.175000000000001); #138974=CIRCLE('',#346519,0.175000000000001); #138975=CIRCLE('',#346521,0.175000000000001); #138976=CIRCLE('',#346522,0.175000000000001); #138977=CIRCLE('',#346524,0.175000000000001); #138978=CIRCLE('',#346525,0.175000000000001); #138979=CIRCLE('',#346527,0.175000000000001); #138980=CIRCLE('',#346528,0.175000000000001); #138981=CIRCLE('',#346530,0.175000000000001); #138982=CIRCLE('',#346531,0.175000000000001); #138983=CIRCLE('',#346533,0.175000000000001); #138984=CIRCLE('',#346534,0.175000000000001); #138985=CIRCLE('',#346536,0.175000000000001); #138986=CIRCLE('',#346537,0.175000000000001); #138987=CIRCLE('',#346539,0.175000000000001); #138988=CIRCLE('',#346540,0.175000000000001); #138989=CIRCLE('',#346542,0.175000000000001); #138990=CIRCLE('',#346543,0.175000000000001); #138991=CIRCLE('',#346545,0.175000000000001); #138992=CIRCLE('',#346546,0.175000000000001); #138993=CIRCLE('',#346548,0.175000000000001); #138994=CIRCLE('',#346549,0.175000000000001); #138995=CIRCLE('',#346551,0.175000000000001); #138996=CIRCLE('',#346552,0.175000000000001); #138997=CIRCLE('',#346554,0.175000000000001); #138998=CIRCLE('',#346555,0.175000000000001); #138999=CIRCLE('',#346557,0.175000000000001); #139000=CIRCLE('',#346558,0.175000000000001); #139001=CIRCLE('',#346560,0.175000000000001); #139002=CIRCLE('',#346561,0.175000000000001); #139003=CIRCLE('',#346563,0.600000000000001); #139004=CIRCLE('',#346564,0.600000000000001); #139005=CIRCLE('',#346566,0.175000000000001); #139006=CIRCLE('',#346567,0.175000000000001); #139007=CIRCLE('',#346569,0.175000000000001); #139008=CIRCLE('',#346570,0.175000000000001); #139009=CIRCLE('',#346572,0.175000000000001); #139010=CIRCLE('',#346573,0.175000000000001); #139011=CIRCLE('',#346575,0.175000000000001); #139012=CIRCLE('',#346576,0.175000000000001); #139013=CIRCLE('',#346578,0.175000000000001); #139014=CIRCLE('',#346579,0.175000000000001); #139015=CIRCLE('',#346581,0.175000000000001); #139016=CIRCLE('',#346582,0.175000000000001); #139017=CIRCLE('',#346584,0.175000000000001); #139018=CIRCLE('',#346585,0.175000000000001); #139019=CIRCLE('',#346587,0.175000000000001); #139020=CIRCLE('',#346588,0.175000000000001); #139021=CIRCLE('',#346590,0.175000000000001); #139022=CIRCLE('',#346591,0.175000000000001); #139023=CIRCLE('',#346593,0.175000000000001); #139024=CIRCLE('',#346594,0.175000000000001); #139025=CIRCLE('',#346596,0.175000000000001); #139026=CIRCLE('',#346597,0.175000000000001); #139027=CIRCLE('',#346599,0.175000000000001); #139028=CIRCLE('',#346600,0.175000000000001); #139029=CIRCLE('',#346602,0.175000000000001); #139030=CIRCLE('',#346603,0.175000000000001); #139031=CIRCLE('',#346605,0.175000000000001); #139032=CIRCLE('',#346606,0.175000000000001); #139033=CIRCLE('',#346608,0.175000000000001); #139034=CIRCLE('',#346609,0.175000000000001); #139035=CIRCLE('',#346611,0.499999999999998); #139036=CIRCLE('',#346612,0.499999999999998); #139037=CIRCLE('',#346614,0.175000000000001); #139038=CIRCLE('',#346615,0.175000000000001); #139039=CIRCLE('',#346617,0.175000000000001); #139040=CIRCLE('',#346618,0.175000000000001); #139041=CIRCLE('',#346620,0.175000000000001); #139042=CIRCLE('',#346621,0.175000000000001); #139043=CIRCLE('',#346623,0.175000000000001); #139044=CIRCLE('',#346624,0.175000000000001); #139045=CIRCLE('',#346626,0.175000000000001); #139046=CIRCLE('',#346627,0.175000000000001); #139047=CIRCLE('',#346629,0.175000000000001); #139048=CIRCLE('',#346630,0.175000000000001); #139049=CIRCLE('',#346632,0.175000000000001); #139050=CIRCLE('',#346633,0.175000000000001); #139051=CIRCLE('',#346635,0.175000000000001); #139052=CIRCLE('',#346636,0.175000000000001); #139053=CIRCLE('',#346638,0.175000000000001); #139054=CIRCLE('',#346639,0.175000000000001); #139055=CIRCLE('',#346641,0.175000000000001); #139056=CIRCLE('',#346642,0.175000000000001); #139057=CIRCLE('',#346644,0.175000000000001); #139058=CIRCLE('',#346645,0.175000000000001); #139059=CIRCLE('',#346647,0.175000000000001); #139060=CIRCLE('',#346648,0.175000000000001); #139061=CIRCLE('',#346650,0.175000000000001); #139062=CIRCLE('',#346651,0.175000000000001); #139063=CIRCLE('',#346653,0.175000000000001); #139064=CIRCLE('',#346654,0.175000000000001); #139065=CIRCLE('',#346656,0.175000000000001); #139066=CIRCLE('',#346657,0.175000000000001); #139067=CIRCLE('',#346659,0.549999999999997); #139068=CIRCLE('',#346660,0.549999999999997); #139069=CIRCLE('',#346662,0.175000000000001); #139070=CIRCLE('',#346663,0.175000000000001); #139071=CIRCLE('',#346665,0.175000000000001); #139072=CIRCLE('',#346666,0.175000000000001); #139073=CIRCLE('',#346668,0.175000000000001); #139074=CIRCLE('',#346669,0.175000000000001); #139075=CIRCLE('',#346671,0.175000000000001); #139076=CIRCLE('',#346672,0.175000000000001); #139077=CIRCLE('',#346674,0.175000000000001); #139078=CIRCLE('',#346675,0.175000000000001); #139079=CIRCLE('',#346677,0.175000000000001); #139080=CIRCLE('',#346678,0.175000000000001); #139081=CIRCLE('',#346680,0.175000000000001); #139082=CIRCLE('',#346681,0.175000000000001); #139083=CIRCLE('',#346683,0.175000000000001); #139084=CIRCLE('',#346684,0.175000000000001); #139085=CIRCLE('',#346686,0.175000000000001); #139086=CIRCLE('',#346687,0.175000000000001); #139087=CIRCLE('',#346689,0.175000000000001); #139088=CIRCLE('',#346690,0.175000000000001); #139089=CIRCLE('',#346692,0.175000000000001); #139090=CIRCLE('',#346693,0.175000000000001); #139091=CIRCLE('',#346695,0.175000000000001); #139092=CIRCLE('',#346696,0.175000000000001); #139093=CIRCLE('',#346698,0.175000000000001); #139094=CIRCLE('',#346699,0.175000000000001); #139095=CIRCLE('',#346701,0.175000000000001); #139096=CIRCLE('',#346702,0.175000000000001); #139097=CIRCLE('',#346704,0.175000000000001); #139098=CIRCLE('',#346705,0.175000000000001); #139099=CIRCLE('',#346707,0.499999999999998); #139100=CIRCLE('',#346708,0.499999999999998); #139101=CIRCLE('',#346710,0.175000000000001); #139102=CIRCLE('',#346711,0.175000000000001); #139103=CIRCLE('',#346713,0.175000000000001); #139104=CIRCLE('',#346714,0.175000000000001); #139105=CIRCLE('',#346716,0.175000000000001); #139106=CIRCLE('',#346717,0.175000000000001); #139107=CIRCLE('',#346719,0.175000000000001); #139108=CIRCLE('',#346720,0.175000000000001); #139109=CIRCLE('',#346722,0.175000000000001); #139110=CIRCLE('',#346723,0.175000000000001); #139111=CIRCLE('',#346725,0.175000000000001); #139112=CIRCLE('',#346726,0.175000000000001); #139113=CIRCLE('',#346728,0.175000000000001); #139114=CIRCLE('',#346729,0.175000000000001); #139115=CIRCLE('',#346731,0.175000000000001); #139116=CIRCLE('',#346732,0.175000000000001); #139117=CIRCLE('',#346734,0.175000000000001); #139118=CIRCLE('',#346735,0.175000000000001); #139119=CIRCLE('',#346737,0.175000000000001); #139120=CIRCLE('',#346738,0.175000000000001); #139121=CIRCLE('',#346740,0.175000000000001); #139122=CIRCLE('',#346741,0.175000000000001); #139123=CIRCLE('',#346743,0.175000000000001); #139124=CIRCLE('',#346744,0.175000000000001); #139125=CIRCLE('',#346746,0.175000000000001); #139126=CIRCLE('',#346747,0.175000000000001); #139127=CIRCLE('',#346749,0.175000000000001); #139128=CIRCLE('',#346750,0.175000000000001); #139129=CIRCLE('',#346752,0.175000000000001); #139130=CIRCLE('',#346753,0.175000000000001); #139131=CIRCLE('',#346755,34.); #139132=CIRCLE('',#346756,34.); #139133=CIRCLE('',#346870,0.016); #139134=CIRCLE('',#346871,0.016); #139135=CIRCLE('',#346872,0.016); #139136=CIRCLE('',#346874,0.016); #139137=CIRCLE('',#346875,0.016); #139138=CIRCLE('',#346876,0.016); #139139=CIRCLE('',#346878,0.016); #139140=CIRCLE('',#346879,0.016); #139141=CIRCLE('',#346880,0.016); #139142=CIRCLE('',#346882,0.016); #139143=CIRCLE('',#346883,0.016); #139144=CIRCLE('',#346884,0.016); #139145=CIRCLE('',#346886,0.016); #139146=CIRCLE('',#346887,0.016); #139147=CIRCLE('',#346888,0.016); #139148=CIRCLE('',#346891,0.016); #139149=CIRCLE('',#346892,0.016); #139150=CIRCLE('',#346893,0.016); #139151=CIRCLE('',#346895,0.016); #139152=CIRCLE('',#346896,0.016); #139153=CIRCLE('',#346897,0.016); #139154=CIRCLE('',#346901,0.016); #139155=CIRCLE('',#346902,0.016); #139156=CIRCLE('',#346903,0.016); #139157=CIRCLE('',#346920,0.016); #139158=CIRCLE('',#346921,0.016); #139159=CIRCLE('',#346922,0.016); #139160=CIRCLE('',#346924,0.016); #139161=CIRCLE('',#346925,0.016); #139162=CIRCLE('',#346926,0.016); #139163=CIRCLE('',#346928,0.016); #139164=CIRCLE('',#346929,0.016); #139165=CIRCLE('',#346930,0.016); #139166=CIRCLE('',#346932,0.016); #139167=CIRCLE('',#346933,0.016); #139168=CIRCLE('',#346934,0.016); #139169=CIRCLE('',#346936,0.016); #139170=CIRCLE('',#346937,0.016); #139171=CIRCLE('',#346938,0.016); #139172=CIRCLE('',#346941,0.016); #139173=CIRCLE('',#346942,0.016); #139174=CIRCLE('',#346943,0.016); #139175=CIRCLE('',#346945,0.016); #139176=CIRCLE('',#346946,0.0159999999999999); #139177=CIRCLE('',#346947,0.016); #139178=CIRCLE('',#346951,0.016); #139179=CIRCLE('',#346952,0.016); #139180=CIRCLE('',#346953,0.016); #139181=CIRCLE('',#346995,0.45); #139182=CIRCLE('',#346996,0.45); #139183=CIRCLE('',#346997,0.45); #139184=CIRCLE('',#346998,0.45); #139185=CIRCLE('',#347000,0.45); #139186=CIRCLE('',#347001,0.45); #139187=CIRCLE('',#347002,0.45); #139188=CIRCLE('',#347003,0.45); #139189=CIRCLE('',#347010,0.76); #139190=CIRCLE('',#347012,0.76); #139191=CIRCLE('',#347014,0.825); #139192=CIRCLE('',#347015,0.96); #139193=CIRCLE('',#347016,0.71); #139194=CIRCLE('',#347084,0.96); #139195=CIRCLE('',#347085,0.96); #139196=CIRCLE('',#347092,0.81); #139197=CIRCLE('',#347093,0.81); #139198=CIRCLE('',#347094,0.45); #139199=CIRCLE('',#347095,0.45); #139200=CIRCLE('',#347099,0.81); #139201=CIRCLE('',#347100,0.81); #139202=CIRCLE('',#347106,0.96); #139203=CIRCLE('',#347107,0.96); #139204=CIRCLE('',#347110,0.96); #139205=CIRCLE('',#347111,0.96); #139206=CIRCLE('',#347113,0.96); #139207=CIRCLE('',#347117,0.45); #139208=CIRCLE('',#347118,0.45); #139209=CIRCLE('',#347119,0.45); #139210=CIRCLE('',#347122,0.45); #139211=CIRCLE('',#347123,0.45); #139212=CIRCLE('',#347124,0.45); #139213=CIRCLE('',#347127,0.22); #139214=CIRCLE('',#347128,0.22); #139215=CIRCLE('',#347129,0.22); #139216=CIRCLE('',#347130,0.22); #139217=CIRCLE('',#347134,0.250000000000004); #139218=CIRCLE('',#347135,0.250000000000004); #139219=CIRCLE('',#347142,0.250000000000002); #139220=CIRCLE('',#347143,0.250000000000002); #139221=CIRCLE('',#347194,0.25); #139222=CIRCLE('',#347195,0.25); #139223=CIRCLE('',#347197,0.25); #139224=CIRCLE('',#347199,0.15); #139225=CIRCLE('',#347201,0.25); #139226=CIRCLE('',#347203,0.15); #139227=CIRCLE('',#347209,0.825); #139228=CIRCLE('',#347210,0.71); #139229=CIRCLE('',#347300,0.22); #139230=CIRCLE('',#347301,0.08); #139231=CIRCLE('',#347302,0.2); #139232=CIRCLE('',#347303,0.1); #139233=CIRCLE('',#347305,0.1); #139234=CIRCLE('',#347306,0.2); #139235=CIRCLE('',#347307,0.08); #139236=CIRCLE('',#347308,0.22); #139237=CIRCLE('',#347329,0.22); #139238=CIRCLE('',#347330,0.08); #139239=CIRCLE('',#347331,0.2); #139240=CIRCLE('',#347332,0.1); #139241=CIRCLE('',#347334,0.1); #139242=CIRCLE('',#347335,0.2); #139243=CIRCLE('',#347336,0.08); #139244=CIRCLE('',#347337,0.22); #139245=CIRCLE('',#347358,0.22); #139246=CIRCLE('',#347359,0.08); #139247=CIRCLE('',#347360,0.2); #139248=CIRCLE('',#347361,0.1); #139249=CIRCLE('',#347363,0.1); #139250=CIRCLE('',#347364,0.2); #139251=CIRCLE('',#347365,0.08); #139252=CIRCLE('',#347366,0.22); #139253=CIRCLE('',#347387,0.22); #139254=CIRCLE('',#347388,0.08); #139255=CIRCLE('',#347389,0.2); #139256=CIRCLE('',#347390,0.1); #139257=CIRCLE('',#347392,0.1); #139258=CIRCLE('',#347393,0.2); #139259=CIRCLE('',#347394,0.08); #139260=CIRCLE('',#347395,0.22); #139261=CIRCLE('',#347416,0.22); #139262=CIRCLE('',#347417,0.08); #139263=CIRCLE('',#347418,0.2); #139264=CIRCLE('',#347419,0.1); #139265=CIRCLE('',#347421,0.1); #139266=CIRCLE('',#347422,0.2); #139267=CIRCLE('',#347423,0.08); #139268=CIRCLE('',#347424,0.22); #139269=CIRCLE('',#347445,0.22); #139270=CIRCLE('',#347446,0.08); #139271=CIRCLE('',#347447,0.2); #139272=CIRCLE('',#347448,0.1); #139273=CIRCLE('',#347450,0.1); #139274=CIRCLE('',#347451,0.2); #139275=CIRCLE('',#347452,0.08); #139276=CIRCLE('',#347453,0.22); #139277=CIRCLE('',#347474,0.22); #139278=CIRCLE('',#347475,0.08); #139279=CIRCLE('',#347476,0.2); #139280=CIRCLE('',#347477,0.1); #139281=CIRCLE('',#347479,0.1); #139282=CIRCLE('',#347480,0.2); #139283=CIRCLE('',#347481,0.08); #139284=CIRCLE('',#347482,0.22); #139285=CIRCLE('',#347503,0.1); #139286=CIRCLE('',#347504,0.2); #139287=CIRCLE('',#347505,0.08); #139288=CIRCLE('',#347506,0.22); #139289=CIRCLE('',#347508,0.22); #139290=CIRCLE('',#347509,0.08); #139291=CIRCLE('',#347510,0.2); #139292=CIRCLE('',#347511,0.1); #139293=CIRCLE('',#347532,0.1); #139294=CIRCLE('',#347533,0.2); #139295=CIRCLE('',#347534,0.08); #139296=CIRCLE('',#347535,0.22); #139297=CIRCLE('',#347537,0.22); #139298=CIRCLE('',#347538,0.08); #139299=CIRCLE('',#347539,0.2); #139300=CIRCLE('',#347540,0.1); #139301=CIRCLE('',#347561,0.1); #139302=CIRCLE('',#347562,0.2); #139303=CIRCLE('',#347563,0.08); #139304=CIRCLE('',#347564,0.22); #139305=CIRCLE('',#347566,0.22); #139306=CIRCLE('',#347567,0.08); #139307=CIRCLE('',#347568,0.2); #139308=CIRCLE('',#347569,0.1); #139309=CIRCLE('',#347590,0.1); #139310=CIRCLE('',#347591,0.2); #139311=CIRCLE('',#347592,0.08); #139312=CIRCLE('',#347593,0.22); #139313=CIRCLE('',#347595,0.22); #139314=CIRCLE('',#347596,0.08); #139315=CIRCLE('',#347597,0.2); #139316=CIRCLE('',#347598,0.1); #139317=CIRCLE('',#347619,0.1); #139318=CIRCLE('',#347620,0.2); #139319=CIRCLE('',#347621,0.08); #139320=CIRCLE('',#347622,0.22); #139321=CIRCLE('',#347624,0.22); #139322=CIRCLE('',#347625,0.08); #139323=CIRCLE('',#347626,0.2); #139324=CIRCLE('',#347627,0.1); #139325=CIRCLE('',#347648,0.1); #139326=CIRCLE('',#347649,0.2); #139327=CIRCLE('',#347650,0.08); #139328=CIRCLE('',#347651,0.22); #139329=CIRCLE('',#347653,0.22); #139330=CIRCLE('',#347654,0.08); #139331=CIRCLE('',#347655,0.2); #139332=CIRCLE('',#347656,0.1); #139333=CIRCLE('',#347677,0.1); #139334=CIRCLE('',#347678,0.2); #139335=CIRCLE('',#347679,0.08); #139336=CIRCLE('',#347680,0.22); #139337=CIRCLE('',#347682,0.22); #139338=CIRCLE('',#347683,0.08); #139339=CIRCLE('',#347684,0.2); #139340=CIRCLE('',#347685,0.1); #139341=CIRCLE('',#347709,0.099340716866279); #139342=CIRCLE('',#347710,0.099340716866279); #139343=CIRCLE('',#347715,0.2); #139344=CIRCLE('',#347716,0.2); #139345=CIRCLE('',#347719,0.07); #139346=CIRCLE('',#347720,0.07); #139347=CIRCLE('',#347722,0.07); #139348=CIRCLE('',#347723,0.07); #139349=CIRCLE('',#347726,0.0810588235294118); #139350=CIRCLE('',#347727,0.0810588235294118); #139351=CIRCLE('',#347730,0.0810588235294118); #139352=CIRCLE('',#347731,0.0810588235294118); #139353=CIRCLE('',#347740,0.0810588235294118); #139354=CIRCLE('',#347741,0.0810588235294118); #139355=CIRCLE('',#347744,0.0810588235294118); #139356=CIRCLE('',#347745,0.0810588235294118); #139357=CIRCLE('',#347748,0.07); #139358=CIRCLE('',#347749,0.07); #139359=CIRCLE('',#347751,0.07); #139360=CIRCLE('',#347752,0.07); #139361=CIRCLE('',#347755,0.2); #139362=CIRCLE('',#347756,0.2); #139363=CIRCLE('',#347761,0.099340716866279); #139364=CIRCLE('',#347762,0.099340716866279); #139365=CIRCLE('',#347770,0.14); #139366=CIRCLE('',#347771,0.14); #139367=CIRCLE('',#347772,0.14); #139368=CIRCLE('',#347773,0.14); #139369=CIRCLE('',#347774,0.14); #139370=CIRCLE('',#347775,0.14); #139371=CIRCLE('',#347776,0.14); #139372=CIRCLE('',#347777,0.14); #139373=CIRCLE('',#347778,0.2); #139374=CIRCLE('',#347779,0.15); #139375=CIRCLE('',#347780,0.2); #139376=CIRCLE('',#347781,0.2); #139377=CIRCLE('',#347782,0.2); #139378=CIRCLE('',#347783,0.2); #139379=CIRCLE('',#347784,0.203418496667774); #139380=CIRCLE('',#347785,0.203418496667774); #139381=CIRCLE('',#347786,0.15); #139382=CIRCLE('',#347788,0.14); #139383=CIRCLE('',#347789,0.15); #139384=CIRCLE('',#347790,0.203418496667774); #139385=CIRCLE('',#347791,0.203418496667774); #139386=CIRCLE('',#347792,0.2); #139387=CIRCLE('',#347793,0.2); #139388=CIRCLE('',#347794,0.2); #139389=CIRCLE('',#347795,0.2); #139390=CIRCLE('',#347796,0.15); #139391=CIRCLE('',#347797,0.2); #139392=CIRCLE('',#347798,0.14); #139393=CIRCLE('',#347799,0.14); #139394=CIRCLE('',#347800,0.14); #139395=CIRCLE('',#347801,0.14); #139396=CIRCLE('',#347802,0.14); #139397=CIRCLE('',#347803,0.14); #139398=CIRCLE('',#347804,0.14); #139399=CIRCLE('',#347808,0.15); #139400=CIRCLE('',#347809,0.15); #139401=CIRCLE('',#347811,0.15); #139402=CIRCLE('',#347812,0.15); #139403=CIRCLE('',#347814,0.15); #139404=CIRCLE('',#347815,0.15); #139405=CIRCLE('',#347817,0.2); #139406=CIRCLE('',#347818,0.2); #139407=CIRCLE('',#347819,0.2); #139408=CIRCLE('',#347820,0.143940075739726); #139409=CIRCLE('',#347821,0.199862831858373); #139410=CIRCLE('',#347822,0.199862831858373); #139411=CIRCLE('',#347823,0.143940075739726); #139412=CIRCLE('',#347824,0.200511249999998); #139413=CIRCLE('',#347825,0.200511249999998); #139414=CIRCLE('',#347826,0.2); #139415=CIRCLE('',#347827,0.156782950094636); #139416=CIRCLE('',#347828,0.196634615384615); #139417=CIRCLE('',#347829,0.196634615384615); #139418=CIRCLE('',#347830,0.15678295009463); #139419=CIRCLE('',#347831,0.1425625); #139420=CIRCLE('',#347832,0.0999670307511854); #139421=CIRCLE('',#347833,0.146360174065558); #139422=CIRCLE('',#347834,0.15); #139423=CIRCLE('',#347835,0.2); #139424=CIRCLE('',#347837,0.15); #139425=CIRCLE('',#347838,0.45); #139426=CIRCLE('',#347840,0.15); #139427=CIRCLE('',#347841,0.203418496667774); #139428=CIRCLE('',#347842,0.203418496667774); #139429=CIRCLE('',#347843,0.2); #139430=CIRCLE('',#347844,0.2); #139431=CIRCLE('',#347845,0.2); #139432=CIRCLE('',#347846,0.2); #139433=CIRCLE('',#347847,0.15); #139434=CIRCLE('',#347848,0.2); #139435=CIRCLE('',#347849,0.15); #139436=CIRCLE('',#347850,0.13); #139437=CIRCLE('',#347851,0.13); #139438=CIRCLE('',#347852,0.15); #139439=CIRCLE('',#347853,0.15); #139440=CIRCLE('',#347854,0.13); #139441=CIRCLE('',#347855,0.13); #139442=CIRCLE('',#347856,0.15); #139443=CIRCLE('',#347866,1.28); #139444=CIRCLE('',#347867,0.98); #139445=CIRCLE('',#347869,0.200511249999998); #139446=CIRCLE('',#347870,0.200511249999998); #139447=CIRCLE('',#347871,0.143940075739726); #139448=CIRCLE('',#347872,0.199862831858373); #139449=CIRCLE('',#347873,0.199862831858373); #139450=CIRCLE('',#347874,0.143940075739726); #139451=CIRCLE('',#347875,0.2); #139452=CIRCLE('',#347876,0.2); #139453=CIRCLE('',#347877,0.2); #139454=CIRCLE('',#347878,0.2); #139455=CIRCLE('',#347879,0.15); #139456=CIRCLE('',#347880,0.146360174065558); #139457=CIRCLE('',#347881,0.0999670307511854); #139458=CIRCLE('',#347882,0.1425625); #139459=CIRCLE('',#347883,0.15678295009463); #139460=CIRCLE('',#347884,0.196634615384615); #139461=CIRCLE('',#347885,0.196634615384615); #139462=CIRCLE('',#347886,0.156782950094636); #139463=CIRCLE('',#347887,0.2); #139464=CIRCLE('',#347894,0.2); #139465=CIRCLE('',#347895,0.156782950094636); #139466=CIRCLE('',#347896,0.196634615384615); #139467=CIRCLE('',#347897,0.196634615384615); #139468=CIRCLE('',#347898,0.15678295009463); #139469=CIRCLE('',#347899,0.1425625); #139470=CIRCLE('',#347900,0.0999670307511854); #139471=CIRCLE('',#347901,0.146360174065558); #139472=CIRCLE('',#347902,0.15); #139473=CIRCLE('',#347903,0.2); #139474=CIRCLE('',#347904,0.2); #139475=CIRCLE('',#347905,0.2); #139476=CIRCLE('',#347906,0.2); #139477=CIRCLE('',#347907,0.143940075739726); #139478=CIRCLE('',#347908,0.199862831858373); #139479=CIRCLE('',#347909,0.199862831858373); #139480=CIRCLE('',#347910,0.143940075739726); #139481=CIRCLE('',#347911,0.15); #139482=CIRCLE('',#347912,0.15); #139483=CIRCLE('',#347918,0.15); #139484=CIRCLE('',#347919,0.15); #139485=CIRCLE('',#347920,0.13); #139486=CIRCLE('',#347921,0.13); #139487=CIRCLE('',#347922,0.15); #139488=CIRCLE('',#347923,0.15); #139489=CIRCLE('',#347924,0.13); #139490=CIRCLE('',#347925,0.13); #139491=CIRCLE('',#347926,0.15); #139492=CIRCLE('',#347927,0.2); #139493=CIRCLE('',#347928,0.15); #139494=CIRCLE('',#347929,0.2); #139495=CIRCLE('',#347930,0.2); #139496=CIRCLE('',#347931,0.2); #139497=CIRCLE('',#347932,0.2); #139498=CIRCLE('',#347933,0.203418496667774); #139499=CIRCLE('',#347934,0.203418496667774); #139500=CIRCLE('',#347936,0.98); #139501=CIRCLE('',#347937,0.98); #139502=CIRCLE('',#347939,0.98); #139503=CIRCLE('',#347940,0.98); #139504=CIRCLE('',#347942,1.28); #139505=CIRCLE('',#347943,1.28); #139506=CIRCLE('',#347945,1.28); #139507=CIRCLE('',#347946,1.28); #139508=CIRCLE('',#347948,0.98); #139509=CIRCLE('',#347949,0.98); #139510=CIRCLE('',#347951,1.28); #139511=CIRCLE('',#347952,1.28); #139512=CIRCLE('',#347956,0.98); #139513=CIRCLE('',#347957,1.28); #139514=CIRCLE('',#347960,1.08); #139515=CIRCLE('',#347961,1.08); #139516=CIRCLE('',#347962,1.08); #139517=CIRCLE('',#347963,1.08); #139518=CIRCLE('',#347964,1.28); #139519=CIRCLE('',#347965,1.28); #139520=CIRCLE('',#347967,0.98); #139521=CIRCLE('',#347969,0.98); #139522=CIRCLE('',#347971,0.98); #139523=CIRCLE('',#347972,0.98); #139524=CIRCLE('',#347974,0.143940075739726); #139525=CIRCLE('',#347975,0.199862831858373); #139526=CIRCLE('',#347976,0.199862831858373); #139527=CIRCLE('',#347977,0.143940075739726); #139528=CIRCLE('',#347978,0.2); #139529=CIRCLE('',#347979,0.2); #139530=CIRCLE('',#347980,0.2); #139531=CIRCLE('',#347981,0.2); #139532=CIRCLE('',#347982,0.15); #139533=CIRCLE('',#347983,0.146360174065558); #139534=CIRCLE('',#347984,0.0999670307511854); #139535=CIRCLE('',#347985,0.1425625); #139536=CIRCLE('',#347986,0.15678295009463); #139537=CIRCLE('',#347987,0.196634615384615); #139538=CIRCLE('',#347988,0.196634615384615); #139539=CIRCLE('',#347989,0.156782950094636); #139540=CIRCLE('',#347990,0.2); #139541=CIRCLE('',#347991,0.15); #139542=CIRCLE('',#347992,0.15); #139543=CIRCLE('',#347995,0.15); #139544=CIRCLE('',#347996,0.15); #139545=CIRCLE('',#347999,1.28); #139546=CIRCLE('',#348000,1.28); #139547=CIRCLE('',#348006,0.45); #139548=CIRCLE('',#348007,0.15); #139549=CIRCLE('',#348094,0.410719203494586); #139550=CIRCLE('',#348095,0.103126984126984); #139551=CIRCLE('',#348096,0.103126984126984); #139552=CIRCLE('',#348097,0.410719203494586); #139553=CIRCLE('',#348098,0.110719203494586); #139554=CIRCLE('',#348099,0.403126984126984); #139555=CIRCLE('',#348100,0.403126984126984); #139556=CIRCLE('',#348101,0.110719203494586); #139557=CIRCLE('',#348103,0.403126984126984); #139558=CIRCLE('',#348104,0.403126984126984); #139559=CIRCLE('',#348105,0.110719203494586); #139560=CIRCLE('',#348106,0.410719203494586); #139561=CIRCLE('',#348107,0.103126984126984); #139562=CIRCLE('',#348108,0.103126984126984); #139563=CIRCLE('',#348109,0.410719203494586); #139564=CIRCLE('',#348110,0.110719203494586); #139565=CIRCLE('',#348130,0.410719203494586); #139566=CIRCLE('',#348131,0.103126984126984); #139567=CIRCLE('',#348132,0.103126984126984); #139568=CIRCLE('',#348133,0.410719203494586); #139569=CIRCLE('',#348134,0.110719203494586); #139570=CIRCLE('',#348135,0.403126984126984); #139571=CIRCLE('',#348136,0.403126984126984); #139572=CIRCLE('',#348137,0.110719203494586); #139573=CIRCLE('',#348139,0.403126984126984); #139574=CIRCLE('',#348140,0.403126984126984); #139575=CIRCLE('',#348141,0.110719203494586); #139576=CIRCLE('',#348142,0.410719203494586); #139577=CIRCLE('',#348143,0.103126984126984); #139578=CIRCLE('',#348144,0.103126984126984); #139579=CIRCLE('',#348145,0.410719203494586); #139580=CIRCLE('',#348146,0.110719203494586); #139581=CIRCLE('',#348163,0.124613333333333); #139582=CIRCLE('',#348164,0.124613333333333); #139583=CIRCLE('',#348167,0.124613333333333); #139584=CIRCLE('',#348168,0.124613333333333); #139585=CIRCLE('',#348170,0.15); #139586=CIRCLE('',#348172,0.15); #139587=CIRCLE('',#348177,0.15); #139588=CIRCLE('',#348178,0.15); #139589=CIRCLE('',#348181,0.15); #139590=CIRCLE('',#348182,0.15); #139591=CIRCLE('',#348185,0.15); #139592=CIRCLE('',#348187,0.15); #139593=CIRCLE('',#348234,0.410719203494586); #139594=CIRCLE('',#348235,0.103126984126984); #139595=CIRCLE('',#348236,0.103126984126984); #139596=CIRCLE('',#348237,0.410719203494586); #139597=CIRCLE('',#348238,0.110719203494586); #139598=CIRCLE('',#348239,0.403126984126984); #139599=CIRCLE('',#348240,0.403126984126984); #139600=CIRCLE('',#348241,0.110719203494586); #139601=CIRCLE('',#348243,0.403126984126984); #139602=CIRCLE('',#348244,0.403126984126984); #139603=CIRCLE('',#348245,0.110719203494586); #139604=CIRCLE('',#348246,0.410719203494586); #139605=CIRCLE('',#348247,0.103126984126984); #139606=CIRCLE('',#348248,0.103126984126984); #139607=CIRCLE('',#348249,0.410719203494586); #139608=CIRCLE('',#348250,0.110719203494586); #139609=CIRCLE('',#348270,0.410719203494586); #139610=CIRCLE('',#348271,0.103126984126984); #139611=CIRCLE('',#348272,0.103126984126984); #139612=CIRCLE('',#348273,0.410719203494586); #139613=CIRCLE('',#348274,0.110719203494586); #139614=CIRCLE('',#348275,0.403126984126984); #139615=CIRCLE('',#348276,0.403126984126984); #139616=CIRCLE('',#348277,0.110719203494586); #139617=CIRCLE('',#348279,0.403126984126984); #139618=CIRCLE('',#348280,0.403126984126984); #139619=CIRCLE('',#348281,0.110719203494586); #139620=CIRCLE('',#348282,0.410719203494586); #139621=CIRCLE('',#348283,0.103126984126984); #139622=CIRCLE('',#348284,0.103126984126984); #139623=CIRCLE('',#348285,0.410719203494586); #139624=CIRCLE('',#348286,0.110719203494586); #139625=CIRCLE('',#348302,0.124613333333333); #139626=CIRCLE('',#348303,0.124613333333333); #139627=CIRCLE('',#348306,0.124613333333333); #139628=CIRCLE('',#348307,0.124613333333333); #139629=CIRCLE('',#348310,0.15); #139630=CIRCLE('',#348311,0.15); #139631=CIRCLE('',#348317,0.15); #139632=CIRCLE('',#348318,0.15); #139633=CIRCLE('',#348320,0.15); #139634=CIRCLE('',#348321,0.15); #139635=CIRCLE('',#348325,0.15); #139636=CIRCLE('',#348327,0.15); #139637=CIRCLE('',#348337,0.2); #139638=CIRCLE('',#348340,0.132018518518511); #139639=CIRCLE('',#348341,0.132018518518511); #139640=CIRCLE('',#348343,0.148012635933508); #139641=CIRCLE('',#348344,0.148012635933508); #139642=CIRCLE('',#348346,0.11087204124655); #139643=CIRCLE('',#348347,0.11087204124655); #139644=CIRCLE('',#348350,0.11087204124655); #139645=CIRCLE('',#348351,0.11087204124655); #139646=CIRCLE('',#348353,0.148012635933508); #139647=CIRCLE('',#348354,0.148012635933508); #139648=CIRCLE('',#348356,0.132018518518511); #139649=CIRCLE('',#348357,0.132018518518511); #139650=CIRCLE('',#348360,0.15); #139651=CIRCLE('',#348361,0.15); #139652=CIRCLE('',#348364,0.15); #139653=CIRCLE('',#348365,0.15); #139654=CIRCLE('',#348368,0.132018518518511); #139655=CIRCLE('',#348369,0.132018518518511); #139656=CIRCLE('',#348371,0.148012635933508); #139657=CIRCLE('',#348372,0.148012635933508); #139658=CIRCLE('',#348374,0.11087204124655); #139659=CIRCLE('',#348375,0.11087204124655); #139660=CIRCLE('',#348378,0.11087204124655); #139661=CIRCLE('',#348379,0.11087204124655); #139662=CIRCLE('',#348381,0.148012635933508); #139663=CIRCLE('',#348382,0.148012635933508); #139664=CIRCLE('',#348384,0.132018518518511); #139665=CIRCLE('',#348385,0.132018518518511); #139666=CIRCLE('',#348388,0.15); #139667=CIRCLE('',#348389,0.15); #139668=CIRCLE('',#348392,0.15); #139669=CIRCLE('',#348393,0.15); #139670=CIRCLE('',#348397,0.2); #139671=CIRCLE('',#348399,0.15); #139672=CIRCLE('',#348400,0.45); #139673=CIRCLE('',#348402,0.2); #139674=CIRCLE('',#348411,0.2); #139675=CIRCLE('',#348414,0.45); #139676=CIRCLE('',#348415,0.15); #139677=CIRCLE('',#348417,0.15); #139678=CIRCLE('',#348421,0.15); #139679=CIRCLE('',#348424,0.148); #139680=CIRCLE('',#348425,0.148); #139681=CIRCLE('',#348427,0.292004733994588); #139682=CIRCLE('',#348428,0.292004733994588); #139683=CIRCLE('',#348430,1.15304609186865); #139684=CIRCLE('',#348431,1.15304609186865); #139685=CIRCLE('',#348433,0.45); #139686=CIRCLE('',#348436,0.14737794976545); #139687=CIRCLE('',#348437,0.14737794976545); #139688=CIRCLE('',#348440,0.14737794976545); #139689=CIRCLE('',#348441,0.14737794976545); #139690=CIRCLE('',#348444,0.144226016739943); #139691=CIRCLE('',#348445,0.144226016739943); #139692=CIRCLE('',#348447,0.176676870506421); #139693=CIRCLE('',#348449,0.176676870506421); #139694=CIRCLE('',#348453,0.176676870506421); #139695=CIRCLE('',#348454,0.176676870506421); #139696=CIRCLE('',#348456,0.144226016739943); #139697=CIRCLE('',#348457,0.144226016739943); #139698=CIRCLE('',#348460,0.144226016739943); #139699=CIRCLE('',#348461,0.144226016739943); #139700=CIRCLE('',#348463,0.176676870506421); #139701=CIRCLE('',#348465,0.176676870506421); #139702=CIRCLE('',#348469,0.176676870506421); #139703=CIRCLE('',#348470,0.176676870506421); #139704=CIRCLE('',#348472,0.144226016739943); #139705=CIRCLE('',#348473,0.144226016739943); #139706=CIRCLE('',#348476,0.14737794976545); #139707=CIRCLE('',#348477,0.14737794976545); #139708=CIRCLE('',#348480,0.14737794976545); #139709=CIRCLE('',#348481,0.14737794976545); #139710=CIRCLE('',#348483,0.45); #139711=CIRCLE('',#348486,1.15304609186865); #139712=CIRCLE('',#348487,1.15304609186865); #139713=CIRCLE('',#348489,0.292004733994588); #139714=CIRCLE('',#348490,0.292004733994588); #139715=CIRCLE('',#348492,0.148); #139716=CIRCLE('',#348493,0.148); #139717=CIRCLE('',#348497,0.15); #139718=CIRCLE('',#348498,0.15); #139719=CIRCLE('',#348500,0.15); #139720=CIRCLE('',#348501,0.15); #139721=CIRCLE('',#348503,0.15); #139722=CIRCLE('',#348504,0.45); #139723=CIRCLE('',#348506,0.15); #139724=CIRCLE('',#348507,0.15); #139725=CIRCLE('',#348509,0.15); #139726=CIRCLE('',#348511,0.15); #139727=CIRCLE('',#348514,0.45); #139728=CIRCLE('',#348515,0.15); #139729=CIRCLE('',#348519,0.15); #139730=CIRCLE('',#348520,0.45); #139731=CIRCLE('',#348524,0.15); #139732=CIRCLE('',#348525,0.45); #139733=CIRCLE('',#348561,0.22); #139734=CIRCLE('',#348562,0.08); #139735=CIRCLE('',#348563,0.2); #139736=CIRCLE('',#348564,0.1); #139737=CIRCLE('',#348566,0.1); #139738=CIRCLE('',#348567,0.2); #139739=CIRCLE('',#348568,0.08); #139740=CIRCLE('',#348569,0.22); #139741=CIRCLE('',#348624,0.499999992739); #139742=CIRCLE('',#348626,0.499999992739); #139743=CIRCLE('',#348628,0.999999985477); #139744=CIRCLE('',#348629,0.999999985477); #139745=CIRCLE('',#348632,0.999999985477); #139746=CIRCLE('',#348633,0.999999985477); #139747=CIRCLE('',#348635,0.499999992739); #139748=CIRCLE('',#348638,0.499999992739); #139749=CIRCLE('',#348703,0.04); #139750=CIRCLE('',#348704,0.04); #139751=CIRCLE('',#348705,0.04); #139752=CIRCLE('',#348707,0.04); #139753=CIRCLE('',#348708,0.04); #139754=CIRCLE('',#348709,0.04); #139755=CIRCLE('',#348711,0.04); #139756=CIRCLE('',#348712,0.04); #139757=CIRCLE('',#348713,0.04); #139758=CIRCLE('',#348715,0.04); #139759=CIRCLE('',#348716,0.04); #139760=CIRCLE('',#348717,0.04); #139761=CIRCLE('',#348719,0.04); #139762=CIRCLE('',#348720,0.04); #139763=CIRCLE('',#348721,0.04); #139764=CIRCLE('',#348724,0.04); #139765=CIRCLE('',#348725,0.04); #139766=CIRCLE('',#348726,0.04); #139767=CIRCLE('',#348728,0.04); #139768=CIRCLE('',#348729,0.04); #139769=CIRCLE('',#348730,0.04); #139770=CIRCLE('',#348734,0.04); #139771=CIRCLE('',#348735,0.04); #139772=CIRCLE('',#348736,0.04); #139773=CIRCLE('',#348753,0.04); #139774=CIRCLE('',#348754,0.04); #139775=CIRCLE('',#348755,0.04); #139776=CIRCLE('',#348757,0.04); #139777=CIRCLE('',#348758,0.04); #139778=CIRCLE('',#348759,0.04); #139779=CIRCLE('',#348761,0.04); #139780=CIRCLE('',#348762,0.04); #139781=CIRCLE('',#348763,0.04); #139782=CIRCLE('',#348765,0.04); #139783=CIRCLE('',#348766,0.04); #139784=CIRCLE('',#348767,0.04); #139785=CIRCLE('',#348769,0.04); #139786=CIRCLE('',#348770,0.04); #139787=CIRCLE('',#348771,0.04); #139788=CIRCLE('',#348774,0.04); #139789=CIRCLE('',#348775,0.04); #139790=CIRCLE('',#348776,0.04); #139791=CIRCLE('',#348778,0.04); #139792=CIRCLE('',#348779,0.04); #139793=CIRCLE('',#348780,0.04); #139794=CIRCLE('',#348784,0.04); #139795=CIRCLE('',#348785,0.04); #139796=CIRCLE('',#348786,0.04); #139797=CIRCLE('',#348812,0.0319999999999999); #139798=CIRCLE('',#348813,0.032); #139799=CIRCLE('',#348814,0.0319999999999999); #139800=CIRCLE('',#348816,0.032); #139801=CIRCLE('',#348817,0.032); #139802=CIRCLE('',#348818,0.0319999999999999); #139803=CIRCLE('',#348820,0.0319999999999999); #139804=CIRCLE('',#348821,0.032); #139805=CIRCLE('',#348822,0.032); #139806=CIRCLE('',#348824,0.032); #139807=CIRCLE('',#348825,0.0319999999999999); #139808=CIRCLE('',#348826,0.0319999999999999); #139809=CIRCLE('',#348828,0.032); #139810=CIRCLE('',#348829,0.032); #139811=CIRCLE('',#348830,0.032); #139812=CIRCLE('',#348833,0.032); #139813=CIRCLE('',#348834,0.032); #139814=CIRCLE('',#348835,0.0319999999999999); #139815=CIRCLE('',#348837,0.032); #139816=CIRCLE('',#348838,0.0319999999999999); #139817=CIRCLE('',#348839,0.032); #139818=CIRCLE('',#348843,0.0320000000000001); #139819=CIRCLE('',#348844,0.032); #139820=CIRCLE('',#348845,0.032); #139821=CIRCLE('',#348862,0.032); #139822=CIRCLE('',#348863,0.032); #139823=CIRCLE('',#348864,0.0319999999999999); #139824=CIRCLE('',#348866,0.032); #139825=CIRCLE('',#348867,0.0319999999999999); #139826=CIRCLE('',#348868,0.0319999999999999); #139827=CIRCLE('',#348870,0.0320000000000001); #139828=CIRCLE('',#348871,0.032); #139829=CIRCLE('',#348872,0.032); #139830=CIRCLE('',#348874,0.032); #139831=CIRCLE('',#348875,0.032); #139832=CIRCLE('',#348876,0.0319999999999999); #139833=CIRCLE('',#348878,0.032); #139834=CIRCLE('',#348879,0.032); #139835=CIRCLE('',#348880,0.0319999999999999); #139836=CIRCLE('',#348883,0.0319999999999999); #139837=CIRCLE('',#348884,0.032); #139838=CIRCLE('',#348885,0.0319999999999999); #139839=CIRCLE('',#348887,0.032); #139840=CIRCLE('',#348888,0.0319999999999999); #139841=CIRCLE('',#348889,0.032); #139842=CIRCLE('',#348893,0.0319999999999999); #139843=CIRCLE('',#348894,0.032); #139844=CIRCLE('',#348895,0.032); #139845=CIRCLE('',#348921,0.04); #139846=CIRCLE('',#348922,0.04); #139847=CIRCLE('',#348923,0.04); #139848=CIRCLE('',#348925,0.04); #139849=CIRCLE('',#348926,0.04); #139850=CIRCLE('',#348927,0.04); #139851=CIRCLE('',#348929,0.04); #139852=CIRCLE('',#348930,0.04); #139853=CIRCLE('',#348931,0.04); #139854=CIRCLE('',#348933,0.04); #139855=CIRCLE('',#348934,0.04); #139856=CIRCLE('',#348935,0.04); #139857=CIRCLE('',#348937,0.04); #139858=CIRCLE('',#348938,0.04); #139859=CIRCLE('',#348939,0.04); #139860=CIRCLE('',#348942,0.04); #139861=CIRCLE('',#348943,0.04); #139862=CIRCLE('',#348944,0.04); #139863=CIRCLE('',#348946,0.04); #139864=CIRCLE('',#348947,0.0399999999999998); #139865=CIRCLE('',#348948,0.04); #139866=CIRCLE('',#348952,0.0399999999999999); #139867=CIRCLE('',#348953,0.04); #139868=CIRCLE('',#348954,0.04); #139869=CIRCLE('',#348971,0.04); #139870=CIRCLE('',#348972,0.04); #139871=CIRCLE('',#348973,0.04); #139872=CIRCLE('',#348975,0.04); #139873=CIRCLE('',#348976,0.04); #139874=CIRCLE('',#348977,0.04); #139875=CIRCLE('',#348979,0.0399999999999999); #139876=CIRCLE('',#348980,0.04); #139877=CIRCLE('',#348981,0.04); #139878=CIRCLE('',#348983,0.04); #139879=CIRCLE('',#348984,0.04); #139880=CIRCLE('',#348985,0.04); #139881=CIRCLE('',#348987,0.04); #139882=CIRCLE('',#348988,0.04); #139883=CIRCLE('',#348989,0.0399999999999998); #139884=CIRCLE('',#348992,0.04); #139885=CIRCLE('',#348993,0.04); #139886=CIRCLE('',#348994,0.04); #139887=CIRCLE('',#348996,0.04); #139888=CIRCLE('',#348997,0.0400000000000002); #139889=CIRCLE('',#348998,0.04); #139890=CIRCLE('',#349002,0.04); #139891=CIRCLE('',#349003,0.04); #139892=CIRCLE('',#349004,0.04); #139893=CIRCLE('',#349030,3.11666666666667); #139894=CIRCLE('',#349031,3.11666666666667); #139895=CIRCLE('',#349034,4.10833333333333); #139896=CIRCLE('',#349036,4.25); #139897=CIRCLE('',#349037,0.141666666666667); #139898=CIRCLE('',#349039,4.25); #139899=CIRCLE('',#349041,4.14375); #139900=CIRCLE('',#349042,0.141666666666667); #139901=CIRCLE('',#349044,0.425000000000001); #139902=CIRCLE('',#349045,4.14375); #139903=CIRCLE('',#349047,4.25); #139904=CIRCLE('',#349048,0.141666666666667); #139905=CIRCLE('',#349050,4.25); #139906=CIRCLE('',#349052,4.10833333333333); #139907=CIRCLE('',#349053,0.141666666666667); #139908=CIRCLE('',#349056,0.141666666666666); #139909=CIRCLE('',#349057,0.415); #139910=CIRCLE('',#349058,0.141666666666667); #139911=CIRCLE('',#349059,0.141666666666667); #139912=CIRCLE('',#349060,0.141666666666667); #139913=CIRCLE('',#349061,0.141666666666667); #139914=CIRCLE('',#349062,0.425000000000001); #139915=CIRCLE('',#349063,0.141666666666667); #139916=CIRCLE('',#349064,0.141666666666667); #139917=CIRCLE('',#349065,0.141666666666667); #139918=CIRCLE('',#349067,4.16438622754498); #139919=CIRCLE('',#349068,0.415); #139920=CIRCLE('',#349069,4.16438622754498); #139921=CIRCLE('',#349071,0.141666666666667); #139922=CIRCLE('',#349072,4.26999999999998); #139923=CIRCLE('',#349074,4.26999999999998); #139924=CIRCLE('',#349076,0.141666666666667); #139925=CIRCLE('',#349077,4.12833333333331); #139926=CIRCLE('',#349079,4.10833333333342); #139927=CIRCLE('',#349081,0.141666666666667); #139928=CIRCLE('',#349082,4.25); #139929=CIRCLE('',#349084,4.25); #139930=CIRCLE('',#349086,0.141666666666667); #139931=CIRCLE('',#349087,4.14374999999993); #139932=CIRCLE('',#349089,0.425000000000001); #139933=CIRCLE('',#349090,4.14374999999993); #139934=CIRCLE('',#349092,0.141666666666667); #139935=CIRCLE('',#349093,4.25); #139936=CIRCLE('',#349095,4.25); #139937=CIRCLE('',#349097,0.141666666666667); #139938=CIRCLE('',#349098,4.10833333333342); #139939=CIRCLE('',#349100,4.12833333333331); #139940=CIRCLE('',#349102,0.141666666666667); #139941=CIRCLE('',#349103,4.26999999999997); #139942=CIRCLE('',#349105,4.26999999999997); #139943=CIRCLE('',#349107,0.141666666666666); #139944=CIRCLE('',#349110,0.325); #139945=CIRCLE('',#349111,0.325); #139946=CIRCLE('',#349115,0.325); #139947=CIRCLE('',#349116,0.325); #139948=CIRCLE('',#349121,4.27050000000048); #139949=CIRCLE('',#349122,4.27150000000091); #139950=CIRCLE('',#349124,4.27150000000091); #139951=CIRCLE('',#349126,4.27050000000048); #139952=CIRCLE('',#349131,4.27050000000048); #139953=CIRCLE('',#349132,4.27150000000091); #139954=CIRCLE('',#349134,4.27150000000091); #139955=CIRCLE('',#349136,4.27050000000048); #139956=CIRCLE('',#349141,4.27050000000048); #139957=CIRCLE('',#349142,4.27150000000091); #139958=CIRCLE('',#349144,4.27150000000091); #139959=CIRCLE('',#349146,4.27050000000048); #139960=CIRCLE('',#349151,4.27050000000048); #139961=CIRCLE('',#349152,4.27150000000091); #139962=CIRCLE('',#349154,4.27150000000091); #139963=CIRCLE('',#349156,4.27050000000048); #139964=CIRCLE('',#349161,4.27050000000048); #139965=CIRCLE('',#349162,4.27150000000091); #139966=CIRCLE('',#349164,4.27150000000091); #139967=CIRCLE('',#349166,4.27050000000048); #139968=CIRCLE('',#349171,4.27050000000048); #139969=CIRCLE('',#349172,4.27150000000091); #139970=CIRCLE('',#349174,4.27150000000091); #139971=CIRCLE('',#349176,4.27050000000048); #139972=CIRCLE('',#349181,4.27050000000048); #139973=CIRCLE('',#349182,4.27150000000091); #139974=CIRCLE('',#349184,4.27150000000091); #139975=CIRCLE('',#349186,4.27050000000048); #139976=CIRCLE('',#349191,4.27050000000048); #139977=CIRCLE('',#349192,4.27150000000091); #139978=CIRCLE('',#349194,4.27150000000091); #139979=CIRCLE('',#349196,4.27050000000048); #139980=CIRCLE('',#349201,4.27050000000048); #139981=CIRCLE('',#349202,4.27150000000091); #139982=CIRCLE('',#349204,4.27150000000091); #139983=CIRCLE('',#349206,4.27050000000048); #139984=CIRCLE('',#349213,0.0750000000000001); #139985=CIRCLE('',#349214,0.0750000000000001); #139986=CIRCLE('',#349235,0.175); #139987=CIRCLE('',#349236,0.175); #139988=CIRCLE('',#349239,0.175); #139989=CIRCLE('',#349240,0.175); #139990=CIRCLE('',#349247,0.175); #139991=CIRCLE('',#349248,0.175); #139992=CIRCLE('',#349251,0.175); #139993=CIRCLE('',#349252,0.175); #139994=CIRCLE('',#349259,0.175); #139995=CIRCLE('',#349260,0.175); #139996=CIRCLE('',#349263,0.175); #139997=CIRCLE('',#349264,0.175); #139998=CIRCLE('',#349271,0.175); #139999=CIRCLE('',#349272,0.175); #140000=CIRCLE('',#349275,0.175); #140001=CIRCLE('',#349276,0.175); #140002=CIRCLE('',#349283,0.175); #140003=CIRCLE('',#349284,0.175); #140004=CIRCLE('',#349287,0.175); #140005=CIRCLE('',#349288,0.175); #140006=CIRCLE('',#349295,0.175); #140007=CIRCLE('',#349296,0.175); #140008=CIRCLE('',#349299,0.175); #140009=CIRCLE('',#349300,0.175); #140010=CIRCLE('',#349307,0.175); #140011=CIRCLE('',#349308,0.175); #140012=CIRCLE('',#349311,0.175); #140013=CIRCLE('',#349312,0.175); #140014=CIRCLE('',#349319,0.175); #140015=CIRCLE('',#349320,0.175); #140016=CIRCLE('',#349323,0.175); #140017=CIRCLE('',#349324,0.175); #140018=CIRCLE('',#349380,0.0750000000000001); #140019=CIRCLE('',#349381,0.0750000000000001); #140020=CIRCLE('',#349402,0.25); #140021=CIRCLE('',#349403,0.25); #140022=CIRCLE('',#349406,0.25); #140023=CIRCLE('',#349407,0.25); #140024=CIRCLE('',#349414,0.25); #140025=CIRCLE('',#349415,0.25); #140026=CIRCLE('',#349418,0.25); #140027=CIRCLE('',#349419,0.25); #140028=CIRCLE('',#349426,0.25); #140029=CIRCLE('',#349427,0.25); #140030=CIRCLE('',#349430,0.25); #140031=CIRCLE('',#349431,0.25); #140032=CIRCLE('',#349438,0.25); #140033=CIRCLE('',#349439,0.25); #140034=CIRCLE('',#349442,0.25); #140035=CIRCLE('',#349443,0.25); #140036=CIRCLE('',#349450,0.25); #140037=CIRCLE('',#349451,0.25); #140038=CIRCLE('',#349454,0.25); #140039=CIRCLE('',#349455,0.25); #140040=CIRCLE('',#349462,0.25); #140041=CIRCLE('',#349463,0.25); #140042=CIRCLE('',#349466,0.25); #140043=CIRCLE('',#349467,0.25); #140044=CIRCLE('',#349474,0.25); #140045=CIRCLE('',#349475,0.25); #140046=CIRCLE('',#349478,0.25); #140047=CIRCLE('',#349479,0.25); #140048=CIRCLE('',#349486,0.25); #140049=CIRCLE('',#349487,0.25); #140050=CIRCLE('',#349490,0.25); #140051=CIRCLE('',#349491,0.25); #140052=CIRCLE('',#349584,3.595); #140053=CIRCLE('',#349585,3.595); #140054=CIRCLE('',#349587,5.76); #140055=CIRCLE('',#349588,5.76); #140056=CIRCLE('',#349594,3.5); #140057=CIRCLE('',#349596,3.14155); #140058=CIRCLE('',#349609,3.454); #140059=CIRCLE('',#349617,3.02375); #140060=CIRCLE('',#349619,3.02375); #140061=CIRCLE('',#349635,3.); #140062=CIRCLE('',#349636,3.); #140063=CIRCLE('',#349637,3.); #140064=CIRCLE('',#349658,2.49999999999999); #140065=CIRCLE('',#349659,3.); #140066=CIRCLE('',#349661,2.49999999999999); #140067=CIRCLE('',#349662,2.49999999999999); #140068=CIRCLE('',#349663,2.49999999999999); #140069=CIRCLE('',#349664,2.49999999999999); #140070=CIRCLE('',#349665,2.49999999999999); #140071=CIRCLE('',#349666,2.49999999999999); #140072=CIRCLE('',#349667,2.49999999999999); #140073=CIRCLE('',#349669,3.); #140074=CIRCLE('',#349671,3.); #140075=CIRCLE('',#349673,3.); #140076=CIRCLE('',#349675,3.); #140077=CIRCLE('',#349677,3.); #140078=CIRCLE('',#349679,3.); #140079=CIRCLE('',#349681,3.); #140080=CIRCLE('',#349683,3.); #140081=CIRCLE('',#349685,3.); #140082=CIRCLE('',#349687,3.); #140083=CIRCLE('',#349689,3.); #140084=CIRCLE('',#349691,3.); #140085=CIRCLE('',#349693,3.); #140086=CIRCLE('',#349703,2.49999999999999); #140087=CIRCLE('',#349704,3.); #140088=CIRCLE('',#349706,2.49999999999999); #140089=CIRCLE('',#349707,3.); #140090=CIRCLE('',#349709,2.49999999999999); #140091=CIRCLE('',#349710,3.); #140092=CIRCLE('',#349712,3.); #140093=CIRCLE('',#349713,2.49999999999999); #140094=CIRCLE('',#349715,2.49999999999999); #140095=CIRCLE('',#349716,3.); #140096=CIRCLE('',#349718,2.49999999999999); #140097=CIRCLE('',#349719,3.); #140098=CIRCLE('',#349721,2.49999999999999); #140099=CIRCLE('',#349722,3.); #140100=CIRCLE('',#349724,2.49999999999999); #140101=CIRCLE('',#349726,3.); #140102=CIRCLE('',#349736,3.); #140103=CIRCLE('',#349738,3.); #140104=CIRCLE('',#349740,3.); #140105=CIRCLE('',#349742,3.); #140106=CIRCLE('',#349744,3.); #140107=CIRCLE('',#349746,3.); #140108=CIRCLE('',#349748,3.); #140109=CIRCLE('',#349750,3.); #140110=CIRCLE('',#349752,3.); #140111=CIRCLE('',#349756,0.150000000181); #140112=CIRCLE('',#349757,0.150000000181); #140113=CIRCLE('',#349759,0.400000000483); #140114=CIRCLE('',#349760,0.400000000483); #140115=CIRCLE('',#349762,0.1); #140116=CIRCLE('',#349763,0.3); #140117=CIRCLE('',#349764,0.3); #140118=CIRCLE('',#349765,0.1); #140119=CIRCLE('',#349771,0.1); #140120=CIRCLE('',#349774,0.1); #140121=CIRCLE('',#349776,0.3); #140122=CIRCLE('',#349779,0.3); #140123=CIRCLE('',#349783,0.1); #140124=CIRCLE('',#349784,0.3); #140125=CIRCLE('',#349785,0.3); #140126=CIRCLE('',#349786,0.1); #140127=CIRCLE('',#349789,0.1); #140128=CIRCLE('',#349790,0.3); #140129=CIRCLE('',#349791,0.3); #140130=CIRCLE('',#349792,0.1); #140131=CIRCLE('',#349805,0.400000000483); #140132=CIRCLE('',#349806,0.400000000483); #140133=CIRCLE('',#349808,0.150000000181); #140134=CIRCLE('',#349809,0.150000000181); #140135=CIRCLE('',#349812,0.1); #140136=CIRCLE('',#349813,0.3); #140137=CIRCLE('',#349814,0.3); #140138=CIRCLE('',#349815,0.1); #140139=CIRCLE('',#349818,0.3); #140140=CIRCLE('',#349820,0.1); #140141=CIRCLE('',#349821,0.3); #140142=CIRCLE('',#349822,0.1); #140143=CIRCLE('',#349835,0.150000000181); #140144=CIRCLE('',#349836,0.150000000181); #140145=CIRCLE('',#349838,0.400000000483); #140146=CIRCLE('',#349839,0.400000000483); #140147=CIRCLE('',#349841,0.1); #140148=CIRCLE('',#349842,0.3); #140149=CIRCLE('',#349843,0.3); #140150=CIRCLE('',#349844,0.1); #140151=CIRCLE('',#349850,0.1); #140152=CIRCLE('',#349853,0.1); #140153=CIRCLE('',#349855,0.3); #140154=CIRCLE('',#349858,0.3); #140155=CIRCLE('',#349862,0.1); #140156=CIRCLE('',#349863,0.3); #140157=CIRCLE('',#349864,0.3); #140158=CIRCLE('',#349865,0.1); #140159=CIRCLE('',#349868,0.1); #140160=CIRCLE('',#349869,0.3); #140161=CIRCLE('',#349870,0.3); #140162=CIRCLE('',#349871,0.1); #140163=CIRCLE('',#349883,0.300000000363); #140164=CIRCLE('',#349884,0.3); #140165=CIRCLE('',#349887,0.3); #140166=CIRCLE('',#349888,0.300000000363); #140167=CIRCLE('',#349890,0.3); #140168=CIRCLE('',#349891,0.300000000363); #140169=CIRCLE('',#349893,0.3); #140170=CIRCLE('',#349894,0.3); #140171=CIRCLE('',#349895,0.3); #140172=CIRCLE('',#349896,0.3); #140173=CIRCLE('',#349898,3.02375); #140174=CIRCLE('',#349900,3.454); #140175=CIRCLE('',#349916,4.5); #140176=CIRCLE('',#349917,4.5); #140177=CIRCLE('',#349920,0.3); #140178=CIRCLE('',#349921,4.5); #140179=CIRCLE('',#349926,4.5); #140180=CIRCLE('',#349929,4.5); #140181=CIRCLE('',#349930,4.5); #140182=CIRCLE('',#349936,4.5); #140183=CIRCLE('',#349937,4.5); #140184=CIRCLE('',#349940,5.000000006042); #140185=CIRCLE('',#349941,5.000000006042); #140186=CIRCLE('',#349943,0.150000000181); #140187=CIRCLE('',#349944,0.150000000181); #140188=CIRCLE('',#349948,0.3); #140189=CIRCLE('',#349949,0.3); #140190=CIRCLE('',#349952,0.3); #140191=CIRCLE('',#349953,0.3); #140192=CIRCLE('',#349957,0.150000000181); #140193=CIRCLE('',#349958,0.150000000181); #140194=CIRCLE('',#349961,0.150000000181); #140195=CIRCLE('',#349962,0.150000000181); #140196=CIRCLE('',#349970,0.150000000181); #140197=CIRCLE('',#349973,0.150000000181); #140198=CIRCLE('',#349977,0.3); #140199=CIRCLE('',#349981,0.3); #140200=CIRCLE('',#349983,0.3); #140201=CIRCLE('',#349985,0.3); #140202=CIRCLE('',#349987,0.3); #140203=CIRCLE('',#349989,0.3); #140204=CIRCLE('',#349994,0.3); #140205=CIRCLE('',#349998,0.3); #140206=CIRCLE('',#350001,0.300000000363); #140207=CIRCLE('',#350002,0.3); #140208=CIRCLE('',#350004,0.3); #140209=CIRCLE('',#350007,0.3); #140210=CIRCLE('',#350009,0.3); #140211=CIRCLE('',#350010,0.3); #140212=CIRCLE('',#350011,0.300000000363); #140213=CIRCLE('',#350012,0.3); #140214=CIRCLE('',#350013,0.3); #140215=CIRCLE('',#350014,0.300000000363); #140216=CIRCLE('',#350015,0.3); #140217=CIRCLE('',#350016,0.300000000363); #140218=CIRCLE('',#350017,0.3); #140219=CIRCLE('',#350018,0.3); #140220=CIRCLE('',#350019,0.300000000363); #140221=CIRCLE('',#350036,0.150000000181); #140222=CIRCLE('',#350037,0.150000000181); #140223=CIRCLE('',#350039,0.350000000423); #140224=CIRCLE('',#350040,0.350000000423); #140225=CIRCLE('',#350045,0.3); #140226=CIRCLE('',#350046,0.3); #140227=CIRCLE('',#350048,0.3); #140228=CIRCLE('',#350050,0.3); #140229=CIRCLE('',#350058,0.150000000181); #140230=CIRCLE('',#350059,0.150000000181); #140231=CIRCLE('',#350061,0.350000000423); #140232=CIRCLE('',#350062,0.350000000423); #140233=CIRCLE('',#350067,0.3); #140234=CIRCLE('',#350068,0.3); #140235=CIRCLE('',#350070,0.3); #140236=CIRCLE('',#350072,0.3); #140237=CIRCLE('',#350082,0.350000000423); #140238=CIRCLE('',#350083,0.150000000181); #140239=CIRCLE('',#350085,0.3); #140240=CIRCLE('',#350086,0.3); #140241=CIRCLE('',#350089,0.3); #140242=CIRCLE('',#350092,0.150000000181); #140243=CIRCLE('',#350093,0.350000000423); #140244=CIRCLE('',#350095,0.3); #140245=CIRCLE('',#350098,0.3); #140246=CIRCLE('',#350099,0.3); #140247=CIRCLE('',#350101,0.3); #140248=CIRCLE('',#350103,0.350000000423); #140249=CIRCLE('',#350104,0.150000000181); #140250=CIRCLE('',#350107,0.3); #140251=CIRCLE('',#350110,0.150000000181); #140252=CIRCLE('',#350111,0.350000000423); #140253=CIRCLE('',#350132,0.300000000363); #140254=CIRCLE('',#350133,0.300000000363); #140255=CIRCLE('',#350135,0.300000000363); #140256=CIRCLE('',#350136,0.300000000363); #140257=CIRCLE('',#350138,0.350000000423); #140258=CIRCLE('',#350139,0.150000000181); #140259=CIRCLE('',#350141,0.150000000181); #140260=CIRCLE('',#350142,0.350000000423); #140261=CIRCLE('',#350144,0.350000000423); #140262=CIRCLE('',#350145,0.350000000423); #140263=CIRCLE('',#350147,0.150000000181); #140264=CIRCLE('',#350148,0.150000000181); #140265=CIRCLE('',#350153,0.150000000181); #140266=CIRCLE('',#350154,0.150000000181); #140267=CIRCLE('',#350163,0.350000000423); #140268=CIRCLE('',#350164,0.350000000423); #140269=CIRCLE('',#350175,0.300000000363); #140270=CIRCLE('',#350176,0.300000000363); #140271=CIRCLE('',#350178,0.300000000363); #140272=CIRCLE('',#350179,0.300000000363); #140273=CIRCLE('',#350181,0.150000000181); #140274=CIRCLE('',#350182,0.350000000423); #140275=CIRCLE('',#350184,0.350000000423); #140276=CIRCLE('',#350185,0.150000000181); #140277=CIRCLE('',#350187,0.350000000423); #140278=CIRCLE('',#350188,0.350000000423); #140279=CIRCLE('',#350190,0.150000000181); #140280=CIRCLE('',#350191,0.150000000181); #140281=CIRCLE('',#350205,0.150000000181); #140282=CIRCLE('',#350206,0.350000000423); #140283=CIRCLE('',#350213,0.350000000423); #140284=CIRCLE('',#350215,0.150000000181); #140285=CIRCLE('',#350221,0.15); #140286=CIRCLE('',#350222,0.15); #140287=CIRCLE('',#350235,0.15); #140288=CIRCLE('',#350237,0.15); #140289=CIRCLE('',#350245,0.15); #140290=CIRCLE('',#350247,0.15); #140291=CIRCLE('',#350255,0.15); #140292=CIRCLE('',#350257,0.15); #140293=CIRCLE('',#350265,0.15); #140294=CIRCLE('',#350267,0.15); #140295=CIRCLE('',#350275,0.15); #140296=CIRCLE('',#350277,0.15); #140297=CIRCLE('',#350285,0.15); #140298=CIRCLE('',#350287,0.15); #140299=CIRCLE('',#350295,0.15); #140300=CIRCLE('',#350297,0.15); #140301=CIRCLE('',#350305,0.15); #140302=CIRCLE('',#350307,0.15); #140303=CIRCLE('',#350315,0.15); #140304=CIRCLE('',#350317,0.15); #140305=CIRCLE('',#350325,0.15); #140306=CIRCLE('',#350327,0.15); #140307=CIRCLE('',#350335,0.15); #140308=CIRCLE('',#350337,0.15); #140309=CIRCLE('',#350345,0.15); #140310=CIRCLE('',#350347,0.15); #140311=CIRCLE('',#350355,0.15); #140312=CIRCLE('',#350357,0.15); #140313=CIRCLE('',#350365,0.15); #140314=CIRCLE('',#350367,0.15); #140315=CIRCLE('',#350375,0.15); #140316=CIRCLE('',#350377,0.15); #140317=CIRCLE('',#350385,0.15); #140318=CIRCLE('',#350387,0.15); #140319=CIRCLE('',#350395,0.15); #140320=CIRCLE('',#350397,0.15); #140321=CIRCLE('',#350405,0.15); #140322=CIRCLE('',#350407,0.15); #140323=CIRCLE('',#350415,0.15); #140324=CIRCLE('',#350417,0.15); #140325=CIRCLE('',#350425,0.15); #140326=CIRCLE('',#350427,0.15); #140327=CIRCLE('',#350435,0.15); #140328=CIRCLE('',#350437,0.15); #140329=CIRCLE('',#350445,0.15); #140330=CIRCLE('',#350447,0.15); #140331=CIRCLE('',#350455,0.15); #140332=CIRCLE('',#350457,0.15); #140333=CIRCLE('',#350465,0.15); #140334=CIRCLE('',#350467,0.15); #140335=CIRCLE('',#350475,0.15); #140336=CIRCLE('',#350477,0.15); #140337=CIRCLE('',#350485,0.15); #140338=CIRCLE('',#350487,0.15); #140339=CIRCLE('',#350495,0.15); #140340=CIRCLE('',#350497,0.15); #140341=CIRCLE('',#350505,0.15); #140342=CIRCLE('',#350507,0.15); #140343=CIRCLE('',#350515,0.15); #140344=CIRCLE('',#350517,0.15); #140345=CIRCLE('',#350525,0.15); #140346=CIRCLE('',#350527,0.15); #140347=CIRCLE('',#350535,0.15); #140348=CIRCLE('',#350537,0.15); #140349=CIRCLE('',#350545,0.15); #140350=CIRCLE('',#350547,0.15); #140351=CIRCLE('',#350555,0.15); #140352=CIRCLE('',#350557,0.15); #140353=CIRCLE('',#350565,0.15); #140354=CIRCLE('',#350567,0.15); #140355=CIRCLE('',#350575,0.15); #140356=CIRCLE('',#350577,0.15); #140357=CIRCLE('',#350585,0.15); #140358=CIRCLE('',#350587,0.15); #140359=CIRCLE('',#350595,0.15); #140360=CIRCLE('',#350597,0.15); #140361=CIRCLE('',#350605,0.15); #140362=CIRCLE('',#350607,0.15); #140363=CIRCLE('',#350615,0.15); #140364=CIRCLE('',#350617,0.15); #140365=CIRCLE('',#350625,0.15); #140366=CIRCLE('',#350627,0.15); #140367=CIRCLE('',#350635,0.15); #140368=CIRCLE('',#350637,0.15); #140369=CIRCLE('',#350645,0.15); #140370=CIRCLE('',#350647,0.15); #140371=CIRCLE('',#350655,0.15); #140372=CIRCLE('',#350657,0.15); #140373=CIRCLE('',#350665,0.15); #140374=CIRCLE('',#350667,0.15); #140375=CIRCLE('',#350675,0.15); #140376=CIRCLE('',#350677,0.15); #140377=CIRCLE('',#350685,0.15); #140378=CIRCLE('',#350687,0.15); #140379=CIRCLE('',#350695,0.15); #140380=CIRCLE('',#350697,0.15); #140381=CIRCLE('',#350705,0.15); #140382=CIRCLE('',#350707,0.15); #140383=CIRCLE('',#350724,0.04); #140384=CIRCLE('',#350725,0.04); #140385=CIRCLE('',#350726,0.04); #140386=CIRCLE('',#350728,0.04); #140387=CIRCLE('',#350729,0.04); #140388=CIRCLE('',#350730,0.04); #140389=CIRCLE('',#350732,0.04); #140390=CIRCLE('',#350733,0.04); #140391=CIRCLE('',#350734,0.04); #140392=CIRCLE('',#350736,0.04); #140393=CIRCLE('',#350737,0.04); #140394=CIRCLE('',#350738,0.04); #140395=CIRCLE('',#350740,0.04); #140396=CIRCLE('',#350741,0.04); #140397=CIRCLE('',#350742,0.04); #140398=CIRCLE('',#350745,0.04); #140399=CIRCLE('',#350746,0.04); #140400=CIRCLE('',#350747,0.04); #140401=CIRCLE('',#350749,0.04); #140402=CIRCLE('',#350750,0.04); #140403=CIRCLE('',#350751,0.04); #140404=CIRCLE('',#350755,0.04); #140405=CIRCLE('',#350756,0.04); #140406=CIRCLE('',#350757,0.04); #140407=CIRCLE('',#350774,0.04); #140408=CIRCLE('',#350775,0.04); #140409=CIRCLE('',#350776,0.04); #140410=CIRCLE('',#350778,0.04); #140411=CIRCLE('',#350779,0.04); #140412=CIRCLE('',#350780,0.04); #140413=CIRCLE('',#350782,0.04); #140414=CIRCLE('',#350783,0.04); #140415=CIRCLE('',#350784,0.04); #140416=CIRCLE('',#350786,0.04); #140417=CIRCLE('',#350787,0.04); #140418=CIRCLE('',#350788,0.04); #140419=CIRCLE('',#350790,0.04); #140420=CIRCLE('',#350791,0.04); #140421=CIRCLE('',#350792,0.04); #140422=CIRCLE('',#350795,0.04); #140423=CIRCLE('',#350796,0.04); #140424=CIRCLE('',#350797,0.04); #140425=CIRCLE('',#350799,0.04); #140426=CIRCLE('',#350800,0.04); #140427=CIRCLE('',#350801,0.04); #140428=CIRCLE('',#350805,0.04); #140429=CIRCLE('',#350806,0.04); #140430=CIRCLE('',#350807,0.04); #140431=CIRCLE('',#350876,0.1995); #140432=CIRCLE('',#350877,0.1995); #140433=CIRCLE('',#350894,0.200000000000001); #140434=CIRCLE('',#350895,0.0499999999991504); #140435=CIRCLE('',#350896,0.200000000000034); #140436=CIRCLE('',#350897,0.0500000000000014); #140437=CIRCLE('',#350900,0.0499999999991504); #140438=CIRCLE('',#350905,0.200000000000034); #140439=CIRCLE('',#350908,0.0500000000000014); #140440=CIRCLE('',#350913,0.200000000000001); #140441=CIRCLE('',#350916,0.200000000000001); #140442=CIRCLE('',#350917,0.0500000000000014); #140443=CIRCLE('',#350918,0.200000000000034); #140444=CIRCLE('',#350919,0.0499999999991504); #140445=CIRCLE('',#350922,0.0499999999991504); #140446=CIRCLE('',#350927,0.200000000000034); #140447=CIRCLE('',#350930,0.0500000000000014); #140448=CIRCLE('',#350935,0.200000000000001); #140449=CIRCLE('',#350938,0.200000000000001); #140450=CIRCLE('',#350939,0.0499999999991504); #140451=CIRCLE('',#350940,0.200000000000034); #140452=CIRCLE('',#350941,0.0500000000000014); #140453=CIRCLE('',#350944,0.0499999999991504); #140454=CIRCLE('',#350949,0.200000000000034); #140455=CIRCLE('',#350952,0.0500000000000014); #140456=CIRCLE('',#350957,0.200000000000001); #140457=CIRCLE('',#350960,0.200000000000001); #140458=CIRCLE('',#350961,0.0499999999991504); #140459=CIRCLE('',#350962,0.200000000000034); #140460=CIRCLE('',#350963,0.0500000000000014); #140461=CIRCLE('',#350966,0.0499999999991504); #140462=CIRCLE('',#350971,0.200000000000034); #140463=CIRCLE('',#350974,0.0500000000000014); #140464=CIRCLE('',#350979,0.200000000000001); #140465=CIRCLE('',#350982,0.200000000000001); #140466=CIRCLE('',#350983,0.0499999999991504); #140467=CIRCLE('',#350984,0.200000000000034); #140468=CIRCLE('',#350985,0.0500000000000014); #140469=CIRCLE('',#350988,0.0499999999991504); #140470=CIRCLE('',#350993,0.200000000000034); #140471=CIRCLE('',#350996,0.0500000000000014); #140472=CIRCLE('',#351001,0.200000000000001); #140473=CIRCLE('',#351004,0.200000000000001); #140474=CIRCLE('',#351005,0.0500000000000014); #140475=CIRCLE('',#351006,0.200000000000034); #140476=CIRCLE('',#351007,0.0499999999991504); #140477=CIRCLE('',#351010,0.0499999999991504); #140478=CIRCLE('',#351015,0.200000000000034); #140479=CIRCLE('',#351018,0.0500000000000014); #140480=CIRCLE('',#351023,0.200000000000001); #140481=CIRCLE('',#351026,0.200000000000001); #140482=CIRCLE('',#351027,0.0500000000000014); #140483=CIRCLE('',#351028,0.200000000000034); #140484=CIRCLE('',#351029,0.0499999999991504); #140485=CIRCLE('',#351032,0.0499999999991504); #140486=CIRCLE('',#351037,0.200000000000034); #140487=CIRCLE('',#351040,0.0500000000000014); #140488=CIRCLE('',#351045,0.200000000000001); #140489=CIRCLE('',#351048,0.200000000000001); #140490=CIRCLE('',#351049,0.0500000000000014); #140491=CIRCLE('',#351050,0.200000000000034); #140492=CIRCLE('',#351051,0.0499999999991504); #140493=CIRCLE('',#351054,0.0499999999991504); #140494=CIRCLE('',#351059,0.200000000000034); #140495=CIRCLE('',#351062,0.0500000000000014); #140496=CIRCLE('',#351067,0.200000000000001); #140497=CIRCLE('',#351073,0.085); #140498=CIRCLE('',#351074,0.085); #140499=CIRCLE('',#351091,0.13); #140500=CIRCLE('',#351092,0.0325); #140501=CIRCLE('',#351093,0.13); #140502=CIRCLE('',#351094,0.0325); #140503=CIRCLE('',#351099,0.0325); #140504=CIRCLE('',#351102,0.13); #140505=CIRCLE('',#351107,0.0325); #140506=CIRCLE('',#351110,0.13); #140507=CIRCLE('',#351113,0.13); #140508=CIRCLE('',#351114,0.0325); #140509=CIRCLE('',#351115,0.13); #140510=CIRCLE('',#351116,0.0325); #140511=CIRCLE('',#351121,0.0325); #140512=CIRCLE('',#351124,0.13); #140513=CIRCLE('',#351129,0.0325); #140514=CIRCLE('',#351132,0.13); #140515=CIRCLE('',#351135,0.13); #140516=CIRCLE('',#351136,0.0325); #140517=CIRCLE('',#351137,0.13); #140518=CIRCLE('',#351138,0.0325); #140519=CIRCLE('',#351143,0.0325); #140520=CIRCLE('',#351146,0.13); #140521=CIRCLE('',#351151,0.0325); #140522=CIRCLE('',#351154,0.13); #140523=CIRCLE('',#351157,0.13); #140524=CIRCLE('',#351158,0.0325); #140525=CIRCLE('',#351159,0.13); #140526=CIRCLE('',#351160,0.0325); #140527=CIRCLE('',#351165,0.0325); #140528=CIRCLE('',#351168,0.13); #140529=CIRCLE('',#351173,0.0325); #140530=CIRCLE('',#351176,0.13); #140531=CIRCLE('',#351179,0.13); #140532=CIRCLE('',#351180,0.0325); #140533=CIRCLE('',#351181,0.13); #140534=CIRCLE('',#351182,0.0325); #140535=CIRCLE('',#351187,0.0325); #140536=CIRCLE('',#351190,0.13); #140537=CIRCLE('',#351195,0.0325); #140538=CIRCLE('',#351198,0.13); #140539=CIRCLE('',#351204,0.04); #140540=CIRCLE('',#351205,0.04); #140541=CIRCLE('',#351206,0.04); #140542=CIRCLE('',#351208,0.04); #140543=CIRCLE('',#351209,0.04); #140544=CIRCLE('',#351210,0.04); #140545=CIRCLE('',#351212,0.04); #140546=CIRCLE('',#351213,0.04); #140547=CIRCLE('',#351214,0.04); #140548=CIRCLE('',#351216,0.04); #140549=CIRCLE('',#351217,0.04); #140550=CIRCLE('',#351218,0.04); #140551=CIRCLE('',#351220,0.04); #140552=CIRCLE('',#351221,0.04); #140553=CIRCLE('',#351222,0.04); #140554=CIRCLE('',#351225,0.04); #140555=CIRCLE('',#351226,0.04); #140556=CIRCLE('',#351227,0.04); #140557=CIRCLE('',#351229,0.04); #140558=CIRCLE('',#351230,0.0399999999999998); #140559=CIRCLE('',#351231,0.04); #140560=CIRCLE('',#351235,0.0399999999999999); #140561=CIRCLE('',#351236,0.04); #140562=CIRCLE('',#351237,0.04); #140563=CIRCLE('',#351254,0.04); #140564=CIRCLE('',#351255,0.04); #140565=CIRCLE('',#351256,0.04); #140566=CIRCLE('',#351258,0.04); #140567=CIRCLE('',#351259,0.04); #140568=CIRCLE('',#351260,0.04); #140569=CIRCLE('',#351262,0.0399999999999999); #140570=CIRCLE('',#351263,0.04); #140571=CIRCLE('',#351264,0.04); #140572=CIRCLE('',#351266,0.04); #140573=CIRCLE('',#351267,0.04); #140574=CIRCLE('',#351268,0.04); #140575=CIRCLE('',#351270,0.04); #140576=CIRCLE('',#351271,0.04); #140577=CIRCLE('',#351272,0.0399999999999998); #140578=CIRCLE('',#351275,0.04); #140579=CIRCLE('',#351276,0.04); #140580=CIRCLE('',#351277,0.04); #140581=CIRCLE('',#351279,0.04); #140582=CIRCLE('',#351280,0.0400000000000002); #140583=CIRCLE('',#351281,0.04); #140584=CIRCLE('',#351285,0.04); #140585=CIRCLE('',#351286,0.04); #140586=CIRCLE('',#351287,0.04); #140587=VERTEX_POINT('',#437971); #140588=VERTEX_POINT('',#437973); #140589=VERTEX_POINT('',#437977); #140590=VERTEX_POINT('',#437979); #140591=VERTEX_POINT('',#437983); #140592=VERTEX_POINT('',#437985); #140593=VERTEX_POINT('',#437989); #140594=VERTEX_POINT('',#437991); #140595=VERTEX_POINT('',#437995); #140596=VERTEX_POINT('',#437997); #140597=VERTEX_POINT('',#438001); #140598=VERTEX_POINT('',#438003); #140599=VERTEX_POINT('',#438007); #140600=VERTEX_POINT('',#438009); #140601=VERTEX_POINT('',#438013); #140602=VERTEX_POINT('',#438015); #140603=VERTEX_POINT('',#438019); #140604=VERTEX_POINT('',#438021); #140605=VERTEX_POINT('',#438025); #140606=VERTEX_POINT('',#438027); #140607=VERTEX_POINT('',#438031); #140608=VERTEX_POINT('',#438033); #140609=VERTEX_POINT('',#438037); #140610=VERTEX_POINT('',#438039); #140611=VERTEX_POINT('',#438043); #140612=VERTEX_POINT('',#438045); #140613=VERTEX_POINT('',#438049); #140614=VERTEX_POINT('',#438051); #140615=VERTEX_POINT('',#438055); #140616=VERTEX_POINT('',#438057); #140617=VERTEX_POINT('',#438061); #140618=VERTEX_POINT('',#438063); #140619=VERTEX_POINT('',#438067); #140620=VERTEX_POINT('',#438069); #140621=VERTEX_POINT('',#438073); #140622=VERTEX_POINT('',#438075); #140623=VERTEX_POINT('',#438079); #140624=VERTEX_POINT('',#438081); #140625=VERTEX_POINT('',#438085); #140626=VERTEX_POINT('',#438087); #140627=VERTEX_POINT('',#438091); #140628=VERTEX_POINT('',#438093); #140629=VERTEX_POINT('',#438097); #140630=VERTEX_POINT('',#438099); #140631=VERTEX_POINT('',#438103); #140632=VERTEX_POINT('',#438105); #140633=VERTEX_POINT('',#438109); #140634=VERTEX_POINT('',#438111); #140635=VERTEX_POINT('',#438115); #140636=VERTEX_POINT('',#438117); #140637=VERTEX_POINT('',#438121); #140638=VERTEX_POINT('',#438123); #140639=VERTEX_POINT('',#438127); #140640=VERTEX_POINT('',#438129); #140641=VERTEX_POINT('',#438133); #140642=VERTEX_POINT('',#438135); #140643=VERTEX_POINT('',#438139); #140644=VERTEX_POINT('',#438141); #140645=VERTEX_POINT('',#438145); #140646=VERTEX_POINT('',#438147); #140647=VERTEX_POINT('',#438151); #140648=VERTEX_POINT('',#438153); #140649=VERTEX_POINT('',#438157); #140650=VERTEX_POINT('',#438159); #140651=VERTEX_POINT('',#438163); #140652=VERTEX_POINT('',#438165); #140653=VERTEX_POINT('',#438169); #140654=VERTEX_POINT('',#438171); #140655=VERTEX_POINT('',#438175); #140656=VERTEX_POINT('',#438177); #140657=VERTEX_POINT('',#438181); #140658=VERTEX_POINT('',#438183); #140659=VERTEX_POINT('',#438187); #140660=VERTEX_POINT('',#438189); #140661=VERTEX_POINT('',#438193); #140662=VERTEX_POINT('',#438195); #140663=VERTEX_POINT('',#438199); #140664=VERTEX_POINT('',#438201); #140665=VERTEX_POINT('',#438205); #140666=VERTEX_POINT('',#438207); #140667=VERTEX_POINT('',#438211); #140668=VERTEX_POINT('',#438213); #140669=VERTEX_POINT('',#438217); #140670=VERTEX_POINT('',#438219); #140671=VERTEX_POINT('',#438223); #140672=VERTEX_POINT('',#438225); #140673=VERTEX_POINT('',#438229); #140674=VERTEX_POINT('',#438231); #140675=VERTEX_POINT('',#438235); #140676=VERTEX_POINT('',#438237); #140677=VERTEX_POINT('',#438241); #140678=VERTEX_POINT('',#438243); #140679=VERTEX_POINT('',#438247); #140680=VERTEX_POINT('',#438249); #140681=VERTEX_POINT('',#438253); #140682=VERTEX_POINT('',#438255); #140683=VERTEX_POINT('',#438259); #140684=VERTEX_POINT('',#438261); #140685=VERTEX_POINT('',#438265); #140686=VERTEX_POINT('',#438267); #140687=VERTEX_POINT('',#438271); #140688=VERTEX_POINT('',#438273); #140689=VERTEX_POINT('',#438277); #140690=VERTEX_POINT('',#438279); #140691=VERTEX_POINT('',#438283); #140692=VERTEX_POINT('',#438285); #140693=VERTEX_POINT('',#438289); #140694=VERTEX_POINT('',#438291); #140695=VERTEX_POINT('',#438295); #140696=VERTEX_POINT('',#438297); #140697=VERTEX_POINT('',#438301); #140698=VERTEX_POINT('',#438303); #140699=VERTEX_POINT('',#438307); #140700=VERTEX_POINT('',#438309); #140701=VERTEX_POINT('',#438313); #140702=VERTEX_POINT('',#438315); #140703=VERTEX_POINT('',#438319); #140704=VERTEX_POINT('',#438321); #140705=VERTEX_POINT('',#438325); #140706=VERTEX_POINT('',#438327); #140707=VERTEX_POINT('',#438331); #140708=VERTEX_POINT('',#438333); #140709=VERTEX_POINT('',#438337); #140710=VERTEX_POINT('',#438339); #140711=VERTEX_POINT('',#438343); #140712=VERTEX_POINT('',#438345); #140713=VERTEX_POINT('',#438349); #140714=VERTEX_POINT('',#438351); #140715=VERTEX_POINT('',#438355); #140716=VERTEX_POINT('',#438357); #140717=VERTEX_POINT('',#438361); #140718=VERTEX_POINT('',#438363); #140719=VERTEX_POINT('',#438367); #140720=VERTEX_POINT('',#438369); #140721=VERTEX_POINT('',#438373); #140722=VERTEX_POINT('',#438375); #140723=VERTEX_POINT('',#438379); #140724=VERTEX_POINT('',#438381); #140725=VERTEX_POINT('',#438385); #140726=VERTEX_POINT('',#438387); #140727=VERTEX_POINT('',#438391); #140728=VERTEX_POINT('',#438393); #140729=VERTEX_POINT('',#438397); #140730=VERTEX_POINT('',#438399); #140731=VERTEX_POINT('',#438403); #140732=VERTEX_POINT('',#438405); #140733=VERTEX_POINT('',#438409); #140734=VERTEX_POINT('',#438411); #140735=VERTEX_POINT('',#438415); #140736=VERTEX_POINT('',#438417); #140737=VERTEX_POINT('',#438421); #140738=VERTEX_POINT('',#438423); #140739=VERTEX_POINT('',#438427); #140740=VERTEX_POINT('',#438429); #140741=VERTEX_POINT('',#438433); #140742=VERTEX_POINT('',#438435); #140743=VERTEX_POINT('',#438439); #140744=VERTEX_POINT('',#438441); #140745=VERTEX_POINT('',#438445); #140746=VERTEX_POINT('',#438447); #140747=VERTEX_POINT('',#438451); #140748=VERTEX_POINT('',#438453); #140749=VERTEX_POINT('',#438457); #140750=VERTEX_POINT('',#438459); #140751=VERTEX_POINT('',#438463); #140752=VERTEX_POINT('',#438465); #140753=VERTEX_POINT('',#438469); #140754=VERTEX_POINT('',#438471); #140755=VERTEX_POINT('',#438475); #140756=VERTEX_POINT('',#438477); #140757=VERTEX_POINT('',#438481); #140758=VERTEX_POINT('',#438483); #140759=VERTEX_POINT('',#438487); #140760=VERTEX_POINT('',#438489); #140761=VERTEX_POINT('',#438493); #140762=VERTEX_POINT('',#438495); #140763=VERTEX_POINT('',#438499); #140764=VERTEX_POINT('',#438501); #140765=VERTEX_POINT('',#438505); #140766=VERTEX_POINT('',#438507); #140767=VERTEX_POINT('',#438511); #140768=VERTEX_POINT('',#438513); #140769=VERTEX_POINT('',#438517); #140770=VERTEX_POINT('',#438519); #140771=VERTEX_POINT('',#438523); #140772=VERTEX_POINT('',#438525); #140773=VERTEX_POINT('',#438529); #140774=VERTEX_POINT('',#438531); #140775=VERTEX_POINT('',#438535); #140776=VERTEX_POINT('',#438537); #140777=VERTEX_POINT('',#438541); #140778=VERTEX_POINT('',#438543); #140779=VERTEX_POINT('',#438547); #140780=VERTEX_POINT('',#438549); #140781=VERTEX_POINT('',#438553); #140782=VERTEX_POINT('',#438555); #140783=VERTEX_POINT('',#438559); #140784=VERTEX_POINT('',#438561); #140785=VERTEX_POINT('',#438565); #140786=VERTEX_POINT('',#438567); #140787=VERTEX_POINT('',#438571); #140788=VERTEX_POINT('',#438573); #140789=VERTEX_POINT('',#438577); #140790=VERTEX_POINT('',#438579); #140791=VERTEX_POINT('',#438583); #140792=VERTEX_POINT('',#438585); #140793=VERTEX_POINT('',#438589); #140794=VERTEX_POINT('',#438591); #140795=VERTEX_POINT('',#438595); #140796=VERTEX_POINT('',#438597); #140797=VERTEX_POINT('',#438601); #140798=VERTEX_POINT('',#438603); #140799=VERTEX_POINT('',#438607); #140800=VERTEX_POINT('',#438609); #140801=VERTEX_POINT('',#438613); #140802=VERTEX_POINT('',#438615); #140803=VERTEX_POINT('',#438619); #140804=VERTEX_POINT('',#438621); #140805=VERTEX_POINT('',#438625); #140806=VERTEX_POINT('',#438627); #140807=VERTEX_POINT('',#438631); #140808=VERTEX_POINT('',#438633); #140809=VERTEX_POINT('',#438637); #140810=VERTEX_POINT('',#438639); #140811=VERTEX_POINT('',#438643); #140812=VERTEX_POINT('',#438645); #140813=VERTEX_POINT('',#438649); #140814=VERTEX_POINT('',#438651); #140815=VERTEX_POINT('',#438655); #140816=VERTEX_POINT('',#438657); #140817=VERTEX_POINT('',#438661); #140818=VERTEX_POINT('',#438663); #140819=VERTEX_POINT('',#438667); #140820=VERTEX_POINT('',#438669); #140821=VERTEX_POINT('',#438673); #140822=VERTEX_POINT('',#438675); #140823=VERTEX_POINT('',#438679); #140824=VERTEX_POINT('',#438681); #140825=VERTEX_POINT('',#438685); #140826=VERTEX_POINT('',#438687); #140827=VERTEX_POINT('',#438691); #140828=VERTEX_POINT('',#438693); #140829=VERTEX_POINT('',#438697); #140830=VERTEX_POINT('',#438699); #140831=VERTEX_POINT('',#438703); #140832=VERTEX_POINT('',#438705); #140833=VERTEX_POINT('',#438709); #140834=VERTEX_POINT('',#438711); #140835=VERTEX_POINT('',#438715); #140836=VERTEX_POINT('',#438717); #140837=VERTEX_POINT('',#438721); #140838=VERTEX_POINT('',#438723); #140839=VERTEX_POINT('',#438727); #140840=VERTEX_POINT('',#438729); #140841=VERTEX_POINT('',#438733); #140842=VERTEX_POINT('',#438735); #140843=VERTEX_POINT('',#438739); #140844=VERTEX_POINT('',#438741); #140845=VERTEX_POINT('',#438745); #140846=VERTEX_POINT('',#438747); #140847=VERTEX_POINT('',#438751); #140848=VERTEX_POINT('',#438753); #140849=VERTEX_POINT('',#438757); #140850=VERTEX_POINT('',#438759); #140851=VERTEX_POINT('',#438763); #140852=VERTEX_POINT('',#438765); #140853=VERTEX_POINT('',#438769); #140854=VERTEX_POINT('',#438771); #140855=VERTEX_POINT('',#438775); #140856=VERTEX_POINT('',#438777); #140857=VERTEX_POINT('',#438781); #140858=VERTEX_POINT('',#438783); #140859=VERTEX_POINT('',#438787); #140860=VERTEX_POINT('',#438789); #140861=VERTEX_POINT('',#438793); #140862=VERTEX_POINT('',#438795); #140863=VERTEX_POINT('',#438799); #140864=VERTEX_POINT('',#438801); #140865=VERTEX_POINT('',#438805); #140866=VERTEX_POINT('',#438807); #140867=VERTEX_POINT('',#438811); #140868=VERTEX_POINT('',#438813); #140869=VERTEX_POINT('',#438817); #140870=VERTEX_POINT('',#438819); #140871=VERTEX_POINT('',#438823); #140872=VERTEX_POINT('',#438825); #140873=VERTEX_POINT('',#438829); #140874=VERTEX_POINT('',#438831); #140875=VERTEX_POINT('',#438835); #140876=VERTEX_POINT('',#438837); #140877=VERTEX_POINT('',#438841); #140878=VERTEX_POINT('',#438843); #140879=VERTEX_POINT('',#438847); #140880=VERTEX_POINT('',#438849); #140881=VERTEX_POINT('',#438853); #140882=VERTEX_POINT('',#438855); #140883=VERTEX_POINT('',#438859); #140884=VERTEX_POINT('',#438861); #140885=VERTEX_POINT('',#438865); #140886=VERTEX_POINT('',#438867); #140887=VERTEX_POINT('',#438871); #140888=VERTEX_POINT('',#438873); #140889=VERTEX_POINT('',#438877); #140890=VERTEX_POINT('',#438879); #140891=VERTEX_POINT('',#438883); #140892=VERTEX_POINT('',#438885); #140893=VERTEX_POINT('',#438889); #140894=VERTEX_POINT('',#438891); #140895=VERTEX_POINT('',#438895); #140896=VERTEX_POINT('',#438897); #140897=VERTEX_POINT('',#438901); #140898=VERTEX_POINT('',#438903); #140899=VERTEX_POINT('',#438907); #140900=VERTEX_POINT('',#438909); #140901=VERTEX_POINT('',#438913); #140902=VERTEX_POINT('',#438915); #140903=VERTEX_POINT('',#438919); #140904=VERTEX_POINT('',#438921); #140905=VERTEX_POINT('',#438925); #140906=VERTEX_POINT('',#438927); #140907=VERTEX_POINT('',#438931); #140908=VERTEX_POINT('',#438933); #140909=VERTEX_POINT('',#438937); #140910=VERTEX_POINT('',#438939); #140911=VERTEX_POINT('',#438943); #140912=VERTEX_POINT('',#438945); #140913=VERTEX_POINT('',#438949); #140914=VERTEX_POINT('',#438950); #140915=VERTEX_POINT('',#438952); #140916=VERTEX_POINT('',#438954); #140917=VERTEX_POINT('',#438958); #140918=VERTEX_POINT('',#438960); #140919=VERTEX_POINT('',#438964); #140920=VERTEX_POINT('',#438966); #140921=VERTEX_POINT('',#438973); #140922=VERTEX_POINT('',#438975); #140923=VERTEX_POINT('',#438979); #140924=VERTEX_POINT('',#438980); #140925=VERTEX_POINT('',#438982); #140926=VERTEX_POINT('',#438984); #140927=VERTEX_POINT('',#438988); #140928=VERTEX_POINT('',#438990); #140929=VERTEX_POINT('',#438994); #140930=VERTEX_POINT('',#438996); #140931=VERTEX_POINT('',#439003); #140932=VERTEX_POINT('',#439005); #140933=VERTEX_POINT('',#439009); #140934=VERTEX_POINT('',#439011); #140935=VERTEX_POINT('',#439015); #140936=VERTEX_POINT('',#439017); #140937=VERTEX_POINT('',#439021); #140938=VERTEX_POINT('',#439022); #140939=VERTEX_POINT('',#439024); #140940=VERTEX_POINT('',#439026); #140941=VERTEX_POINT('',#439030); #140942=VERTEX_POINT('',#439032); #140943=VERTEX_POINT('',#439036); #140944=VERTEX_POINT('',#439038); #140945=VERTEX_POINT('',#439045); #140946=VERTEX_POINT('',#439047); #140947=VERTEX_POINT('',#439051); #140948=VERTEX_POINT('',#439053); #140949=VERTEX_POINT('',#439057); #140950=VERTEX_POINT('',#439059); #140951=VERTEX_POINT('',#439063); #140952=VERTEX_POINT('',#439065); #140953=VERTEX_POINT('',#439069); #140954=VERTEX_POINT('',#439071); #140955=VERTEX_POINT('',#439075); #140956=VERTEX_POINT('',#439077); #140957=VERTEX_POINT('',#439081); #140958=VERTEX_POINT('',#439083); #140959=VERTEX_POINT('',#439087); #140960=VERTEX_POINT('',#439089); #140961=VERTEX_POINT('',#439093); #140962=VERTEX_POINT('',#439095); #140963=VERTEX_POINT('',#439099); #140964=VERTEX_POINT('',#439101); #140965=VERTEX_POINT('',#439105); #140966=VERTEX_POINT('',#439106); #140967=VERTEX_POINT('',#439108); #140968=VERTEX_POINT('',#439110); #140969=VERTEX_POINT('',#439114); #140970=VERTEX_POINT('',#439116); #140971=VERTEX_POINT('',#439120); #140972=VERTEX_POINT('',#439122); #140973=VERTEX_POINT('',#439129); #140974=VERTEX_POINT('',#439131); #140975=VERTEX_POINT('',#439135); #140976=VERTEX_POINT('',#439136); #140977=VERTEX_POINT('',#439138); #140978=VERTEX_POINT('',#439140); #140979=VERTEX_POINT('',#439144); #140980=VERTEX_POINT('',#439146); #140981=VERTEX_POINT('',#439150); #140982=VERTEX_POINT('',#439152); #140983=VERTEX_POINT('',#439159); #140984=VERTEX_POINT('',#439161); #140985=VERTEX_POINT('',#439165); #140986=VERTEX_POINT('',#439166); #140987=VERTEX_POINT('',#439168); #140988=VERTEX_POINT('',#439170); #140989=VERTEX_POINT('',#439174); #140990=VERTEX_POINT('',#439176); #140991=VERTEX_POINT('',#439180); #140992=VERTEX_POINT('',#439182); #140993=VERTEX_POINT('',#439189); #140994=VERTEX_POINT('',#439191); #140995=VERTEX_POINT('',#439195); #140996=VERTEX_POINT('',#439197); #140997=VERTEX_POINT('',#439201); #140998=VERTEX_POINT('',#439203); #140999=VERTEX_POINT('',#439207); #141000=VERTEX_POINT('',#439209); #141001=VERTEX_POINT('',#439213); #141002=VERTEX_POINT('',#439215); #141003=VERTEX_POINT('',#439219); #141004=VERTEX_POINT('',#439221); #141005=VERTEX_POINT('',#439225); #141006=VERTEX_POINT('',#439227); #141007=VERTEX_POINT('',#439231); #141008=VERTEX_POINT('',#439233); #141009=VERTEX_POINT('',#439237); #141010=VERTEX_POINT('',#439239); #141011=VERTEX_POINT('',#439243); #141012=VERTEX_POINT('',#439245); #141013=VERTEX_POINT('',#439249); #141014=VERTEX_POINT('',#439251); #141015=VERTEX_POINT('',#439255); #141016=VERTEX_POINT('',#439257); #141017=VERTEX_POINT('',#439261); #141018=VERTEX_POINT('',#439263); #141019=VERTEX_POINT('',#439267); #141020=VERTEX_POINT('',#439269); #141021=VERTEX_POINT('',#439273); #141022=VERTEX_POINT('',#439275); #141023=VERTEX_POINT('',#439279); #141024=VERTEX_POINT('',#439281); #141025=VERTEX_POINT('',#439285); #141026=VERTEX_POINT('',#439287); #141027=VERTEX_POINT('',#439291); #141028=VERTEX_POINT('',#439293); #141029=VERTEX_POINT('',#439297); #141030=VERTEX_POINT('',#439299); #141031=VERTEX_POINT('',#439303); #141032=VERTEX_POINT('',#439305); #141033=VERTEX_POINT('',#439309); #141034=VERTEX_POINT('',#439311); #141035=VERTEX_POINT('',#439315); #141036=VERTEX_POINT('',#439317); #141037=VERTEX_POINT('',#439321); #141038=VERTEX_POINT('',#439323); #141039=VERTEX_POINT('',#439327); #141040=VERTEX_POINT('',#439329); #141041=VERTEX_POINT('',#439333); #141042=VERTEX_POINT('',#439335); #141043=VERTEX_POINT('',#439339); #141044=VERTEX_POINT('',#439341); #141045=VERTEX_POINT('',#439345); #141046=VERTEX_POINT('',#439347); #141047=VERTEX_POINT('',#439351); #141048=VERTEX_POINT('',#439353); #141049=VERTEX_POINT('',#439357); #141050=VERTEX_POINT('',#439359); #141051=VERTEX_POINT('',#439363); #141052=VERTEX_POINT('',#439365); #141053=VERTEX_POINT('',#439369); #141054=VERTEX_POINT('',#439371); #141055=VERTEX_POINT('',#439375); #141056=VERTEX_POINT('',#439377); #141057=VERTEX_POINT('',#439381); #141058=VERTEX_POINT('',#439383); #141059=VERTEX_POINT('',#439387); #141060=VERTEX_POINT('',#439389); #141061=VERTEX_POINT('',#439393); #141062=VERTEX_POINT('',#439395); #141063=VERTEX_POINT('',#439399); #141064=VERTEX_POINT('',#439401); #141065=VERTEX_POINT('',#439405); #141066=VERTEX_POINT('',#439407); #141067=VERTEX_POINT('',#439411); #141068=VERTEX_POINT('',#439413); #141069=VERTEX_POINT('',#439417); #141070=VERTEX_POINT('',#439419); #141071=VERTEX_POINT('',#439423); #141072=VERTEX_POINT('',#439425); #141073=VERTEX_POINT('',#439429); #141074=VERTEX_POINT('',#439431); #141075=VERTEX_POINT('',#439435); #141076=VERTEX_POINT('',#439437); #141077=VERTEX_POINT('',#439441); #141078=VERTEX_POINT('',#439443); #141079=VERTEX_POINT('',#439447); #141080=VERTEX_POINT('',#439449); #141081=VERTEX_POINT('',#439453); #141082=VERTEX_POINT('',#439455); #141083=VERTEX_POINT('',#439459); #141084=VERTEX_POINT('',#439461); #141085=VERTEX_POINT('',#439465); #141086=VERTEX_POINT('',#439467); #141087=VERTEX_POINT('',#439471); #141088=VERTEX_POINT('',#439473); #141089=VERTEX_POINT('',#439477); #141090=VERTEX_POINT('',#439479); #141091=VERTEX_POINT('',#439483); #141092=VERTEX_POINT('',#439485); #141093=VERTEX_POINT('',#439489); #141094=VERTEX_POINT('',#439491); #141095=VERTEX_POINT('',#439495); #141096=VERTEX_POINT('',#439497); #141097=VERTEX_POINT('',#439501); #141098=VERTEX_POINT('',#439503); #141099=VERTEX_POINT('',#439507); #141100=VERTEX_POINT('',#439509); #141101=VERTEX_POINT('',#439513); #141102=VERTEX_POINT('',#439515); #141103=VERTEX_POINT('',#439519); #141104=VERTEX_POINT('',#439521); #141105=VERTEX_POINT('',#439525); #141106=VERTEX_POINT('',#439527); #141107=VERTEX_POINT('',#439531); #141108=VERTEX_POINT('',#439533); #141109=VERTEX_POINT('',#439537); #141110=VERTEX_POINT('',#439539); #141111=VERTEX_POINT('',#439543); #141112=VERTEX_POINT('',#439545); #141113=VERTEX_POINT('',#439549); #141114=VERTEX_POINT('',#439551); #141115=VERTEX_POINT('',#439555); #141116=VERTEX_POINT('',#439557); #141117=VERTEX_POINT('',#439561); #141118=VERTEX_POINT('',#439563); #141119=VERTEX_POINT('',#439567); #141120=VERTEX_POINT('',#439569); #141121=VERTEX_POINT('',#439573); #141122=VERTEX_POINT('',#439575); #141123=VERTEX_POINT('',#439579); #141124=VERTEX_POINT('',#439581); #141125=VERTEX_POINT('',#439585); #141126=VERTEX_POINT('',#439587); #141127=VERTEX_POINT('',#439591); #141128=VERTEX_POINT('',#439593); #141129=VERTEX_POINT('',#439597); #141130=VERTEX_POINT('',#439599); #141131=VERTEX_POINT('',#439603); #141132=VERTEX_POINT('',#439605); #141133=VERTEX_POINT('',#439609); #141134=VERTEX_POINT('',#439611); #141135=VERTEX_POINT('',#439615); #141136=VERTEX_POINT('',#439617); #141137=VERTEX_POINT('',#439621); #141138=VERTEX_POINT('',#439623); #141139=VERTEX_POINT('',#439627); #141140=VERTEX_POINT('',#439629); #141141=VERTEX_POINT('',#439633); #141142=VERTEX_POINT('',#439635); #141143=VERTEX_POINT('',#439639); #141144=VERTEX_POINT('',#439641); #141145=VERTEX_POINT('',#439645); #141146=VERTEX_POINT('',#439647); #141147=VERTEX_POINT('',#439651); #141148=VERTEX_POINT('',#439653); #141149=VERTEX_POINT('',#439657); #141150=VERTEX_POINT('',#439659); #141151=VERTEX_POINT('',#439663); #141152=VERTEX_POINT('',#439665); #141153=VERTEX_POINT('',#439669); #141154=VERTEX_POINT('',#439671); #141155=VERTEX_POINT('',#439675); #141156=VERTEX_POINT('',#439677); #141157=VERTEX_POINT('',#439681); #141158=VERTEX_POINT('',#439683); #141159=VERTEX_POINT('',#439687); #141160=VERTEX_POINT('',#439689); #141161=VERTEX_POINT('',#439693); #141162=VERTEX_POINT('',#439695); #141163=VERTEX_POINT('',#439699); #141164=VERTEX_POINT('',#439701); #141165=VERTEX_POINT('',#439705); #141166=VERTEX_POINT('',#439707); #141167=VERTEX_POINT('',#439711); #141168=VERTEX_POINT('',#439713); #141169=VERTEX_POINT('',#439717); #141170=VERTEX_POINT('',#439719); #141171=VERTEX_POINT('',#439723); #141172=VERTEX_POINT('',#439725); #141173=VERTEX_POINT('',#439729); #141174=VERTEX_POINT('',#439731); #141175=VERTEX_POINT('',#439735); #141176=VERTEX_POINT('',#439737); #141177=VERTEX_POINT('',#439741); #141178=VERTEX_POINT('',#439743); #141179=VERTEX_POINT('',#439747); #141180=VERTEX_POINT('',#439749); #141181=VERTEX_POINT('',#439753); #141182=VERTEX_POINT('',#439755); #141183=VERTEX_POINT('',#439759); #141184=VERTEX_POINT('',#439761); #141185=VERTEX_POINT('',#439765); #141186=VERTEX_POINT('',#439767); #141187=VERTEX_POINT('',#439771); #141188=VERTEX_POINT('',#439773); #141189=VERTEX_POINT('',#439777); #141190=VERTEX_POINT('',#439779); #141191=VERTEX_POINT('',#439783); #141192=VERTEX_POINT('',#439785); #141193=VERTEX_POINT('',#439789); #141194=VERTEX_POINT('',#439791); #141195=VERTEX_POINT('',#439795); #141196=VERTEX_POINT('',#439797); #141197=VERTEX_POINT('',#439801); #141198=VERTEX_POINT('',#439803); #141199=VERTEX_POINT('',#439807); #141200=VERTEX_POINT('',#439809); #141201=VERTEX_POINT('',#439813); #141202=VERTEX_POINT('',#439815); #141203=VERTEX_POINT('',#439819); #141204=VERTEX_POINT('',#439821); #141205=VERTEX_POINT('',#439825); #141206=VERTEX_POINT('',#439827); #141207=VERTEX_POINT('',#439831); #141208=VERTEX_POINT('',#439833); #141209=VERTEX_POINT('',#439837); #141210=VERTEX_POINT('',#439839); #141211=VERTEX_POINT('',#439843); #141212=VERTEX_POINT('',#439845); #141213=VERTEX_POINT('',#439849); #141214=VERTEX_POINT('',#439851); #141215=VERTEX_POINT('',#439855); #141216=VERTEX_POINT('',#439857); #141217=VERTEX_POINT('',#439861); #141218=VERTEX_POINT('',#439863); #141219=VERTEX_POINT('',#439867); #141220=VERTEX_POINT('',#439869); #141221=VERTEX_POINT('',#439873); #141222=VERTEX_POINT('',#439875); #141223=VERTEX_POINT('',#439879); #141224=VERTEX_POINT('',#439881); #141225=VERTEX_POINT('',#439885); #141226=VERTEX_POINT('',#439887); #141227=VERTEX_POINT('',#439891); #141228=VERTEX_POINT('',#439893); #141229=VERTEX_POINT('',#439897); #141230=VERTEX_POINT('',#439899); #141231=VERTEX_POINT('',#439903); #141232=VERTEX_POINT('',#439905); #141233=VERTEX_POINT('',#439909); #141234=VERTEX_POINT('',#439911); #141235=VERTEX_POINT('',#439915); #141236=VERTEX_POINT('',#439917); #141237=VERTEX_POINT('',#439921); #141238=VERTEX_POINT('',#439923); #141239=VERTEX_POINT('',#439927); #141240=VERTEX_POINT('',#439929); #141241=VERTEX_POINT('',#439933); #141242=VERTEX_POINT('',#439935); #141243=VERTEX_POINT('',#439939); #141244=VERTEX_POINT('',#439941); #141245=VERTEX_POINT('',#439945); #141246=VERTEX_POINT('',#439947); #141247=VERTEX_POINT('',#439951); #141248=VERTEX_POINT('',#439953); #141249=VERTEX_POINT('',#439957); #141250=VERTEX_POINT('',#439959); #141251=VERTEX_POINT('',#439963); #141252=VERTEX_POINT('',#439965); #141253=VERTEX_POINT('',#439969); #141254=VERTEX_POINT('',#439971); #141255=VERTEX_POINT('',#439975); #141256=VERTEX_POINT('',#439977); #141257=VERTEX_POINT('',#439981); #141258=VERTEX_POINT('',#439983); #141259=VERTEX_POINT('',#439989); #141260=VERTEX_POINT('',#439991); #141261=VERTEX_POINT('',#439995); #141262=VERTEX_POINT('',#439997); #141263=VERTEX_POINT('',#440003); #141264=VERTEX_POINT('',#440005); #141265=VERTEX_POINT('',#440009); #141266=VERTEX_POINT('',#440011); #141267=VERTEX_POINT('',#440017); #141268=VERTEX_POINT('',#440019); #141269=VERTEX_POINT('',#440023); #141270=VERTEX_POINT('',#440025); #141271=VERTEX_POINT('',#440031); #141272=VERTEX_POINT('',#440033); #141273=VERTEX_POINT('',#440037); #141274=VERTEX_POINT('',#440039); #141275=VERTEX_POINT('',#440045); #141276=VERTEX_POINT('',#440047); #141277=VERTEX_POINT('',#440051); #141278=VERTEX_POINT('',#440053); #141279=VERTEX_POINT('',#440059); #141280=VERTEX_POINT('',#440061); #141281=VERTEX_POINT('',#440065); #141282=VERTEX_POINT('',#440067); #141283=VERTEX_POINT('',#440073); #141284=VERTEX_POINT('',#440075); #141285=VERTEX_POINT('',#440079); #141286=VERTEX_POINT('',#440081); #141287=VERTEX_POINT('',#440087); #141288=VERTEX_POINT('',#440089); #141289=VERTEX_POINT('',#440093); #141290=VERTEX_POINT('',#440095); #141291=VERTEX_POINT('',#440101); #141292=VERTEX_POINT('',#440103); #141293=VERTEX_POINT('',#440107); #141294=VERTEX_POINT('',#440109); #141295=VERTEX_POINT('',#440115); #141296=VERTEX_POINT('',#440117); #141297=VERTEX_POINT('',#440121); #141298=VERTEX_POINT('',#440123); #141299=VERTEX_POINT('',#440129); #141300=VERTEX_POINT('',#440131); #141301=VERTEX_POINT('',#440135); #141302=VERTEX_POINT('',#440137); #141303=VERTEX_POINT('',#440143); #141304=VERTEX_POINT('',#440145); #141305=VERTEX_POINT('',#440149); #141306=VERTEX_POINT('',#440151); #141307=VERTEX_POINT('',#440157); #141308=VERTEX_POINT('',#440159); #141309=VERTEX_POINT('',#440163); #141310=VERTEX_POINT('',#440165); #141311=VERTEX_POINT('',#440171); #141312=VERTEX_POINT('',#440173); #141313=VERTEX_POINT('',#440177); #141314=VERTEX_POINT('',#440179); #141315=VERTEX_POINT('',#440185); #141316=VERTEX_POINT('',#440187); #141317=VERTEX_POINT('',#440191); #141318=VERTEX_POINT('',#440193); #141319=VERTEX_POINT('',#440199); #141320=VERTEX_POINT('',#440201); #141321=VERTEX_POINT('',#440205); #141322=VERTEX_POINT('',#440207); #141323=VERTEX_POINT('',#440213); #141324=VERTEX_POINT('',#440215); #141325=VERTEX_POINT('',#440219); #141326=VERTEX_POINT('',#440221); #141327=VERTEX_POINT('',#440227); #141328=VERTEX_POINT('',#440229); #141329=VERTEX_POINT('',#440233); #141330=VERTEX_POINT('',#440235); #141331=VERTEX_POINT('',#440241); #141332=VERTEX_POINT('',#440243); #141333=VERTEX_POINT('',#440247); #141334=VERTEX_POINT('',#440249); #141335=VERTEX_POINT('',#440255); #141336=VERTEX_POINT('',#440257); #141337=VERTEX_POINT('',#440261); #141338=VERTEX_POINT('',#440263); #141339=VERTEX_POINT('',#440269); #141340=VERTEX_POINT('',#440271); #141341=VERTEX_POINT('',#440275); #141342=VERTEX_POINT('',#440277); #141343=VERTEX_POINT('',#440283); #141344=VERTEX_POINT('',#440285); #141345=VERTEX_POINT('',#440289); #141346=VERTEX_POINT('',#440291); #141347=VERTEX_POINT('',#440297); #141348=VERTEX_POINT('',#440299); #141349=VERTEX_POINT('',#440303); #141350=VERTEX_POINT('',#440305); #141351=VERTEX_POINT('',#440311); #141352=VERTEX_POINT('',#440313); #141353=VERTEX_POINT('',#440317); #141354=VERTEX_POINT('',#440319); #141355=VERTEX_POINT('',#440325); #141356=VERTEX_POINT('',#440327); #141357=VERTEX_POINT('',#440331); #141358=VERTEX_POINT('',#440333); #141359=VERTEX_POINT('',#440339); #141360=VERTEX_POINT('',#440341); #141361=VERTEX_POINT('',#440345); #141362=VERTEX_POINT('',#440347); #141363=VERTEX_POINT('',#440353); #141364=VERTEX_POINT('',#440355); #141365=VERTEX_POINT('',#440359); #141366=VERTEX_POINT('',#440361); #141367=VERTEX_POINT('',#440367); #141368=VERTEX_POINT('',#440369); #141369=VERTEX_POINT('',#440373); #141370=VERTEX_POINT('',#440375); #141371=VERTEX_POINT('',#440381); #141372=VERTEX_POINT('',#440383); #141373=VERTEX_POINT('',#440387); #141374=VERTEX_POINT('',#440389); #141375=VERTEX_POINT('',#440395); #141376=VERTEX_POINT('',#440397); #141377=VERTEX_POINT('',#440401); #141378=VERTEX_POINT('',#440403); #141379=VERTEX_POINT('',#440409); #141380=VERTEX_POINT('',#440411); #141381=VERTEX_POINT('',#440415); #141382=VERTEX_POINT('',#440417); #141383=VERTEX_POINT('',#440423); #141384=VERTEX_POINT('',#440425); #141385=VERTEX_POINT('',#440429); #141386=VERTEX_POINT('',#440431); #141387=VERTEX_POINT('',#440437); #141388=VERTEX_POINT('',#440439); #141389=VERTEX_POINT('',#440443); #141390=VERTEX_POINT('',#440445); #141391=VERTEX_POINT('',#440451); #141392=VERTEX_POINT('',#440453); #141393=VERTEX_POINT('',#440457); #141394=VERTEX_POINT('',#440459); #141395=VERTEX_POINT('',#440465); #141396=VERTEX_POINT('',#440467); #141397=VERTEX_POINT('',#440471); #141398=VERTEX_POINT('',#440473); #141399=VERTEX_POINT('',#440479); #141400=VERTEX_POINT('',#440481); #141401=VERTEX_POINT('',#440485); #141402=VERTEX_POINT('',#440487); #141403=VERTEX_POINT('',#440493); #141404=VERTEX_POINT('',#440495); #141405=VERTEX_POINT('',#440499); #141406=VERTEX_POINT('',#440501); #141407=VERTEX_POINT('',#440507); #141408=VERTEX_POINT('',#440509); #141409=VERTEX_POINT('',#440513); #141410=VERTEX_POINT('',#440515); #141411=VERTEX_POINT('',#440521); #141412=VERTEX_POINT('',#440523); #141413=VERTEX_POINT('',#440527); #141414=VERTEX_POINT('',#440529); #141415=VERTEX_POINT('',#440535); #141416=VERTEX_POINT('',#440537); #141417=VERTEX_POINT('',#440541); #141418=VERTEX_POINT('',#440543); #141419=VERTEX_POINT('',#440549); #141420=VERTEX_POINT('',#440551); #141421=VERTEX_POINT('',#440555); #141422=VERTEX_POINT('',#440557); #141423=VERTEX_POINT('',#440563); #141424=VERTEX_POINT('',#440565); #141425=VERTEX_POINT('',#440569); #141426=VERTEX_POINT('',#440571); #141427=VERTEX_POINT('',#440577); #141428=VERTEX_POINT('',#440579); #141429=VERTEX_POINT('',#440583); #141430=VERTEX_POINT('',#440585); #141431=VERTEX_POINT('',#440591); #141432=VERTEX_POINT('',#440593); #141433=VERTEX_POINT('',#440597); #141434=VERTEX_POINT('',#440599); #141435=VERTEX_POINT('',#440605); #141436=VERTEX_POINT('',#440607); #141437=VERTEX_POINT('',#440611); #141438=VERTEX_POINT('',#440613); #141439=VERTEX_POINT('',#440619); #141440=VERTEX_POINT('',#440621); #141441=VERTEX_POINT('',#440625); #141442=VERTEX_POINT('',#440627); #141443=VERTEX_POINT('',#440633); #141444=VERTEX_POINT('',#440635); #141445=VERTEX_POINT('',#440639); #141446=VERTEX_POINT('',#440641); #141447=VERTEX_POINT('',#440647); #141448=VERTEX_POINT('',#440649); #141449=VERTEX_POINT('',#440653); #141450=VERTEX_POINT('',#440655); #141451=VERTEX_POINT('',#440661); #141452=VERTEX_POINT('',#440663); #141453=VERTEX_POINT('',#440667); #141454=VERTEX_POINT('',#440669); #141455=VERTEX_POINT('',#440675); #141456=VERTEX_POINT('',#440677); #141457=VERTEX_POINT('',#440681); #141458=VERTEX_POINT('',#440683); #141459=VERTEX_POINT('',#440689); #141460=VERTEX_POINT('',#440691); #141461=VERTEX_POINT('',#440695); #141462=VERTEX_POINT('',#440697); #141463=VERTEX_POINT('',#440703); #141464=VERTEX_POINT('',#440705); #141465=VERTEX_POINT('',#440709); #141466=VERTEX_POINT('',#440711); #141467=VERTEX_POINT('',#440717); #141468=VERTEX_POINT('',#440719); #141469=VERTEX_POINT('',#440723); #141470=VERTEX_POINT('',#440725); #141471=VERTEX_POINT('',#440731); #141472=VERTEX_POINT('',#440733); #141473=VERTEX_POINT('',#440737); #141474=VERTEX_POINT('',#440739); #141475=VERTEX_POINT('',#440745); #141476=VERTEX_POINT('',#440747); #141477=VERTEX_POINT('',#440751); #141478=VERTEX_POINT('',#440753); #141479=VERTEX_POINT('',#440759); #141480=VERTEX_POINT('',#440761); #141481=VERTEX_POINT('',#440765); #141482=VERTEX_POINT('',#440767); #141483=VERTEX_POINT('',#440773); #141484=VERTEX_POINT('',#440775); #141485=VERTEX_POINT('',#440779); #141486=VERTEX_POINT('',#440781); #141487=VERTEX_POINT('',#440787); #141488=VERTEX_POINT('',#440789); #141489=VERTEX_POINT('',#440793); #141490=VERTEX_POINT('',#440795); #141491=VERTEX_POINT('',#440801); #141492=VERTEX_POINT('',#440803); #141493=VERTEX_POINT('',#440807); #141494=VERTEX_POINT('',#440809); #141495=VERTEX_POINT('',#440815); #141496=VERTEX_POINT('',#440817); #141497=VERTEX_POINT('',#440821); #141498=VERTEX_POINT('',#440823); #141499=VERTEX_POINT('',#440829); #141500=VERTEX_POINT('',#440831); #141501=VERTEX_POINT('',#440835); #141502=VERTEX_POINT('',#440837); #141503=VERTEX_POINT('',#440843); #141504=VERTEX_POINT('',#440845); #141505=VERTEX_POINT('',#440849); #141506=VERTEX_POINT('',#440851); #141507=VERTEX_POINT('',#440857); #141508=VERTEX_POINT('',#440859); #141509=VERTEX_POINT('',#440863); #141510=VERTEX_POINT('',#440865); #141511=VERTEX_POINT('',#440871); #141512=VERTEX_POINT('',#440873); #141513=VERTEX_POINT('',#440877); #141514=VERTEX_POINT('',#440879); #141515=VERTEX_POINT('',#440885); #141516=VERTEX_POINT('',#440887); #141517=VERTEX_POINT('',#440891); #141518=VERTEX_POINT('',#440893); #141519=VERTEX_POINT('',#440899); #141520=VERTEX_POINT('',#440901); #141521=VERTEX_POINT('',#440905); #141522=VERTEX_POINT('',#440907); #141523=VERTEX_POINT('',#440913); #141524=VERTEX_POINT('',#440915); #141525=VERTEX_POINT('',#440919); #141526=VERTEX_POINT('',#440921); #141527=VERTEX_POINT('',#440927); #141528=VERTEX_POINT('',#440929); #141529=VERTEX_POINT('',#440933); #141530=VERTEX_POINT('',#440935); #141531=VERTEX_POINT('',#440941); #141532=VERTEX_POINT('',#440943); #141533=VERTEX_POINT('',#440947); #141534=VERTEX_POINT('',#440949); #141535=VERTEX_POINT('',#440955); #141536=VERTEX_POINT('',#440957); #141537=VERTEX_POINT('',#440961); #141538=VERTEX_POINT('',#440963); #141539=VERTEX_POINT('',#440969); #141540=VERTEX_POINT('',#440971); #141541=VERTEX_POINT('',#440975); #141542=VERTEX_POINT('',#440977); #141543=VERTEX_POINT('',#440983); #141544=VERTEX_POINT('',#440985); #141545=VERTEX_POINT('',#440989); #141546=VERTEX_POINT('',#440991); #141547=VERTEX_POINT('',#440997); #141548=VERTEX_POINT('',#440999); #141549=VERTEX_POINT('',#441003); #141550=VERTEX_POINT('',#441005); #141551=VERTEX_POINT('',#441011); #141552=VERTEX_POINT('',#441013); #141553=VERTEX_POINT('',#441017); #141554=VERTEX_POINT('',#441019); #141555=VERTEX_POINT('',#441025); #141556=VERTEX_POINT('',#441027); #141557=VERTEX_POINT('',#441031); #141558=VERTEX_POINT('',#441033); #141559=VERTEX_POINT('',#441039); #141560=VERTEX_POINT('',#441041); #141561=VERTEX_POINT('',#441045); #141562=VERTEX_POINT('',#441047); #141563=VERTEX_POINT('',#441053); #141564=VERTEX_POINT('',#441055); #141565=VERTEX_POINT('',#441059); #141566=VERTEX_POINT('',#441061); #141567=VERTEX_POINT('',#441067); #141568=VERTEX_POINT('',#441069); #141569=VERTEX_POINT('',#441073); #141570=VERTEX_POINT('',#441075); #141571=VERTEX_POINT('',#441081); #141572=VERTEX_POINT('',#441083); #141573=VERTEX_POINT('',#441087); #141574=VERTEX_POINT('',#441089); #141575=VERTEX_POINT('',#441095); #141576=VERTEX_POINT('',#441097); #141577=VERTEX_POINT('',#441101); #141578=VERTEX_POINT('',#441103); #141579=VERTEX_POINT('',#441109); #141580=VERTEX_POINT('',#441111); #141581=VERTEX_POINT('',#441115); #141582=VERTEX_POINT('',#441117); #141583=VERTEX_POINT('',#441123); #141584=VERTEX_POINT('',#441125); #141585=VERTEX_POINT('',#441129); #141586=VERTEX_POINT('',#441131); #141587=VERTEX_POINT('',#441137); #141588=VERTEX_POINT('',#441139); #141589=VERTEX_POINT('',#441143); #141590=VERTEX_POINT('',#441145); #141591=VERTEX_POINT('',#441151); #141592=VERTEX_POINT('',#441153); #141593=VERTEX_POINT('',#441157); #141594=VERTEX_POINT('',#441159); #141595=VERTEX_POINT('',#441165); #141596=VERTEX_POINT('',#441167); #141597=VERTEX_POINT('',#441171); #141598=VERTEX_POINT('',#441173); #141599=VERTEX_POINT('',#441179); #141600=VERTEX_POINT('',#441181); #141601=VERTEX_POINT('',#441185); #141602=VERTEX_POINT('',#441187); #141603=VERTEX_POINT('',#441193); #141604=VERTEX_POINT('',#441195); #141605=VERTEX_POINT('',#441199); #141606=VERTEX_POINT('',#441201); #141607=VERTEX_POINT('',#441207); #141608=VERTEX_POINT('',#441209); #141609=VERTEX_POINT('',#441213); #141610=VERTEX_POINT('',#441215); #141611=VERTEX_POINT('',#441221); #141612=VERTEX_POINT('',#441223); #141613=VERTEX_POINT('',#441227); #141614=VERTEX_POINT('',#441229); #141615=VERTEX_POINT('',#441235); #141616=VERTEX_POINT('',#441237); #141617=VERTEX_POINT('',#441241); #141618=VERTEX_POINT('',#441243); #141619=VERTEX_POINT('',#441249); #141620=VERTEX_POINT('',#441251); #141621=VERTEX_POINT('',#441255); #141622=VERTEX_POINT('',#441257); #141623=VERTEX_POINT('',#441263); #141624=VERTEX_POINT('',#441265); #141625=VERTEX_POINT('',#441269); #141626=VERTEX_POINT('',#441271); #141627=VERTEX_POINT('',#441277); #141628=VERTEX_POINT('',#441279); #141629=VERTEX_POINT('',#441283); #141630=VERTEX_POINT('',#441285); #141631=VERTEX_POINT('',#441291); #141632=VERTEX_POINT('',#441293); #141633=VERTEX_POINT('',#441297); #141634=VERTEX_POINT('',#441299); #141635=VERTEX_POINT('',#441305); #141636=VERTEX_POINT('',#441307); #141637=VERTEX_POINT('',#441311); #141638=VERTEX_POINT('',#441313); #141639=VERTEX_POINT('',#441319); #141640=VERTEX_POINT('',#441321); #141641=VERTEX_POINT('',#441325); #141642=VERTEX_POINT('',#441327); #141643=VERTEX_POINT('',#441333); #141644=VERTEX_POINT('',#441335); #141645=VERTEX_POINT('',#441339); #141646=VERTEX_POINT('',#441341); #141647=VERTEX_POINT('',#441347); #141648=VERTEX_POINT('',#441349); #141649=VERTEX_POINT('',#441353); #141650=VERTEX_POINT('',#441355); #141651=VERTEX_POINT('',#441361); #141652=VERTEX_POINT('',#441363); #141653=VERTEX_POINT('',#441367); #141654=VERTEX_POINT('',#441369); #141655=VERTEX_POINT('',#441375); #141656=VERTEX_POINT('',#441377); #141657=VERTEX_POINT('',#441381); #141658=VERTEX_POINT('',#441383); #141659=VERTEX_POINT('',#441389); #141660=VERTEX_POINT('',#441391); #141661=VERTEX_POINT('',#441395); #141662=VERTEX_POINT('',#441397); #141663=VERTEX_POINT('',#441403); #141664=VERTEX_POINT('',#441405); #141665=VERTEX_POINT('',#441409); #141666=VERTEX_POINT('',#441411); #141667=VERTEX_POINT('',#441417); #141668=VERTEX_POINT('',#441419); #141669=VERTEX_POINT('',#441423); #141670=VERTEX_POINT('',#441425); #141671=VERTEX_POINT('',#441431); #141672=VERTEX_POINT('',#441433); #141673=VERTEX_POINT('',#441437); #141674=VERTEX_POINT('',#441439); #141675=VERTEX_POINT('',#441445); #141676=VERTEX_POINT('',#441447); #141677=VERTEX_POINT('',#441451); #141678=VERTEX_POINT('',#441453); #141679=VERTEX_POINT('',#441459); #141680=VERTEX_POINT('',#441461); #141681=VERTEX_POINT('',#441465); #141682=VERTEX_POINT('',#441467); #141683=VERTEX_POINT('',#441473); #141684=VERTEX_POINT('',#441475); #141685=VERTEX_POINT('',#441479); #141686=VERTEX_POINT('',#441481); #141687=VERTEX_POINT('',#441487); #141688=VERTEX_POINT('',#441489); #141689=VERTEX_POINT('',#441493); #141690=VERTEX_POINT('',#441495); #141691=VERTEX_POINT('',#441501); #141692=VERTEX_POINT('',#441503); #141693=VERTEX_POINT('',#441507); #141694=VERTEX_POINT('',#441509); #141695=VERTEX_POINT('',#441515); #141696=VERTEX_POINT('',#441517); #141697=VERTEX_POINT('',#441521); #141698=VERTEX_POINT('',#441523); #141699=VERTEX_POINT('',#441529); #141700=VERTEX_POINT('',#441531); #141701=VERTEX_POINT('',#441535); #141702=VERTEX_POINT('',#441537); #141703=VERTEX_POINT('',#441543); #141704=VERTEX_POINT('',#441545); #141705=VERTEX_POINT('',#441549); #141706=VERTEX_POINT('',#441551); #141707=VERTEX_POINT('',#441557); #141708=VERTEX_POINT('',#441559); #141709=VERTEX_POINT('',#441563); #141710=VERTEX_POINT('',#441565); #141711=VERTEX_POINT('',#441571); #141712=VERTEX_POINT('',#441573); #141713=VERTEX_POINT('',#441577); #141714=VERTEX_POINT('',#441579); #141715=VERTEX_POINT('',#441585); #141716=VERTEX_POINT('',#441587); #141717=VERTEX_POINT('',#441591); #141718=VERTEX_POINT('',#441593); #141719=VERTEX_POINT('',#441599); #141720=VERTEX_POINT('',#441601); #141721=VERTEX_POINT('',#441605); #141722=VERTEX_POINT('',#441607); #141723=VERTEX_POINT('',#441613); #141724=VERTEX_POINT('',#441615); #141725=VERTEX_POINT('',#441619); #141726=VERTEX_POINT('',#441621); #141727=VERTEX_POINT('',#441627); #141728=VERTEX_POINT('',#441629); #141729=VERTEX_POINT('',#441633); #141730=VERTEX_POINT('',#441635); #141731=VERTEX_POINT('',#441641); #141732=VERTEX_POINT('',#441643); #141733=VERTEX_POINT('',#441647); #141734=VERTEX_POINT('',#441649); #141735=VERTEX_POINT('',#441655); #141736=VERTEX_POINT('',#441657); #141737=VERTEX_POINT('',#441661); #141738=VERTEX_POINT('',#441663); #141739=VERTEX_POINT('',#441669); #141740=VERTEX_POINT('',#441671); #141741=VERTEX_POINT('',#441675); #141742=VERTEX_POINT('',#441677); #141743=VERTEX_POINT('',#441683); #141744=VERTEX_POINT('',#441685); #141745=VERTEX_POINT('',#441689); #141746=VERTEX_POINT('',#441691); #141747=VERTEX_POINT('',#441697); #141748=VERTEX_POINT('',#441699); #141749=VERTEX_POINT('',#441703); #141750=VERTEX_POINT('',#441705); #141751=VERTEX_POINT('',#441711); #141752=VERTEX_POINT('',#441713); #141753=VERTEX_POINT('',#441717); #141754=VERTEX_POINT('',#441719); #141755=VERTEX_POINT('',#441725); #141756=VERTEX_POINT('',#441727); #141757=VERTEX_POINT('',#441731); #141758=VERTEX_POINT('',#441733); #141759=VERTEX_POINT('',#441739); #141760=VERTEX_POINT('',#441741); #141761=VERTEX_POINT('',#441745); #141762=VERTEX_POINT('',#441747); #141763=VERTEX_POINT('',#441753); #141764=VERTEX_POINT('',#441755); #141765=VERTEX_POINT('',#441759); #141766=VERTEX_POINT('',#441761); #141767=VERTEX_POINT('',#441767); #141768=VERTEX_POINT('',#441769); #141769=VERTEX_POINT('',#441773); #141770=VERTEX_POINT('',#441775); #141771=VERTEX_POINT('',#441781); #141772=VERTEX_POINT('',#441783); #141773=VERTEX_POINT('',#441787); #141774=VERTEX_POINT('',#441789); #141775=VERTEX_POINT('',#441795); #141776=VERTEX_POINT('',#441797); #141777=VERTEX_POINT('',#441801); #141778=VERTEX_POINT('',#441803); #141779=VERTEX_POINT('',#441809); #141780=VERTEX_POINT('',#441811); #141781=VERTEX_POINT('',#441815); #141782=VERTEX_POINT('',#441817); #141783=VERTEX_POINT('',#441823); #141784=VERTEX_POINT('',#441825); #141785=VERTEX_POINT('',#441829); #141786=VERTEX_POINT('',#441831); #141787=VERTEX_POINT('',#441837); #141788=VERTEX_POINT('',#441838); #141789=VERTEX_POINT('',#441840); #141790=VERTEX_POINT('',#441842); #141791=VERTEX_POINT('',#441846); #141792=VERTEX_POINT('',#441848); #141793=VERTEX_POINT('',#441852); #141794=VERTEX_POINT('',#441854); #141795=VERTEX_POINT('',#441861); #141796=VERTEX_POINT('',#441862); #141797=VERTEX_POINT('',#441864); #141798=VERTEX_POINT('',#441866); #141799=VERTEX_POINT('',#441870); #141800=VERTEX_POINT('',#441872); #141801=VERTEX_POINT('',#441876); #141802=VERTEX_POINT('',#441878); #141803=VERTEX_POINT('',#441887); #141804=VERTEX_POINT('',#441889); #141805=VERTEX_POINT('',#441893); #141806=VERTEX_POINT('',#441895); #141807=VERTEX_POINT('',#441901); #141808=VERTEX_POINT('',#441902); #141809=VERTEX_POINT('',#441904); #141810=VERTEX_POINT('',#441906); #141811=VERTEX_POINT('',#441910); #141812=VERTEX_POINT('',#441912); #141813=VERTEX_POINT('',#441916); #141814=VERTEX_POINT('',#441918); #141815=VERTEX_POINT('',#441925); #141816=VERTEX_POINT('',#441926); #141817=VERTEX_POINT('',#441928); #141818=VERTEX_POINT('',#441930); #141819=VERTEX_POINT('',#441934); #141820=VERTEX_POINT('',#441936); #141821=VERTEX_POINT('',#441940); #141822=VERTEX_POINT('',#441942); #141823=VERTEX_POINT('',#441951); #141824=VERTEX_POINT('',#441953); #141825=VERTEX_POINT('',#441957); #141826=VERTEX_POINT('',#441959); #141827=VERTEX_POINT('',#441965); #141828=VERTEX_POINT('',#441966); #141829=VERTEX_POINT('',#441968); #141830=VERTEX_POINT('',#441970); #141831=VERTEX_POINT('',#441974); #141832=VERTEX_POINT('',#441976); #141833=VERTEX_POINT('',#441980); #141834=VERTEX_POINT('',#441982); #141835=VERTEX_POINT('',#441989); #141836=VERTEX_POINT('',#441990); #141837=VERTEX_POINT('',#441992); #141838=VERTEX_POINT('',#441994); #141839=VERTEX_POINT('',#441998); #141840=VERTEX_POINT('',#442000); #141841=VERTEX_POINT('',#442004); #141842=VERTEX_POINT('',#442006); #141843=VERTEX_POINT('',#442015); #141844=VERTEX_POINT('',#442017); #141845=VERTEX_POINT('',#442021); #141846=VERTEX_POINT('',#442023); #141847=VERTEX_POINT('',#442029); #141848=VERTEX_POINT('',#442031); #141849=VERTEX_POINT('',#442035); #141850=VERTEX_POINT('',#442037); #141851=VERTEX_POINT('',#442043); #141852=VERTEX_POINT('',#442045); #141853=VERTEX_POINT('',#442049); #141854=VERTEX_POINT('',#442051); #141855=VERTEX_POINT('',#442057); #141856=VERTEX_POINT('',#442059); #141857=VERTEX_POINT('',#442063); #141858=VERTEX_POINT('',#442065); #141859=VERTEX_POINT('',#442071); #141860=VERTEX_POINT('',#442073); #141861=VERTEX_POINT('',#442077); #141862=VERTEX_POINT('',#442079); #141863=VERTEX_POINT('',#442085); #141864=VERTEX_POINT('',#442087); #141865=VERTEX_POINT('',#442091); #141866=VERTEX_POINT('',#442093); #141867=VERTEX_POINT('',#442099); #141868=VERTEX_POINT('',#442101); #141869=VERTEX_POINT('',#442105); #141870=VERTEX_POINT('',#442107); #141871=VERTEX_POINT('',#442113); #141872=VERTEX_POINT('',#442115); #141873=VERTEX_POINT('',#442119); #141874=VERTEX_POINT('',#442121); #141875=VERTEX_POINT('',#442127); #141876=VERTEX_POINT('',#442129); #141877=VERTEX_POINT('',#442133); #141878=VERTEX_POINT('',#442135); #141879=VERTEX_POINT('',#442141); #141880=VERTEX_POINT('',#442143); #141881=VERTEX_POINT('',#442147); #141882=VERTEX_POINT('',#442149); #141883=VERTEX_POINT('',#442155); #141884=VERTEX_POINT('',#442156); #141885=VERTEX_POINT('',#442158); #141886=VERTEX_POINT('',#442160); #141887=VERTEX_POINT('',#442164); #141888=VERTEX_POINT('',#442166); #141889=VERTEX_POINT('',#442170); #141890=VERTEX_POINT('',#442172); #141891=VERTEX_POINT('',#442179); #141892=VERTEX_POINT('',#442180); #141893=VERTEX_POINT('',#442182); #141894=VERTEX_POINT('',#442184); #141895=VERTEX_POINT('',#442188); #141896=VERTEX_POINT('',#442190); #141897=VERTEX_POINT('',#442194); #141898=VERTEX_POINT('',#442196); #141899=VERTEX_POINT('',#442205); #141900=VERTEX_POINT('',#442207); #141901=VERTEX_POINT('',#442211); #141902=VERTEX_POINT('',#442213); #141903=VERTEX_POINT('',#442219); #141904=VERTEX_POINT('',#442221); #141905=VERTEX_POINT('',#442225); #141906=VERTEX_POINT('',#442227); #141907=VERTEX_POINT('',#442233); #141908=VERTEX_POINT('',#442235); #141909=VERTEX_POINT('',#442239); #141910=VERTEX_POINT('',#442241); #141911=VERTEX_POINT('',#442247); #141912=VERTEX_POINT('',#442248); #141913=VERTEX_POINT('',#442250); #141914=VERTEX_POINT('',#442252); #141915=VERTEX_POINT('',#442256); #141916=VERTEX_POINT('',#442258); #141917=VERTEX_POINT('',#442262); #141918=VERTEX_POINT('',#442264); #141919=VERTEX_POINT('',#442271); #141920=VERTEX_POINT('',#442272); #141921=VERTEX_POINT('',#442274); #141922=VERTEX_POINT('',#442276); #141923=VERTEX_POINT('',#442280); #141924=VERTEX_POINT('',#442282); #141925=VERTEX_POINT('',#442286); #141926=VERTEX_POINT('',#442288); #141927=VERTEX_POINT('',#442297); #141928=VERTEX_POINT('',#442299); #141929=VERTEX_POINT('',#442303); #141930=VERTEX_POINT('',#442305); #141931=VERTEX_POINT('',#442311); #141932=VERTEX_POINT('',#442312); #141933=VERTEX_POINT('',#442314); #141934=VERTEX_POINT('',#442316); #141935=VERTEX_POINT('',#442320); #141936=VERTEX_POINT('',#442322); #141937=VERTEX_POINT('',#442326); #141938=VERTEX_POINT('',#442328); #141939=VERTEX_POINT('',#442335); #141940=VERTEX_POINT('',#442336); #141941=VERTEX_POINT('',#442338); #141942=VERTEX_POINT('',#442340); #141943=VERTEX_POINT('',#442344); #141944=VERTEX_POINT('',#442346); #141945=VERTEX_POINT('',#442350); #141946=VERTEX_POINT('',#442352); #141947=VERTEX_POINT('',#442361); #141948=VERTEX_POINT('',#442363); #141949=VERTEX_POINT('',#442367); #141950=VERTEX_POINT('',#442369); #141951=VERTEX_POINT('',#442375); #141952=VERTEX_POINT('',#442377); #141953=VERTEX_POINT('',#442381); #141954=VERTEX_POINT('',#442383); #141955=VERTEX_POINT('',#442389); #141956=VERTEX_POINT('',#442391); #141957=VERTEX_POINT('',#442395); #141958=VERTEX_POINT('',#442397); #141959=VERTEX_POINT('',#442403); #141960=VERTEX_POINT('',#442405); #141961=VERTEX_POINT('',#442409); #141962=VERTEX_POINT('',#442411); #141963=VERTEX_POINT('',#442417); #141964=VERTEX_POINT('',#442419); #141965=VERTEX_POINT('',#442423); #141966=VERTEX_POINT('',#442425); #141967=VERTEX_POINT('',#442431); #141968=VERTEX_POINT('',#442433); #141969=VERTEX_POINT('',#442437); #141970=VERTEX_POINT('',#442439); #141971=VERTEX_POINT('',#442445); #141972=VERTEX_POINT('',#442447); #141973=VERTEX_POINT('',#442451); #141974=VERTEX_POINT('',#442453); #141975=VERTEX_POINT('',#442459); #141976=VERTEX_POINT('',#442461); #141977=VERTEX_POINT('',#442465); #141978=VERTEX_POINT('',#442467); #141979=VERTEX_POINT('',#442473); #141980=VERTEX_POINT('',#442475); #141981=VERTEX_POINT('',#442479); #141982=VERTEX_POINT('',#442481); #141983=VERTEX_POINT('',#442487); #141984=VERTEX_POINT('',#442489); #141985=VERTEX_POINT('',#442493); #141986=VERTEX_POINT('',#442495); #141987=VERTEX_POINT('',#442501); #141988=VERTEX_POINT('',#442503); #141989=VERTEX_POINT('',#442507); #141990=VERTEX_POINT('',#442509); #141991=VERTEX_POINT('',#442515); #141992=VERTEX_POINT('',#442517); #141993=VERTEX_POINT('',#442521); #141994=VERTEX_POINT('',#442523); #141995=VERTEX_POINT('',#442529); #141996=VERTEX_POINT('',#442531); #141997=VERTEX_POINT('',#442535); #141998=VERTEX_POINT('',#442537); #141999=VERTEX_POINT('',#442543); #142000=VERTEX_POINT('',#442545); #142001=VERTEX_POINT('',#442549); #142002=VERTEX_POINT('',#442551); #142003=VERTEX_POINT('',#442557); #142004=VERTEX_POINT('',#442559); #142005=VERTEX_POINT('',#442563); #142006=VERTEX_POINT('',#442565); #142007=VERTEX_POINT('',#442571); #142008=VERTEX_POINT('',#442573); #142009=VERTEX_POINT('',#442577); #142010=VERTEX_POINT('',#442579); #142011=VERTEX_POINT('',#442585); #142012=VERTEX_POINT('',#442587); #142013=VERTEX_POINT('',#442591); #142014=VERTEX_POINT('',#442593); #142015=VERTEX_POINT('',#442599); #142016=VERTEX_POINT('',#442601); #142017=VERTEX_POINT('',#442605); #142018=VERTEX_POINT('',#442607); #142019=VERTEX_POINT('',#442613); #142020=VERTEX_POINT('',#442615); #142021=VERTEX_POINT('',#442619); #142022=VERTEX_POINT('',#442621); #142023=VERTEX_POINT('',#442627); #142024=VERTEX_POINT('',#442629); #142025=VERTEX_POINT('',#442633); #142026=VERTEX_POINT('',#442635); #142027=VERTEX_POINT('',#442641); #142028=VERTEX_POINT('',#442643); #142029=VERTEX_POINT('',#442647); #142030=VERTEX_POINT('',#442649); #142031=VERTEX_POINT('',#442655); #142032=VERTEX_POINT('',#442657); #142033=VERTEX_POINT('',#442661); #142034=VERTEX_POINT('',#442663); #142035=VERTEX_POINT('',#442669); #142036=VERTEX_POINT('',#442671); #142037=VERTEX_POINT('',#442675); #142038=VERTEX_POINT('',#442677); #142039=VERTEX_POINT('',#442683); #142040=VERTEX_POINT('',#442685); #142041=VERTEX_POINT('',#442689); #142042=VERTEX_POINT('',#442691); #142043=VERTEX_POINT('',#442697); #142044=VERTEX_POINT('',#442699); #142045=VERTEX_POINT('',#442703); #142046=VERTEX_POINT('',#442705); #142047=VERTEX_POINT('',#442711); #142048=VERTEX_POINT('',#442713); #142049=VERTEX_POINT('',#442717); #142050=VERTEX_POINT('',#442719); #142051=VERTEX_POINT('',#442725); #142052=VERTEX_POINT('',#442727); #142053=VERTEX_POINT('',#442731); #142054=VERTEX_POINT('',#442733); #142055=VERTEX_POINT('',#442739); #142056=VERTEX_POINT('',#442741); #142057=VERTEX_POINT('',#442745); #142058=VERTEX_POINT('',#442747); #142059=VERTEX_POINT('',#442753); #142060=VERTEX_POINT('',#442755); #142061=VERTEX_POINT('',#442759); #142062=VERTEX_POINT('',#442761); #142063=VERTEX_POINT('',#442767); #142064=VERTEX_POINT('',#442769); #142065=VERTEX_POINT('',#442773); #142066=VERTEX_POINT('',#442775); #142067=VERTEX_POINT('',#442781); #142068=VERTEX_POINT('',#442783); #142069=VERTEX_POINT('',#442787); #142070=VERTEX_POINT('',#442789); #142071=VERTEX_POINT('',#442795); #142072=VERTEX_POINT('',#442797); #142073=VERTEX_POINT('',#442801); #142074=VERTEX_POINT('',#442803); #142075=VERTEX_POINT('',#442809); #142076=VERTEX_POINT('',#442811); #142077=VERTEX_POINT('',#442815); #142078=VERTEX_POINT('',#442817); #142079=VERTEX_POINT('',#442823); #142080=VERTEX_POINT('',#442825); #142081=VERTEX_POINT('',#442829); #142082=VERTEX_POINT('',#442831); #142083=VERTEX_POINT('',#442837); #142084=VERTEX_POINT('',#442839); #142085=VERTEX_POINT('',#442843); #142086=VERTEX_POINT('',#442845); #142087=VERTEX_POINT('',#442851); #142088=VERTEX_POINT('',#442853); #142089=VERTEX_POINT('',#442857); #142090=VERTEX_POINT('',#442859); #142091=VERTEX_POINT('',#442865); #142092=VERTEX_POINT('',#442867); #142093=VERTEX_POINT('',#442871); #142094=VERTEX_POINT('',#442873); #142095=VERTEX_POINT('',#442879); #142096=VERTEX_POINT('',#442881); #142097=VERTEX_POINT('',#442885); #142098=VERTEX_POINT('',#442887); #142099=VERTEX_POINT('',#442893); #142100=VERTEX_POINT('',#442895); #142101=VERTEX_POINT('',#442899); #142102=VERTEX_POINT('',#442901); #142103=VERTEX_POINT('',#442907); #142104=VERTEX_POINT('',#442909); #142105=VERTEX_POINT('',#442913); #142106=VERTEX_POINT('',#442915); #142107=VERTEX_POINT('',#442921); #142108=VERTEX_POINT('',#442923); #142109=VERTEX_POINT('',#442927); #142110=VERTEX_POINT('',#442929); #142111=VERTEX_POINT('',#442935); #142112=VERTEX_POINT('',#442937); #142113=VERTEX_POINT('',#442941); #142114=VERTEX_POINT('',#442943); #142115=VERTEX_POINT('',#442949); #142116=VERTEX_POINT('',#442951); #142117=VERTEX_POINT('',#442955); #142118=VERTEX_POINT('',#442957); #142119=VERTEX_POINT('',#442963); #142120=VERTEX_POINT('',#442965); #142121=VERTEX_POINT('',#442969); #142122=VERTEX_POINT('',#442971); #142123=VERTEX_POINT('',#442977); #142124=VERTEX_POINT('',#442979); #142125=VERTEX_POINT('',#442983); #142126=VERTEX_POINT('',#442985); #142127=VERTEX_POINT('',#442991); #142128=VERTEX_POINT('',#442993); #142129=VERTEX_POINT('',#442997); #142130=VERTEX_POINT('',#442999); #142131=VERTEX_POINT('',#443005); #142132=VERTEX_POINT('',#443007); #142133=VERTEX_POINT('',#443011); #142134=VERTEX_POINT('',#443013); #142135=VERTEX_POINT('',#443019); #142136=VERTEX_POINT('',#443021); #142137=VERTEX_POINT('',#443025); #142138=VERTEX_POINT('',#443027); #142139=VERTEX_POINT('',#443033); #142140=VERTEX_POINT('',#443035); #142141=VERTEX_POINT('',#443039); #142142=VERTEX_POINT('',#443041); #142143=VERTEX_POINT('',#443047); #142144=VERTEX_POINT('',#443049); #142145=VERTEX_POINT('',#443053); #142146=VERTEX_POINT('',#443055); #142147=VERTEX_POINT('',#443061); #142148=VERTEX_POINT('',#443063); #142149=VERTEX_POINT('',#443067); #142150=VERTEX_POINT('',#443069); #142151=VERTEX_POINT('',#443075); #142152=VERTEX_POINT('',#443077); #142153=VERTEX_POINT('',#443081); #142154=VERTEX_POINT('',#443083); #142155=VERTEX_POINT('',#443089); #142156=VERTEX_POINT('',#443091); #142157=VERTEX_POINT('',#443095); #142158=VERTEX_POINT('',#443097); #142159=VERTEX_POINT('',#443103); #142160=VERTEX_POINT('',#443105); #142161=VERTEX_POINT('',#443109); #142162=VERTEX_POINT('',#443111); #142163=VERTEX_POINT('',#443117); #142164=VERTEX_POINT('',#443119); #142165=VERTEX_POINT('',#443123); #142166=VERTEX_POINT('',#443125); #142167=VERTEX_POINT('',#443131); #142168=VERTEX_POINT('',#443133); #142169=VERTEX_POINT('',#443137); #142170=VERTEX_POINT('',#443139); #142171=VERTEX_POINT('',#443145); #142172=VERTEX_POINT('',#443147); #142173=VERTEX_POINT('',#443151); #142174=VERTEX_POINT('',#443153); #142175=VERTEX_POINT('',#443159); #142176=VERTEX_POINT('',#443161); #142177=VERTEX_POINT('',#443165); #142178=VERTEX_POINT('',#443167); #142179=VERTEX_POINT('',#443173); #142180=VERTEX_POINT('',#443175); #142181=VERTEX_POINT('',#443179); #142182=VERTEX_POINT('',#443181); #142183=VERTEX_POINT('',#443187); #142184=VERTEX_POINT('',#443189); #142185=VERTEX_POINT('',#443193); #142186=VERTEX_POINT('',#443195); #142187=VERTEX_POINT('',#443201); #142188=VERTEX_POINT('',#443203); #142189=VERTEX_POINT('',#443207); #142190=VERTEX_POINT('',#443209); #142191=VERTEX_POINT('',#443215); #142192=VERTEX_POINT('',#443217); #142193=VERTEX_POINT('',#443221); #142194=VERTEX_POINT('',#443223); #142195=VERTEX_POINT('',#443229); #142196=VERTEX_POINT('',#443231); #142197=VERTEX_POINT('',#443235); #142198=VERTEX_POINT('',#443237); #142199=VERTEX_POINT('',#443243); #142200=VERTEX_POINT('',#443245); #142201=VERTEX_POINT('',#443249); #142202=VERTEX_POINT('',#443251); #142203=VERTEX_POINT('',#443257); #142204=VERTEX_POINT('',#443259); #142205=VERTEX_POINT('',#443263); #142206=VERTEX_POINT('',#443265); #142207=VERTEX_POINT('',#443271); #142208=VERTEX_POINT('',#443273); #142209=VERTEX_POINT('',#443277); #142210=VERTEX_POINT('',#443279); #142211=VERTEX_POINT('',#443285); #142212=VERTEX_POINT('',#443287); #142213=VERTEX_POINT('',#443291); #142214=VERTEX_POINT('',#443293); #142215=VERTEX_POINT('',#443299); #142216=VERTEX_POINT('',#443301); #142217=VERTEX_POINT('',#443305); #142218=VERTEX_POINT('',#443307); #142219=VERTEX_POINT('',#443313); #142220=VERTEX_POINT('',#443315); #142221=VERTEX_POINT('',#443319); #142222=VERTEX_POINT('',#443321); #142223=VERTEX_POINT('',#443327); #142224=VERTEX_POINT('',#443329); #142225=VERTEX_POINT('',#443333); #142226=VERTEX_POINT('',#443335); #142227=VERTEX_POINT('',#443341); #142228=VERTEX_POINT('',#443343); #142229=VERTEX_POINT('',#443347); #142230=VERTEX_POINT('',#443349); #142231=VERTEX_POINT('',#443355); #142232=VERTEX_POINT('',#443357); #142233=VERTEX_POINT('',#443361); #142234=VERTEX_POINT('',#443363); #142235=VERTEX_POINT('',#443369); #142236=VERTEX_POINT('',#443371); #142237=VERTEX_POINT('',#443375); #142238=VERTEX_POINT('',#443377); #142239=VERTEX_POINT('',#443383); #142240=VERTEX_POINT('',#443385); #142241=VERTEX_POINT('',#443389); #142242=VERTEX_POINT('',#443391); #142243=VERTEX_POINT('',#443397); #142244=VERTEX_POINT('',#443399); #142245=VERTEX_POINT('',#443403); #142246=VERTEX_POINT('',#443405); #142247=VERTEX_POINT('',#443411); #142248=VERTEX_POINT('',#443413); #142249=VERTEX_POINT('',#443417); #142250=VERTEX_POINT('',#443419); #142251=VERTEX_POINT('',#443425); #142252=VERTEX_POINT('',#443427); #142253=VERTEX_POINT('',#443431); #142254=VERTEX_POINT('',#443433); #142255=VERTEX_POINT('',#443439); #142256=VERTEX_POINT('',#443441); #142257=VERTEX_POINT('',#443445); #142258=VERTEX_POINT('',#443447); #142259=VERTEX_POINT('',#443453); #142260=VERTEX_POINT('',#443455); #142261=VERTEX_POINT('',#443459); #142262=VERTEX_POINT('',#443461); #142263=VERTEX_POINT('',#443467); #142264=VERTEX_POINT('',#443469); #142265=VERTEX_POINT('',#443473); #142266=VERTEX_POINT('',#443475); #142267=VERTEX_POINT('',#443481); #142268=VERTEX_POINT('',#443483); #142269=VERTEX_POINT('',#443487); #142270=VERTEX_POINT('',#443489); #142271=VERTEX_POINT('',#443495); #142272=VERTEX_POINT('',#443497); #142273=VERTEX_POINT('',#443501); #142274=VERTEX_POINT('',#443503); #142275=VERTEX_POINT('',#443509); #142276=VERTEX_POINT('',#443511); #142277=VERTEX_POINT('',#443515); #142278=VERTEX_POINT('',#443517); #142279=VERTEX_POINT('',#443523); #142280=VERTEX_POINT('',#443525); #142281=VERTEX_POINT('',#443529); #142282=VERTEX_POINT('',#443531); #142283=VERTEX_POINT('',#443537); #142284=VERTEX_POINT('',#443539); #142285=VERTEX_POINT('',#443543); #142286=VERTEX_POINT('',#443545); #142287=VERTEX_POINT('',#443551); #142288=VERTEX_POINT('',#443553); #142289=VERTEX_POINT('',#443557); #142290=VERTEX_POINT('',#443559); #142291=VERTEX_POINT('',#443565); #142292=VERTEX_POINT('',#443567); #142293=VERTEX_POINT('',#443571); #142294=VERTEX_POINT('',#443573); #142295=VERTEX_POINT('',#443579); #142296=VERTEX_POINT('',#443581); #142297=VERTEX_POINT('',#443585); #142298=VERTEX_POINT('',#443587); #142299=VERTEX_POINT('',#443593); #142300=VERTEX_POINT('',#443595); #142301=VERTEX_POINT('',#443599); #142302=VERTEX_POINT('',#443601); #142303=VERTEX_POINT('',#443607); #142304=VERTEX_POINT('',#443609); #142305=VERTEX_POINT('',#443613); #142306=VERTEX_POINT('',#443615); #142307=VERTEX_POINT('',#443621); #142308=VERTEX_POINT('',#443623); #142309=VERTEX_POINT('',#443627); #142310=VERTEX_POINT('',#443629); #142311=VERTEX_POINT('',#443635); #142312=VERTEX_POINT('',#443637); #142313=VERTEX_POINT('',#443641); #142314=VERTEX_POINT('',#443643); #142315=VERTEX_POINT('',#443649); #142316=VERTEX_POINT('',#443651); #142317=VERTEX_POINT('',#443655); #142318=VERTEX_POINT('',#443657); #142319=VERTEX_POINT('',#443663); #142320=VERTEX_POINT('',#443665); #142321=VERTEX_POINT('',#443669); #142322=VERTEX_POINT('',#443671); #142323=VERTEX_POINT('',#443677); #142324=VERTEX_POINT('',#443679); #142325=VERTEX_POINT('',#443683); #142326=VERTEX_POINT('',#443685); #142327=VERTEX_POINT('',#443691); #142328=VERTEX_POINT('',#443693); #142329=VERTEX_POINT('',#443697); #142330=VERTEX_POINT('',#443699); #142331=VERTEX_POINT('',#443705); #142332=VERTEX_POINT('',#443707); #142333=VERTEX_POINT('',#443711); #142334=VERTEX_POINT('',#443713); #142335=VERTEX_POINT('',#443719); #142336=VERTEX_POINT('',#443721); #142337=VERTEX_POINT('',#443725); #142338=VERTEX_POINT('',#443727); #142339=VERTEX_POINT('',#443733); #142340=VERTEX_POINT('',#443735); #142341=VERTEX_POINT('',#443739); #142342=VERTEX_POINT('',#443741); #142343=VERTEX_POINT('',#443747); #142344=VERTEX_POINT('',#443749); #142345=VERTEX_POINT('',#443753); #142346=VERTEX_POINT('',#443755); #142347=VERTEX_POINT('',#443761); #142348=VERTEX_POINT('',#443763); #142349=VERTEX_POINT('',#443767); #142350=VERTEX_POINT('',#443769); #142351=VERTEX_POINT('',#443775); #142352=VERTEX_POINT('',#443777); #142353=VERTEX_POINT('',#443781); #142354=VERTEX_POINT('',#443783); #142355=VERTEX_POINT('',#443789); #142356=VERTEX_POINT('',#443791); #142357=VERTEX_POINT('',#443795); #142358=VERTEX_POINT('',#443797); #142359=VERTEX_POINT('',#443803); #142360=VERTEX_POINT('',#443805); #142361=VERTEX_POINT('',#443809); #142362=VERTEX_POINT('',#443811); #142363=VERTEX_POINT('',#443817); #142364=VERTEX_POINT('',#443819); #142365=VERTEX_POINT('',#443823); #142366=VERTEX_POINT('',#443825); #142367=VERTEX_POINT('',#443831); #142368=VERTEX_POINT('',#443833); #142369=VERTEX_POINT('',#443837); #142370=VERTEX_POINT('',#443839); #142371=VERTEX_POINT('',#443845); #142372=VERTEX_POINT('',#443847); #142373=VERTEX_POINT('',#443851); #142374=VERTEX_POINT('',#443853); #142375=VERTEX_POINT('',#443859); #142376=VERTEX_POINT('',#443861); #142377=VERTEX_POINT('',#443865); #142378=VERTEX_POINT('',#443867); #142379=VERTEX_POINT('',#443873); #142380=VERTEX_POINT('',#443875); #142381=VERTEX_POINT('',#443879); #142382=VERTEX_POINT('',#443881); #142383=VERTEX_POINT('',#443887); #142384=VERTEX_POINT('',#443889); #142385=VERTEX_POINT('',#443893); #142386=VERTEX_POINT('',#443895); #142387=VERTEX_POINT('',#443901); #142388=VERTEX_POINT('',#443903); #142389=VERTEX_POINT('',#443907); #142390=VERTEX_POINT('',#443909); #142391=VERTEX_POINT('',#443915); #142392=VERTEX_POINT('',#443917); #142393=VERTEX_POINT('',#443921); #142394=VERTEX_POINT('',#443923); #142395=VERTEX_POINT('',#443929); #142396=VERTEX_POINT('',#443931); #142397=VERTEX_POINT('',#443935); #142398=VERTEX_POINT('',#443937); #142399=VERTEX_POINT('',#443943); #142400=VERTEX_POINT('',#443945); #142401=VERTEX_POINT('',#443949); #142402=VERTEX_POINT('',#443951); #142403=VERTEX_POINT('',#443957); #142404=VERTEX_POINT('',#443959); #142405=VERTEX_POINT('',#443963); #142406=VERTEX_POINT('',#443965); #142407=VERTEX_POINT('',#443971); #142408=VERTEX_POINT('',#443973); #142409=VERTEX_POINT('',#443977); #142410=VERTEX_POINT('',#443979); #142411=VERTEX_POINT('',#443985); #142412=VERTEX_POINT('',#443987); #142413=VERTEX_POINT('',#443991); #142414=VERTEX_POINT('',#443993); #142415=VERTEX_POINT('',#443999); #142416=VERTEX_POINT('',#444001); #142417=VERTEX_POINT('',#444005); #142418=VERTEX_POINT('',#444007); #142419=VERTEX_POINT('',#444013); #142420=VERTEX_POINT('',#444015); #142421=VERTEX_POINT('',#444019); #142422=VERTEX_POINT('',#444021); #142423=VERTEX_POINT('',#444027); #142424=VERTEX_POINT('',#444029); #142425=VERTEX_POINT('',#444033); #142426=VERTEX_POINT('',#444035); #142427=VERTEX_POINT('',#444041); #142428=VERTEX_POINT('',#444043); #142429=VERTEX_POINT('',#444047); #142430=VERTEX_POINT('',#444049); #142431=VERTEX_POINT('',#444055); #142432=VERTEX_POINT('',#444057); #142433=VERTEX_POINT('',#444061); #142434=VERTEX_POINT('',#444063); #142435=VERTEX_POINT('',#444069); #142436=VERTEX_POINT('',#444071); #142437=VERTEX_POINT('',#444075); #142438=VERTEX_POINT('',#444077); #142439=VERTEX_POINT('',#444083); #142440=VERTEX_POINT('',#444085); #142441=VERTEX_POINT('',#444089); #142442=VERTEX_POINT('',#444091); #142443=VERTEX_POINT('',#444097); #142444=VERTEX_POINT('',#444099); #142445=VERTEX_POINT('',#444103); #142446=VERTEX_POINT('',#444105); #142447=VERTEX_POINT('',#444111); #142448=VERTEX_POINT('',#444113); #142449=VERTEX_POINT('',#444117); #142450=VERTEX_POINT('',#444119); #142451=VERTEX_POINT('',#444125); #142452=VERTEX_POINT('',#444127); #142453=VERTEX_POINT('',#444131); #142454=VERTEX_POINT('',#444133); #142455=VERTEX_POINT('',#444139); #142456=VERTEX_POINT('',#444141); #142457=VERTEX_POINT('',#444145); #142458=VERTEX_POINT('',#444147); #142459=VERTEX_POINT('',#444153); #142460=VERTEX_POINT('',#444155); #142461=VERTEX_POINT('',#444159); #142462=VERTEX_POINT('',#444161); #142463=VERTEX_POINT('',#444167); #142464=VERTEX_POINT('',#444169); #142465=VERTEX_POINT('',#444173); #142466=VERTEX_POINT('',#444175); #142467=VERTEX_POINT('',#444181); #142468=VERTEX_POINT('',#444183); #142469=VERTEX_POINT('',#444187); #142470=VERTEX_POINT('',#444189); #142471=VERTEX_POINT('',#444195); #142472=VERTEX_POINT('',#444197); #142473=VERTEX_POINT('',#444201); #142474=VERTEX_POINT('',#444203); #142475=VERTEX_POINT('',#444209); #142476=VERTEX_POINT('',#444211); #142477=VERTEX_POINT('',#444215); #142478=VERTEX_POINT('',#444217); #142479=VERTEX_POINT('',#444223); #142480=VERTEX_POINT('',#444225); #142481=VERTEX_POINT('',#444229); #142482=VERTEX_POINT('',#444231); #142483=VERTEX_POINT('',#444237); #142484=VERTEX_POINT('',#444239); #142485=VERTEX_POINT('',#444243); #142486=VERTEX_POINT('',#444245); #142487=VERTEX_POINT('',#444251); #142488=VERTEX_POINT('',#444253); #142489=VERTEX_POINT('',#444257); #142490=VERTEX_POINT('',#444259); #142491=VERTEX_POINT('',#444265); #142492=VERTEX_POINT('',#444267); #142493=VERTEX_POINT('',#444271); #142494=VERTEX_POINT('',#444273); #142495=VERTEX_POINT('',#444279); #142496=VERTEX_POINT('',#444281); #142497=VERTEX_POINT('',#444285); #142498=VERTEX_POINT('',#444287); #142499=VERTEX_POINT('',#444293); #142500=VERTEX_POINT('',#444295); #142501=VERTEX_POINT('',#444299); #142502=VERTEX_POINT('',#444301); #142503=VERTEX_POINT('',#444307); #142504=VERTEX_POINT('',#444309); #142505=VERTEX_POINT('',#444313); #142506=VERTEX_POINT('',#444315); #142507=VERTEX_POINT('',#444321); #142508=VERTEX_POINT('',#444323); #142509=VERTEX_POINT('',#444327); #142510=VERTEX_POINT('',#444329); #142511=VERTEX_POINT('',#444335); #142512=VERTEX_POINT('',#444337); #142513=VERTEX_POINT('',#444341); #142514=VERTEX_POINT('',#444343); #142515=VERTEX_POINT('',#444349); #142516=VERTEX_POINT('',#444351); #142517=VERTEX_POINT('',#444355); #142518=VERTEX_POINT('',#444357); #142519=VERTEX_POINT('',#444363); #142520=VERTEX_POINT('',#444365); #142521=VERTEX_POINT('',#444369); #142522=VERTEX_POINT('',#444371); #142523=VERTEX_POINT('',#444377); #142524=VERTEX_POINT('',#444379); #142525=VERTEX_POINT('',#444383); #142526=VERTEX_POINT('',#444385); #142527=VERTEX_POINT('',#444391); #142528=VERTEX_POINT('',#444393); #142529=VERTEX_POINT('',#444397); #142530=VERTEX_POINT('',#444399); #142531=VERTEX_POINT('',#444405); #142532=VERTEX_POINT('',#444407); #142533=VERTEX_POINT('',#444411); #142534=VERTEX_POINT('',#444413); #142535=VERTEX_POINT('',#444419); #142536=VERTEX_POINT('',#444421); #142537=VERTEX_POINT('',#444425); #142538=VERTEX_POINT('',#444427); #142539=VERTEX_POINT('',#444433); #142540=VERTEX_POINT('',#444435); #142541=VERTEX_POINT('',#444439); #142542=VERTEX_POINT('',#444441); #142543=VERTEX_POINT('',#444447); #142544=VERTEX_POINT('',#444449); #142545=VERTEX_POINT('',#444453); #142546=VERTEX_POINT('',#444455); #142547=VERTEX_POINT('',#444461); #142548=VERTEX_POINT('',#444463); #142549=VERTEX_POINT('',#444467); #142550=VERTEX_POINT('',#444469); #142551=VERTEX_POINT('',#444475); #142552=VERTEX_POINT('',#444477); #142553=VERTEX_POINT('',#444481); #142554=VERTEX_POINT('',#444483); #142555=VERTEX_POINT('',#444489); #142556=VERTEX_POINT('',#444491); #142557=VERTEX_POINT('',#444495); #142558=VERTEX_POINT('',#444497); #142559=VERTEX_POINT('',#444503); #142560=VERTEX_POINT('',#444505); #142561=VERTEX_POINT('',#444509); #142562=VERTEX_POINT('',#444511); #142563=VERTEX_POINT('',#444517); #142564=VERTEX_POINT('',#444519); #142565=VERTEX_POINT('',#444523); #142566=VERTEX_POINT('',#444525); #142567=VERTEX_POINT('',#444531); #142568=VERTEX_POINT('',#444533); #142569=VERTEX_POINT('',#444537); #142570=VERTEX_POINT('',#444539); #142571=VERTEX_POINT('',#444545); #142572=VERTEX_POINT('',#444547); #142573=VERTEX_POINT('',#444551); #142574=VERTEX_POINT('',#444553); #142575=VERTEX_POINT('',#444559); #142576=VERTEX_POINT('',#444561); #142577=VERTEX_POINT('',#444565); #142578=VERTEX_POINT('',#444567); #142579=VERTEX_POINT('',#444573); #142580=VERTEX_POINT('',#444575); #142581=VERTEX_POINT('',#444579); #142582=VERTEX_POINT('',#444581); #142583=VERTEX_POINT('',#444587); #142584=VERTEX_POINT('',#444589); #142585=VERTEX_POINT('',#444593); #142586=VERTEX_POINT('',#444595); #142587=VERTEX_POINT('',#444601); #142588=VERTEX_POINT('',#444603); #142589=VERTEX_POINT('',#444607); #142590=VERTEX_POINT('',#444609); #142591=VERTEX_POINT('',#444616); #142592=VERTEX_POINT('',#444618); #142593=VERTEX_POINT('',#444622); #142594=VERTEX_POINT('',#444623); #142595=VERTEX_POINT('',#444625); #142596=VERTEX_POINT('',#444627); #142597=VERTEX_POINT('',#444631); #142598=VERTEX_POINT('',#444633); #142599=VERTEX_POINT('',#444642); #142600=VERTEX_POINT('',#444643); #142601=VERTEX_POINT('',#444645); #142602=VERTEX_POINT('',#444647); #142603=VERTEX_POINT('',#444651); #142604=VERTEX_POINT('',#444653); #142605=VERTEX_POINT('',#444657); #142606=VERTEX_POINT('',#444659); #142607=VERTEX_POINT('',#444668); #142608=VERTEX_POINT('',#444669); #142609=VERTEX_POINT('',#444671); #142610=VERTEX_POINT('',#444673); #142611=VERTEX_POINT('',#444677); #142612=VERTEX_POINT('',#444679); #142613=VERTEX_POINT('',#444683); #142614=VERTEX_POINT('',#444685); #142615=VERTEX_POINT('',#444694); #142616=VERTEX_POINT('',#444695); #142617=VERTEX_POINT('',#444697); #142618=VERTEX_POINT('',#444699); #142619=VERTEX_POINT('',#444703); #142620=VERTEX_POINT('',#444705); #142621=VERTEX_POINT('',#444709); #142622=VERTEX_POINT('',#444711); #142623=VERTEX_POINT('',#444720); #142624=VERTEX_POINT('',#444721); #142625=VERTEX_POINT('',#444723); #142626=VERTEX_POINT('',#444725); #142627=VERTEX_POINT('',#444729); #142628=VERTEX_POINT('',#444731); #142629=VERTEX_POINT('',#444735); #142630=VERTEX_POINT('',#444737); #142631=VERTEX_POINT('',#444746); #142632=VERTEX_POINT('',#444747); #142633=VERTEX_POINT('',#444749); #142634=VERTEX_POINT('',#444751); #142635=VERTEX_POINT('',#444755); #142636=VERTEX_POINT('',#444757); #142637=VERTEX_POINT('',#444761); #142638=VERTEX_POINT('',#444763); #142639=VERTEX_POINT('',#444772); #142640=VERTEX_POINT('',#444773); #142641=VERTEX_POINT('',#444775); #142642=VERTEX_POINT('',#444777); #142643=VERTEX_POINT('',#444781); #142644=VERTEX_POINT('',#444783); #142645=VERTEX_POINT('',#444787); #142646=VERTEX_POINT('',#444789); #142647=VERTEX_POINT('',#444798); #142648=VERTEX_POINT('',#444799); #142649=VERTEX_POINT('',#444801); #142650=VERTEX_POINT('',#444803); #142651=VERTEX_POINT('',#444807); #142652=VERTEX_POINT('',#444809); #142653=VERTEX_POINT('',#444813); #142654=VERTEX_POINT('',#444815); #142655=VERTEX_POINT('',#444824); #142656=VERTEX_POINT('',#444825); #142657=VERTEX_POINT('',#444827); #142658=VERTEX_POINT('',#444829); #142659=VERTEX_POINT('',#444833); #142660=VERTEX_POINT('',#444835); #142661=VERTEX_POINT('',#444839); #142662=VERTEX_POINT('',#444841); #142663=VERTEX_POINT('',#444850); #142664=VERTEX_POINT('',#444851); #142665=VERTEX_POINT('',#444853); #142666=VERTEX_POINT('',#444855); #142667=VERTEX_POINT('',#444859); #142668=VERTEX_POINT('',#444861); #142669=VERTEX_POINT('',#444865); #142670=VERTEX_POINT('',#444867); #142671=VERTEX_POINT('',#444876); #142672=VERTEX_POINT('',#444877); #142673=VERTEX_POINT('',#444879); #142674=VERTEX_POINT('',#444881); #142675=VERTEX_POINT('',#444885); #142676=VERTEX_POINT('',#444887); #142677=VERTEX_POINT('',#444891); #142678=VERTEX_POINT('',#444893); #142679=VERTEX_POINT('',#444902); #142680=VERTEX_POINT('',#444904); #142681=VERTEX_POINT('',#444908); #142682=VERTEX_POINT('',#444909); #142683=VERTEX_POINT('',#444911); #142684=VERTEX_POINT('',#444913); #142685=VERTEX_POINT('',#444917); #142686=VERTEX_POINT('',#444919); #142687=VERTEX_POINT('',#444928); #142688=VERTEX_POINT('',#444930); #142689=VERTEX_POINT('',#444934); #142690=VERTEX_POINT('',#444936); #142691=VERTEX_POINT('',#444940); #142692=VERTEX_POINT('',#444941); #142693=VERTEX_POINT('',#444943); #142694=VERTEX_POINT('',#444945); #142695=VERTEX_POINT('',#444949); #142696=VERTEX_POINT('',#444951); #142697=VERTEX_POINT('',#444955); #142698=VERTEX_POINT('',#444957); #142699=VERTEX_POINT('',#444961); #142700=VERTEX_POINT('',#444963); #142701=VERTEX_POINT('',#444967); #142702=VERTEX_POINT('',#444969); #142703=VERTEX_POINT('',#444973); #142704=VERTEX_POINT('',#444975); #142705=VERTEX_POINT('',#444979); #142706=VERTEX_POINT('',#444981); #142707=VERTEX_POINT('',#444990); #142708=VERTEX_POINT('',#444992); #142709=VERTEX_POINT('',#444996); #142710=VERTEX_POINT('',#444997); #142711=VERTEX_POINT('',#444999); #142712=VERTEX_POINT('',#445001); #142713=VERTEX_POINT('',#445005); #142714=VERTEX_POINT('',#445007); #142715=VERTEX_POINT('',#445016); #142716=VERTEX_POINT('',#445018); #142717=VERTEX_POINT('',#445022); #142718=VERTEX_POINT('',#445023); #142719=VERTEX_POINT('',#445025); #142720=VERTEX_POINT('',#445027); #142721=VERTEX_POINT('',#445031); #142722=VERTEX_POINT('',#445033); #142723=VERTEX_POINT('',#445042); #142724=VERTEX_POINT('',#445044); #142725=VERTEX_POINT('',#445048); #142726=VERTEX_POINT('',#445049); #142727=VERTEX_POINT('',#445051); #142728=VERTEX_POINT('',#445053); #142729=VERTEX_POINT('',#445057); #142730=VERTEX_POINT('',#445059); #142731=VERTEX_POINT('',#445063); #142732=VERTEX_POINT('',#445065); #142733=VERTEX_POINT('',#445069); #142734=VERTEX_POINT('',#445071); #142735=VERTEX_POINT('',#445075); #142736=VERTEX_POINT('',#445077); #142737=VERTEX_POINT('',#445081); #142738=VERTEX_POINT('',#445083); #142739=VERTEX_POINT('',#445087); #142740=VERTEX_POINT('',#445089); #142741=VERTEX_POINT('',#445093); #142742=VERTEX_POINT('',#445095); #142743=VERTEX_POINT('',#445099); #142744=VERTEX_POINT('',#445101); #142745=VERTEX_POINT('',#445105); #142746=VERTEX_POINT('',#445107); #142747=VERTEX_POINT('',#445111); #142748=VERTEX_POINT('',#445113); #142749=VERTEX_POINT('',#445117); #142750=VERTEX_POINT('',#445119); #142751=VERTEX_POINT('',#445128); #142752=VERTEX_POINT('',#445130); #142753=VERTEX_POINT('',#445134); #142754=VERTEX_POINT('',#445135); #142755=VERTEX_POINT('',#445137); #142756=VERTEX_POINT('',#445139); #142757=VERTEX_POINT('',#445143); #142758=VERTEX_POINT('',#445145); #142759=VERTEX_POINT('',#445149); #142760=VERTEX_POINT('',#445151); #142761=VERTEX_POINT('',#445155); #142762=VERTEX_POINT('',#445157); #142763=VERTEX_POINT('',#445161); #142764=VERTEX_POINT('',#445163); #142765=VERTEX_POINT('',#445167); #142766=VERTEX_POINT('',#445169); #142767=VERTEX_POINT('',#445173); #142768=VERTEX_POINT('',#445175); #142769=VERTEX_POINT('',#445179); #142770=VERTEX_POINT('',#445181); #142771=VERTEX_POINT('',#445185); #142772=VERTEX_POINT('',#445187); #142773=VERTEX_POINT('',#445196); #142774=VERTEX_POINT('',#445197); #142775=VERTEX_POINT('',#445199); #142776=VERTEX_POINT('',#445201); #142777=VERTEX_POINT('',#445205); #142778=VERTEX_POINT('',#445207); #142779=VERTEX_POINT('',#445211); #142780=VERTEX_POINT('',#445213); #142781=VERTEX_POINT('',#445217); #142782=VERTEX_POINT('',#445219); #142783=VERTEX_POINT('',#445223); #142784=VERTEX_POINT('',#445225); #142785=VERTEX_POINT('',#445229); #142786=VERTEX_POINT('',#445231); #142787=VERTEX_POINT('',#445235); #142788=VERTEX_POINT('',#445237); #142789=VERTEX_POINT('',#445241); #142790=VERTEX_POINT('',#445243); #142791=VERTEX_POINT('',#445247); #142792=VERTEX_POINT('',#445249); #142793=VERTEX_POINT('',#445253); #142794=VERTEX_POINT('',#445255); #142795=VERTEX_POINT('',#445259); #142796=VERTEX_POINT('',#445261); #142797=VERTEX_POINT('',#445265); #142798=VERTEX_POINT('',#445267); #142799=VERTEX_POINT('',#445271); #142800=VERTEX_POINT('',#445273); #142801=VERTEX_POINT('',#445277); #142802=VERTEX_POINT('',#445279); #142803=VERTEX_POINT('',#445283); #142804=VERTEX_POINT('',#445285); #142805=VERTEX_POINT('',#445289); #142806=VERTEX_POINT('',#445291); #142807=VERTEX_POINT('',#445295); #142808=VERTEX_POINT('',#445297); #142809=VERTEX_POINT('',#445301); #142810=VERTEX_POINT('',#445303); #142811=VERTEX_POINT('',#445307); #142812=VERTEX_POINT('',#445309); #142813=VERTEX_POINT('',#445318); #142814=VERTEX_POINT('',#445319); #142815=VERTEX_POINT('',#445321); #142816=VERTEX_POINT('',#445323); #142817=VERTEX_POINT('',#445327); #142818=VERTEX_POINT('',#445329); #142819=VERTEX_POINT('',#445333); #142820=VERTEX_POINT('',#445335); #142821=VERTEX_POINT('',#445339); #142822=VERTEX_POINT('',#445341); #142823=VERTEX_POINT('',#445345); #142824=VERTEX_POINT('',#445347); #142825=VERTEX_POINT('',#445351); #142826=VERTEX_POINT('',#445353); #142827=VERTEX_POINT('',#445357); #142828=VERTEX_POINT('',#445359); #142829=VERTEX_POINT('',#445363); #142830=VERTEX_POINT('',#445365); #142831=VERTEX_POINT('',#445369); #142832=VERTEX_POINT('',#445371); #142833=VERTEX_POINT('',#445375); #142834=VERTEX_POINT('',#445377); #142835=VERTEX_POINT('',#445381); #142836=VERTEX_POINT('',#445383); #142837=VERTEX_POINT('',#445387); #142838=VERTEX_POINT('',#445389); #142839=VERTEX_POINT('',#445393); #142840=VERTEX_POINT('',#445395); #142841=VERTEX_POINT('',#445399); #142842=VERTEX_POINT('',#445401); #142843=VERTEX_POINT('',#445405); #142844=VERTEX_POINT('',#445407); #142845=VERTEX_POINT('',#445411); #142846=VERTEX_POINT('',#445413); #142847=VERTEX_POINT('',#445417); #142848=VERTEX_POINT('',#445419); #142849=VERTEX_POINT('',#445423); #142850=VERTEX_POINT('',#445425); #142851=VERTEX_POINT('',#445429); #142852=VERTEX_POINT('',#445431); #142853=VERTEX_POINT('',#445435); #142854=VERTEX_POINT('',#445437); #142855=VERTEX_POINT('',#445441); #142856=VERTEX_POINT('',#445443); #142857=VERTEX_POINT('',#445447); #142858=VERTEX_POINT('',#445449); #142859=VERTEX_POINT('',#445453); #142860=VERTEX_POINT('',#445455); #142861=VERTEX_POINT('',#445459); #142862=VERTEX_POINT('',#445461); #142863=VERTEX_POINT('',#445465); #142864=VERTEX_POINT('',#445467); #142865=VERTEX_POINT('',#445471); #142866=VERTEX_POINT('',#445473); #142867=VERTEX_POINT('',#445477); #142868=VERTEX_POINT('',#445479); #142869=VERTEX_POINT('',#445483); #142870=VERTEX_POINT('',#445485); #142871=VERTEX_POINT('',#445489); #142872=VERTEX_POINT('',#445491); #142873=VERTEX_POINT('',#445495); #142874=VERTEX_POINT('',#445497); #142875=VERTEX_POINT('',#445501); #142876=VERTEX_POINT('',#445503); #142877=VERTEX_POINT('',#445507); #142878=VERTEX_POINT('',#445509); #142879=VERTEX_POINT('',#445513); #142880=VERTEX_POINT('',#445515); #142881=VERTEX_POINT('',#445519); #142882=VERTEX_POINT('',#445521); #142883=VERTEX_POINT('',#445525); #142884=VERTEX_POINT('',#445527); #142885=VERTEX_POINT('',#445536); #142886=VERTEX_POINT('',#445537); #142887=VERTEX_POINT('',#445539); #142888=VERTEX_POINT('',#445541); #142889=VERTEX_POINT('',#445545); #142890=VERTEX_POINT('',#445547); #142891=VERTEX_POINT('',#445551); #142892=VERTEX_POINT('',#445553); #142893=VERTEX_POINT('',#445557); #142894=VERTEX_POINT('',#445559); #142895=VERTEX_POINT('',#445563); #142896=VERTEX_POINT('',#445565); #142897=VERTEX_POINT('',#445569); #142898=VERTEX_POINT('',#445571); #142899=VERTEX_POINT('',#445575); #142900=VERTEX_POINT('',#445577); #142901=VERTEX_POINT('',#445581); #142902=VERTEX_POINT('',#445583); #142903=VERTEX_POINT('',#445587); #142904=VERTEX_POINT('',#445589); #142905=VERTEX_POINT('',#445593); #142906=VERTEX_POINT('',#445595); #142907=VERTEX_POINT('',#445599); #142908=VERTEX_POINT('',#445601); #142909=VERTEX_POINT('',#445605); #142910=VERTEX_POINT('',#445607); #142911=VERTEX_POINT('',#445611); #142912=VERTEX_POINT('',#445613); #142913=VERTEX_POINT('',#445617); #142914=VERTEX_POINT('',#445619); #142915=VERTEX_POINT('',#445623); #142916=VERTEX_POINT('',#445625); #142917=VERTEX_POINT('',#445629); #142918=VERTEX_POINT('',#445631); #142919=VERTEX_POINT('',#445635); #142920=VERTEX_POINT('',#445637); #142921=VERTEX_POINT('',#445641); #142922=VERTEX_POINT('',#445643); #142923=VERTEX_POINT('',#445647); #142924=VERTEX_POINT('',#445649); #142925=VERTEX_POINT('',#445653); #142926=VERTEX_POINT('',#445655); #142927=VERTEX_POINT('',#445659); #142928=VERTEX_POINT('',#445661); #142929=VERTEX_POINT('',#445665); #142930=VERTEX_POINT('',#445667); #142931=VERTEX_POINT('',#445671); #142932=VERTEX_POINT('',#445673); #142933=VERTEX_POINT('',#445677); #142934=VERTEX_POINT('',#445679); #142935=VERTEX_POINT('',#445683); #142936=VERTEX_POINT('',#445685); #142937=VERTEX_POINT('',#445689); #142938=VERTEX_POINT('',#445691); #142939=VERTEX_POINT('',#445695); #142940=VERTEX_POINT('',#445697); #142941=VERTEX_POINT('',#445701); #142942=VERTEX_POINT('',#445703); #142943=VERTEX_POINT('',#445707); #142944=VERTEX_POINT('',#445709); #142945=VERTEX_POINT('',#445713); #142946=VERTEX_POINT('',#445715); #142947=VERTEX_POINT('',#445719); #142948=VERTEX_POINT('',#445721); #142949=VERTEX_POINT('',#445725); #142950=VERTEX_POINT('',#445727); #142951=VERTEX_POINT('',#445731); #142952=VERTEX_POINT('',#445733); #142953=VERTEX_POINT('',#445737); #142954=VERTEX_POINT('',#445739); #142955=VERTEX_POINT('',#445743); #142956=VERTEX_POINT('',#445745); #142957=VERTEX_POINT('',#445754); #142958=VERTEX_POINT('',#445756); #142959=VERTEX_POINT('',#445760); #142960=VERTEX_POINT('',#445761); #142961=VERTEX_POINT('',#445763); #142962=VERTEX_POINT('',#445765); #142963=VERTEX_POINT('',#445769); #142964=VERTEX_POINT('',#445771); #142965=VERTEX_POINT('',#445775); #142966=VERTEX_POINT('',#445777); #142967=VERTEX_POINT('',#445781); #142968=VERTEX_POINT('',#445783); #142969=VERTEX_POINT('',#445787); #142970=VERTEX_POINT('',#445789); #142971=VERTEX_POINT('',#445793); #142972=VERTEX_POINT('',#445795); #142973=VERTEX_POINT('',#445799); #142974=VERTEX_POINT('',#445801); #142975=VERTEX_POINT('',#445805); #142976=VERTEX_POINT('',#445807); #142977=VERTEX_POINT('',#445811); #142978=VERTEX_POINT('',#445813); #142979=VERTEX_POINT('',#445817); #142980=VERTEX_POINT('',#445819); #142981=VERTEX_POINT('',#445823); #142982=VERTEX_POINT('',#445825); #142983=VERTEX_POINT('',#445829); #142984=VERTEX_POINT('',#445831); #142985=VERTEX_POINT('',#445835); #142986=VERTEX_POINT('',#445837); #142987=VERTEX_POINT('',#445841); #142988=VERTEX_POINT('',#445843); #142989=VERTEX_POINT('',#445847); #142990=VERTEX_POINT('',#445849); #142991=VERTEX_POINT('',#445853); #142992=VERTEX_POINT('',#445855); #142993=VERTEX_POINT('',#445859); #142994=VERTEX_POINT('',#445861); #142995=VERTEX_POINT('',#445865); #142996=VERTEX_POINT('',#445867); #142997=VERTEX_POINT('',#445871); #142998=VERTEX_POINT('',#445873); #142999=VERTEX_POINT('',#445877); #143000=VERTEX_POINT('',#445879); #143001=VERTEX_POINT('',#445883); #143002=VERTEX_POINT('',#445885); #143003=VERTEX_POINT('',#445894); #143004=VERTEX_POINT('',#445896); #143005=VERTEX_POINT('',#445900); #143006=VERTEX_POINT('',#445901); #143007=VERTEX_POINT('',#445903); #143008=VERTEX_POINT('',#445905); #143009=VERTEX_POINT('',#445909); #143010=VERTEX_POINT('',#445911); #143011=VERTEX_POINT('',#445915); #143012=VERTEX_POINT('',#445917); #143013=VERTEX_POINT('',#445921); #143014=VERTEX_POINT('',#445923); #143015=VERTEX_POINT('',#445927); #143016=VERTEX_POINT('',#445929); #143017=VERTEX_POINT('',#445933); #143018=VERTEX_POINT('',#445935); #143019=VERTEX_POINT('',#445939); #143020=VERTEX_POINT('',#445941); #143021=VERTEX_POINT('',#445945); #143022=VERTEX_POINT('',#445947); #143023=VERTEX_POINT('',#445951); #143024=VERTEX_POINT('',#445953); #143025=VERTEX_POINT('',#445957); #143026=VERTEX_POINT('',#445959); #143027=VERTEX_POINT('',#445963); #143028=VERTEX_POINT('',#445965); #143029=VERTEX_POINT('',#445969); #143030=VERTEX_POINT('',#445971); #143031=VERTEX_POINT('',#445975); #143032=VERTEX_POINT('',#445977); #143033=VERTEX_POINT('',#445981); #143034=VERTEX_POINT('',#445983); #143035=VERTEX_POINT('',#445987); #143036=VERTEX_POINT('',#445989); #143037=VERTEX_POINT('',#445998); #143038=VERTEX_POINT('',#446000); #143039=VERTEX_POINT('',#446004); #143040=VERTEX_POINT('',#446005); #143041=VERTEX_POINT('',#446007); #143042=VERTEX_POINT('',#446009); #143043=VERTEX_POINT('',#446013); #143044=VERTEX_POINT('',#446015); #143045=VERTEX_POINT('',#446019); #143046=VERTEX_POINT('',#446021); #143047=VERTEX_POINT('',#446025); #143048=VERTEX_POINT('',#446027); #143049=VERTEX_POINT('',#446031); #143050=VERTEX_POINT('',#446033); #143051=VERTEX_POINT('',#446037); #143052=VERTEX_POINT('',#446039); #143053=VERTEX_POINT('',#446043); #143054=VERTEX_POINT('',#446045); #143055=VERTEX_POINT('',#446049); #143056=VERTEX_POINT('',#446051); #143057=VERTEX_POINT('',#446055); #143058=VERTEX_POINT('',#446057); #143059=VERTEX_POINT('',#446061); #143060=VERTEX_POINT('',#446063); #143061=VERTEX_POINT('',#446067); #143062=VERTEX_POINT('',#446069); #143063=VERTEX_POINT('',#446078); #143064=VERTEX_POINT('',#446080); #143065=VERTEX_POINT('',#446084); #143066=VERTEX_POINT('',#446085); #143067=VERTEX_POINT('',#446087); #143068=VERTEX_POINT('',#446089); #143069=VERTEX_POINT('',#446093); #143070=VERTEX_POINT('',#446095); #143071=VERTEX_POINT('',#446099); #143072=VERTEX_POINT('',#446101); #143073=VERTEX_POINT('',#446105); #143074=VERTEX_POINT('',#446107); #143075=VERTEX_POINT('',#446111); #143076=VERTEX_POINT('',#446113); #143077=VERTEX_POINT('',#446117); #143078=VERTEX_POINT('',#446119); #143079=VERTEX_POINT('',#446123); #143080=VERTEX_POINT('',#446125); #143081=VERTEX_POINT('',#446129); #143082=VERTEX_POINT('',#446131); #143083=VERTEX_POINT('',#446135); #143084=VERTEX_POINT('',#446137); #143085=VERTEX_POINT('',#446141); #143086=VERTEX_POINT('',#446143); #143087=VERTEX_POINT('',#446147); #143088=VERTEX_POINT('',#446149); #143089=VERTEX_POINT('',#446153); #143090=VERTEX_POINT('',#446155); #143091=VERTEX_POINT('',#446159); #143092=VERTEX_POINT('',#446161); #143093=VERTEX_POINT('',#446170); #143094=VERTEX_POINT('',#446172); #143095=VERTEX_POINT('',#446176); #143096=VERTEX_POINT('',#446178); #143097=VERTEX_POINT('',#446182); #143098=VERTEX_POINT('',#446183); #143099=VERTEX_POINT('',#446185); #143100=VERTEX_POINT('',#446187); #143101=VERTEX_POINT('',#446191); #143102=VERTEX_POINT('',#446193); #143103=VERTEX_POINT('',#446197); #143104=VERTEX_POINT('',#446199); #143105=VERTEX_POINT('',#446203); #143106=VERTEX_POINT('',#446205); #143107=VERTEX_POINT('',#446209); #143108=VERTEX_POINT('',#446211); #143109=VERTEX_POINT('',#446215); #143110=VERTEX_POINT('',#446217); #143111=VERTEX_POINT('',#446221); #143112=VERTEX_POINT('',#446223); #143113=VERTEX_POINT('',#446232); #143114=VERTEX_POINT('',#446234); #143115=VERTEX_POINT('',#446238); #143116=VERTEX_POINT('',#446239); #143117=VERTEX_POINT('',#446241); #143118=VERTEX_POINT('',#446243); #143119=VERTEX_POINT('',#446247); #143120=VERTEX_POINT('',#446249); #143121=VERTEX_POINT('',#446253); #143122=VERTEX_POINT('',#446255); #143123=VERTEX_POINT('',#446259); #143124=VERTEX_POINT('',#446261); #143125=VERTEX_POINT('',#446265); #143126=VERTEX_POINT('',#446267); #143127=VERTEX_POINT('',#446271); #143128=VERTEX_POINT('',#446273); #143129=VERTEX_POINT('',#446277); #143130=VERTEX_POINT('',#446279); #143131=VERTEX_POINT('',#446283); #143132=VERTEX_POINT('',#446285); #143133=VERTEX_POINT('',#446289); #143134=VERTEX_POINT('',#446291); #143135=VERTEX_POINT('',#446295); #143136=VERTEX_POINT('',#446297); #143137=VERTEX_POINT('',#446301); #143138=VERTEX_POINT('',#446303); #143139=VERTEX_POINT('',#446307); #143140=VERTEX_POINT('',#446309); #143141=VERTEX_POINT('',#446313); #143142=VERTEX_POINT('',#446315); #143143=VERTEX_POINT('',#446319); #143144=VERTEX_POINT('',#446321); #143145=VERTEX_POINT('',#446325); #143146=VERTEX_POINT('',#446327); #143147=VERTEX_POINT('',#446336); #143148=VERTEX_POINT('',#446338); #143149=VERTEX_POINT('',#446342); #143150=VERTEX_POINT('',#446343); #143151=VERTEX_POINT('',#446345); #143152=VERTEX_POINT('',#446347); #143153=VERTEX_POINT('',#446351); #143154=VERTEX_POINT('',#446353); #143155=VERTEX_POINT('',#446357); #143156=VERTEX_POINT('',#446359); #143157=VERTEX_POINT('',#446363); #143158=VERTEX_POINT('',#446365); #143159=VERTEX_POINT('',#446369); #143160=VERTEX_POINT('',#446371); #143161=VERTEX_POINT('',#446375); #143162=VERTEX_POINT('',#446377); #143163=VERTEX_POINT('',#446381); #143164=VERTEX_POINT('',#446383); #143165=VERTEX_POINT('',#446387); #143166=VERTEX_POINT('',#446389); #143167=VERTEX_POINT('',#446393); #143168=VERTEX_POINT('',#446395); #143169=VERTEX_POINT('',#446399); #143170=VERTEX_POINT('',#446401); #143171=VERTEX_POINT('',#446405); #143172=VERTEX_POINT('',#446407); #143173=VERTEX_POINT('',#446411); #143174=VERTEX_POINT('',#446413); #143175=VERTEX_POINT('',#446417); #143176=VERTEX_POINT('',#446419); #143177=VERTEX_POINT('',#446423); #143178=VERTEX_POINT('',#446425); #143179=VERTEX_POINT('',#446429); #143180=VERTEX_POINT('',#446431); #143181=VERTEX_POINT('',#446435); #143182=VERTEX_POINT('',#446437); #143183=VERTEX_POINT('',#446441); #143184=VERTEX_POINT('',#446443); #143185=VERTEX_POINT('',#446447); #143186=VERTEX_POINT('',#446449); #143187=VERTEX_POINT('',#446453); #143188=VERTEX_POINT('',#446455); #143189=VERTEX_POINT('',#446459); #143190=VERTEX_POINT('',#446461); #143191=VERTEX_POINT('',#446465); #143192=VERTEX_POINT('',#446467); #143193=VERTEX_POINT('',#446476); #143194=VERTEX_POINT('',#446477); #143195=VERTEX_POINT('',#446479); #143196=VERTEX_POINT('',#446481); #143197=VERTEX_POINT('',#446485); #143198=VERTEX_POINT('',#446487); #143199=VERTEX_POINT('',#446491); #143200=VERTEX_POINT('',#446493); #143201=VERTEX_POINT('',#446497); #143202=VERTEX_POINT('',#446499); #143203=VERTEX_POINT('',#446503); #143204=VERTEX_POINT('',#446505); #143205=VERTEX_POINT('',#446509); #143206=VERTEX_POINT('',#446511); #143207=VERTEX_POINT('',#446515); #143208=VERTEX_POINT('',#446517); #143209=VERTEX_POINT('',#446521); #143210=VERTEX_POINT('',#446523); #143211=VERTEX_POINT('',#446527); #143212=VERTEX_POINT('',#446529); #143213=VERTEX_POINT('',#446533); #143214=VERTEX_POINT('',#446535); #143215=VERTEX_POINT('',#446539); #143216=VERTEX_POINT('',#446541); #143217=VERTEX_POINT('',#446545); #143218=VERTEX_POINT('',#446547); #143219=VERTEX_POINT('',#446551); #143220=VERTEX_POINT('',#446553); #143221=VERTEX_POINT('',#446557); #143222=VERTEX_POINT('',#446559); #143223=VERTEX_POINT('',#446563); #143224=VERTEX_POINT('',#446565); #143225=VERTEX_POINT('',#446569); #143226=VERTEX_POINT('',#446571); #143227=VERTEX_POINT('',#446575); #143228=VERTEX_POINT('',#446577); #143229=VERTEX_POINT('',#446581); #143230=VERTEX_POINT('',#446583); #143231=VERTEX_POINT('',#446587); #143232=VERTEX_POINT('',#446589); #143233=VERTEX_POINT('',#446593); #143234=VERTEX_POINT('',#446595); #143235=VERTEX_POINT('',#446599); #143236=VERTEX_POINT('',#446601); #143237=VERTEX_POINT('',#446605); #143238=VERTEX_POINT('',#446607); #143239=VERTEX_POINT('',#446611); #143240=VERTEX_POINT('',#446613); #143241=VERTEX_POINT('',#446617); #143242=VERTEX_POINT('',#446619); #143243=VERTEX_POINT('',#446623); #143244=VERTEX_POINT('',#446625); #143245=VERTEX_POINT('',#446634); #143246=VERTEX_POINT('',#446636); #143247=VERTEX_POINT('',#446640); #143248=VERTEX_POINT('',#446641); #143249=VERTEX_POINT('',#446643); #143250=VERTEX_POINT('',#446645); #143251=VERTEX_POINT('',#446649); #143252=VERTEX_POINT('',#446651); #143253=VERTEX_POINT('',#446655); #143254=VERTEX_POINT('',#446657); #143255=VERTEX_POINT('',#446661); #143256=VERTEX_POINT('',#446663); #143257=VERTEX_POINT('',#446667); #143258=VERTEX_POINT('',#446669); #143259=VERTEX_POINT('',#446673); #143260=VERTEX_POINT('',#446675); #143261=VERTEX_POINT('',#446679); #143262=VERTEX_POINT('',#446681); #143263=VERTEX_POINT('',#446685); #143264=VERTEX_POINT('',#446687); #143265=VERTEX_POINT('',#446691); #143266=VERTEX_POINT('',#446693); #143267=VERTEX_POINT('',#446697); #143268=VERTEX_POINT('',#446699); #143269=VERTEX_POINT('',#446703); #143270=VERTEX_POINT('',#446705); #143271=VERTEX_POINT('',#446709); #143272=VERTEX_POINT('',#446711); #143273=VERTEX_POINT('',#446715); #143274=VERTEX_POINT('',#446717); #143275=VERTEX_POINT('',#446721); #143276=VERTEX_POINT('',#446723); #143277=VERTEX_POINT('',#446727); #143278=VERTEX_POINT('',#446729); #143279=VERTEX_POINT('',#446733); #143280=VERTEX_POINT('',#446735); #143281=VERTEX_POINT('',#446739); #143282=VERTEX_POINT('',#446741); #143283=VERTEX_POINT('',#446745); #143284=VERTEX_POINT('',#446747); #143285=VERTEX_POINT('',#446751); #143286=VERTEX_POINT('',#446753); #143287=VERTEX_POINT('',#446757); #143288=VERTEX_POINT('',#446759); #143289=VERTEX_POINT('',#446763); #143290=VERTEX_POINT('',#446765); #143291=VERTEX_POINT('',#446769); #143292=VERTEX_POINT('',#446771); #143293=VERTEX_POINT('',#446775); #143294=VERTEX_POINT('',#446777); #143295=VERTEX_POINT('',#446786); #143296=VERTEX_POINT('',#446788); #143297=VERTEX_POINT('',#446792); #143298=VERTEX_POINT('',#446793); #143299=VERTEX_POINT('',#446795); #143300=VERTEX_POINT('',#446797); #143301=VERTEX_POINT('',#446801); #143302=VERTEX_POINT('',#446803); #143303=VERTEX_POINT('',#446807); #143304=VERTEX_POINT('',#446809); #143305=VERTEX_POINT('',#446813); #143306=VERTEX_POINT('',#446815); #143307=VERTEX_POINT('',#446819); #143308=VERTEX_POINT('',#446821); #143309=VERTEX_POINT('',#446825); #143310=VERTEX_POINT('',#446827); #143311=VERTEX_POINT('',#446831); #143312=VERTEX_POINT('',#446833); #143313=VERTEX_POINT('',#446837); #143314=VERTEX_POINT('',#446839); #143315=VERTEX_POINT('',#446843); #143316=VERTEX_POINT('',#446845); #143317=VERTEX_POINT('',#446849); #143318=VERTEX_POINT('',#446851); #143319=VERTEX_POINT('',#446860); #143320=VERTEX_POINT('',#446861); #143321=VERTEX_POINT('',#446863); #143322=VERTEX_POINT('',#446865); #143323=VERTEX_POINT('',#446869); #143324=VERTEX_POINT('',#446871); #143325=VERTEX_POINT('',#446875); #143326=VERTEX_POINT('',#446877); #143327=VERTEX_POINT('',#446881); #143328=VERTEX_POINT('',#446883); #143329=VERTEX_POINT('',#446887); #143330=VERTEX_POINT('',#446889); #143331=VERTEX_POINT('',#446893); #143332=VERTEX_POINT('',#446895); #143333=VERTEX_POINT('',#446899); #143334=VERTEX_POINT('',#446901); #143335=VERTEX_POINT('',#446905); #143336=VERTEX_POINT('',#446907); #143337=VERTEX_POINT('',#446911); #143338=VERTEX_POINT('',#446913); #143339=VERTEX_POINT('',#446917); #143340=VERTEX_POINT('',#446919); #143341=VERTEX_POINT('',#446923); #143342=VERTEX_POINT('',#446925); #143343=VERTEX_POINT('',#446929); #143344=VERTEX_POINT('',#446931); #143345=VERTEX_POINT('',#446935); #143346=VERTEX_POINT('',#446937); #143347=VERTEX_POINT('',#446941); #143348=VERTEX_POINT('',#446943); #143349=VERTEX_POINT('',#446947); #143350=VERTEX_POINT('',#446949); #143351=VERTEX_POINT('',#446953); #143352=VERTEX_POINT('',#446955); #143353=VERTEX_POINT('',#446959); #143354=VERTEX_POINT('',#446961); #143355=VERTEX_POINT('',#446965); #143356=VERTEX_POINT('',#446967); #143357=VERTEX_POINT('',#446971); #143358=VERTEX_POINT('',#446973); #143359=VERTEX_POINT('',#446977); #143360=VERTEX_POINT('',#446979); #143361=VERTEX_POINT('',#446983); #143362=VERTEX_POINT('',#446985); #143363=VERTEX_POINT('',#446989); #143364=VERTEX_POINT('',#446991); #143365=VERTEX_POINT('',#446995); #143366=VERTEX_POINT('',#446997); #143367=VERTEX_POINT('',#447001); #143368=VERTEX_POINT('',#447003); #143369=VERTEX_POINT('',#447007); #143370=VERTEX_POINT('',#447009); #143371=VERTEX_POINT('',#447013); #143372=VERTEX_POINT('',#447015); #143373=VERTEX_POINT('',#447019); #143374=VERTEX_POINT('',#447021); #143375=VERTEX_POINT('',#447025); #143376=VERTEX_POINT('',#447027); #143377=VERTEX_POINT('',#447031); #143378=VERTEX_POINT('',#447033); #143379=VERTEX_POINT('',#447037); #143380=VERTEX_POINT('',#447039); #143381=VERTEX_POINT('',#447043); #143382=VERTEX_POINT('',#447045); #143383=VERTEX_POINT('',#447049); #143384=VERTEX_POINT('',#447051); #143385=VERTEX_POINT('',#447055); #143386=VERTEX_POINT('',#447057); #143387=VERTEX_POINT('',#447061); #143388=VERTEX_POINT('',#447063); #143389=VERTEX_POINT('',#447067); #143390=VERTEX_POINT('',#447069); #143391=VERTEX_POINT('',#447073); #143392=VERTEX_POINT('',#447075); #143393=VERTEX_POINT('',#447079); #143394=VERTEX_POINT('',#447081); #143395=VERTEX_POINT('',#447090); #143396=VERTEX_POINT('',#447091); #143397=VERTEX_POINT('',#447093); #143398=VERTEX_POINT('',#447095); #143399=VERTEX_POINT('',#447099); #143400=VERTEX_POINT('',#447101); #143401=VERTEX_POINT('',#447105); #143402=VERTEX_POINT('',#447107); #143403=VERTEX_POINT('',#447111); #143404=VERTEX_POINT('',#447113); #143405=VERTEX_POINT('',#447117); #143406=VERTEX_POINT('',#447119); #143407=VERTEX_POINT('',#447123); #143408=VERTEX_POINT('',#447125); #143409=VERTEX_POINT('',#447129); #143410=VERTEX_POINT('',#447131); #143411=VERTEX_POINT('',#447135); #143412=VERTEX_POINT('',#447137); #143413=VERTEX_POINT('',#447141); #143414=VERTEX_POINT('',#447143); #143415=VERTEX_POINT('',#447147); #143416=VERTEX_POINT('',#447149); #143417=VERTEX_POINT('',#447153); #143418=VERTEX_POINT('',#447155); #143419=VERTEX_POINT('',#447159); #143420=VERTEX_POINT('',#447161); #143421=VERTEX_POINT('',#447165); #143422=VERTEX_POINT('',#447167); #143423=VERTEX_POINT('',#447171); #143424=VERTEX_POINT('',#447173); #143425=VERTEX_POINT('',#447177); #143426=VERTEX_POINT('',#447179); #143427=VERTEX_POINT('',#447183); #143428=VERTEX_POINT('',#447185); #143429=VERTEX_POINT('',#447189); #143430=VERTEX_POINT('',#447191); #143431=VERTEX_POINT('',#447195); #143432=VERTEX_POINT('',#447197); #143433=VERTEX_POINT('',#447201); #143434=VERTEX_POINT('',#447203); #143435=VERTEX_POINT('',#447207); #143436=VERTEX_POINT('',#447209); #143437=VERTEX_POINT('',#447213); #143438=VERTEX_POINT('',#447215); #143439=VERTEX_POINT('',#447219); #143440=VERTEX_POINT('',#447221); #143441=VERTEX_POINT('',#447225); #143442=VERTEX_POINT('',#447227); #143443=VERTEX_POINT('',#447231); #143444=VERTEX_POINT('',#447233); #143445=VERTEX_POINT('',#447237); #143446=VERTEX_POINT('',#447239); #143447=VERTEX_POINT('',#447243); #143448=VERTEX_POINT('',#447245); #143449=VERTEX_POINT('',#447249); #143450=VERTEX_POINT('',#447251); #143451=VERTEX_POINT('',#447255); #143452=VERTEX_POINT('',#447257); #143453=VERTEX_POINT('',#447261); #143454=VERTEX_POINT('',#447263); #143455=VERTEX_POINT('',#447267); #143456=VERTEX_POINT('',#447269); #143457=VERTEX_POINT('',#447273); #143458=VERTEX_POINT('',#447275); #143459=VERTEX_POINT('',#447279); #143460=VERTEX_POINT('',#447281); #143461=VERTEX_POINT('',#447285); #143462=VERTEX_POINT('',#447287); #143463=VERTEX_POINT('',#447291); #143464=VERTEX_POINT('',#447293); #143465=VERTEX_POINT('',#447297); #143466=VERTEX_POINT('',#447299); #143467=VERTEX_POINT('',#447303); #143468=VERTEX_POINT('',#447305); #143469=VERTEX_POINT('',#447309); #143470=VERTEX_POINT('',#447311); #143471=VERTEX_POINT('',#447320); #143472=VERTEX_POINT('',#447321); #143473=VERTEX_POINT('',#447323); #143474=VERTEX_POINT('',#447325); #143475=VERTEX_POINT('',#447329); #143476=VERTEX_POINT('',#447331); #143477=VERTEX_POINT('',#447335); #143478=VERTEX_POINT('',#447337); #143479=VERTEX_POINT('',#447341); #143480=VERTEX_POINT('',#447343); #143481=VERTEX_POINT('',#447347); #143482=VERTEX_POINT('',#447349); #143483=VERTEX_POINT('',#447353); #143484=VERTEX_POINT('',#447355); #143485=VERTEX_POINT('',#447359); #143486=VERTEX_POINT('',#447361); #143487=VERTEX_POINT('',#447365); #143488=VERTEX_POINT('',#447367); #143489=VERTEX_POINT('',#447371); #143490=VERTEX_POINT('',#447373); #143491=VERTEX_POINT('',#447377); #143492=VERTEX_POINT('',#447379); #143493=VERTEX_POINT('',#447383); #143494=VERTEX_POINT('',#447385); #143495=VERTEX_POINT('',#447389); #143496=VERTEX_POINT('',#447391); #143497=VERTEX_POINT('',#447395); #143498=VERTEX_POINT('',#447397); #143499=VERTEX_POINT('',#447401); #143500=VERTEX_POINT('',#447403); #143501=VERTEX_POINT('',#447407); #143502=VERTEX_POINT('',#447409); #143503=VERTEX_POINT('',#447413); #143504=VERTEX_POINT('',#447415); #143505=VERTEX_POINT('',#447419); #143506=VERTEX_POINT('',#447421); #143507=VERTEX_POINT('',#447425); #143508=VERTEX_POINT('',#447427); #143509=VERTEX_POINT('',#447431); #143510=VERTEX_POINT('',#447433); #143511=VERTEX_POINT('',#447442); #143512=VERTEX_POINT('',#447444); #143513=VERTEX_POINT('',#447448); #143514=VERTEX_POINT('',#447449); #143515=VERTEX_POINT('',#447451); #143516=VERTEX_POINT('',#447453); #143517=VERTEX_POINT('',#447457); #143518=VERTEX_POINT('',#447459); #143519=VERTEX_POINT('',#447463); #143520=VERTEX_POINT('',#447465); #143521=VERTEX_POINT('',#447469); #143522=VERTEX_POINT('',#447471); #143523=VERTEX_POINT('',#447475); #143524=VERTEX_POINT('',#447477); #143525=VERTEX_POINT('',#447481); #143526=VERTEX_POINT('',#447483); #143527=VERTEX_POINT('',#447487); #143528=VERTEX_POINT('',#447489); #143529=VERTEX_POINT('',#447493); #143530=VERTEX_POINT('',#447495); #143531=VERTEX_POINT('',#447499); #143532=VERTEX_POINT('',#447501); #143533=VERTEX_POINT('',#447505); #143534=VERTEX_POINT('',#447507); #143535=VERTEX_POINT('',#447511); #143536=VERTEX_POINT('',#447513); #143537=VERTEX_POINT('',#447517); #143538=VERTEX_POINT('',#447519); #143539=VERTEX_POINT('',#447523); #143540=VERTEX_POINT('',#447525); #143541=VERTEX_POINT('',#447529); #143542=VERTEX_POINT('',#447531); #143543=VERTEX_POINT('',#447535); #143544=VERTEX_POINT('',#447537); #143545=VERTEX_POINT('',#447541); #143546=VERTEX_POINT('',#447543); #143547=VERTEX_POINT('',#447547); #143548=VERTEX_POINT('',#447549); #143549=VERTEX_POINT('',#447553); #143550=VERTEX_POINT('',#447555); #143551=VERTEX_POINT('',#447559); #143552=VERTEX_POINT('',#447561); #143553=VERTEX_POINT('',#447565); #143554=VERTEX_POINT('',#447567); #143555=VERTEX_POINT('',#447576); #143556=VERTEX_POINT('',#447578); #143557=VERTEX_POINT('',#447582); #143558=VERTEX_POINT('',#447583); #143559=VERTEX_POINT('',#447585); #143560=VERTEX_POINT('',#447587); #143561=VERTEX_POINT('',#447591); #143562=VERTEX_POINT('',#447593); #143563=VERTEX_POINT('',#447597); #143564=VERTEX_POINT('',#447599); #143565=VERTEX_POINT('',#447603); #143566=VERTEX_POINT('',#447605); #143567=VERTEX_POINT('',#447609); #143568=VERTEX_POINT('',#447611); #143569=VERTEX_POINT('',#447615); #143570=VERTEX_POINT('',#447617); #143571=VERTEX_POINT('',#447621); #143572=VERTEX_POINT('',#447623); #143573=VERTEX_POINT('',#447627); #143574=VERTEX_POINT('',#447629); #143575=VERTEX_POINT('',#447633); #143576=VERTEX_POINT('',#447635); #143577=VERTEX_POINT('',#447639); #143578=VERTEX_POINT('',#447641); #143579=VERTEX_POINT('',#447645); #143580=VERTEX_POINT('',#447647); #143581=VERTEX_POINT('',#447651); #143582=VERTEX_POINT('',#447653); #143583=VERTEX_POINT('',#447657); #143584=VERTEX_POINT('',#447659); #143585=VERTEX_POINT('',#447663); #143586=VERTEX_POINT('',#447665); #143587=VERTEX_POINT('',#447669); #143588=VERTEX_POINT('',#447671); #143589=VERTEX_POINT('',#447680); #143590=VERTEX_POINT('',#447682); #143591=VERTEX_POINT('',#447686); #143592=VERTEX_POINT('',#447687); #143593=VERTEX_POINT('',#447689); #143594=VERTEX_POINT('',#447691); #143595=VERTEX_POINT('',#447695); #143596=VERTEX_POINT('',#447697); #143597=VERTEX_POINT('',#447701); #143598=VERTEX_POINT('',#447703); #143599=VERTEX_POINT('',#447707); #143600=VERTEX_POINT('',#447709); #143601=VERTEX_POINT('',#447713); #143602=VERTEX_POINT('',#447715); #143603=VERTEX_POINT('',#447719); #143604=VERTEX_POINT('',#447721); #143605=VERTEX_POINT('',#447725); #143606=VERTEX_POINT('',#447727); #143607=VERTEX_POINT('',#447731); #143608=VERTEX_POINT('',#447733); #143609=VERTEX_POINT('',#447737); #143610=VERTEX_POINT('',#447739); #143611=VERTEX_POINT('',#447743); #143612=VERTEX_POINT('',#447745); #143613=VERTEX_POINT('',#447749); #143614=VERTEX_POINT('',#447751); #143615=VERTEX_POINT('',#447755); #143616=VERTEX_POINT('',#447757); #143617=VERTEX_POINT('',#447766); #143618=VERTEX_POINT('',#447768); #143619=VERTEX_POINT('',#447772); #143620=VERTEX_POINT('',#447773); #143621=VERTEX_POINT('',#447775); #143622=VERTEX_POINT('',#447777); #143623=VERTEX_POINT('',#447781); #143624=VERTEX_POINT('',#447783); #143625=VERTEX_POINT('',#447787); #143626=VERTEX_POINT('',#447789); #143627=VERTEX_POINT('',#447793); #143628=VERTEX_POINT('',#447795); #143629=VERTEX_POINT('',#447799); #143630=VERTEX_POINT('',#447801); #143631=VERTEX_POINT('',#447805); #143632=VERTEX_POINT('',#447807); #143633=VERTEX_POINT('',#447811); #143634=VERTEX_POINT('',#447813); #143635=VERTEX_POINT('',#447817); #143636=VERTEX_POINT('',#447819); #143637=VERTEX_POINT('',#447823); #143638=VERTEX_POINT('',#447825); #143639=VERTEX_POINT('',#447829); #143640=VERTEX_POINT('',#447831); #143641=VERTEX_POINT('',#447835); #143642=VERTEX_POINT('',#447837); #143643=VERTEX_POINT('',#447841); #143644=VERTEX_POINT('',#447843); #143645=VERTEX_POINT('',#447847); #143646=VERTEX_POINT('',#447849); #143647=VERTEX_POINT('',#447853); #143648=VERTEX_POINT('',#447855); #143649=VERTEX_POINT('',#447859); #143650=VERTEX_POINT('',#447861); #143651=VERTEX_POINT('',#447865); #143652=VERTEX_POINT('',#447867); #143653=VERTEX_POINT('',#447871); #143654=VERTEX_POINT('',#447873); #143655=VERTEX_POINT('',#447882); #143656=VERTEX_POINT('',#447884); #143657=VERTEX_POINT('',#447888); #143658=VERTEX_POINT('',#447889); #143659=VERTEX_POINT('',#447891); #143660=VERTEX_POINT('',#447893); #143661=VERTEX_POINT('',#447897); #143662=VERTEX_POINT('',#447899); #143663=VERTEX_POINT('',#447903); #143664=VERTEX_POINT('',#447905); #143665=VERTEX_POINT('',#447909); #143666=VERTEX_POINT('',#447911); #143667=VERTEX_POINT('',#447915); #143668=VERTEX_POINT('',#447917); #143669=VERTEX_POINT('',#447921); #143670=VERTEX_POINT('',#447923); #143671=VERTEX_POINT('',#447927); #143672=VERTEX_POINT('',#447929); #143673=VERTEX_POINT('',#447933); #143674=VERTEX_POINT('',#447935); #143675=VERTEX_POINT('',#447939); #143676=VERTEX_POINT('',#447941); #143677=VERTEX_POINT('',#447945); #143678=VERTEX_POINT('',#447947); #143679=VERTEX_POINT('',#447951); #143680=VERTEX_POINT('',#447953); #143681=VERTEX_POINT('',#447957); #143682=VERTEX_POINT('',#447959); #143683=VERTEX_POINT('',#447968); #143684=VERTEX_POINT('',#447970); #143685=VERTEX_POINT('',#447974); #143686=VERTEX_POINT('',#447975); #143687=VERTEX_POINT('',#447977); #143688=VERTEX_POINT('',#447979); #143689=VERTEX_POINT('',#447983); #143690=VERTEX_POINT('',#447985); #143691=VERTEX_POINT('',#447989); #143692=VERTEX_POINT('',#447991); #143693=VERTEX_POINT('',#447995); #143694=VERTEX_POINT('',#447997); #143695=VERTEX_POINT('',#448001); #143696=VERTEX_POINT('',#448003); #143697=VERTEX_POINT('',#448007); #143698=VERTEX_POINT('',#448009); #143699=VERTEX_POINT('',#448013); #143700=VERTEX_POINT('',#448015); #143701=VERTEX_POINT('',#448019); #143702=VERTEX_POINT('',#448021); #143703=VERTEX_POINT('',#448025); #143704=VERTEX_POINT('',#448027); #143705=VERTEX_POINT('',#448031); #143706=VERTEX_POINT('',#448033); #143707=VERTEX_POINT('',#448037); #143708=VERTEX_POINT('',#448039); #143709=VERTEX_POINT('',#448043); #143710=VERTEX_POINT('',#448045); #143711=VERTEX_POINT('',#448049); #143712=VERTEX_POINT('',#448051); #143713=VERTEX_POINT('',#448055); #143714=VERTEX_POINT('',#448057); #143715=VERTEX_POINT('',#448061); #143716=VERTEX_POINT('',#448063); #143717=VERTEX_POINT('',#448067); #143718=VERTEX_POINT('',#448069); #143719=VERTEX_POINT('',#448073); #143720=VERTEX_POINT('',#448075); #143721=VERTEX_POINT('',#448084); #143722=VERTEX_POINT('',#448086); #143723=VERTEX_POINT('',#448090); #143724=VERTEX_POINT('',#448091); #143725=VERTEX_POINT('',#448093); #143726=VERTEX_POINT('',#448095); #143727=VERTEX_POINT('',#448099); #143728=VERTEX_POINT('',#448101); #143729=VERTEX_POINT('',#448105); #143730=VERTEX_POINT('',#448107); #143731=VERTEX_POINT('',#448111); #143732=VERTEX_POINT('',#448113); #143733=VERTEX_POINT('',#448117); #143734=VERTEX_POINT('',#448119); #143735=VERTEX_POINT('',#448123); #143736=VERTEX_POINT('',#448125); #143737=VERTEX_POINT('',#448129); #143738=VERTEX_POINT('',#448131); #143739=VERTEX_POINT('',#448135); #143740=VERTEX_POINT('',#448137); #143741=VERTEX_POINT('',#448141); #143742=VERTEX_POINT('',#448143); #143743=VERTEX_POINT('',#448147); #143744=VERTEX_POINT('',#448149); #143745=VERTEX_POINT('',#448158); #143746=VERTEX_POINT('',#448160); #143747=VERTEX_POINT('',#448164); #143748=VERTEX_POINT('',#448165); #143749=VERTEX_POINT('',#448167); #143750=VERTEX_POINT('',#448169); #143751=VERTEX_POINT('',#448173); #143752=VERTEX_POINT('',#448175); #143753=VERTEX_POINT('',#448179); #143754=VERTEX_POINT('',#448181); #143755=VERTEX_POINT('',#448185); #143756=VERTEX_POINT('',#448187); #143757=VERTEX_POINT('',#448191); #143758=VERTEX_POINT('',#448193); #143759=VERTEX_POINT('',#448197); #143760=VERTEX_POINT('',#448199); #143761=VERTEX_POINT('',#448203); #143762=VERTEX_POINT('',#448205); #143763=VERTEX_POINT('',#448209); #143764=VERTEX_POINT('',#448211); #143765=VERTEX_POINT('',#448215); #143766=VERTEX_POINT('',#448217); #143767=VERTEX_POINT('',#448221); #143768=VERTEX_POINT('',#448223); #143769=VERTEX_POINT('',#448232); #143770=VERTEX_POINT('',#448234); #143771=VERTEX_POINT('',#448238); #143772=VERTEX_POINT('',#448239); #143773=VERTEX_POINT('',#448241); #143774=VERTEX_POINT('',#448243); #143775=VERTEX_POINT('',#448247); #143776=VERTEX_POINT('',#448249); #143777=VERTEX_POINT('',#448253); #143778=VERTEX_POINT('',#448255); #143779=VERTEX_POINT('',#448259); #143780=VERTEX_POINT('',#448261); #143781=VERTEX_POINT('',#448265); #143782=VERTEX_POINT('',#448267); #143783=VERTEX_POINT('',#448271); #143784=VERTEX_POINT('',#448273); #143785=VERTEX_POINT('',#448277); #143786=VERTEX_POINT('',#448279); #143787=VERTEX_POINT('',#448283); #143788=VERTEX_POINT('',#448285); #143789=VERTEX_POINT('',#448289); #143790=VERTEX_POINT('',#448291); #143791=VERTEX_POINT('',#448295); #143792=VERTEX_POINT('',#448297); #143793=VERTEX_POINT('',#448301); #143794=VERTEX_POINT('',#448303); #143795=VERTEX_POINT('',#448307); #143796=VERTEX_POINT('',#448309); #143797=VERTEX_POINT('',#448313); #143798=VERTEX_POINT('',#448315); #143799=VERTEX_POINT('',#448319); #143800=VERTEX_POINT('',#448321); #143801=VERTEX_POINT('',#448325); #143802=VERTEX_POINT('',#448327); #143803=VERTEX_POINT('',#448331); #143804=VERTEX_POINT('',#448333); #143805=VERTEX_POINT('',#448337); #143806=VERTEX_POINT('',#448339); #143807=VERTEX_POINT('',#448343); #143808=VERTEX_POINT('',#448345); #143809=VERTEX_POINT('',#448349); #143810=VERTEX_POINT('',#448351); #143811=VERTEX_POINT('',#448355); #143812=VERTEX_POINT('',#448357); #143813=VERTEX_POINT('',#448361); #143814=VERTEX_POINT('',#448363); #143815=VERTEX_POINT('',#448367); #143816=VERTEX_POINT('',#448369); #143817=VERTEX_POINT('',#448378); #143818=VERTEX_POINT('',#448380); #143819=VERTEX_POINT('',#448384); #143820=VERTEX_POINT('',#448385); #143821=VERTEX_POINT('',#448387); #143822=VERTEX_POINT('',#448389); #143823=VERTEX_POINT('',#448393); #143824=VERTEX_POINT('',#448395); #143825=VERTEX_POINT('',#448399); #143826=VERTEX_POINT('',#448401); #143827=VERTEX_POINT('',#448405); #143828=VERTEX_POINT('',#448407); #143829=VERTEX_POINT('',#448411); #143830=VERTEX_POINT('',#448413); #143831=VERTEX_POINT('',#448417); #143832=VERTEX_POINT('',#448419); #143833=VERTEX_POINT('',#448423); #143834=VERTEX_POINT('',#448425); #143835=VERTEX_POINT('',#448429); #143836=VERTEX_POINT('',#448431); #143837=VERTEX_POINT('',#448435); #143838=VERTEX_POINT('',#448437); #143839=VERTEX_POINT('',#448441); #143840=VERTEX_POINT('',#448443); #143841=VERTEX_POINT('',#448447); #143842=VERTEX_POINT('',#448449); #143843=VERTEX_POINT('',#448453); #143844=VERTEX_POINT('',#448455); #143845=VERTEX_POINT('',#448459); #143846=VERTEX_POINT('',#448461); #143847=VERTEX_POINT('',#448465); #143848=VERTEX_POINT('',#448467); #143849=VERTEX_POINT('',#448471); #143850=VERTEX_POINT('',#448473); #143851=VERTEX_POINT('',#448477); #143852=VERTEX_POINT('',#448479); #143853=VERTEX_POINT('',#448488); #143854=VERTEX_POINT('',#448490); #143855=VERTEX_POINT('',#448494); #143856=VERTEX_POINT('',#448495); #143857=VERTEX_POINT('',#448497); #143858=VERTEX_POINT('',#448499); #143859=VERTEX_POINT('',#448503); #143860=VERTEX_POINT('',#448505); #143861=VERTEX_POINT('',#448509); #143862=VERTEX_POINT('',#448511); #143863=VERTEX_POINT('',#448515); #143864=VERTEX_POINT('',#448517); #143865=VERTEX_POINT('',#448521); #143866=VERTEX_POINT('',#448523); #143867=VERTEX_POINT('',#448527); #143868=VERTEX_POINT('',#448529); #143869=VERTEX_POINT('',#448533); #143870=VERTEX_POINT('',#448535); #143871=VERTEX_POINT('',#448539); #143872=VERTEX_POINT('',#448541); #143873=VERTEX_POINT('',#448545); #143874=VERTEX_POINT('',#448547); #143875=VERTEX_POINT('',#448551); #143876=VERTEX_POINT('',#448553); #143877=VERTEX_POINT('',#448557); #143878=VERTEX_POINT('',#448559); #143879=VERTEX_POINT('',#448563); #143880=VERTEX_POINT('',#448565); #143881=VERTEX_POINT('',#448569); #143882=VERTEX_POINT('',#448571); #143883=VERTEX_POINT('',#448575); #143884=VERTEX_POINT('',#448577); #143885=VERTEX_POINT('',#448581); #143886=VERTEX_POINT('',#448583); #143887=VERTEX_POINT('',#448587); #143888=VERTEX_POINT('',#448589); #143889=VERTEX_POINT('',#448593); #143890=VERTEX_POINT('',#448595); #143891=VERTEX_POINT('',#448599); #143892=VERTEX_POINT('',#448601); #143893=VERTEX_POINT('',#448605); #143894=VERTEX_POINT('',#448607); #143895=VERTEX_POINT('',#448611); #143896=VERTEX_POINT('',#448613); #143897=VERTEX_POINT('',#448617); #143898=VERTEX_POINT('',#448619); #143899=VERTEX_POINT('',#448623); #143900=VERTEX_POINT('',#448625); #143901=VERTEX_POINT('',#448634); #143902=VERTEX_POINT('',#448635); #143903=VERTEX_POINT('',#448637); #143904=VERTEX_POINT('',#448639); #143905=VERTEX_POINT('',#448643); #143906=VERTEX_POINT('',#448645); #143907=VERTEX_POINT('',#448649); #143908=VERTEX_POINT('',#448651); #143909=VERTEX_POINT('',#448655); #143910=VERTEX_POINT('',#448657); #143911=VERTEX_POINT('',#448661); #143912=VERTEX_POINT('',#448663); #143913=VERTEX_POINT('',#448667); #143914=VERTEX_POINT('',#448669); #143915=VERTEX_POINT('',#448673); #143916=VERTEX_POINT('',#448675); #143917=VERTEX_POINT('',#448679); #143918=VERTEX_POINT('',#448681); #143919=VERTEX_POINT('',#448685); #143920=VERTEX_POINT('',#448687); #143921=VERTEX_POINT('',#448691); #143922=VERTEX_POINT('',#448693); #143923=VERTEX_POINT('',#448697); #143924=VERTEX_POINT('',#448699); #143925=VERTEX_POINT('',#448703); #143926=VERTEX_POINT('',#448705); #143927=VERTEX_POINT('',#448709); #143928=VERTEX_POINT('',#448711); #143929=VERTEX_POINT('',#448715); #143930=VERTEX_POINT('',#448717); #143931=VERTEX_POINT('',#448721); #143932=VERTEX_POINT('',#448723); #143933=VERTEX_POINT('',#448727); #143934=VERTEX_POINT('',#448729); #143935=VERTEX_POINT('',#448733); #143936=VERTEX_POINT('',#448735); #143937=VERTEX_POINT('',#448739); #143938=VERTEX_POINT('',#448741); #143939=VERTEX_POINT('',#448745); #143940=VERTEX_POINT('',#448747); #143941=VERTEX_POINT('',#448751); #143942=VERTEX_POINT('',#448753); #143943=VERTEX_POINT('',#448757); #143944=VERTEX_POINT('',#448759); #143945=VERTEX_POINT('',#448763); #143946=VERTEX_POINT('',#448765); #143947=VERTEX_POINT('',#448769); #143948=VERTEX_POINT('',#448771); #143949=VERTEX_POINT('',#448780); #143950=VERTEX_POINT('',#448782); #143951=VERTEX_POINT('',#448786); #143952=VERTEX_POINT('',#448787); #143953=VERTEX_POINT('',#448789); #143954=VERTEX_POINT('',#448791); #143955=VERTEX_POINT('',#448795); #143956=VERTEX_POINT('',#448797); #143957=VERTEX_POINT('',#448801); #143958=VERTEX_POINT('',#448803); #143959=VERTEX_POINT('',#448812); #143960=VERTEX_POINT('',#448814); #143961=VERTEX_POINT('',#448818); #143962=VERTEX_POINT('',#448819); #143963=VERTEX_POINT('',#448821); #143964=VERTEX_POINT('',#448823); #143965=VERTEX_POINT('',#448827); #143966=VERTEX_POINT('',#448829); #143967=VERTEX_POINT('',#448833); #143968=VERTEX_POINT('',#448835); #143969=VERTEX_POINT('',#448839); #143970=VERTEX_POINT('',#448841); #143971=VERTEX_POINT('',#448845); #143972=VERTEX_POINT('',#448847); #143973=VERTEX_POINT('',#448851); #143974=VERTEX_POINT('',#448853); #143975=VERTEX_POINT('',#448857); #143976=VERTEX_POINT('',#448859); #143977=VERTEX_POINT('',#448863); #143978=VERTEX_POINT('',#448865); #143979=VERTEX_POINT('',#448869); #143980=VERTEX_POINT('',#448871); #143981=VERTEX_POINT('',#448875); #143982=VERTEX_POINT('',#448877); #143983=VERTEX_POINT('',#448881); #143984=VERTEX_POINT('',#448883); #143985=VERTEX_POINT('',#448887); #143986=VERTEX_POINT('',#448889); #143987=VERTEX_POINT('',#448893); #143988=VERTEX_POINT('',#448895); #143989=VERTEX_POINT('',#448899); #143990=VERTEX_POINT('',#448901); #143991=VERTEX_POINT('',#448905); #143992=VERTEX_POINT('',#448907); #143993=VERTEX_POINT('',#448911); #143994=VERTEX_POINT('',#448913); #143995=VERTEX_POINT('',#448917); #143996=VERTEX_POINT('',#448919); #143997=VERTEX_POINT('',#448923); #143998=VERTEX_POINT('',#448925); #143999=VERTEX_POINT('',#448929); #144000=VERTEX_POINT('',#448931); #144001=VERTEX_POINT('',#448935); #144002=VERTEX_POINT('',#448937); #144003=VERTEX_POINT('',#448941); #144004=VERTEX_POINT('',#448943); #144005=VERTEX_POINT('',#448947); #144006=VERTEX_POINT('',#448949); #144007=VERTEX_POINT('',#448953); #144008=VERTEX_POINT('',#448955); #144009=VERTEX_POINT('',#448964); #144010=VERTEX_POINT('',#448966); #144011=VERTEX_POINT('',#448970); #144012=VERTEX_POINT('',#448971); #144013=VERTEX_POINT('',#448973); #144014=VERTEX_POINT('',#448975); #144015=VERTEX_POINT('',#448979); #144016=VERTEX_POINT('',#448981); #144017=VERTEX_POINT('',#448985); #144018=VERTEX_POINT('',#448987); #144019=VERTEX_POINT('',#448991); #144020=VERTEX_POINT('',#448993); #144021=VERTEX_POINT('',#448997); #144022=VERTEX_POINT('',#448999); #144023=VERTEX_POINT('',#449003); #144024=VERTEX_POINT('',#449005); #144025=VERTEX_POINT('',#449009); #144026=VERTEX_POINT('',#449011); #144027=VERTEX_POINT('',#449015); #144028=VERTEX_POINT('',#449017); #144029=VERTEX_POINT('',#449021); #144030=VERTEX_POINT('',#449023); #144031=VERTEX_POINT('',#449027); #144032=VERTEX_POINT('',#449029); #144033=VERTEX_POINT('',#449033); #144034=VERTEX_POINT('',#449035); #144035=VERTEX_POINT('',#449039); #144036=VERTEX_POINT('',#449041); #144037=VERTEX_POINT('',#449045); #144038=VERTEX_POINT('',#449047); #144039=VERTEX_POINT('',#449051); #144040=VERTEX_POINT('',#449053); #144041=VERTEX_POINT('',#449062); #144042=VERTEX_POINT('',#449064); #144043=VERTEX_POINT('',#449068); #144044=VERTEX_POINT('',#449069); #144045=VERTEX_POINT('',#449071); #144046=VERTEX_POINT('',#449073); #144047=VERTEX_POINT('',#449077); #144048=VERTEX_POINT('',#449079); #144049=VERTEX_POINT('',#449083); #144050=VERTEX_POINT('',#449085); #144051=VERTEX_POINT('',#449089); #144052=VERTEX_POINT('',#449091); #144053=VERTEX_POINT('',#449095); #144054=VERTEX_POINT('',#449097); #144055=VERTEX_POINT('',#449101); #144056=VERTEX_POINT('',#449103); #144057=VERTEX_POINT('',#449107); #144058=VERTEX_POINT('',#449109); #144059=VERTEX_POINT('',#449113); #144060=VERTEX_POINT('',#449115); #144061=VERTEX_POINT('',#449119); #144062=VERTEX_POINT('',#449121); #144063=VERTEX_POINT('',#449125); #144064=VERTEX_POINT('',#449127); #144065=VERTEX_POINT('',#449131); #144066=VERTEX_POINT('',#449133); #144067=VERTEX_POINT('',#449137); #144068=VERTEX_POINT('',#449139); #144069=VERTEX_POINT('',#449143); #144070=VERTEX_POINT('',#449145); #144071=VERTEX_POINT('',#449149); #144072=VERTEX_POINT('',#449151); #144073=VERTEX_POINT('',#449155); #144074=VERTEX_POINT('',#449157); #144075=VERTEX_POINT('',#449161); #144076=VERTEX_POINT('',#449163); #144077=VERTEX_POINT('',#449167); #144078=VERTEX_POINT('',#449169); #144079=VERTEX_POINT('',#449173); #144080=VERTEX_POINT('',#449175); #144081=VERTEX_POINT('',#449179); #144082=VERTEX_POINT('',#449181); #144083=VERTEX_POINT('',#449185); #144084=VERTEX_POINT('',#449187); #144085=VERTEX_POINT('',#449191); #144086=VERTEX_POINT('',#449193); #144087=VERTEX_POINT('',#449197); #144088=VERTEX_POINT('',#449199); #144089=VERTEX_POINT('',#449203); #144090=VERTEX_POINT('',#449205); #144091=VERTEX_POINT('',#449209); #144092=VERTEX_POINT('',#449211); #144093=VERTEX_POINT('',#449215); #144094=VERTEX_POINT('',#449217); #144095=VERTEX_POINT('',#449221); #144096=VERTEX_POINT('',#449223); #144097=VERTEX_POINT('',#449227); #144098=VERTEX_POINT('',#449229); #144099=VERTEX_POINT('',#449233); #144100=VERTEX_POINT('',#449235); #144101=VERTEX_POINT('',#449239); #144102=VERTEX_POINT('',#449241); #144103=VERTEX_POINT('',#449245); #144104=VERTEX_POINT('',#449247); #144105=VERTEX_POINT('',#449251); #144106=VERTEX_POINT('',#449253); #144107=VERTEX_POINT('',#449257); #144108=VERTEX_POINT('',#449259); #144109=VERTEX_POINT('',#449263); #144110=VERTEX_POINT('',#449265); #144111=VERTEX_POINT('',#449269); #144112=VERTEX_POINT('',#449271); #144113=VERTEX_POINT('',#449275); #144114=VERTEX_POINT('',#449277); #144115=VERTEX_POINT('',#449281); #144116=VERTEX_POINT('',#449283); #144117=VERTEX_POINT('',#449287); #144118=VERTEX_POINT('',#449289); #144119=VERTEX_POINT('',#449293); #144120=VERTEX_POINT('',#449295); #144121=VERTEX_POINT('',#449299); #144122=VERTEX_POINT('',#449301); #144123=VERTEX_POINT('',#449305); #144124=VERTEX_POINT('',#449307); #144125=VERTEX_POINT('',#449311); #144126=VERTEX_POINT('',#449313); #144127=VERTEX_POINT('',#449317); #144128=VERTEX_POINT('',#449319); #144129=VERTEX_POINT('',#449328); #144130=VERTEX_POINT('',#449330); #144131=VERTEX_POINT('',#449334); #144132=VERTEX_POINT('',#449335); #144133=VERTEX_POINT('',#449337); #144134=VERTEX_POINT('',#449339); #144135=VERTEX_POINT('',#449343); #144136=VERTEX_POINT('',#449345); #144137=VERTEX_POINT('',#449349); #144138=VERTEX_POINT('',#449351); #144139=VERTEX_POINT('',#449355); #144140=VERTEX_POINT('',#449357); #144141=VERTEX_POINT('',#449361); #144142=VERTEX_POINT('',#449363); #144143=VERTEX_POINT('',#449367); #144144=VERTEX_POINT('',#449369); #144145=VERTEX_POINT('',#449373); #144146=VERTEX_POINT('',#449375); #144147=VERTEX_POINT('',#449379); #144148=VERTEX_POINT('',#449381); #144149=VERTEX_POINT('',#449385); #144150=VERTEX_POINT('',#449387); #144151=VERTEX_POINT('',#449391); #144152=VERTEX_POINT('',#449393); #144153=VERTEX_POINT('',#449397); #144154=VERTEX_POINT('',#449399); #144155=VERTEX_POINT('',#449403); #144156=VERTEX_POINT('',#449405); #144157=VERTEX_POINT('',#449409); #144158=VERTEX_POINT('',#449411); #144159=VERTEX_POINT('',#449415); #144160=VERTEX_POINT('',#449417); #144161=VERTEX_POINT('',#449421); #144162=VERTEX_POINT('',#449423); #144163=VERTEX_POINT('',#449427); #144164=VERTEX_POINT('',#449429); #144165=VERTEX_POINT('',#449433); #144166=VERTEX_POINT('',#449435); #144167=VERTEX_POINT('',#449439); #144168=VERTEX_POINT('',#449441); #144169=VERTEX_POINT('',#449445); #144170=VERTEX_POINT('',#449447); #144171=VERTEX_POINT('',#449451); #144172=VERTEX_POINT('',#449453); #144173=VERTEX_POINT('',#449462); #144174=VERTEX_POINT('',#449464); #144175=VERTEX_POINT('',#449468); #144176=VERTEX_POINT('',#449469); #144177=VERTEX_POINT('',#449471); #144178=VERTEX_POINT('',#449473); #144179=VERTEX_POINT('',#449477); #144180=VERTEX_POINT('',#449479); #144181=VERTEX_POINT('',#449483); #144182=VERTEX_POINT('',#449485); #144183=VERTEX_POINT('',#449494); #144184=VERTEX_POINT('',#449496); #144185=VERTEX_POINT('',#449500); #144186=VERTEX_POINT('',#449501); #144187=VERTEX_POINT('',#449503); #144188=VERTEX_POINT('',#449505); #144189=VERTEX_POINT('',#449509); #144190=VERTEX_POINT('',#449511); #144191=VERTEX_POINT('',#449515); #144192=VERTEX_POINT('',#449517); #144193=VERTEX_POINT('',#449526); #144194=VERTEX_POINT('',#449528); #144195=VERTEX_POINT('',#449532); #144196=VERTEX_POINT('',#449533); #144197=VERTEX_POINT('',#449535); #144198=VERTEX_POINT('',#449537); #144199=VERTEX_POINT('',#449541); #144200=VERTEX_POINT('',#449543); #144201=VERTEX_POINT('',#449547); #144202=VERTEX_POINT('',#449549); #144203=VERTEX_POINT('',#449553); #144204=VERTEX_POINT('',#449555); #144205=VERTEX_POINT('',#449559); #144206=VERTEX_POINT('',#449561); #144207=VERTEX_POINT('',#449565); #144208=VERTEX_POINT('',#449567); #144209=VERTEX_POINT('',#449571); #144210=VERTEX_POINT('',#449573); #144211=VERTEX_POINT('',#449577); #144212=VERTEX_POINT('',#449579); #144213=VERTEX_POINT('',#449583); #144214=VERTEX_POINT('',#449585); #144215=VERTEX_POINT('',#449589); #144216=VERTEX_POINT('',#449591); #144217=VERTEX_POINT('',#449595); #144218=VERTEX_POINT('',#449597); #144219=VERTEX_POINT('',#449601); #144220=VERTEX_POINT('',#449603); #144221=VERTEX_POINT('',#449607); #144222=VERTEX_POINT('',#449609); #144223=VERTEX_POINT('',#449618); #144224=VERTEX_POINT('',#449620); #144225=VERTEX_POINT('',#449624); #144226=VERTEX_POINT('',#449625); #144227=VERTEX_POINT('',#449627); #144228=VERTEX_POINT('',#449629); #144229=VERTEX_POINT('',#449633); #144230=VERTEX_POINT('',#449635); #144231=VERTEX_POINT('',#449644); #144232=VERTEX_POINT('',#449646); #144233=VERTEX_POINT('',#449650); #144234=VERTEX_POINT('',#449652); #144235=VERTEX_POINT('',#449656); #144236=VERTEX_POINT('',#449657); #144237=VERTEX_POINT('',#449659); #144238=VERTEX_POINT('',#449661); #144239=VERTEX_POINT('',#449665); #144240=VERTEX_POINT('',#449667); #144241=VERTEX_POINT('',#449671); #144242=VERTEX_POINT('',#449673); #144243=VERTEX_POINT('',#449677); #144244=VERTEX_POINT('',#449679); #144245=VERTEX_POINT('',#449683); #144246=VERTEX_POINT('',#449685); #144247=VERTEX_POINT('',#449689); #144248=VERTEX_POINT('',#449691); #144249=VERTEX_POINT('',#449700); #144250=VERTEX_POINT('',#449702); #144251=VERTEX_POINT('',#449706); #144252=VERTEX_POINT('',#449707); #144253=VERTEX_POINT('',#449709); #144254=VERTEX_POINT('',#449711); #144255=VERTEX_POINT('',#449715); #144256=VERTEX_POINT('',#449717); #144257=VERTEX_POINT('',#449726); #144258=VERTEX_POINT('',#449728); #144259=VERTEX_POINT('',#449732); #144260=VERTEX_POINT('',#449733); #144261=VERTEX_POINT('',#449735); #144262=VERTEX_POINT('',#449737); #144263=VERTEX_POINT('',#449741); #144264=VERTEX_POINT('',#449743); #144265=VERTEX_POINT('',#449747); #144266=VERTEX_POINT('',#449749); #144267=VERTEX_POINT('',#449758); #144268=VERTEX_POINT('',#449760); #144269=VERTEX_POINT('',#449764); #144270=VERTEX_POINT('',#449765); #144271=VERTEX_POINT('',#449767); #144272=VERTEX_POINT('',#449769); #144273=VERTEX_POINT('',#449773); #144274=VERTEX_POINT('',#449775); #144275=VERTEX_POINT('',#449779); #144276=VERTEX_POINT('',#449781); #144277=VERTEX_POINT('',#449785); #144278=VERTEX_POINT('',#449787); #144279=VERTEX_POINT('',#449791); #144280=VERTEX_POINT('',#449793); #144281=VERTEX_POINT('',#449797); #144282=VERTEX_POINT('',#449799); #144283=VERTEX_POINT('',#449803); #144284=VERTEX_POINT('',#449805); #144285=VERTEX_POINT('',#449809); #144286=VERTEX_POINT('',#449811); #144287=VERTEX_POINT('',#449815); #144288=VERTEX_POINT('',#449817); #144289=VERTEX_POINT('',#449821); #144290=VERTEX_POINT('',#449823); #144291=VERTEX_POINT('',#449827); #144292=VERTEX_POINT('',#449829); #144293=VERTEX_POINT('',#449833); #144294=VERTEX_POINT('',#449835); #144295=VERTEX_POINT('',#449839); #144296=VERTEX_POINT('',#449841); #144297=VERTEX_POINT('',#449845); #144298=VERTEX_POINT('',#449847); #144299=VERTEX_POINT('',#449851); #144300=VERTEX_POINT('',#449853); #144301=VERTEX_POINT('',#449857); #144302=VERTEX_POINT('',#449859); #144303=VERTEX_POINT('',#449863); #144304=VERTEX_POINT('',#449865); #144305=VERTEX_POINT('',#449869); #144306=VERTEX_POINT('',#449871); #144307=VERTEX_POINT('',#449875); #144308=VERTEX_POINT('',#449877); #144309=VERTEX_POINT('',#449881); #144310=VERTEX_POINT('',#449883); #144311=VERTEX_POINT('',#449887); #144312=VERTEX_POINT('',#449889); #144313=VERTEX_POINT('',#449893); #144314=VERTEX_POINT('',#449895); #144315=VERTEX_POINT('',#449899); #144316=VERTEX_POINT('',#449901); #144317=VERTEX_POINT('',#449905); #144318=VERTEX_POINT('',#449907); #144319=VERTEX_POINT('',#449911); #144320=VERTEX_POINT('',#449913); #144321=VERTEX_POINT('',#449917); #144322=VERTEX_POINT('',#449919); #144323=VERTEX_POINT('',#449923); #144324=VERTEX_POINT('',#449925); #144325=VERTEX_POINT('',#449929); #144326=VERTEX_POINT('',#449931); #144327=VERTEX_POINT('',#449935); #144328=VERTEX_POINT('',#449937); #144329=VERTEX_POINT('',#449941); #144330=VERTEX_POINT('',#449943); #144331=VERTEX_POINT('',#449947); #144332=VERTEX_POINT('',#449949); #144333=VERTEX_POINT('',#449953); #144334=VERTEX_POINT('',#449955); #144335=VERTEX_POINT('',#449959); #144336=VERTEX_POINT('',#449961); #144337=VERTEX_POINT('',#449965); #144338=VERTEX_POINT('',#449967); #144339=VERTEX_POINT('',#449971); #144340=VERTEX_POINT('',#449973); #144341=VERTEX_POINT('',#449977); #144342=VERTEX_POINT('',#449979); #144343=VERTEX_POINT('',#449983); #144344=VERTEX_POINT('',#449985); #144345=VERTEX_POINT('',#449989); #144346=VERTEX_POINT('',#449991); #144347=VERTEX_POINT('',#449995); #144348=VERTEX_POINT('',#449997); #144349=VERTEX_POINT('',#450001); #144350=VERTEX_POINT('',#450003); #144351=VERTEX_POINT('',#450007); #144352=VERTEX_POINT('',#450009); #144353=VERTEX_POINT('',#450013); #144354=VERTEX_POINT('',#450015); #144355=VERTEX_POINT('',#450024); #144356=VERTEX_POINT('',#450026); #144357=VERTEX_POINT('',#450030); #144358=VERTEX_POINT('',#450031); #144359=VERTEX_POINT('',#450033); #144360=VERTEX_POINT('',#450035); #144361=VERTEX_POINT('',#450039); #144362=VERTEX_POINT('',#450041); #144363=VERTEX_POINT('',#450045); #144364=VERTEX_POINT('',#450047); #144365=VERTEX_POINT('',#450051); #144366=VERTEX_POINT('',#450053); #144367=VERTEX_POINT('',#450057); #144368=VERTEX_POINT('',#450059); #144369=VERTEX_POINT('',#450063); #144370=VERTEX_POINT('',#450065); #144371=VERTEX_POINT('',#450069); #144372=VERTEX_POINT('',#450071); #144373=VERTEX_POINT('',#450075); #144374=VERTEX_POINT('',#450077); #144375=VERTEX_POINT('',#450081); #144376=VERTEX_POINT('',#450083); #144377=VERTEX_POINT('',#450087); #144378=VERTEX_POINT('',#450089); #144379=VERTEX_POINT('',#450093); #144380=VERTEX_POINT('',#450095); #144381=VERTEX_POINT('',#450099); #144382=VERTEX_POINT('',#450101); #144383=VERTEX_POINT('',#450105); #144384=VERTEX_POINT('',#450107); #144385=VERTEX_POINT('',#450111); #144386=VERTEX_POINT('',#450113); #144387=VERTEX_POINT('',#450117); #144388=VERTEX_POINT('',#450119); #144389=VERTEX_POINT('',#450123); #144390=VERTEX_POINT('',#450125); #144391=VERTEX_POINT('',#450129); #144392=VERTEX_POINT('',#450131); #144393=VERTEX_POINT('',#450135); #144394=VERTEX_POINT('',#450137); #144395=VERTEX_POINT('',#450141); #144396=VERTEX_POINT('',#450143); #144397=VERTEX_POINT('',#450147); #144398=VERTEX_POINT('',#450149); #144399=VERTEX_POINT('',#450158); #144400=VERTEX_POINT('',#450159); #144401=VERTEX_POINT('',#450161); #144402=VERTEX_POINT('',#450163); #144403=VERTEX_POINT('',#450167); #144404=VERTEX_POINT('',#450169); #144405=VERTEX_POINT('',#450173); #144406=VERTEX_POINT('',#450175); #144407=VERTEX_POINT('',#450179); #144408=VERTEX_POINT('',#450181); #144409=VERTEX_POINT('',#450185); #144410=VERTEX_POINT('',#450187); #144411=VERTEX_POINT('',#450191); #144412=VERTEX_POINT('',#450193); #144413=VERTEX_POINT('',#450197); #144414=VERTEX_POINT('',#450199); #144415=VERTEX_POINT('',#450203); #144416=VERTEX_POINT('',#450205); #144417=VERTEX_POINT('',#450209); #144418=VERTEX_POINT('',#450211); #144419=VERTEX_POINT('',#450215); #144420=VERTEX_POINT('',#450217); #144421=VERTEX_POINT('',#450221); #144422=VERTEX_POINT('',#450223); #144423=VERTEX_POINT('',#450232); #144424=VERTEX_POINT('',#450233); #144425=VERTEX_POINT('',#450235); #144426=VERTEX_POINT('',#450237); #144427=VERTEX_POINT('',#450241); #144428=VERTEX_POINT('',#450243); #144429=VERTEX_POINT('',#450247); #144430=VERTEX_POINT('',#450249); #144431=VERTEX_POINT('',#450253); #144432=VERTEX_POINT('',#450255); #144433=VERTEX_POINT('',#450259); #144434=VERTEX_POINT('',#450261); #144435=VERTEX_POINT('',#450265); #144436=VERTEX_POINT('',#450267); #144437=VERTEX_POINT('',#450271); #144438=VERTEX_POINT('',#450273); #144439=VERTEX_POINT('',#450277); #144440=VERTEX_POINT('',#450279); #144441=VERTEX_POINT('',#450283); #144442=VERTEX_POINT('',#450285); #144443=VERTEX_POINT('',#450289); #144444=VERTEX_POINT('',#450291); #144445=VERTEX_POINT('',#450295); #144446=VERTEX_POINT('',#450297); #144447=VERTEX_POINT('',#450306); #144448=VERTEX_POINT('',#450308); #144449=VERTEX_POINT('',#450312); #144450=VERTEX_POINT('',#450313); #144451=VERTEX_POINT('',#450315); #144452=VERTEX_POINT('',#450317); #144453=VERTEX_POINT('',#450321); #144454=VERTEX_POINT('',#450323); #144455=VERTEX_POINT('',#450327); #144456=VERTEX_POINT('',#450329); #144457=VERTEX_POINT('',#450333); #144458=VERTEX_POINT('',#450335); #144459=VERTEX_POINT('',#450339); #144460=VERTEX_POINT('',#450341); #144461=VERTEX_POINT('',#450345); #144462=VERTEX_POINT('',#450347); #144463=VERTEX_POINT('',#450351); #144464=VERTEX_POINT('',#450353); #144465=VERTEX_POINT('',#450357); #144466=VERTEX_POINT('',#450359); #144467=VERTEX_POINT('',#450363); #144468=VERTEX_POINT('',#450365); #144469=VERTEX_POINT('',#450369); #144470=VERTEX_POINT('',#450371); #144471=VERTEX_POINT('',#450375); #144472=VERTEX_POINT('',#450377); #144473=VERTEX_POINT('',#450381); #144474=VERTEX_POINT('',#450383); #144475=VERTEX_POINT('',#450387); #144476=VERTEX_POINT('',#450389); #144477=VERTEX_POINT('',#450393); #144478=VERTEX_POINT('',#450395); #144479=VERTEX_POINT('',#450399); #144480=VERTEX_POINT('',#450401); #144481=VERTEX_POINT('',#450405); #144482=VERTEX_POINT('',#450407); #144483=VERTEX_POINT('',#450416); #144484=VERTEX_POINT('',#450418); #144485=VERTEX_POINT('',#450422); #144486=VERTEX_POINT('',#450423); #144487=VERTEX_POINT('',#450425); #144488=VERTEX_POINT('',#450427); #144489=VERTEX_POINT('',#450431); #144490=VERTEX_POINT('',#450433); #144491=VERTEX_POINT('',#450437); #144492=VERTEX_POINT('',#450439); #144493=VERTEX_POINT('',#450443); #144494=VERTEX_POINT('',#450445); #144495=VERTEX_POINT('',#450449); #144496=VERTEX_POINT('',#450451); #144497=VERTEX_POINT('',#450455); #144498=VERTEX_POINT('',#450457); #144499=VERTEX_POINT('',#450461); #144500=VERTEX_POINT('',#450463); #144501=VERTEX_POINT('',#450467); #144502=VERTEX_POINT('',#450469); #144503=VERTEX_POINT('',#450473); #144504=VERTEX_POINT('',#450475); #144505=VERTEX_POINT('',#450479); #144506=VERTEX_POINT('',#450481); #144507=VERTEX_POINT('',#450485); #144508=VERTEX_POINT('',#450487); #144509=VERTEX_POINT('',#450491); #144510=VERTEX_POINT('',#450493); #144511=VERTEX_POINT('',#450497); #144512=VERTEX_POINT('',#450499); #144513=VERTEX_POINT('',#450503); #144514=VERTEX_POINT('',#450505); #144515=VERTEX_POINT('',#450509); #144516=VERTEX_POINT('',#450511); #144517=VERTEX_POINT('',#450515); #144518=VERTEX_POINT('',#450517); #144519=VERTEX_POINT('',#450521); #144520=VERTEX_POINT('',#450523); #144521=VERTEX_POINT('',#450527); #144522=VERTEX_POINT('',#450529); #144523=VERTEX_POINT('',#450533); #144524=VERTEX_POINT('',#450535); #144525=VERTEX_POINT('',#450539); #144526=VERTEX_POINT('',#450541); #144527=VERTEX_POINT('',#450545); #144528=VERTEX_POINT('',#450547); #144529=VERTEX_POINT('',#450556); #144530=VERTEX_POINT('',#450558); #144531=VERTEX_POINT('',#450562); #144532=VERTEX_POINT('',#450563); #144533=VERTEX_POINT('',#450565); #144534=VERTEX_POINT('',#450567); #144535=VERTEX_POINT('',#450571); #144536=VERTEX_POINT('',#450573); #144537=VERTEX_POINT('',#450577); #144538=VERTEX_POINT('',#450579); #144539=VERTEX_POINT('',#450583); #144540=VERTEX_POINT('',#450585); #144541=VERTEX_POINT('',#450589); #144542=VERTEX_POINT('',#450591); #144543=VERTEX_POINT('',#450595); #144544=VERTEX_POINT('',#450597); #144545=VERTEX_POINT('',#450601); #144546=VERTEX_POINT('',#450603); #144547=VERTEX_POINT('',#450607); #144548=VERTEX_POINT('',#450609); #144549=VERTEX_POINT('',#450613); #144550=VERTEX_POINT('',#450615); #144551=VERTEX_POINT('',#450619); #144552=VERTEX_POINT('',#450621); #144553=VERTEX_POINT('',#450625); #144554=VERTEX_POINT('',#450627); #144555=VERTEX_POINT('',#450636); #144556=VERTEX_POINT('',#450637); #144557=VERTEX_POINT('',#450639); #144558=VERTEX_POINT('',#450641); #144559=VERTEX_POINT('',#450645); #144560=VERTEX_POINT('',#450647); #144561=VERTEX_POINT('',#450651); #144562=VERTEX_POINT('',#450653); #144563=VERTEX_POINT('',#450657); #144564=VERTEX_POINT('',#450659); #144565=VERTEX_POINT('',#450663); #144566=VERTEX_POINT('',#450665); #144567=VERTEX_POINT('',#450669); #144568=VERTEX_POINT('',#450671); #144569=VERTEX_POINT('',#450675); #144570=VERTEX_POINT('',#450677); #144571=VERTEX_POINT('',#450681); #144572=VERTEX_POINT('',#450683); #144573=VERTEX_POINT('',#450687); #144574=VERTEX_POINT('',#450689); #144575=VERTEX_POINT('',#450693); #144576=VERTEX_POINT('',#450695); #144577=VERTEX_POINT('',#450699); #144578=VERTEX_POINT('',#450701); #144579=VERTEX_POINT('',#450705); #144580=VERTEX_POINT('',#450707); #144581=VERTEX_POINT('',#450711); #144582=VERTEX_POINT('',#450713); #144583=VERTEX_POINT('',#450717); #144584=VERTEX_POINT('',#450719); #144585=VERTEX_POINT('',#450723); #144586=VERTEX_POINT('',#450725); #144587=VERTEX_POINT('',#450729); #144588=VERTEX_POINT('',#450731); #144589=VERTEX_POINT('',#450735); #144590=VERTEX_POINT('',#450737); #144591=VERTEX_POINT('',#450741); #144592=VERTEX_POINT('',#450743); #144593=VERTEX_POINT('',#450747); #144594=VERTEX_POINT('',#450749); #144595=VERTEX_POINT('',#450753); #144596=VERTEX_POINT('',#450755); #144597=VERTEX_POINT('',#450759); #144598=VERTEX_POINT('',#450761); #144599=VERTEX_POINT('',#450765); #144600=VERTEX_POINT('',#450767); #144601=VERTEX_POINT('',#450771); #144602=VERTEX_POINT('',#450773); #144603=VERTEX_POINT('',#450777); #144604=VERTEX_POINT('',#450779); #144605=VERTEX_POINT('',#450783); #144606=VERTEX_POINT('',#450785); #144607=VERTEX_POINT('',#450789); #144608=VERTEX_POINT('',#450791); #144609=VERTEX_POINT('',#450795); #144610=VERTEX_POINT('',#450797); #144611=VERTEX_POINT('',#450801); #144612=VERTEX_POINT('',#450803); #144613=VERTEX_POINT('',#450807); #144614=VERTEX_POINT('',#450809); #144615=VERTEX_POINT('',#450813); #144616=VERTEX_POINT('',#450815); #144617=VERTEX_POINT('',#450819); #144618=VERTEX_POINT('',#450821); #144619=VERTEX_POINT('',#450825); #144620=VERTEX_POINT('',#450827); #144621=VERTEX_POINT('',#450831); #144622=VERTEX_POINT('',#450833); #144623=VERTEX_POINT('',#450837); #144624=VERTEX_POINT('',#450839); #144625=VERTEX_POINT('',#450843); #144626=VERTEX_POINT('',#450845); #144627=VERTEX_POINT('',#450854); #144628=VERTEX_POINT('',#450856); #144629=VERTEX_POINT('',#450860); #144630=VERTEX_POINT('',#450861); #144631=VERTEX_POINT('',#450863); #144632=VERTEX_POINT('',#450865); #144633=VERTEX_POINT('',#450869); #144634=VERTEX_POINT('',#450871); #144635=VERTEX_POINT('',#450875); #144636=VERTEX_POINT('',#450877); #144637=VERTEX_POINT('',#450881); #144638=VERTEX_POINT('',#450883); #144639=VERTEX_POINT('',#450887); #144640=VERTEX_POINT('',#450889); #144641=VERTEX_POINT('',#450893); #144642=VERTEX_POINT('',#450895); #144643=VERTEX_POINT('',#450899); #144644=VERTEX_POINT('',#450901); #144645=VERTEX_POINT('',#450905); #144646=VERTEX_POINT('',#450907); #144647=VERTEX_POINT('',#450911); #144648=VERTEX_POINT('',#450913); #144649=VERTEX_POINT('',#450922); #144650=VERTEX_POINT('',#450924); #144651=VERTEX_POINT('',#450928); #144652=VERTEX_POINT('',#450930); #144653=VERTEX_POINT('',#450934); #144654=VERTEX_POINT('',#450935); #144655=VERTEX_POINT('',#450937); #144656=VERTEX_POINT('',#450939); #144657=VERTEX_POINT('',#450943); #144658=VERTEX_POINT('',#450945); #144659=VERTEX_POINT('',#450949); #144660=VERTEX_POINT('',#450951); #144661=VERTEX_POINT('',#450955); #144662=VERTEX_POINT('',#450957); #144663=VERTEX_POINT('',#450961); #144664=VERTEX_POINT('',#450963); #144665=VERTEX_POINT('',#450967); #144666=VERTEX_POINT('',#450969); #144667=VERTEX_POINT('',#450973); #144668=VERTEX_POINT('',#450975); #144669=VERTEX_POINT('',#450979); #144670=VERTEX_POINT('',#450981); #144671=VERTEX_POINT('',#450985); #144672=VERTEX_POINT('',#450987); #144673=VERTEX_POINT('',#450991); #144674=VERTEX_POINT('',#450993); #144675=VERTEX_POINT('',#450997); #144676=VERTEX_POINT('',#450999); #144677=VERTEX_POINT('',#451003); #144678=VERTEX_POINT('',#451005); #144679=VERTEX_POINT('',#451009); #144680=VERTEX_POINT('',#451011); #144681=VERTEX_POINT('',#451015); #144682=VERTEX_POINT('',#451017); #144683=VERTEX_POINT('',#451021); #144684=VERTEX_POINT('',#451023); #144685=VERTEX_POINT('',#451027); #144686=VERTEX_POINT('',#451029); #144687=VERTEX_POINT('',#451033); #144688=VERTEX_POINT('',#451035); #144689=VERTEX_POINT('',#451039); #144690=VERTEX_POINT('',#451041); #144691=VERTEX_POINT('',#451045); #144692=VERTEX_POINT('',#451047); #144693=VERTEX_POINT('',#451051); #144694=VERTEX_POINT('',#451053); #144695=VERTEX_POINT('',#451062); #144696=VERTEX_POINT('',#451063); #144697=VERTEX_POINT('',#451065); #144698=VERTEX_POINT('',#451067); #144699=VERTEX_POINT('',#451071); #144700=VERTEX_POINT('',#451073); #144701=VERTEX_POINT('',#451077); #144702=VERTEX_POINT('',#451079); #144703=VERTEX_POINT('',#451083); #144704=VERTEX_POINT('',#451085); #144705=VERTEX_POINT('',#451089); #144706=VERTEX_POINT('',#451091); #144707=VERTEX_POINT('',#451095); #144708=VERTEX_POINT('',#451097); #144709=VERTEX_POINT('',#451101); #144710=VERTEX_POINT('',#451103); #144711=VERTEX_POINT('',#451107); #144712=VERTEX_POINT('',#451109); #144713=VERTEX_POINT('',#451113); #144714=VERTEX_POINT('',#451115); #144715=VERTEX_POINT('',#451119); #144716=VERTEX_POINT('',#451121); #144717=VERTEX_POINT('',#451125); #144718=VERTEX_POINT('',#451127); #144719=VERTEX_POINT('',#451131); #144720=VERTEX_POINT('',#451133); #144721=VERTEX_POINT('',#451137); #144722=VERTEX_POINT('',#451139); #144723=VERTEX_POINT('',#451148); #144724=VERTEX_POINT('',#451150); #144725=VERTEX_POINT('',#451154); #144726=VERTEX_POINT('',#451156); #144727=VERTEX_POINT('',#451160); #144728=VERTEX_POINT('',#451162); #144729=VERTEX_POINT('',#451166); #144730=VERTEX_POINT('',#451168); #144731=VERTEX_POINT('',#451172); #144732=VERTEX_POINT('',#451174); #144733=VERTEX_POINT('',#451178); #144734=VERTEX_POINT('',#451180); #144735=VERTEX_POINT('',#451184); #144736=VERTEX_POINT('',#451186); #144737=VERTEX_POINT('',#451190); #144738=VERTEX_POINT('',#451192); #144739=VERTEX_POINT('',#451196); #144740=VERTEX_POINT('',#451198); #144741=VERTEX_POINT('',#451202); #144742=VERTEX_POINT('',#451204); #144743=VERTEX_POINT('',#451208); #144744=VERTEX_POINT('',#451210); #144745=VERTEX_POINT('',#451214); #144746=VERTEX_POINT('',#451215); #144747=VERTEX_POINT('',#451217); #144748=VERTEX_POINT('',#451219); #144749=VERTEX_POINT('',#451223); #144750=VERTEX_POINT('',#451225); #144751=VERTEX_POINT('',#451229); #144752=VERTEX_POINT('',#451231); #144753=VERTEX_POINT('',#451235); #144754=VERTEX_POINT('',#451237); #144755=VERTEX_POINT('',#451241); #144756=VERTEX_POINT('',#451243); #144757=VERTEX_POINT('',#451247); #144758=VERTEX_POINT('',#451249); #144759=VERTEX_POINT('',#451253); #144760=VERTEX_POINT('',#451255); #144761=VERTEX_POINT('',#451259); #144762=VERTEX_POINT('',#451261); #144763=VERTEX_POINT('',#451265); #144764=VERTEX_POINT('',#451267); #144765=VERTEX_POINT('',#451271); #144766=VERTEX_POINT('',#451273); #144767=VERTEX_POINT('',#451277); #144768=VERTEX_POINT('',#451279); #144769=VERTEX_POINT('',#451283); #144770=VERTEX_POINT('',#451285); #144771=VERTEX_POINT('',#451289); #144772=VERTEX_POINT('',#451291); #144773=VERTEX_POINT('',#451295); #144774=VERTEX_POINT('',#451297); #144775=VERTEX_POINT('',#451301); #144776=VERTEX_POINT('',#451303); #144777=VERTEX_POINT('',#451307); #144778=VERTEX_POINT('',#451309); #144779=VERTEX_POINT('',#451313); #144780=VERTEX_POINT('',#451315); #144781=VERTEX_POINT('',#451319); #144782=VERTEX_POINT('',#451321); #144783=VERTEX_POINT('',#451325); #144784=VERTEX_POINT('',#451327); #144785=VERTEX_POINT('',#451331); #144786=VERTEX_POINT('',#451333); #144787=VERTEX_POINT('',#451337); #144788=VERTEX_POINT('',#451339); #144789=VERTEX_POINT('',#451343); #144790=VERTEX_POINT('',#451345); #144791=VERTEX_POINT('',#451349); #144792=VERTEX_POINT('',#451351); #144793=VERTEX_POINT('',#451355); #144794=VERTEX_POINT('',#451357); #144795=VERTEX_POINT('',#451361); #144796=VERTEX_POINT('',#451363); #144797=VERTEX_POINT('',#451367); #144798=VERTEX_POINT('',#451369); #144799=VERTEX_POINT('',#451373); #144800=VERTEX_POINT('',#451375); #144801=VERTEX_POINT('',#451379); #144802=VERTEX_POINT('',#451381); #144803=VERTEX_POINT('',#451385); #144804=VERTEX_POINT('',#451387); #144805=VERTEX_POINT('',#451391); #144806=VERTEX_POINT('',#451393); #144807=VERTEX_POINT('',#451397); #144808=VERTEX_POINT('',#451399); #144809=VERTEX_POINT('',#451403); #144810=VERTEX_POINT('',#451405); #144811=VERTEX_POINT('',#451409); #144812=VERTEX_POINT('',#451411); #144813=VERTEX_POINT('',#451415); #144814=VERTEX_POINT('',#451417); #144815=VERTEX_POINT('',#451421); #144816=VERTEX_POINT('',#451423); #144817=VERTEX_POINT('',#451427); #144818=VERTEX_POINT('',#451429); #144819=VERTEX_POINT('',#451433); #144820=VERTEX_POINT('',#451435); #144821=VERTEX_POINT('',#451439); #144822=VERTEX_POINT('',#451441); #144823=VERTEX_POINT('',#451445); #144824=VERTEX_POINT('',#451447); #144825=VERTEX_POINT('',#451451); #144826=VERTEX_POINT('',#451453); #144827=VERTEX_POINT('',#451457); #144828=VERTEX_POINT('',#451459); #144829=VERTEX_POINT('',#451463); #144830=VERTEX_POINT('',#451465); #144831=VERTEX_POINT('',#451469); #144832=VERTEX_POINT('',#451471); #144833=VERTEX_POINT('',#451475); #144834=VERTEX_POINT('',#451477); #144835=VERTEX_POINT('',#451481); #144836=VERTEX_POINT('',#451483); #144837=VERTEX_POINT('',#451487); #144838=VERTEX_POINT('',#451489); #144839=VERTEX_POINT('',#451493); #144840=VERTEX_POINT('',#451495); #144841=VERTEX_POINT('',#451499); #144842=VERTEX_POINT('',#451501); #144843=VERTEX_POINT('',#451505); #144844=VERTEX_POINT('',#451507); #144845=VERTEX_POINT('',#451511); #144846=VERTEX_POINT('',#451513); #144847=VERTEX_POINT('',#451517); #144848=VERTEX_POINT('',#451519); #144849=VERTEX_POINT('',#451523); #144850=VERTEX_POINT('',#451525); #144851=VERTEX_POINT('',#451529); #144852=VERTEX_POINT('',#451531); #144853=VERTEX_POINT('',#451535); #144854=VERTEX_POINT('',#451537); #144855=VERTEX_POINT('',#451541); #144856=VERTEX_POINT('',#451543); #144857=VERTEX_POINT('',#451547); #144858=VERTEX_POINT('',#451549); #144859=VERTEX_POINT('',#451553); #144860=VERTEX_POINT('',#451555); #144861=VERTEX_POINT('',#451559); #144862=VERTEX_POINT('',#451561); #144863=VERTEX_POINT('',#451565); #144864=VERTEX_POINT('',#451567); #144865=VERTEX_POINT('',#451571); #144866=VERTEX_POINT('',#451573); #144867=VERTEX_POINT('',#451577); #144868=VERTEX_POINT('',#451579); #144869=VERTEX_POINT('',#451583); #144870=VERTEX_POINT('',#451585); #144871=VERTEX_POINT('',#451589); #144872=VERTEX_POINT('',#451591); #144873=VERTEX_POINT('',#451595); #144874=VERTEX_POINT('',#451597); #144875=VERTEX_POINT('',#451601); #144876=VERTEX_POINT('',#451603); #144877=VERTEX_POINT('',#451607); #144878=VERTEX_POINT('',#451609); #144879=VERTEX_POINT('',#451613); #144880=VERTEX_POINT('',#451615); #144881=VERTEX_POINT('',#451619); #144882=VERTEX_POINT('',#451621); #144883=VERTEX_POINT('',#451625); #144884=VERTEX_POINT('',#451627); #144885=VERTEX_POINT('',#451631); #144886=VERTEX_POINT('',#451633); #144887=VERTEX_POINT('',#451637); #144888=VERTEX_POINT('',#451639); #144889=VERTEX_POINT('',#451643); #144890=VERTEX_POINT('',#451645); #144891=VERTEX_POINT('',#451649); #144892=VERTEX_POINT('',#451651); #144893=VERTEX_POINT('',#451655); #144894=VERTEX_POINT('',#451657); #144895=VERTEX_POINT('',#451661); #144896=VERTEX_POINT('',#451663); #144897=VERTEX_POINT('',#451667); #144898=VERTEX_POINT('',#451669); #144899=VERTEX_POINT('',#451673); #144900=VERTEX_POINT('',#451675); #144901=VERTEX_POINT('',#451679); #144902=VERTEX_POINT('',#451681); #144903=VERTEX_POINT('',#451685); #144904=VERTEX_POINT('',#451687); #144905=VERTEX_POINT('',#451691); #144906=VERTEX_POINT('',#451693); #144907=VERTEX_POINT('',#451697); #144908=VERTEX_POINT('',#451699); #144909=VERTEX_POINT('',#451703); #144910=VERTEX_POINT('',#451705); #144911=VERTEX_POINT('',#451709); #144912=VERTEX_POINT('',#451711); #144913=VERTEX_POINT('',#451715); #144914=VERTEX_POINT('',#451717); #144915=VERTEX_POINT('',#451721); #144916=VERTEX_POINT('',#451723); #144917=VERTEX_POINT('',#451727); #144918=VERTEX_POINT('',#451729); #144919=VERTEX_POINT('',#451733); #144920=VERTEX_POINT('',#451735); #144921=VERTEX_POINT('',#451739); #144922=VERTEX_POINT('',#451741); #144923=VERTEX_POINT('',#451745); #144924=VERTEX_POINT('',#451747); #144925=VERTEX_POINT('',#451751); #144926=VERTEX_POINT('',#451753); #144927=VERTEX_POINT('',#451757); #144928=VERTEX_POINT('',#451759); #144929=VERTEX_POINT('',#451763); #144930=VERTEX_POINT('',#451765); #144931=VERTEX_POINT('',#451769); #144932=VERTEX_POINT('',#451771); #144933=VERTEX_POINT('',#451775); #144934=VERTEX_POINT('',#451777); #144935=VERTEX_POINT('',#451781); #144936=VERTEX_POINT('',#451783); #144937=VERTEX_POINT('',#451787); #144938=VERTEX_POINT('',#451789); #144939=VERTEX_POINT('',#451793); #144940=VERTEX_POINT('',#451795); #144941=VERTEX_POINT('',#451799); #144942=VERTEX_POINT('',#451801); #144943=VERTEX_POINT('',#451805); #144944=VERTEX_POINT('',#451807); #144945=VERTEX_POINT('',#451811); #144946=VERTEX_POINT('',#451813); #144947=VERTEX_POINT('',#451817); #144948=VERTEX_POINT('',#451819); #144949=VERTEX_POINT('',#451823); #144950=VERTEX_POINT('',#451825); #144951=VERTEX_POINT('',#451829); #144952=VERTEX_POINT('',#451831); #144953=VERTEX_POINT('',#451835); #144954=VERTEX_POINT('',#451837); #144955=VERTEX_POINT('',#451841); #144956=VERTEX_POINT('',#451843); #144957=VERTEX_POINT('',#451847); #144958=VERTEX_POINT('',#451849); #144959=VERTEX_POINT('',#451853); #144960=VERTEX_POINT('',#451855); #144961=VERTEX_POINT('',#451859); #144962=VERTEX_POINT('',#451861); #144963=VERTEX_POINT('',#451865); #144964=VERTEX_POINT('',#451867); #144965=VERTEX_POINT('',#451871); #144966=VERTEX_POINT('',#451873); #144967=VERTEX_POINT('',#451877); #144968=VERTEX_POINT('',#451879); #144969=VERTEX_POINT('',#451883); #144970=VERTEX_POINT('',#451885); #144971=VERTEX_POINT('',#451889); #144972=VERTEX_POINT('',#451891); #144973=VERTEX_POINT('',#451895); #144974=VERTEX_POINT('',#451897); #144975=VERTEX_POINT('',#451901); #144976=VERTEX_POINT('',#451903); #144977=VERTEX_POINT('',#451907); #144978=VERTEX_POINT('',#451909); #144979=VERTEX_POINT('',#451913); #144980=VERTEX_POINT('',#451915); #144981=VERTEX_POINT('',#451919); #144982=VERTEX_POINT('',#451921); #144983=VERTEX_POINT('',#451925); #144984=VERTEX_POINT('',#451927); #144985=VERTEX_POINT('',#451931); #144986=VERTEX_POINT('',#451933); #144987=VERTEX_POINT('',#451937); #144988=VERTEX_POINT('',#451939); #144989=VERTEX_POINT('',#451943); #144990=VERTEX_POINT('',#451945); #144991=VERTEX_POINT('',#451949); #144992=VERTEX_POINT('',#451951); #144993=VERTEX_POINT('',#451955); #144994=VERTEX_POINT('',#451957); #144995=VERTEX_POINT('',#451961); #144996=VERTEX_POINT('',#451963); #144997=VERTEX_POINT('',#451967); #144998=VERTEX_POINT('',#451969); #144999=VERTEX_POINT('',#451973); #145000=VERTEX_POINT('',#451975); #145001=VERTEX_POINT('',#451979); #145002=VERTEX_POINT('',#451981); #145003=VERTEX_POINT('',#451985); #145004=VERTEX_POINT('',#451987); #145005=VERTEX_POINT('',#451991); #145006=VERTEX_POINT('',#451993); #145007=VERTEX_POINT('',#451997); #145008=VERTEX_POINT('',#451999); #145009=VERTEX_POINT('',#452003); #145010=VERTEX_POINT('',#452005); #145011=VERTEX_POINT('',#452014); #145012=VERTEX_POINT('',#452016); #145013=VERTEX_POINT('',#452020); #145014=VERTEX_POINT('',#452021); #145015=VERTEX_POINT('',#452023); #145016=VERTEX_POINT('',#452025); #145017=VERTEX_POINT('',#452029); #145018=VERTEX_POINT('',#452031); #145019=VERTEX_POINT('',#452040); #145020=VERTEX_POINT('',#452041); #145021=VERTEX_POINT('',#452043); #145022=VERTEX_POINT('',#452045); #145023=VERTEX_POINT('',#452049); #145024=VERTEX_POINT('',#452051); #145025=VERTEX_POINT('',#452055); #145026=VERTEX_POINT('',#452057); #145027=VERTEX_POINT('',#452061); #145028=VERTEX_POINT('',#452063); #145029=VERTEX_POINT('',#452067); #145030=VERTEX_POINT('',#452069); #145031=VERTEX_POINT('',#452073); #145032=VERTEX_POINT('',#452075); #145033=VERTEX_POINT('',#452079); #145034=VERTEX_POINT('',#452081); #145035=VERTEX_POINT('',#452085); #145036=VERTEX_POINT('',#452087); #145037=VERTEX_POINT('',#452091); #145038=VERTEX_POINT('',#452093); #145039=VERTEX_POINT('',#452097); #145040=VERTEX_POINT('',#452099); #145041=VERTEX_POINT('',#452103); #145042=VERTEX_POINT('',#452105); #145043=VERTEX_POINT('',#452109); #145044=VERTEX_POINT('',#452111); #145045=VERTEX_POINT('',#452115); #145046=VERTEX_POINT('',#452117); #145047=VERTEX_POINT('',#452121); #145048=VERTEX_POINT('',#452123); #145049=VERTEX_POINT('',#452127); #145050=VERTEX_POINT('',#452129); #145051=VERTEX_POINT('',#452133); #145052=VERTEX_POINT('',#452135); #145053=VERTEX_POINT('',#452139); #145054=VERTEX_POINT('',#452141); #145055=VERTEX_POINT('',#452145); #145056=VERTEX_POINT('',#452147); #145057=VERTEX_POINT('',#452151); #145058=VERTEX_POINT('',#452153); #145059=VERTEX_POINT('',#452162); #145060=VERTEX_POINT('',#452163); #145061=VERTEX_POINT('',#452165); #145062=VERTEX_POINT('',#452167); #145063=VERTEX_POINT('',#452171); #145064=VERTEX_POINT('',#452173); #145065=VERTEX_POINT('',#452177); #145066=VERTEX_POINT('',#452179); #145067=VERTEX_POINT('',#452183); #145068=VERTEX_POINT('',#452185); #145069=VERTEX_POINT('',#452189); #145070=VERTEX_POINT('',#452191); #145071=VERTEX_POINT('',#452195); #145072=VERTEX_POINT('',#452197); #145073=VERTEX_POINT('',#452201); #145074=VERTEX_POINT('',#452203); #145075=VERTEX_POINT('',#452207); #145076=VERTEX_POINT('',#452209); #145077=VERTEX_POINT('',#452213); #145078=VERTEX_POINT('',#452215); #145079=VERTEX_POINT('',#452219); #145080=VERTEX_POINT('',#452221); #145081=VERTEX_POINT('',#452225); #145082=VERTEX_POINT('',#452227); #145083=VERTEX_POINT('',#452231); #145084=VERTEX_POINT('',#452233); #145085=VERTEX_POINT('',#452237); #145086=VERTEX_POINT('',#452239); #145087=VERTEX_POINT('',#452243); #145088=VERTEX_POINT('',#452245); #145089=VERTEX_POINT('',#452249); #145090=VERTEX_POINT('',#452251); #145091=VERTEX_POINT('',#452255); #145092=VERTEX_POINT('',#452257); #145093=VERTEX_POINT('',#452261); #145094=VERTEX_POINT('',#452263); #145095=VERTEX_POINT('',#452267); #145096=VERTEX_POINT('',#452269); #145097=VERTEX_POINT('',#452273); #145098=VERTEX_POINT('',#452275); #145099=VERTEX_POINT('',#452279); #145100=VERTEX_POINT('',#452281); #145101=VERTEX_POINT('',#452290); #145102=VERTEX_POINT('',#452291); #145103=VERTEX_POINT('',#452293); #145104=VERTEX_POINT('',#452295); #145105=VERTEX_POINT('',#452299); #145106=VERTEX_POINT('',#452301); #145107=VERTEX_POINT('',#452305); #145108=VERTEX_POINT('',#452307); #145109=VERTEX_POINT('',#452311); #145110=VERTEX_POINT('',#452313); #145111=VERTEX_POINT('',#452317); #145112=VERTEX_POINT('',#452319); #145113=VERTEX_POINT('',#452323); #145114=VERTEX_POINT('',#452325); #145115=VERTEX_POINT('',#452329); #145116=VERTEX_POINT('',#452331); #145117=VERTEX_POINT('',#452335); #145118=VERTEX_POINT('',#452337); #145119=VERTEX_POINT('',#452341); #145120=VERTEX_POINT('',#452343); #145121=VERTEX_POINT('',#452347); #145122=VERTEX_POINT('',#452349); #145123=VERTEX_POINT('',#452353); #145124=VERTEX_POINT('',#452355); #145125=VERTEX_POINT('',#452359); #145126=VERTEX_POINT('',#452361); #145127=VERTEX_POINT('',#452365); #145128=VERTEX_POINT('',#452367); #145129=VERTEX_POINT('',#452371); #145130=VERTEX_POINT('',#452373); #145131=VERTEX_POINT('',#452377); #145132=VERTEX_POINT('',#452379); #145133=VERTEX_POINT('',#452383); #145134=VERTEX_POINT('',#452385); #145135=VERTEX_POINT('',#452389); #145136=VERTEX_POINT('',#452391); #145137=VERTEX_POINT('',#452395); #145138=VERTEX_POINT('',#452397); #145139=VERTEX_POINT('',#452401); #145140=VERTEX_POINT('',#452403); #145141=VERTEX_POINT('',#452412); #145142=VERTEX_POINT('',#452413); #145143=VERTEX_POINT('',#452415); #145144=VERTEX_POINT('',#452417); #145145=VERTEX_POINT('',#452421); #145146=VERTEX_POINT('',#452423); #145147=VERTEX_POINT('',#452427); #145148=VERTEX_POINT('',#452429); #145149=VERTEX_POINT('',#452433); #145150=VERTEX_POINT('',#452435); #145151=VERTEX_POINT('',#452439); #145152=VERTEX_POINT('',#452441); #145153=VERTEX_POINT('',#452445); #145154=VERTEX_POINT('',#452447); #145155=VERTEX_POINT('',#452451); #145156=VERTEX_POINT('',#452453); #145157=VERTEX_POINT('',#452457); #145158=VERTEX_POINT('',#452459); #145159=VERTEX_POINT('',#452463); #145160=VERTEX_POINT('',#452465); #145161=VERTEX_POINT('',#452469); #145162=VERTEX_POINT('',#452471); #145163=VERTEX_POINT('',#452475); #145164=VERTEX_POINT('',#452477); #145165=VERTEX_POINT('',#452481); #145166=VERTEX_POINT('',#452483); #145167=VERTEX_POINT('',#452487); #145168=VERTEX_POINT('',#452489); #145169=VERTEX_POINT('',#452493); #145170=VERTEX_POINT('',#452495); #145171=VERTEX_POINT('',#452499); #145172=VERTEX_POINT('',#452501); #145173=VERTEX_POINT('',#452505); #145174=VERTEX_POINT('',#452507); #145175=VERTEX_POINT('',#452511); #145176=VERTEX_POINT('',#452513); #145177=VERTEX_POINT('',#452517); #145178=VERTEX_POINT('',#452519); #145179=VERTEX_POINT('',#452523); #145180=VERTEX_POINT('',#452525); #145181=VERTEX_POINT('',#452529); #145182=VERTEX_POINT('',#452531); #145183=VERTEX_POINT('',#452540); #145184=VERTEX_POINT('',#452542); #145185=VERTEX_POINT('',#452546); #145186=VERTEX_POINT('',#452548); #145187=VERTEX_POINT('',#452552); #145188=VERTEX_POINT('',#452554); #145189=VERTEX_POINT('',#452558); #145190=VERTEX_POINT('',#452559); #145191=VERTEX_POINT('',#452561); #145192=VERTEX_POINT('',#452563); #145193=VERTEX_POINT('',#452567); #145194=VERTEX_POINT('',#452569); #145195=VERTEX_POINT('',#452573); #145196=VERTEX_POINT('',#452575); #145197=VERTEX_POINT('',#452579); #145198=VERTEX_POINT('',#452581); #145199=VERTEX_POINT('',#452585); #145200=VERTEX_POINT('',#452587); #145201=VERTEX_POINT('',#452591); #145202=VERTEX_POINT('',#452593); #145203=VERTEX_POINT('',#452597); #145204=VERTEX_POINT('',#452599); #145205=VERTEX_POINT('',#452603); #145206=VERTEX_POINT('',#452605); #145207=VERTEX_POINT('',#452609); #145208=VERTEX_POINT('',#452611); #145209=VERTEX_POINT('',#452615); #145210=VERTEX_POINT('',#452617); #145211=VERTEX_POINT('',#452621); #145212=VERTEX_POINT('',#452623); #145213=VERTEX_POINT('',#452627); #145214=VERTEX_POINT('',#452629); #145215=VERTEX_POINT('',#452633); #145216=VERTEX_POINT('',#452635); #145217=VERTEX_POINT('',#452639); #145218=VERTEX_POINT('',#452641); #145219=VERTEX_POINT('',#452645); #145220=VERTEX_POINT('',#452647); #145221=VERTEX_POINT('',#452651); #145222=VERTEX_POINT('',#452653); #145223=VERTEX_POINT('',#452657); #145224=VERTEX_POINT('',#452659); #145225=VERTEX_POINT('',#452663); #145226=VERTEX_POINT('',#452665); #145227=VERTEX_POINT('',#452669); #145228=VERTEX_POINT('',#452671); #145229=VERTEX_POINT('',#452675); #145230=VERTEX_POINT('',#452677); #145231=VERTEX_POINT('',#452681); #145232=VERTEX_POINT('',#452683); #145233=VERTEX_POINT('',#452687); #145234=VERTEX_POINT('',#452689); #145235=VERTEX_POINT('',#452693); #145236=VERTEX_POINT('',#452695); #145237=VERTEX_POINT('',#452699); #145238=VERTEX_POINT('',#452701); #145239=VERTEX_POINT('',#452705); #145240=VERTEX_POINT('',#452707); #145241=VERTEX_POINT('',#452711); #145242=VERTEX_POINT('',#452713); #145243=VERTEX_POINT('',#452717); #145244=VERTEX_POINT('',#452719); #145245=VERTEX_POINT('',#452723); #145246=VERTEX_POINT('',#452725); #145247=VERTEX_POINT('',#452729); #145248=VERTEX_POINT('',#452731); #145249=VERTEX_POINT('',#452735); #145250=VERTEX_POINT('',#452737); #145251=VERTEX_POINT('',#452741); #145252=VERTEX_POINT('',#452743); #145253=VERTEX_POINT('',#452747); #145254=VERTEX_POINT('',#452749); #145255=VERTEX_POINT('',#452753); #145256=VERTEX_POINT('',#452755); #145257=VERTEX_POINT('',#452759); #145258=VERTEX_POINT('',#452761); #145259=VERTEX_POINT('',#452765); #145260=VERTEX_POINT('',#452767); #145261=VERTEX_POINT('',#452771); #145262=VERTEX_POINT('',#452773); #145263=VERTEX_POINT('',#452777); #145264=VERTEX_POINT('',#452779); #145265=VERTEX_POINT('',#452783); #145266=VERTEX_POINT('',#452785); #145267=VERTEX_POINT('',#452789); #145268=VERTEX_POINT('',#452791); #145269=VERTEX_POINT('',#452795); #145270=VERTEX_POINT('',#452797); #145271=VERTEX_POINT('',#452801); #145272=VERTEX_POINT('',#452803); #145273=VERTEX_POINT('',#452807); #145274=VERTEX_POINT('',#452809); #145275=VERTEX_POINT('',#452813); #145276=VERTEX_POINT('',#452815); #145277=VERTEX_POINT('',#452819); #145278=VERTEX_POINT('',#452821); #145279=VERTEX_POINT('',#452825); #145280=VERTEX_POINT('',#452827); #145281=VERTEX_POINT('',#452831); #145282=VERTEX_POINT('',#452833); #145283=VERTEX_POINT('',#452837); #145284=VERTEX_POINT('',#452839); #145285=VERTEX_POINT('',#452843); #145286=VERTEX_POINT('',#452845); #145287=VERTEX_POINT('',#452849); #145288=VERTEX_POINT('',#452851); #145289=VERTEX_POINT('',#452855); #145290=VERTEX_POINT('',#452857); #145291=VERTEX_POINT('',#452861); #145292=VERTEX_POINT('',#452863); #145293=VERTEX_POINT('',#452867); #145294=VERTEX_POINT('',#452869); #145295=VERTEX_POINT('',#452873); #145296=VERTEX_POINT('',#452875); #145297=VERTEX_POINT('',#452879); #145298=VERTEX_POINT('',#452881); #145299=VERTEX_POINT('',#452885); #145300=VERTEX_POINT('',#452887); #145301=VERTEX_POINT('',#452891); #145302=VERTEX_POINT('',#452893); #145303=VERTEX_POINT('',#452897); #145304=VERTEX_POINT('',#452899); #145305=VERTEX_POINT('',#452903); #145306=VERTEX_POINT('',#452905); #145307=VERTEX_POINT('',#452909); #145308=VERTEX_POINT('',#452911); #145309=VERTEX_POINT('',#452915); #145310=VERTEX_POINT('',#452917); #145311=VERTEX_POINT('',#452921); #145312=VERTEX_POINT('',#452923); #145313=VERTEX_POINT('',#452927); #145314=VERTEX_POINT('',#452929); #145315=VERTEX_POINT('',#452933); #145316=VERTEX_POINT('',#452935); #145317=VERTEX_POINT('',#452939); #145318=VERTEX_POINT('',#452941); #145319=VERTEX_POINT('',#452945); #145320=VERTEX_POINT('',#452947); #145321=VERTEX_POINT('',#452951); #145322=VERTEX_POINT('',#452953); #145323=VERTEX_POINT('',#452957); #145324=VERTEX_POINT('',#452959); #145325=VERTEX_POINT('',#452963); #145326=VERTEX_POINT('',#452965); #145327=VERTEX_POINT('',#452969); #145328=VERTEX_POINT('',#452971); #145329=VERTEX_POINT('',#452975); #145330=VERTEX_POINT('',#452977); #145331=VERTEX_POINT('',#452981); #145332=VERTEX_POINT('',#452983); #145333=VERTEX_POINT('',#452987); #145334=VERTEX_POINT('',#452989); #145335=VERTEX_POINT('',#452993); #145336=VERTEX_POINT('',#452995); #145337=VERTEX_POINT('',#452999); #145338=VERTEX_POINT('',#453001); #145339=VERTEX_POINT('',#453005); #145340=VERTEX_POINT('',#453007); #145341=VERTEX_POINT('',#453011); #145342=VERTEX_POINT('',#453013); #145343=VERTEX_POINT('',#453017); #145344=VERTEX_POINT('',#453019); #145345=VERTEX_POINT('',#453023); #145346=VERTEX_POINT('',#453025); #145347=VERTEX_POINT('',#453029); #145348=VERTEX_POINT('',#453031); #145349=VERTEX_POINT('',#453035); #145350=VERTEX_POINT('',#453037); #145351=VERTEX_POINT('',#453041); #145352=VERTEX_POINT('',#453043); #145353=VERTEX_POINT('',#453047); #145354=VERTEX_POINT('',#453049); #145355=VERTEX_POINT('',#453053); #145356=VERTEX_POINT('',#453055); #145357=VERTEX_POINT('',#453059); #145358=VERTEX_POINT('',#453061); #145359=VERTEX_POINT('',#453065); #145360=VERTEX_POINT('',#453067); #145361=VERTEX_POINT('',#453071); #145362=VERTEX_POINT('',#453073); #145363=VERTEX_POINT('',#453077); #145364=VERTEX_POINT('',#453079); #145365=VERTEX_POINT('',#453083); #145366=VERTEX_POINT('',#453085); #145367=VERTEX_POINT('',#453089); #145368=VERTEX_POINT('',#453091); #145369=VERTEX_POINT('',#453100); #145370=VERTEX_POINT('',#453101); #145371=VERTEX_POINT('',#453103); #145372=VERTEX_POINT('',#453105); #145373=VERTEX_POINT('',#453109); #145374=VERTEX_POINT('',#453111); #145375=VERTEX_POINT('',#453115); #145376=VERTEX_POINT('',#453117); #145377=VERTEX_POINT('',#453121); #145378=VERTEX_POINT('',#453123); #145379=VERTEX_POINT('',#453127); #145380=VERTEX_POINT('',#453129); #145381=VERTEX_POINT('',#453133); #145382=VERTEX_POINT('',#453135); #145383=VERTEX_POINT('',#453139); #145384=VERTEX_POINT('',#453141); #145385=VERTEX_POINT('',#453145); #145386=VERTEX_POINT('',#453147); #145387=VERTEX_POINT('',#453151); #145388=VERTEX_POINT('',#453153); #145389=VERTEX_POINT('',#453157); #145390=VERTEX_POINT('',#453159); #145391=VERTEX_POINT('',#453163); #145392=VERTEX_POINT('',#453165); #145393=VERTEX_POINT('',#453169); #145394=VERTEX_POINT('',#453171); #145395=VERTEX_POINT('',#453175); #145396=VERTEX_POINT('',#453177); #145397=VERTEX_POINT('',#453181); #145398=VERTEX_POINT('',#453183); #145399=VERTEX_POINT('',#453187); #145400=VERTEX_POINT('',#453189); #145401=VERTEX_POINT('',#453193); #145402=VERTEX_POINT('',#453195); #145403=VERTEX_POINT('',#453199); #145404=VERTEX_POINT('',#453201); #145405=VERTEX_POINT('',#453205); #145406=VERTEX_POINT('',#453207); #145407=VERTEX_POINT('',#453211); #145408=VERTEX_POINT('',#453213); #145409=VERTEX_POINT('',#453217); #145410=VERTEX_POINT('',#453219); #145411=VERTEX_POINT('',#453223); #145412=VERTEX_POINT('',#453225); #145413=VERTEX_POINT('',#453229); #145414=VERTEX_POINT('',#453231); #145415=VERTEX_POINT('',#453235); #145416=VERTEX_POINT('',#453237); #145417=VERTEX_POINT('',#453241); #145418=VERTEX_POINT('',#453243); #145419=VERTEX_POINT('',#453247); #145420=VERTEX_POINT('',#453249); #145421=VERTEX_POINT('',#453253); #145422=VERTEX_POINT('',#453255); #145423=VERTEX_POINT('',#453259); #145424=VERTEX_POINT('',#453261); #145425=VERTEX_POINT('',#453265); #145426=VERTEX_POINT('',#453267); #145427=VERTEX_POINT('',#453271); #145428=VERTEX_POINT('',#453273); #145429=VERTEX_POINT('',#453277); #145430=VERTEX_POINT('',#453279); #145431=VERTEX_POINT('',#453283); #145432=VERTEX_POINT('',#453285); #145433=VERTEX_POINT('',#453289); #145434=VERTEX_POINT('',#453291); #145435=VERTEX_POINT('',#453295); #145436=VERTEX_POINT('',#453297); #145437=VERTEX_POINT('',#453306); #145438=VERTEX_POINT('',#453307); #145439=VERTEX_POINT('',#453309); #145440=VERTEX_POINT('',#453311); #145441=VERTEX_POINT('',#453315); #145442=VERTEX_POINT('',#453317); #145443=VERTEX_POINT('',#453321); #145444=VERTEX_POINT('',#453323); #145445=VERTEX_POINT('',#453327); #145446=VERTEX_POINT('',#453329); #145447=VERTEX_POINT('',#453333); #145448=VERTEX_POINT('',#453335); #145449=VERTEX_POINT('',#453339); #145450=VERTEX_POINT('',#453341); #145451=VERTEX_POINT('',#453345); #145452=VERTEX_POINT('',#453347); #145453=VERTEX_POINT('',#453351); #145454=VERTEX_POINT('',#453353); #145455=VERTEX_POINT('',#453357); #145456=VERTEX_POINT('',#453359); #145457=VERTEX_POINT('',#453363); #145458=VERTEX_POINT('',#453365); #145459=VERTEX_POINT('',#453369); #145460=VERTEX_POINT('',#453371); #145461=VERTEX_POINT('',#453375); #145462=VERTEX_POINT('',#453377); #145463=VERTEX_POINT('',#453381); #145464=VERTEX_POINT('',#453383); #145465=VERTEX_POINT('',#453387); #145466=VERTEX_POINT('',#453389); #145467=VERTEX_POINT('',#453393); #145468=VERTEX_POINT('',#453395); #145469=VERTEX_POINT('',#453399); #145470=VERTEX_POINT('',#453401); #145471=VERTEX_POINT('',#453405); #145472=VERTEX_POINT('',#453407); #145473=VERTEX_POINT('',#453411); #145474=VERTEX_POINT('',#453413); #145475=VERTEX_POINT('',#453417); #145476=VERTEX_POINT('',#453419); #145477=VERTEX_POINT('',#453428); #145478=VERTEX_POINT('',#453429); #145479=VERTEX_POINT('',#453431); #145480=VERTEX_POINT('',#453433); #145481=VERTEX_POINT('',#453437); #145482=VERTEX_POINT('',#453439); #145483=VERTEX_POINT('',#453443); #145484=VERTEX_POINT('',#453445); #145485=VERTEX_POINT('',#453449); #145486=VERTEX_POINT('',#453451); #145487=VERTEX_POINT('',#453455); #145488=VERTEX_POINT('',#453457); #145489=VERTEX_POINT('',#453461); #145490=VERTEX_POINT('',#453463); #145491=VERTEX_POINT('',#453467); #145492=VERTEX_POINT('',#453469); #145493=VERTEX_POINT('',#453473); #145494=VERTEX_POINT('',#453475); #145495=VERTEX_POINT('',#453479); #145496=VERTEX_POINT('',#453481); #145497=VERTEX_POINT('',#453485); #145498=VERTEX_POINT('',#453487); #145499=VERTEX_POINT('',#453491); #145500=VERTEX_POINT('',#453493); #145501=VERTEX_POINT('',#453497); #145502=VERTEX_POINT('',#453499); #145503=VERTEX_POINT('',#453503); #145504=VERTEX_POINT('',#453505); #145505=VERTEX_POINT('',#453509); #145506=VERTEX_POINT('',#453511); #145507=VERTEX_POINT('',#453515); #145508=VERTEX_POINT('',#453517); #145509=VERTEX_POINT('',#453521); #145510=VERTEX_POINT('',#453523); #145511=VERTEX_POINT('',#453527); #145512=VERTEX_POINT('',#453529); #145513=VERTEX_POINT('',#453533); #145514=VERTEX_POINT('',#453535); #145515=VERTEX_POINT('',#453539); #145516=VERTEX_POINT('',#453541); #145517=VERTEX_POINT('',#453545); #145518=VERTEX_POINT('',#453547); #145519=VERTEX_POINT('',#453556); #145520=VERTEX_POINT('',#453558); #145521=VERTEX_POINT('',#453562); #145522=VERTEX_POINT('',#453563); #145523=VERTEX_POINT('',#453565); #145524=VERTEX_POINT('',#453567); #145525=VERTEX_POINT('',#453571); #145526=VERTEX_POINT('',#453573); #145527=VERTEX_POINT('',#453577); #145528=VERTEX_POINT('',#453579); #145529=VERTEX_POINT('',#453586); #145530=VERTEX_POINT('',#453588); #145531=VERTEX_POINT('',#453592); #145532=VERTEX_POINT('',#453594); #145533=VERTEX_POINT('',#453598); #145534=VERTEX_POINT('',#453600); #145535=VERTEX_POINT('',#453604); #145536=VERTEX_POINT('',#453606); #145537=VERTEX_POINT('',#453610); #145538=VERTEX_POINT('',#453612); #145539=VERTEX_POINT('',#453616); #145540=VERTEX_POINT('',#453618); #145541=VERTEX_POINT('',#453622); #145542=VERTEX_POINT('',#453624); #145543=VERTEX_POINT('',#453628); #145544=VERTEX_POINT('',#453630); #145545=VERTEX_POINT('',#453634); #145546=VERTEX_POINT('',#453636); #145547=VERTEX_POINT('',#453640); #145548=VERTEX_POINT('',#453641); #145549=VERTEX_POINT('',#453643); #145550=VERTEX_POINT('',#453645); #145551=VERTEX_POINT('',#453649); #145552=VERTEX_POINT('',#453651); #145553=VERTEX_POINT('',#453655); #145554=VERTEX_POINT('',#453657); #145555=VERTEX_POINT('',#453661); #145556=VERTEX_POINT('',#453663); #145557=VERTEX_POINT('',#453667); #145558=VERTEX_POINT('',#453669); #145559=VERTEX_POINT('',#453673); #145560=VERTEX_POINT('',#453675); #145561=VERTEX_POINT('',#453679); #145562=VERTEX_POINT('',#453681); #145563=VERTEX_POINT('',#453685); #145564=VERTEX_POINT('',#453687); #145565=VERTEX_POINT('',#453691); #145566=VERTEX_POINT('',#453693); #145567=VERTEX_POINT('',#453697); #145568=VERTEX_POINT('',#453699); #145569=VERTEX_POINT('',#453703); #145570=VERTEX_POINT('',#453705); #145571=VERTEX_POINT('',#453709); #145572=VERTEX_POINT('',#453711); #145573=VERTEX_POINT('',#453715); #145574=VERTEX_POINT('',#453717); #145575=VERTEX_POINT('',#453721); #145576=VERTEX_POINT('',#453723); #145577=VERTEX_POINT('',#453727); #145578=VERTEX_POINT('',#453729); #145579=VERTEX_POINT('',#453736); #145580=VERTEX_POINT('',#453737); #145581=VERTEX_POINT('',#453739); #145582=VERTEX_POINT('',#453741); #145583=VERTEX_POINT('',#453745); #145584=VERTEX_POINT('',#453747); #145585=VERTEX_POINT('',#453751); #145586=VERTEX_POINT('',#453753); #145587=VERTEX_POINT('',#453757); #145588=VERTEX_POINT('',#453759); #145589=VERTEX_POINT('',#453763); #145590=VERTEX_POINT('',#453765); #145591=VERTEX_POINT('',#453769); #145592=VERTEX_POINT('',#453771); #145593=VERTEX_POINT('',#453775); #145594=VERTEX_POINT('',#453777); #145595=VERTEX_POINT('',#453781); #145596=VERTEX_POINT('',#453783); #145597=VERTEX_POINT('',#453787); #145598=VERTEX_POINT('',#453789); #145599=VERTEX_POINT('',#453793); #145600=VERTEX_POINT('',#453795); #145601=VERTEX_POINT('',#453799); #145602=VERTEX_POINT('',#453801); #145603=VERTEX_POINT('',#453805); #145604=VERTEX_POINT('',#453807); #145605=VERTEX_POINT('',#453811); #145606=VERTEX_POINT('',#453813); #145607=VERTEX_POINT('',#453817); #145608=VERTEX_POINT('',#453819); #145609=VERTEX_POINT('',#453823); #145610=VERTEX_POINT('',#453825); #145611=VERTEX_POINT('',#453829); #145612=VERTEX_POINT('',#453831); #145613=VERTEX_POINT('',#453835); #145614=VERTEX_POINT('',#453837); #145615=VERTEX_POINT('',#453841); #145616=VERTEX_POINT('',#453843); #145617=VERTEX_POINT('',#453847); #145618=VERTEX_POINT('',#453849); #145619=VERTEX_POINT('',#453853); #145620=VERTEX_POINT('',#453855); #145621=VERTEX_POINT('',#453859); #145622=VERTEX_POINT('',#453861); #145623=VERTEX_POINT('',#453865); #145624=VERTEX_POINT('',#453867); #145625=VERTEX_POINT('',#453871); #145626=VERTEX_POINT('',#453873); #145627=VERTEX_POINT('',#453877); #145628=VERTEX_POINT('',#453879); #145629=VERTEX_POINT('',#453883); #145630=VERTEX_POINT('',#453885); #145631=VERTEX_POINT('',#453889); #145632=VERTEX_POINT('',#453891); #145633=VERTEX_POINT('',#453895); #145634=VERTEX_POINT('',#453897); #145635=VERTEX_POINT('',#453901); #145636=VERTEX_POINT('',#453903); #145637=VERTEX_POINT('',#453907); #145638=VERTEX_POINT('',#453909); #145639=VERTEX_POINT('',#453913); #145640=VERTEX_POINT('',#453915); #145641=VERTEX_POINT('',#453919); #145642=VERTEX_POINT('',#453921); #145643=VERTEX_POINT('',#453925); #145644=VERTEX_POINT('',#453927); #145645=VERTEX_POINT('',#453931); #145646=VERTEX_POINT('',#453933); #145647=VERTEX_POINT('',#453937); #145648=VERTEX_POINT('',#453939); #145649=VERTEX_POINT('',#453943); #145650=VERTEX_POINT('',#453945); #145651=VERTEX_POINT('',#453949); #145652=VERTEX_POINT('',#453951); #145653=VERTEX_POINT('',#453955); #145654=VERTEX_POINT('',#453957); #145655=VERTEX_POINT('',#453961); #145656=VERTEX_POINT('',#453963); #145657=VERTEX_POINT('',#453967); #145658=VERTEX_POINT('',#453969); #145659=VERTEX_POINT('',#453973); #145660=VERTEX_POINT('',#453975); #145661=VERTEX_POINT('',#453979); #145662=VERTEX_POINT('',#453981); #145663=VERTEX_POINT('',#453985); #145664=VERTEX_POINT('',#453987); #145665=VERTEX_POINT('',#453991); #145666=VERTEX_POINT('',#453993); #145667=VERTEX_POINT('',#453997); #145668=VERTEX_POINT('',#453999); #145669=VERTEX_POINT('',#454003); #145670=VERTEX_POINT('',#454005); #145671=VERTEX_POINT('',#454009); #145672=VERTEX_POINT('',#454011); #145673=VERTEX_POINT('',#454015); #145674=VERTEX_POINT('',#454017); #145675=VERTEX_POINT('',#454021); #145676=VERTEX_POINT('',#454023); #145677=VERTEX_POINT('',#454027); #145678=VERTEX_POINT('',#454029); #145679=VERTEX_POINT('',#454033); #145680=VERTEX_POINT('',#454035); #145681=VERTEX_POINT('',#454039); #145682=VERTEX_POINT('',#454041); #145683=VERTEX_POINT('',#454045); #145684=VERTEX_POINT('',#454047); #145685=VERTEX_POINT('',#454051); #145686=VERTEX_POINT('',#454053); #145687=VERTEX_POINT('',#454057); #145688=VERTEX_POINT('',#454059); #145689=VERTEX_POINT('',#454063); #145690=VERTEX_POINT('',#454065); #145691=VERTEX_POINT('',#454069); #145692=VERTEX_POINT('',#454071); #145693=VERTEX_POINT('',#454075); #145694=VERTEX_POINT('',#454077); #145695=VERTEX_POINT('',#454081); #145696=VERTEX_POINT('',#454083); #145697=VERTEX_POINT('',#454087); #145698=VERTEX_POINT('',#454089); #145699=VERTEX_POINT('',#454093); #145700=VERTEX_POINT('',#454095); #145701=VERTEX_POINT('',#454099); #145702=VERTEX_POINT('',#454101); #145703=VERTEX_POINT('',#454105); #145704=VERTEX_POINT('',#454107); #145705=VERTEX_POINT('',#454111); #145706=VERTEX_POINT('',#454113); #145707=VERTEX_POINT('',#454117); #145708=VERTEX_POINT('',#454119); #145709=VERTEX_POINT('',#454123); #145710=VERTEX_POINT('',#454125); #145711=VERTEX_POINT('',#454129); #145712=VERTEX_POINT('',#454131); #145713=VERTEX_POINT('',#454135); #145714=VERTEX_POINT('',#454137); #145715=VERTEX_POINT('',#454141); #145716=VERTEX_POINT('',#454143); #145717=VERTEX_POINT('',#454147); #145718=VERTEX_POINT('',#454149); #145719=VERTEX_POINT('',#454153); #145720=VERTEX_POINT('',#454155); #145721=VERTEX_POINT('',#454159); #145722=VERTEX_POINT('',#454161); #145723=VERTEX_POINT('',#454165); #145724=VERTEX_POINT('',#454167); #145725=VERTEX_POINT('',#454171); #145726=VERTEX_POINT('',#454173); #145727=VERTEX_POINT('',#454177); #145728=VERTEX_POINT('',#454179); #145729=VERTEX_POINT('',#454183); #145730=VERTEX_POINT('',#454185); #145731=VERTEX_POINT('',#454189); #145732=VERTEX_POINT('',#454191); #145733=VERTEX_POINT('',#454195); #145734=VERTEX_POINT('',#454197); #145735=VERTEX_POINT('',#454201); #145736=VERTEX_POINT('',#454203); #145737=VERTEX_POINT('',#454207); #145738=VERTEX_POINT('',#454209); #145739=VERTEX_POINT('',#454213); #145740=VERTEX_POINT('',#454215); #145741=VERTEX_POINT('',#454219); #145742=VERTEX_POINT('',#454221); #145743=VERTEX_POINT('',#454225); #145744=VERTEX_POINT('',#454227); #145745=VERTEX_POINT('',#454231); #145746=VERTEX_POINT('',#454233); #145747=VERTEX_POINT('',#454237); #145748=VERTEX_POINT('',#454239); #145749=VERTEX_POINT('',#454243); #145750=VERTEX_POINT('',#454245); #145751=VERTEX_POINT('',#454249); #145752=VERTEX_POINT('',#454251); #145753=VERTEX_POINT('',#454255); #145754=VERTEX_POINT('',#454257); #145755=VERTEX_POINT('',#454261); #145756=VERTEX_POINT('',#454263); #145757=VERTEX_POINT('',#454267); #145758=VERTEX_POINT('',#454269); #145759=VERTEX_POINT('',#454273); #145760=VERTEX_POINT('',#454275); #145761=VERTEX_POINT('',#454279); #145762=VERTEX_POINT('',#454281); #145763=VERTEX_POINT('',#454285); #145764=VERTEX_POINT('',#454287); #145765=VERTEX_POINT('',#454291); #145766=VERTEX_POINT('',#454293); #145767=VERTEX_POINT('',#454297); #145768=VERTEX_POINT('',#454299); #145769=VERTEX_POINT('',#454303); #145770=VERTEX_POINT('',#454305); #145771=VERTEX_POINT('',#454309); #145772=VERTEX_POINT('',#454311); #145773=VERTEX_POINT('',#454315); #145774=VERTEX_POINT('',#454317); #145775=VERTEX_POINT('',#454321); #145776=VERTEX_POINT('',#454323); #145777=VERTEX_POINT('',#454327); #145778=VERTEX_POINT('',#454329); #145779=VERTEX_POINT('',#454333); #145780=VERTEX_POINT('',#454335); #145781=VERTEX_POINT('',#454339); #145782=VERTEX_POINT('',#454341); #145783=VERTEX_POINT('',#454345); #145784=VERTEX_POINT('',#454347); #145785=VERTEX_POINT('',#454351); #145786=VERTEX_POINT('',#454353); #145787=VERTEX_POINT('',#454357); #145788=VERTEX_POINT('',#454359); #145789=VERTEX_POINT('',#454363); #145790=VERTEX_POINT('',#454365); #145791=VERTEX_POINT('',#454369); #145792=VERTEX_POINT('',#454371); #145793=VERTEX_POINT('',#454375); #145794=VERTEX_POINT('',#454377); #145795=VERTEX_POINT('',#454381); #145796=VERTEX_POINT('',#454383); #145797=VERTEX_POINT('',#454387); #145798=VERTEX_POINT('',#454389); #145799=VERTEX_POINT('',#454393); #145800=VERTEX_POINT('',#454395); #145801=VERTEX_POINT('',#454399); #145802=VERTEX_POINT('',#454401); #145803=VERTEX_POINT('',#454405); #145804=VERTEX_POINT('',#454407); #145805=VERTEX_POINT('',#454411); #145806=VERTEX_POINT('',#454413); #145807=VERTEX_POINT('',#454417); #145808=VERTEX_POINT('',#454419); #145809=VERTEX_POINT('',#454423); #145810=VERTEX_POINT('',#454425); #145811=VERTEX_POINT('',#454429); #145812=VERTEX_POINT('',#454431); #145813=VERTEX_POINT('',#454435); #145814=VERTEX_POINT('',#454437); #145815=VERTEX_POINT('',#454441); #145816=VERTEX_POINT('',#454443); #145817=VERTEX_POINT('',#454447); #145818=VERTEX_POINT('',#454449); #145819=VERTEX_POINT('',#454453); #145820=VERTEX_POINT('',#454455); #145821=VERTEX_POINT('',#454459); #145822=VERTEX_POINT('',#454461); #145823=VERTEX_POINT('',#454465); #145824=VERTEX_POINT('',#454467); #145825=VERTEX_POINT('',#454471); #145826=VERTEX_POINT('',#454473); #145827=VERTEX_POINT('',#454477); #145828=VERTEX_POINT('',#454479); #145829=VERTEX_POINT('',#454483); #145830=VERTEX_POINT('',#454485); #145831=VERTEX_POINT('',#454489); #145832=VERTEX_POINT('',#454491); #145833=VERTEX_POINT('',#454495); #145834=VERTEX_POINT('',#454497); #145835=VERTEX_POINT('',#454501); #145836=VERTEX_POINT('',#454503); #145837=VERTEX_POINT('',#454507); #145838=VERTEX_POINT('',#454509); #145839=VERTEX_POINT('',#454513); #145840=VERTEX_POINT('',#454515); #145841=VERTEX_POINT('',#454519); #145842=VERTEX_POINT('',#454521); #145843=VERTEX_POINT('',#454525); #145844=VERTEX_POINT('',#454527); #145845=VERTEX_POINT('',#454531); #145846=VERTEX_POINT('',#454533); #145847=VERTEX_POINT('',#454537); #145848=VERTEX_POINT('',#454539); #145849=VERTEX_POINT('',#454543); #145850=VERTEX_POINT('',#454545); #145851=VERTEX_POINT('',#454549); #145852=VERTEX_POINT('',#454551); #145853=VERTEX_POINT('',#454555); #145854=VERTEX_POINT('',#454557); #145855=VERTEX_POINT('',#454561); #145856=VERTEX_POINT('',#454563); #145857=VERTEX_POINT('',#454567); #145858=VERTEX_POINT('',#454569); #145859=VERTEX_POINT('',#454573); #145860=VERTEX_POINT('',#454575); #145861=VERTEX_POINT('',#454579); #145862=VERTEX_POINT('',#454581); #145863=VERTEX_POINT('',#454585); #145864=VERTEX_POINT('',#454587); #145865=VERTEX_POINT('',#454591); #145866=VERTEX_POINT('',#454593); #145867=VERTEX_POINT('',#454597); #145868=VERTEX_POINT('',#454599); #145869=VERTEX_POINT('',#454603); #145870=VERTEX_POINT('',#454605); #145871=VERTEX_POINT('',#454609); #145872=VERTEX_POINT('',#454611); #145873=VERTEX_POINT('',#454615); #145874=VERTEX_POINT('',#454617); #145875=VERTEX_POINT('',#454621); #145876=VERTEX_POINT('',#454623); #145877=VERTEX_POINT('',#454627); #145878=VERTEX_POINT('',#454629); #145879=VERTEX_POINT('',#454633); #145880=VERTEX_POINT('',#454635); #145881=VERTEX_POINT('',#454639); #145882=VERTEX_POINT('',#454641); #145883=VERTEX_POINT('',#454645); #145884=VERTEX_POINT('',#454647); #145885=VERTEX_POINT('',#454651); #145886=VERTEX_POINT('',#454653); #145887=VERTEX_POINT('',#454657); #145888=VERTEX_POINT('',#454659); #145889=VERTEX_POINT('',#454663); #145890=VERTEX_POINT('',#454665); #145891=VERTEX_POINT('',#454669); #145892=VERTEX_POINT('',#454671); #145893=VERTEX_POINT('',#454675); #145894=VERTEX_POINT('',#454677); #145895=VERTEX_POINT('',#454681); #145896=VERTEX_POINT('',#454683); #145897=VERTEX_POINT('',#454687); #145898=VERTEX_POINT('',#454689); #145899=VERTEX_POINT('',#454693); #145900=VERTEX_POINT('',#454695); #145901=VERTEX_POINT('',#454699); #145902=VERTEX_POINT('',#454701); #145903=VERTEX_POINT('',#454705); #145904=VERTEX_POINT('',#454707); #145905=VERTEX_POINT('',#454711); #145906=VERTEX_POINT('',#454713); #145907=VERTEX_POINT('',#454717); #145908=VERTEX_POINT('',#454719); #145909=VERTEX_POINT('',#454723); #145910=VERTEX_POINT('',#454725); #145911=VERTEX_POINT('',#454729); #145912=VERTEX_POINT('',#454731); #145913=VERTEX_POINT('',#454735); #145914=VERTEX_POINT('',#454737); #145915=VERTEX_POINT('',#454741); #145916=VERTEX_POINT('',#454743); #145917=VERTEX_POINT('',#454747); #145918=VERTEX_POINT('',#454749); #145919=VERTEX_POINT('',#454753); #145920=VERTEX_POINT('',#454755); #145921=VERTEX_POINT('',#454759); #145922=VERTEX_POINT('',#454761); #145923=VERTEX_POINT('',#454765); #145924=VERTEX_POINT('',#454767); #145925=VERTEX_POINT('',#454771); #145926=VERTEX_POINT('',#454773); #145927=VERTEX_POINT('',#454777); #145928=VERTEX_POINT('',#454779); #145929=VERTEX_POINT('',#454783); #145930=VERTEX_POINT('',#454785); #145931=VERTEX_POINT('',#454789); #145932=VERTEX_POINT('',#454791); #145933=VERTEX_POINT('',#454795); #145934=VERTEX_POINT('',#454797); #145935=VERTEX_POINT('',#454801); #145936=VERTEX_POINT('',#454803); #145937=VERTEX_POINT('',#454807); #145938=VERTEX_POINT('',#454809); #145939=VERTEX_POINT('',#454813); #145940=VERTEX_POINT('',#454815); #145941=VERTEX_POINT('',#454819); #145942=VERTEX_POINT('',#454821); #145943=VERTEX_POINT('',#454825); #145944=VERTEX_POINT('',#454827); #145945=VERTEX_POINT('',#454831); #145946=VERTEX_POINT('',#454833); #145947=VERTEX_POINT('',#454837); #145948=VERTEX_POINT('',#454839); #145949=VERTEX_POINT('',#454843); #145950=VERTEX_POINT('',#454845); #145951=VERTEX_POINT('',#454849); #145952=VERTEX_POINT('',#454851); #145953=VERTEX_POINT('',#454855); #145954=VERTEX_POINT('',#454857); #145955=VERTEX_POINT('',#454861); #145956=VERTEX_POINT('',#454863); #145957=VERTEX_POINT('',#454867); #145958=VERTEX_POINT('',#454869); #145959=VERTEX_POINT('',#454873); #145960=VERTEX_POINT('',#454875); #145961=VERTEX_POINT('',#454879); #145962=VERTEX_POINT('',#454881); #145963=VERTEX_POINT('',#454885); #145964=VERTEX_POINT('',#454887); #145965=VERTEX_POINT('',#454891); #145966=VERTEX_POINT('',#454893); #145967=VERTEX_POINT('',#454897); #145968=VERTEX_POINT('',#454899); #145969=VERTEX_POINT('',#454903); #145970=VERTEX_POINT('',#454905); #145971=VERTEX_POINT('',#454909); #145972=VERTEX_POINT('',#454911); #145973=VERTEX_POINT('',#454915); #145974=VERTEX_POINT('',#454917); #145975=VERTEX_POINT('',#454921); #145976=VERTEX_POINT('',#454923); #145977=VERTEX_POINT('',#454927); #145978=VERTEX_POINT('',#454929); #145979=VERTEX_POINT('',#454933); #145980=VERTEX_POINT('',#454935); #145981=VERTEX_POINT('',#454939); #145982=VERTEX_POINT('',#454941); #145983=VERTEX_POINT('',#454945); #145984=VERTEX_POINT('',#454947); #145985=VERTEX_POINT('',#454951); #145986=VERTEX_POINT('',#454953); #145987=VERTEX_POINT('',#454957); #145988=VERTEX_POINT('',#454959); #145989=VERTEX_POINT('',#454963); #145990=VERTEX_POINT('',#454965); #145991=VERTEX_POINT('',#454969); #145992=VERTEX_POINT('',#454971); #145993=VERTEX_POINT('',#454975); #145994=VERTEX_POINT('',#454977); #145995=VERTEX_POINT('',#454981); #145996=VERTEX_POINT('',#454983); #145997=VERTEX_POINT('',#454987); #145998=VERTEX_POINT('',#454989); #145999=VERTEX_POINT('',#454993); #146000=VERTEX_POINT('',#454995); #146001=VERTEX_POINT('',#455004); #146002=VERTEX_POINT('',#455005); #146003=VERTEX_POINT('',#455007); #146004=VERTEX_POINT('',#455009); #146005=VERTEX_POINT('',#455013); #146006=VERTEX_POINT('',#455015); #146007=VERTEX_POINT('',#455019); #146008=VERTEX_POINT('',#455021); #146009=VERTEX_POINT('',#455025); #146010=VERTEX_POINT('',#455027); #146011=VERTEX_POINT('',#455031); #146012=VERTEX_POINT('',#455033); #146013=VERTEX_POINT('',#455037); #146014=VERTEX_POINT('',#455039); #146015=VERTEX_POINT('',#455043); #146016=VERTEX_POINT('',#455045); #146017=VERTEX_POINT('',#455049); #146018=VERTEX_POINT('',#455051); #146019=VERTEX_POINT('',#455055); #146020=VERTEX_POINT('',#455057); #146021=VERTEX_POINT('',#455061); #146022=VERTEX_POINT('',#455063); #146023=VERTEX_POINT('',#455067); #146024=VERTEX_POINT('',#455069); #146025=VERTEX_POINT('',#455073); #146026=VERTEX_POINT('',#455075); #146027=VERTEX_POINT('',#455079); #146028=VERTEX_POINT('',#455081); #146029=VERTEX_POINT('',#455085); #146030=VERTEX_POINT('',#455087); #146031=VERTEX_POINT('',#455091); #146032=VERTEX_POINT('',#455093); #146033=VERTEX_POINT('',#455097); #146034=VERTEX_POINT('',#455099); #146035=VERTEX_POINT('',#455103); #146036=VERTEX_POINT('',#455105); #146037=VERTEX_POINT('',#455109); #146038=VERTEX_POINT('',#455111); #146039=VERTEX_POINT('',#455115); #146040=VERTEX_POINT('',#455117); #146041=VERTEX_POINT('',#455121); #146042=VERTEX_POINT('',#455123); #146043=VERTEX_POINT('',#455132); #146044=VERTEX_POINT('',#455133); #146045=VERTEX_POINT('',#455135); #146046=VERTEX_POINT('',#455137); #146047=VERTEX_POINT('',#455141); #146048=VERTEX_POINT('',#455143); #146049=VERTEX_POINT('',#455147); #146050=VERTEX_POINT('',#455149); #146051=VERTEX_POINT('',#455153); #146052=VERTEX_POINT('',#455155); #146053=VERTEX_POINT('',#455159); #146054=VERTEX_POINT('',#455161); #146055=VERTEX_POINT('',#455165); #146056=VERTEX_POINT('',#455167); #146057=VERTEX_POINT('',#455171); #146058=VERTEX_POINT('',#455173); #146059=VERTEX_POINT('',#455177); #146060=VERTEX_POINT('',#455179); #146061=VERTEX_POINT('',#455183); #146062=VERTEX_POINT('',#455185); #146063=VERTEX_POINT('',#455189); #146064=VERTEX_POINT('',#455191); #146065=VERTEX_POINT('',#455195); #146066=VERTEX_POINT('',#455197); #146067=VERTEX_POINT('',#455201); #146068=VERTEX_POINT('',#455203); #146069=VERTEX_POINT('',#455207); #146070=VERTEX_POINT('',#455209); #146071=VERTEX_POINT('',#455213); #146072=VERTEX_POINT('',#455215); #146073=VERTEX_POINT('',#455219); #146074=VERTEX_POINT('',#455221); #146075=VERTEX_POINT('',#455225); #146076=VERTEX_POINT('',#455227); #146077=VERTEX_POINT('',#455231); #146078=VERTEX_POINT('',#455233); #146079=VERTEX_POINT('',#455237); #146080=VERTEX_POINT('',#455239); #146081=VERTEX_POINT('',#455243); #146082=VERTEX_POINT('',#455245); #146083=VERTEX_POINT('',#455254); #146084=VERTEX_POINT('',#455256); #146085=VERTEX_POINT('',#455260); #146086=VERTEX_POINT('',#455262); #146087=VERTEX_POINT('',#455266); #146088=VERTEX_POINT('',#455268); #146089=VERTEX_POINT('',#455272); #146090=VERTEX_POINT('',#455274); #146091=VERTEX_POINT('',#455278); #146092=VERTEX_POINT('',#455280); #146093=VERTEX_POINT('',#455284); #146094=VERTEX_POINT('',#455286); #146095=VERTEX_POINT('',#455290); #146096=VERTEX_POINT('',#455292); #146097=VERTEX_POINT('',#455296); #146098=VERTEX_POINT('',#455298); #146099=VERTEX_POINT('',#455302); #146100=VERTEX_POINT('',#455304); #146101=VERTEX_POINT('',#455308); #146102=VERTEX_POINT('',#455310); #146103=VERTEX_POINT('',#455314); #146104=VERTEX_POINT('',#455316); #146105=VERTEX_POINT('',#455320); #146106=VERTEX_POINT('',#455322); #146107=VERTEX_POINT('',#455326); #146108=VERTEX_POINT('',#455328); #146109=VERTEX_POINT('',#455332); #146110=VERTEX_POINT('',#455334); #146111=VERTEX_POINT('',#455338); #146112=VERTEX_POINT('',#455340); #146113=VERTEX_POINT('',#455344); #146114=VERTEX_POINT('',#455346); #146115=VERTEX_POINT('',#455350); #146116=VERTEX_POINT('',#455352); #146117=VERTEX_POINT('',#455356); #146118=VERTEX_POINT('',#455358); #146119=VERTEX_POINT('',#455362); #146120=VERTEX_POINT('',#455364); #146121=VERTEX_POINT('',#455368); #146122=VERTEX_POINT('',#455370); #146123=VERTEX_POINT('',#455374); #146124=VERTEX_POINT('',#455376); #146125=VERTEX_POINT('',#455380); #146126=VERTEX_POINT('',#455382); #146127=VERTEX_POINT('',#455386); #146128=VERTEX_POINT('',#455388); #146129=VERTEX_POINT('',#455392); #146130=VERTEX_POINT('',#455394); #146131=VERTEX_POINT('',#455398); #146132=VERTEX_POINT('',#455400); #146133=VERTEX_POINT('',#455404); #146134=VERTEX_POINT('',#455406); #146135=VERTEX_POINT('',#455410); #146136=VERTEX_POINT('',#455412); #146137=VERTEX_POINT('',#455416); #146138=VERTEX_POINT('',#455418); #146139=VERTEX_POINT('',#455422); #146140=VERTEX_POINT('',#455424); #146141=VERTEX_POINT('',#455428); #146142=VERTEX_POINT('',#455430); #146143=VERTEX_POINT('',#455434); #146144=VERTEX_POINT('',#455436); #146145=VERTEX_POINT('',#455440); #146146=VERTEX_POINT('',#455442); #146147=VERTEX_POINT('',#455446); #146148=VERTEX_POINT('',#455448); #146149=VERTEX_POINT('',#455452); #146150=VERTEX_POINT('',#455454); #146151=VERTEX_POINT('',#455458); #146152=VERTEX_POINT('',#455460); #146153=VERTEX_POINT('',#455464); #146154=VERTEX_POINT('',#455465); #146155=VERTEX_POINT('',#455467); #146156=VERTEX_POINT('',#455469); #146157=VERTEX_POINT('',#455473); #146158=VERTEX_POINT('',#455475); #146159=VERTEX_POINT('',#455479); #146160=VERTEX_POINT('',#455481); #146161=VERTEX_POINT('',#455488); #146162=VERTEX_POINT('',#455490); #146163=VERTEX_POINT('',#455494); #146164=VERTEX_POINT('',#455496); #146165=VERTEX_POINT('',#455500); #146166=VERTEX_POINT('',#455502); #146167=VERTEX_POINT('',#455506); #146168=VERTEX_POINT('',#455508); #146169=VERTEX_POINT('',#455512); #146170=VERTEX_POINT('',#455513); #146171=VERTEX_POINT('',#455515); #146172=VERTEX_POINT('',#455517); #146173=VERTEX_POINT('',#455521); #146174=VERTEX_POINT('',#455523); #146175=VERTEX_POINT('',#455527); #146176=VERTEX_POINT('',#455529); #146177=VERTEX_POINT('',#455536); #146178=VERTEX_POINT('',#455537); #146179=VERTEX_POINT('',#455539); #146180=VERTEX_POINT('',#455541); #146181=VERTEX_POINT('',#455545); #146182=VERTEX_POINT('',#455547); #146183=VERTEX_POINT('',#455551); #146184=VERTEX_POINT('',#455553); #146185=VERTEX_POINT('',#455560); #146186=VERTEX_POINT('',#455561); #146187=VERTEX_POINT('',#455563); #146188=VERTEX_POINT('',#455565); #146189=VERTEX_POINT('',#455569); #146190=VERTEX_POINT('',#455571); #146191=VERTEX_POINT('',#455575); #146192=VERTEX_POINT('',#455577); #146193=VERTEX_POINT('',#455584); #146194=VERTEX_POINT('',#455586); #146195=VERTEX_POINT('',#455590); #146196=VERTEX_POINT('',#455592); #146197=VERTEX_POINT('',#455596); #146198=VERTEX_POINT('',#455598); #146199=VERTEX_POINT('',#455602); #146200=VERTEX_POINT('',#455604); #146201=VERTEX_POINT('',#455608); #146202=VERTEX_POINT('',#455610); #146203=VERTEX_POINT('',#455614); #146204=VERTEX_POINT('',#455616); #146205=VERTEX_POINT('',#455620); #146206=VERTEX_POINT('',#455622); #146207=VERTEX_POINT('',#455626); #146208=VERTEX_POINT('',#455628); #146209=VERTEX_POINT('',#455632); #146210=VERTEX_POINT('',#455634); #146211=VERTEX_POINT('',#455638); #146212=VERTEX_POINT('',#455640); #146213=VERTEX_POINT('',#455644); #146214=VERTEX_POINT('',#455646); #146215=VERTEX_POINT('',#455650); #146216=VERTEX_POINT('',#455652); #146217=VERTEX_POINT('',#455656); #146218=VERTEX_POINT('',#455658); #146219=VERTEX_POINT('',#455662); #146220=VERTEX_POINT('',#455664); #146221=VERTEX_POINT('',#455668); #146222=VERTEX_POINT('',#455670); #146223=VERTEX_POINT('',#455674); #146224=VERTEX_POINT('',#455676); #146225=VERTEX_POINT('',#455680); #146226=VERTEX_POINT('',#455682); #146227=VERTEX_POINT('',#455686); #146228=VERTEX_POINT('',#455688); #146229=VERTEX_POINT('',#455692); #146230=VERTEX_POINT('',#455694); #146231=VERTEX_POINT('',#455698); #146232=VERTEX_POINT('',#455699); #146233=VERTEX_POINT('',#455701); #146234=VERTEX_POINT('',#455703); #146235=VERTEX_POINT('',#455707); #146236=VERTEX_POINT('',#455709); #146237=VERTEX_POINT('',#455713); #146238=VERTEX_POINT('',#455715); #146239=VERTEX_POINT('',#455719); #146240=VERTEX_POINT('',#455721); #146241=VERTEX_POINT('',#455725); #146242=VERTEX_POINT('',#455727); #146243=VERTEX_POINT('',#455731); #146244=VERTEX_POINT('',#455733); #146245=VERTEX_POINT('',#455737); #146246=VERTEX_POINT('',#455739); #146247=VERTEX_POINT('',#455746); #146248=VERTEX_POINT('',#455747); #146249=VERTEX_POINT('',#455749); #146250=VERTEX_POINT('',#455751); #146251=VERTEX_POINT('',#455755); #146252=VERTEX_POINT('',#455757); #146253=VERTEX_POINT('',#455761); #146254=VERTEX_POINT('',#455763); #146255=VERTEX_POINT('',#455767); #146256=VERTEX_POINT('',#455769); #146257=VERTEX_POINT('',#455773); #146258=VERTEX_POINT('',#455775); #146259=VERTEX_POINT('',#455779); #146260=VERTEX_POINT('',#455781); #146261=VERTEX_POINT('',#455785); #146262=VERTEX_POINT('',#455787); #146263=VERTEX_POINT('',#455791); #146264=VERTEX_POINT('',#455793); #146265=VERTEX_POINT('',#455797); #146266=VERTEX_POINT('',#455799); #146267=VERTEX_POINT('',#455803); #146268=VERTEX_POINT('',#455805); #146269=VERTEX_POINT('',#455809); #146270=VERTEX_POINT('',#455811); #146271=VERTEX_POINT('',#455815); #146272=VERTEX_POINT('',#455817); #146273=VERTEX_POINT('',#455821); #146274=VERTEX_POINT('',#455823); #146275=VERTEX_POINT('',#455827); #146276=VERTEX_POINT('',#455829); #146277=VERTEX_POINT('',#455833); #146278=VERTEX_POINT('',#455835); #146279=VERTEX_POINT('',#455842); #146280=VERTEX_POINT('',#455843); #146281=VERTEX_POINT('',#455845); #146282=VERTEX_POINT('',#455847); #146283=VERTEX_POINT('',#455851); #146284=VERTEX_POINT('',#455853); #146285=VERTEX_POINT('',#455857); #146286=VERTEX_POINT('',#455859); #146287=VERTEX_POINT('',#455863); #146288=VERTEX_POINT('',#455865); #146289=VERTEX_POINT('',#455869); #146290=VERTEX_POINT('',#455871); #146291=VERTEX_POINT('',#455875); #146292=VERTEX_POINT('',#455877); #146293=VERTEX_POINT('',#455881); #146294=VERTEX_POINT('',#455883); #146295=VERTEX_POINT('',#455887); #146296=VERTEX_POINT('',#455889); #146297=VERTEX_POINT('',#455893); #146298=VERTEX_POINT('',#455895); #146299=VERTEX_POINT('',#455899); #146300=VERTEX_POINT('',#455901); #146301=VERTEX_POINT('',#455905); #146302=VERTEX_POINT('',#455907); #146303=VERTEX_POINT('',#455911); #146304=VERTEX_POINT('',#455913); #146305=VERTEX_POINT('',#455917); #146306=VERTEX_POINT('',#455919); #146307=VERTEX_POINT('',#455923); #146308=VERTEX_POINT('',#455925); #146309=VERTEX_POINT('',#455929); #146310=VERTEX_POINT('',#455931); #146311=VERTEX_POINT('',#455938); #146312=VERTEX_POINT('',#455939); #146313=VERTEX_POINT('',#455941); #146314=VERTEX_POINT('',#455943); #146315=VERTEX_POINT('',#455947); #146316=VERTEX_POINT('',#455949); #146317=VERTEX_POINT('',#455953); #146318=VERTEX_POINT('',#455955); #146319=VERTEX_POINT('',#455959); #146320=VERTEX_POINT('',#455961); #146321=VERTEX_POINT('',#455965); #146322=VERTEX_POINT('',#455967); #146323=VERTEX_POINT('',#455971); #146324=VERTEX_POINT('',#455973); #146325=VERTEX_POINT('',#455977); #146326=VERTEX_POINT('',#455979); #146327=VERTEX_POINT('',#455983); #146328=VERTEX_POINT('',#455985); #146329=VERTEX_POINT('',#455989); #146330=VERTEX_POINT('',#455991); #146331=VERTEX_POINT('',#455995); #146332=VERTEX_POINT('',#455997); #146333=VERTEX_POINT('',#456001); #146334=VERTEX_POINT('',#456003); #146335=VERTEX_POINT('',#456007); #146336=VERTEX_POINT('',#456009); #146337=VERTEX_POINT('',#456013); #146338=VERTEX_POINT('',#456015); #146339=VERTEX_POINT('',#456019); #146340=VERTEX_POINT('',#456021); #146341=VERTEX_POINT('',#456025); #146342=VERTEX_POINT('',#456027); #146343=VERTEX_POINT('',#456031); #146344=VERTEX_POINT('',#456033); #146345=VERTEX_POINT('',#456037); #146346=VERTEX_POINT('',#456039); #146347=VERTEX_POINT('',#456043); #146348=VERTEX_POINT('',#456045); #146349=VERTEX_POINT('',#456049); #146350=VERTEX_POINT('',#456051); #146351=VERTEX_POINT('',#456055); #146352=VERTEX_POINT('',#456057); #146353=VERTEX_POINT('',#456061); #146354=VERTEX_POINT('',#456063); #146355=VERTEX_POINT('',#456067); #146356=VERTEX_POINT('',#456069); #146357=VERTEX_POINT('',#456073); #146358=VERTEX_POINT('',#456075); #146359=VERTEX_POINT('',#456079); #146360=VERTEX_POINT('',#456081); #146361=VERTEX_POINT('',#456085); #146362=VERTEX_POINT('',#456087); #146363=VERTEX_POINT('',#456091); #146364=VERTEX_POINT('',#456093); #146365=VERTEX_POINT('',#456097); #146366=VERTEX_POINT('',#456099); #146367=VERTEX_POINT('',#456103); #146368=VERTEX_POINT('',#456105); #146369=VERTEX_POINT('',#456109); #146370=VERTEX_POINT('',#456111); #146371=VERTEX_POINT('',#456115); #146372=VERTEX_POINT('',#456117); #146373=VERTEX_POINT('',#456121); #146374=VERTEX_POINT('',#456123); #146375=VERTEX_POINT('',#456127); #146376=VERTEX_POINT('',#456129); #146377=VERTEX_POINT('',#456133); #146378=VERTEX_POINT('',#456135); #146379=VERTEX_POINT('',#456139); #146380=VERTEX_POINT('',#456141); #146381=VERTEX_POINT('',#456145); #146382=VERTEX_POINT('',#456147); #146383=VERTEX_POINT('',#456154); #146384=VERTEX_POINT('',#456155); #146385=VERTEX_POINT('',#456157); #146386=VERTEX_POINT('',#456159); #146387=VERTEX_POINT('',#456163); #146388=VERTEX_POINT('',#456165); #146389=VERTEX_POINT('',#456169); #146390=VERTEX_POINT('',#456171); #146391=VERTEX_POINT('',#456175); #146392=VERTEX_POINT('',#456177); #146393=VERTEX_POINT('',#456181); #146394=VERTEX_POINT('',#456183); #146395=VERTEX_POINT('',#456187); #146396=VERTEX_POINT('',#456189); #146397=VERTEX_POINT('',#456193); #146398=VERTEX_POINT('',#456195); #146399=VERTEX_POINT('',#456199); #146400=VERTEX_POINT('',#456201); #146401=VERTEX_POINT('',#456205); #146402=VERTEX_POINT('',#456207); #146403=VERTEX_POINT('',#456211); #146404=VERTEX_POINT('',#456213); #146405=VERTEX_POINT('',#456217); #146406=VERTEX_POINT('',#456219); #146407=VERTEX_POINT('',#456223); #146408=VERTEX_POINT('',#456225); #146409=VERTEX_POINT('',#456229); #146410=VERTEX_POINT('',#456231); #146411=VERTEX_POINT('',#456235); #146412=VERTEX_POINT('',#456237); #146413=VERTEX_POINT('',#456241); #146414=VERTEX_POINT('',#456243); #146415=VERTEX_POINT('',#456247); #146416=VERTEX_POINT('',#456249); #146417=VERTEX_POINT('',#456253); #146418=VERTEX_POINT('',#456255); #146419=VERTEX_POINT('',#456259); #146420=VERTEX_POINT('',#456261); #146421=VERTEX_POINT('',#456265); #146422=VERTEX_POINT('',#456267); #146423=VERTEX_POINT('',#456271); #146424=VERTEX_POINT('',#456273); #146425=VERTEX_POINT('',#456277); #146426=VERTEX_POINT('',#456279); #146427=VERTEX_POINT('',#456283); #146428=VERTEX_POINT('',#456285); #146429=VERTEX_POINT('',#456289); #146430=VERTEX_POINT('',#456291); #146431=VERTEX_POINT('',#456295); #146432=VERTEX_POINT('',#456297); #146433=VERTEX_POINT('',#456301); #146434=VERTEX_POINT('',#456303); #146435=VERTEX_POINT('',#456307); #146436=VERTEX_POINT('',#456309); #146437=VERTEX_POINT('',#456313); #146438=VERTEX_POINT('',#456315); #146439=VERTEX_POINT('',#456319); #146440=VERTEX_POINT('',#456321); #146441=VERTEX_POINT('',#456325); #146442=VERTEX_POINT('',#456327); #146443=VERTEX_POINT('',#456331); #146444=VERTEX_POINT('',#456333); #146445=VERTEX_POINT('',#456337); #146446=VERTEX_POINT('',#456339); #146447=VERTEX_POINT('',#456343); #146448=VERTEX_POINT('',#456345); #146449=VERTEX_POINT('',#456349); #146450=VERTEX_POINT('',#456351); #146451=VERTEX_POINT('',#456355); #146452=VERTEX_POINT('',#456357); #146453=VERTEX_POINT('',#456361); #146454=VERTEX_POINT('',#456363); #146455=VERTEX_POINT('',#456370); #146456=VERTEX_POINT('',#456371); #146457=VERTEX_POINT('',#456373); #146458=VERTEX_POINT('',#456375); #146459=VERTEX_POINT('',#456379); #146460=VERTEX_POINT('',#456381); #146461=VERTEX_POINT('',#456385); #146462=VERTEX_POINT('',#456387); #146463=VERTEX_POINT('',#456391); #146464=VERTEX_POINT('',#456393); #146465=VERTEX_POINT('',#456397); #146466=VERTEX_POINT('',#456399); #146467=VERTEX_POINT('',#456403); #146468=VERTEX_POINT('',#456405); #146469=VERTEX_POINT('',#456409); #146470=VERTEX_POINT('',#456411); #146471=VERTEX_POINT('',#456415); #146472=VERTEX_POINT('',#456417); #146473=VERTEX_POINT('',#456421); #146474=VERTEX_POINT('',#456423); #146475=VERTEX_POINT('',#456427); #146476=VERTEX_POINT('',#456429); #146477=VERTEX_POINT('',#456433); #146478=VERTEX_POINT('',#456435); #146479=VERTEX_POINT('',#456439); #146480=VERTEX_POINT('',#456441); #146481=VERTEX_POINT('',#456445); #146482=VERTEX_POINT('',#456447); #146483=VERTEX_POINT('',#456451); #146484=VERTEX_POINT('',#456453); #146485=VERTEX_POINT('',#456457); #146486=VERTEX_POINT('',#456459); #146487=VERTEX_POINT('',#456463); #146488=VERTEX_POINT('',#456465); #146489=VERTEX_POINT('',#456469); #146490=VERTEX_POINT('',#456471); #146491=VERTEX_POINT('',#456475); #146492=VERTEX_POINT('',#456477); #146493=VERTEX_POINT('',#456481); #146494=VERTEX_POINT('',#456483); #146495=VERTEX_POINT('',#456487); #146496=VERTEX_POINT('',#456489); #146497=VERTEX_POINT('',#456493); #146498=VERTEX_POINT('',#456495); #146499=VERTEX_POINT('',#456499); #146500=VERTEX_POINT('',#456501); #146501=VERTEX_POINT('',#456505); #146502=VERTEX_POINT('',#456507); #146503=VERTEX_POINT('',#456511); #146504=VERTEX_POINT('',#456513); #146505=VERTEX_POINT('',#456517); #146506=VERTEX_POINT('',#456519); #146507=VERTEX_POINT('',#456523); #146508=VERTEX_POINT('',#456525); #146509=VERTEX_POINT('',#456529); #146510=VERTEX_POINT('',#456531); #146511=VERTEX_POINT('',#456535); #146512=VERTEX_POINT('',#456537); #146513=VERTEX_POINT('',#456541); #146514=VERTEX_POINT('',#456543); #146515=VERTEX_POINT('',#456547); #146516=VERTEX_POINT('',#456549); #146517=VERTEX_POINT('',#456553); #146518=VERTEX_POINT('',#456555); #146519=VERTEX_POINT('',#456559); #146520=VERTEX_POINT('',#456561); #146521=VERTEX_POINT('',#456565); #146522=VERTEX_POINT('',#456567); #146523=VERTEX_POINT('',#456571); #146524=VERTEX_POINT('',#456573); #146525=VERTEX_POINT('',#456577); #146526=VERTEX_POINT('',#456579); #146527=VERTEX_POINT('',#456583); #146528=VERTEX_POINT('',#456585); #146529=VERTEX_POINT('',#456589); #146530=VERTEX_POINT('',#456591); #146531=VERTEX_POINT('',#456595); #146532=VERTEX_POINT('',#456597); #146533=VERTEX_POINT('',#456601); #146534=VERTEX_POINT('',#456603); #146535=VERTEX_POINT('',#456607); #146536=VERTEX_POINT('',#456609); #146537=VERTEX_POINT('',#456613); #146538=VERTEX_POINT('',#456615); #146539=VERTEX_POINT('',#456619); #146540=VERTEX_POINT('',#456621); #146541=VERTEX_POINT('',#456625); #146542=VERTEX_POINT('',#456627); #146543=VERTEX_POINT('',#456631); #146544=VERTEX_POINT('',#456633); #146545=VERTEX_POINT('',#456637); #146546=VERTEX_POINT('',#456639); #146547=VERTEX_POINT('',#456643); #146548=VERTEX_POINT('',#456645); #146549=VERTEX_POINT('',#456649); #146550=VERTEX_POINT('',#456651); #146551=VERTEX_POINT('',#456655); #146552=VERTEX_POINT('',#456657); #146553=VERTEX_POINT('',#456661); #146554=VERTEX_POINT('',#456663); #146555=VERTEX_POINT('',#456667); #146556=VERTEX_POINT('',#456669); #146557=VERTEX_POINT('',#456673); #146558=VERTEX_POINT('',#456675); #146559=VERTEX_POINT('',#456679); #146560=VERTEX_POINT('',#456681); #146561=VERTEX_POINT('',#456685); #146562=VERTEX_POINT('',#456687); #146563=VERTEX_POINT('',#456691); #146564=VERTEX_POINT('',#456693); #146565=VERTEX_POINT('',#456697); #146566=VERTEX_POINT('',#456699); #146567=VERTEX_POINT('',#456703); #146568=VERTEX_POINT('',#456705); #146569=VERTEX_POINT('',#456709); #146570=VERTEX_POINT('',#456711); #146571=VERTEX_POINT('',#456715); #146572=VERTEX_POINT('',#456717); #146573=VERTEX_POINT('',#456721); #146574=VERTEX_POINT('',#456723); #146575=VERTEX_POINT('',#456727); #146576=VERTEX_POINT('',#456729); #146577=VERTEX_POINT('',#456733); #146578=VERTEX_POINT('',#456735); #146579=VERTEX_POINT('',#456739); #146580=VERTEX_POINT('',#456741); #146581=VERTEX_POINT('',#456745); #146582=VERTEX_POINT('',#456747); #146583=VERTEX_POINT('',#456751); #146584=VERTEX_POINT('',#456753); #146585=VERTEX_POINT('',#456757); #146586=VERTEX_POINT('',#456759); #146587=VERTEX_POINT('',#456763); #146588=VERTEX_POINT('',#456765); #146589=VERTEX_POINT('',#456769); #146590=VERTEX_POINT('',#456771); #146591=VERTEX_POINT('',#456775); #146592=VERTEX_POINT('',#456777); #146593=VERTEX_POINT('',#456781); #146594=VERTEX_POINT('',#456783); #146595=VERTEX_POINT('',#456787); #146596=VERTEX_POINT('',#456789); #146597=VERTEX_POINT('',#456793); #146598=VERTEX_POINT('',#456795); #146599=VERTEX_POINT('',#456799); #146600=VERTEX_POINT('',#456801); #146601=VERTEX_POINT('',#456805); #146602=VERTEX_POINT('',#456807); #146603=VERTEX_POINT('',#456811); #146604=VERTEX_POINT('',#456813); #146605=VERTEX_POINT('',#456817); #146606=VERTEX_POINT('',#456819); #146607=VERTEX_POINT('',#456823); #146608=VERTEX_POINT('',#456825); #146609=VERTEX_POINT('',#456829); #146610=VERTEX_POINT('',#456831); #146611=VERTEX_POINT('',#456835); #146612=VERTEX_POINT('',#456837); #146613=VERTEX_POINT('',#456841); #146614=VERTEX_POINT('',#456843); #146615=VERTEX_POINT('',#456847); #146616=VERTEX_POINT('',#456849); #146617=VERTEX_POINT('',#456853); #146618=VERTEX_POINT('',#456855); #146619=VERTEX_POINT('',#456859); #146620=VERTEX_POINT('',#456861); #146621=VERTEX_POINT('',#456865); #146622=VERTEX_POINT('',#456867); #146623=VERTEX_POINT('',#456871); #146624=VERTEX_POINT('',#456873); #146625=VERTEX_POINT('',#456877); #146626=VERTEX_POINT('',#456879); #146627=VERTEX_POINT('',#456883); #146628=VERTEX_POINT('',#456885); #146629=VERTEX_POINT('',#456889); #146630=VERTEX_POINT('',#456891); #146631=VERTEX_POINT('',#456895); #146632=VERTEX_POINT('',#456897); #146633=VERTEX_POINT('',#456901); #146634=VERTEX_POINT('',#456903); #146635=VERTEX_POINT('',#456907); #146636=VERTEX_POINT('',#456909); #146637=VERTEX_POINT('',#456913); #146638=VERTEX_POINT('',#456915); #146639=VERTEX_POINT('',#456919); #146640=VERTEX_POINT('',#456921); #146641=VERTEX_POINT('',#456925); #146642=VERTEX_POINT('',#456927); #146643=VERTEX_POINT('',#456931); #146644=VERTEX_POINT('',#456933); #146645=VERTEX_POINT('',#456937); #146646=VERTEX_POINT('',#456939); #146647=VERTEX_POINT('',#456943); #146648=VERTEX_POINT('',#456945); #146649=VERTEX_POINT('',#456949); #146650=VERTEX_POINT('',#456951); #146651=VERTEX_POINT('',#456955); #146652=VERTEX_POINT('',#456957); #146653=VERTEX_POINT('',#456961); #146654=VERTEX_POINT('',#456963); #146655=VERTEX_POINT('',#456967); #146656=VERTEX_POINT('',#456969); #146657=VERTEX_POINT('',#456973); #146658=VERTEX_POINT('',#456975); #146659=VERTEX_POINT('',#456979); #146660=VERTEX_POINT('',#456981); #146661=VERTEX_POINT('',#456985); #146662=VERTEX_POINT('',#456987); #146663=VERTEX_POINT('',#456991); #146664=VERTEX_POINT('',#456993); #146665=VERTEX_POINT('',#456997); #146666=VERTEX_POINT('',#456999); #146667=VERTEX_POINT('',#457003); #146668=VERTEX_POINT('',#457005); #146669=VERTEX_POINT('',#457009); #146670=VERTEX_POINT('',#457011); #146671=VERTEX_POINT('',#457015); #146672=VERTEX_POINT('',#457017); #146673=VERTEX_POINT('',#457021); #146674=VERTEX_POINT('',#457023); #146675=VERTEX_POINT('',#457027); #146676=VERTEX_POINT('',#457029); #146677=VERTEX_POINT('',#457033); #146678=VERTEX_POINT('',#457035); #146679=VERTEX_POINT('',#457039); #146680=VERTEX_POINT('',#457041); #146681=VERTEX_POINT('',#457045); #146682=VERTEX_POINT('',#457047); #146683=VERTEX_POINT('',#457051); #146684=VERTEX_POINT('',#457053); #146685=VERTEX_POINT('',#457057); #146686=VERTEX_POINT('',#457059); #146687=VERTEX_POINT('',#457063); #146688=VERTEX_POINT('',#457065); #146689=VERTEX_POINT('',#457069); #146690=VERTEX_POINT('',#457071); #146691=VERTEX_POINT('',#457075); #146692=VERTEX_POINT('',#457077); #146693=VERTEX_POINT('',#457081); #146694=VERTEX_POINT('',#457083); #146695=VERTEX_POINT('',#457087); #146696=VERTEX_POINT('',#457089); #146697=VERTEX_POINT('',#457093); #146698=VERTEX_POINT('',#457095); #146699=VERTEX_POINT('',#457099); #146700=VERTEX_POINT('',#457101); #146701=VERTEX_POINT('',#457105); #146702=VERTEX_POINT('',#457107); #146703=VERTEX_POINT('',#457111); #146704=VERTEX_POINT('',#457113); #146705=VERTEX_POINT('',#457117); #146706=VERTEX_POINT('',#457119); #146707=VERTEX_POINT('',#457123); #146708=VERTEX_POINT('',#457125); #146709=VERTEX_POINT('',#457129); #146710=VERTEX_POINT('',#457131); #146711=VERTEX_POINT('',#457135); #146712=VERTEX_POINT('',#457137); #146713=VERTEX_POINT('',#457141); #146714=VERTEX_POINT('',#457143); #146715=VERTEX_POINT('',#457147); #146716=VERTEX_POINT('',#457149); #146717=VERTEX_POINT('',#457153); #146718=VERTEX_POINT('',#457155); #146719=VERTEX_POINT('',#457159); #146720=VERTEX_POINT('',#457161); #146721=VERTEX_POINT('',#457165); #146722=VERTEX_POINT('',#457167); #146723=VERTEX_POINT('',#457171); #146724=VERTEX_POINT('',#457173); #146725=VERTEX_POINT('',#457177); #146726=VERTEX_POINT('',#457179); #146727=VERTEX_POINT('',#457183); #146728=VERTEX_POINT('',#457185); #146729=VERTEX_POINT('',#457189); #146730=VERTEX_POINT('',#457191); #146731=VERTEX_POINT('',#457195); #146732=VERTEX_POINT('',#457197); #146733=VERTEX_POINT('',#457201); #146734=VERTEX_POINT('',#457203); #146735=VERTEX_POINT('',#457207); #146736=VERTEX_POINT('',#457209); #146737=VERTEX_POINT('',#457213); #146738=VERTEX_POINT('',#457215); #146739=VERTEX_POINT('',#457219); #146740=VERTEX_POINT('',#457221); #146741=VERTEX_POINT('',#457225); #146742=VERTEX_POINT('',#457227); #146743=VERTEX_POINT('',#457231); #146744=VERTEX_POINT('',#457233); #146745=VERTEX_POINT('',#457237); #146746=VERTEX_POINT('',#457239); #146747=VERTEX_POINT('',#457243); #146748=VERTEX_POINT('',#457245); #146749=VERTEX_POINT('',#457249); #146750=VERTEX_POINT('',#457251); #146751=VERTEX_POINT('',#457255); #146752=VERTEX_POINT('',#457257); #146753=VERTEX_POINT('',#457261); #146754=VERTEX_POINT('',#457263); #146755=VERTEX_POINT('',#457267); #146756=VERTEX_POINT('',#457269); #146757=VERTEX_POINT('',#457273); #146758=VERTEX_POINT('',#457275); #146759=VERTEX_POINT('',#457279); #146760=VERTEX_POINT('',#457281); #146761=VERTEX_POINT('',#457285); #146762=VERTEX_POINT('',#457287); #146763=VERTEX_POINT('',#457291); #146764=VERTEX_POINT('',#457293); #146765=VERTEX_POINT('',#457297); #146766=VERTEX_POINT('',#457299); #146767=VERTEX_POINT('',#457303); #146768=VERTEX_POINT('',#457305); #146769=VERTEX_POINT('',#457309); #146770=VERTEX_POINT('',#457311); #146771=VERTEX_POINT('',#457315); #146772=VERTEX_POINT('',#457317); #146773=VERTEX_POINT('',#457321); #146774=VERTEX_POINT('',#457323); #146775=VERTEX_POINT('',#457327); #146776=VERTEX_POINT('',#457329); #146777=VERTEX_POINT('',#457333); #146778=VERTEX_POINT('',#457335); #146779=VERTEX_POINT('',#457339); #146780=VERTEX_POINT('',#457341); #146781=VERTEX_POINT('',#457345); #146782=VERTEX_POINT('',#457347); #146783=VERTEX_POINT('',#457351); #146784=VERTEX_POINT('',#457353); #146785=VERTEX_POINT('',#457357); #146786=VERTEX_POINT('',#457359); #146787=VERTEX_POINT('',#457363); #146788=VERTEX_POINT('',#457365); #146789=VERTEX_POINT('',#457369); #146790=VERTEX_POINT('',#457371); #146791=VERTEX_POINT('',#457375); #146792=VERTEX_POINT('',#457377); #146793=VERTEX_POINT('',#457381); #146794=VERTEX_POINT('',#457383); #146795=VERTEX_POINT('',#457387); #146796=VERTEX_POINT('',#457389); #146797=VERTEX_POINT('',#457393); #146798=VERTEX_POINT('',#457395); #146799=VERTEX_POINT('',#457399); #146800=VERTEX_POINT('',#457401); #146801=VERTEX_POINT('',#457405); #146802=VERTEX_POINT('',#457407); #146803=VERTEX_POINT('',#457411); #146804=VERTEX_POINT('',#457413); #146805=VERTEX_POINT('',#457417); #146806=VERTEX_POINT('',#457419); #146807=VERTEX_POINT('',#457423); #146808=VERTEX_POINT('',#457425); #146809=VERTEX_POINT('',#457429); #146810=VERTEX_POINT('',#457431); #146811=VERTEX_POINT('',#457435); #146812=VERTEX_POINT('',#457437); #146813=VERTEX_POINT('',#457441); #146814=VERTEX_POINT('',#457443); #146815=VERTEX_POINT('',#457447); #146816=VERTEX_POINT('',#457449); #146817=VERTEX_POINT('',#457453); #146818=VERTEX_POINT('',#457455); #146819=VERTEX_POINT('',#457459); #146820=VERTEX_POINT('',#457461); #146821=VERTEX_POINT('',#457465); #146822=VERTEX_POINT('',#457467); #146823=VERTEX_POINT('',#457471); #146824=VERTEX_POINT('',#457473); #146825=VERTEX_POINT('',#457477); #146826=VERTEX_POINT('',#457479); #146827=VERTEX_POINT('',#457483); #146828=VERTEX_POINT('',#457485); #146829=VERTEX_POINT('',#457489); #146830=VERTEX_POINT('',#457491); #146831=VERTEX_POINT('',#457495); #146832=VERTEX_POINT('',#457497); #146833=VERTEX_POINT('',#457501); #146834=VERTEX_POINT('',#457503); #146835=VERTEX_POINT('',#457507); #146836=VERTEX_POINT('',#457509); #146837=VERTEX_POINT('',#457513); #146838=VERTEX_POINT('',#457515); #146839=VERTEX_POINT('',#457519); #146840=VERTEX_POINT('',#457521); #146841=VERTEX_POINT('',#457525); #146842=VERTEX_POINT('',#457527); #146843=VERTEX_POINT('',#457531); #146844=VERTEX_POINT('',#457533); #146845=VERTEX_POINT('',#457537); #146846=VERTEX_POINT('',#457539); #146847=VERTEX_POINT('',#457543); #146848=VERTEX_POINT('',#457545); #146849=VERTEX_POINT('',#457549); #146850=VERTEX_POINT('',#457551); #146851=VERTEX_POINT('',#457555); #146852=VERTEX_POINT('',#457557); #146853=VERTEX_POINT('',#457561); #146854=VERTEX_POINT('',#457563); #146855=VERTEX_POINT('',#457567); #146856=VERTEX_POINT('',#457569); #146857=VERTEX_POINT('',#457573); #146858=VERTEX_POINT('',#457575); #146859=VERTEX_POINT('',#457579); #146860=VERTEX_POINT('',#457581); #146861=VERTEX_POINT('',#457585); #146862=VERTEX_POINT('',#457587); #146863=VERTEX_POINT('',#457591); #146864=VERTEX_POINT('',#457593); #146865=VERTEX_POINT('',#457597); #146866=VERTEX_POINT('',#457599); #146867=VERTEX_POINT('',#457603); #146868=VERTEX_POINT('',#457605); #146869=VERTEX_POINT('',#457609); #146870=VERTEX_POINT('',#457611); #146871=VERTEX_POINT('',#457615); #146872=VERTEX_POINT('',#457617); #146873=VERTEX_POINT('',#457621); #146874=VERTEX_POINT('',#457623); #146875=VERTEX_POINT('',#457627); #146876=VERTEX_POINT('',#457629); #146877=VERTEX_POINT('',#457633); #146878=VERTEX_POINT('',#457635); #146879=VERTEX_POINT('',#457639); #146880=VERTEX_POINT('',#457641); #146881=VERTEX_POINT('',#457645); #146882=VERTEX_POINT('',#457647); #146883=VERTEX_POINT('',#457651); #146884=VERTEX_POINT('',#457653); #146885=VERTEX_POINT('',#457657); #146886=VERTEX_POINT('',#457659); #146887=VERTEX_POINT('',#457663); #146888=VERTEX_POINT('',#457665); #146889=VERTEX_POINT('',#457669); #146890=VERTEX_POINT('',#457671); #146891=VERTEX_POINT('',#457675); #146892=VERTEX_POINT('',#457677); #146893=VERTEX_POINT('',#457681); #146894=VERTEX_POINT('',#457683); #146895=VERTEX_POINT('',#457687); #146896=VERTEX_POINT('',#457689); #146897=VERTEX_POINT('',#457693); #146898=VERTEX_POINT('',#457695); #146899=VERTEX_POINT('',#457699); #146900=VERTEX_POINT('',#457701); #146901=VERTEX_POINT('',#457705); #146902=VERTEX_POINT('',#457707); #146903=VERTEX_POINT('',#457711); #146904=VERTEX_POINT('',#457713); #146905=VERTEX_POINT('',#457717); #146906=VERTEX_POINT('',#457719); #146907=VERTEX_POINT('',#457723); #146908=VERTEX_POINT('',#457725); #146909=VERTEX_POINT('',#457729); #146910=VERTEX_POINT('',#457731); #146911=VERTEX_POINT('',#457735); #146912=VERTEX_POINT('',#457737); #146913=VERTEX_POINT('',#457741); #146914=VERTEX_POINT('',#457743); #146915=VERTEX_POINT('',#457747); #146916=VERTEX_POINT('',#457749); #146917=VERTEX_POINT('',#457753); #146918=VERTEX_POINT('',#457755); #146919=VERTEX_POINT('',#457759); #146920=VERTEX_POINT('',#457761); #146921=VERTEX_POINT('',#457765); #146922=VERTEX_POINT('',#457767); #146923=VERTEX_POINT('',#457771); #146924=VERTEX_POINT('',#457773); #146925=VERTEX_POINT('',#457777); #146926=VERTEX_POINT('',#457779); #146927=VERTEX_POINT('',#457783); #146928=VERTEX_POINT('',#457785); #146929=VERTEX_POINT('',#457789); #146930=VERTEX_POINT('',#457791); #146931=VERTEX_POINT('',#457795); #146932=VERTEX_POINT('',#457797); #146933=VERTEX_POINT('',#457801); #146934=VERTEX_POINT('',#457803); #146935=VERTEX_POINT('',#457807); #146936=VERTEX_POINT('',#457809); #146937=VERTEX_POINT('',#457813); #146938=VERTEX_POINT('',#457815); #146939=VERTEX_POINT('',#457819); #146940=VERTEX_POINT('',#457821); #146941=VERTEX_POINT('',#457825); #146942=VERTEX_POINT('',#457827); #146943=VERTEX_POINT('',#457831); #146944=VERTEX_POINT('',#457833); #146945=VERTEX_POINT('',#457837); #146946=VERTEX_POINT('',#457839); #146947=VERTEX_POINT('',#457843); #146948=VERTEX_POINT('',#457845); #146949=VERTEX_POINT('',#457849); #146950=VERTEX_POINT('',#457851); #146951=VERTEX_POINT('',#457855); #146952=VERTEX_POINT('',#457857); #146953=VERTEX_POINT('',#457861); #146954=VERTEX_POINT('',#457863); #146955=VERTEX_POINT('',#457867); #146956=VERTEX_POINT('',#457869); #146957=VERTEX_POINT('',#457873); #146958=VERTEX_POINT('',#457875); #146959=VERTEX_POINT('',#457879); #146960=VERTEX_POINT('',#457881); #146961=VERTEX_POINT('',#457885); #146962=VERTEX_POINT('',#457887); #146963=VERTEX_POINT('',#457891); #146964=VERTEX_POINT('',#457893); #146965=VERTEX_POINT('',#457897); #146966=VERTEX_POINT('',#457899); #146967=VERTEX_POINT('',#457903); #146968=VERTEX_POINT('',#457905); #146969=VERTEX_POINT('',#457909); #146970=VERTEX_POINT('',#457911); #146971=VERTEX_POINT('',#457915); #146972=VERTEX_POINT('',#457917); #146973=VERTEX_POINT('',#457921); #146974=VERTEX_POINT('',#457923); #146975=VERTEX_POINT('',#457927); #146976=VERTEX_POINT('',#457929); #146977=VERTEX_POINT('',#457933); #146978=VERTEX_POINT('',#457935); #146979=VERTEX_POINT('',#457939); #146980=VERTEX_POINT('',#457941); #146981=VERTEX_POINT('',#457945); #146982=VERTEX_POINT('',#457947); #146983=VERTEX_POINT('',#457951); #146984=VERTEX_POINT('',#457953); #146985=VERTEX_POINT('',#457957); #146986=VERTEX_POINT('',#457959); #146987=VERTEX_POINT('',#457963); #146988=VERTEX_POINT('',#457965); #146989=VERTEX_POINT('',#457969); #146990=VERTEX_POINT('',#457971); #146991=VERTEX_POINT('',#457975); #146992=VERTEX_POINT('',#457977); #146993=VERTEX_POINT('',#457981); #146994=VERTEX_POINT('',#457983); #146995=VERTEX_POINT('',#457987); #146996=VERTEX_POINT('',#457989); #146997=VERTEX_POINT('',#457993); #146998=VERTEX_POINT('',#457995); #146999=VERTEX_POINT('',#457999); #147000=VERTEX_POINT('',#458001); #147001=VERTEX_POINT('',#458005); #147002=VERTEX_POINT('',#458007); #147003=VERTEX_POINT('',#458011); #147004=VERTEX_POINT('',#458013); #147005=VERTEX_POINT('',#458017); #147006=VERTEX_POINT('',#458019); #147007=VERTEX_POINT('',#458023); #147008=VERTEX_POINT('',#458025); #147009=VERTEX_POINT('',#458029); #147010=VERTEX_POINT('',#458031); #147011=VERTEX_POINT('',#458035); #147012=VERTEX_POINT('',#458037); #147013=VERTEX_POINT('',#458041); #147014=VERTEX_POINT('',#458043); #147015=VERTEX_POINT('',#458047); #147016=VERTEX_POINT('',#458049); #147017=VERTEX_POINT('',#458053); #147018=VERTEX_POINT('',#458055); #147019=VERTEX_POINT('',#458059); #147020=VERTEX_POINT('',#458061); #147021=VERTEX_POINT('',#458065); #147022=VERTEX_POINT('',#458067); #147023=VERTEX_POINT('',#458071); #147024=VERTEX_POINT('',#458073); #147025=VERTEX_POINT('',#458077); #147026=VERTEX_POINT('',#458079); #147027=VERTEX_POINT('',#458083); #147028=VERTEX_POINT('',#458085); #147029=VERTEX_POINT('',#458089); #147030=VERTEX_POINT('',#458091); #147031=VERTEX_POINT('',#458095); #147032=VERTEX_POINT('',#458097); #147033=VERTEX_POINT('',#458101); #147034=VERTEX_POINT('',#458103); #147035=VERTEX_POINT('',#458107); #147036=VERTEX_POINT('',#458109); #147037=VERTEX_POINT('',#458113); #147038=VERTEX_POINT('',#458115); #147039=VERTEX_POINT('',#458119); #147040=VERTEX_POINT('',#458121); #147041=VERTEX_POINT('',#458125); #147042=VERTEX_POINT('',#458127); #147043=VERTEX_POINT('',#458131); #147044=VERTEX_POINT('',#458133); #147045=VERTEX_POINT('',#458137); #147046=VERTEX_POINT('',#458139); #147047=VERTEX_POINT('',#458143); #147048=VERTEX_POINT('',#458145); #147049=VERTEX_POINT('',#458149); #147050=VERTEX_POINT('',#458151); #147051=VERTEX_POINT('',#458155); #147052=VERTEX_POINT('',#458157); #147053=VERTEX_POINT('',#458161); #147054=VERTEX_POINT('',#458163); #147055=VERTEX_POINT('',#458167); #147056=VERTEX_POINT('',#458169); #147057=VERTEX_POINT('',#458173); #147058=VERTEX_POINT('',#458175); #147059=VERTEX_POINT('',#458179); #147060=VERTEX_POINT('',#458181); #147061=VERTEX_POINT('',#458185); #147062=VERTEX_POINT('',#458187); #147063=VERTEX_POINT('',#458191); #147064=VERTEX_POINT('',#458193); #147065=VERTEX_POINT('',#458197); #147066=VERTEX_POINT('',#458199); #147067=VERTEX_POINT('',#458203); #147068=VERTEX_POINT('',#458205); #147069=VERTEX_POINT('',#458209); #147070=VERTEX_POINT('',#458211); #147071=VERTEX_POINT('',#458215); #147072=VERTEX_POINT('',#458217); #147073=VERTEX_POINT('',#458221); #147074=VERTEX_POINT('',#458223); #147075=VERTEX_POINT('',#458227); #147076=VERTEX_POINT('',#458229); #147077=VERTEX_POINT('',#458233); #147078=VERTEX_POINT('',#458235); #147079=VERTEX_POINT('',#458239); #147080=VERTEX_POINT('',#458241); #147081=VERTEX_POINT('',#458245); #147082=VERTEX_POINT('',#458247); #147083=VERTEX_POINT('',#458251); #147084=VERTEX_POINT('',#458253); #147085=VERTEX_POINT('',#458257); #147086=VERTEX_POINT('',#458259); #147087=VERTEX_POINT('',#458263); #147088=VERTEX_POINT('',#458265); #147089=VERTEX_POINT('',#458269); #147090=VERTEX_POINT('',#458271); #147091=VERTEX_POINT('',#458275); #147092=VERTEX_POINT('',#458277); #147093=VERTEX_POINT('',#458281); #147094=VERTEX_POINT('',#458283); #147095=VERTEX_POINT('',#458287); #147096=VERTEX_POINT('',#458289); #147097=VERTEX_POINT('',#458293); #147098=VERTEX_POINT('',#458295); #147099=VERTEX_POINT('',#458299); #147100=VERTEX_POINT('',#458301); #147101=VERTEX_POINT('',#458305); #147102=VERTEX_POINT('',#458307); #147103=VERTEX_POINT('',#458311); #147104=VERTEX_POINT('',#458313); #147105=VERTEX_POINT('',#458317); #147106=VERTEX_POINT('',#458319); #147107=VERTEX_POINT('',#458323); #147108=VERTEX_POINT('',#458325); #147109=VERTEX_POINT('',#458329); #147110=VERTEX_POINT('',#458331); #147111=VERTEX_POINT('',#458335); #147112=VERTEX_POINT('',#458337); #147113=VERTEX_POINT('',#458341); #147114=VERTEX_POINT('',#458343); #147115=VERTEX_POINT('',#458347); #147116=VERTEX_POINT('',#458349); #147117=VERTEX_POINT('',#458353); #147118=VERTEX_POINT('',#458355); #147119=VERTEX_POINT('',#458359); #147120=VERTEX_POINT('',#458361); #147121=VERTEX_POINT('',#458365); #147122=VERTEX_POINT('',#458367); #147123=VERTEX_POINT('',#458371); #147124=VERTEX_POINT('',#458373); #147125=VERTEX_POINT('',#458377); #147126=VERTEX_POINT('',#458379); #147127=VERTEX_POINT('',#458383); #147128=VERTEX_POINT('',#458385); #147129=VERTEX_POINT('',#458389); #147130=VERTEX_POINT('',#458391); #147131=VERTEX_POINT('',#458395); #147132=VERTEX_POINT('',#458397); #147133=VERTEX_POINT('',#458401); #147134=VERTEX_POINT('',#458403); #147135=VERTEX_POINT('',#458407); #147136=VERTEX_POINT('',#458409); #147137=VERTEX_POINT('',#458413); #147138=VERTEX_POINT('',#458415); #147139=VERTEX_POINT('',#458419); #147140=VERTEX_POINT('',#458421); #147141=VERTEX_POINT('',#458425); #147142=VERTEX_POINT('',#458427); #147143=VERTEX_POINT('',#458431); #147144=VERTEX_POINT('',#458433); #147145=VERTEX_POINT('',#458437); #147146=VERTEX_POINT('',#458439); #147147=VERTEX_POINT('',#458443); #147148=VERTEX_POINT('',#458445); #147149=VERTEX_POINT('',#458449); #147150=VERTEX_POINT('',#458451); #147151=VERTEX_POINT('',#458455); #147152=VERTEX_POINT('',#458457); #147153=VERTEX_POINT('',#458461); #147154=VERTEX_POINT('',#458463); #147155=VERTEX_POINT('',#458467); #147156=VERTEX_POINT('',#458469); #147157=VERTEX_POINT('',#458473); #147158=VERTEX_POINT('',#458475); #147159=VERTEX_POINT('',#458479); #147160=VERTEX_POINT('',#458481); #147161=VERTEX_POINT('',#458485); #147162=VERTEX_POINT('',#458487); #147163=VERTEX_POINT('',#458491); #147164=VERTEX_POINT('',#458493); #147165=VERTEX_POINT('',#458497); #147166=VERTEX_POINT('',#458499); #147167=VERTEX_POINT('',#458503); #147168=VERTEX_POINT('',#458505); #147169=VERTEX_POINT('',#458509); #147170=VERTEX_POINT('',#458511); #147171=VERTEX_POINT('',#458515); #147172=VERTEX_POINT('',#458517); #147173=VERTEX_POINT('',#458521); #147174=VERTEX_POINT('',#458523); #147175=VERTEX_POINT('',#458527); #147176=VERTEX_POINT('',#458529); #147177=VERTEX_POINT('',#458533); #147178=VERTEX_POINT('',#458535); #147179=VERTEX_POINT('',#458539); #147180=VERTEX_POINT('',#458541); #147181=VERTEX_POINT('',#458545); #147182=VERTEX_POINT('',#458547); #147183=VERTEX_POINT('',#458551); #147184=VERTEX_POINT('',#458553); #147185=VERTEX_POINT('',#458557); #147186=VERTEX_POINT('',#458559); #147187=VERTEX_POINT('',#458563); #147188=VERTEX_POINT('',#458565); #147189=VERTEX_POINT('',#458569); #147190=VERTEX_POINT('',#458571); #147191=VERTEX_POINT('',#458575); #147192=VERTEX_POINT('',#458577); #147193=VERTEX_POINT('',#458581); #147194=VERTEX_POINT('',#458583); #147195=VERTEX_POINT('',#458587); #147196=VERTEX_POINT('',#458589); #147197=VERTEX_POINT('',#458593); #147198=VERTEX_POINT('',#458595); #147199=VERTEX_POINT('',#458599); #147200=VERTEX_POINT('',#458601); #147201=VERTEX_POINT('',#458605); #147202=VERTEX_POINT('',#458607); #147203=VERTEX_POINT('',#458611); #147204=VERTEX_POINT('',#458613); #147205=VERTEX_POINT('',#458617); #147206=VERTEX_POINT('',#458619); #147207=VERTEX_POINT('',#458623); #147208=VERTEX_POINT('',#458625); #147209=VERTEX_POINT('',#458629); #147210=VERTEX_POINT('',#458631); #147211=VERTEX_POINT('',#458635); #147212=VERTEX_POINT('',#458637); #147213=VERTEX_POINT('',#458641); #147214=VERTEX_POINT('',#458643); #147215=VERTEX_POINT('',#458647); #147216=VERTEX_POINT('',#458649); #147217=VERTEX_POINT('',#458653); #147218=VERTEX_POINT('',#458655); #147219=VERTEX_POINT('',#458659); #147220=VERTEX_POINT('',#458661); #147221=VERTEX_POINT('',#458665); #147222=VERTEX_POINT('',#458667); #147223=VERTEX_POINT('',#458671); #147224=VERTEX_POINT('',#458673); #147225=VERTEX_POINT('',#458677); #147226=VERTEX_POINT('',#458679); #147227=VERTEX_POINT('',#458683); #147228=VERTEX_POINT('',#458685); #147229=VERTEX_POINT('',#458689); #147230=VERTEX_POINT('',#458691); #147231=VERTEX_POINT('',#458695); #147232=VERTEX_POINT('',#458697); #147233=VERTEX_POINT('',#458701); #147234=VERTEX_POINT('',#458703); #147235=VERTEX_POINT('',#458707); #147236=VERTEX_POINT('',#458709); #147237=VERTEX_POINT('',#458713); #147238=VERTEX_POINT('',#458715); #147239=VERTEX_POINT('',#458719); #147240=VERTEX_POINT('',#458721); #147241=VERTEX_POINT('',#458725); #147242=VERTEX_POINT('',#458727); #147243=VERTEX_POINT('',#458731); #147244=VERTEX_POINT('',#458733); #147245=VERTEX_POINT('',#458737); #147246=VERTEX_POINT('',#458739); #147247=VERTEX_POINT('',#458743); #147248=VERTEX_POINT('',#458745); #147249=VERTEX_POINT('',#458749); #147250=VERTEX_POINT('',#458751); #147251=VERTEX_POINT('',#458755); #147252=VERTEX_POINT('',#458757); #147253=VERTEX_POINT('',#458761); #147254=VERTEX_POINT('',#458763); #147255=VERTEX_POINT('',#458767); #147256=VERTEX_POINT('',#458769); #147257=VERTEX_POINT('',#458773); #147258=VERTEX_POINT('',#458775); #147259=VERTEX_POINT('',#458779); #147260=VERTEX_POINT('',#458781); #147261=VERTEX_POINT('',#458785); #147262=VERTEX_POINT('',#458787); #147263=VERTEX_POINT('',#458791); #147264=VERTEX_POINT('',#458793); #147265=VERTEX_POINT('',#458797); #147266=VERTEX_POINT('',#458799); #147267=VERTEX_POINT('',#458803); #147268=VERTEX_POINT('',#458805); #147269=VERTEX_POINT('',#458809); #147270=VERTEX_POINT('',#458811); #147271=VERTEX_POINT('',#458815); #147272=VERTEX_POINT('',#458817); #147273=VERTEX_POINT('',#458821); #147274=VERTEX_POINT('',#458823); #147275=VERTEX_POINT('',#458827); #147276=VERTEX_POINT('',#458829); #147277=VERTEX_POINT('',#458833); #147278=VERTEX_POINT('',#458835); #147279=VERTEX_POINT('',#458839); #147280=VERTEX_POINT('',#458841); #147281=VERTEX_POINT('',#458845); #147282=VERTEX_POINT('',#458847); #147283=VERTEX_POINT('',#458851); #147284=VERTEX_POINT('',#458853); #147285=VERTEX_POINT('',#458857); #147286=VERTEX_POINT('',#458859); #147287=VERTEX_POINT('',#458863); #147288=VERTEX_POINT('',#458865); #147289=VERTEX_POINT('',#458869); #147290=VERTEX_POINT('',#458871); #147291=VERTEX_POINT('',#458875); #147292=VERTEX_POINT('',#458877); #147293=VERTEX_POINT('',#458881); #147294=VERTEX_POINT('',#458883); #147295=VERTEX_POINT('',#458887); #147296=VERTEX_POINT('',#458889); #147297=VERTEX_POINT('',#458893); #147298=VERTEX_POINT('',#458895); #147299=VERTEX_POINT('',#458899); #147300=VERTEX_POINT('',#458901); #147301=VERTEX_POINT('',#458905); #147302=VERTEX_POINT('',#458907); #147303=VERTEX_POINT('',#458911); #147304=VERTEX_POINT('',#458913); #147305=VERTEX_POINT('',#458917); #147306=VERTEX_POINT('',#458919); #147307=VERTEX_POINT('',#458923); #147308=VERTEX_POINT('',#458925); #147309=VERTEX_POINT('',#458929); #147310=VERTEX_POINT('',#458931); #147311=VERTEX_POINT('',#458935); #147312=VERTEX_POINT('',#458937); #147313=VERTEX_POINT('',#458941); #147314=VERTEX_POINT('',#458943); #147315=VERTEX_POINT('',#458947); #147316=VERTEX_POINT('',#458949); #147317=VERTEX_POINT('',#458953); #147318=VERTEX_POINT('',#458955); #147319=VERTEX_POINT('',#458959); #147320=VERTEX_POINT('',#458961); #147321=VERTEX_POINT('',#458965); #147322=VERTEX_POINT('',#458967); #147323=VERTEX_POINT('',#458971); #147324=VERTEX_POINT('',#458973); #147325=VERTEX_POINT('',#458977); #147326=VERTEX_POINT('',#458979); #147327=VERTEX_POINT('',#458983); #147328=VERTEX_POINT('',#458985); #147329=VERTEX_POINT('',#458989); #147330=VERTEX_POINT('',#458991); #147331=VERTEX_POINT('',#458995); #147332=VERTEX_POINT('',#458997); #147333=VERTEX_POINT('',#459001); #147334=VERTEX_POINT('',#459003); #147335=VERTEX_POINT('',#459007); #147336=VERTEX_POINT('',#459009); #147337=VERTEX_POINT('',#459013); #147338=VERTEX_POINT('',#459015); #147339=VERTEX_POINT('',#459019); #147340=VERTEX_POINT('',#459021); #147341=VERTEX_POINT('',#459025); #147342=VERTEX_POINT('',#459027); #147343=VERTEX_POINT('',#459031); #147344=VERTEX_POINT('',#459033); #147345=VERTEX_POINT('',#459037); #147346=VERTEX_POINT('',#459039); #147347=VERTEX_POINT('',#459043); #147348=VERTEX_POINT('',#459045); #147349=VERTEX_POINT('',#459049); #147350=VERTEX_POINT('',#459051); #147351=VERTEX_POINT('',#459055); #147352=VERTEX_POINT('',#459057); #147353=VERTEX_POINT('',#459061); #147354=VERTEX_POINT('',#459063); #147355=VERTEX_POINT('',#459067); #147356=VERTEX_POINT('',#459069); #147357=VERTEX_POINT('',#459073); #147358=VERTEX_POINT('',#459075); #147359=VERTEX_POINT('',#459079); #147360=VERTEX_POINT('',#459081); #147361=VERTEX_POINT('',#459085); #147362=VERTEX_POINT('',#459087); #147363=VERTEX_POINT('',#459091); #147364=VERTEX_POINT('',#459093); #147365=VERTEX_POINT('',#459097); #147366=VERTEX_POINT('',#459099); #147367=VERTEX_POINT('',#459103); #147368=VERTEX_POINT('',#459105); #147369=VERTEX_POINT('',#459109); #147370=VERTEX_POINT('',#459111); #147371=VERTEX_POINT('',#459115); #147372=VERTEX_POINT('',#459117); #147373=VERTEX_POINT('',#459121); #147374=VERTEX_POINT('',#459123); #147375=VERTEX_POINT('',#459127); #147376=VERTEX_POINT('',#459129); #147377=VERTEX_POINT('',#459133); #147378=VERTEX_POINT('',#459135); #147379=VERTEX_POINT('',#459139); #147380=VERTEX_POINT('',#459141); #147381=VERTEX_POINT('',#459145); #147382=VERTEX_POINT('',#459147); #147383=VERTEX_POINT('',#459151); #147384=VERTEX_POINT('',#459153); #147385=VERTEX_POINT('',#459157); #147386=VERTEX_POINT('',#459159); #147387=VERTEX_POINT('',#459163); #147388=VERTEX_POINT('',#459165); #147389=VERTEX_POINT('',#459169); #147390=VERTEX_POINT('',#459171); #147391=VERTEX_POINT('',#459175); #147392=VERTEX_POINT('',#459177); #147393=VERTEX_POINT('',#459181); #147394=VERTEX_POINT('',#459183); #147395=VERTEX_POINT('',#459187); #147396=VERTEX_POINT('',#459189); #147397=VERTEX_POINT('',#459193); #147398=VERTEX_POINT('',#459195); #147399=VERTEX_POINT('',#459199); #147400=VERTEX_POINT('',#459201); #147401=VERTEX_POINT('',#459205); #147402=VERTEX_POINT('',#459207); #147403=VERTEX_POINT('',#459211); #147404=VERTEX_POINT('',#459213); #147405=VERTEX_POINT('',#459217); #147406=VERTEX_POINT('',#459219); #147407=VERTEX_POINT('',#459223); #147408=VERTEX_POINT('',#459225); #147409=VERTEX_POINT('',#459229); #147410=VERTEX_POINT('',#459231); #147411=VERTEX_POINT('',#459235); #147412=VERTEX_POINT('',#459237); #147413=VERTEX_POINT('',#459241); #147414=VERTEX_POINT('',#459243); #147415=VERTEX_POINT('',#459247); #147416=VERTEX_POINT('',#459249); #147417=VERTEX_POINT('',#459253); #147418=VERTEX_POINT('',#459255); #147419=VERTEX_POINT('',#459259); #147420=VERTEX_POINT('',#459261); #147421=VERTEX_POINT('',#459265); #147422=VERTEX_POINT('',#459267); #147423=VERTEX_POINT('',#459271); #147424=VERTEX_POINT('',#459273); #147425=VERTEX_POINT('',#459277); #147426=VERTEX_POINT('',#459279); #147427=VERTEX_POINT('',#459283); #147428=VERTEX_POINT('',#459285); #147429=VERTEX_POINT('',#459289); #147430=VERTEX_POINT('',#459291); #147431=VERTEX_POINT('',#459295); #147432=VERTEX_POINT('',#459297); #147433=VERTEX_POINT('',#459301); #147434=VERTEX_POINT('',#459303); #147435=VERTEX_POINT('',#459307); #147436=VERTEX_POINT('',#459309); #147437=VERTEX_POINT('',#459313); #147438=VERTEX_POINT('',#459315); #147439=VERTEX_POINT('',#459319); #147440=VERTEX_POINT('',#459321); #147441=VERTEX_POINT('',#459325); #147442=VERTEX_POINT('',#459327); #147443=VERTEX_POINT('',#459331); #147444=VERTEX_POINT('',#459333); #147445=VERTEX_POINT('',#459337); #147446=VERTEX_POINT('',#459339); #147447=VERTEX_POINT('',#459343); #147448=VERTEX_POINT('',#459345); #147449=VERTEX_POINT('',#459349); #147450=VERTEX_POINT('',#459351); #147451=VERTEX_POINT('',#459355); #147452=VERTEX_POINT('',#459357); #147453=VERTEX_POINT('',#459361); #147454=VERTEX_POINT('',#459363); #147455=VERTEX_POINT('',#459367); #147456=VERTEX_POINT('',#459369); #147457=VERTEX_POINT('',#459373); #147458=VERTEX_POINT('',#459375); #147459=VERTEX_POINT('',#459379); #147460=VERTEX_POINT('',#459381); #147461=VERTEX_POINT('',#459385); #147462=VERTEX_POINT('',#459387); #147463=VERTEX_POINT('',#459391); #147464=VERTEX_POINT('',#459393); #147465=VERTEX_POINT('',#459397); #147466=VERTEX_POINT('',#459399); #147467=VERTEX_POINT('',#459403); #147468=VERTEX_POINT('',#459405); #147469=VERTEX_POINT('',#459409); #147470=VERTEX_POINT('',#459411); #147471=VERTEX_POINT('',#459415); #147472=VERTEX_POINT('',#459417); #147473=VERTEX_POINT('',#459421); #147474=VERTEX_POINT('',#459423); #147475=VERTEX_POINT('',#459427); #147476=VERTEX_POINT('',#459429); #147477=VERTEX_POINT('',#459433); #147478=VERTEX_POINT('',#459435); #147479=VERTEX_POINT('',#459439); #147480=VERTEX_POINT('',#459441); #147481=VERTEX_POINT('',#459445); #147482=VERTEX_POINT('',#459447); #147483=VERTEX_POINT('',#459451); #147484=VERTEX_POINT('',#459453); #147485=VERTEX_POINT('',#459457); #147486=VERTEX_POINT('',#459459); #147487=VERTEX_POINT('',#459463); #147488=VERTEX_POINT('',#459465); #147489=VERTEX_POINT('',#459469); #147490=VERTEX_POINT('',#459471); #147491=VERTEX_POINT('',#459475); #147492=VERTEX_POINT('',#459477); #147493=VERTEX_POINT('',#459481); #147494=VERTEX_POINT('',#459483); #147495=VERTEX_POINT('',#459487); #147496=VERTEX_POINT('',#459489); #147497=VERTEX_POINT('',#459493); #147498=VERTEX_POINT('',#459495); #147499=VERTEX_POINT('',#459499); #147500=VERTEX_POINT('',#459501); #147501=VERTEX_POINT('',#459505); #147502=VERTEX_POINT('',#459507); #147503=VERTEX_POINT('',#459511); #147504=VERTEX_POINT('',#459513); #147505=VERTEX_POINT('',#459517); #147506=VERTEX_POINT('',#459519); #147507=VERTEX_POINT('',#459523); #147508=VERTEX_POINT('',#459525); #147509=VERTEX_POINT('',#459529); #147510=VERTEX_POINT('',#459531); #147511=VERTEX_POINT('',#459535); #147512=VERTEX_POINT('',#459537); #147513=VERTEX_POINT('',#459541); #147514=VERTEX_POINT('',#459543); #147515=VERTEX_POINT('',#459547); #147516=VERTEX_POINT('',#459549); #147517=VERTEX_POINT('',#459553); #147518=VERTEX_POINT('',#459555); #147519=VERTEX_POINT('',#459559); #147520=VERTEX_POINT('',#459561); #147521=VERTEX_POINT('',#459565); #147522=VERTEX_POINT('',#459567); #147523=VERTEX_POINT('',#459571); #147524=VERTEX_POINT('',#459573); #147525=VERTEX_POINT('',#459577); #147526=VERTEX_POINT('',#459579); #147527=VERTEX_POINT('',#459583); #147528=VERTEX_POINT('',#459585); #147529=VERTEX_POINT('',#459589); #147530=VERTEX_POINT('',#459591); #147531=VERTEX_POINT('',#459595); #147532=VERTEX_POINT('',#459597); #147533=VERTEX_POINT('',#459601); #147534=VERTEX_POINT('',#459603); #147535=VERTEX_POINT('',#459607); #147536=VERTEX_POINT('',#459609); #147537=VERTEX_POINT('',#459613); #147538=VERTEX_POINT('',#459615); #147539=VERTEX_POINT('',#459619); #147540=VERTEX_POINT('',#459621); #147541=VERTEX_POINT('',#459625); #147542=VERTEX_POINT('',#459627); #147543=VERTEX_POINT('',#459631); #147544=VERTEX_POINT('',#459633); #147545=VERTEX_POINT('',#459637); #147546=VERTEX_POINT('',#459639); #147547=VERTEX_POINT('',#459643); #147548=VERTEX_POINT('',#459645); #147549=VERTEX_POINT('',#459649); #147550=VERTEX_POINT('',#459651); #147551=VERTEX_POINT('',#459655); #147552=VERTEX_POINT('',#459657); #147553=VERTEX_POINT('',#459661); #147554=VERTEX_POINT('',#459663); #147555=VERTEX_POINT('',#459667); #147556=VERTEX_POINT('',#459669); #147557=VERTEX_POINT('',#459673); #147558=VERTEX_POINT('',#459675); #147559=VERTEX_POINT('',#459679); #147560=VERTEX_POINT('',#459681); #147561=VERTEX_POINT('',#459685); #147562=VERTEX_POINT('',#459687); #147563=VERTEX_POINT('',#459691); #147564=VERTEX_POINT('',#459693); #147565=VERTEX_POINT('',#459697); #147566=VERTEX_POINT('',#459699); #147567=VERTEX_POINT('',#459703); #147568=VERTEX_POINT('',#459705); #147569=VERTEX_POINT('',#459709); #147570=VERTEX_POINT('',#459711); #147571=VERTEX_POINT('',#459715); #147572=VERTEX_POINT('',#459717); #147573=VERTEX_POINT('',#459721); #147574=VERTEX_POINT('',#459723); #147575=VERTEX_POINT('',#459727); #147576=VERTEX_POINT('',#459729); #147577=VERTEX_POINT('',#459733); #147578=VERTEX_POINT('',#459735); #147579=VERTEX_POINT('',#459739); #147580=VERTEX_POINT('',#459741); #147581=VERTEX_POINT('',#459745); #147582=VERTEX_POINT('',#459747); #147583=VERTEX_POINT('',#459751); #147584=VERTEX_POINT('',#459753); #147585=VERTEX_POINT('',#459757); #147586=VERTEX_POINT('',#459759); #147587=VERTEX_POINT('',#459763); #147588=VERTEX_POINT('',#459765); #147589=VERTEX_POINT('',#459769); #147590=VERTEX_POINT('',#459771); #147591=VERTEX_POINT('',#459775); #147592=VERTEX_POINT('',#459777); #147593=VERTEX_POINT('',#459781); #147594=VERTEX_POINT('',#459783); #147595=VERTEX_POINT('',#459787); #147596=VERTEX_POINT('',#459789); #147597=VERTEX_POINT('',#459793); #147598=VERTEX_POINT('',#459795); #147599=VERTEX_POINT('',#459799); #147600=VERTEX_POINT('',#459801); #147601=VERTEX_POINT('',#459805); #147602=VERTEX_POINT('',#459807); #147603=VERTEX_POINT('',#459811); #147604=VERTEX_POINT('',#459813); #147605=VERTEX_POINT('',#459817); #147606=VERTEX_POINT('',#459819); #147607=VERTEX_POINT('',#459823); #147608=VERTEX_POINT('',#459825); #147609=VERTEX_POINT('',#459829); #147610=VERTEX_POINT('',#459831); #147611=VERTEX_POINT('',#459838); #147612=VERTEX_POINT('',#459839); #147613=VERTEX_POINT('',#459841); #147614=VERTEX_POINT('',#459843); #147615=VERTEX_POINT('',#459847); #147616=VERTEX_POINT('',#459849); #147617=VERTEX_POINT('',#459853); #147618=VERTEX_POINT('',#459855); #147619=VERTEX_POINT('',#459859); #147620=VERTEX_POINT('',#459861); #147621=VERTEX_POINT('',#459865); #147622=VERTEX_POINT('',#459867); #147623=VERTEX_POINT('',#459871); #147624=VERTEX_POINT('',#459873); #147625=VERTEX_POINT('',#459877); #147626=VERTEX_POINT('',#459879); #147627=VERTEX_POINT('',#459883); #147628=VERTEX_POINT('',#459885); #147629=VERTEX_POINT('',#459889); #147630=VERTEX_POINT('',#459891); #147631=VERTEX_POINT('',#459895); #147632=VERTEX_POINT('',#459897); #147633=VERTEX_POINT('',#459901); #147634=VERTEX_POINT('',#459903); #147635=VERTEX_POINT('',#459907); #147636=VERTEX_POINT('',#459909); #147637=VERTEX_POINT('',#459913); #147638=VERTEX_POINT('',#459915); #147639=VERTEX_POINT('',#459919); #147640=VERTEX_POINT('',#459921); #147641=VERTEX_POINT('',#459925); #147642=VERTEX_POINT('',#459927); #147643=VERTEX_POINT('',#459931); #147644=VERTEX_POINT('',#459933); #147645=VERTEX_POINT('',#459937); #147646=VERTEX_POINT('',#459939); #147647=VERTEX_POINT('',#459943); #147648=VERTEX_POINT('',#459945); #147649=VERTEX_POINT('',#459949); #147650=VERTEX_POINT('',#459951); #147651=VERTEX_POINT('',#459955); #147652=VERTEX_POINT('',#459957); #147653=VERTEX_POINT('',#459961); #147654=VERTEX_POINT('',#459963); #147655=VERTEX_POINT('',#459967); #147656=VERTEX_POINT('',#459969); #147657=VERTEX_POINT('',#459973); #147658=VERTEX_POINT('',#459975); #147659=VERTEX_POINT('',#459979); #147660=VERTEX_POINT('',#459981); #147661=VERTEX_POINT('',#459985); #147662=VERTEX_POINT('',#459987); #147663=VERTEX_POINT('',#459991); #147664=VERTEX_POINT('',#459993); #147665=VERTEX_POINT('',#459997); #147666=VERTEX_POINT('',#459999); #147667=VERTEX_POINT('',#460003); #147668=VERTEX_POINT('',#460005); #147669=VERTEX_POINT('',#460009); #147670=VERTEX_POINT('',#460011); #147671=VERTEX_POINT('',#460015); #147672=VERTEX_POINT('',#460017); #147673=VERTEX_POINT('',#460021); #147674=VERTEX_POINT('',#460023); #147675=VERTEX_POINT('',#460027); #147676=VERTEX_POINT('',#460029); #147677=VERTEX_POINT('',#460033); #147678=VERTEX_POINT('',#460035); #147679=VERTEX_POINT('',#460039); #147680=VERTEX_POINT('',#460041); #147681=VERTEX_POINT('',#460045); #147682=VERTEX_POINT('',#460047); #147683=VERTEX_POINT('',#460051); #147684=VERTEX_POINT('',#460053); #147685=VERTEX_POINT('',#460057); #147686=VERTEX_POINT('',#460059); #147687=VERTEX_POINT('',#460063); #147688=VERTEX_POINT('',#460065); #147689=VERTEX_POINT('',#460069); #147690=VERTEX_POINT('',#460071); #147691=VERTEX_POINT('',#460075); #147692=VERTEX_POINT('',#460077); #147693=VERTEX_POINT('',#460081); #147694=VERTEX_POINT('',#460083); #147695=VERTEX_POINT('',#460087); #147696=VERTEX_POINT('',#460089); #147697=VERTEX_POINT('',#460093); #147698=VERTEX_POINT('',#460095); #147699=VERTEX_POINT('',#460099); #147700=VERTEX_POINT('',#460101); #147701=VERTEX_POINT('',#460105); #147702=VERTEX_POINT('',#460107); #147703=VERTEX_POINT('',#460111); #147704=VERTEX_POINT('',#460113); #147705=VERTEX_POINT('',#460117); #147706=VERTEX_POINT('',#460119); #147707=VERTEX_POINT('',#460123); #147708=VERTEX_POINT('',#460125); #147709=VERTEX_POINT('',#460129); #147710=VERTEX_POINT('',#460131); #147711=VERTEX_POINT('',#460135); #147712=VERTEX_POINT('',#460137); #147713=VERTEX_POINT('',#460141); #147714=VERTEX_POINT('',#460143); #147715=VERTEX_POINT('',#460147); #147716=VERTEX_POINT('',#460149); #147717=VERTEX_POINT('',#460153); #147718=VERTEX_POINT('',#460155); #147719=VERTEX_POINT('',#460159); #147720=VERTEX_POINT('',#460161); #147721=VERTEX_POINT('',#460165); #147722=VERTEX_POINT('',#460167); #147723=VERTEX_POINT('',#460171); #147724=VERTEX_POINT('',#460173); #147725=VERTEX_POINT('',#460177); #147726=VERTEX_POINT('',#460179); #147727=VERTEX_POINT('',#460183); #147728=VERTEX_POINT('',#460185); #147729=VERTEX_POINT('',#460189); #147730=VERTEX_POINT('',#460191); #147731=VERTEX_POINT('',#460195); #147732=VERTEX_POINT('',#460197); #147733=VERTEX_POINT('',#460201); #147734=VERTEX_POINT('',#460203); #147735=VERTEX_POINT('',#460207); #147736=VERTEX_POINT('',#460209); #147737=VERTEX_POINT('',#460213); #147738=VERTEX_POINT('',#460215); #147739=VERTEX_POINT('',#460219); #147740=VERTEX_POINT('',#460221); #147741=VERTEX_POINT('',#460225); #147742=VERTEX_POINT('',#460227); #147743=VERTEX_POINT('',#460231); #147744=VERTEX_POINT('',#460233); #147745=VERTEX_POINT('',#460237); #147746=VERTEX_POINT('',#460239); #147747=VERTEX_POINT('',#460243); #147748=VERTEX_POINT('',#460245); #147749=VERTEX_POINT('',#460249); #147750=VERTEX_POINT('',#460251); #147751=VERTEX_POINT('',#460255); #147752=VERTEX_POINT('',#460257); #147753=VERTEX_POINT('',#460261); #147754=VERTEX_POINT('',#460263); #147755=VERTEX_POINT('',#460267); #147756=VERTEX_POINT('',#460269); #147757=VERTEX_POINT('',#460273); #147758=VERTEX_POINT('',#460275); #147759=VERTEX_POINT('',#460279); #147760=VERTEX_POINT('',#460281); #147761=VERTEX_POINT('',#460285); #147762=VERTEX_POINT('',#460287); #147763=VERTEX_POINT('',#460291); #147764=VERTEX_POINT('',#460293); #147765=VERTEX_POINT('',#460297); #147766=VERTEX_POINT('',#460299); #147767=VERTEX_POINT('',#460303); #147768=VERTEX_POINT('',#460305); #147769=VERTEX_POINT('',#460309); #147770=VERTEX_POINT('',#460311); #147771=VERTEX_POINT('',#460315); #147772=VERTEX_POINT('',#460317); #147773=VERTEX_POINT('',#460321); #147774=VERTEX_POINT('',#460323); #147775=VERTEX_POINT('',#460327); #147776=VERTEX_POINT('',#460329); #147777=VERTEX_POINT('',#460333); #147778=VERTEX_POINT('',#460335); #147779=VERTEX_POINT('',#460339); #147780=VERTEX_POINT('',#460341); #147781=VERTEX_POINT('',#460345); #147782=VERTEX_POINT('',#460347); #147783=VERTEX_POINT('',#460351); #147784=VERTEX_POINT('',#460353); #147785=VERTEX_POINT('',#460357); #147786=VERTEX_POINT('',#460359); #147787=VERTEX_POINT('',#460363); #147788=VERTEX_POINT('',#460365); #147789=VERTEX_POINT('',#460369); #147790=VERTEX_POINT('',#460371); #147791=VERTEX_POINT('',#460375); #147792=VERTEX_POINT('',#460377); #147793=VERTEX_POINT('',#460381); #147794=VERTEX_POINT('',#460383); #147795=VERTEX_POINT('',#460387); #147796=VERTEX_POINT('',#460389); #147797=VERTEX_POINT('',#460393); #147798=VERTEX_POINT('',#460395); #147799=VERTEX_POINT('',#460399); #147800=VERTEX_POINT('',#460401); #147801=VERTEX_POINT('',#460405); #147802=VERTEX_POINT('',#460407); #147803=VERTEX_POINT('',#460411); #147804=VERTEX_POINT('',#460413); #147805=VERTEX_POINT('',#460417); #147806=VERTEX_POINT('',#460419); #147807=VERTEX_POINT('',#460423); #147808=VERTEX_POINT('',#460425); #147809=VERTEX_POINT('',#460429); #147810=VERTEX_POINT('',#460431); #147811=VERTEX_POINT('',#460435); #147812=VERTEX_POINT('',#460437); #147813=VERTEX_POINT('',#460441); #147814=VERTEX_POINT('',#460443); #147815=VERTEX_POINT('',#460447); #147816=VERTEX_POINT('',#460449); #147817=VERTEX_POINT('',#460453); #147818=VERTEX_POINT('',#460455); #147819=VERTEX_POINT('',#460459); #147820=VERTEX_POINT('',#460461); #147821=VERTEX_POINT('',#460465); #147822=VERTEX_POINT('',#460467); #147823=VERTEX_POINT('',#460471); #147824=VERTEX_POINT('',#460473); #147825=VERTEX_POINT('',#460477); #147826=VERTEX_POINT('',#460479); #147827=VERTEX_POINT('',#460483); #147828=VERTEX_POINT('',#460485); #147829=VERTEX_POINT('',#460489); #147830=VERTEX_POINT('',#460491); #147831=VERTEX_POINT('',#460495); #147832=VERTEX_POINT('',#460497); #147833=VERTEX_POINT('',#460501); #147834=VERTEX_POINT('',#460503); #147835=VERTEX_POINT('',#460507); #147836=VERTEX_POINT('',#460509); #147837=VERTEX_POINT('',#460513); #147838=VERTEX_POINT('',#460515); #147839=VERTEX_POINT('',#460519); #147840=VERTEX_POINT('',#460521); #147841=VERTEX_POINT('',#460525); #147842=VERTEX_POINT('',#460527); #147843=VERTEX_POINT('',#460531); #147844=VERTEX_POINT('',#460533); #147845=VERTEX_POINT('',#460537); #147846=VERTEX_POINT('',#460539); #147847=VERTEX_POINT('',#460543); #147848=VERTEX_POINT('',#460545); #147849=VERTEX_POINT('',#460552); #147850=VERTEX_POINT('',#460553); #147851=VERTEX_POINT('',#460555); #147852=VERTEX_POINT('',#460557); #147853=VERTEX_POINT('',#460561); #147854=VERTEX_POINT('',#460563); #147855=VERTEX_POINT('',#460567); #147856=VERTEX_POINT('',#460569); #147857=VERTEX_POINT('',#460573); #147858=VERTEX_POINT('',#460575); #147859=VERTEX_POINT('',#460579); #147860=VERTEX_POINT('',#460581); #147861=VERTEX_POINT('',#460585); #147862=VERTEX_POINT('',#460587); #147863=VERTEX_POINT('',#460591); #147864=VERTEX_POINT('',#460593); #147865=VERTEX_POINT('',#460600); #147866=VERTEX_POINT('',#460601); #147867=VERTEX_POINT('',#460603); #147868=VERTEX_POINT('',#460605); #147869=VERTEX_POINT('',#460609); #147870=VERTEX_POINT('',#460611); #147871=VERTEX_POINT('',#460615); #147872=VERTEX_POINT('',#460617); #147873=VERTEX_POINT('',#460621); #147874=VERTEX_POINT('',#460623); #147875=VERTEX_POINT('',#460627); #147876=VERTEX_POINT('',#460629); #147877=VERTEX_POINT('',#460633); #147878=VERTEX_POINT('',#460635); #147879=VERTEX_POINT('',#460639); #147880=VERTEX_POINT('',#460641); #147881=VERTEX_POINT('',#460645); #147882=VERTEX_POINT('',#460647); #147883=VERTEX_POINT('',#460651); #147884=VERTEX_POINT('',#460653); #147885=VERTEX_POINT('',#460657); #147886=VERTEX_POINT('',#460659); #147887=VERTEX_POINT('',#460663); #147888=VERTEX_POINT('',#460665); #147889=VERTEX_POINT('',#460669); #147890=VERTEX_POINT('',#460671); #147891=VERTEX_POINT('',#460675); #147892=VERTEX_POINT('',#460677); #147893=VERTEX_POINT('',#460681); #147894=VERTEX_POINT('',#460683); #147895=VERTEX_POINT('',#460687); #147896=VERTEX_POINT('',#460689); #147897=VERTEX_POINT('',#460693); #147898=VERTEX_POINT('',#460695); #147899=VERTEX_POINT('',#460699); #147900=VERTEX_POINT('',#460701); #147901=VERTEX_POINT('',#460705); #147902=VERTEX_POINT('',#460707); #147903=VERTEX_POINT('',#460711); #147904=VERTEX_POINT('',#460713); #147905=VERTEX_POINT('',#460717); #147906=VERTEX_POINT('',#460719); #147907=VERTEX_POINT('',#460723); #147908=VERTEX_POINT('',#460725); #147909=VERTEX_POINT('',#460729); #147910=VERTEX_POINT('',#460731); #147911=VERTEX_POINT('',#460735); #147912=VERTEX_POINT('',#460737); #147913=VERTEX_POINT('',#460741); #147914=VERTEX_POINT('',#460743); #147915=VERTEX_POINT('',#460747); #147916=VERTEX_POINT('',#460749); #147917=VERTEX_POINT('',#460753); #147918=VERTEX_POINT('',#460755); #147919=VERTEX_POINT('',#460759); #147920=VERTEX_POINT('',#460761); #147921=VERTEX_POINT('',#460765); #147922=VERTEX_POINT('',#460767); #147923=VERTEX_POINT('',#460771); #147924=VERTEX_POINT('',#460773); #147925=VERTEX_POINT('',#460777); #147926=VERTEX_POINT('',#460779); #147927=VERTEX_POINT('',#460783); #147928=VERTEX_POINT('',#460785); #147929=VERTEX_POINT('',#460789); #147930=VERTEX_POINT('',#460791); #147931=VERTEX_POINT('',#460795); #147932=VERTEX_POINT('',#460797); #147933=VERTEX_POINT('',#460801); #147934=VERTEX_POINT('',#460803); #147935=VERTEX_POINT('',#460807); #147936=VERTEX_POINT('',#460809); #147937=VERTEX_POINT('',#460813); #147938=VERTEX_POINT('',#460815); #147939=VERTEX_POINT('',#460819); #147940=VERTEX_POINT('',#460821); #147941=VERTEX_POINT('',#460825); #147942=VERTEX_POINT('',#460827); #147943=VERTEX_POINT('',#460831); #147944=VERTEX_POINT('',#460833); #147945=VERTEX_POINT('',#460837); #147946=VERTEX_POINT('',#460839); #147947=VERTEX_POINT('',#460843); #147948=VERTEX_POINT('',#460845); #147949=VERTEX_POINT('',#460849); #147950=VERTEX_POINT('',#460851); #147951=VERTEX_POINT('',#460855); #147952=VERTEX_POINT('',#460857); #147953=VERTEX_POINT('',#460861); #147954=VERTEX_POINT('',#460863); #147955=VERTEX_POINT('',#460867); #147956=VERTEX_POINT('',#460869); #147957=VERTEX_POINT('',#460873); #147958=VERTEX_POINT('',#460875); #147959=VERTEX_POINT('',#460879); #147960=VERTEX_POINT('',#460881); #147961=VERTEX_POINT('',#460885); #147962=VERTEX_POINT('',#460887); #147963=VERTEX_POINT('',#460891); #147964=VERTEX_POINT('',#460893); #147965=VERTEX_POINT('',#460897); #147966=VERTEX_POINT('',#460899); #147967=VERTEX_POINT('',#460903); #147968=VERTEX_POINT('',#460905); #147969=VERTEX_POINT('',#460909); #147970=VERTEX_POINT('',#460911); #147971=VERTEX_POINT('',#460915); #147972=VERTEX_POINT('',#460917); #147973=VERTEX_POINT('',#460921); #147974=VERTEX_POINT('',#460923); #147975=VERTEX_POINT('',#460927); #147976=VERTEX_POINT('',#460929); #147977=VERTEX_POINT('',#460933); #147978=VERTEX_POINT('',#460935); #147979=VERTEX_POINT('',#460939); #147980=VERTEX_POINT('',#460941); #147981=VERTEX_POINT('',#460945); #147982=VERTEX_POINT('',#460947); #147983=VERTEX_POINT('',#460951); #147984=VERTEX_POINT('',#460953); #147985=VERTEX_POINT('',#460957); #147986=VERTEX_POINT('',#460959); #147987=VERTEX_POINT('',#460963); #147988=VERTEX_POINT('',#460965); #147989=VERTEX_POINT('',#460969); #147990=VERTEX_POINT('',#460971); #147991=VERTEX_POINT('',#460975); #147992=VERTEX_POINT('',#460977); #147993=VERTEX_POINT('',#460981); #147994=VERTEX_POINT('',#460983); #147995=VERTEX_POINT('',#460987); #147996=VERTEX_POINT('',#460989); #147997=VERTEX_POINT('',#460993); #147998=VERTEX_POINT('',#460995); #147999=VERTEX_POINT('',#460999); #148000=VERTEX_POINT('',#461001); #148001=VERTEX_POINT('',#461005); #148002=VERTEX_POINT('',#461007); #148003=VERTEX_POINT('',#461011); #148004=VERTEX_POINT('',#461013); #148005=VERTEX_POINT('',#461017); #148006=VERTEX_POINT('',#461019); #148007=VERTEX_POINT('',#461023); #148008=VERTEX_POINT('',#461025); #148009=VERTEX_POINT('',#461029); #148010=VERTEX_POINT('',#461031); #148011=VERTEX_POINT('',#461035); #148012=VERTEX_POINT('',#461037); #148013=VERTEX_POINT('',#461041); #148014=VERTEX_POINT('',#461043); #148015=VERTEX_POINT('',#461047); #148016=VERTEX_POINT('',#461049); #148017=VERTEX_POINT('',#461053); #148018=VERTEX_POINT('',#461055); #148019=VERTEX_POINT('',#461059); #148020=VERTEX_POINT('',#461061); #148021=VERTEX_POINT('',#461065); #148022=VERTEX_POINT('',#461067); #148023=VERTEX_POINT('',#461071); #148024=VERTEX_POINT('',#461073); #148025=VERTEX_POINT('',#461077); #148026=VERTEX_POINT('',#461079); #148027=VERTEX_POINT('',#461083); #148028=VERTEX_POINT('',#461085); #148029=VERTEX_POINT('',#461089); #148030=VERTEX_POINT('',#461091); #148031=VERTEX_POINT('',#461095); #148032=VERTEX_POINT('',#461097); #148033=VERTEX_POINT('',#461101); #148034=VERTEX_POINT('',#461103); #148035=VERTEX_POINT('',#461107); #148036=VERTEX_POINT('',#461109); #148037=VERTEX_POINT('',#461113); #148038=VERTEX_POINT('',#461115); #148039=VERTEX_POINT('',#461119); #148040=VERTEX_POINT('',#461121); #148041=VERTEX_POINT('',#461125); #148042=VERTEX_POINT('',#461127); #148043=VERTEX_POINT('',#461131); #148044=VERTEX_POINT('',#461133); #148045=VERTEX_POINT('',#461137); #148046=VERTEX_POINT('',#461139); #148047=VERTEX_POINT('',#461143); #148048=VERTEX_POINT('',#461145); #148049=VERTEX_POINT('',#461149); #148050=VERTEX_POINT('',#461151); #148051=VERTEX_POINT('',#461155); #148052=VERTEX_POINT('',#461157); #148053=VERTEX_POINT('',#461161); #148054=VERTEX_POINT('',#461163); #148055=VERTEX_POINT('',#461167); #148056=VERTEX_POINT('',#461169); #148057=VERTEX_POINT('',#461173); #148058=VERTEX_POINT('',#461175); #148059=VERTEX_POINT('',#461179); #148060=VERTEX_POINT('',#461181); #148061=VERTEX_POINT('',#461185); #148062=VERTEX_POINT('',#461187); #148063=VERTEX_POINT('',#461191); #148064=VERTEX_POINT('',#461193); #148065=VERTEX_POINT('',#461197); #148066=VERTEX_POINT('',#461199); #148067=VERTEX_POINT('',#461203); #148068=VERTEX_POINT('',#461205); #148069=VERTEX_POINT('',#461209); #148070=VERTEX_POINT('',#461211); #148071=VERTEX_POINT('',#461215); #148072=VERTEX_POINT('',#461217); #148073=VERTEX_POINT('',#461221); #148074=VERTEX_POINT('',#461223); #148075=VERTEX_POINT('',#461227); #148076=VERTEX_POINT('',#461229); #148077=VERTEX_POINT('',#461233); #148078=VERTEX_POINT('',#461235); #148079=VERTEX_POINT('',#461239); #148080=VERTEX_POINT('',#461241); #148081=VERTEX_POINT('',#461245); #148082=VERTEX_POINT('',#461247); #148083=VERTEX_POINT('',#461251); #148084=VERTEX_POINT('',#461253); #148085=VERTEX_POINT('',#461257); #148086=VERTEX_POINT('',#461259); #148087=VERTEX_POINT('',#461263); #148088=VERTEX_POINT('',#461265); #148089=VERTEX_POINT('',#461269); #148090=VERTEX_POINT('',#461271); #148091=VERTEX_POINT('',#461275); #148092=VERTEX_POINT('',#461277); #148093=VERTEX_POINT('',#461281); #148094=VERTEX_POINT('',#461283); #148095=VERTEX_POINT('',#461287); #148096=VERTEX_POINT('',#461289); #148097=VERTEX_POINT('',#461293); #148098=VERTEX_POINT('',#461295); #148099=VERTEX_POINT('',#461299); #148100=VERTEX_POINT('',#461301); #148101=VERTEX_POINT('',#461305); #148102=VERTEX_POINT('',#461307); #148103=VERTEX_POINT('',#461311); #148104=VERTEX_POINT('',#461313); #148105=VERTEX_POINT('',#461322); #148106=VERTEX_POINT('',#461323); #148107=VERTEX_POINT('',#461325); #148108=VERTEX_POINT('',#461327); #148109=VERTEX_POINT('',#461331); #148110=VERTEX_POINT('',#461333); #148111=VERTEX_POINT('',#461337); #148112=VERTEX_POINT('',#461339); #148113=VERTEX_POINT('',#461343); #148114=VERTEX_POINT('',#461345); #148115=VERTEX_POINT('',#461349); #148116=VERTEX_POINT('',#461351); #148117=VERTEX_POINT('',#461355); #148118=VERTEX_POINT('',#461357); #148119=VERTEX_POINT('',#461361); #148120=VERTEX_POINT('',#461363); #148121=VERTEX_POINT('',#461367); #148122=VERTEX_POINT('',#461369); #148123=VERTEX_POINT('',#461373); #148124=VERTEX_POINT('',#461375); #148125=VERTEX_POINT('',#461379); #148126=VERTEX_POINT('',#461381); #148127=VERTEX_POINT('',#461385); #148128=VERTEX_POINT('',#461387); #148129=VERTEX_POINT('',#461391); #148130=VERTEX_POINT('',#461393); #148131=VERTEX_POINT('',#461397); #148132=VERTEX_POINT('',#461399); #148133=VERTEX_POINT('',#461403); #148134=VERTEX_POINT('',#461405); #148135=VERTEX_POINT('',#461409); #148136=VERTEX_POINT('',#461411); #148137=VERTEX_POINT('',#461415); #148138=VERTEX_POINT('',#461417); #148139=VERTEX_POINT('',#461421); #148140=VERTEX_POINT('',#461423); #148141=VERTEX_POINT('',#461427); #148142=VERTEX_POINT('',#461429); #148143=VERTEX_POINT('',#461433); #148144=VERTEX_POINT('',#461435); #148145=VERTEX_POINT('',#461439); #148146=VERTEX_POINT('',#461441); #148147=VERTEX_POINT('',#461450); #148148=VERTEX_POINT('',#461451); #148149=VERTEX_POINT('',#461453); #148150=VERTEX_POINT('',#461455); #148151=VERTEX_POINT('',#461459); #148152=VERTEX_POINT('',#461461); #148153=VERTEX_POINT('',#461465); #148154=VERTEX_POINT('',#461467); #148155=VERTEX_POINT('',#461471); #148156=VERTEX_POINT('',#461473); #148157=VERTEX_POINT('',#461477); #148158=VERTEX_POINT('',#461479); #148159=VERTEX_POINT('',#461483); #148160=VERTEX_POINT('',#461485); #148161=VERTEX_POINT('',#461489); #148162=VERTEX_POINT('',#461491); #148163=VERTEX_POINT('',#461495); #148164=VERTEX_POINT('',#461497); #148165=VERTEX_POINT('',#461501); #148166=VERTEX_POINT('',#461503); #148167=VERTEX_POINT('',#461507); #148168=VERTEX_POINT('',#461509); #148169=VERTEX_POINT('',#461513); #148170=VERTEX_POINT('',#461515); #148171=VERTEX_POINT('',#461519); #148172=VERTEX_POINT('',#461521); #148173=VERTEX_POINT('',#461525); #148174=VERTEX_POINT('',#461527); #148175=VERTEX_POINT('',#461531); #148176=VERTEX_POINT('',#461533); #148177=VERTEX_POINT('',#461537); #148178=VERTEX_POINT('',#461539); #148179=VERTEX_POINT('',#461543); #148180=VERTEX_POINT('',#461545); #148181=VERTEX_POINT('',#461549); #148182=VERTEX_POINT('',#461551); #148183=VERTEX_POINT('',#461555); #148184=VERTEX_POINT('',#461557); #148185=VERTEX_POINT('',#461561); #148186=VERTEX_POINT('',#461563); #148187=VERTEX_POINT('',#461567); #148188=VERTEX_POINT('',#461569); #148189=VERTEX_POINT('',#461573); #148190=VERTEX_POINT('',#461575); #148191=VERTEX_POINT('',#461579); #148192=VERTEX_POINT('',#461581); #148193=VERTEX_POINT('',#461585); #148194=VERTEX_POINT('',#461587); #148195=VERTEX_POINT('',#461591); #148196=VERTEX_POINT('',#461593); #148197=VERTEX_POINT('',#461597); #148198=VERTEX_POINT('',#461599); #148199=VERTEX_POINT('',#461608); #148200=VERTEX_POINT('',#461609); #148201=VERTEX_POINT('',#461611); #148202=VERTEX_POINT('',#461613); #148203=VERTEX_POINT('',#461617); #148204=VERTEX_POINT('',#461619); #148205=VERTEX_POINT('',#461623); #148206=VERTEX_POINT('',#461625); #148207=VERTEX_POINT('',#461629); #148208=VERTEX_POINT('',#461631); #148209=VERTEX_POINT('',#461635); #148210=VERTEX_POINT('',#461637); #148211=VERTEX_POINT('',#461641); #148212=VERTEX_POINT('',#461643); #148213=VERTEX_POINT('',#461647); #148214=VERTEX_POINT('',#461649); #148215=VERTEX_POINT('',#461653); #148216=VERTEX_POINT('',#461655); #148217=VERTEX_POINT('',#461659); #148218=VERTEX_POINT('',#461661); #148219=VERTEX_POINT('',#461665); #148220=VERTEX_POINT('',#461667); #148221=VERTEX_POINT('',#461671); #148222=VERTEX_POINT('',#461673); #148223=VERTEX_POINT('',#461677); #148224=VERTEX_POINT('',#461679); #148225=VERTEX_POINT('',#461683); #148226=VERTEX_POINT('',#461685); #148227=VERTEX_POINT('',#461689); #148228=VERTEX_POINT('',#461691); #148229=VERTEX_POINT('',#461695); #148230=VERTEX_POINT('',#461697); #148231=VERTEX_POINT('',#461701); #148232=VERTEX_POINT('',#461703); #148233=VERTEX_POINT('',#461707); #148234=VERTEX_POINT('',#461709); #148235=VERTEX_POINT('',#461713); #148236=VERTEX_POINT('',#461715); #148237=VERTEX_POINT('',#461719); #148238=VERTEX_POINT('',#461721); #148239=VERTEX_POINT('',#461730); #148240=VERTEX_POINT('',#461731); #148241=VERTEX_POINT('',#461733); #148242=VERTEX_POINT('',#461735); #148243=VERTEX_POINT('',#461739); #148244=VERTEX_POINT('',#461741); #148245=VERTEX_POINT('',#461745); #148246=VERTEX_POINT('',#461747); #148247=VERTEX_POINT('',#461751); #148248=VERTEX_POINT('',#461753); #148249=VERTEX_POINT('',#461757); #148250=VERTEX_POINT('',#461759); #148251=VERTEX_POINT('',#461763); #148252=VERTEX_POINT('',#461765); #148253=VERTEX_POINT('',#461769); #148254=VERTEX_POINT('',#461771); #148255=VERTEX_POINT('',#461775); #148256=VERTEX_POINT('',#461777); #148257=VERTEX_POINT('',#461781); #148258=VERTEX_POINT('',#461783); #148259=VERTEX_POINT('',#461787); #148260=VERTEX_POINT('',#461789); #148261=VERTEX_POINT('',#461793); #148262=VERTEX_POINT('',#461795); #148263=VERTEX_POINT('',#461799); #148264=VERTEX_POINT('',#461801); #148265=VERTEX_POINT('',#461805); #148266=VERTEX_POINT('',#461807); #148267=VERTEX_POINT('',#461811); #148268=VERTEX_POINT('',#461813); #148269=VERTEX_POINT('',#461817); #148270=VERTEX_POINT('',#461819); #148271=VERTEX_POINT('',#461823); #148272=VERTEX_POINT('',#461825); #148273=VERTEX_POINT('',#461829); #148274=VERTEX_POINT('',#461831); #148275=VERTEX_POINT('',#461835); #148276=VERTEX_POINT('',#461837); #148277=VERTEX_POINT('',#461841); #148278=VERTEX_POINT('',#461843); #148279=VERTEX_POINT('',#461847); #148280=VERTEX_POINT('',#461849); #148281=VERTEX_POINT('',#461853); #148282=VERTEX_POINT('',#461855); #148283=VERTEX_POINT('',#461859); #148284=VERTEX_POINT('',#461861); #148285=VERTEX_POINT('',#461870); #148286=VERTEX_POINT('',#461871); #148287=VERTEX_POINT('',#461873); #148288=VERTEX_POINT('',#461875); #148289=VERTEX_POINT('',#461879); #148290=VERTEX_POINT('',#461881); #148291=VERTEX_POINT('',#461885); #148292=VERTEX_POINT('',#461887); #148293=VERTEX_POINT('',#461891); #148294=VERTEX_POINT('',#461893); #148295=VERTEX_POINT('',#461897); #148296=VERTEX_POINT('',#461899); #148297=VERTEX_POINT('',#461903); #148298=VERTEX_POINT('',#461905); #148299=VERTEX_POINT('',#461909); #148300=VERTEX_POINT('',#461911); #148301=VERTEX_POINT('',#461915); #148302=VERTEX_POINT('',#461917); #148303=VERTEX_POINT('',#461921); #148304=VERTEX_POINT('',#461923); #148305=VERTEX_POINT('',#461927); #148306=VERTEX_POINT('',#461929); #148307=VERTEX_POINT('',#461933); #148308=VERTEX_POINT('',#461935); #148309=VERTEX_POINT('',#461939); #148310=VERTEX_POINT('',#461941); #148311=VERTEX_POINT('',#461945); #148312=VERTEX_POINT('',#461947); #148313=VERTEX_POINT('',#461951); #148314=VERTEX_POINT('',#461953); #148315=VERTEX_POINT('',#461957); #148316=VERTEX_POINT('',#461959); #148317=VERTEX_POINT('',#461963); #148318=VERTEX_POINT('',#461965); #148319=VERTEX_POINT('',#461969); #148320=VERTEX_POINT('',#461971); #148321=VERTEX_POINT('',#461975); #148322=VERTEX_POINT('',#461977); #148323=VERTEX_POINT('',#461981); #148324=VERTEX_POINT('',#461983); #148325=VERTEX_POINT('',#461987); #148326=VERTEX_POINT('',#461989); #148327=VERTEX_POINT('',#461998); #148328=VERTEX_POINT('',#461999); #148329=VERTEX_POINT('',#462001); #148330=VERTEX_POINT('',#462003); #148331=VERTEX_POINT('',#462007); #148332=VERTEX_POINT('',#462009); #148333=VERTEX_POINT('',#462013); #148334=VERTEX_POINT('',#462015); #148335=VERTEX_POINT('',#462019); #148336=VERTEX_POINT('',#462021); #148337=VERTEX_POINT('',#462025); #148338=VERTEX_POINT('',#462027); #148339=VERTEX_POINT('',#462031); #148340=VERTEX_POINT('',#462033); #148341=VERTEX_POINT('',#462037); #148342=VERTEX_POINT('',#462039); #148343=VERTEX_POINT('',#462043); #148344=VERTEX_POINT('',#462045); #148345=VERTEX_POINT('',#462049); #148346=VERTEX_POINT('',#462051); #148347=VERTEX_POINT('',#462055); #148348=VERTEX_POINT('',#462057); #148349=VERTEX_POINT('',#462061); #148350=VERTEX_POINT('',#462063); #148351=VERTEX_POINT('',#462067); #148352=VERTEX_POINT('',#462069); #148353=VERTEX_POINT('',#462073); #148354=VERTEX_POINT('',#462075); #148355=VERTEX_POINT('',#462079); #148356=VERTEX_POINT('',#462081); #148357=VERTEX_POINT('',#462085); #148358=VERTEX_POINT('',#462087); #148359=VERTEX_POINT('',#462091); #148360=VERTEX_POINT('',#462093); #148361=VERTEX_POINT('',#462097); #148362=VERTEX_POINT('',#462099); #148363=VERTEX_POINT('',#462103); #148364=VERTEX_POINT('',#462105); #148365=VERTEX_POINT('',#462109); #148366=VERTEX_POINT('',#462111); #148367=VERTEX_POINT('',#462115); #148368=VERTEX_POINT('',#462117); #148369=VERTEX_POINT('',#462121); #148370=VERTEX_POINT('',#462123); #148371=VERTEX_POINT('',#462127); #148372=VERTEX_POINT('',#462129); #148373=VERTEX_POINT('',#462133); #148374=VERTEX_POINT('',#462135); #148375=VERTEX_POINT('',#462139); #148376=VERTEX_POINT('',#462141); #148377=VERTEX_POINT('',#462145); #148378=VERTEX_POINT('',#462147); #148379=VERTEX_POINT('',#462151); #148380=VERTEX_POINT('',#462153); #148381=VERTEX_POINT('',#462157); #148382=VERTEX_POINT('',#462159); #148383=VERTEX_POINT('',#462163); #148384=VERTEX_POINT('',#462165); #148385=VERTEX_POINT('',#462169); #148386=VERTEX_POINT('',#462171); #148387=VERTEX_POINT('',#462180); #148388=VERTEX_POINT('',#462181); #148389=VERTEX_POINT('',#462183); #148390=VERTEX_POINT('',#462185); #148391=VERTEX_POINT('',#462189); #148392=VERTEX_POINT('',#462191); #148393=VERTEX_POINT('',#462195); #148394=VERTEX_POINT('',#462197); #148395=VERTEX_POINT('',#462201); #148396=VERTEX_POINT('',#462203); #148397=VERTEX_POINT('',#462207); #148398=VERTEX_POINT('',#462209); #148399=VERTEX_POINT('',#462213); #148400=VERTEX_POINT('',#462215); #148401=VERTEX_POINT('',#462219); #148402=VERTEX_POINT('',#462221); #148403=VERTEX_POINT('',#462225); #148404=VERTEX_POINT('',#462227); #148405=VERTEX_POINT('',#462231); #148406=VERTEX_POINT('',#462233); #148407=VERTEX_POINT('',#462237); #148408=VERTEX_POINT('',#462239); #148409=VERTEX_POINT('',#462243); #148410=VERTEX_POINT('',#462245); #148411=VERTEX_POINT('',#462249); #148412=VERTEX_POINT('',#462251); #148413=VERTEX_POINT('',#462255); #148414=VERTEX_POINT('',#462257); #148415=VERTEX_POINT('',#462261); #148416=VERTEX_POINT('',#462263); #148417=VERTEX_POINT('',#462267); #148418=VERTEX_POINT('',#462269); #148419=VERTEX_POINT('',#462273); #148420=VERTEX_POINT('',#462275); #148421=VERTEX_POINT('',#462279); #148422=VERTEX_POINT('',#462281); #148423=VERTEX_POINT('',#462285); #148424=VERTEX_POINT('',#462287); #148425=VERTEX_POINT('',#462291); #148426=VERTEX_POINT('',#462293); #148427=VERTEX_POINT('',#462302); #148428=VERTEX_POINT('',#462303); #148429=VERTEX_POINT('',#462305); #148430=VERTEX_POINT('',#462307); #148431=VERTEX_POINT('',#462311); #148432=VERTEX_POINT('',#462313); #148433=VERTEX_POINT('',#462317); #148434=VERTEX_POINT('',#462319); #148435=VERTEX_POINT('',#462323); #148436=VERTEX_POINT('',#462325); #148437=VERTEX_POINT('',#462329); #148438=VERTEX_POINT('',#462331); #148439=VERTEX_POINT('',#462335); #148440=VERTEX_POINT('',#462337); #148441=VERTEX_POINT('',#462341); #148442=VERTEX_POINT('',#462343); #148443=VERTEX_POINT('',#462347); #148444=VERTEX_POINT('',#462349); #148445=VERTEX_POINT('',#462353); #148446=VERTEX_POINT('',#462355); #148447=VERTEX_POINT('',#462359); #148448=VERTEX_POINT('',#462361); #148449=VERTEX_POINT('',#462365); #148450=VERTEX_POINT('',#462367); #148451=VERTEX_POINT('',#462371); #148452=VERTEX_POINT('',#462373); #148453=VERTEX_POINT('',#462377); #148454=VERTEX_POINT('',#462379); #148455=VERTEX_POINT('',#462383); #148456=VERTEX_POINT('',#462385); #148457=VERTEX_POINT('',#462389); #148458=VERTEX_POINT('',#462391); #148459=VERTEX_POINT('',#462395); #148460=VERTEX_POINT('',#462397); #148461=VERTEX_POINT('',#462401); #148462=VERTEX_POINT('',#462403); #148463=VERTEX_POINT('',#462407); #148464=VERTEX_POINT('',#462409); #148465=VERTEX_POINT('',#462413); #148466=VERTEX_POINT('',#462415); #148467=VERTEX_POINT('',#462419); #148468=VERTEX_POINT('',#462421); #148469=VERTEX_POINT('',#462425); #148470=VERTEX_POINT('',#462427); #148471=VERTEX_POINT('',#462431); #148472=VERTEX_POINT('',#462433); #148473=VERTEX_POINT('',#462437); #148474=VERTEX_POINT('',#462439); #148475=VERTEX_POINT('',#462443); #148476=VERTEX_POINT('',#462445); #148477=VERTEX_POINT('',#462449); #148478=VERTEX_POINT('',#462451); #148479=VERTEX_POINT('',#462455); #148480=VERTEX_POINT('',#462457); #148481=VERTEX_POINT('',#462461); #148482=VERTEX_POINT('',#462463); #148483=VERTEX_POINT('',#462467); #148484=VERTEX_POINT('',#462469); #148485=VERTEX_POINT('',#462473); #148486=VERTEX_POINT('',#462475); #148487=VERTEX_POINT('',#462479); #148488=VERTEX_POINT('',#462481); #148489=VERTEX_POINT('',#462485); #148490=VERTEX_POINT('',#462487); #148491=VERTEX_POINT('',#462491); #148492=VERTEX_POINT('',#462493); #148493=VERTEX_POINT('',#462502); #148494=VERTEX_POINT('',#462504); #148495=VERTEX_POINT('',#462508); #148496=VERTEX_POINT('',#462510); #148497=VERTEX_POINT('',#462514); #148498=VERTEX_POINT('',#462516); #148499=VERTEX_POINT('',#462520); #148500=VERTEX_POINT('',#462521); #148501=VERTEX_POINT('',#462523); #148502=VERTEX_POINT('',#462525); #148503=VERTEX_POINT('',#462529); #148504=VERTEX_POINT('',#462531); #148505=VERTEX_POINT('',#462535); #148506=VERTEX_POINT('',#462537); #148507=VERTEX_POINT('',#462541); #148508=VERTEX_POINT('',#462543); #148509=VERTEX_POINT('',#462547); #148510=VERTEX_POINT('',#462549); #148511=VERTEX_POINT('',#462553); #148512=VERTEX_POINT('',#462555); #148513=VERTEX_POINT('',#462559); #148514=VERTEX_POINT('',#462561); #148515=VERTEX_POINT('',#462565); #148516=VERTEX_POINT('',#462567); #148517=VERTEX_POINT('',#462571); #148518=VERTEX_POINT('',#462573); #148519=VERTEX_POINT('',#462577); #148520=VERTEX_POINT('',#462579); #148521=VERTEX_POINT('',#462583); #148522=VERTEX_POINT('',#462585); #148523=VERTEX_POINT('',#462589); #148524=VERTEX_POINT('',#462591); #148525=VERTEX_POINT('',#462595); #148526=VERTEX_POINT('',#462597); #148527=VERTEX_POINT('',#462601); #148528=VERTEX_POINT('',#462603); #148529=VERTEX_POINT('',#462607); #148530=VERTEX_POINT('',#462609); #148531=VERTEX_POINT('',#462613); #148532=VERTEX_POINT('',#462615); #148533=VERTEX_POINT('',#462619); #148534=VERTEX_POINT('',#462621); #148535=VERTEX_POINT('',#462625); #148536=VERTEX_POINT('',#462627); #148537=VERTEX_POINT('',#462631); #148538=VERTEX_POINT('',#462633); #148539=VERTEX_POINT('',#462637); #148540=VERTEX_POINT('',#462639); #148541=VERTEX_POINT('',#462643); #148542=VERTEX_POINT('',#462645); #148543=VERTEX_POINT('',#462649); #148544=VERTEX_POINT('',#462651); #148545=VERTEX_POINT('',#462655); #148546=VERTEX_POINT('',#462657); #148547=VERTEX_POINT('',#462661); #148548=VERTEX_POINT('',#462663); #148549=VERTEX_POINT('',#462667); #148550=VERTEX_POINT('',#462669); #148551=VERTEX_POINT('',#462673); #148552=VERTEX_POINT('',#462675); #148553=VERTEX_POINT('',#462679); #148554=VERTEX_POINT('',#462681); #148555=VERTEX_POINT('',#462685); #148556=VERTEX_POINT('',#462687); #148557=VERTEX_POINT('',#462691); #148558=VERTEX_POINT('',#462693); #148559=VERTEX_POINT('',#462697); #148560=VERTEX_POINT('',#462699); #148561=VERTEX_POINT('',#462703); #148562=VERTEX_POINT('',#462705); #148563=VERTEX_POINT('',#462709); #148564=VERTEX_POINT('',#462711); #148565=VERTEX_POINT('',#462715); #148566=VERTEX_POINT('',#462717); #148567=VERTEX_POINT('',#462721); #148568=VERTEX_POINT('',#462723); #148569=VERTEX_POINT('',#462727); #148570=VERTEX_POINT('',#462729); #148571=VERTEX_POINT('',#462733); #148572=VERTEX_POINT('',#462735); #148573=VERTEX_POINT('',#462739); #148574=VERTEX_POINT('',#462741); #148575=VERTEX_POINT('',#462745); #148576=VERTEX_POINT('',#462747); #148577=VERTEX_POINT('',#462751); #148578=VERTEX_POINT('',#462753); #148579=VERTEX_POINT('',#462757); #148580=VERTEX_POINT('',#462759); #148581=VERTEX_POINT('',#462763); #148582=VERTEX_POINT('',#462765); #148583=VERTEX_POINT('',#462769); #148584=VERTEX_POINT('',#462771); #148585=VERTEX_POINT('',#462775); #148586=VERTEX_POINT('',#462777); #148587=VERTEX_POINT('',#462781); #148588=VERTEX_POINT('',#462783); #148589=VERTEX_POINT('',#462787); #148590=VERTEX_POINT('',#462789); #148591=VERTEX_POINT('',#462793); #148592=VERTEX_POINT('',#462795); #148593=VERTEX_POINT('',#462799); #148594=VERTEX_POINT('',#462801); #148595=VERTEX_POINT('',#462805); #148596=VERTEX_POINT('',#462807); #148597=VERTEX_POINT('',#462811); #148598=VERTEX_POINT('',#462813); #148599=VERTEX_POINT('',#462817); #148600=VERTEX_POINT('',#462819); #148601=VERTEX_POINT('',#462823); #148602=VERTEX_POINT('',#462825); #148603=VERTEX_POINT('',#462829); #148604=VERTEX_POINT('',#462831); #148605=VERTEX_POINT('',#462835); #148606=VERTEX_POINT('',#462837); #148607=VERTEX_POINT('',#462841); #148608=VERTEX_POINT('',#462843); #148609=VERTEX_POINT('',#462847); #148610=VERTEX_POINT('',#462849); #148611=VERTEX_POINT('',#462853); #148612=VERTEX_POINT('',#462855); #148613=VERTEX_POINT('',#462859); #148614=VERTEX_POINT('',#462861); #148615=VERTEX_POINT('',#462865); #148616=VERTEX_POINT('',#462867); #148617=VERTEX_POINT('',#462871); #148618=VERTEX_POINT('',#462873); #148619=VERTEX_POINT('',#462877); #148620=VERTEX_POINT('',#462879); #148621=VERTEX_POINT('',#462883); #148622=VERTEX_POINT('',#462885); #148623=VERTEX_POINT('',#462889); #148624=VERTEX_POINT('',#462891); #148625=VERTEX_POINT('',#462895); #148626=VERTEX_POINT('',#462897); #148627=VERTEX_POINT('',#462901); #148628=VERTEX_POINT('',#462903); #148629=VERTEX_POINT('',#462907); #148630=VERTEX_POINT('',#462909); #148631=VERTEX_POINT('',#462913); #148632=VERTEX_POINT('',#462915); #148633=VERTEX_POINT('',#462919); #148634=VERTEX_POINT('',#462921); #148635=VERTEX_POINT('',#462925); #148636=VERTEX_POINT('',#462927); #148637=VERTEX_POINT('',#462931); #148638=VERTEX_POINT('',#462933); #148639=VERTEX_POINT('',#462937); #148640=VERTEX_POINT('',#462939); #148641=VERTEX_POINT('',#462943); #148642=VERTEX_POINT('',#462945); #148643=VERTEX_POINT('',#462949); #148644=VERTEX_POINT('',#462951); #148645=VERTEX_POINT('',#462955); #148646=VERTEX_POINT('',#462957); #148647=VERTEX_POINT('',#462961); #148648=VERTEX_POINT('',#462963); #148649=VERTEX_POINT('',#462967); #148650=VERTEX_POINT('',#462969); #148651=VERTEX_POINT('',#462973); #148652=VERTEX_POINT('',#462975); #148653=VERTEX_POINT('',#462979); #148654=VERTEX_POINT('',#462981); #148655=VERTEX_POINT('',#462985); #148656=VERTEX_POINT('',#462987); #148657=VERTEX_POINT('',#462991); #148658=VERTEX_POINT('',#462993); #148659=VERTEX_POINT('',#462997); #148660=VERTEX_POINT('',#462999); #148661=VERTEX_POINT('',#463003); #148662=VERTEX_POINT('',#463005); #148663=VERTEX_POINT('',#463009); #148664=VERTEX_POINT('',#463011); #148665=VERTEX_POINT('',#463015); #148666=VERTEX_POINT('',#463017); #148667=VERTEX_POINT('',#463021); #148668=VERTEX_POINT('',#463023); #148669=VERTEX_POINT('',#463027); #148670=VERTEX_POINT('',#463029); #148671=VERTEX_POINT('',#463033); #148672=VERTEX_POINT('',#463035); #148673=VERTEX_POINT('',#463044); #148674=VERTEX_POINT('',#463045); #148675=VERTEX_POINT('',#463047); #148676=VERTEX_POINT('',#463049); #148677=VERTEX_POINT('',#463053); #148678=VERTEX_POINT('',#463055); #148679=VERTEX_POINT('',#463059); #148680=VERTEX_POINT('',#463061); #148681=VERTEX_POINT('',#463065); #148682=VERTEX_POINT('',#463067); #148683=VERTEX_POINT('',#463071); #148684=VERTEX_POINT('',#463073); #148685=VERTEX_POINT('',#463077); #148686=VERTEX_POINT('',#463079); #148687=VERTEX_POINT('',#463083); #148688=VERTEX_POINT('',#463085); #148689=VERTEX_POINT('',#463089); #148690=VERTEX_POINT('',#463091); #148691=VERTEX_POINT('',#463095); #148692=VERTEX_POINT('',#463097); #148693=VERTEX_POINT('',#463101); #148694=VERTEX_POINT('',#463103); #148695=VERTEX_POINT('',#463107); #148696=VERTEX_POINT('',#463109); #148697=VERTEX_POINT('',#463113); #148698=VERTEX_POINT('',#463115); #148699=VERTEX_POINT('',#463119); #148700=VERTEX_POINT('',#463121); #148701=VERTEX_POINT('',#463125); #148702=VERTEX_POINT('',#463127); #148703=VERTEX_POINT('',#463131); #148704=VERTEX_POINT('',#463133); #148705=VERTEX_POINT('',#463137); #148706=VERTEX_POINT('',#463139); #148707=VERTEX_POINT('',#463143); #148708=VERTEX_POINT('',#463145); #148709=VERTEX_POINT('',#463149); #148710=VERTEX_POINT('',#463151); #148711=VERTEX_POINT('',#463155); #148712=VERTEX_POINT('',#463157); #148713=VERTEX_POINT('',#463161); #148714=VERTEX_POINT('',#463163); #148715=VERTEX_POINT('',#463167); #148716=VERTEX_POINT('',#463169); #148717=VERTEX_POINT('',#463173); #148718=VERTEX_POINT('',#463175); #148719=VERTEX_POINT('',#463179); #148720=VERTEX_POINT('',#463181); #148721=VERTEX_POINT('',#463185); #148722=VERTEX_POINT('',#463187); #148723=VERTEX_POINT('',#463191); #148724=VERTEX_POINT('',#463193); #148725=VERTEX_POINT('',#463197); #148726=VERTEX_POINT('',#463199); #148727=VERTEX_POINT('',#463203); #148728=VERTEX_POINT('',#463205); #148729=VERTEX_POINT('',#463209); #148730=VERTEX_POINT('',#463211); #148731=VERTEX_POINT('',#463220); #148732=VERTEX_POINT('',#463221); #148733=VERTEX_POINT('',#463223); #148734=VERTEX_POINT('',#463225); #148735=VERTEX_POINT('',#463229); #148736=VERTEX_POINT('',#463231); #148737=VERTEX_POINT('',#463235); #148738=VERTEX_POINT('',#463237); #148739=VERTEX_POINT('',#463241); #148740=VERTEX_POINT('',#463243); #148741=VERTEX_POINT('',#463247); #148742=VERTEX_POINT('',#463249); #148743=VERTEX_POINT('',#463253); #148744=VERTEX_POINT('',#463255); #148745=VERTEX_POINT('',#463259); #148746=VERTEX_POINT('',#463261); #148747=VERTEX_POINT('',#463265); #148748=VERTEX_POINT('',#463267); #148749=VERTEX_POINT('',#463271); #148750=VERTEX_POINT('',#463273); #148751=VERTEX_POINT('',#463277); #148752=VERTEX_POINT('',#463279); #148753=VERTEX_POINT('',#463283); #148754=VERTEX_POINT('',#463285); #148755=VERTEX_POINT('',#463289); #148756=VERTEX_POINT('',#463291); #148757=VERTEX_POINT('',#463295); #148758=VERTEX_POINT('',#463297); #148759=VERTEX_POINT('',#463301); #148760=VERTEX_POINT('',#463303); #148761=VERTEX_POINT('',#463307); #148762=VERTEX_POINT('',#463309); #148763=VERTEX_POINT('',#463313); #148764=VERTEX_POINT('',#463315); #148765=VERTEX_POINT('',#463319); #148766=VERTEX_POINT('',#463321); #148767=VERTEX_POINT('',#463325); #148768=VERTEX_POINT('',#463327); #148769=VERTEX_POINT('',#463336); #148770=VERTEX_POINT('',#463338); #148771=VERTEX_POINT('',#463342); #148772=VERTEX_POINT('',#463344); #148773=VERTEX_POINT('',#463348); #148774=VERTEX_POINT('',#463350); #148775=VERTEX_POINT('',#463354); #148776=VERTEX_POINT('',#463356); #148777=VERTEX_POINT('',#463360); #148778=VERTEX_POINT('',#463362); #148779=VERTEX_POINT('',#463366); #148780=VERTEX_POINT('',#463368); #148781=VERTEX_POINT('',#463372); #148782=VERTEX_POINT('',#463374); #148783=VERTEX_POINT('',#463378); #148784=VERTEX_POINT('',#463380); #148785=VERTEX_POINT('',#463384); #148786=VERTEX_POINT('',#463386); #148787=VERTEX_POINT('',#463390); #148788=VERTEX_POINT('',#463392); #148789=VERTEX_POINT('',#463396); #148790=VERTEX_POINT('',#463398); #148791=VERTEX_POINT('',#463402); #148792=VERTEX_POINT('',#463404); #148793=VERTEX_POINT('',#463408); #148794=VERTEX_POINT('',#463410); #148795=VERTEX_POINT('',#463414); #148796=VERTEX_POINT('',#463416); #148797=VERTEX_POINT('',#463420); #148798=VERTEX_POINT('',#463422); #148799=VERTEX_POINT('',#463426); #148800=VERTEX_POINT('',#463428); #148801=VERTEX_POINT('',#463432); #148802=VERTEX_POINT('',#463434); #148803=VERTEX_POINT('',#463438); #148804=VERTEX_POINT('',#463440); #148805=VERTEX_POINT('',#463444); #148806=VERTEX_POINT('',#463446); #148807=VERTEX_POINT('',#463450); #148808=VERTEX_POINT('',#463452); #148809=VERTEX_POINT('',#463456); #148810=VERTEX_POINT('',#463458); #148811=VERTEX_POINT('',#463462); #148812=VERTEX_POINT('',#463464); #148813=VERTEX_POINT('',#463468); #148814=VERTEX_POINT('',#463470); #148815=VERTEX_POINT('',#463474); #148816=VERTEX_POINT('',#463476); #148817=VERTEX_POINT('',#463480); #148818=VERTEX_POINT('',#463482); #148819=VERTEX_POINT('',#463486); #148820=VERTEX_POINT('',#463488); #148821=VERTEX_POINT('',#463492); #148822=VERTEX_POINT('',#463494); #148823=VERTEX_POINT('',#463498); #148824=VERTEX_POINT('',#463500); #148825=VERTEX_POINT('',#463504); #148826=VERTEX_POINT('',#463506); #148827=VERTEX_POINT('',#463510); #148828=VERTEX_POINT('',#463512); #148829=VERTEX_POINT('',#463516); #148830=VERTEX_POINT('',#463518); #148831=VERTEX_POINT('',#463522); #148832=VERTEX_POINT('',#463524); #148833=VERTEX_POINT('',#463528); #148834=VERTEX_POINT('',#463530); #148835=VERTEX_POINT('',#463534); #148836=VERTEX_POINT('',#463536); #148837=VERTEX_POINT('',#463540); #148838=VERTEX_POINT('',#463542); #148839=VERTEX_POINT('',#463546); #148840=VERTEX_POINT('',#463548); #148841=VERTEX_POINT('',#463552); #148842=VERTEX_POINT('',#463554); #148843=VERTEX_POINT('',#463558); #148844=VERTEX_POINT('',#463560); #148845=VERTEX_POINT('',#463564); #148846=VERTEX_POINT('',#463566); #148847=VERTEX_POINT('',#463570); #148848=VERTEX_POINT('',#463572); #148849=VERTEX_POINT('',#463576); #148850=VERTEX_POINT('',#463578); #148851=VERTEX_POINT('',#463582); #148852=VERTEX_POINT('',#463584); #148853=VERTEX_POINT('',#463588); #148854=VERTEX_POINT('',#463590); #148855=VERTEX_POINT('',#463594); #148856=VERTEX_POINT('',#463596); #148857=VERTEX_POINT('',#463600); #148858=VERTEX_POINT('',#463602); #148859=VERTEX_POINT('',#463606); #148860=VERTEX_POINT('',#463608); #148861=VERTEX_POINT('',#463612); #148862=VERTEX_POINT('',#463614); #148863=VERTEX_POINT('',#463618); #148864=VERTEX_POINT('',#463620); #148865=VERTEX_POINT('',#463624); #148866=VERTEX_POINT('',#463626); #148867=VERTEX_POINT('',#463630); #148868=VERTEX_POINT('',#463631); #148869=VERTEX_POINT('',#463633); #148870=VERTEX_POINT('',#463635); #148871=VERTEX_POINT('',#463639); #148872=VERTEX_POINT('',#463641); #148873=VERTEX_POINT('',#463645); #148874=VERTEX_POINT('',#463647); #148875=VERTEX_POINT('',#463651); #148876=VERTEX_POINT('',#463653); #148877=VERTEX_POINT('',#463657); #148878=VERTEX_POINT('',#463659); #148879=VERTEX_POINT('',#463663); #148880=VERTEX_POINT('',#463665); #148881=VERTEX_POINT('',#463669); #148882=VERTEX_POINT('',#463671); #148883=VERTEX_POINT('',#463675); #148884=VERTEX_POINT('',#463677); #148885=VERTEX_POINT('',#463681); #148886=VERTEX_POINT('',#463683); #148887=VERTEX_POINT('',#463687); #148888=VERTEX_POINT('',#463689); #148889=VERTEX_POINT('',#463693); #148890=VERTEX_POINT('',#463695); #148891=VERTEX_POINT('',#463699); #148892=VERTEX_POINT('',#463701); #148893=VERTEX_POINT('',#463705); #148894=VERTEX_POINT('',#463707); #148895=VERTEX_POINT('',#463711); #148896=VERTEX_POINT('',#463713); #148897=VERTEX_POINT('',#463717); #148898=VERTEX_POINT('',#463719); #148899=VERTEX_POINT('',#463723); #148900=VERTEX_POINT('',#463725); #148901=VERTEX_POINT('',#463729); #148902=VERTEX_POINT('',#463731); #148903=VERTEX_POINT('',#463735); #148904=VERTEX_POINT('',#463737); #148905=VERTEX_POINT('',#463741); #148906=VERTEX_POINT('',#463743); #148907=VERTEX_POINT('',#463747); #148908=VERTEX_POINT('',#463749); #148909=VERTEX_POINT('',#463753); #148910=VERTEX_POINT('',#463755); #148911=VERTEX_POINT('',#463759); #148912=VERTEX_POINT('',#463761); #148913=VERTEX_POINT('',#463765); #148914=VERTEX_POINT('',#463767); #148915=VERTEX_POINT('',#463771); #148916=VERTEX_POINT('',#463773); #148917=VERTEX_POINT('',#463777); #148918=VERTEX_POINT('',#463779); #148919=VERTEX_POINT('',#463783); #148920=VERTEX_POINT('',#463785); #148921=VERTEX_POINT('',#463789); #148922=VERTEX_POINT('',#463791); #148923=VERTEX_POINT('',#463795); #148924=VERTEX_POINT('',#463797); #148925=VERTEX_POINT('',#463801); #148926=VERTEX_POINT('',#463803); #148927=VERTEX_POINT('',#463807); #148928=VERTEX_POINT('',#463809); #148929=VERTEX_POINT('',#463813); #148930=VERTEX_POINT('',#463815); #148931=VERTEX_POINT('',#463819); #148932=VERTEX_POINT('',#463821); #148933=VERTEX_POINT('',#463825); #148934=VERTEX_POINT('',#463827); #148935=VERTEX_POINT('',#463831); #148936=VERTEX_POINT('',#463833); #148937=VERTEX_POINT('',#463837); #148938=VERTEX_POINT('',#463839); #148939=VERTEX_POINT('',#463843); #148940=VERTEX_POINT('',#463845); #148941=VERTEX_POINT('',#463849); #148942=VERTEX_POINT('',#463851); #148943=VERTEX_POINT('',#463855); #148944=VERTEX_POINT('',#463857); #148945=VERTEX_POINT('',#463861); #148946=VERTEX_POINT('',#463863); #148947=VERTEX_POINT('',#463867); #148948=VERTEX_POINT('',#463869); #148949=VERTEX_POINT('',#463873); #148950=VERTEX_POINT('',#463875); #148951=VERTEX_POINT('',#463879); #148952=VERTEX_POINT('',#463881); #148953=VERTEX_POINT('',#463885); #148954=VERTEX_POINT('',#463887); #148955=VERTEX_POINT('',#463891); #148956=VERTEX_POINT('',#463893); #148957=VERTEX_POINT('',#463897); #148958=VERTEX_POINT('',#463899); #148959=VERTEX_POINT('',#463903); #148960=VERTEX_POINT('',#463905); #148961=VERTEX_POINT('',#463909); #148962=VERTEX_POINT('',#463911); #148963=VERTEX_POINT('',#463915); #148964=VERTEX_POINT('',#463917); #148965=VERTEX_POINT('',#463921); #148966=VERTEX_POINT('',#463923); #148967=VERTEX_POINT('',#463927); #148968=VERTEX_POINT('',#463929); #148969=VERTEX_POINT('',#463933); #148970=VERTEX_POINT('',#463935); #148971=VERTEX_POINT('',#463939); #148972=VERTEX_POINT('',#463941); #148973=VERTEX_POINT('',#463945); #148974=VERTEX_POINT('',#463947); #148975=VERTEX_POINT('',#463951); #148976=VERTEX_POINT('',#463953); #148977=VERTEX_POINT('',#463957); #148978=VERTEX_POINT('',#463959); #148979=VERTEX_POINT('',#463963); #148980=VERTEX_POINT('',#463965); #148981=VERTEX_POINT('',#463969); #148982=VERTEX_POINT('',#463971); #148983=VERTEX_POINT('',#463975); #148984=VERTEX_POINT('',#463977); #148985=VERTEX_POINT('',#463981); #148986=VERTEX_POINT('',#463983); #148987=VERTEX_POINT('',#463987); #148988=VERTEX_POINT('',#463989); #148989=VERTEX_POINT('',#463993); #148990=VERTEX_POINT('',#463995); #148991=VERTEX_POINT('',#463999); #148992=VERTEX_POINT('',#464001); #148993=VERTEX_POINT('',#464005); #148994=VERTEX_POINT('',#464007); #148995=VERTEX_POINT('',#464011); #148996=VERTEX_POINT('',#464013); #148997=VERTEX_POINT('',#464017); #148998=VERTEX_POINT('',#464019); #148999=VERTEX_POINT('',#464023); #149000=VERTEX_POINT('',#464025); #149001=VERTEX_POINT('',#464029); #149002=VERTEX_POINT('',#464031); #149003=VERTEX_POINT('',#464035); #149004=VERTEX_POINT('',#464037); #149005=VERTEX_POINT('',#464041); #149006=VERTEX_POINT('',#464043); #149007=VERTEX_POINT('',#464047); #149008=VERTEX_POINT('',#464049); #149009=VERTEX_POINT('',#464053); #149010=VERTEX_POINT('',#464055); #149011=VERTEX_POINT('',#464059); #149012=VERTEX_POINT('',#464061); #149013=VERTEX_POINT('',#464065); #149014=VERTEX_POINT('',#464067); #149015=VERTEX_POINT('',#464071); #149016=VERTEX_POINT('',#464073); #149017=VERTEX_POINT('',#464077); #149018=VERTEX_POINT('',#464079); #149019=VERTEX_POINT('',#464083); #149020=VERTEX_POINT('',#464085); #149021=VERTEX_POINT('',#464089); #149022=VERTEX_POINT('',#464091); #149023=VERTEX_POINT('',#464095); #149024=VERTEX_POINT('',#464097); #149025=VERTEX_POINT('',#464101); #149026=VERTEX_POINT('',#464103); #149027=VERTEX_POINT('',#464107); #149028=VERTEX_POINT('',#464109); #149029=VERTEX_POINT('',#464113); #149030=VERTEX_POINT('',#464115); #149031=VERTEX_POINT('',#464119); #149032=VERTEX_POINT('',#464121); #149033=VERTEX_POINT('',#464125); #149034=VERTEX_POINT('',#464127); #149035=VERTEX_POINT('',#464131); #149036=VERTEX_POINT('',#464133); #149037=VERTEX_POINT('',#464137); #149038=VERTEX_POINT('',#464139); #149039=VERTEX_POINT('',#464143); #149040=VERTEX_POINT('',#464145); #149041=VERTEX_POINT('',#464149); #149042=VERTEX_POINT('',#464151); #149043=VERTEX_POINT('',#464155); #149044=VERTEX_POINT('',#464157); #149045=VERTEX_POINT('',#464161); #149046=VERTEX_POINT('',#464163); #149047=VERTEX_POINT('',#464167); #149048=VERTEX_POINT('',#464169); #149049=VERTEX_POINT('',#464173); #149050=VERTEX_POINT('',#464175); #149051=VERTEX_POINT('',#464179); #149052=VERTEX_POINT('',#464181); #149053=VERTEX_POINT('',#464185); #149054=VERTEX_POINT('',#464187); #149055=VERTEX_POINT('',#464191); #149056=VERTEX_POINT('',#464193); #149057=VERTEX_POINT('',#464197); #149058=VERTEX_POINT('',#464199); #149059=VERTEX_POINT('',#464203); #149060=VERTEX_POINT('',#464205); #149061=VERTEX_POINT('',#464209); #149062=VERTEX_POINT('',#464211); #149063=VERTEX_POINT('',#464215); #149064=VERTEX_POINT('',#464217); #149065=VERTEX_POINT('',#464221); #149066=VERTEX_POINT('',#464223); #149067=VERTEX_POINT('',#464227); #149068=VERTEX_POINT('',#464229); #149069=VERTEX_POINT('',#464233); #149070=VERTEX_POINT('',#464235); #149071=VERTEX_POINT('',#464239); #149072=VERTEX_POINT('',#464241); #149073=VERTEX_POINT('',#464245); #149074=VERTEX_POINT('',#464247); #149075=VERTEX_POINT('',#464251); #149076=VERTEX_POINT('',#464253); #149077=VERTEX_POINT('',#464257); #149078=VERTEX_POINT('',#464259); #149079=VERTEX_POINT('',#464263); #149080=VERTEX_POINT('',#464265); #149081=VERTEX_POINT('',#464269); #149082=VERTEX_POINT('',#464271); #149083=VERTEX_POINT('',#464275); #149084=VERTEX_POINT('',#464277); #149085=VERTEX_POINT('',#464281); #149086=VERTEX_POINT('',#464283); #149087=VERTEX_POINT('',#464287); #149088=VERTEX_POINT('',#464289); #149089=VERTEX_POINT('',#464293); #149090=VERTEX_POINT('',#464295); #149091=VERTEX_POINT('',#464299); #149092=VERTEX_POINT('',#464301); #149093=VERTEX_POINT('',#464305); #149094=VERTEX_POINT('',#464307); #149095=VERTEX_POINT('',#464311); #149096=VERTEX_POINT('',#464313); #149097=VERTEX_POINT('',#464317); #149098=VERTEX_POINT('',#464319); #149099=VERTEX_POINT('',#464323); #149100=VERTEX_POINT('',#464325); #149101=VERTEX_POINT('',#464329); #149102=VERTEX_POINT('',#464331); #149103=VERTEX_POINT('',#464335); #149104=VERTEX_POINT('',#464337); #149105=VERTEX_POINT('',#464341); #149106=VERTEX_POINT('',#464343); #149107=VERTEX_POINT('',#464347); #149108=VERTEX_POINT('',#464349); #149109=VERTEX_POINT('',#464353); #149110=VERTEX_POINT('',#464355); #149111=VERTEX_POINT('',#464359); #149112=VERTEX_POINT('',#464361); #149113=VERTEX_POINT('',#464365); #149114=VERTEX_POINT('',#464367); #149115=VERTEX_POINT('',#464371); #149116=VERTEX_POINT('',#464373); #149117=VERTEX_POINT('',#464377); #149118=VERTEX_POINT('',#464379); #149119=VERTEX_POINT('',#464383); #149120=VERTEX_POINT('',#464385); #149121=VERTEX_POINT('',#464389); #149122=VERTEX_POINT('',#464391); #149123=VERTEX_POINT('',#464395); #149124=VERTEX_POINT('',#464397); #149125=VERTEX_POINT('',#464401); #149126=VERTEX_POINT('',#464403); #149127=VERTEX_POINT('',#464407); #149128=VERTEX_POINT('',#464409); #149129=VERTEX_POINT('',#464413); #149130=VERTEX_POINT('',#464415); #149131=VERTEX_POINT('',#464419); #149132=VERTEX_POINT('',#464421); #149133=VERTEX_POINT('',#464425); #149134=VERTEX_POINT('',#464427); #149135=VERTEX_POINT('',#464431); #149136=VERTEX_POINT('',#464433); #149137=VERTEX_POINT('',#464437); #149138=VERTEX_POINT('',#464439); #149139=VERTEX_POINT('',#464443); #149140=VERTEX_POINT('',#464445); #149141=VERTEX_POINT('',#464449); #149142=VERTEX_POINT('',#464451); #149143=VERTEX_POINT('',#464455); #149144=VERTEX_POINT('',#464457); #149145=VERTEX_POINT('',#464461); #149146=VERTEX_POINT('',#464463); #149147=VERTEX_POINT('',#464467); #149148=VERTEX_POINT('',#464469); #149149=VERTEX_POINT('',#464473); #149150=VERTEX_POINT('',#464475); #149151=VERTEX_POINT('',#464479); #149152=VERTEX_POINT('',#464481); #149153=VERTEX_POINT('',#464485); #149154=VERTEX_POINT('',#464487); #149155=VERTEX_POINT('',#464491); #149156=VERTEX_POINT('',#464493); #149157=VERTEX_POINT('',#464497); #149158=VERTEX_POINT('',#464499); #149159=VERTEX_POINT('',#464503); #149160=VERTEX_POINT('',#464505); #149161=VERTEX_POINT('',#464509); #149162=VERTEX_POINT('',#464511); #149163=VERTEX_POINT('',#464515); #149164=VERTEX_POINT('',#464517); #149165=VERTEX_POINT('',#464521); #149166=VERTEX_POINT('',#464523); #149167=VERTEX_POINT('',#464527); #149168=VERTEX_POINT('',#464529); #149169=VERTEX_POINT('',#464533); #149170=VERTEX_POINT('',#464535); #149171=VERTEX_POINT('',#464539); #149172=VERTEX_POINT('',#464541); #149173=VERTEX_POINT('',#464545); #149174=VERTEX_POINT('',#464547); #149175=VERTEX_POINT('',#464551); #149176=VERTEX_POINT('',#464553); #149177=VERTEX_POINT('',#464557); #149178=VERTEX_POINT('',#464559); #149179=VERTEX_POINT('',#464563); #149180=VERTEX_POINT('',#464565); #149181=VERTEX_POINT('',#464569); #149182=VERTEX_POINT('',#464571); #149183=VERTEX_POINT('',#464575); #149184=VERTEX_POINT('',#464577); #149185=VERTEX_POINT('',#464581); #149186=VERTEX_POINT('',#464583); #149187=VERTEX_POINT('',#464587); #149188=VERTEX_POINT('',#464589); #149189=VERTEX_POINT('',#464593); #149190=VERTEX_POINT('',#464595); #149191=VERTEX_POINT('',#464599); #149192=VERTEX_POINT('',#464601); #149193=VERTEX_POINT('',#464605); #149194=VERTEX_POINT('',#464607); #149195=VERTEX_POINT('',#464611); #149196=VERTEX_POINT('',#464613); #149197=VERTEX_POINT('',#464617); #149198=VERTEX_POINT('',#464619); #149199=VERTEX_POINT('',#464623); #149200=VERTEX_POINT('',#464625); #149201=VERTEX_POINT('',#464629); #149202=VERTEX_POINT('',#464631); #149203=VERTEX_POINT('',#464635); #149204=VERTEX_POINT('',#464637); #149205=VERTEX_POINT('',#464641); #149206=VERTEX_POINT('',#464643); #149207=VERTEX_POINT('',#464647); #149208=VERTEX_POINT('',#464649); #149209=VERTEX_POINT('',#464653); #149210=VERTEX_POINT('',#464655); #149211=VERTEX_POINT('',#464659); #149212=VERTEX_POINT('',#464661); #149213=VERTEX_POINT('',#464665); #149214=VERTEX_POINT('',#464667); #149215=VERTEX_POINT('',#464671); #149216=VERTEX_POINT('',#464673); #149217=VERTEX_POINT('',#464677); #149218=VERTEX_POINT('',#464679); #149219=VERTEX_POINT('',#464683); #149220=VERTEX_POINT('',#464685); #149221=VERTEX_POINT('',#464689); #149222=VERTEX_POINT('',#464691); #149223=VERTEX_POINT('',#464695); #149224=VERTEX_POINT('',#464697); #149225=VERTEX_POINT('',#464701); #149226=VERTEX_POINT('',#464703); #149227=VERTEX_POINT('',#464707); #149228=VERTEX_POINT('',#464709); #149229=VERTEX_POINT('',#464713); #149230=VERTEX_POINT('',#464715); #149231=VERTEX_POINT('',#464719); #149232=VERTEX_POINT('',#464721); #149233=VERTEX_POINT('',#464725); #149234=VERTEX_POINT('',#464727); #149235=VERTEX_POINT('',#464731); #149236=VERTEX_POINT('',#464733); #149237=VERTEX_POINT('',#464737); #149238=VERTEX_POINT('',#464739); #149239=VERTEX_POINT('',#464743); #149240=VERTEX_POINT('',#464745); #149241=VERTEX_POINT('',#464749); #149242=VERTEX_POINT('',#464751); #149243=VERTEX_POINT('',#464755); #149244=VERTEX_POINT('',#464757); #149245=VERTEX_POINT('',#464761); #149246=VERTEX_POINT('',#464763); #149247=VERTEX_POINT('',#464767); #149248=VERTEX_POINT('',#464769); #149249=VERTEX_POINT('',#464773); #149250=VERTEX_POINT('',#464775); #149251=VERTEX_POINT('',#464779); #149252=VERTEX_POINT('',#464781); #149253=VERTEX_POINT('',#464785); #149254=VERTEX_POINT('',#464787); #149255=VERTEX_POINT('',#464791); #149256=VERTEX_POINT('',#464793); #149257=VERTEX_POINT('',#464797); #149258=VERTEX_POINT('',#464799); #149259=VERTEX_POINT('',#464803); #149260=VERTEX_POINT('',#464805); #149261=VERTEX_POINT('',#464809); #149262=VERTEX_POINT('',#464811); #149263=VERTEX_POINT('',#464815); #149264=VERTEX_POINT('',#464817); #149265=VERTEX_POINT('',#464821); #149266=VERTEX_POINT('',#464823); #149267=VERTEX_POINT('',#464827); #149268=VERTEX_POINT('',#464829); #149269=VERTEX_POINT('',#464833); #149270=VERTEX_POINT('',#464835); #149271=VERTEX_POINT('',#464839); #149272=VERTEX_POINT('',#464841); #149273=VERTEX_POINT('',#464845); #149274=VERTEX_POINT('',#464847); #149275=VERTEX_POINT('',#464851); #149276=VERTEX_POINT('',#464853); #149277=VERTEX_POINT('',#464857); #149278=VERTEX_POINT('',#464859); #149279=VERTEX_POINT('',#464863); #149280=VERTEX_POINT('',#464865); #149281=VERTEX_POINT('',#464869); #149282=VERTEX_POINT('',#464871); #149283=VERTEX_POINT('',#464875); #149284=VERTEX_POINT('',#464877); #149285=VERTEX_POINT('',#464881); #149286=VERTEX_POINT('',#464883); #149287=VERTEX_POINT('',#464887); #149288=VERTEX_POINT('',#464889); #149289=VERTEX_POINT('',#464893); #149290=VERTEX_POINT('',#464895); #149291=VERTEX_POINT('',#464899); #149292=VERTEX_POINT('',#464901); #149293=VERTEX_POINT('',#464905); #149294=VERTEX_POINT('',#464907); #149295=VERTEX_POINT('',#464911); #149296=VERTEX_POINT('',#464913); #149297=VERTEX_POINT('',#464917); #149298=VERTEX_POINT('',#464919); #149299=VERTEX_POINT('',#464923); #149300=VERTEX_POINT('',#464925); #149301=VERTEX_POINT('',#464929); #149302=VERTEX_POINT('',#464931); #149303=VERTEX_POINT('',#464935); #149304=VERTEX_POINT('',#464937); #149305=VERTEX_POINT('',#464941); #149306=VERTEX_POINT('',#464943); #149307=VERTEX_POINT('',#464947); #149308=VERTEX_POINT('',#464949); #149309=VERTEX_POINT('',#464953); #149310=VERTEX_POINT('',#464955); #149311=VERTEX_POINT('',#464959); #149312=VERTEX_POINT('',#464961); #149313=VERTEX_POINT('',#464965); #149314=VERTEX_POINT('',#464967); #149315=VERTEX_POINT('',#464971); #149316=VERTEX_POINT('',#464973); #149317=VERTEX_POINT('',#464977); #149318=VERTEX_POINT('',#464979); #149319=VERTEX_POINT('',#464983); #149320=VERTEX_POINT('',#464985); #149321=VERTEX_POINT('',#464989); #149322=VERTEX_POINT('',#464991); #149323=VERTEX_POINT('',#464995); #149324=VERTEX_POINT('',#464997); #149325=VERTEX_POINT('',#465001); #149326=VERTEX_POINT('',#465003); #149327=VERTEX_POINT('',#465007); #149328=VERTEX_POINT('',#465009); #149329=VERTEX_POINT('',#465013); #149330=VERTEX_POINT('',#465015); #149331=VERTEX_POINT('',#465019); #149332=VERTEX_POINT('',#465021); #149333=VERTEX_POINT('',#465025); #149334=VERTEX_POINT('',#465027); #149335=VERTEX_POINT('',#465031); #149336=VERTEX_POINT('',#465033); #149337=VERTEX_POINT('',#465037); #149338=VERTEX_POINT('',#465039); #149339=VERTEX_POINT('',#465043); #149340=VERTEX_POINT('',#465045); #149341=VERTEX_POINT('',#465049); #149342=VERTEX_POINT('',#465051); #149343=VERTEX_POINT('',#465055); #149344=VERTEX_POINT('',#465057); #149345=VERTEX_POINT('',#465061); #149346=VERTEX_POINT('',#465063); #149347=VERTEX_POINT('',#465067); #149348=VERTEX_POINT('',#465069); #149349=VERTEX_POINT('',#465073); #149350=VERTEX_POINT('',#465075); #149351=VERTEX_POINT('',#465079); #149352=VERTEX_POINT('',#465081); #149353=VERTEX_POINT('',#465085); #149354=VERTEX_POINT('',#465087); #149355=VERTEX_POINT('',#465091); #149356=VERTEX_POINT('',#465093); #149357=VERTEX_POINT('',#465097); #149358=VERTEX_POINT('',#465099); #149359=VERTEX_POINT('',#465103); #149360=VERTEX_POINT('',#465105); #149361=VERTEX_POINT('',#465109); #149362=VERTEX_POINT('',#465111); #149363=VERTEX_POINT('',#465115); #149364=VERTEX_POINT('',#465117); #149365=VERTEX_POINT('',#465121); #149366=VERTEX_POINT('',#465123); #149367=VERTEX_POINT('',#465127); #149368=VERTEX_POINT('',#465129); #149369=VERTEX_POINT('',#465133); #149370=VERTEX_POINT('',#465135); #149371=VERTEX_POINT('',#465139); #149372=VERTEX_POINT('',#465141); #149373=VERTEX_POINT('',#465145); #149374=VERTEX_POINT('',#465147); #149375=VERTEX_POINT('',#465151); #149376=VERTEX_POINT('',#465153); #149377=VERTEX_POINT('',#465157); #149378=VERTEX_POINT('',#465159); #149379=VERTEX_POINT('',#465163); #149380=VERTEX_POINT('',#465165); #149381=VERTEX_POINT('',#465169); #149382=VERTEX_POINT('',#465171); #149383=VERTEX_POINT('',#465175); #149384=VERTEX_POINT('',#465177); #149385=VERTEX_POINT('',#465181); #149386=VERTEX_POINT('',#465183); #149387=VERTEX_POINT('',#465187); #149388=VERTEX_POINT('',#465189); #149389=VERTEX_POINT('',#465193); #149390=VERTEX_POINT('',#465195); #149391=VERTEX_POINT('',#465199); #149392=VERTEX_POINT('',#465201); #149393=VERTEX_POINT('',#465205); #149394=VERTEX_POINT('',#465207); #149395=VERTEX_POINT('',#465211); #149396=VERTEX_POINT('',#465213); #149397=VERTEX_POINT('',#465217); #149398=VERTEX_POINT('',#465219); #149399=VERTEX_POINT('',#465223); #149400=VERTEX_POINT('',#465225); #149401=VERTEX_POINT('',#465229); #149402=VERTEX_POINT('',#465231); #149403=VERTEX_POINT('',#465235); #149404=VERTEX_POINT('',#465237); #149405=VERTEX_POINT('',#465241); #149406=VERTEX_POINT('',#465243); #149407=VERTEX_POINT('',#465247); #149408=VERTEX_POINT('',#465249); #149409=VERTEX_POINT('',#465253); #149410=VERTEX_POINT('',#465255); #149411=VERTEX_POINT('',#465259); #149412=VERTEX_POINT('',#465261); #149413=VERTEX_POINT('',#465265); #149414=VERTEX_POINT('',#465267); #149415=VERTEX_POINT('',#465271); #149416=VERTEX_POINT('',#465273); #149417=VERTEX_POINT('',#465277); #149418=VERTEX_POINT('',#465279); #149419=VERTEX_POINT('',#465283); #149420=VERTEX_POINT('',#465285); #149421=VERTEX_POINT('',#465289); #149422=VERTEX_POINT('',#465291); #149423=VERTEX_POINT('',#465295); #149424=VERTEX_POINT('',#465297); #149425=VERTEX_POINT('',#465301); #149426=VERTEX_POINT('',#465303); #149427=VERTEX_POINT('',#465307); #149428=VERTEX_POINT('',#465309); #149429=VERTEX_POINT('',#465313); #149430=VERTEX_POINT('',#465315); #149431=VERTEX_POINT('',#465319); #149432=VERTEX_POINT('',#465321); #149433=VERTEX_POINT('',#465325); #149434=VERTEX_POINT('',#465327); #149435=VERTEX_POINT('',#465331); #149436=VERTEX_POINT('',#465333); #149437=VERTEX_POINT('',#465337); #149438=VERTEX_POINT('',#465339); #149439=VERTEX_POINT('',#465343); #149440=VERTEX_POINT('',#465345); #149441=VERTEX_POINT('',#465349); #149442=VERTEX_POINT('',#465351); #149443=VERTEX_POINT('',#465355); #149444=VERTEX_POINT('',#465357); #149445=VERTEX_POINT('',#465361); #149446=VERTEX_POINT('',#465363); #149447=VERTEX_POINT('',#465367); #149448=VERTEX_POINT('',#465369); #149449=VERTEX_POINT('',#465373); #149450=VERTEX_POINT('',#465375); #149451=VERTEX_POINT('',#465379); #149452=VERTEX_POINT('',#465381); #149453=VERTEX_POINT('',#465385); #149454=VERTEX_POINT('',#465387); #149455=VERTEX_POINT('',#465391); #149456=VERTEX_POINT('',#465393); #149457=VERTEX_POINT('',#465397); #149458=VERTEX_POINT('',#465399); #149459=VERTEX_POINT('',#465408); #149460=VERTEX_POINT('',#465409); #149461=VERTEX_POINT('',#465411); #149462=VERTEX_POINT('',#465413); #149463=VERTEX_POINT('',#465417); #149464=VERTEX_POINT('',#465419); #149465=VERTEX_POINT('',#465423); #149466=VERTEX_POINT('',#465425); #149467=VERTEX_POINT('',#465429); #149468=VERTEX_POINT('',#465431); #149469=VERTEX_POINT('',#465435); #149470=VERTEX_POINT('',#465437); #149471=VERTEX_POINT('',#465441); #149472=VERTEX_POINT('',#465443); #149473=VERTEX_POINT('',#465447); #149474=VERTEX_POINT('',#465449); #149475=VERTEX_POINT('',#465453); #149476=VERTEX_POINT('',#465455); #149477=VERTEX_POINT('',#465459); #149478=VERTEX_POINT('',#465461); #149479=VERTEX_POINT('',#465465); #149480=VERTEX_POINT('',#465467); #149481=VERTEX_POINT('',#465471); #149482=VERTEX_POINT('',#465473); #149483=VERTEX_POINT('',#465477); #149484=VERTEX_POINT('',#465479); #149485=VERTEX_POINT('',#465483); #149486=VERTEX_POINT('',#465485); #149487=VERTEX_POINT('',#465489); #149488=VERTEX_POINT('',#465491); #149489=VERTEX_POINT('',#465495); #149490=VERTEX_POINT('',#465497); #149491=VERTEX_POINT('',#465501); #149492=VERTEX_POINT('',#465503); #149493=VERTEX_POINT('',#465507); #149494=VERTEX_POINT('',#465509); #149495=VERTEX_POINT('',#465513); #149496=VERTEX_POINT('',#465515); #149497=VERTEX_POINT('',#465519); #149498=VERTEX_POINT('',#465521); #149499=VERTEX_POINT('',#465525); #149500=VERTEX_POINT('',#465527); #149501=VERTEX_POINT('',#465536); #149502=VERTEX_POINT('',#465537); #149503=VERTEX_POINT('',#465539); #149504=VERTEX_POINT('',#465541); #149505=VERTEX_POINT('',#465545); #149506=VERTEX_POINT('',#465547); #149507=VERTEX_POINT('',#465551); #149508=VERTEX_POINT('',#465553); #149509=VERTEX_POINT('',#465557); #149510=VERTEX_POINT('',#465559); #149511=VERTEX_POINT('',#465563); #149512=VERTEX_POINT('',#465565); #149513=VERTEX_POINT('',#465569); #149514=VERTEX_POINT('',#465571); #149515=VERTEX_POINT('',#465575); #149516=VERTEX_POINT('',#465577); #149517=VERTEX_POINT('',#465581); #149518=VERTEX_POINT('',#465583); #149519=VERTEX_POINT('',#465587); #149520=VERTEX_POINT('',#465589); #149521=VERTEX_POINT('',#465593); #149522=VERTEX_POINT('',#465595); #149523=VERTEX_POINT('',#465599); #149524=VERTEX_POINT('',#465601); #149525=VERTEX_POINT('',#465605); #149526=VERTEX_POINT('',#465607); #149527=VERTEX_POINT('',#465611); #149528=VERTEX_POINT('',#465613); #149529=VERTEX_POINT('',#465617); #149530=VERTEX_POINT('',#465619); #149531=VERTEX_POINT('',#465623); #149532=VERTEX_POINT('',#465625); #149533=VERTEX_POINT('',#465629); #149534=VERTEX_POINT('',#465631); #149535=VERTEX_POINT('',#465635); #149536=VERTEX_POINT('',#465637); #149537=VERTEX_POINT('',#465641); #149538=VERTEX_POINT('',#465643); #149539=VERTEX_POINT('',#465647); #149540=VERTEX_POINT('',#465649); #149541=VERTEX_POINT('',#465653); #149542=VERTEX_POINT('',#465655); #149543=VERTEX_POINT('',#465664); #149544=VERTEX_POINT('',#465665); #149545=VERTEX_POINT('',#465667); #149546=VERTEX_POINT('',#465669); #149547=VERTEX_POINT('',#465673); #149548=VERTEX_POINT('',#465675); #149549=VERTEX_POINT('',#465679); #149550=VERTEX_POINT('',#465681); #149551=VERTEX_POINT('',#465685); #149552=VERTEX_POINT('',#465687); #149553=VERTEX_POINT('',#465691); #149554=VERTEX_POINT('',#465693); #149555=VERTEX_POINT('',#465697); #149556=VERTEX_POINT('',#465699); #149557=VERTEX_POINT('',#465703); #149558=VERTEX_POINT('',#465705); #149559=VERTEX_POINT('',#465709); #149560=VERTEX_POINT('',#465711); #149561=VERTEX_POINT('',#465715); #149562=VERTEX_POINT('',#465717); #149563=VERTEX_POINT('',#465721); #149564=VERTEX_POINT('',#465723); #149565=VERTEX_POINT('',#465727); #149566=VERTEX_POINT('',#465729); #149567=VERTEX_POINT('',#465733); #149568=VERTEX_POINT('',#465735); #149569=VERTEX_POINT('',#465739); #149570=VERTEX_POINT('',#465741); #149571=VERTEX_POINT('',#465745); #149572=VERTEX_POINT('',#465747); #149573=VERTEX_POINT('',#465751); #149574=VERTEX_POINT('',#465753); #149575=VERTEX_POINT('',#465757); #149576=VERTEX_POINT('',#465759); #149577=VERTEX_POINT('',#465763); #149578=VERTEX_POINT('',#465765); #149579=VERTEX_POINT('',#465769); #149580=VERTEX_POINT('',#465771); #149581=VERTEX_POINT('',#465780); #149582=VERTEX_POINT('',#465781); #149583=VERTEX_POINT('',#465783); #149584=VERTEX_POINT('',#465785); #149585=VERTEX_POINT('',#465789); #149586=VERTEX_POINT('',#465791); #149587=VERTEX_POINT('',#465795); #149588=VERTEX_POINT('',#465797); #149589=VERTEX_POINT('',#465801); #149590=VERTEX_POINT('',#465803); #149591=VERTEX_POINT('',#465807); #149592=VERTEX_POINT('',#465809); #149593=VERTEX_POINT('',#465813); #149594=VERTEX_POINT('',#465815); #149595=VERTEX_POINT('',#465819); #149596=VERTEX_POINT('',#465821); #149597=VERTEX_POINT('',#465825); #149598=VERTEX_POINT('',#465827); #149599=VERTEX_POINT('',#465831); #149600=VERTEX_POINT('',#465833); #149601=VERTEX_POINT('',#465837); #149602=VERTEX_POINT('',#465839); #149603=VERTEX_POINT('',#465843); #149604=VERTEX_POINT('',#465845); #149605=VERTEX_POINT('',#465849); #149606=VERTEX_POINT('',#465851); #149607=VERTEX_POINT('',#465855); #149608=VERTEX_POINT('',#465857); #149609=VERTEX_POINT('',#465861); #149610=VERTEX_POINT('',#465863); #149611=VERTEX_POINT('',#465867); #149612=VERTEX_POINT('',#465869); #149613=VERTEX_POINT('',#465873); #149614=VERTEX_POINT('',#465875); #149615=VERTEX_POINT('',#465879); #149616=VERTEX_POINT('',#465881); #149617=VERTEX_POINT('',#465885); #149618=VERTEX_POINT('',#465887); #149619=VERTEX_POINT('',#465896); #149620=VERTEX_POINT('',#465897); #149621=VERTEX_POINT('',#465899); #149622=VERTEX_POINT('',#465901); #149623=VERTEX_POINT('',#465905); #149624=VERTEX_POINT('',#465907); #149625=VERTEX_POINT('',#465911); #149626=VERTEX_POINT('',#465913); #149627=VERTEX_POINT('',#465917); #149628=VERTEX_POINT('',#465919); #149629=VERTEX_POINT('',#465923); #149630=VERTEX_POINT('',#465925); #149631=VERTEX_POINT('',#465929); #149632=VERTEX_POINT('',#465931); #149633=VERTEX_POINT('',#465935); #149634=VERTEX_POINT('',#465937); #149635=VERTEX_POINT('',#465941); #149636=VERTEX_POINT('',#465943); #149637=VERTEX_POINT('',#465947); #149638=VERTEX_POINT('',#465949); #149639=VERTEX_POINT('',#465953); #149640=VERTEX_POINT('',#465955); #149641=VERTEX_POINT('',#465959); #149642=VERTEX_POINT('',#465961); #149643=VERTEX_POINT('',#465965); #149644=VERTEX_POINT('',#465967); #149645=VERTEX_POINT('',#465971); #149646=VERTEX_POINT('',#465973); #149647=VERTEX_POINT('',#465977); #149648=VERTEX_POINT('',#465979); #149649=VERTEX_POINT('',#465983); #149650=VERTEX_POINT('',#465985); #149651=VERTEX_POINT('',#465989); #149652=VERTEX_POINT('',#465991); #149653=VERTEX_POINT('',#465995); #149654=VERTEX_POINT('',#465997); #149655=VERTEX_POINT('',#466001); #149656=VERTEX_POINT('',#466003); #149657=VERTEX_POINT('',#466012); #149658=VERTEX_POINT('',#466013); #149659=VERTEX_POINT('',#466015); #149660=VERTEX_POINT('',#466017); #149661=VERTEX_POINT('',#466021); #149662=VERTEX_POINT('',#466023); #149663=VERTEX_POINT('',#466027); #149664=VERTEX_POINT('',#466029); #149665=VERTEX_POINT('',#466033); #149666=VERTEX_POINT('',#466035); #149667=VERTEX_POINT('',#466039); #149668=VERTEX_POINT('',#466041); #149669=VERTEX_POINT('',#466045); #149670=VERTEX_POINT('',#466047); #149671=VERTEX_POINT('',#466051); #149672=VERTEX_POINT('',#466053); #149673=VERTEX_POINT('',#466057); #149674=VERTEX_POINT('',#466059); #149675=VERTEX_POINT('',#466063); #149676=VERTEX_POINT('',#466065); #149677=VERTEX_POINT('',#466069); #149678=VERTEX_POINT('',#466071); #149679=VERTEX_POINT('',#466075); #149680=VERTEX_POINT('',#466077); #149681=VERTEX_POINT('',#466081); #149682=VERTEX_POINT('',#466083); #149683=VERTEX_POINT('',#466087); #149684=VERTEX_POINT('',#466089); #149685=VERTEX_POINT('',#466093); #149686=VERTEX_POINT('',#466095); #149687=VERTEX_POINT('',#466099); #149688=VERTEX_POINT('',#466101); #149689=VERTEX_POINT('',#466105); #149690=VERTEX_POINT('',#466107); #149691=VERTEX_POINT('',#466111); #149692=VERTEX_POINT('',#466113); #149693=VERTEX_POINT('',#466117); #149694=VERTEX_POINT('',#466119); #149695=VERTEX_POINT('',#466123); #149696=VERTEX_POINT('',#466125); #149697=VERTEX_POINT('',#466129); #149698=VERTEX_POINT('',#466131); #149699=VERTEX_POINT('',#466135); #149700=VERTEX_POINT('',#466137); #149701=VERTEX_POINT('',#466141); #149702=VERTEX_POINT('',#466143); #149703=VERTEX_POINT('',#466147); #149704=VERTEX_POINT('',#466149); #149705=VERTEX_POINT('',#466153); #149706=VERTEX_POINT('',#466155); #149707=VERTEX_POINT('',#466159); #149708=VERTEX_POINT('',#466161); #149709=VERTEX_POINT('',#466165); #149710=VERTEX_POINT('',#466167); #149711=VERTEX_POINT('',#466171); #149712=VERTEX_POINT('',#466173); #149713=VERTEX_POINT('',#466177); #149714=VERTEX_POINT('',#466179); #149715=VERTEX_POINT('',#466183); #149716=VERTEX_POINT('',#466185); #149717=VERTEX_POINT('',#466189); #149718=VERTEX_POINT('',#466191); #149719=VERTEX_POINT('',#466195); #149720=VERTEX_POINT('',#466197); #149721=VERTEX_POINT('',#466201); #149722=VERTEX_POINT('',#466203); #149723=VERTEX_POINT('',#466207); #149724=VERTEX_POINT('',#466209); #149725=VERTEX_POINT('',#466213); #149726=VERTEX_POINT('',#466215); #149727=VERTEX_POINT('',#466219); #149728=VERTEX_POINT('',#466221); #149729=VERTEX_POINT('',#466225); #149730=VERTEX_POINT('',#466227); #149731=VERTEX_POINT('',#466236); #149732=VERTEX_POINT('',#466237); #149733=VERTEX_POINT('',#466239); #149734=VERTEX_POINT('',#466241); #149735=VERTEX_POINT('',#466245); #149736=VERTEX_POINT('',#466247); #149737=VERTEX_POINT('',#466251); #149738=VERTEX_POINT('',#466253); #149739=VERTEX_POINT('',#466257); #149740=VERTEX_POINT('',#466259); #149741=VERTEX_POINT('',#466263); #149742=VERTEX_POINT('',#466265); #149743=VERTEX_POINT('',#466269); #149744=VERTEX_POINT('',#466271); #149745=VERTEX_POINT('',#466275); #149746=VERTEX_POINT('',#466277); #149747=VERTEX_POINT('',#466281); #149748=VERTEX_POINT('',#466283); #149749=VERTEX_POINT('',#466287); #149750=VERTEX_POINT('',#466289); #149751=VERTEX_POINT('',#466293); #149752=VERTEX_POINT('',#466295); #149753=VERTEX_POINT('',#466299); #149754=VERTEX_POINT('',#466301); #149755=VERTEX_POINT('',#466305); #149756=VERTEX_POINT('',#466307); #149757=VERTEX_POINT('',#466311); #149758=VERTEX_POINT('',#466313); #149759=VERTEX_POINT('',#466317); #149760=VERTEX_POINT('',#466319); #149761=VERTEX_POINT('',#466323); #149762=VERTEX_POINT('',#466325); #149763=VERTEX_POINT('',#466329); #149764=VERTEX_POINT('',#466331); #149765=VERTEX_POINT('',#466335); #149766=VERTEX_POINT('',#466337); #149767=VERTEX_POINT('',#466341); #149768=VERTEX_POINT('',#466343); #149769=VERTEX_POINT('',#466347); #149770=VERTEX_POINT('',#466349); #149771=VERTEX_POINT('',#466358); #149772=VERTEX_POINT('',#466359); #149773=VERTEX_POINT('',#466361); #149774=VERTEX_POINT('',#466363); #149775=VERTEX_POINT('',#466367); #149776=VERTEX_POINT('',#466369); #149777=VERTEX_POINT('',#466373); #149778=VERTEX_POINT('',#466375); #149779=VERTEX_POINT('',#466379); #149780=VERTEX_POINT('',#466381); #149781=VERTEX_POINT('',#466385); #149782=VERTEX_POINT('',#466387); #149783=VERTEX_POINT('',#466391); #149784=VERTEX_POINT('',#466393); #149785=VERTEX_POINT('',#466397); #149786=VERTEX_POINT('',#466399); #149787=VERTEX_POINT('',#466403); #149788=VERTEX_POINT('',#466405); #149789=VERTEX_POINT('',#466409); #149790=VERTEX_POINT('',#466411); #149791=VERTEX_POINT('',#466415); #149792=VERTEX_POINT('',#466417); #149793=VERTEX_POINT('',#466421); #149794=VERTEX_POINT('',#466423); #149795=VERTEX_POINT('',#466427); #149796=VERTEX_POINT('',#466429); #149797=VERTEX_POINT('',#466433); #149798=VERTEX_POINT('',#466435); #149799=VERTEX_POINT('',#466439); #149800=VERTEX_POINT('',#466441); #149801=VERTEX_POINT('',#466445); #149802=VERTEX_POINT('',#466447); #149803=VERTEX_POINT('',#466451); #149804=VERTEX_POINT('',#466453); #149805=VERTEX_POINT('',#466457); #149806=VERTEX_POINT('',#466459); #149807=VERTEX_POINT('',#466463); #149808=VERTEX_POINT('',#466465); #149809=VERTEX_POINT('',#466469); #149810=VERTEX_POINT('',#466471); #149811=VERTEX_POINT('',#466475); #149812=VERTEX_POINT('',#466477); #149813=VERTEX_POINT('',#466481); #149814=VERTEX_POINT('',#466483); #149815=VERTEX_POINT('',#466487); #149816=VERTEX_POINT('',#466489); #149817=VERTEX_POINT('',#466493); #149818=VERTEX_POINT('',#466495); #149819=VERTEX_POINT('',#466499); #149820=VERTEX_POINT('',#466501); #149821=VERTEX_POINT('',#466505); #149822=VERTEX_POINT('',#466507); #149823=VERTEX_POINT('',#466511); #149824=VERTEX_POINT('',#466513); #149825=VERTEX_POINT('',#466517); #149826=VERTEX_POINT('',#466519); #149827=VERTEX_POINT('',#466523); #149828=VERTEX_POINT('',#466525); #149829=VERTEX_POINT('',#466529); #149830=VERTEX_POINT('',#466531); #149831=VERTEX_POINT('',#466535); #149832=VERTEX_POINT('',#466537); #149833=VERTEX_POINT('',#466541); #149834=VERTEX_POINT('',#466543); #149835=VERTEX_POINT('',#466547); #149836=VERTEX_POINT('',#466549); #149837=VERTEX_POINT('',#466553); #149838=VERTEX_POINT('',#466555); #149839=VERTEX_POINT('',#466559); #149840=VERTEX_POINT('',#466561); #149841=VERTEX_POINT('',#466565); #149842=VERTEX_POINT('',#466567); #149843=VERTEX_POINT('',#466571); #149844=VERTEX_POINT('',#466573); #149845=VERTEX_POINT('',#466577); #149846=VERTEX_POINT('',#466579); #149847=VERTEX_POINT('',#466583); #149848=VERTEX_POINT('',#466585); #149849=VERTEX_POINT('',#466589); #149850=VERTEX_POINT('',#466591); #149851=VERTEX_POINT('',#466595); #149852=VERTEX_POINT('',#466597); #149853=VERTEX_POINT('',#466601); #149854=VERTEX_POINT('',#466603); #149855=VERTEX_POINT('',#466607); #149856=VERTEX_POINT('',#466609); #149857=VERTEX_POINT('',#466613); #149858=VERTEX_POINT('',#466615); #149859=VERTEX_POINT('',#466619); #149860=VERTEX_POINT('',#466621); #149861=VERTEX_POINT('',#466625); #149862=VERTEX_POINT('',#466627); #149863=VERTEX_POINT('',#466631); #149864=VERTEX_POINT('',#466633); #149865=VERTEX_POINT('',#466637); #149866=VERTEX_POINT('',#466639); #149867=VERTEX_POINT('',#466648); #149868=VERTEX_POINT('',#466649); #149869=VERTEX_POINT('',#466651); #149870=VERTEX_POINT('',#466653); #149871=VERTEX_POINT('',#466657); #149872=VERTEX_POINT('',#466659); #149873=VERTEX_POINT('',#466663); #149874=VERTEX_POINT('',#466665); #149875=VERTEX_POINT('',#466669); #149876=VERTEX_POINT('',#466671); #149877=VERTEX_POINT('',#466675); #149878=VERTEX_POINT('',#466677); #149879=VERTEX_POINT('',#466681); #149880=VERTEX_POINT('',#466683); #149881=VERTEX_POINT('',#466687); #149882=VERTEX_POINT('',#466689); #149883=VERTEX_POINT('',#466693); #149884=VERTEX_POINT('',#466695); #149885=VERTEX_POINT('',#466699); #149886=VERTEX_POINT('',#466701); #149887=VERTEX_POINT('',#466705); #149888=VERTEX_POINT('',#466707); #149889=VERTEX_POINT('',#466711); #149890=VERTEX_POINT('',#466713); #149891=VERTEX_POINT('',#466717); #149892=VERTEX_POINT('',#466719); #149893=VERTEX_POINT('',#466723); #149894=VERTEX_POINT('',#466725); #149895=VERTEX_POINT('',#466729); #149896=VERTEX_POINT('',#466731); #149897=VERTEX_POINT('',#466735); #149898=VERTEX_POINT('',#466737); #149899=VERTEX_POINT('',#466741); #149900=VERTEX_POINT('',#466743); #149901=VERTEX_POINT('',#466747); #149902=VERTEX_POINT('',#466749); #149903=VERTEX_POINT('',#466753); #149904=VERTEX_POINT('',#466755); #149905=VERTEX_POINT('',#466759); #149906=VERTEX_POINT('',#466761); #149907=VERTEX_POINT('',#466770); #149908=VERTEX_POINT('',#466771); #149909=VERTEX_POINT('',#466773); #149910=VERTEX_POINT('',#466775); #149911=VERTEX_POINT('',#466779); #149912=VERTEX_POINT('',#466781); #149913=VERTEX_POINT('',#466785); #149914=VERTEX_POINT('',#466787); #149915=VERTEX_POINT('',#466791); #149916=VERTEX_POINT('',#466793); #149917=VERTEX_POINT('',#466797); #149918=VERTEX_POINT('',#466799); #149919=VERTEX_POINT('',#466803); #149920=VERTEX_POINT('',#466805); #149921=VERTEX_POINT('',#466809); #149922=VERTEX_POINT('',#466811); #149923=VERTEX_POINT('',#466815); #149924=VERTEX_POINT('',#466817); #149925=VERTEX_POINT('',#466821); #149926=VERTEX_POINT('',#466823); #149927=VERTEX_POINT('',#466827); #149928=VERTEX_POINT('',#466829); #149929=VERTEX_POINT('',#466833); #149930=VERTEX_POINT('',#466835); #149931=VERTEX_POINT('',#466839); #149932=VERTEX_POINT('',#466841); #149933=VERTEX_POINT('',#466845); #149934=VERTEX_POINT('',#466847); #149935=VERTEX_POINT('',#466851); #149936=VERTEX_POINT('',#466853); #149937=VERTEX_POINT('',#466857); #149938=VERTEX_POINT('',#466859); #149939=VERTEX_POINT('',#466863); #149940=VERTEX_POINT('',#466865); #149941=VERTEX_POINT('',#466869); #149942=VERTEX_POINT('',#466871); #149943=VERTEX_POINT('',#466875); #149944=VERTEX_POINT('',#466877); #149945=VERTEX_POINT('',#466881); #149946=VERTEX_POINT('',#466883); #149947=VERTEX_POINT('',#466887); #149948=VERTEX_POINT('',#466889); #149949=VERTEX_POINT('',#466893); #149950=VERTEX_POINT('',#466895); #149951=VERTEX_POINT('',#466899); #149952=VERTEX_POINT('',#466901); #149953=VERTEX_POINT('',#466905); #149954=VERTEX_POINT('',#466907); #149955=VERTEX_POINT('',#466911); #149956=VERTEX_POINT('',#466913); #149957=VERTEX_POINT('',#466917); #149958=VERTEX_POINT('',#466919); #149959=VERTEX_POINT('',#466923); #149960=VERTEX_POINT('',#466925); #149961=VERTEX_POINT('',#466929); #149962=VERTEX_POINT('',#466931); #149963=VERTEX_POINT('',#466935); #149964=VERTEX_POINT('',#466937); #149965=VERTEX_POINT('',#466941); #149966=VERTEX_POINT('',#466943); #149967=VERTEX_POINT('',#466947); #149968=VERTEX_POINT('',#466949); #149969=VERTEX_POINT('',#466958); #149970=VERTEX_POINT('',#466959); #149971=VERTEX_POINT('',#466961); #149972=VERTEX_POINT('',#466963); #149973=VERTEX_POINT('',#466967); #149974=VERTEX_POINT('',#466969); #149975=VERTEX_POINT('',#466973); #149976=VERTEX_POINT('',#466975); #149977=VERTEX_POINT('',#466979); #149978=VERTEX_POINT('',#466981); #149979=VERTEX_POINT('',#466985); #149980=VERTEX_POINT('',#466987); #149981=VERTEX_POINT('',#466991); #149982=VERTEX_POINT('',#466993); #149983=VERTEX_POINT('',#466997); #149984=VERTEX_POINT('',#466999); #149985=VERTEX_POINT('',#467003); #149986=VERTEX_POINT('',#467005); #149987=VERTEX_POINT('',#467009); #149988=VERTEX_POINT('',#467011); #149989=VERTEX_POINT('',#467015); #149990=VERTEX_POINT('',#467017); #149991=VERTEX_POINT('',#467021); #149992=VERTEX_POINT('',#467023); #149993=VERTEX_POINT('',#467027); #149994=VERTEX_POINT('',#467029); #149995=VERTEX_POINT('',#467033); #149996=VERTEX_POINT('',#467035); #149997=VERTEX_POINT('',#467039); #149998=VERTEX_POINT('',#467041); #149999=VERTEX_POINT('',#467045); #150000=VERTEX_POINT('',#467047); #150001=VERTEX_POINT('',#467051); #150002=VERTEX_POINT('',#467053); #150003=VERTEX_POINT('',#467057); #150004=VERTEX_POINT('',#467059); #150005=VERTEX_POINT('',#467063); #150006=VERTEX_POINT('',#467065); #150007=VERTEX_POINT('',#467069); #150008=VERTEX_POINT('',#467071); #150009=VERTEX_POINT('',#467080); #150010=VERTEX_POINT('',#467081); #150011=VERTEX_POINT('',#467083); #150012=VERTEX_POINT('',#467085); #150013=VERTEX_POINT('',#467089); #150014=VERTEX_POINT('',#467091); #150015=VERTEX_POINT('',#467095); #150016=VERTEX_POINT('',#467097); #150017=VERTEX_POINT('',#467101); #150018=VERTEX_POINT('',#467103); #150019=VERTEX_POINT('',#467107); #150020=VERTEX_POINT('',#467109); #150021=VERTEX_POINT('',#467113); #150022=VERTEX_POINT('',#467115); #150023=VERTEX_POINT('',#467119); #150024=VERTEX_POINT('',#467121); #150025=VERTEX_POINT('',#467125); #150026=VERTEX_POINT('',#467127); #150027=VERTEX_POINT('',#467131); #150028=VERTEX_POINT('',#467133); #150029=VERTEX_POINT('',#467137); #150030=VERTEX_POINT('',#467139); #150031=VERTEX_POINT('',#467143); #150032=VERTEX_POINT('',#467145); #150033=VERTEX_POINT('',#467149); #150034=VERTEX_POINT('',#467151); #150035=VERTEX_POINT('',#467155); #150036=VERTEX_POINT('',#467157); #150037=VERTEX_POINT('',#467161); #150038=VERTEX_POINT('',#467163); #150039=VERTEX_POINT('',#467167); #150040=VERTEX_POINT('',#467169); #150041=VERTEX_POINT('',#467173); #150042=VERTEX_POINT('',#467175); #150043=VERTEX_POINT('',#467179); #150044=VERTEX_POINT('',#467181); #150045=VERTEX_POINT('',#467185); #150046=VERTEX_POINT('',#467187); #150047=VERTEX_POINT('',#467191); #150048=VERTEX_POINT('',#467193); #150049=VERTEX_POINT('',#467197); #150050=VERTEX_POINT('',#467199); #150051=VERTEX_POINT('',#467203); #150052=VERTEX_POINT('',#467205); #150053=VERTEX_POINT('',#467209); #150054=VERTEX_POINT('',#467211); #150055=VERTEX_POINT('',#467215); #150056=VERTEX_POINT('',#467217); #150057=VERTEX_POINT('',#467221); #150058=VERTEX_POINT('',#467223); #150059=VERTEX_POINT('',#467227); #150060=VERTEX_POINT('',#467229); #150061=VERTEX_POINT('',#467233); #150062=VERTEX_POINT('',#467235); #150063=VERTEX_POINT('',#467239); #150064=VERTEX_POINT('',#467241); #150065=VERTEX_POINT('',#467245); #150066=VERTEX_POINT('',#467247); #150067=VERTEX_POINT('',#467251); #150068=VERTEX_POINT('',#467253); #150069=VERTEX_POINT('',#467257); #150070=VERTEX_POINT('',#467259); #150071=VERTEX_POINT('',#467268); #150072=VERTEX_POINT('',#467269); #150073=VERTEX_POINT('',#467271); #150074=VERTEX_POINT('',#467273); #150075=VERTEX_POINT('',#467277); #150076=VERTEX_POINT('',#467279); #150077=VERTEX_POINT('',#467283); #150078=VERTEX_POINT('',#467285); #150079=VERTEX_POINT('',#467289); #150080=VERTEX_POINT('',#467291); #150081=VERTEX_POINT('',#467295); #150082=VERTEX_POINT('',#467297); #150083=VERTEX_POINT('',#467301); #150084=VERTEX_POINT('',#467303); #150085=VERTEX_POINT('',#467307); #150086=VERTEX_POINT('',#467309); #150087=VERTEX_POINT('',#467313); #150088=VERTEX_POINT('',#467315); #150089=VERTEX_POINT('',#467319); #150090=VERTEX_POINT('',#467321); #150091=VERTEX_POINT('',#467325); #150092=VERTEX_POINT('',#467327); #150093=VERTEX_POINT('',#467331); #150094=VERTEX_POINT('',#467333); #150095=VERTEX_POINT('',#467337); #150096=VERTEX_POINT('',#467339); #150097=VERTEX_POINT('',#467343); #150098=VERTEX_POINT('',#467345); #150099=VERTEX_POINT('',#467349); #150100=VERTEX_POINT('',#467351); #150101=VERTEX_POINT('',#467355); #150102=VERTEX_POINT('',#467357); #150103=VERTEX_POINT('',#467361); #150104=VERTEX_POINT('',#467363); #150105=VERTEX_POINT('',#467367); #150106=VERTEX_POINT('',#467369); #150107=VERTEX_POINT('',#467373); #150108=VERTEX_POINT('',#467375); #150109=VERTEX_POINT('',#467379); #150110=VERTEX_POINT('',#467381); #150111=VERTEX_POINT('',#467385); #150112=VERTEX_POINT('',#467387); #150113=VERTEX_POINT('',#467396); #150114=VERTEX_POINT('',#467397); #150115=VERTEX_POINT('',#467399); #150116=VERTEX_POINT('',#467401); #150117=VERTEX_POINT('',#467405); #150118=VERTEX_POINT('',#467407); #150119=VERTEX_POINT('',#467411); #150120=VERTEX_POINT('',#467413); #150121=VERTEX_POINT('',#467417); #150122=VERTEX_POINT('',#467419); #150123=VERTEX_POINT('',#467423); #150124=VERTEX_POINT('',#467425); #150125=VERTEX_POINT('',#467429); #150126=VERTEX_POINT('',#467431); #150127=VERTEX_POINT('',#467435); #150128=VERTEX_POINT('',#467437); #150129=VERTEX_POINT('',#467441); #150130=VERTEX_POINT('',#467443); #150131=VERTEX_POINT('',#467447); #150132=VERTEX_POINT('',#467449); #150133=VERTEX_POINT('',#467453); #150134=VERTEX_POINT('',#467455); #150135=VERTEX_POINT('',#467459); #150136=VERTEX_POINT('',#467461); #150137=VERTEX_POINT('',#467465); #150138=VERTEX_POINT('',#467467); #150139=VERTEX_POINT('',#467471); #150140=VERTEX_POINT('',#467473); #150141=VERTEX_POINT('',#467477); #150142=VERTEX_POINT('',#467479); #150143=VERTEX_POINT('',#467483); #150144=VERTEX_POINT('',#467485); #150145=VERTEX_POINT('',#467489); #150146=VERTEX_POINT('',#467491); #150147=VERTEX_POINT('',#467495); #150148=VERTEX_POINT('',#467497); #150149=VERTEX_POINT('',#467501); #150150=VERTEX_POINT('',#467503); #150151=VERTEX_POINT('',#467507); #150152=VERTEX_POINT('',#467509); #150153=VERTEX_POINT('',#467513); #150154=VERTEX_POINT('',#467515); #150155=VERTEX_POINT('',#467519); #150156=VERTEX_POINT('',#467521); #150157=VERTEX_POINT('',#467525); #150158=VERTEX_POINT('',#467527); #150159=VERTEX_POINT('',#467531); #150160=VERTEX_POINT('',#467533); #150161=VERTEX_POINT('',#467537); #150162=VERTEX_POINT('',#467539); #150163=VERTEX_POINT('',#467543); #150164=VERTEX_POINT('',#467545); #150165=VERTEX_POINT('',#467549); #150166=VERTEX_POINT('',#467551); #150167=VERTEX_POINT('',#467555); #150168=VERTEX_POINT('',#467557); #150169=VERTEX_POINT('',#467561); #150170=VERTEX_POINT('',#467563); #150171=VERTEX_POINT('',#467567); #150172=VERTEX_POINT('',#467569); #150173=VERTEX_POINT('',#467573); #150174=VERTEX_POINT('',#467575); #150175=VERTEX_POINT('',#467579); #150176=VERTEX_POINT('',#467581); #150177=VERTEX_POINT('',#467585); #150178=VERTEX_POINT('',#467587); #150179=VERTEX_POINT('',#467591); #150180=VERTEX_POINT('',#467593); #150181=VERTEX_POINT('',#467597); #150182=VERTEX_POINT('',#467599); #150183=VERTEX_POINT('',#467603); #150184=VERTEX_POINT('',#467605); #150185=VERTEX_POINT('',#467609); #150186=VERTEX_POINT('',#467611); #150187=VERTEX_POINT('',#467615); #150188=VERTEX_POINT('',#467617); #150189=VERTEX_POINT('',#467621); #150190=VERTEX_POINT('',#467623); #150191=VERTEX_POINT('',#467627); #150192=VERTEX_POINT('',#467629); #150193=VERTEX_POINT('',#467638); #150194=VERTEX_POINT('',#467639); #150195=VERTEX_POINT('',#467641); #150196=VERTEX_POINT('',#467643); #150197=VERTEX_POINT('',#467647); #150198=VERTEX_POINT('',#467649); #150199=VERTEX_POINT('',#467653); #150200=VERTEX_POINT('',#467655); #150201=VERTEX_POINT('',#467659); #150202=VERTEX_POINT('',#467661); #150203=VERTEX_POINT('',#467665); #150204=VERTEX_POINT('',#467667); #150205=VERTEX_POINT('',#467671); #150206=VERTEX_POINT('',#467673); #150207=VERTEX_POINT('',#467677); #150208=VERTEX_POINT('',#467679); #150209=VERTEX_POINT('',#467683); #150210=VERTEX_POINT('',#467685); #150211=VERTEX_POINT('',#467689); #150212=VERTEX_POINT('',#467691); #150213=VERTEX_POINT('',#467695); #150214=VERTEX_POINT('',#467697); #150215=VERTEX_POINT('',#467701); #150216=VERTEX_POINT('',#467703); #150217=VERTEX_POINT('',#467707); #150218=VERTEX_POINT('',#467709); #150219=VERTEX_POINT('',#467713); #150220=VERTEX_POINT('',#467715); #150221=VERTEX_POINT('',#467719); #150222=VERTEX_POINT('',#467721); #150223=VERTEX_POINT('',#467725); #150224=VERTEX_POINT('',#467727); #150225=VERTEX_POINT('',#467731); #150226=VERTEX_POINT('',#467733); #150227=VERTEX_POINT('',#467737); #150228=VERTEX_POINT('',#467739); #150229=VERTEX_POINT('',#467743); #150230=VERTEX_POINT('',#467745); #150231=VERTEX_POINT('',#467749); #150232=VERTEX_POINT('',#467751); #150233=VERTEX_POINT('',#467760); #150234=VERTEX_POINT('',#467761); #150235=VERTEX_POINT('',#467763); #150236=VERTEX_POINT('',#467765); #150237=VERTEX_POINT('',#467769); #150238=VERTEX_POINT('',#467771); #150239=VERTEX_POINT('',#467775); #150240=VERTEX_POINT('',#467777); #150241=VERTEX_POINT('',#467781); #150242=VERTEX_POINT('',#467783); #150243=VERTEX_POINT('',#467787); #150244=VERTEX_POINT('',#467789); #150245=VERTEX_POINT('',#467793); #150246=VERTEX_POINT('',#467795); #150247=VERTEX_POINT('',#467799); #150248=VERTEX_POINT('',#467801); #150249=VERTEX_POINT('',#467805); #150250=VERTEX_POINT('',#467807); #150251=VERTEX_POINT('',#467811); #150252=VERTEX_POINT('',#467813); #150253=VERTEX_POINT('',#467817); #150254=VERTEX_POINT('',#467819); #150255=VERTEX_POINT('',#467823); #150256=VERTEX_POINT('',#467825); #150257=VERTEX_POINT('',#467829); #150258=VERTEX_POINT('',#467831); #150259=VERTEX_POINT('',#467835); #150260=VERTEX_POINT('',#467837); #150261=VERTEX_POINT('',#467841); #150262=VERTEX_POINT('',#467843); #150263=VERTEX_POINT('',#467847); #150264=VERTEX_POINT('',#467849); #150265=VERTEX_POINT('',#467853); #150266=VERTEX_POINT('',#467855); #150267=VERTEX_POINT('',#467859); #150268=VERTEX_POINT('',#467861); #150269=VERTEX_POINT('',#467865); #150270=VERTEX_POINT('',#467867); #150271=VERTEX_POINT('',#467871); #150272=VERTEX_POINT('',#467873); #150273=VERTEX_POINT('',#467882); #150274=VERTEX_POINT('',#467883); #150275=VERTEX_POINT('',#467885); #150276=VERTEX_POINT('',#467887); #150277=VERTEX_POINT('',#467891); #150278=VERTEX_POINT('',#467893); #150279=VERTEX_POINT('',#467897); #150280=VERTEX_POINT('',#467899); #150281=VERTEX_POINT('',#467903); #150282=VERTEX_POINT('',#467905); #150283=VERTEX_POINT('',#467909); #150284=VERTEX_POINT('',#467911); #150285=VERTEX_POINT('',#467915); #150286=VERTEX_POINT('',#467917); #150287=VERTEX_POINT('',#467921); #150288=VERTEX_POINT('',#467923); #150289=VERTEX_POINT('',#467927); #150290=VERTEX_POINT('',#467929); #150291=VERTEX_POINT('',#467933); #150292=VERTEX_POINT('',#467935); #150293=VERTEX_POINT('',#467939); #150294=VERTEX_POINT('',#467941); #150295=VERTEX_POINT('',#467945); #150296=VERTEX_POINT('',#467947); #150297=VERTEX_POINT('',#467951); #150298=VERTEX_POINT('',#467953); #150299=VERTEX_POINT('',#467957); #150300=VERTEX_POINT('',#467959); #150301=VERTEX_POINT('',#467963); #150302=VERTEX_POINT('',#467965); #150303=VERTEX_POINT('',#467969); #150304=VERTEX_POINT('',#467971); #150305=VERTEX_POINT('',#467975); #150306=VERTEX_POINT('',#467977); #150307=VERTEX_POINT('',#467981); #150308=VERTEX_POINT('',#467983); #150309=VERTEX_POINT('',#467987); #150310=VERTEX_POINT('',#467989); #150311=VERTEX_POINT('',#467993); #150312=VERTEX_POINT('',#467995); #150313=VERTEX_POINT('',#468004); #150314=VERTEX_POINT('',#468005); #150315=VERTEX_POINT('',#468007); #150316=VERTEX_POINT('',#468009); #150317=VERTEX_POINT('',#468013); #150318=VERTEX_POINT('',#468015); #150319=VERTEX_POINT('',#468019); #150320=VERTEX_POINT('',#468021); #150321=VERTEX_POINT('',#468025); #150322=VERTEX_POINT('',#468027); #150323=VERTEX_POINT('',#468031); #150324=VERTEX_POINT('',#468033); #150325=VERTEX_POINT('',#468037); #150326=VERTEX_POINT('',#468039); #150327=VERTEX_POINT('',#468043); #150328=VERTEX_POINT('',#468045); #150329=VERTEX_POINT('',#468049); #150330=VERTEX_POINT('',#468051); #150331=VERTEX_POINT('',#468055); #150332=VERTEX_POINT('',#468057); #150333=VERTEX_POINT('',#468061); #150334=VERTEX_POINT('',#468063); #150335=VERTEX_POINT('',#468067); #150336=VERTEX_POINT('',#468069); #150337=VERTEX_POINT('',#468073); #150338=VERTEX_POINT('',#468075); #150339=VERTEX_POINT('',#468079); #150340=VERTEX_POINT('',#468081); #150341=VERTEX_POINT('',#468085); #150342=VERTEX_POINT('',#468087); #150343=VERTEX_POINT('',#468091); #150344=VERTEX_POINT('',#468093); #150345=VERTEX_POINT('',#468097); #150346=VERTEX_POINT('',#468099); #150347=VERTEX_POINT('',#468103); #150348=VERTEX_POINT('',#468105); #150349=VERTEX_POINT('',#468109); #150350=VERTEX_POINT('',#468111); #150351=VERTEX_POINT('',#468115); #150352=VERTEX_POINT('',#468117); #150353=VERTEX_POINT('',#468121); #150354=VERTEX_POINT('',#468123); #150355=VERTEX_POINT('',#468127); #150356=VERTEX_POINT('',#468129); #150357=VERTEX_POINT('',#468133); #150358=VERTEX_POINT('',#468135); #150359=VERTEX_POINT('',#468139); #150360=VERTEX_POINT('',#468141); #150361=VERTEX_POINT('',#468145); #150362=VERTEX_POINT('',#468147); #150363=VERTEX_POINT('',#468151); #150364=VERTEX_POINT('',#468153); #150365=VERTEX_POINT('',#468157); #150366=VERTEX_POINT('',#468159); #150367=VERTEX_POINT('',#468163); #150368=VERTEX_POINT('',#468165); #150369=VERTEX_POINT('',#468169); #150370=VERTEX_POINT('',#468171); #150371=VERTEX_POINT('',#468175); #150372=VERTEX_POINT('',#468177); #150373=VERTEX_POINT('',#468181); #150374=VERTEX_POINT('',#468183); #150375=VERTEX_POINT('',#468187); #150376=VERTEX_POINT('',#468189); #150377=VERTEX_POINT('',#468193); #150378=VERTEX_POINT('',#468195); #150379=VERTEX_POINT('',#468199); #150380=VERTEX_POINT('',#468201); #150381=VERTEX_POINT('',#468205); #150382=VERTEX_POINT('',#468207); #150383=VERTEX_POINT('',#468211); #150384=VERTEX_POINT('',#468213); #150385=VERTEX_POINT('',#468217); #150386=VERTEX_POINT('',#468219); #150387=VERTEX_POINT('',#468223); #150388=VERTEX_POINT('',#468225); #150389=VERTEX_POINT('',#468229); #150390=VERTEX_POINT('',#468231); #150391=VERTEX_POINT('',#468235); #150392=VERTEX_POINT('',#468237); #150393=VERTEX_POINT('',#468246); #150394=VERTEX_POINT('',#468248); #150395=VERTEX_POINT('',#468252); #150396=VERTEX_POINT('',#468253); #150397=VERTEX_POINT('',#468255); #150398=VERTEX_POINT('',#468257); #150399=VERTEX_POINT('',#468261); #150400=VERTEX_POINT('',#468263); #150401=VERTEX_POINT('',#468267); #150402=VERTEX_POINT('',#468269); #150403=VERTEX_POINT('',#468276); #150404=VERTEX_POINT('',#468277); #150405=VERTEX_POINT('',#468279); #150406=VERTEX_POINT('',#468281); #150407=VERTEX_POINT('',#468285); #150408=VERTEX_POINT('',#468287); #150409=VERTEX_POINT('',#468291); #150410=VERTEX_POINT('',#468293); #150411=VERTEX_POINT('',#468297); #150412=VERTEX_POINT('',#468299); #150413=VERTEX_POINT('',#468303); #150414=VERTEX_POINT('',#468305); #150415=VERTEX_POINT('',#468309); #150416=VERTEX_POINT('',#468311); #150417=VERTEX_POINT('',#468315); #150418=VERTEX_POINT('',#468317); #150419=VERTEX_POINT('',#468321); #150420=VERTEX_POINT('',#468323); #150421=VERTEX_POINT('',#468327); #150422=VERTEX_POINT('',#468329); #150423=VERTEX_POINT('',#468333); #150424=VERTEX_POINT('',#468335); #150425=VERTEX_POINT('',#468339); #150426=VERTEX_POINT('',#468341); #150427=VERTEX_POINT('',#468345); #150428=VERTEX_POINT('',#468347); #150429=VERTEX_POINT('',#468351); #150430=VERTEX_POINT('',#468353); #150431=VERTEX_POINT('',#468357); #150432=VERTEX_POINT('',#468359); #150433=VERTEX_POINT('',#468363); #150434=VERTEX_POINT('',#468365); #150435=VERTEX_POINT('',#468369); #150436=VERTEX_POINT('',#468371); #150437=VERTEX_POINT('',#468375); #150438=VERTEX_POINT('',#468377); #150439=VERTEX_POINT('',#468381); #150440=VERTEX_POINT('',#468383); #150441=VERTEX_POINT('',#468387); #150442=VERTEX_POINT('',#468389); #150443=VERTEX_POINT('',#468393); #150444=VERTEX_POINT('',#468395); #150445=VERTEX_POINT('',#468399); #150446=VERTEX_POINT('',#468401); #150447=VERTEX_POINT('',#468405); #150448=VERTEX_POINT('',#468407); #150449=VERTEX_POINT('',#468411); #150450=VERTEX_POINT('',#468413); #150451=VERTEX_POINT('',#468417); #150452=VERTEX_POINT('',#468419); #150453=VERTEX_POINT('',#468423); #150454=VERTEX_POINT('',#468425); #150455=VERTEX_POINT('',#468429); #150456=VERTEX_POINT('',#468431); #150457=VERTEX_POINT('',#468435); #150458=VERTEX_POINT('',#468437); #150459=VERTEX_POINT('',#468441); #150460=VERTEX_POINT('',#468443); #150461=VERTEX_POINT('',#468447); #150462=VERTEX_POINT('',#468449); #150463=VERTEX_POINT('',#468453); #150464=VERTEX_POINT('',#468455); #150465=VERTEX_POINT('',#468459); #150466=VERTEX_POINT('',#468461); #150467=VERTEX_POINT('',#468465); #150468=VERTEX_POINT('',#468467); #150469=VERTEX_POINT('',#468471); #150470=VERTEX_POINT('',#468473); #150471=VERTEX_POINT('',#468477); #150472=VERTEX_POINT('',#468479); #150473=VERTEX_POINT('',#468483); #150474=VERTEX_POINT('',#468485); #150475=VERTEX_POINT('',#468489); #150476=VERTEX_POINT('',#468491); #150477=VERTEX_POINT('',#468495); #150478=VERTEX_POINT('',#468497); #150479=VERTEX_POINT('',#468501); #150480=VERTEX_POINT('',#468503); #150481=VERTEX_POINT('',#468507); #150482=VERTEX_POINT('',#468509); #150483=VERTEX_POINT('',#468513); #150484=VERTEX_POINT('',#468515); #150485=VERTEX_POINT('',#468519); #150486=VERTEX_POINT('',#468521); #150487=VERTEX_POINT('',#468525); #150488=VERTEX_POINT('',#468527); #150489=VERTEX_POINT('',#468531); #150490=VERTEX_POINT('',#468533); #150491=VERTEX_POINT('',#468537); #150492=VERTEX_POINT('',#468539); #150493=VERTEX_POINT('',#468543); #150494=VERTEX_POINT('',#468545); #150495=VERTEX_POINT('',#468549); #150496=VERTEX_POINT('',#468551); #150497=VERTEX_POINT('',#468555); #150498=VERTEX_POINT('',#468557); #150499=VERTEX_POINT('',#468561); #150500=VERTEX_POINT('',#468563); #150501=VERTEX_POINT('',#468567); #150502=VERTEX_POINT('',#468569); #150503=VERTEX_POINT('',#468573); #150504=VERTEX_POINT('',#468575); #150505=VERTEX_POINT('',#468579); #150506=VERTEX_POINT('',#468581); #150507=VERTEX_POINT('',#468585); #150508=VERTEX_POINT('',#468587); #150509=VERTEX_POINT('',#468591); #150510=VERTEX_POINT('',#468593); #150511=VERTEX_POINT('',#468597); #150512=VERTEX_POINT('',#468599); #150513=VERTEX_POINT('',#468603); #150514=VERTEX_POINT('',#468605); #150515=VERTEX_POINT('',#468609); #150516=VERTEX_POINT('',#468611); #150517=VERTEX_POINT('',#468615); #150518=VERTEX_POINT('',#468617); #150519=VERTEX_POINT('',#468621); #150520=VERTEX_POINT('',#468623); #150521=VERTEX_POINT('',#468627); #150522=VERTEX_POINT('',#468629); #150523=VERTEX_POINT('',#468633); #150524=VERTEX_POINT('',#468635); #150525=VERTEX_POINT('',#468639); #150526=VERTEX_POINT('',#468641); #150527=VERTEX_POINT('',#468645); #150528=VERTEX_POINT('',#468647); #150529=VERTEX_POINT('',#468651); #150530=VERTEX_POINT('',#468653); #150531=VERTEX_POINT('',#468657); #150532=VERTEX_POINT('',#468659); #150533=VERTEX_POINT('',#468663); #150534=VERTEX_POINT('',#468665); #150535=VERTEX_POINT('',#468669); #150536=VERTEX_POINT('',#468671); #150537=VERTEX_POINT('',#468675); #150538=VERTEX_POINT('',#468677); #150539=VERTEX_POINT('',#468681); #150540=VERTEX_POINT('',#468683); #150541=VERTEX_POINT('',#468687); #150542=VERTEX_POINT('',#468689); #150543=VERTEX_POINT('',#468693); #150544=VERTEX_POINT('',#468695); #150545=VERTEX_POINT('',#468699); #150546=VERTEX_POINT('',#468701); #150547=VERTEX_POINT('',#468705); #150548=VERTEX_POINT('',#468707); #150549=VERTEX_POINT('',#468711); #150550=VERTEX_POINT('',#468713); #150551=VERTEX_POINT('',#468717); #150552=VERTEX_POINT('',#468719); #150553=VERTEX_POINT('',#468723); #150554=VERTEX_POINT('',#468725); #150555=VERTEX_POINT('',#468729); #150556=VERTEX_POINT('',#468731); #150557=VERTEX_POINT('',#468735); #150558=VERTEX_POINT('',#468737); #150559=VERTEX_POINT('',#468741); #150560=VERTEX_POINT('',#468743); #150561=VERTEX_POINT('',#468747); #150562=VERTEX_POINT('',#468749); #150563=VERTEX_POINT('',#468753); #150564=VERTEX_POINT('',#468755); #150565=VERTEX_POINT('',#468759); #150566=VERTEX_POINT('',#468761); #150567=VERTEX_POINT('',#468765); #150568=VERTEX_POINT('',#468767); #150569=VERTEX_POINT('',#468771); #150570=VERTEX_POINT('',#468773); #150571=VERTEX_POINT('',#468777); #150572=VERTEX_POINT('',#468779); #150573=VERTEX_POINT('',#468783); #150574=VERTEX_POINT('',#468785); #150575=VERTEX_POINT('',#468789); #150576=VERTEX_POINT('',#468791); #150577=VERTEX_POINT('',#468795); #150578=VERTEX_POINT('',#468797); #150579=VERTEX_POINT('',#468801); #150580=VERTEX_POINT('',#468803); #150581=VERTEX_POINT('',#468807); #150582=VERTEX_POINT('',#468809); #150583=VERTEX_POINT('',#468813); #150584=VERTEX_POINT('',#468815); #150585=VERTEX_POINT('',#468819); #150586=VERTEX_POINT('',#468821); #150587=VERTEX_POINT('',#468825); #150588=VERTEX_POINT('',#468827); #150589=VERTEX_POINT('',#468836); #150590=VERTEX_POINT('',#468837); #150591=VERTEX_POINT('',#468839); #150592=VERTEX_POINT('',#468841); #150593=VERTEX_POINT('',#468845); #150594=VERTEX_POINT('',#468847); #150595=VERTEX_POINT('',#468851); #150596=VERTEX_POINT('',#468853); #150597=VERTEX_POINT('',#468857); #150598=VERTEX_POINT('',#468859); #150599=VERTEX_POINT('',#468863); #150600=VERTEX_POINT('',#468865); #150601=VERTEX_POINT('',#468869); #150602=VERTEX_POINT('',#468871); #150603=VERTEX_POINT('',#468875); #150604=VERTEX_POINT('',#468877); #150605=VERTEX_POINT('',#468881); #150606=VERTEX_POINT('',#468883); #150607=VERTEX_POINT('',#468887); #150608=VERTEX_POINT('',#468889); #150609=VERTEX_POINT('',#468893); #150610=VERTEX_POINT('',#468895); #150611=VERTEX_POINT('',#468899); #150612=VERTEX_POINT('',#468901); #150613=VERTEX_POINT('',#468905); #150614=VERTEX_POINT('',#468907); #150615=VERTEX_POINT('',#468911); #150616=VERTEX_POINT('',#468913); #150617=VERTEX_POINT('',#468917); #150618=VERTEX_POINT('',#468919); #150619=VERTEX_POINT('',#468923); #150620=VERTEX_POINT('',#468925); #150621=VERTEX_POINT('',#468929); #150622=VERTEX_POINT('',#468931); #150623=VERTEX_POINT('',#468935); #150624=VERTEX_POINT('',#468937); #150625=VERTEX_POINT('',#468941); #150626=VERTEX_POINT('',#468943); #150627=VERTEX_POINT('',#468947); #150628=VERTEX_POINT('',#468949); #150629=VERTEX_POINT('',#468958); #150630=VERTEX_POINT('',#468960); #150631=VERTEX_POINT('',#468964); #150632=VERTEX_POINT('',#468966); #150633=VERTEX_POINT('',#468970); #150634=VERTEX_POINT('',#468972); #150635=VERTEX_POINT('',#468976); #150636=VERTEX_POINT('',#468978); #150637=VERTEX_POINT('',#468982); #150638=VERTEX_POINT('',#468984); #150639=VERTEX_POINT('',#468988); #150640=VERTEX_POINT('',#468990); #150641=VERTEX_POINT('',#468994); #150642=VERTEX_POINT('',#468996); #150643=VERTEX_POINT('',#469000); #150644=VERTEX_POINT('',#469002); #150645=VERTEX_POINT('',#469006); #150646=VERTEX_POINT('',#469008); #150647=VERTEX_POINT('',#469012); #150648=VERTEX_POINT('',#469014); #150649=VERTEX_POINT('',#469018); #150650=VERTEX_POINT('',#469020); #150651=VERTEX_POINT('',#469024); #150652=VERTEX_POINT('',#469026); #150653=VERTEX_POINT('',#469030); #150654=VERTEX_POINT('',#469032); #150655=VERTEX_POINT('',#469036); #150656=VERTEX_POINT('',#469038); #150657=VERTEX_POINT('',#469042); #150658=VERTEX_POINT('',#469044); #150659=VERTEX_POINT('',#469048); #150660=VERTEX_POINT('',#469049); #150661=VERTEX_POINT('',#469051); #150662=VERTEX_POINT('',#469053); #150663=VERTEX_POINT('',#469057); #150664=VERTEX_POINT('',#469059); #150665=VERTEX_POINT('',#469063); #150666=VERTEX_POINT('',#469065); #150667=VERTEX_POINT('',#469069); #150668=VERTEX_POINT('',#469071); #150669=VERTEX_POINT('',#469075); #150670=VERTEX_POINT('',#469077); #150671=VERTEX_POINT('',#469081); #150672=VERTEX_POINT('',#469083); #150673=VERTEX_POINT('',#469087); #150674=VERTEX_POINT('',#469089); #150675=VERTEX_POINT('',#469093); #150676=VERTEX_POINT('',#469095); #150677=VERTEX_POINT('',#469099); #150678=VERTEX_POINT('',#469101); #150679=VERTEX_POINT('',#469105); #150680=VERTEX_POINT('',#469107); #150681=VERTEX_POINT('',#469111); #150682=VERTEX_POINT('',#469113); #150683=VERTEX_POINT('',#469117); #150684=VERTEX_POINT('',#469119); #150685=VERTEX_POINT('',#469123); #150686=VERTEX_POINT('',#469125); #150687=VERTEX_POINT('',#469129); #150688=VERTEX_POINT('',#469131); #150689=VERTEX_POINT('',#469135); #150690=VERTEX_POINT('',#469137); #150691=VERTEX_POINT('',#469141); #150692=VERTEX_POINT('',#469143); #150693=VERTEX_POINT('',#469147); #150694=VERTEX_POINT('',#469149); #150695=VERTEX_POINT('',#469153); #150696=VERTEX_POINT('',#469155); #150697=VERTEX_POINT('',#469159); #150698=VERTEX_POINT('',#469161); #150699=VERTEX_POINT('',#469165); #150700=VERTEX_POINT('',#469167); #150701=VERTEX_POINT('',#469171); #150702=VERTEX_POINT('',#469173); #150703=VERTEX_POINT('',#469177); #150704=VERTEX_POINT('',#469179); #150705=VERTEX_POINT('',#469183); #150706=VERTEX_POINT('',#469185); #150707=VERTEX_POINT('',#469189); #150708=VERTEX_POINT('',#469191); #150709=VERTEX_POINT('',#469195); #150710=VERTEX_POINT('',#469197); #150711=VERTEX_POINT('',#469201); #150712=VERTEX_POINT('',#469203); #150713=VERTEX_POINT('',#469207); #150714=VERTEX_POINT('',#469209); #150715=VERTEX_POINT('',#469213); #150716=VERTEX_POINT('',#469215); #150717=VERTEX_POINT('',#469219); #150718=VERTEX_POINT('',#469221); #150719=VERTEX_POINT('',#469225); #150720=VERTEX_POINT('',#469227); #150721=VERTEX_POINT('',#469231); #150722=VERTEX_POINT('',#469233); #150723=VERTEX_POINT('',#469237); #150724=VERTEX_POINT('',#469239); #150725=VERTEX_POINT('',#469243); #150726=VERTEX_POINT('',#469245); #150727=VERTEX_POINT('',#469249); #150728=VERTEX_POINT('',#469251); #150729=VERTEX_POINT('',#469255); #150730=VERTEX_POINT('',#469257); #150731=VERTEX_POINT('',#469261); #150732=VERTEX_POINT('',#469263); #150733=VERTEX_POINT('',#469267); #150734=VERTEX_POINT('',#469269); #150735=VERTEX_POINT('',#469273); #150736=VERTEX_POINT('',#469275); #150737=VERTEX_POINT('',#469279); #150738=VERTEX_POINT('',#469281); #150739=VERTEX_POINT('',#469285); #150740=VERTEX_POINT('',#469287); #150741=VERTEX_POINT('',#469296); #150742=VERTEX_POINT('',#469298); #150743=VERTEX_POINT('',#469302); #150744=VERTEX_POINT('',#469303); #150745=VERTEX_POINT('',#469305); #150746=VERTEX_POINT('',#469307); #150747=VERTEX_POINT('',#469311); #150748=VERTEX_POINT('',#469313); #150749=VERTEX_POINT('',#469317); #150750=VERTEX_POINT('',#469319); #150751=VERTEX_POINT('',#469323); #150752=VERTEX_POINT('',#469325); #150753=VERTEX_POINT('',#469329); #150754=VERTEX_POINT('',#469331); #150755=VERTEX_POINT('',#469335); #150756=VERTEX_POINT('',#469337); #150757=VERTEX_POINT('',#469341); #150758=VERTEX_POINT('',#469343); #150759=VERTEX_POINT('',#469347); #150760=VERTEX_POINT('',#469349); #150761=VERTEX_POINT('',#469353); #150762=VERTEX_POINT('',#469355); #150763=VERTEX_POINT('',#469359); #150764=VERTEX_POINT('',#469361); #150765=VERTEX_POINT('',#469365); #150766=VERTEX_POINT('',#469367); #150767=VERTEX_POINT('',#469371); #150768=VERTEX_POINT('',#469373); #150769=VERTEX_POINT('',#469377); #150770=VERTEX_POINT('',#469379); #150771=VERTEX_POINT('',#469383); #150772=VERTEX_POINT('',#469385); #150773=VERTEX_POINT('',#469389); #150774=VERTEX_POINT('',#469391); #150775=VERTEX_POINT('',#469395); #150776=VERTEX_POINT('',#469397); #150777=VERTEX_POINT('',#469401); #150778=VERTEX_POINT('',#469403); #150779=VERTEX_POINT('',#469407); #150780=VERTEX_POINT('',#469409); #150781=VERTEX_POINT('',#469413); #150782=VERTEX_POINT('',#469415); #150783=VERTEX_POINT('',#469419); #150784=VERTEX_POINT('',#469421); #150785=VERTEX_POINT('',#469425); #150786=VERTEX_POINT('',#469427); #150787=VERTEX_POINT('',#469431); #150788=VERTEX_POINT('',#469433); #150789=VERTEX_POINT('',#469437); #150790=VERTEX_POINT('',#469439); #150791=VERTEX_POINT('',#469443); #150792=VERTEX_POINT('',#469445); #150793=VERTEX_POINT('',#469449); #150794=VERTEX_POINT('',#469451); #150795=VERTEX_POINT('',#469455); #150796=VERTEX_POINT('',#469457); #150797=VERTEX_POINT('',#469461); #150798=VERTEX_POINT('',#469463); #150799=VERTEX_POINT('',#469467); #150800=VERTEX_POINT('',#469469); #150801=VERTEX_POINT('',#469473); #150802=VERTEX_POINT('',#469475); #150803=VERTEX_POINT('',#469479); #150804=VERTEX_POINT('',#469481); #150805=VERTEX_POINT('',#469485); #150806=VERTEX_POINT('',#469487); #150807=VERTEX_POINT('',#469491); #150808=VERTEX_POINT('',#469493); #150809=VERTEX_POINT('',#469497); #150810=VERTEX_POINT('',#469499); #150811=VERTEX_POINT('',#469503); #150812=VERTEX_POINT('',#469505); #150813=VERTEX_POINT('',#469509); #150814=VERTEX_POINT('',#469511); #150815=VERTEX_POINT('',#469515); #150816=VERTEX_POINT('',#469517); #150817=VERTEX_POINT('',#469521); #150818=VERTEX_POINT('',#469523); #150819=VERTEX_POINT('',#469527); #150820=VERTEX_POINT('',#469529); #150821=VERTEX_POINT('',#469533); #150822=VERTEX_POINT('',#469535); #150823=VERTEX_POINT('',#469539); #150824=VERTEX_POINT('',#469541); #150825=VERTEX_POINT('',#469545); #150826=VERTEX_POINT('',#469547); #150827=VERTEX_POINT('',#469556); #150828=VERTEX_POINT('',#469558); #150829=VERTEX_POINT('',#469562); #150830=VERTEX_POINT('',#469564); #150831=VERTEX_POINT('',#469568); #150832=VERTEX_POINT('',#469570); #150833=VERTEX_POINT('',#469574); #150834=VERTEX_POINT('',#469576); #150835=VERTEX_POINT('',#469580); #150836=VERTEX_POINT('',#469582); #150837=VERTEX_POINT('',#469586); #150838=VERTEX_POINT('',#469588); #150839=VERTEX_POINT('',#469592); #150840=VERTEX_POINT('',#469594); #150841=VERTEX_POINT('',#469598); #150842=VERTEX_POINT('',#469600); #150843=VERTEX_POINT('',#469604); #150844=VERTEX_POINT('',#469606); #150845=VERTEX_POINT('',#469610); #150846=VERTEX_POINT('',#469612); #150847=VERTEX_POINT('',#469616); #150848=VERTEX_POINT('',#469618); #150849=VERTEX_POINT('',#469622); #150850=VERTEX_POINT('',#469624); #150851=VERTEX_POINT('',#469628); #150852=VERTEX_POINT('',#469630); #150853=VERTEX_POINT('',#469634); #150854=VERTEX_POINT('',#469636); #150855=VERTEX_POINT('',#469640); #150856=VERTEX_POINT('',#469642); #150857=VERTEX_POINT('',#469646); #150858=VERTEX_POINT('',#469647); #150859=VERTEX_POINT('',#469649); #150860=VERTEX_POINT('',#469651); #150861=VERTEX_POINT('',#469655); #150862=VERTEX_POINT('',#469657); #150863=VERTEX_POINT('',#469661); #150864=VERTEX_POINT('',#469663); #150865=VERTEX_POINT('',#469667); #150866=VERTEX_POINT('',#469669); #150867=VERTEX_POINT('',#469673); #150868=VERTEX_POINT('',#469675); #150869=VERTEX_POINT('',#469679); #150870=VERTEX_POINT('',#469681); #150871=VERTEX_POINT('',#469685); #150872=VERTEX_POINT('',#469687); #150873=VERTEX_POINT('',#469691); #150874=VERTEX_POINT('',#469693); #150875=VERTEX_POINT('',#469697); #150876=VERTEX_POINT('',#469699); #150877=VERTEX_POINT('',#469703); #150878=VERTEX_POINT('',#469705); #150879=VERTEX_POINT('',#469709); #150880=VERTEX_POINT('',#469711); #150881=VERTEX_POINT('',#469715); #150882=VERTEX_POINT('',#469717); #150883=VERTEX_POINT('',#469721); #150884=VERTEX_POINT('',#469723); #150885=VERTEX_POINT('',#469727); #150886=VERTEX_POINT('',#469729); #150887=VERTEX_POINT('',#469733); #150888=VERTEX_POINT('',#469735); #150889=VERTEX_POINT('',#469739); #150890=VERTEX_POINT('',#469741); #150891=VERTEX_POINT('',#469745); #150892=VERTEX_POINT('',#469747); #150893=VERTEX_POINT('',#469751); #150894=VERTEX_POINT('',#469753); #150895=VERTEX_POINT('',#469757); #150896=VERTEX_POINT('',#469759); #150897=VERTEX_POINT('',#469763); #150898=VERTEX_POINT('',#469765); #150899=VERTEX_POINT('',#469769); #150900=VERTEX_POINT('',#469771); #150901=VERTEX_POINT('',#469775); #150902=VERTEX_POINT('',#469777); #150903=VERTEX_POINT('',#469781); #150904=VERTEX_POINT('',#469783); #150905=VERTEX_POINT('',#469787); #150906=VERTEX_POINT('',#469789); #150907=VERTEX_POINT('',#469793); #150908=VERTEX_POINT('',#469795); #150909=VERTEX_POINT('',#469799); #150910=VERTEX_POINT('',#469801); #150911=VERTEX_POINT('',#469805); #150912=VERTEX_POINT('',#469807); #150913=VERTEX_POINT('',#469811); #150914=VERTEX_POINT('',#469813); #150915=VERTEX_POINT('',#469817); #150916=VERTEX_POINT('',#469819); #150917=VERTEX_POINT('',#469823); #150918=VERTEX_POINT('',#469825); #150919=VERTEX_POINT('',#469829); #150920=VERTEX_POINT('',#469831); #150921=VERTEX_POINT('',#469835); #150922=VERTEX_POINT('',#469837); #150923=VERTEX_POINT('',#469841); #150924=VERTEX_POINT('',#469843); #150925=VERTEX_POINT('',#469847); #150926=VERTEX_POINT('',#469849); #150927=VERTEX_POINT('',#469853); #150928=VERTEX_POINT('',#469855); #150929=VERTEX_POINT('',#469859); #150930=VERTEX_POINT('',#469861); #150931=VERTEX_POINT('',#469865); #150932=VERTEX_POINT('',#469867); #150933=VERTEX_POINT('',#469871); #150934=VERTEX_POINT('',#469873); #150935=VERTEX_POINT('',#469877); #150936=VERTEX_POINT('',#469879); #150937=VERTEX_POINT('',#469883); #150938=VERTEX_POINT('',#469885); #150939=VERTEX_POINT('',#469889); #150940=VERTEX_POINT('',#469891); #150941=VERTEX_POINT('',#469895); #150942=VERTEX_POINT('',#469897); #150943=VERTEX_POINT('',#469901); #150944=VERTEX_POINT('',#469903); #150945=VERTEX_POINT('',#469907); #150946=VERTEX_POINT('',#469909); #150947=VERTEX_POINT('',#469913); #150948=VERTEX_POINT('',#469915); #150949=VERTEX_POINT('',#469919); #150950=VERTEX_POINT('',#469921); #150951=VERTEX_POINT('',#469925); #150952=VERTEX_POINT('',#469927); #150953=VERTEX_POINT('',#469931); #150954=VERTEX_POINT('',#469933); #150955=VERTEX_POINT('',#469942); #150956=VERTEX_POINT('',#469944); #150957=VERTEX_POINT('',#469948); #150958=VERTEX_POINT('',#469949); #150959=VERTEX_POINT('',#469951); #150960=VERTEX_POINT('',#469953); #150961=VERTEX_POINT('',#469957); #150962=VERTEX_POINT('',#469959); #150963=VERTEX_POINT('',#469963); #150964=VERTEX_POINT('',#469965); #150965=VERTEX_POINT('',#469969); #150966=VERTEX_POINT('',#469971); #150967=VERTEX_POINT('',#469975); #150968=VERTEX_POINT('',#469977); #150969=VERTEX_POINT('',#469981); #150970=VERTEX_POINT('',#469983); #150971=VERTEX_POINT('',#469987); #150972=VERTEX_POINT('',#469989); #150973=VERTEX_POINT('',#469993); #150974=VERTEX_POINT('',#469995); #150975=VERTEX_POINT('',#469999); #150976=VERTEX_POINT('',#470001); #150977=VERTEX_POINT('',#470005); #150978=VERTEX_POINT('',#470007); #150979=VERTEX_POINT('',#470011); #150980=VERTEX_POINT('',#470013); #150981=VERTEX_POINT('',#470017); #150982=VERTEX_POINT('',#470019); #150983=VERTEX_POINT('',#470023); #150984=VERTEX_POINT('',#470025); #150985=VERTEX_POINT('',#470029); #150986=VERTEX_POINT('',#470031); #150987=VERTEX_POINT('',#470035); #150988=VERTEX_POINT('',#470037); #150989=VERTEX_POINT('',#470041); #150990=VERTEX_POINT('',#470043); #150991=VERTEX_POINT('',#470047); #150992=VERTEX_POINT('',#470049); #150993=VERTEX_POINT('',#470053); #150994=VERTEX_POINT('',#470055); #150995=VERTEX_POINT('',#470059); #150996=VERTEX_POINT('',#470061); #150997=VERTEX_POINT('',#470065); #150998=VERTEX_POINT('',#470067); #150999=VERTEX_POINT('',#470071); #151000=VERTEX_POINT('',#470073); #151001=VERTEX_POINT('',#470077); #151002=VERTEX_POINT('',#470079); #151003=VERTEX_POINT('',#470083); #151004=VERTEX_POINT('',#470085); #151005=VERTEX_POINT('',#470089); #151006=VERTEX_POINT('',#470091); #151007=VERTEX_POINT('',#470095); #151008=VERTEX_POINT('',#470097); #151009=VERTEX_POINT('',#470101); #151010=VERTEX_POINT('',#470103); #151011=VERTEX_POINT('',#470107); #151012=VERTEX_POINT('',#470109); #151013=VERTEX_POINT('',#470113); #151014=VERTEX_POINT('',#470115); #151015=VERTEX_POINT('',#470119); #151016=VERTEX_POINT('',#470121); #151017=VERTEX_POINT('',#470125); #151018=VERTEX_POINT('',#470127); #151019=VERTEX_POINT('',#470131); #151020=VERTEX_POINT('',#470133); #151021=VERTEX_POINT('',#470137); #151022=VERTEX_POINT('',#470139); #151023=VERTEX_POINT('',#470143); #151024=VERTEX_POINT('',#470145); #151025=VERTEX_POINT('',#470149); #151026=VERTEX_POINT('',#470151); #151027=VERTEX_POINT('',#470155); #151028=VERTEX_POINT('',#470157); #151029=VERTEX_POINT('',#470161); #151030=VERTEX_POINT('',#470163); #151031=VERTEX_POINT('',#470167); #151032=VERTEX_POINT('',#470169); #151033=VERTEX_POINT('',#470173); #151034=VERTEX_POINT('',#470175); #151035=VERTEX_POINT('',#470179); #151036=VERTEX_POINT('',#470181); #151037=VERTEX_POINT('',#470185); #151038=VERTEX_POINT('',#470187); #151039=VERTEX_POINT('',#470191); #151040=VERTEX_POINT('',#470193); #151041=VERTEX_POINT('',#470202); #151042=VERTEX_POINT('',#470204); #151043=VERTEX_POINT('',#470208); #151044=VERTEX_POINT('',#470210); #151045=VERTEX_POINT('',#470214); #151046=VERTEX_POINT('',#470216); #151047=VERTEX_POINT('',#470220); #151048=VERTEX_POINT('',#470222); #151049=VERTEX_POINT('',#470226); #151050=VERTEX_POINT('',#470228); #151051=VERTEX_POINT('',#470232); #151052=VERTEX_POINT('',#470234); #151053=VERTEX_POINT('',#470238); #151054=VERTEX_POINT('',#470240); #151055=VERTEX_POINT('',#470244); #151056=VERTEX_POINT('',#470246); #151057=VERTEX_POINT('',#470250); #151058=VERTEX_POINT('',#470252); #151059=VERTEX_POINT('',#470256); #151060=VERTEX_POINT('',#470258); #151061=VERTEX_POINT('',#470262); #151062=VERTEX_POINT('',#470264); #151063=VERTEX_POINT('',#470268); #151064=VERTEX_POINT('',#470270); #151065=VERTEX_POINT('',#470274); #151066=VERTEX_POINT('',#470276); #151067=VERTEX_POINT('',#470280); #151068=VERTEX_POINT('',#470282); #151069=VERTEX_POINT('',#470286); #151070=VERTEX_POINT('',#470288); #151071=VERTEX_POINT('',#470292); #151072=VERTEX_POINT('',#470294); #151073=VERTEX_POINT('',#470298); #151074=VERTEX_POINT('',#470300); #151075=VERTEX_POINT('',#470304); #151076=VERTEX_POINT('',#470306); #151077=VERTEX_POINT('',#470310); #151078=VERTEX_POINT('',#470312); #151079=VERTEX_POINT('',#470316); #151080=VERTEX_POINT('',#470318); #151081=VERTEX_POINT('',#470322); #151082=VERTEX_POINT('',#470324); #151083=VERTEX_POINT('',#470328); #151084=VERTEX_POINT('',#470330); #151085=VERTEX_POINT('',#470334); #151086=VERTEX_POINT('',#470336); #151087=VERTEX_POINT('',#470340); #151088=VERTEX_POINT('',#470342); #151089=VERTEX_POINT('',#470346); #151090=VERTEX_POINT('',#470348); #151091=VERTEX_POINT('',#470352); #151092=VERTEX_POINT('',#470354); #151093=VERTEX_POINT('',#470358); #151094=VERTEX_POINT('',#470360); #151095=VERTEX_POINT('',#470364); #151096=VERTEX_POINT('',#470366); #151097=VERTEX_POINT('',#470370); #151098=VERTEX_POINT('',#470372); #151099=VERTEX_POINT('',#470376); #151100=VERTEX_POINT('',#470378); #151101=VERTEX_POINT('',#470382); #151102=VERTEX_POINT('',#470384); #151103=VERTEX_POINT('',#470388); #151104=VERTEX_POINT('',#470389); #151105=VERTEX_POINT('',#470391); #151106=VERTEX_POINT('',#470393); #151107=VERTEX_POINT('',#470397); #151108=VERTEX_POINT('',#470399); #151109=VERTEX_POINT('',#470403); #151110=VERTEX_POINT('',#470405); #151111=VERTEX_POINT('',#470409); #151112=VERTEX_POINT('',#470411); #151113=VERTEX_POINT('',#470415); #151114=VERTEX_POINT('',#470417); #151115=VERTEX_POINT('',#470421); #151116=VERTEX_POINT('',#470423); #151117=VERTEX_POINT('',#470427); #151118=VERTEX_POINT('',#470429); #151119=VERTEX_POINT('',#470433); #151120=VERTEX_POINT('',#470435); #151121=VERTEX_POINT('',#470439); #151122=VERTEX_POINT('',#470441); #151123=VERTEX_POINT('',#470445); #151124=VERTEX_POINT('',#470447); #151125=VERTEX_POINT('',#470451); #151126=VERTEX_POINT('',#470453); #151127=VERTEX_POINT('',#470457); #151128=VERTEX_POINT('',#470459); #151129=VERTEX_POINT('',#470463); #151130=VERTEX_POINT('',#470465); #151131=VERTEX_POINT('',#470469); #151132=VERTEX_POINT('',#470471); #151133=VERTEX_POINT('',#470475); #151134=VERTEX_POINT('',#470477); #151135=VERTEX_POINT('',#470481); #151136=VERTEX_POINT('',#470483); #151137=VERTEX_POINT('',#470487); #151138=VERTEX_POINT('',#470489); #151139=VERTEX_POINT('',#470493); #151140=VERTEX_POINT('',#470495); #151141=VERTEX_POINT('',#470499); #151142=VERTEX_POINT('',#470501); #151143=VERTEX_POINT('',#470505); #151144=VERTEX_POINT('',#470507); #151145=VERTEX_POINT('',#470511); #151146=VERTEX_POINT('',#470513); #151147=VERTEX_POINT('',#470517); #151148=VERTEX_POINT('',#470519); #151149=VERTEX_POINT('',#470523); #151150=VERTEX_POINT('',#470525); #151151=VERTEX_POINT('',#470529); #151152=VERTEX_POINT('',#470531); #151153=VERTEX_POINT('',#470535); #151154=VERTEX_POINT('',#470537); #151155=VERTEX_POINT('',#470541); #151156=VERTEX_POINT('',#470543); #151157=VERTEX_POINT('',#470547); #151158=VERTEX_POINT('',#470549); #151159=VERTEX_POINT('',#470553); #151160=VERTEX_POINT('',#470555); #151161=VERTEX_POINT('',#470559); #151162=VERTEX_POINT('',#470561); #151163=VERTEX_POINT('',#470565); #151164=VERTEX_POINT('',#470567); #151165=VERTEX_POINT('',#470571); #151166=VERTEX_POINT('',#470573); #151167=VERTEX_POINT('',#470577); #151168=VERTEX_POINT('',#470579); #151169=VERTEX_POINT('',#470583); #151170=VERTEX_POINT('',#470585); #151171=VERTEX_POINT('',#470589); #151172=VERTEX_POINT('',#470591); #151173=VERTEX_POINT('',#470595); #151174=VERTEX_POINT('',#470597); #151175=VERTEX_POINT('',#470601); #151176=VERTEX_POINT('',#470603); #151177=VERTEX_POINT('',#470607); #151178=VERTEX_POINT('',#470609); #151179=VERTEX_POINT('',#470613); #151180=VERTEX_POINT('',#470615); #151181=VERTEX_POINT('',#470619); #151182=VERTEX_POINT('',#470621); #151183=VERTEX_POINT('',#470625); #151184=VERTEX_POINT('',#470627); #151185=VERTEX_POINT('',#470631); #151186=VERTEX_POINT('',#470633); #151187=VERTEX_POINT('',#470637); #151188=VERTEX_POINT('',#470639); #151189=VERTEX_POINT('',#470643); #151190=VERTEX_POINT('',#470645); #151191=VERTEX_POINT('',#470649); #151192=VERTEX_POINT('',#470651); #151193=VERTEX_POINT('',#470655); #151194=VERTEX_POINT('',#470657); #151195=VERTEX_POINT('',#470661); #151196=VERTEX_POINT('',#470663); #151197=VERTEX_POINT('',#470667); #151198=VERTEX_POINT('',#470669); #151199=VERTEX_POINT('',#470673); #151200=VERTEX_POINT('',#470675); #151201=VERTEX_POINT('',#470679); #151202=VERTEX_POINT('',#470681); #151203=VERTEX_POINT('',#470685); #151204=VERTEX_POINT('',#470687); #151205=VERTEX_POINT('',#470691); #151206=VERTEX_POINT('',#470693); #151207=VERTEX_POINT('',#470697); #151208=VERTEX_POINT('',#470699); #151209=VERTEX_POINT('',#470703); #151210=VERTEX_POINT('',#470705); #151211=VERTEX_POINT('',#470709); #151212=VERTEX_POINT('',#470711); #151213=VERTEX_POINT('',#470715); #151214=VERTEX_POINT('',#470717); #151215=VERTEX_POINT('',#470721); #151216=VERTEX_POINT('',#470723); #151217=VERTEX_POINT('',#470727); #151218=VERTEX_POINT('',#470729); #151219=VERTEX_POINT('',#470733); #151220=VERTEX_POINT('',#470735); #151221=VERTEX_POINT('',#470739); #151222=VERTEX_POINT('',#470741); #151223=VERTEX_POINT('',#470745); #151224=VERTEX_POINT('',#470747); #151225=VERTEX_POINT('',#470751); #151226=VERTEX_POINT('',#470753); #151227=VERTEX_POINT('',#470757); #151228=VERTEX_POINT('',#470759); #151229=VERTEX_POINT('',#470763); #151230=VERTEX_POINT('',#470765); #151231=VERTEX_POINT('',#470769); #151232=VERTEX_POINT('',#470771); #151233=VERTEX_POINT('',#470775); #151234=VERTEX_POINT('',#470777); #151235=VERTEX_POINT('',#470781); #151236=VERTEX_POINT('',#470783); #151237=VERTEX_POINT('',#470787); #151238=VERTEX_POINT('',#470789); #151239=VERTEX_POINT('',#470793); #151240=VERTEX_POINT('',#470795); #151241=VERTEX_POINT('',#470799); #151242=VERTEX_POINT('',#470801); #151243=VERTEX_POINT('',#470805); #151244=VERTEX_POINT('',#470807); #151245=VERTEX_POINT('',#470811); #151246=VERTEX_POINT('',#470813); #151247=VERTEX_POINT('',#470817); #151248=VERTEX_POINT('',#470819); #151249=VERTEX_POINT('',#470823); #151250=VERTEX_POINT('',#470825); #151251=VERTEX_POINT('',#470829); #151252=VERTEX_POINT('',#470831); #151253=VERTEX_POINT('',#470835); #151254=VERTEX_POINT('',#470837); #151255=VERTEX_POINT('',#470841); #151256=VERTEX_POINT('',#470843); #151257=VERTEX_POINT('',#470847); #151258=VERTEX_POINT('',#470849); #151259=VERTEX_POINT('',#470853); #151260=VERTEX_POINT('',#470855); #151261=VERTEX_POINT('',#470859); #151262=VERTEX_POINT('',#470861); #151263=VERTEX_POINT('',#470865); #151264=VERTEX_POINT('',#470867); #151265=VERTEX_POINT('',#470871); #151266=VERTEX_POINT('',#470873); #151267=VERTEX_POINT('',#470877); #151268=VERTEX_POINT('',#470879); #151269=VERTEX_POINT('',#470883); #151270=VERTEX_POINT('',#470885); #151271=VERTEX_POINT('',#470889); #151272=VERTEX_POINT('',#470891); #151273=VERTEX_POINT('',#470900); #151274=VERTEX_POINT('',#470902); #151275=VERTEX_POINT('',#470906); #151276=VERTEX_POINT('',#470907); #151277=VERTEX_POINT('',#470909); #151278=VERTEX_POINT('',#470911); #151279=VERTEX_POINT('',#470915); #151280=VERTEX_POINT('',#470917); #151281=VERTEX_POINT('',#470921); #151282=VERTEX_POINT('',#470923); #151283=VERTEX_POINT('',#470927); #151284=VERTEX_POINT('',#470929); #151285=VERTEX_POINT('',#470933); #151286=VERTEX_POINT('',#470935); #151287=VERTEX_POINT('',#470939); #151288=VERTEX_POINT('',#470941); #151289=VERTEX_POINT('',#470945); #151290=VERTEX_POINT('',#470947); #151291=VERTEX_POINT('',#470951); #151292=VERTEX_POINT('',#470953); #151293=VERTEX_POINT('',#470957); #151294=VERTEX_POINT('',#470959); #151295=VERTEX_POINT('',#470963); #151296=VERTEX_POINT('',#470965); #151297=VERTEX_POINT('',#470969); #151298=VERTEX_POINT('',#470971); #151299=VERTEX_POINT('',#470975); #151300=VERTEX_POINT('',#470977); #151301=VERTEX_POINT('',#470981); #151302=VERTEX_POINT('',#470983); #151303=VERTEX_POINT('',#470987); #151304=VERTEX_POINT('',#470989); #151305=VERTEX_POINT('',#470993); #151306=VERTEX_POINT('',#470995); #151307=VERTEX_POINT('',#470999); #151308=VERTEX_POINT('',#471001); #151309=VERTEX_POINT('',#471005); #151310=VERTEX_POINT('',#471007); #151311=VERTEX_POINT('',#471011); #151312=VERTEX_POINT('',#471013); #151313=VERTEX_POINT('',#471017); #151314=VERTEX_POINT('',#471019); #151315=VERTEX_POINT('',#471023); #151316=VERTEX_POINT('',#471025); #151317=VERTEX_POINT('',#471034); #151318=VERTEX_POINT('',#471036); #151319=VERTEX_POINT('',#471040); #151320=VERTEX_POINT('',#471042); #151321=VERTEX_POINT('',#471046); #151322=VERTEX_POINT('',#471048); #151323=VERTEX_POINT('',#471052); #151324=VERTEX_POINT('',#471054); #151325=VERTEX_POINT('',#471058); #151326=VERTEX_POINT('',#471060); #151327=VERTEX_POINT('',#471064); #151328=VERTEX_POINT('',#471066); #151329=VERTEX_POINT('',#471070); #151330=VERTEX_POINT('',#471072); #151331=VERTEX_POINT('',#471076); #151332=VERTEX_POINT('',#471078); #151333=VERTEX_POINT('',#471082); #151334=VERTEX_POINT('',#471084); #151335=VERTEX_POINT('',#471088); #151336=VERTEX_POINT('',#471090); #151337=VERTEX_POINT('',#471094); #151338=VERTEX_POINT('',#471096); #151339=VERTEX_POINT('',#471100); #151340=VERTEX_POINT('',#471101); #151341=VERTEX_POINT('',#471103); #151342=VERTEX_POINT('',#471105); #151343=VERTEX_POINT('',#471109); #151344=VERTEX_POINT('',#471111); #151345=VERTEX_POINT('',#471115); #151346=VERTEX_POINT('',#471117); #151347=VERTEX_POINT('',#471121); #151348=VERTEX_POINT('',#471123); #151349=VERTEX_POINT('',#471127); #151350=VERTEX_POINT('',#471129); #151351=VERTEX_POINT('',#471133); #151352=VERTEX_POINT('',#471135); #151353=VERTEX_POINT('',#471139); #151354=VERTEX_POINT('',#471141); #151355=VERTEX_POINT('',#471145); #151356=VERTEX_POINT('',#471147); #151357=VERTEX_POINT('',#471151); #151358=VERTEX_POINT('',#471153); #151359=VERTEX_POINT('',#471157); #151360=VERTEX_POINT('',#471159); #151361=VERTEX_POINT('',#471163); #151362=VERTEX_POINT('',#471165); #151363=VERTEX_POINT('',#471169); #151364=VERTEX_POINT('',#471171); #151365=VERTEX_POINT('',#471175); #151366=VERTEX_POINT('',#471177); #151367=VERTEX_POINT('',#471181); #151368=VERTEX_POINT('',#471183); #151369=VERTEX_POINT('',#471187); #151370=VERTEX_POINT('',#471189); #151371=VERTEX_POINT('',#471193); #151372=VERTEX_POINT('',#471195); #151373=VERTEX_POINT('',#471199); #151374=VERTEX_POINT('',#471201); #151375=VERTEX_POINT('',#471205); #151376=VERTEX_POINT('',#471207); #151377=VERTEX_POINT('',#471211); #151378=VERTEX_POINT('',#471213); #151379=VERTEX_POINT('',#471217); #151380=VERTEX_POINT('',#471219); #151381=VERTEX_POINT('',#471223); #151382=VERTEX_POINT('',#471225); #151383=VERTEX_POINT('',#471229); #151384=VERTEX_POINT('',#471231); #151385=VERTEX_POINT('',#471235); #151386=VERTEX_POINT('',#471237); #151387=VERTEX_POINT('',#471241); #151388=VERTEX_POINT('',#471243); #151389=VERTEX_POINT('',#471247); #151390=VERTEX_POINT('',#471249); #151391=VERTEX_POINT('',#471253); #151392=VERTEX_POINT('',#471255); #151393=VERTEX_POINT('',#471259); #151394=VERTEX_POINT('',#471261); #151395=VERTEX_POINT('',#471265); #151396=VERTEX_POINT('',#471267); #151397=VERTEX_POINT('',#471271); #151398=VERTEX_POINT('',#471273); #151399=VERTEX_POINT('',#471277); #151400=VERTEX_POINT('',#471279); #151401=VERTEX_POINT('',#471283); #151402=VERTEX_POINT('',#471285); #151403=VERTEX_POINT('',#471289); #151404=VERTEX_POINT('',#471291); #151405=VERTEX_POINT('',#471295); #151406=VERTEX_POINT('',#471297); #151407=VERTEX_POINT('',#471301); #151408=VERTEX_POINT('',#471303); #151409=VERTEX_POINT('',#471307); #151410=VERTEX_POINT('',#471309); #151411=VERTEX_POINT('',#471313); #151412=VERTEX_POINT('',#471315); #151413=VERTEX_POINT('',#471319); #151414=VERTEX_POINT('',#471321); #151415=VERTEX_POINT('',#471325); #151416=VERTEX_POINT('',#471327); #151417=VERTEX_POINT('',#471331); #151418=VERTEX_POINT('',#471333); #151419=VERTEX_POINT('',#471337); #151420=VERTEX_POINT('',#471339); #151421=VERTEX_POINT('',#471343); #151422=VERTEX_POINT('',#471345); #151423=VERTEX_POINT('',#471349); #151424=VERTEX_POINT('',#471351); #151425=VERTEX_POINT('',#471355); #151426=VERTEX_POINT('',#471357); #151427=VERTEX_POINT('',#471361); #151428=VERTEX_POINT('',#471363); #151429=VERTEX_POINT('',#471367); #151430=VERTEX_POINT('',#471369); #151431=VERTEX_POINT('',#471373); #151432=VERTEX_POINT('',#471375); #151433=VERTEX_POINT('',#471379); #151434=VERTEX_POINT('',#471381); #151435=VERTEX_POINT('',#471385); #151436=VERTEX_POINT('',#471387); #151437=VERTEX_POINT('',#471391); #151438=VERTEX_POINT('',#471393); #151439=VERTEX_POINT('',#471397); #151440=VERTEX_POINT('',#471399); #151441=VERTEX_POINT('',#471403); #151442=VERTEX_POINT('',#471405); #151443=VERTEX_POINT('',#471409); #151444=VERTEX_POINT('',#471411); #151445=VERTEX_POINT('',#471415); #151446=VERTEX_POINT('',#471417); #151447=VERTEX_POINT('',#471421); #151448=VERTEX_POINT('',#471423); #151449=VERTEX_POINT('',#471427); #151450=VERTEX_POINT('',#471429); #151451=VERTEX_POINT('',#471433); #151452=VERTEX_POINT('',#471435); #151453=VERTEX_POINT('',#471439); #151454=VERTEX_POINT('',#471441); #151455=VERTEX_POINT('',#471445); #151456=VERTEX_POINT('',#471447); #151457=VERTEX_POINT('',#471451); #151458=VERTEX_POINT('',#471453); #151459=VERTEX_POINT('',#471457); #151460=VERTEX_POINT('',#471459); #151461=VERTEX_POINT('',#471463); #151462=VERTEX_POINT('',#471465); #151463=VERTEX_POINT('',#471469); #151464=VERTEX_POINT('',#471471); #151465=VERTEX_POINT('',#471475); #151466=VERTEX_POINT('',#471477); #151467=VERTEX_POINT('',#471481); #151468=VERTEX_POINT('',#471483); #151469=VERTEX_POINT('',#471487); #151470=VERTEX_POINT('',#471489); #151471=VERTEX_POINT('',#471493); #151472=VERTEX_POINT('',#471495); #151473=VERTEX_POINT('',#471499); #151474=VERTEX_POINT('',#471501); #151475=VERTEX_POINT('',#471505); #151476=VERTEX_POINT('',#471507); #151477=VERTEX_POINT('',#471511); #151478=VERTEX_POINT('',#471513); #151479=VERTEX_POINT('',#471517); #151480=VERTEX_POINT('',#471519); #151481=VERTEX_POINT('',#471523); #151482=VERTEX_POINT('',#471525); #151483=VERTEX_POINT('',#471529); #151484=VERTEX_POINT('',#471531); #151485=VERTEX_POINT('',#471535); #151486=VERTEX_POINT('',#471537); #151487=VERTEX_POINT('',#471541); #151488=VERTEX_POINT('',#471543); #151489=VERTEX_POINT('',#471547); #151490=VERTEX_POINT('',#471549); #151491=VERTEX_POINT('',#471553); #151492=VERTEX_POINT('',#471555); #151493=VERTEX_POINT('',#471559); #151494=VERTEX_POINT('',#471561); #151495=VERTEX_POINT('',#471565); #151496=VERTEX_POINT('',#471567); #151497=VERTEX_POINT('',#471571); #151498=VERTEX_POINT('',#471573); #151499=VERTEX_POINT('',#471577); #151500=VERTEX_POINT('',#471579); #151501=VERTEX_POINT('',#471583); #151502=VERTEX_POINT('',#471585); #151503=VERTEX_POINT('',#471589); #151504=VERTEX_POINT('',#471591); #151505=VERTEX_POINT('',#471595); #151506=VERTEX_POINT('',#471597); #151507=VERTEX_POINT('',#471601); #151508=VERTEX_POINT('',#471603); #151509=VERTEX_POINT('',#471607); #151510=VERTEX_POINT('',#471609); #151511=VERTEX_POINT('',#471613); #151512=VERTEX_POINT('',#471615); #151513=VERTEX_POINT('',#471619); #151514=VERTEX_POINT('',#471621); #151515=VERTEX_POINT('',#471625); #151516=VERTEX_POINT('',#471627); #151517=VERTEX_POINT('',#471631); #151518=VERTEX_POINT('',#471633); #151519=VERTEX_POINT('',#471637); #151520=VERTEX_POINT('',#471639); #151521=VERTEX_POINT('',#471643); #151522=VERTEX_POINT('',#471645); #151523=VERTEX_POINT('',#471649); #151524=VERTEX_POINT('',#471651); #151525=VERTEX_POINT('',#471655); #151526=VERTEX_POINT('',#471657); #151527=VERTEX_POINT('',#471661); #151528=VERTEX_POINT('',#471663); #151529=VERTEX_POINT('',#471667); #151530=VERTEX_POINT('',#471669); #151531=VERTEX_POINT('',#471673); #151532=VERTEX_POINT('',#471675); #151533=VERTEX_POINT('',#471679); #151534=VERTEX_POINT('',#471681); #151535=VERTEX_POINT('',#471685); #151536=VERTEX_POINT('',#471687); #151537=VERTEX_POINT('',#471691); #151538=VERTEX_POINT('',#471693); #151539=VERTEX_POINT('',#471697); #151540=VERTEX_POINT('',#471699); #151541=VERTEX_POINT('',#471703); #151542=VERTEX_POINT('',#471705); #151543=VERTEX_POINT('',#471709); #151544=VERTEX_POINT('',#471711); #151545=VERTEX_POINT('',#471715); #151546=VERTEX_POINT('',#471717); #151547=VERTEX_POINT('',#471721); #151548=VERTEX_POINT('',#471723); #151549=VERTEX_POINT('',#471727); #151550=VERTEX_POINT('',#471729); #151551=VERTEX_POINT('',#471733); #151552=VERTEX_POINT('',#471735); #151553=VERTEX_POINT('',#471739); #151554=VERTEX_POINT('',#471741); #151555=VERTEX_POINT('',#471745); #151556=VERTEX_POINT('',#471747); #151557=VERTEX_POINT('',#471751); #151558=VERTEX_POINT('',#471753); #151559=VERTEX_POINT('',#471757); #151560=VERTEX_POINT('',#471759); #151561=VERTEX_POINT('',#471763); #151562=VERTEX_POINT('',#471765); #151563=VERTEX_POINT('',#471769); #151564=VERTEX_POINT('',#471771); #151565=VERTEX_POINT('',#471775); #151566=VERTEX_POINT('',#471777); #151567=VERTEX_POINT('',#471781); #151568=VERTEX_POINT('',#471783); #151569=VERTEX_POINT('',#471787); #151570=VERTEX_POINT('',#471789); #151571=VERTEX_POINT('',#471793); #151572=VERTEX_POINT('',#471795); #151573=VERTEX_POINT('',#471799); #151574=VERTEX_POINT('',#471801); #151575=VERTEX_POINT('',#471805); #151576=VERTEX_POINT('',#471807); #151577=VERTEX_POINT('',#471811); #151578=VERTEX_POINT('',#471813); #151579=VERTEX_POINT('',#471817); #151580=VERTEX_POINT('',#471819); #151581=VERTEX_POINT('',#471823); #151582=VERTEX_POINT('',#471825); #151583=VERTEX_POINT('',#471829); #151584=VERTEX_POINT('',#471831); #151585=VERTEX_POINT('',#471835); #151586=VERTEX_POINT('',#471837); #151587=VERTEX_POINT('',#471846); #151588=VERTEX_POINT('',#471848); #151589=VERTEX_POINT('',#471852); #151590=VERTEX_POINT('',#471854); #151591=VERTEX_POINT('',#471858); #151592=VERTEX_POINT('',#471860); #151593=VERTEX_POINT('',#471864); #151594=VERTEX_POINT('',#471866); #151595=VERTEX_POINT('',#471870); #151596=VERTEX_POINT('',#471872); #151597=VERTEX_POINT('',#471876); #151598=VERTEX_POINT('',#471878); #151599=VERTEX_POINT('',#471882); #151600=VERTEX_POINT('',#471884); #151601=VERTEX_POINT('',#471888); #151602=VERTEX_POINT('',#471890); #151603=VERTEX_POINT('',#471894); #151604=VERTEX_POINT('',#471896); #151605=VERTEX_POINT('',#471900); #151606=VERTEX_POINT('',#471902); #151607=VERTEX_POINT('',#471906); #151608=VERTEX_POINT('',#471908); #151609=VERTEX_POINT('',#471912); #151610=VERTEX_POINT('',#471914); #151611=VERTEX_POINT('',#471918); #151612=VERTEX_POINT('',#471920); #151613=VERTEX_POINT('',#471924); #151614=VERTEX_POINT('',#471926); #151615=VERTEX_POINT('',#471930); #151616=VERTEX_POINT('',#471932); #151617=VERTEX_POINT('',#471936); #151618=VERTEX_POINT('',#471938); #151619=VERTEX_POINT('',#471942); #151620=VERTEX_POINT('',#471944); #151621=VERTEX_POINT('',#471948); #151622=VERTEX_POINT('',#471950); #151623=VERTEX_POINT('',#471954); #151624=VERTEX_POINT('',#471956); #151625=VERTEX_POINT('',#471960); #151626=VERTEX_POINT('',#471962); #151627=VERTEX_POINT('',#471966); #151628=VERTEX_POINT('',#471968); #151629=VERTEX_POINT('',#471972); #151630=VERTEX_POINT('',#471974); #151631=VERTEX_POINT('',#471978); #151632=VERTEX_POINT('',#471980); #151633=VERTEX_POINT('',#471984); #151634=VERTEX_POINT('',#471986); #151635=VERTEX_POINT('',#471990); #151636=VERTEX_POINT('',#471992); #151637=VERTEX_POINT('',#471996); #151638=VERTEX_POINT('',#471998); #151639=VERTEX_POINT('',#472002); #151640=VERTEX_POINT('',#472004); #151641=VERTEX_POINT('',#472008); #151642=VERTEX_POINT('',#472010); #151643=VERTEX_POINT('',#472014); #151644=VERTEX_POINT('',#472016); #151645=VERTEX_POINT('',#472020); #151646=VERTEX_POINT('',#472022); #151647=VERTEX_POINT('',#472026); #151648=VERTEX_POINT('',#472028); #151649=VERTEX_POINT('',#472032); #151650=VERTEX_POINT('',#472033); #151651=VERTEX_POINT('',#472035); #151652=VERTEX_POINT('',#472037); #151653=VERTEX_POINT('',#472041); #151654=VERTEX_POINT('',#472043); #151655=VERTEX_POINT('',#472047); #151656=VERTEX_POINT('',#472049); #151657=VERTEX_POINT('',#472053); #151658=VERTEX_POINT('',#472055); #151659=VERTEX_POINT('',#472059); #151660=VERTEX_POINT('',#472061); #151661=VERTEX_POINT('',#472065); #151662=VERTEX_POINT('',#472067); #151663=VERTEX_POINT('',#472071); #151664=VERTEX_POINT('',#472073); #151665=VERTEX_POINT('',#472077); #151666=VERTEX_POINT('',#472079); #151667=VERTEX_POINT('',#472083); #151668=VERTEX_POINT('',#472085); #151669=VERTEX_POINT('',#472089); #151670=VERTEX_POINT('',#472091); #151671=VERTEX_POINT('',#472095); #151672=VERTEX_POINT('',#472097); #151673=VERTEX_POINT('',#472101); #151674=VERTEX_POINT('',#472103); #151675=VERTEX_POINT('',#472107); #151676=VERTEX_POINT('',#472109); #151677=VERTEX_POINT('',#472113); #151678=VERTEX_POINT('',#472115); #151679=VERTEX_POINT('',#472119); #151680=VERTEX_POINT('',#472121); #151681=VERTEX_POINT('',#472125); #151682=VERTEX_POINT('',#472127); #151683=VERTEX_POINT('',#472131); #151684=VERTEX_POINT('',#472133); #151685=VERTEX_POINT('',#472137); #151686=VERTEX_POINT('',#472139); #151687=VERTEX_POINT('',#472143); #151688=VERTEX_POINT('',#472145); #151689=VERTEX_POINT('',#472149); #151690=VERTEX_POINT('',#472151); #151691=VERTEX_POINT('',#472155); #151692=VERTEX_POINT('',#472157); #151693=VERTEX_POINT('',#472161); #151694=VERTEX_POINT('',#472163); #151695=VERTEX_POINT('',#472167); #151696=VERTEX_POINT('',#472169); #151697=VERTEX_POINT('',#472173); #151698=VERTEX_POINT('',#472175); #151699=VERTEX_POINT('',#472179); #151700=VERTEX_POINT('',#472181); #151701=VERTEX_POINT('',#472185); #151702=VERTEX_POINT('',#472187); #151703=VERTEX_POINT('',#472191); #151704=VERTEX_POINT('',#472193); #151705=VERTEX_POINT('',#472197); #151706=VERTEX_POINT('',#472199); #151707=VERTEX_POINT('',#472203); #151708=VERTEX_POINT('',#472205); #151709=VERTEX_POINT('',#472209); #151710=VERTEX_POINT('',#472211); #151711=VERTEX_POINT('',#472215); #151712=VERTEX_POINT('',#472217); #151713=VERTEX_POINT('',#472221); #151714=VERTEX_POINT('',#472223); #151715=VERTEX_POINT('',#472227); #151716=VERTEX_POINT('',#472229); #151717=VERTEX_POINT('',#472233); #151718=VERTEX_POINT('',#472235); #151719=VERTEX_POINT('',#472239); #151720=VERTEX_POINT('',#472241); #151721=VERTEX_POINT('',#472245); #151722=VERTEX_POINT('',#472247); #151723=VERTEX_POINT('',#472251); #151724=VERTEX_POINT('',#472253); #151725=VERTEX_POINT('',#472257); #151726=VERTEX_POINT('',#472259); #151727=VERTEX_POINT('',#472263); #151728=VERTEX_POINT('',#472265); #151729=VERTEX_POINT('',#472269); #151730=VERTEX_POINT('',#472271); #151731=VERTEX_POINT('',#472275); #151732=VERTEX_POINT('',#472277); #151733=VERTEX_POINT('',#472281); #151734=VERTEX_POINT('',#472283); #151735=VERTEX_POINT('',#472287); #151736=VERTEX_POINT('',#472289); #151737=VERTEX_POINT('',#472293); #151738=VERTEX_POINT('',#472295); #151739=VERTEX_POINT('',#472299); #151740=VERTEX_POINT('',#472301); #151741=VERTEX_POINT('',#472305); #151742=VERTEX_POINT('',#472307); #151743=VERTEX_POINT('',#472311); #151744=VERTEX_POINT('',#472313); #151745=VERTEX_POINT('',#472317); #151746=VERTEX_POINT('',#472319); #151747=VERTEX_POINT('',#472323); #151748=VERTEX_POINT('',#472325); #151749=VERTEX_POINT('',#472329); #151750=VERTEX_POINT('',#472331); #151751=VERTEX_POINT('',#472335); #151752=VERTEX_POINT('',#472337); #151753=VERTEX_POINT('',#472341); #151754=VERTEX_POINT('',#472343); #151755=VERTEX_POINT('',#472347); #151756=VERTEX_POINT('',#472349); #151757=VERTEX_POINT('',#472353); #151758=VERTEX_POINT('',#472355); #151759=VERTEX_POINT('',#472359); #151760=VERTEX_POINT('',#472361); #151761=VERTEX_POINT('',#472365); #151762=VERTEX_POINT('',#472367); #151763=VERTEX_POINT('',#472371); #151764=VERTEX_POINT('',#472373); #151765=VERTEX_POINT('',#472377); #151766=VERTEX_POINT('',#472379); #151767=VERTEX_POINT('',#472383); #151768=VERTEX_POINT('',#472385); #151769=VERTEX_POINT('',#472389); #151770=VERTEX_POINT('',#472391); #151771=VERTEX_POINT('',#472395); #151772=VERTEX_POINT('',#472397); #151773=VERTEX_POINT('',#472401); #151774=VERTEX_POINT('',#472403); #151775=VERTEX_POINT('',#472407); #151776=VERTEX_POINT('',#472409); #151777=VERTEX_POINT('',#472413); #151778=VERTEX_POINT('',#472415); #151779=VERTEX_POINT('',#472419); #151780=VERTEX_POINT('',#472421); #151781=VERTEX_POINT('',#472425); #151782=VERTEX_POINT('',#472427); #151783=VERTEX_POINT('',#472431); #151784=VERTEX_POINT('',#472433); #151785=VERTEX_POINT('',#472437); #151786=VERTEX_POINT('',#472439); #151787=VERTEX_POINT('',#472443); #151788=VERTEX_POINT('',#472445); #151789=VERTEX_POINT('',#472449); #151790=VERTEX_POINT('',#472451); #151791=VERTEX_POINT('',#472455); #151792=VERTEX_POINT('',#472457); #151793=VERTEX_POINT('',#472461); #151794=VERTEX_POINT('',#472463); #151795=VERTEX_POINT('',#472467); #151796=VERTEX_POINT('',#472469); #151797=VERTEX_POINT('',#472473); #151798=VERTEX_POINT('',#472475); #151799=VERTEX_POINT('',#472479); #151800=VERTEX_POINT('',#472481); #151801=VERTEX_POINT('',#472485); #151802=VERTEX_POINT('',#472487); #151803=VERTEX_POINT('',#472491); #151804=VERTEX_POINT('',#472493); #151805=VERTEX_POINT('',#472497); #151806=VERTEX_POINT('',#472499); #151807=VERTEX_POINT('',#472503); #151808=VERTEX_POINT('',#472505); #151809=VERTEX_POINT('',#472514); #151810=VERTEX_POINT('',#472516); #151811=VERTEX_POINT('',#472520); #151812=VERTEX_POINT('',#472521); #151813=VERTEX_POINT('',#472523); #151814=VERTEX_POINT('',#472525); #151815=VERTEX_POINT('',#472529); #151816=VERTEX_POINT('',#472531); #151817=VERTEX_POINT('',#472535); #151818=VERTEX_POINT('',#472537); #151819=VERTEX_POINT('',#472541); #151820=VERTEX_POINT('',#472543); #151821=VERTEX_POINT('',#472547); #151822=VERTEX_POINT('',#472549); #151823=VERTEX_POINT('',#472553); #151824=VERTEX_POINT('',#472555); #151825=VERTEX_POINT('',#472559); #151826=VERTEX_POINT('',#472561); #151827=VERTEX_POINT('',#472565); #151828=VERTEX_POINT('',#472567); #151829=VERTEX_POINT('',#472571); #151830=VERTEX_POINT('',#472573); #151831=VERTEX_POINT('',#472577); #151832=VERTEX_POINT('',#472579); #151833=VERTEX_POINT('',#472583); #151834=VERTEX_POINT('',#472585); #151835=VERTEX_POINT('',#472589); #151836=VERTEX_POINT('',#472591); #151837=VERTEX_POINT('',#472595); #151838=VERTEX_POINT('',#472597); #151839=VERTEX_POINT('',#472601); #151840=VERTEX_POINT('',#472603); #151841=VERTEX_POINT('',#472607); #151842=VERTEX_POINT('',#472609); #151843=VERTEX_POINT('',#472613); #151844=VERTEX_POINT('',#472615); #151845=VERTEX_POINT('',#472619); #151846=VERTEX_POINT('',#472621); #151847=VERTEX_POINT('',#472625); #151848=VERTEX_POINT('',#472627); #151849=VERTEX_POINT('',#472631); #151850=VERTEX_POINT('',#472633); #151851=VERTEX_POINT('',#472637); #151852=VERTEX_POINT('',#472639); #151853=VERTEX_POINT('',#472648); #151854=VERTEX_POINT('',#472650); #151855=VERTEX_POINT('',#472654); #151856=VERTEX_POINT('',#472656); #151857=VERTEX_POINT('',#472660); #151858=VERTEX_POINT('',#472662); #151859=VERTEX_POINT('',#472666); #151860=VERTEX_POINT('',#472668); #151861=VERTEX_POINT('',#472672); #151862=VERTEX_POINT('',#472674); #151863=VERTEX_POINT('',#472678); #151864=VERTEX_POINT('',#472680); #151865=VERTEX_POINT('',#472684); #151866=VERTEX_POINT('',#472686); #151867=VERTEX_POINT('',#472690); #151868=VERTEX_POINT('',#472692); #151869=VERTEX_POINT('',#472696); #151870=VERTEX_POINT('',#472698); #151871=VERTEX_POINT('',#472702); #151872=VERTEX_POINT('',#472704); #151873=VERTEX_POINT('',#472708); #151874=VERTEX_POINT('',#472710); #151875=VERTEX_POINT('',#472714); #151876=VERTEX_POINT('',#472716); #151877=VERTEX_POINT('',#472720); #151878=VERTEX_POINT('',#472722); #151879=VERTEX_POINT('',#472726); #151880=VERTEX_POINT('',#472728); #151881=VERTEX_POINT('',#472732); #151882=VERTEX_POINT('',#472734); #151883=VERTEX_POINT('',#472738); #151884=VERTEX_POINT('',#472740); #151885=VERTEX_POINT('',#472744); #151886=VERTEX_POINT('',#472745); #151887=VERTEX_POINT('',#472747); #151888=VERTEX_POINT('',#472749); #151889=VERTEX_POINT('',#472753); #151890=VERTEX_POINT('',#472755); #151891=VERTEX_POINT('',#472759); #151892=VERTEX_POINT('',#472761); #151893=VERTEX_POINT('',#472765); #151894=VERTEX_POINT('',#472767); #151895=VERTEX_POINT('',#472771); #151896=VERTEX_POINT('',#472773); #151897=VERTEX_POINT('',#472777); #151898=VERTEX_POINT('',#472779); #151899=VERTEX_POINT('',#472783); #151900=VERTEX_POINT('',#472785); #151901=VERTEX_POINT('',#472789); #151902=VERTEX_POINT('',#472791); #151903=VERTEX_POINT('',#472795); #151904=VERTEX_POINT('',#472797); #151905=VERTEX_POINT('',#472801); #151906=VERTEX_POINT('',#472803); #151907=VERTEX_POINT('',#472807); #151908=VERTEX_POINT('',#472809); #151909=VERTEX_POINT('',#472813); #151910=VERTEX_POINT('',#472815); #151911=VERTEX_POINT('',#472819); #151912=VERTEX_POINT('',#472821); #151913=VERTEX_POINT('',#472825); #151914=VERTEX_POINT('',#472827); #151915=VERTEX_POINT('',#472831); #151916=VERTEX_POINT('',#472833); #151917=VERTEX_POINT('',#472837); #151918=VERTEX_POINT('',#472839); #151919=VERTEX_POINT('',#472843); #151920=VERTEX_POINT('',#472845); #151921=VERTEX_POINT('',#472849); #151922=VERTEX_POINT('',#472851); #151923=VERTEX_POINT('',#472855); #151924=VERTEX_POINT('',#472857); #151925=VERTEX_POINT('',#472861); #151926=VERTEX_POINT('',#472863); #151927=VERTEX_POINT('',#472867); #151928=VERTEX_POINT('',#472869); #151929=VERTEX_POINT('',#472873); #151930=VERTEX_POINT('',#472875); #151931=VERTEX_POINT('',#472879); #151932=VERTEX_POINT('',#472881); #151933=VERTEX_POINT('',#472888); #151934=VERTEX_POINT('',#472889); #151935=VERTEX_POINT('',#472891); #151936=VERTEX_POINT('',#472893); #151937=VERTEX_POINT('',#472897); #151938=VERTEX_POINT('',#472899); #151939=VERTEX_POINT('',#472903); #151940=VERTEX_POINT('',#472905); #151941=VERTEX_POINT('',#472909); #151942=VERTEX_POINT('',#472911); #151943=VERTEX_POINT('',#472915); #151944=VERTEX_POINT('',#472917); #151945=VERTEX_POINT('',#472921); #151946=VERTEX_POINT('',#472923); #151947=VERTEX_POINT('',#472927); #151948=VERTEX_POINT('',#472929); #151949=VERTEX_POINT('',#472933); #151950=VERTEX_POINT('',#472935); #151951=VERTEX_POINT('',#472939); #151952=VERTEX_POINT('',#472941); #151953=VERTEX_POINT('',#472945); #151954=VERTEX_POINT('',#472947); #151955=VERTEX_POINT('',#472951); #151956=VERTEX_POINT('',#472953); #151957=VERTEX_POINT('',#472957); #151958=VERTEX_POINT('',#472959); #151959=VERTEX_POINT('',#472963); #151960=VERTEX_POINT('',#472965); #151961=VERTEX_POINT('',#472969); #151962=VERTEX_POINT('',#472971); #151963=VERTEX_POINT('',#472975); #151964=VERTEX_POINT('',#472977); #151965=VERTEX_POINT('',#472981); #151966=VERTEX_POINT('',#472983); #151967=VERTEX_POINT('',#472987); #151968=VERTEX_POINT('',#472989); #151969=VERTEX_POINT('',#472993); #151970=VERTEX_POINT('',#472995); #151971=VERTEX_POINT('',#472999); #151972=VERTEX_POINT('',#473001); #151973=VERTEX_POINT('',#473005); #151974=VERTEX_POINT('',#473007); #151975=VERTEX_POINT('',#473011); #151976=VERTEX_POINT('',#473013); #151977=VERTEX_POINT('',#473017); #151978=VERTEX_POINT('',#473019); #151979=VERTEX_POINT('',#473023); #151980=VERTEX_POINT('',#473025); #151981=VERTEX_POINT('',#473029); #151982=VERTEX_POINT('',#473031); #151983=VERTEX_POINT('',#473035); #151984=VERTEX_POINT('',#473037); #151985=VERTEX_POINT('',#473041); #151986=VERTEX_POINT('',#473043); #151987=VERTEX_POINT('',#473047); #151988=VERTEX_POINT('',#473049); #151989=VERTEX_POINT('',#473053); #151990=VERTEX_POINT('',#473055); #151991=VERTEX_POINT('',#473059); #151992=VERTEX_POINT('',#473061); #151993=VERTEX_POINT('',#473065); #151994=VERTEX_POINT('',#473067); #151995=VERTEX_POINT('',#473071); #151996=VERTEX_POINT('',#473073); #151997=VERTEX_POINT('',#473077); #151998=VERTEX_POINT('',#473079); #151999=VERTEX_POINT('',#473083); #152000=VERTEX_POINT('',#473085); #152001=VERTEX_POINT('',#473089); #152002=VERTEX_POINT('',#473091); #152003=VERTEX_POINT('',#473095); #152004=VERTEX_POINT('',#473097); #152005=VERTEX_POINT('',#473101); #152006=VERTEX_POINT('',#473103); #152007=VERTEX_POINT('',#473107); #152008=VERTEX_POINT('',#473109); #152009=VERTEX_POINT('',#473113); #152010=VERTEX_POINT('',#473115); #152011=VERTEX_POINT('',#473119); #152012=VERTEX_POINT('',#473121); #152013=VERTEX_POINT('',#473125); #152014=VERTEX_POINT('',#473127); #152015=VERTEX_POINT('',#473131); #152016=VERTEX_POINT('',#473133); #152017=VERTEX_POINT('',#473137); #152018=VERTEX_POINT('',#473139); #152019=VERTEX_POINT('',#473143); #152020=VERTEX_POINT('',#473145); #152021=VERTEX_POINT('',#473149); #152022=VERTEX_POINT('',#473151); #152023=VERTEX_POINT('',#473155); #152024=VERTEX_POINT('',#473157); #152025=VERTEX_POINT('',#473161); #152026=VERTEX_POINT('',#473163); #152027=VERTEX_POINT('',#473167); #152028=VERTEX_POINT('',#473169); #152029=VERTEX_POINT('',#473173); #152030=VERTEX_POINT('',#473175); #152031=VERTEX_POINT('',#473179); #152032=VERTEX_POINT('',#473181); #152033=VERTEX_POINT('',#473185); #152034=VERTEX_POINT('',#473187); #152035=VERTEX_POINT('',#473191); #152036=VERTEX_POINT('',#473193); #152037=VERTEX_POINT('',#473197); #152038=VERTEX_POINT('',#473199); #152039=VERTEX_POINT('',#473203); #152040=VERTEX_POINT('',#473205); #152041=VERTEX_POINT('',#473209); #152042=VERTEX_POINT('',#473211); #152043=VERTEX_POINT('',#473215); #152044=VERTEX_POINT('',#473217); #152045=VERTEX_POINT('',#473221); #152046=VERTEX_POINT('',#473223); #152047=VERTEX_POINT('',#473227); #152048=VERTEX_POINT('',#473229); #152049=VERTEX_POINT('',#473233); #152050=VERTEX_POINT('',#473235); #152051=VERTEX_POINT('',#473239); #152052=VERTEX_POINT('',#473241); #152053=VERTEX_POINT('',#473245); #152054=VERTEX_POINT('',#473247); #152055=VERTEX_POINT('',#473251); #152056=VERTEX_POINT('',#473253); #152057=VERTEX_POINT('',#473257); #152058=VERTEX_POINT('',#473259); #152059=VERTEX_POINT('',#473263); #152060=VERTEX_POINT('',#473265); #152061=VERTEX_POINT('',#473269); #152062=VERTEX_POINT('',#473271); #152063=VERTEX_POINT('',#473275); #152064=VERTEX_POINT('',#473277); #152065=VERTEX_POINT('',#473281); #152066=VERTEX_POINT('',#473283); #152067=VERTEX_POINT('',#473287); #152068=VERTEX_POINT('',#473289); #152069=VERTEX_POINT('',#473293); #152070=VERTEX_POINT('',#473295); #152071=VERTEX_POINT('',#473299); #152072=VERTEX_POINT('',#473301); #152073=VERTEX_POINT('',#473305); #152074=VERTEX_POINT('',#473307); #152075=VERTEX_POINT('',#473311); #152076=VERTEX_POINT('',#473313); #152077=VERTEX_POINT('',#473317); #152078=VERTEX_POINT('',#473319); #152079=VERTEX_POINT('',#473323); #152080=VERTEX_POINT('',#473325); #152081=VERTEX_POINT('',#473329); #152082=VERTEX_POINT('',#473331); #152083=VERTEX_POINT('',#473335); #152084=VERTEX_POINT('',#473337); #152085=VERTEX_POINT('',#473341); #152086=VERTEX_POINT('',#473343); #152087=VERTEX_POINT('',#473347); #152088=VERTEX_POINT('',#473349); #152089=VERTEX_POINT('',#473353); #152090=VERTEX_POINT('',#473355); #152091=VERTEX_POINT('',#473359); #152092=VERTEX_POINT('',#473361); #152093=VERTEX_POINT('',#473365); #152094=VERTEX_POINT('',#473367); #152095=VERTEX_POINT('',#473371); #152096=VERTEX_POINT('',#473373); #152097=VERTEX_POINT('',#473377); #152098=VERTEX_POINT('',#473379); #152099=VERTEX_POINT('',#473383); #152100=VERTEX_POINT('',#473385); #152101=VERTEX_POINT('',#473389); #152102=VERTEX_POINT('',#473391); #152103=VERTEX_POINT('',#473395); #152104=VERTEX_POINT('',#473397); #152105=VERTEX_POINT('',#473401); #152106=VERTEX_POINT('',#473403); #152107=VERTEX_POINT('',#473407); #152108=VERTEX_POINT('',#473409); #152109=VERTEX_POINT('',#473413); #152110=VERTEX_POINT('',#473415); #152111=VERTEX_POINT('',#473419); #152112=VERTEX_POINT('',#473421); #152113=VERTEX_POINT('',#473425); #152114=VERTEX_POINT('',#473427); #152115=VERTEX_POINT('',#473431); #152116=VERTEX_POINT('',#473433); #152117=VERTEX_POINT('',#473437); #152118=VERTEX_POINT('',#473439); #152119=VERTEX_POINT('',#473443); #152120=VERTEX_POINT('',#473445); #152121=VERTEX_POINT('',#473449); #152122=VERTEX_POINT('',#473451); #152123=VERTEX_POINT('',#473455); #152124=VERTEX_POINT('',#473457); #152125=VERTEX_POINT('',#473461); #152126=VERTEX_POINT('',#473463); #152127=VERTEX_POINT('',#473467); #152128=VERTEX_POINT('',#473469); #152129=VERTEX_POINT('',#473473); #152130=VERTEX_POINT('',#473475); #152131=VERTEX_POINT('',#473479); #152132=VERTEX_POINT('',#473481); #152133=VERTEX_POINT('',#473485); #152134=VERTEX_POINT('',#473487); #152135=VERTEX_POINT('',#473491); #152136=VERTEX_POINT('',#473493); #152137=VERTEX_POINT('',#473497); #152138=VERTEX_POINT('',#473499); #152139=VERTEX_POINT('',#473503); #152140=VERTEX_POINT('',#473505); #152141=VERTEX_POINT('',#473509); #152142=VERTEX_POINT('',#473511); #152143=VERTEX_POINT('',#473515); #152144=VERTEX_POINT('',#473517); #152145=VERTEX_POINT('',#473521); #152146=VERTEX_POINT('',#473523); #152147=VERTEX_POINT('',#473527); #152148=VERTEX_POINT('',#473529); #152149=VERTEX_POINT('',#473533); #152150=VERTEX_POINT('',#473535); #152151=VERTEX_POINT('',#473539); #152152=VERTEX_POINT('',#473541); #152153=VERTEX_POINT('',#473545); #152154=VERTEX_POINT('',#473547); #152155=VERTEX_POINT('',#473551); #152156=VERTEX_POINT('',#473553); #152157=VERTEX_POINT('',#473557); #152158=VERTEX_POINT('',#473559); #152159=VERTEX_POINT('',#473563); #152160=VERTEX_POINT('',#473565); #152161=VERTEX_POINT('',#473569); #152162=VERTEX_POINT('',#473571); #152163=VERTEX_POINT('',#473575); #152164=VERTEX_POINT('',#473577); #152165=VERTEX_POINT('',#473581); #152166=VERTEX_POINT('',#473583); #152167=VERTEX_POINT('',#473587); #152168=VERTEX_POINT('',#473589); #152169=VERTEX_POINT('',#473593); #152170=VERTEX_POINT('',#473595); #152171=VERTEX_POINT('',#473599); #152172=VERTEX_POINT('',#473601); #152173=VERTEX_POINT('',#473605); #152174=VERTEX_POINT('',#473607); #152175=VERTEX_POINT('',#473611); #152176=VERTEX_POINT('',#473613); #152177=VERTEX_POINT('',#473617); #152178=VERTEX_POINT('',#473619); #152179=VERTEX_POINT('',#473623); #152180=VERTEX_POINT('',#473625); #152181=VERTEX_POINT('',#473629); #152182=VERTEX_POINT('',#473631); #152183=VERTEX_POINT('',#473635); #152184=VERTEX_POINT('',#473637); #152185=VERTEX_POINT('',#473641); #152186=VERTEX_POINT('',#473643); #152187=VERTEX_POINT('',#473647); #152188=VERTEX_POINT('',#473649); #152189=VERTEX_POINT('',#473653); #152190=VERTEX_POINT('',#473655); #152191=VERTEX_POINT('',#473659); #152192=VERTEX_POINT('',#473661); #152193=VERTEX_POINT('',#473665); #152194=VERTEX_POINT('',#473667); #152195=VERTEX_POINT('',#473671); #152196=VERTEX_POINT('',#473673); #152197=VERTEX_POINT('',#473677); #152198=VERTEX_POINT('',#473679); #152199=VERTEX_POINT('',#473683); #152200=VERTEX_POINT('',#473685); #152201=VERTEX_POINT('',#473689); #152202=VERTEX_POINT('',#473691); #152203=VERTEX_POINT('',#473695); #152204=VERTEX_POINT('',#473697); #152205=VERTEX_POINT('',#473701); #152206=VERTEX_POINT('',#473703); #152207=VERTEX_POINT('',#473707); #152208=VERTEX_POINT('',#473709); #152209=VERTEX_POINT('',#473713); #152210=VERTEX_POINT('',#473715); #152211=VERTEX_POINT('',#473719); #152212=VERTEX_POINT('',#473721); #152213=VERTEX_POINT('',#473725); #152214=VERTEX_POINT('',#473727); #152215=VERTEX_POINT('',#473731); #152216=VERTEX_POINT('',#473733); #152217=VERTEX_POINT('',#473737); #152218=VERTEX_POINT('',#473739); #152219=VERTEX_POINT('',#473743); #152220=VERTEX_POINT('',#473745); #152221=VERTEX_POINT('',#473749); #152222=VERTEX_POINT('',#473751); #152223=VERTEX_POINT('',#473755); #152224=VERTEX_POINT('',#473757); #152225=VERTEX_POINT('',#473761); #152226=VERTEX_POINT('',#473763); #152227=VERTEX_POINT('',#473767); #152228=VERTEX_POINT('',#473769); #152229=VERTEX_POINT('',#473773); #152230=VERTEX_POINT('',#473775); #152231=VERTEX_POINT('',#473779); #152232=VERTEX_POINT('',#473781); #152233=VERTEX_POINT('',#473785); #152234=VERTEX_POINT('',#473787); #152235=VERTEX_POINT('',#473791); #152236=VERTEX_POINT('',#473793); #152237=VERTEX_POINT('',#473797); #152238=VERTEX_POINT('',#473799); #152239=VERTEX_POINT('',#473803); #152240=VERTEX_POINT('',#473805); #152241=VERTEX_POINT('',#473809); #152242=VERTEX_POINT('',#473811); #152243=VERTEX_POINT('',#473815); #152244=VERTEX_POINT('',#473817); #152245=VERTEX_POINT('',#473821); #152246=VERTEX_POINT('',#473823); #152247=VERTEX_POINT('',#473827); #152248=VERTEX_POINT('',#473829); #152249=VERTEX_POINT('',#473833); #152250=VERTEX_POINT('',#473835); #152251=VERTEX_POINT('',#473839); #152252=VERTEX_POINT('',#473841); #152253=VERTEX_POINT('',#473845); #152254=VERTEX_POINT('',#473847); #152255=VERTEX_POINT('',#473851); #152256=VERTEX_POINT('',#473853); #152257=VERTEX_POINT('',#473857); #152258=VERTEX_POINT('',#473859); #152259=VERTEX_POINT('',#473863); #152260=VERTEX_POINT('',#473865); #152261=VERTEX_POINT('',#473869); #152262=VERTEX_POINT('',#473871); #152263=VERTEX_POINT('',#473875); #152264=VERTEX_POINT('',#473877); #152265=VERTEX_POINT('',#473881); #152266=VERTEX_POINT('',#473883); #152267=VERTEX_POINT('',#473887); #152268=VERTEX_POINT('',#473889); #152269=VERTEX_POINT('',#473893); #152270=VERTEX_POINT('',#473895); #152271=VERTEX_POINT('',#473899); #152272=VERTEX_POINT('',#473901); #152273=VERTEX_POINT('',#473905); #152274=VERTEX_POINT('',#473907); #152275=VERTEX_POINT('',#473911); #152276=VERTEX_POINT('',#473913); #152277=VERTEX_POINT('',#473917); #152278=VERTEX_POINT('',#473919); #152279=VERTEX_POINT('',#473923); #152280=VERTEX_POINT('',#473925); #152281=VERTEX_POINT('',#473929); #152282=VERTEX_POINT('',#473931); #152283=VERTEX_POINT('',#473935); #152284=VERTEX_POINT('',#473937); #152285=VERTEX_POINT('',#473941); #152286=VERTEX_POINT('',#473943); #152287=VERTEX_POINT('',#473947); #152288=VERTEX_POINT('',#473949); #152289=VERTEX_POINT('',#473953); #152290=VERTEX_POINT('',#473955); #152291=VERTEX_POINT('',#473959); #152292=VERTEX_POINT('',#473961); #152293=VERTEX_POINT('',#473965); #152294=VERTEX_POINT('',#473967); #152295=VERTEX_POINT('',#473971); #152296=VERTEX_POINT('',#473973); #152297=VERTEX_POINT('',#473977); #152298=VERTEX_POINT('',#473979); #152299=VERTEX_POINT('',#473983); #152300=VERTEX_POINT('',#473985); #152301=VERTEX_POINT('',#473989); #152302=VERTEX_POINT('',#473991); #152303=VERTEX_POINT('',#473995); #152304=VERTEX_POINT('',#473997); #152305=VERTEX_POINT('',#474001); #152306=VERTEX_POINT('',#474003); #152307=VERTEX_POINT('',#474007); #152308=VERTEX_POINT('',#474009); #152309=VERTEX_POINT('',#474013); #152310=VERTEX_POINT('',#474015); #152311=VERTEX_POINT('',#474019); #152312=VERTEX_POINT('',#474021); #152313=VERTEX_POINT('',#474025); #152314=VERTEX_POINT('',#474027); #152315=VERTEX_POINT('',#474031); #152316=VERTEX_POINT('',#474033); #152317=VERTEX_POINT('',#474037); #152318=VERTEX_POINT('',#474039); #152319=VERTEX_POINT('',#474043); #152320=VERTEX_POINT('',#474045); #152321=VERTEX_POINT('',#474049); #152322=VERTEX_POINT('',#474051); #152323=VERTEX_POINT('',#474055); #152324=VERTEX_POINT('',#474057); #152325=VERTEX_POINT('',#474061); #152326=VERTEX_POINT('',#474063); #152327=VERTEX_POINT('',#474067); #152328=VERTEX_POINT('',#474069); #152329=VERTEX_POINT('',#474073); #152330=VERTEX_POINT('',#474075); #152331=VERTEX_POINT('',#474079); #152332=VERTEX_POINT('',#474081); #152333=VERTEX_POINT('',#474085); #152334=VERTEX_POINT('',#474087); #152335=VERTEX_POINT('',#474091); #152336=VERTEX_POINT('',#474093); #152337=VERTEX_POINT('',#474097); #152338=VERTEX_POINT('',#474099); #152339=VERTEX_POINT('',#474103); #152340=VERTEX_POINT('',#474105); #152341=VERTEX_POINT('',#474109); #152342=VERTEX_POINT('',#474111); #152343=VERTEX_POINT('',#474115); #152344=VERTEX_POINT('',#474117); #152345=VERTEX_POINT('',#474121); #152346=VERTEX_POINT('',#474123); #152347=VERTEX_POINT('',#474127); #152348=VERTEX_POINT('',#474129); #152349=VERTEX_POINT('',#474133); #152350=VERTEX_POINT('',#474135); #152351=VERTEX_POINT('',#474139); #152352=VERTEX_POINT('',#474141); #152353=VERTEX_POINT('',#474145); #152354=VERTEX_POINT('',#474147); #152355=VERTEX_POINT('',#474151); #152356=VERTEX_POINT('',#474153); #152357=VERTEX_POINT('',#474157); #152358=VERTEX_POINT('',#474159); #152359=VERTEX_POINT('',#474163); #152360=VERTEX_POINT('',#474165); #152361=VERTEX_POINT('',#474169); #152362=VERTEX_POINT('',#474171); #152363=VERTEX_POINT('',#474175); #152364=VERTEX_POINT('',#474177); #152365=VERTEX_POINT('',#474181); #152366=VERTEX_POINT('',#474183); #152367=VERTEX_POINT('',#474187); #152368=VERTEX_POINT('',#474189); #152369=VERTEX_POINT('',#474193); #152370=VERTEX_POINT('',#474195); #152371=VERTEX_POINT('',#474199); #152372=VERTEX_POINT('',#474201); #152373=VERTEX_POINT('',#474205); #152374=VERTEX_POINT('',#474207); #152375=VERTEX_POINT('',#474211); #152376=VERTEX_POINT('',#474213); #152377=VERTEX_POINT('',#474217); #152378=VERTEX_POINT('',#474219); #152379=VERTEX_POINT('',#474223); #152380=VERTEX_POINT('',#474225); #152381=VERTEX_POINT('',#474229); #152382=VERTEX_POINT('',#474231); #152383=VERTEX_POINT('',#474235); #152384=VERTEX_POINT('',#474237); #152385=VERTEX_POINT('',#474241); #152386=VERTEX_POINT('',#474243); #152387=VERTEX_POINT('',#474247); #152388=VERTEX_POINT('',#474249); #152389=VERTEX_POINT('',#474253); #152390=VERTEX_POINT('',#474255); #152391=VERTEX_POINT('',#474259); #152392=VERTEX_POINT('',#474261); #152393=VERTEX_POINT('',#474265); #152394=VERTEX_POINT('',#474267); #152395=VERTEX_POINT('',#474271); #152396=VERTEX_POINT('',#474273); #152397=VERTEX_POINT('',#474277); #152398=VERTEX_POINT('',#474279); #152399=VERTEX_POINT('',#474283); #152400=VERTEX_POINT('',#474285); #152401=VERTEX_POINT('',#474289); #152402=VERTEX_POINT('',#474291); #152403=VERTEX_POINT('',#474295); #152404=VERTEX_POINT('',#474297); #152405=VERTEX_POINT('',#474301); #152406=VERTEX_POINT('',#474303); #152407=VERTEX_POINT('',#474307); #152408=VERTEX_POINT('',#474309); #152409=VERTEX_POINT('',#474313); #152410=VERTEX_POINT('',#474315); #152411=VERTEX_POINT('',#474319); #152412=VERTEX_POINT('',#474321); #152413=VERTEX_POINT('',#474325); #152414=VERTEX_POINT('',#474327); #152415=VERTEX_POINT('',#474331); #152416=VERTEX_POINT('',#474333); #152417=VERTEX_POINT('',#474337); #152418=VERTEX_POINT('',#474339); #152419=VERTEX_POINT('',#474343); #152420=VERTEX_POINT('',#474345); #152421=VERTEX_POINT('',#474349); #152422=VERTEX_POINT('',#474351); #152423=VERTEX_POINT('',#474355); #152424=VERTEX_POINT('',#474357); #152425=VERTEX_POINT('',#474361); #152426=VERTEX_POINT('',#474363); #152427=VERTEX_POINT('',#474367); #152428=VERTEX_POINT('',#474369); #152429=VERTEX_POINT('',#474373); #152430=VERTEX_POINT('',#474375); #152431=VERTEX_POINT('',#474379); #152432=VERTEX_POINT('',#474381); #152433=VERTEX_POINT('',#474385); #152434=VERTEX_POINT('',#474387); #152435=VERTEX_POINT('',#474391); #152436=VERTEX_POINT('',#474393); #152437=VERTEX_POINT('',#474397); #152438=VERTEX_POINT('',#474399); #152439=VERTEX_POINT('',#474403); #152440=VERTEX_POINT('',#474405); #152441=VERTEX_POINT('',#474409); #152442=VERTEX_POINT('',#474411); #152443=VERTEX_POINT('',#474415); #152444=VERTEX_POINT('',#474417); #152445=VERTEX_POINT('',#474421); #152446=VERTEX_POINT('',#474423); #152447=VERTEX_POINT('',#474427); #152448=VERTEX_POINT('',#474429); #152449=VERTEX_POINT('',#474433); #152450=VERTEX_POINT('',#474435); #152451=VERTEX_POINT('',#474439); #152452=VERTEX_POINT('',#474441); #152453=VERTEX_POINT('',#474445); #152454=VERTEX_POINT('',#474447); #152455=VERTEX_POINT('',#474451); #152456=VERTEX_POINT('',#474453); #152457=VERTEX_POINT('',#474457); #152458=VERTEX_POINT('',#474459); #152459=VERTEX_POINT('',#474463); #152460=VERTEX_POINT('',#474465); #152461=VERTEX_POINT('',#474469); #152462=VERTEX_POINT('',#474471); #152463=VERTEX_POINT('',#474475); #152464=VERTEX_POINT('',#474477); #152465=VERTEX_POINT('',#474481); #152466=VERTEX_POINT('',#474483); #152467=VERTEX_POINT('',#474487); #152468=VERTEX_POINT('',#474489); #152469=VERTEX_POINT('',#474493); #152470=VERTEX_POINT('',#474495); #152471=VERTEX_POINT('',#474499); #152472=VERTEX_POINT('',#474501); #152473=VERTEX_POINT('',#474505); #152474=VERTEX_POINT('',#474507); #152475=VERTEX_POINT('',#474511); #152476=VERTEX_POINT('',#474513); #152477=VERTEX_POINT('',#474517); #152478=VERTEX_POINT('',#474519); #152479=VERTEX_POINT('',#474523); #152480=VERTEX_POINT('',#474525); #152481=VERTEX_POINT('',#474529); #152482=VERTEX_POINT('',#474531); #152483=VERTEX_POINT('',#474535); #152484=VERTEX_POINT('',#474537); #152485=VERTEX_POINT('',#474541); #152486=VERTEX_POINT('',#474543); #152487=VERTEX_POINT('',#474547); #152488=VERTEX_POINT('',#474549); #152489=VERTEX_POINT('',#474553); #152490=VERTEX_POINT('',#474555); #152491=VERTEX_POINT('',#474559); #152492=VERTEX_POINT('',#474561); #152493=VERTEX_POINT('',#474565); #152494=VERTEX_POINT('',#474567); #152495=VERTEX_POINT('',#474571); #152496=VERTEX_POINT('',#474573); #152497=VERTEX_POINT('',#474577); #152498=VERTEX_POINT('',#474579); #152499=VERTEX_POINT('',#474583); #152500=VERTEX_POINT('',#474585); #152501=VERTEX_POINT('',#474589); #152502=VERTEX_POINT('',#474591); #152503=VERTEX_POINT('',#474595); #152504=VERTEX_POINT('',#474597); #152505=VERTEX_POINT('',#474601); #152506=VERTEX_POINT('',#474603); #152507=VERTEX_POINT('',#474607); #152508=VERTEX_POINT('',#474609); #152509=VERTEX_POINT('',#474613); #152510=VERTEX_POINT('',#474615); #152511=VERTEX_POINT('',#474619); #152512=VERTEX_POINT('',#474621); #152513=VERTEX_POINT('',#474625); #152514=VERTEX_POINT('',#474627); #152515=VERTEX_POINT('',#474631); #152516=VERTEX_POINT('',#474633); #152517=VERTEX_POINT('',#474637); #152518=VERTEX_POINT('',#474639); #152519=VERTEX_POINT('',#474643); #152520=VERTEX_POINT('',#474645); #152521=VERTEX_POINT('',#474649); #152522=VERTEX_POINT('',#474651); #152523=VERTEX_POINT('',#474655); #152524=VERTEX_POINT('',#474657); #152525=VERTEX_POINT('',#474661); #152526=VERTEX_POINT('',#474663); #152527=VERTEX_POINT('',#474667); #152528=VERTEX_POINT('',#474669); #152529=VERTEX_POINT('',#474678); #152530=VERTEX_POINT('',#474679); #152531=VERTEX_POINT('',#474681); #152532=VERTEX_POINT('',#474683); #152533=VERTEX_POINT('',#474687); #152534=VERTEX_POINT('',#474689); #152535=VERTEX_POINT('',#474693); #152536=VERTEX_POINT('',#474695); #152537=VERTEX_POINT('',#474699); #152538=VERTEX_POINT('',#474701); #152539=VERTEX_POINT('',#474705); #152540=VERTEX_POINT('',#474707); #152541=VERTEX_POINT('',#474711); #152542=VERTEX_POINT('',#474713); #152543=VERTEX_POINT('',#474717); #152544=VERTEX_POINT('',#474719); #152545=VERTEX_POINT('',#474723); #152546=VERTEX_POINT('',#474725); #152547=VERTEX_POINT('',#474729); #152548=VERTEX_POINT('',#474731); #152549=VERTEX_POINT('',#474735); #152550=VERTEX_POINT('',#474737); #152551=VERTEX_POINT('',#474741); #152552=VERTEX_POINT('',#474743); #152553=VERTEX_POINT('',#474747); #152554=VERTEX_POINT('',#474749); #152555=VERTEX_POINT('',#474753); #152556=VERTEX_POINT('',#474755); #152557=VERTEX_POINT('',#474759); #152558=VERTEX_POINT('',#474761); #152559=VERTEX_POINT('',#474765); #152560=VERTEX_POINT('',#474767); #152561=VERTEX_POINT('',#474771); #152562=VERTEX_POINT('',#474773); #152563=VERTEX_POINT('',#474777); #152564=VERTEX_POINT('',#474779); #152565=VERTEX_POINT('',#474783); #152566=VERTEX_POINT('',#474785); #152567=VERTEX_POINT('',#474789); #152568=VERTEX_POINT('',#474791); #152569=VERTEX_POINT('',#474800); #152570=VERTEX_POINT('',#474801); #152571=VERTEX_POINT('',#474803); #152572=VERTEX_POINT('',#474805); #152573=VERTEX_POINT('',#474809); #152574=VERTEX_POINT('',#474811); #152575=VERTEX_POINT('',#474815); #152576=VERTEX_POINT('',#474817); #152577=VERTEX_POINT('',#474821); #152578=VERTEX_POINT('',#474823); #152579=VERTEX_POINT('',#474827); #152580=VERTEX_POINT('',#474829); #152581=VERTEX_POINT('',#474833); #152582=VERTEX_POINT('',#474835); #152583=VERTEX_POINT('',#474839); #152584=VERTEX_POINT('',#474841); #152585=VERTEX_POINT('',#474845); #152586=VERTEX_POINT('',#474847); #152587=VERTEX_POINT('',#474851); #152588=VERTEX_POINT('',#474853); #152589=VERTEX_POINT('',#474857); #152590=VERTEX_POINT('',#474859); #152591=VERTEX_POINT('',#474863); #152592=VERTEX_POINT('',#474865); #152593=VERTEX_POINT('',#474869); #152594=VERTEX_POINT('',#474871); #152595=VERTEX_POINT('',#474875); #152596=VERTEX_POINT('',#474877); #152597=VERTEX_POINT('',#474881); #152598=VERTEX_POINT('',#474883); #152599=VERTEX_POINT('',#474887); #152600=VERTEX_POINT('',#474889); #152601=VERTEX_POINT('',#474893); #152602=VERTEX_POINT('',#474895); #152603=VERTEX_POINT('',#474899); #152604=VERTEX_POINT('',#474901); #152605=VERTEX_POINT('',#474905); #152606=VERTEX_POINT('',#474907); #152607=VERTEX_POINT('',#474911); #152608=VERTEX_POINT('',#474913); #152609=VERTEX_POINT('',#474922); #152610=VERTEX_POINT('',#474923); #152611=VERTEX_POINT('',#474925); #152612=VERTEX_POINT('',#474927); #152613=VERTEX_POINT('',#474931); #152614=VERTEX_POINT('',#474933); #152615=VERTEX_POINT('',#474937); #152616=VERTEX_POINT('',#474939); #152617=VERTEX_POINT('',#474943); #152618=VERTEX_POINT('',#474945); #152619=VERTEX_POINT('',#474949); #152620=VERTEX_POINT('',#474951); #152621=VERTEX_POINT('',#474955); #152622=VERTEX_POINT('',#474957); #152623=VERTEX_POINT('',#474961); #152624=VERTEX_POINT('',#474963); #152625=VERTEX_POINT('',#474967); #152626=VERTEX_POINT('',#474969); #152627=VERTEX_POINT('',#474973); #152628=VERTEX_POINT('',#474975); #152629=VERTEX_POINT('',#474979); #152630=VERTEX_POINT('',#474981); #152631=VERTEX_POINT('',#474985); #152632=VERTEX_POINT('',#474987); #152633=VERTEX_POINT('',#474991); #152634=VERTEX_POINT('',#474993); #152635=VERTEX_POINT('',#474997); #152636=VERTEX_POINT('',#474999); #152637=VERTEX_POINT('',#475003); #152638=VERTEX_POINT('',#475005); #152639=VERTEX_POINT('',#475009); #152640=VERTEX_POINT('',#475011); #152641=VERTEX_POINT('',#475015); #152642=VERTEX_POINT('',#475017); #152643=VERTEX_POINT('',#475021); #152644=VERTEX_POINT('',#475023); #152645=VERTEX_POINT('',#475027); #152646=VERTEX_POINT('',#475029); #152647=VERTEX_POINT('',#475033); #152648=VERTEX_POINT('',#475035); #152649=VERTEX_POINT('',#475044); #152650=VERTEX_POINT('',#475045); #152651=VERTEX_POINT('',#475047); #152652=VERTEX_POINT('',#475049); #152653=VERTEX_POINT('',#475053); #152654=VERTEX_POINT('',#475055); #152655=VERTEX_POINT('',#475059); #152656=VERTEX_POINT('',#475061); #152657=VERTEX_POINT('',#475065); #152658=VERTEX_POINT('',#475067); #152659=VERTEX_POINT('',#475071); #152660=VERTEX_POINT('',#475073); #152661=VERTEX_POINT('',#475077); #152662=VERTEX_POINT('',#475079); #152663=VERTEX_POINT('',#475083); #152664=VERTEX_POINT('',#475085); #152665=VERTEX_POINT('',#475089); #152666=VERTEX_POINT('',#475091); #152667=VERTEX_POINT('',#475095); #152668=VERTEX_POINT('',#475097); #152669=VERTEX_POINT('',#475101); #152670=VERTEX_POINT('',#475103); #152671=VERTEX_POINT('',#475107); #152672=VERTEX_POINT('',#475109); #152673=VERTEX_POINT('',#475113); #152674=VERTEX_POINT('',#475115); #152675=VERTEX_POINT('',#475119); #152676=VERTEX_POINT('',#475121); #152677=VERTEX_POINT('',#475125); #152678=VERTEX_POINT('',#475127); #152679=VERTEX_POINT('',#475131); #152680=VERTEX_POINT('',#475133); #152681=VERTEX_POINT('',#475137); #152682=VERTEX_POINT('',#475139); #152683=VERTEX_POINT('',#475143); #152684=VERTEX_POINT('',#475145); #152685=VERTEX_POINT('',#475149); #152686=VERTEX_POINT('',#475151); #152687=VERTEX_POINT('',#475155); #152688=VERTEX_POINT('',#475157); #152689=VERTEX_POINT('',#475161); #152690=VERTEX_POINT('',#475163); #152691=VERTEX_POINT('',#475167); #152692=VERTEX_POINT('',#475169); #152693=VERTEX_POINT('',#475173); #152694=VERTEX_POINT('',#475175); #152695=VERTEX_POINT('',#475184); #152696=VERTEX_POINT('',#475185); #152697=VERTEX_POINT('',#475187); #152698=VERTEX_POINT('',#475189); #152699=VERTEX_POINT('',#475193); #152700=VERTEX_POINT('',#475195); #152701=VERTEX_POINT('',#475199); #152702=VERTEX_POINT('',#475201); #152703=VERTEX_POINT('',#475205); #152704=VERTEX_POINT('',#475207); #152705=VERTEX_POINT('',#475211); #152706=VERTEX_POINT('',#475213); #152707=VERTEX_POINT('',#475217); #152708=VERTEX_POINT('',#475219); #152709=VERTEX_POINT('',#475223); #152710=VERTEX_POINT('',#475225); #152711=VERTEX_POINT('',#475229); #152712=VERTEX_POINT('',#475231); #152713=VERTEX_POINT('',#475235); #152714=VERTEX_POINT('',#475237); #152715=VERTEX_POINT('',#475241); #152716=VERTEX_POINT('',#475243); #152717=VERTEX_POINT('',#475247); #152718=VERTEX_POINT('',#475249); #152719=VERTEX_POINT('',#475253); #152720=VERTEX_POINT('',#475255); #152721=VERTEX_POINT('',#475259); #152722=VERTEX_POINT('',#475261); #152723=VERTEX_POINT('',#475265); #152724=VERTEX_POINT('',#475267); #152725=VERTEX_POINT('',#475271); #152726=VERTEX_POINT('',#475273); #152727=VERTEX_POINT('',#475277); #152728=VERTEX_POINT('',#475279); #152729=VERTEX_POINT('',#475283); #152730=VERTEX_POINT('',#475285); #152731=VERTEX_POINT('',#475289); #152732=VERTEX_POINT('',#475291); #152733=VERTEX_POINT('',#475295); #152734=VERTEX_POINT('',#475297); #152735=VERTEX_POINT('',#475306); #152736=VERTEX_POINT('',#475307); #152737=VERTEX_POINT('',#475309); #152738=VERTEX_POINT('',#475311); #152739=VERTEX_POINT('',#475315); #152740=VERTEX_POINT('',#475317); #152741=VERTEX_POINT('',#475321); #152742=VERTEX_POINT('',#475323); #152743=VERTEX_POINT('',#475327); #152744=VERTEX_POINT('',#475329); #152745=VERTEX_POINT('',#475333); #152746=VERTEX_POINT('',#475335); #152747=VERTEX_POINT('',#475339); #152748=VERTEX_POINT('',#475341); #152749=VERTEX_POINT('',#475345); #152750=VERTEX_POINT('',#475347); #152751=VERTEX_POINT('',#475351); #152752=VERTEX_POINT('',#475353); #152753=VERTEX_POINT('',#475357); #152754=VERTEX_POINT('',#475359); #152755=VERTEX_POINT('',#475363); #152756=VERTEX_POINT('',#475365); #152757=VERTEX_POINT('',#475369); #152758=VERTEX_POINT('',#475371); #152759=VERTEX_POINT('',#475375); #152760=VERTEX_POINT('',#475377); #152761=VERTEX_POINT('',#475381); #152762=VERTEX_POINT('',#475383); #152763=VERTEX_POINT('',#475387); #152764=VERTEX_POINT('',#475389); #152765=VERTEX_POINT('',#475393); #152766=VERTEX_POINT('',#475395); #152767=VERTEX_POINT('',#475399); #152768=VERTEX_POINT('',#475401); #152769=VERTEX_POINT('',#475405); #152770=VERTEX_POINT('',#475407); #152771=VERTEX_POINT('',#475411); #152772=VERTEX_POINT('',#475413); #152773=VERTEX_POINT('',#475417); #152774=VERTEX_POINT('',#475419); #152775=VERTEX_POINT('',#475423); #152776=VERTEX_POINT('',#475425); #152777=VERTEX_POINT('',#475434); #152778=VERTEX_POINT('',#475436); #152779=VERTEX_POINT('',#475440); #152780=VERTEX_POINT('',#475441); #152781=VERTEX_POINT('',#475443); #152782=VERTEX_POINT('',#475445); #152783=VERTEX_POINT('',#475449); #152784=VERTEX_POINT('',#475451); #152785=VERTEX_POINT('',#475460); #152786=VERTEX_POINT('',#475462); #152787=VERTEX_POINT('',#475466); #152788=VERTEX_POINT('',#475467); #152789=VERTEX_POINT('',#475469); #152790=VERTEX_POINT('',#475471); #152791=VERTEX_POINT('',#475475); #152792=VERTEX_POINT('',#475477); #152793=VERTEX_POINT('',#475486); #152794=VERTEX_POINT('',#475488); #152795=VERTEX_POINT('',#475492); #152796=VERTEX_POINT('',#475493); #152797=VERTEX_POINT('',#475495); #152798=VERTEX_POINT('',#475497); #152799=VERTEX_POINT('',#475501); #152800=VERTEX_POINT('',#475503); #152801=VERTEX_POINT('',#475512); #152802=VERTEX_POINT('',#475514); #152803=VERTEX_POINT('',#475518); #152804=VERTEX_POINT('',#475519); #152805=VERTEX_POINT('',#475521); #152806=VERTEX_POINT('',#475523); #152807=VERTEX_POINT('',#475527); #152808=VERTEX_POINT('',#475529); #152809=VERTEX_POINT('',#475538); #152810=VERTEX_POINT('',#475540); #152811=VERTEX_POINT('',#475544); #152812=VERTEX_POINT('',#475545); #152813=VERTEX_POINT('',#475547); #152814=VERTEX_POINT('',#475549); #152815=VERTEX_POINT('',#475553); #152816=VERTEX_POINT('',#475555); #152817=VERTEX_POINT('',#475559); #152818=VERTEX_POINT('',#475561); #152819=VERTEX_POINT('',#475570); #152820=VERTEX_POINT('',#475572); #152821=VERTEX_POINT('',#475576); #152822=VERTEX_POINT('',#475577); #152823=VERTEX_POINT('',#475579); #152824=VERTEX_POINT('',#475581); #152825=VERTEX_POINT('',#475585); #152826=VERTEX_POINT('',#475587); #152827=VERTEX_POINT('',#475596); #152828=VERTEX_POINT('',#475598); #152829=VERTEX_POINT('',#475602); #152830=VERTEX_POINT('',#475603); #152831=VERTEX_POINT('',#475605); #152832=VERTEX_POINT('',#475607); #152833=VERTEX_POINT('',#475611); #152834=VERTEX_POINT('',#475613); #152835=VERTEX_POINT('',#475622); #152836=VERTEX_POINT('',#475624); #152837=VERTEX_POINT('',#475628); #152838=VERTEX_POINT('',#475629); #152839=VERTEX_POINT('',#475631); #152840=VERTEX_POINT('',#475633); #152841=VERTEX_POINT('',#475637); #152842=VERTEX_POINT('',#475639); #152843=VERTEX_POINT('',#475648); #152844=VERTEX_POINT('',#475650); #152845=VERTEX_POINT('',#475654); #152846=VERTEX_POINT('',#475655); #152847=VERTEX_POINT('',#475657); #152848=VERTEX_POINT('',#475659); #152849=VERTEX_POINT('',#475663); #152850=VERTEX_POINT('',#475665); #152851=VERTEX_POINT('',#475674); #152852=VERTEX_POINT('',#475675); #152853=VERTEX_POINT('',#475677); #152854=VERTEX_POINT('',#475679); #152855=VERTEX_POINT('',#475683); #152856=VERTEX_POINT('',#475685); #152857=VERTEX_POINT('',#475689); #152858=VERTEX_POINT('',#475691); #152859=VERTEX_POINT('',#475698); #152860=VERTEX_POINT('',#475699); #152861=VERTEX_POINT('',#475701); #152862=VERTEX_POINT('',#475703); #152863=VERTEX_POINT('',#475707); #152864=VERTEX_POINT('',#475709); #152865=VERTEX_POINT('',#475713); #152866=VERTEX_POINT('',#475715); #152867=VERTEX_POINT('',#475719); #152868=VERTEX_POINT('',#475721); #152869=VERTEX_POINT('',#475725); #152870=VERTEX_POINT('',#475727); #152871=VERTEX_POINT('',#475731); #152872=VERTEX_POINT('',#475733); #152873=VERTEX_POINT('',#475737); #152874=VERTEX_POINT('',#475739); #152875=VERTEX_POINT('',#475743); #152876=VERTEX_POINT('',#475745); #152877=VERTEX_POINT('',#475749); #152878=VERTEX_POINT('',#475751); #152879=VERTEX_POINT('',#475755); #152880=VERTEX_POINT('',#475757); #152881=VERTEX_POINT('',#475761); #152882=VERTEX_POINT('',#475763); #152883=VERTEX_POINT('',#475767); #152884=VERTEX_POINT('',#475769); #152885=VERTEX_POINT('',#475773); #152886=VERTEX_POINT('',#475775); #152887=VERTEX_POINT('',#475779); #152888=VERTEX_POINT('',#475781); #152889=VERTEX_POINT('',#475785); #152890=VERTEX_POINT('',#475787); #152891=VERTEX_POINT('',#475791); #152892=VERTEX_POINT('',#475793); #152893=VERTEX_POINT('',#475797); #152894=VERTEX_POINT('',#475799); #152895=VERTEX_POINT('',#475803); #152896=VERTEX_POINT('',#475805); #152897=VERTEX_POINT('',#475809); #152898=VERTEX_POINT('',#475811); #152899=VERTEX_POINT('',#475815); #152900=VERTEX_POINT('',#475817); #152901=VERTEX_POINT('',#475821); #152902=VERTEX_POINT('',#475823); #152903=VERTEX_POINT('',#475827); #152904=VERTEX_POINT('',#475829); #152905=VERTEX_POINT('',#475833); #152906=VERTEX_POINT('',#475835); #152907=VERTEX_POINT('',#475839); #152908=VERTEX_POINT('',#475841); #152909=VERTEX_POINT('',#475845); #152910=VERTEX_POINT('',#475847); #152911=VERTEX_POINT('',#475851); #152912=VERTEX_POINT('',#475853); #152913=VERTEX_POINT('',#475857); #152914=VERTEX_POINT('',#475859); #152915=VERTEX_POINT('',#475863); #152916=VERTEX_POINT('',#475865); #152917=VERTEX_POINT('',#475869); #152918=VERTEX_POINT('',#475871); #152919=VERTEX_POINT('',#475875); #152920=VERTEX_POINT('',#475877); #152921=VERTEX_POINT('',#475881); #152922=VERTEX_POINT('',#475883); #152923=VERTEX_POINT('',#475887); #152924=VERTEX_POINT('',#475889); #152925=VERTEX_POINT('',#475893); #152926=VERTEX_POINT('',#475895); #152927=VERTEX_POINT('',#475899); #152928=VERTEX_POINT('',#475901); #152929=VERTEX_POINT('',#475905); #152930=VERTEX_POINT('',#475907); #152931=VERTEX_POINT('',#475911); #152932=VERTEX_POINT('',#475913); #152933=VERTEX_POINT('',#475917); #152934=VERTEX_POINT('',#475919); #152935=VERTEX_POINT('',#475923); #152936=VERTEX_POINT('',#475925); #152937=VERTEX_POINT('',#475929); #152938=VERTEX_POINT('',#475931); #152939=VERTEX_POINT('',#475935); #152940=VERTEX_POINT('',#475937); #152941=VERTEX_POINT('',#475941); #152942=VERTEX_POINT('',#475943); #152943=VERTEX_POINT('',#475947); #152944=VERTEX_POINT('',#475949); #152945=VERTEX_POINT('',#475953); #152946=VERTEX_POINT('',#475955); #152947=VERTEX_POINT('',#475959); #152948=VERTEX_POINT('',#475961); #152949=VERTEX_POINT('',#475965); #152950=VERTEX_POINT('',#475967); #152951=VERTEX_POINT('',#475971); #152952=VERTEX_POINT('',#475973); #152953=VERTEX_POINT('',#475977); #152954=VERTEX_POINT('',#475979); #152955=VERTEX_POINT('',#475983); #152956=VERTEX_POINT('',#475985); #152957=VERTEX_POINT('',#475989); #152958=VERTEX_POINT('',#475991); #152959=VERTEX_POINT('',#475995); #152960=VERTEX_POINT('',#475997); #152961=VERTEX_POINT('',#476001); #152962=VERTEX_POINT('',#476003); #152963=VERTEX_POINT('',#476007); #152964=VERTEX_POINT('',#476009); #152965=VERTEX_POINT('',#476013); #152966=VERTEX_POINT('',#476015); #152967=VERTEX_POINT('',#476019); #152968=VERTEX_POINT('',#476021); #152969=VERTEX_POINT('',#476025); #152970=VERTEX_POINT('',#476027); #152971=VERTEX_POINT('',#476036); #152972=VERTEX_POINT('',#476037); #152973=VERTEX_POINT('',#476039); #152974=VERTEX_POINT('',#476041); #152975=VERTEX_POINT('',#476045); #152976=VERTEX_POINT('',#476047); #152977=VERTEX_POINT('',#476051); #152978=VERTEX_POINT('',#476053); #152979=VERTEX_POINT('',#476060); #152980=VERTEX_POINT('',#476061); #152981=VERTEX_POINT('',#476063); #152982=VERTEX_POINT('',#476065); #152983=VERTEX_POINT('',#476069); #152984=VERTEX_POINT('',#476071); #152985=VERTEX_POINT('',#476075); #152986=VERTEX_POINT('',#476077); #152987=VERTEX_POINT('',#476081); #152988=VERTEX_POINT('',#476083); #152989=VERTEX_POINT('',#476087); #152990=VERTEX_POINT('',#476089); #152991=VERTEX_POINT('',#476093); #152992=VERTEX_POINT('',#476095); #152993=VERTEX_POINT('',#476099); #152994=VERTEX_POINT('',#476101); #152995=VERTEX_POINT('',#476105); #152996=VERTEX_POINT('',#476107); #152997=VERTEX_POINT('',#476111); #152998=VERTEX_POINT('',#476113); #152999=VERTEX_POINT('',#476117); #153000=VERTEX_POINT('',#476119); #153001=VERTEX_POINT('',#476123); #153002=VERTEX_POINT('',#476125); #153003=VERTEX_POINT('',#476129); #153004=VERTEX_POINT('',#476131); #153005=VERTEX_POINT('',#476135); #153006=VERTEX_POINT('',#476137); #153007=VERTEX_POINT('',#476141); #153008=VERTEX_POINT('',#476143); #153009=VERTEX_POINT('',#476147); #153010=VERTEX_POINT('',#476149); #153011=VERTEX_POINT('',#476153); #153012=VERTEX_POINT('',#476155); #153013=VERTEX_POINT('',#476159); #153014=VERTEX_POINT('',#476161); #153015=VERTEX_POINT('',#476165); #153016=VERTEX_POINT('',#476167); #153017=VERTEX_POINT('',#476171); #153018=VERTEX_POINT('',#476173); #153019=VERTEX_POINT('',#476177); #153020=VERTEX_POINT('',#476179); #153021=VERTEX_POINT('',#476183); #153022=VERTEX_POINT('',#476185); #153023=VERTEX_POINT('',#476189); #153024=VERTEX_POINT('',#476191); #153025=VERTEX_POINT('',#476195); #153026=VERTEX_POINT('',#476197); #153027=VERTEX_POINT('',#476201); #153028=VERTEX_POINT('',#476203); #153029=VERTEX_POINT('',#476207); #153030=VERTEX_POINT('',#476209); #153031=VERTEX_POINT('',#476213); #153032=VERTEX_POINT('',#476215); #153033=VERTEX_POINT('',#476219); #153034=VERTEX_POINT('',#476221); #153035=VERTEX_POINT('',#476225); #153036=VERTEX_POINT('',#476227); #153037=VERTEX_POINT('',#476231); #153038=VERTEX_POINT('',#476233); #153039=VERTEX_POINT('',#476237); #153040=VERTEX_POINT('',#476239); #153041=VERTEX_POINT('',#476243); #153042=VERTEX_POINT('',#476245); #153043=VERTEX_POINT('',#476249); #153044=VERTEX_POINT('',#476251); #153045=VERTEX_POINT('',#476255); #153046=VERTEX_POINT('',#476257); #153047=VERTEX_POINT('',#476261); #153048=VERTEX_POINT('',#476263); #153049=VERTEX_POINT('',#476267); #153050=VERTEX_POINT('',#476269); #153051=VERTEX_POINT('',#476273); #153052=VERTEX_POINT('',#476275); #153053=VERTEX_POINT('',#476279); #153054=VERTEX_POINT('',#476281); #153055=VERTEX_POINT('',#476285); #153056=VERTEX_POINT('',#476287); #153057=VERTEX_POINT('',#476291); #153058=VERTEX_POINT('',#476293); #153059=VERTEX_POINT('',#476297); #153060=VERTEX_POINT('',#476299); #153061=VERTEX_POINT('',#476303); #153062=VERTEX_POINT('',#476305); #153063=VERTEX_POINT('',#476309); #153064=VERTEX_POINT('',#476311); #153065=VERTEX_POINT('',#476315); #153066=VERTEX_POINT('',#476317); #153067=VERTEX_POINT('',#476321); #153068=VERTEX_POINT('',#476323); #153069=VERTEX_POINT('',#476327); #153070=VERTEX_POINT('',#476329); #153071=VERTEX_POINT('',#476333); #153072=VERTEX_POINT('',#476335); #153073=VERTEX_POINT('',#476339); #153074=VERTEX_POINT('',#476341); #153075=VERTEX_POINT('',#476345); #153076=VERTEX_POINT('',#476347); #153077=VERTEX_POINT('',#476351); #153078=VERTEX_POINT('',#476353); #153079=VERTEX_POINT('',#476357); #153080=VERTEX_POINT('',#476359); #153081=VERTEX_POINT('',#476363); #153082=VERTEX_POINT('',#476365); #153083=VERTEX_POINT('',#476369); #153084=VERTEX_POINT('',#476371); #153085=VERTEX_POINT('',#476375); #153086=VERTEX_POINT('',#476377); #153087=VERTEX_POINT('',#476381); #153088=VERTEX_POINT('',#476383); #153089=VERTEX_POINT('',#476387); #153090=VERTEX_POINT('',#476389); #153091=VERTEX_POINT('',#476393); #153092=VERTEX_POINT('',#476395); #153093=VERTEX_POINT('',#476399); #153094=VERTEX_POINT('',#476401); #153095=VERTEX_POINT('',#476405); #153096=VERTEX_POINT('',#476407); #153097=VERTEX_POINT('',#476411); #153098=VERTEX_POINT('',#476413); #153099=VERTEX_POINT('',#476417); #153100=VERTEX_POINT('',#476419); #153101=VERTEX_POINT('',#476423); #153102=VERTEX_POINT('',#476425); #153103=VERTEX_POINT('',#476429); #153104=VERTEX_POINT('',#476431); #153105=VERTEX_POINT('',#476435); #153106=VERTEX_POINT('',#476437); #153107=VERTEX_POINT('',#476441); #153108=VERTEX_POINT('',#476443); #153109=VERTEX_POINT('',#476447); #153110=VERTEX_POINT('',#476449); #153111=VERTEX_POINT('',#476453); #153112=VERTEX_POINT('',#476455); #153113=VERTEX_POINT('',#476459); #153114=VERTEX_POINT('',#476461); #153115=VERTEX_POINT('',#476465); #153116=VERTEX_POINT('',#476467); #153117=VERTEX_POINT('',#476471); #153118=VERTEX_POINT('',#476473); #153119=VERTEX_POINT('',#476477); #153120=VERTEX_POINT('',#476479); #153121=VERTEX_POINT('',#476483); #153122=VERTEX_POINT('',#476485); #153123=VERTEX_POINT('',#476494); #153124=VERTEX_POINT('',#476495); #153125=VERTEX_POINT('',#476497); #153126=VERTEX_POINT('',#476499); #153127=VERTEX_POINT('',#476503); #153128=VERTEX_POINT('',#476505); #153129=VERTEX_POINT('',#476509); #153130=VERTEX_POINT('',#476511); #153131=VERTEX_POINT('',#476518); #153132=VERTEX_POINT('',#476519); #153133=VERTEX_POINT('',#476521); #153134=VERTEX_POINT('',#476523); #153135=VERTEX_POINT('',#476527); #153136=VERTEX_POINT('',#476529); #153137=VERTEX_POINT('',#476533); #153138=VERTEX_POINT('',#476535); #153139=VERTEX_POINT('',#476539); #153140=VERTEX_POINT('',#476541); #153141=VERTEX_POINT('',#476545); #153142=VERTEX_POINT('',#476547); #153143=VERTEX_POINT('',#476551); #153144=VERTEX_POINT('',#476553); #153145=VERTEX_POINT('',#476557); #153146=VERTEX_POINT('',#476559); #153147=VERTEX_POINT('',#476563); #153148=VERTEX_POINT('',#476565); #153149=VERTEX_POINT('',#476569); #153150=VERTEX_POINT('',#476571); #153151=VERTEX_POINT('',#476575); #153152=VERTEX_POINT('',#476577); #153153=VERTEX_POINT('',#476581); #153154=VERTEX_POINT('',#476583); #153155=VERTEX_POINT('',#476587); #153156=VERTEX_POINT('',#476589); #153157=VERTEX_POINT('',#476593); #153158=VERTEX_POINT('',#476595); #153159=VERTEX_POINT('',#476599); #153160=VERTEX_POINT('',#476601); #153161=VERTEX_POINT('',#476605); #153162=VERTEX_POINT('',#476607); #153163=VERTEX_POINT('',#476611); #153164=VERTEX_POINT('',#476613); #153165=VERTEX_POINT('',#476617); #153166=VERTEX_POINT('',#476619); #153167=VERTEX_POINT('',#476623); #153168=VERTEX_POINT('',#476625); #153169=VERTEX_POINT('',#476629); #153170=VERTEX_POINT('',#476631); #153171=VERTEX_POINT('',#476635); #153172=VERTEX_POINT('',#476637); #153173=VERTEX_POINT('',#476641); #153174=VERTEX_POINT('',#476643); #153175=VERTEX_POINT('',#476647); #153176=VERTEX_POINT('',#476649); #153177=VERTEX_POINT('',#476653); #153178=VERTEX_POINT('',#476655); #153179=VERTEX_POINT('',#476659); #153180=VERTEX_POINT('',#476661); #153181=VERTEX_POINT('',#476665); #153182=VERTEX_POINT('',#476667); #153183=VERTEX_POINT('',#476671); #153184=VERTEX_POINT('',#476673); #153185=VERTEX_POINT('',#476677); #153186=VERTEX_POINT('',#476679); #153187=VERTEX_POINT('',#476683); #153188=VERTEX_POINT('',#476685); #153189=VERTEX_POINT('',#476689); #153190=VERTEX_POINT('',#476691); #153191=VERTEX_POINT('',#476695); #153192=VERTEX_POINT('',#476697); #153193=VERTEX_POINT('',#476701); #153194=VERTEX_POINT('',#476703); #153195=VERTEX_POINT('',#476707); #153196=VERTEX_POINT('',#476709); #153197=VERTEX_POINT('',#476713); #153198=VERTEX_POINT('',#476715); #153199=VERTEX_POINT('',#476719); #153200=VERTEX_POINT('',#476721); #153201=VERTEX_POINT('',#476725); #153202=VERTEX_POINT('',#476727); #153203=VERTEX_POINT('',#476731); #153204=VERTEX_POINT('',#476733); #153205=VERTEX_POINT('',#476737); #153206=VERTEX_POINT('',#476739); #153207=VERTEX_POINT('',#476743); #153208=VERTEX_POINT('',#476745); #153209=VERTEX_POINT('',#476749); #153210=VERTEX_POINT('',#476751); #153211=VERTEX_POINT('',#476755); #153212=VERTEX_POINT('',#476757); #153213=VERTEX_POINT('',#476761); #153214=VERTEX_POINT('',#476763); #153215=VERTEX_POINT('',#476767); #153216=VERTEX_POINT('',#476769); #153217=VERTEX_POINT('',#476773); #153218=VERTEX_POINT('',#476775); #153219=VERTEX_POINT('',#476779); #153220=VERTEX_POINT('',#476781); #153221=VERTEX_POINT('',#476785); #153222=VERTEX_POINT('',#476787); #153223=VERTEX_POINT('',#476791); #153224=VERTEX_POINT('',#476793); #153225=VERTEX_POINT('',#476797); #153226=VERTEX_POINT('',#476799); #153227=VERTEX_POINT('',#476803); #153228=VERTEX_POINT('',#476805); #153229=VERTEX_POINT('',#476809); #153230=VERTEX_POINT('',#476811); #153231=VERTEX_POINT('',#476815); #153232=VERTEX_POINT('',#476817); #153233=VERTEX_POINT('',#476821); #153234=VERTEX_POINT('',#476823); #153235=VERTEX_POINT('',#476827); #153236=VERTEX_POINT('',#476829); #153237=VERTEX_POINT('',#476833); #153238=VERTEX_POINT('',#476835); #153239=VERTEX_POINT('',#476839); #153240=VERTEX_POINT('',#476841); #153241=VERTEX_POINT('',#476845); #153242=VERTEX_POINT('',#476847); #153243=VERTEX_POINT('',#476856); #153244=VERTEX_POINT('',#476858); #153245=VERTEX_POINT('',#476862); #153246=VERTEX_POINT('',#476863); #153247=VERTEX_POINT('',#476865); #153248=VERTEX_POINT('',#476867); #153249=VERTEX_POINT('',#476871); #153250=VERTEX_POINT('',#476873); #153251=VERTEX_POINT('',#476877); #153252=VERTEX_POINT('',#476879); #153253=VERTEX_POINT('',#476888); #153254=VERTEX_POINT('',#476889); #153255=VERTEX_POINT('',#476891); #153256=VERTEX_POINT('',#476893); #153257=VERTEX_POINT('',#476897); #153258=VERTEX_POINT('',#476899); #153259=VERTEX_POINT('',#476903); #153260=VERTEX_POINT('',#476905); #153261=VERTEX_POINT('',#476912); #153262=VERTEX_POINT('',#476913); #153263=VERTEX_POINT('',#476915); #153264=VERTEX_POINT('',#476917); #153265=VERTEX_POINT('',#476921); #153266=VERTEX_POINT('',#476923); #153267=VERTEX_POINT('',#476927); #153268=VERTEX_POINT('',#476929); #153269=VERTEX_POINT('',#476933); #153270=VERTEX_POINT('',#476935); #153271=VERTEX_POINT('',#476939); #153272=VERTEX_POINT('',#476941); #153273=VERTEX_POINT('',#476945); #153274=VERTEX_POINT('',#476947); #153275=VERTEX_POINT('',#476951); #153276=VERTEX_POINT('',#476953); #153277=VERTEX_POINT('',#476957); #153278=VERTEX_POINT('',#476959); #153279=VERTEX_POINT('',#476963); #153280=VERTEX_POINT('',#476965); #153281=VERTEX_POINT('',#476969); #153282=VERTEX_POINT('',#476971); #153283=VERTEX_POINT('',#476975); #153284=VERTEX_POINT('',#476977); #153285=VERTEX_POINT('',#476981); #153286=VERTEX_POINT('',#476983); #153287=VERTEX_POINT('',#476987); #153288=VERTEX_POINT('',#476989); #153289=VERTEX_POINT('',#476993); #153290=VERTEX_POINT('',#476995); #153291=VERTEX_POINT('',#476999); #153292=VERTEX_POINT('',#477001); #153293=VERTEX_POINT('',#477005); #153294=VERTEX_POINT('',#477007); #153295=VERTEX_POINT('',#477011); #153296=VERTEX_POINT('',#477013); #153297=VERTEX_POINT('',#477017); #153298=VERTEX_POINT('',#477019); #153299=VERTEX_POINT('',#477023); #153300=VERTEX_POINT('',#477025); #153301=VERTEX_POINT('',#477029); #153302=VERTEX_POINT('',#477031); #153303=VERTEX_POINT('',#477035); #153304=VERTEX_POINT('',#477037); #153305=VERTEX_POINT('',#477041); #153306=VERTEX_POINT('',#477043); #153307=VERTEX_POINT('',#477047); #153308=VERTEX_POINT('',#477049); #153309=VERTEX_POINT('',#477053); #153310=VERTEX_POINT('',#477055); #153311=VERTEX_POINT('',#477059); #153312=VERTEX_POINT('',#477061); #153313=VERTEX_POINT('',#477065); #153314=VERTEX_POINT('',#477067); #153315=VERTEX_POINT('',#477071); #153316=VERTEX_POINT('',#477073); #153317=VERTEX_POINT('',#477077); #153318=VERTEX_POINT('',#477079); #153319=VERTEX_POINT('',#477083); #153320=VERTEX_POINT('',#477085); #153321=VERTEX_POINT('',#477089); #153322=VERTEX_POINT('',#477091); #153323=VERTEX_POINT('',#477095); #153324=VERTEX_POINT('',#477097); #153325=VERTEX_POINT('',#477101); #153326=VERTEX_POINT('',#477103); #153327=VERTEX_POINT('',#477107); #153328=VERTEX_POINT('',#477109); #153329=VERTEX_POINT('',#477113); #153330=VERTEX_POINT('',#477115); #153331=VERTEX_POINT('',#477119); #153332=VERTEX_POINT('',#477121); #153333=VERTEX_POINT('',#477125); #153334=VERTEX_POINT('',#477127); #153335=VERTEX_POINT('',#477131); #153336=VERTEX_POINT('',#477133); #153337=VERTEX_POINT('',#477137); #153338=VERTEX_POINT('',#477139); #153339=VERTEX_POINT('',#477143); #153340=VERTEX_POINT('',#477145); #153341=VERTEX_POINT('',#477149); #153342=VERTEX_POINT('',#477151); #153343=VERTEX_POINT('',#477155); #153344=VERTEX_POINT('',#477157); #153345=VERTEX_POINT('',#477161); #153346=VERTEX_POINT('',#477163); #153347=VERTEX_POINT('',#477167); #153348=VERTEX_POINT('',#477169); #153349=VERTEX_POINT('',#477173); #153350=VERTEX_POINT('',#477175); #153351=VERTEX_POINT('',#477179); #153352=VERTEX_POINT('',#477181); #153353=VERTEX_POINT('',#477185); #153354=VERTEX_POINT('',#477187); #153355=VERTEX_POINT('',#477191); #153356=VERTEX_POINT('',#477193); #153357=VERTEX_POINT('',#477197); #153358=VERTEX_POINT('',#477199); #153359=VERTEX_POINT('',#477203); #153360=VERTEX_POINT('',#477205); #153361=VERTEX_POINT('',#477209); #153362=VERTEX_POINT('',#477211); #153363=VERTEX_POINT('',#477215); #153364=VERTEX_POINT('',#477217); #153365=VERTEX_POINT('',#477221); #153366=VERTEX_POINT('',#477223); #153367=VERTEX_POINT('',#477227); #153368=VERTEX_POINT('',#477229); #153369=VERTEX_POINT('',#477233); #153370=VERTEX_POINT('',#477235); #153371=VERTEX_POINT('',#477239); #153372=VERTEX_POINT('',#477241); #153373=VERTEX_POINT('',#477250); #153374=VERTEX_POINT('',#477251); #153375=VERTEX_POINT('',#477253); #153376=VERTEX_POINT('',#477255); #153377=VERTEX_POINT('',#477259); #153378=VERTEX_POINT('',#477261); #153379=VERTEX_POINT('',#477265); #153380=VERTEX_POINT('',#477267); #153381=VERTEX_POINT('',#477274); #153382=VERTEX_POINT('',#477275); #153383=VERTEX_POINT('',#477277); #153384=VERTEX_POINT('',#477279); #153385=VERTEX_POINT('',#477283); #153386=VERTEX_POINT('',#477285); #153387=VERTEX_POINT('',#477289); #153388=VERTEX_POINT('',#477291); #153389=VERTEX_POINT('',#477295); #153390=VERTEX_POINT('',#477297); #153391=VERTEX_POINT('',#477301); #153392=VERTEX_POINT('',#477303); #153393=VERTEX_POINT('',#477307); #153394=VERTEX_POINT('',#477309); #153395=VERTEX_POINT('',#477313); #153396=VERTEX_POINT('',#477315); #153397=VERTEX_POINT('',#477319); #153398=VERTEX_POINT('',#477321); #153399=VERTEX_POINT('',#477325); #153400=VERTEX_POINT('',#477327); #153401=VERTEX_POINT('',#477331); #153402=VERTEX_POINT('',#477333); #153403=VERTEX_POINT('',#477337); #153404=VERTEX_POINT('',#477339); #153405=VERTEX_POINT('',#477343); #153406=VERTEX_POINT('',#477345); #153407=VERTEX_POINT('',#477349); #153408=VERTEX_POINT('',#477351); #153409=VERTEX_POINT('',#477355); #153410=VERTEX_POINT('',#477357); #153411=VERTEX_POINT('',#477361); #153412=VERTEX_POINT('',#477363); #153413=VERTEX_POINT('',#477367); #153414=VERTEX_POINT('',#477369); #153415=VERTEX_POINT('',#477373); #153416=VERTEX_POINT('',#477375); #153417=VERTEX_POINT('',#477379); #153418=VERTEX_POINT('',#477381); #153419=VERTEX_POINT('',#477385); #153420=VERTEX_POINT('',#477387); #153421=VERTEX_POINT('',#477391); #153422=VERTEX_POINT('',#477393); #153423=VERTEX_POINT('',#477397); #153424=VERTEX_POINT('',#477399); #153425=VERTEX_POINT('',#477403); #153426=VERTEX_POINT('',#477405); #153427=VERTEX_POINT('',#477409); #153428=VERTEX_POINT('',#477411); #153429=VERTEX_POINT('',#477415); #153430=VERTEX_POINT('',#477417); #153431=VERTEX_POINT('',#477421); #153432=VERTEX_POINT('',#477423); #153433=VERTEX_POINT('',#477427); #153434=VERTEX_POINT('',#477429); #153435=VERTEX_POINT('',#477433); #153436=VERTEX_POINT('',#477435); #153437=VERTEX_POINT('',#477439); #153438=VERTEX_POINT('',#477441); #153439=VERTEX_POINT('',#477445); #153440=VERTEX_POINT('',#477447); #153441=VERTEX_POINT('',#477451); #153442=VERTEX_POINT('',#477453); #153443=VERTEX_POINT('',#477457); #153444=VERTEX_POINT('',#477459); #153445=VERTEX_POINT('',#477463); #153446=VERTEX_POINT('',#477465); #153447=VERTEX_POINT('',#477469); #153448=VERTEX_POINT('',#477471); #153449=VERTEX_POINT('',#477475); #153450=VERTEX_POINT('',#477477); #153451=VERTEX_POINT('',#477481); #153452=VERTEX_POINT('',#477483); #153453=VERTEX_POINT('',#477487); #153454=VERTEX_POINT('',#477489); #153455=VERTEX_POINT('',#477493); #153456=VERTEX_POINT('',#477495); #153457=VERTEX_POINT('',#477499); #153458=VERTEX_POINT('',#477501); #153459=VERTEX_POINT('',#477505); #153460=VERTEX_POINT('',#477507); #153461=VERTEX_POINT('',#477511); #153462=VERTEX_POINT('',#477513); #153463=VERTEX_POINT('',#477517); #153464=VERTEX_POINT('',#477519); #153465=VERTEX_POINT('',#477523); #153466=VERTEX_POINT('',#477525); #153467=VERTEX_POINT('',#477529); #153468=VERTEX_POINT('',#477531); #153469=VERTEX_POINT('',#477535); #153470=VERTEX_POINT('',#477537); #153471=VERTEX_POINT('',#477541); #153472=VERTEX_POINT('',#477543); #153473=VERTEX_POINT('',#477547); #153474=VERTEX_POINT('',#477549); #153475=VERTEX_POINT('',#477553); #153476=VERTEX_POINT('',#477555); #153477=VERTEX_POINT('',#477559); #153478=VERTEX_POINT('',#477561); #153479=VERTEX_POINT('',#477565); #153480=VERTEX_POINT('',#477567); #153481=VERTEX_POINT('',#477571); #153482=VERTEX_POINT('',#477573); #153483=VERTEX_POINT('',#477577); #153484=VERTEX_POINT('',#477579); #153485=VERTEX_POINT('',#477583); #153486=VERTEX_POINT('',#477585); #153487=VERTEX_POINT('',#477589); #153488=VERTEX_POINT('',#477591); #153489=VERTEX_POINT('',#477595); #153490=VERTEX_POINT('',#477597); #153491=VERTEX_POINT('',#477601); #153492=VERTEX_POINT('',#477603); #153493=VERTEX_POINT('',#477607); #153494=VERTEX_POINT('',#477609); #153495=VERTEX_POINT('',#477613); #153496=VERTEX_POINT('',#477615); #153497=VERTEX_POINT('',#477619); #153498=VERTEX_POINT('',#477621); #153499=VERTEX_POINT('',#477625); #153500=VERTEX_POINT('',#477627); #153501=VERTEX_POINT('',#477631); #153502=VERTEX_POINT('',#477633); #153503=VERTEX_POINT('',#477637); #153504=VERTEX_POINT('',#477639); #153505=VERTEX_POINT('',#477643); #153506=VERTEX_POINT('',#477645); #153507=VERTEX_POINT('',#477649); #153508=VERTEX_POINT('',#477651); #153509=VERTEX_POINT('',#477655); #153510=VERTEX_POINT('',#477657); #153511=VERTEX_POINT('',#477661); #153512=VERTEX_POINT('',#477663); #153513=VERTEX_POINT('',#477667); #153514=VERTEX_POINT('',#477669); #153515=VERTEX_POINT('',#477673); #153516=VERTEX_POINT('',#477675); #153517=VERTEX_POINT('',#477679); #153518=VERTEX_POINT('',#477681); #153519=VERTEX_POINT('',#477685); #153520=VERTEX_POINT('',#477687); #153521=VERTEX_POINT('',#477691); #153522=VERTEX_POINT('',#477693); #153523=VERTEX_POINT('',#477697); #153524=VERTEX_POINT('',#477699); #153525=VERTEX_POINT('',#477708); #153526=VERTEX_POINT('',#477710); #153527=VERTEX_POINT('',#477714); #153528=VERTEX_POINT('',#477715); #153529=VERTEX_POINT('',#477717); #153530=VERTEX_POINT('',#477719); #153531=VERTEX_POINT('',#477723); #153532=VERTEX_POINT('',#477725); #153533=VERTEX_POINT('',#477734); #153534=VERTEX_POINT('',#477736); #153535=VERTEX_POINT('',#477740); #153536=VERTEX_POINT('',#477741); #153537=VERTEX_POINT('',#477743); #153538=VERTEX_POINT('',#477745); #153539=VERTEX_POINT('',#477749); #153540=VERTEX_POINT('',#477751); #153541=VERTEX_POINT('',#477760); #153542=VERTEX_POINT('',#477761); #153543=VERTEX_POINT('',#477763); #153544=VERTEX_POINT('',#477765); #153545=VERTEX_POINT('',#477769); #153546=VERTEX_POINT('',#477771); #153547=VERTEX_POINT('',#477775); #153548=VERTEX_POINT('',#477777); #153549=VERTEX_POINT('',#477784); #153550=VERTEX_POINT('',#477785); #153551=VERTEX_POINT('',#477787); #153552=VERTEX_POINT('',#477789); #153553=VERTEX_POINT('',#477793); #153554=VERTEX_POINT('',#477795); #153555=VERTEX_POINT('',#477799); #153556=VERTEX_POINT('',#477801); #153557=VERTEX_POINT('',#477805); #153558=VERTEX_POINT('',#477807); #153559=VERTEX_POINT('',#477811); #153560=VERTEX_POINT('',#477813); #153561=VERTEX_POINT('',#477817); #153562=VERTEX_POINT('',#477819); #153563=VERTEX_POINT('',#477823); #153564=VERTEX_POINT('',#477825); #153565=VERTEX_POINT('',#477829); #153566=VERTEX_POINT('',#477831); #153567=VERTEX_POINT('',#477835); #153568=VERTEX_POINT('',#477837); #153569=VERTEX_POINT('',#477841); #153570=VERTEX_POINT('',#477843); #153571=VERTEX_POINT('',#477847); #153572=VERTEX_POINT('',#477849); #153573=VERTEX_POINT('',#477853); #153574=VERTEX_POINT('',#477855); #153575=VERTEX_POINT('',#477859); #153576=VERTEX_POINT('',#477861); #153577=VERTEX_POINT('',#477865); #153578=VERTEX_POINT('',#477867); #153579=VERTEX_POINT('',#477871); #153580=VERTEX_POINT('',#477873); #153581=VERTEX_POINT('',#477877); #153582=VERTEX_POINT('',#477879); #153583=VERTEX_POINT('',#477883); #153584=VERTEX_POINT('',#477885); #153585=VERTEX_POINT('',#477889); #153586=VERTEX_POINT('',#477891); #153587=VERTEX_POINT('',#477895); #153588=VERTEX_POINT('',#477897); #153589=VERTEX_POINT('',#477901); #153590=VERTEX_POINT('',#477903); #153591=VERTEX_POINT('',#477907); #153592=VERTEX_POINT('',#477909); #153593=VERTEX_POINT('',#477913); #153594=VERTEX_POINT('',#477915); #153595=VERTEX_POINT('',#477919); #153596=VERTEX_POINT('',#477921); #153597=VERTEX_POINT('',#477925); #153598=VERTEX_POINT('',#477927); #153599=VERTEX_POINT('',#477931); #153600=VERTEX_POINT('',#477933); #153601=VERTEX_POINT('',#477937); #153602=VERTEX_POINT('',#477939); #153603=VERTEX_POINT('',#477943); #153604=VERTEX_POINT('',#477945); #153605=VERTEX_POINT('',#477949); #153606=VERTEX_POINT('',#477951); #153607=VERTEX_POINT('',#477955); #153608=VERTEX_POINT('',#477957); #153609=VERTEX_POINT('',#477961); #153610=VERTEX_POINT('',#477963); #153611=VERTEX_POINT('',#477967); #153612=VERTEX_POINT('',#477969); #153613=VERTEX_POINT('',#477973); #153614=VERTEX_POINT('',#477975); #153615=VERTEX_POINT('',#477979); #153616=VERTEX_POINT('',#477981); #153617=VERTEX_POINT('',#477985); #153618=VERTEX_POINT('',#477987); #153619=VERTEX_POINT('',#477991); #153620=VERTEX_POINT('',#477993); #153621=VERTEX_POINT('',#477997); #153622=VERTEX_POINT('',#477999); #153623=VERTEX_POINT('',#478003); #153624=VERTEX_POINT('',#478005); #153625=VERTEX_POINT('',#478009); #153626=VERTEX_POINT('',#478011); #153627=VERTEX_POINT('',#478015); #153628=VERTEX_POINT('',#478017); #153629=VERTEX_POINT('',#478021); #153630=VERTEX_POINT('',#478023); #153631=VERTEX_POINT('',#478027); #153632=VERTEX_POINT('',#478029); #153633=VERTEX_POINT('',#478033); #153634=VERTEX_POINT('',#478035); #153635=VERTEX_POINT('',#478039); #153636=VERTEX_POINT('',#478041); #153637=VERTEX_POINT('',#478045); #153638=VERTEX_POINT('',#478047); #153639=VERTEX_POINT('',#478051); #153640=VERTEX_POINT('',#478053); #153641=VERTEX_POINT('',#478057); #153642=VERTEX_POINT('',#478059); #153643=VERTEX_POINT('',#478063); #153644=VERTEX_POINT('',#478065); #153645=VERTEX_POINT('',#478069); #153646=VERTEX_POINT('',#478071); #153647=VERTEX_POINT('',#478075); #153648=VERTEX_POINT('',#478077); #153649=VERTEX_POINT('',#478081); #153650=VERTEX_POINT('',#478083); #153651=VERTEX_POINT('',#478087); #153652=VERTEX_POINT('',#478089); #153653=VERTEX_POINT('',#478093); #153654=VERTEX_POINT('',#478095); #153655=VERTEX_POINT('',#478099); #153656=VERTEX_POINT('',#478101); #153657=VERTEX_POINT('',#478105); #153658=VERTEX_POINT('',#478107); #153659=VERTEX_POINT('',#478111); #153660=VERTEX_POINT('',#478113); #153661=VERTEX_POINT('',#478122); #153662=VERTEX_POINT('',#478124); #153663=VERTEX_POINT('',#478128); #153664=VERTEX_POINT('',#478129); #153665=VERTEX_POINT('',#478131); #153666=VERTEX_POINT('',#478133); #153667=VERTEX_POINT('',#478137); #153668=VERTEX_POINT('',#478139); #153669=VERTEX_POINT('',#478148); #153670=VERTEX_POINT('',#478150); #153671=VERTEX_POINT('',#478154); #153672=VERTEX_POINT('',#478155); #153673=VERTEX_POINT('',#478157); #153674=VERTEX_POINT('',#478159); #153675=VERTEX_POINT('',#478163); #153676=VERTEX_POINT('',#478165); #153677=VERTEX_POINT('',#478174); #153678=VERTEX_POINT('',#478176); #153679=VERTEX_POINT('',#478180); #153680=VERTEX_POINT('',#478181); #153681=VERTEX_POINT('',#478183); #153682=VERTEX_POINT('',#478185); #153683=VERTEX_POINT('',#478189); #153684=VERTEX_POINT('',#478191); #153685=VERTEX_POINT('',#478200); #153686=VERTEX_POINT('',#478202); #153687=VERTEX_POINT('',#478206); #153688=VERTEX_POINT('',#478207); #153689=VERTEX_POINT('',#478209); #153690=VERTEX_POINT('',#478211); #153691=VERTEX_POINT('',#478215); #153692=VERTEX_POINT('',#478217); #153693=VERTEX_POINT('',#478221); #153694=VERTEX_POINT('',#478223); #153695=VERTEX_POINT('',#478232); #153696=VERTEX_POINT('',#478234); #153697=VERTEX_POINT('',#478238); #153698=VERTEX_POINT('',#478239); #153699=VERTEX_POINT('',#478241); #153700=VERTEX_POINT('',#478243); #153701=VERTEX_POINT('',#478247); #153702=VERTEX_POINT('',#478249); #153703=VERTEX_POINT('',#478258); #153704=VERTEX_POINT('',#478259); #153705=VERTEX_POINT('',#478261); #153706=VERTEX_POINT('',#478263); #153707=VERTEX_POINT('',#478267); #153708=VERTEX_POINT('',#478269); #153709=VERTEX_POINT('',#478273); #153710=VERTEX_POINT('',#478275); #153711=VERTEX_POINT('',#478284); #153712=VERTEX_POINT('',#478285); #153713=VERTEX_POINT('',#478287); #153714=VERTEX_POINT('',#478289); #153715=VERTEX_POINT('',#478293); #153716=VERTEX_POINT('',#478295); #153717=VERTEX_POINT('',#478299); #153718=VERTEX_POINT('',#478301); #153719=VERTEX_POINT('',#478310); #153720=VERTEX_POINT('',#478311); #153721=VERTEX_POINT('',#478313); #153722=VERTEX_POINT('',#478315); #153723=VERTEX_POINT('',#478319); #153724=VERTEX_POINT('',#478321); #153725=VERTEX_POINT('',#478325); #153726=VERTEX_POINT('',#478327); #153727=VERTEX_POINT('',#478336); #153728=VERTEX_POINT('',#478337); #153729=VERTEX_POINT('',#478339); #153730=VERTEX_POINT('',#478341); #153731=VERTEX_POINT('',#478345); #153732=VERTEX_POINT('',#478347); #153733=VERTEX_POINT('',#478351); #153734=VERTEX_POINT('',#478353); #153735=VERTEX_POINT('',#478362); #153736=VERTEX_POINT('',#478363); #153737=VERTEX_POINT('',#478365); #153738=VERTEX_POINT('',#478367); #153739=VERTEX_POINT('',#478371); #153740=VERTEX_POINT('',#478373); #153741=VERTEX_POINT('',#478377); #153742=VERTEX_POINT('',#478379); #153743=VERTEX_POINT('',#478388); #153744=VERTEX_POINT('',#478389); #153745=VERTEX_POINT('',#478391); #153746=VERTEX_POINT('',#478393); #153747=VERTEX_POINT('',#478397); #153748=VERTEX_POINT('',#478399); #153749=VERTEX_POINT('',#478403); #153750=VERTEX_POINT('',#478405); #153751=VERTEX_POINT('',#478414); #153752=VERTEX_POINT('',#478415); #153753=VERTEX_POINT('',#478417); #153754=VERTEX_POINT('',#478419); #153755=VERTEX_POINT('',#478423); #153756=VERTEX_POINT('',#478425); #153757=VERTEX_POINT('',#478429); #153758=VERTEX_POINT('',#478431); #153759=VERTEX_POINT('',#478440); #153760=VERTEX_POINT('',#478441); #153761=VERTEX_POINT('',#478443); #153762=VERTEX_POINT('',#478445); #153763=VERTEX_POINT('',#478449); #153764=VERTEX_POINT('',#478451); #153765=VERTEX_POINT('',#478455); #153766=VERTEX_POINT('',#478457); #153767=VERTEX_POINT('',#478466); #153768=VERTEX_POINT('',#478467); #153769=VERTEX_POINT('',#478469); #153770=VERTEX_POINT('',#478471); #153771=VERTEX_POINT('',#478475); #153772=VERTEX_POINT('',#478477); #153773=VERTEX_POINT('',#478481); #153774=VERTEX_POINT('',#478483); #153775=VERTEX_POINT('',#478492); #153776=VERTEX_POINT('',#478493); #153777=VERTEX_POINT('',#478495); #153778=VERTEX_POINT('',#478497); #153779=VERTEX_POINT('',#478501); #153780=VERTEX_POINT('',#478503); #153781=VERTEX_POINT('',#478507); #153782=VERTEX_POINT('',#478509); #153783=VERTEX_POINT('',#478518); #153784=VERTEX_POINT('',#478519); #153785=VERTEX_POINT('',#478521); #153786=VERTEX_POINT('',#478523); #153787=VERTEX_POINT('',#478527); #153788=VERTEX_POINT('',#478529); #153789=VERTEX_POINT('',#478533); #153790=VERTEX_POINT('',#478535); #153791=VERTEX_POINT('',#478544); #153792=VERTEX_POINT('',#478545); #153793=VERTEX_POINT('',#478547); #153794=VERTEX_POINT('',#478549); #153795=VERTEX_POINT('',#478553); #153796=VERTEX_POINT('',#478555); #153797=VERTEX_POINT('',#478559); #153798=VERTEX_POINT('',#478561); #153799=VERTEX_POINT('',#478570); #153800=VERTEX_POINT('',#478571); #153801=VERTEX_POINT('',#478573); #153802=VERTEX_POINT('',#478575); #153803=VERTEX_POINT('',#478579); #153804=VERTEX_POINT('',#478581); #153805=VERTEX_POINT('',#478585); #153806=VERTEX_POINT('',#478587); #153807=VERTEX_POINT('',#478596); #153808=VERTEX_POINT('',#478598); #153809=VERTEX_POINT('',#478602); #153810=VERTEX_POINT('',#478604); #153811=VERTEX_POINT('',#478608); #153812=VERTEX_POINT('',#478610); #153813=VERTEX_POINT('',#478614); #153814=VERTEX_POINT('',#478616); #153815=VERTEX_POINT('',#478620); #153816=VERTEX_POINT('',#478622); #153817=VERTEX_POINT('',#478626); #153818=VERTEX_POINT('',#478628); #153819=VERTEX_POINT('',#478632); #153820=VERTEX_POINT('',#478633); #153821=VERTEX_POINT('',#478635); #153822=VERTEX_POINT('',#478637); #153823=VERTEX_POINT('',#478641); #153824=VERTEX_POINT('',#478643); #153825=VERTEX_POINT('',#478647); #153826=VERTEX_POINT('',#478649); #153827=VERTEX_POINT('',#478658); #153828=VERTEX_POINT('',#478659); #153829=VERTEX_POINT('',#478661); #153830=VERTEX_POINT('',#478663); #153831=VERTEX_POINT('',#478667); #153832=VERTEX_POINT('',#478669); #153833=VERTEX_POINT('',#478673); #153834=VERTEX_POINT('',#478675); #153835=VERTEX_POINT('',#478684); #153836=VERTEX_POINT('',#478685); #153837=VERTEX_POINT('',#478687); #153838=VERTEX_POINT('',#478689); #153839=VERTEX_POINT('',#478693); #153840=VERTEX_POINT('',#478695); #153841=VERTEX_POINT('',#478699); #153842=VERTEX_POINT('',#478701); #153843=VERTEX_POINT('',#478710); #153844=VERTEX_POINT('',#478711); #153845=VERTEX_POINT('',#478713); #153846=VERTEX_POINT('',#478715); #153847=VERTEX_POINT('',#478719); #153848=VERTEX_POINT('',#478721); #153849=VERTEX_POINT('',#478725); #153850=VERTEX_POINT('',#478727); #153851=VERTEX_POINT('',#478736); #153852=VERTEX_POINT('',#478737); #153853=VERTEX_POINT('',#478739); #153854=VERTEX_POINT('',#478741); #153855=VERTEX_POINT('',#478745); #153856=VERTEX_POINT('',#478747); #153857=VERTEX_POINT('',#478751); #153858=VERTEX_POINT('',#478753); #153859=VERTEX_POINT('',#478762); #153860=VERTEX_POINT('',#478763); #153861=VERTEX_POINT('',#478765); #153862=VERTEX_POINT('',#478767); #153863=VERTEX_POINT('',#478771); #153864=VERTEX_POINT('',#478773); #153865=VERTEX_POINT('',#478777); #153866=VERTEX_POINT('',#478779); #153867=VERTEX_POINT('',#478788); #153868=VERTEX_POINT('',#478789); #153869=VERTEX_POINT('',#478791); #153870=VERTEX_POINT('',#478793); #153871=VERTEX_POINT('',#478797); #153872=VERTEX_POINT('',#478799); #153873=VERTEX_POINT('',#478803); #153874=VERTEX_POINT('',#478805); #153875=VERTEX_POINT('',#478814); #153876=VERTEX_POINT('',#478815); #153877=VERTEX_POINT('',#478817); #153878=VERTEX_POINT('',#478819); #153879=VERTEX_POINT('',#478823); #153880=VERTEX_POINT('',#478825); #153881=VERTEX_POINT('',#478829); #153882=VERTEX_POINT('',#478831); #153883=VERTEX_POINT('',#478840); #153884=VERTEX_POINT('',#478841); #153885=VERTEX_POINT('',#478843); #153886=VERTEX_POINT('',#478845); #153887=VERTEX_POINT('',#478849); #153888=VERTEX_POINT('',#478851); #153889=VERTEX_POINT('',#478855); #153890=VERTEX_POINT('',#478857); #153891=VERTEX_POINT('',#478866); #153892=VERTEX_POINT('',#478867); #153893=VERTEX_POINT('',#478869); #153894=VERTEX_POINT('',#478871); #153895=VERTEX_POINT('',#478875); #153896=VERTEX_POINT('',#478877); #153897=VERTEX_POINT('',#478881); #153898=VERTEX_POINT('',#478883); #153899=VERTEX_POINT('',#478892); #153900=VERTEX_POINT('',#478893); #153901=VERTEX_POINT('',#478895); #153902=VERTEX_POINT('',#478897); #153903=VERTEX_POINT('',#478901); #153904=VERTEX_POINT('',#478903); #153905=VERTEX_POINT('',#478907); #153906=VERTEX_POINT('',#478909); #153907=VERTEX_POINT('',#478918); #153908=VERTEX_POINT('',#478919); #153909=VERTEX_POINT('',#478921); #153910=VERTEX_POINT('',#478923); #153911=VERTEX_POINT('',#478927); #153912=VERTEX_POINT('',#478929); #153913=VERTEX_POINT('',#478933); #153914=VERTEX_POINT('',#478935); #153915=VERTEX_POINT('',#478944); #153916=VERTEX_POINT('',#478945); #153917=VERTEX_POINT('',#478947); #153918=VERTEX_POINT('',#478949); #153919=VERTEX_POINT('',#478953); #153920=VERTEX_POINT('',#478955); #153921=VERTEX_POINT('',#478959); #153922=VERTEX_POINT('',#478961); #153923=VERTEX_POINT('',#478970); #153924=VERTEX_POINT('',#478971); #153925=VERTEX_POINT('',#478973); #153926=VERTEX_POINT('',#478975); #153927=VERTEX_POINT('',#478979); #153928=VERTEX_POINT('',#478981); #153929=VERTEX_POINT('',#478985); #153930=VERTEX_POINT('',#478987); #153931=VERTEX_POINT('',#478996); #153932=VERTEX_POINT('',#478997); #153933=VERTEX_POINT('',#478999); #153934=VERTEX_POINT('',#479001); #153935=VERTEX_POINT('',#479005); #153936=VERTEX_POINT('',#479007); #153937=VERTEX_POINT('',#479011); #153938=VERTEX_POINT('',#479013); #153939=VERTEX_POINT('',#479022); #153940=VERTEX_POINT('',#479023); #153941=VERTEX_POINT('',#479025); #153942=VERTEX_POINT('',#479027); #153943=VERTEX_POINT('',#479031); #153944=VERTEX_POINT('',#479033); #153945=VERTEX_POINT('',#479037); #153946=VERTEX_POINT('',#479039); #153947=VERTEX_POINT('',#479048); #153948=VERTEX_POINT('',#479049); #153949=VERTEX_POINT('',#479051); #153950=VERTEX_POINT('',#479053); #153951=VERTEX_POINT('',#479057); #153952=VERTEX_POINT('',#479059); #153953=VERTEX_POINT('',#479063); #153954=VERTEX_POINT('',#479065); #153955=VERTEX_POINT('',#479074); #153956=VERTEX_POINT('',#479075); #153957=VERTEX_POINT('',#479077); #153958=VERTEX_POINT('',#479079); #153959=VERTEX_POINT('',#479083); #153960=VERTEX_POINT('',#479085); #153961=VERTEX_POINT('',#479089); #153962=VERTEX_POINT('',#479091); #153963=VERTEX_POINT('',#479100); #153964=VERTEX_POINT('',#479101); #153965=VERTEX_POINT('',#479103); #153966=VERTEX_POINT('',#479105); #153967=VERTEX_POINT('',#479109); #153968=VERTEX_POINT('',#479111); #153969=VERTEX_POINT('',#479115); #153970=VERTEX_POINT('',#479117); #153971=VERTEX_POINT('',#479126); #153972=VERTEX_POINT('',#479127); #153973=VERTEX_POINT('',#479129); #153974=VERTEX_POINT('',#479131); #153975=VERTEX_POINT('',#479135); #153976=VERTEX_POINT('',#479137); #153977=VERTEX_POINT('',#479141); #153978=VERTEX_POINT('',#479143); #153979=VERTEX_POINT('',#479152); #153980=VERTEX_POINT('',#479153); #153981=VERTEX_POINT('',#479155); #153982=VERTEX_POINT('',#479157); #153983=VERTEX_POINT('',#479161); #153984=VERTEX_POINT('',#479163); #153985=VERTEX_POINT('',#479167); #153986=VERTEX_POINT('',#479169); #153987=VERTEX_POINT('',#479178); #153988=VERTEX_POINT('',#479179); #153989=VERTEX_POINT('',#479181); #153990=VERTEX_POINT('',#479183); #153991=VERTEX_POINT('',#479187); #153992=VERTEX_POINT('',#479189); #153993=VERTEX_POINT('',#479193); #153994=VERTEX_POINT('',#479195); #153995=VERTEX_POINT('',#479204); #153996=VERTEX_POINT('',#479205); #153997=VERTEX_POINT('',#479207); #153998=VERTEX_POINT('',#479209); #153999=VERTEX_POINT('',#479213); #154000=VERTEX_POINT('',#479215); #154001=VERTEX_POINT('',#479219); #154002=VERTEX_POINT('',#479221); #154003=VERTEX_POINT('',#479230); #154004=VERTEX_POINT('',#479231); #154005=VERTEX_POINT('',#479233); #154006=VERTEX_POINT('',#479235); #154007=VERTEX_POINT('',#479239); #154008=VERTEX_POINT('',#479241); #154009=VERTEX_POINT('',#479245); #154010=VERTEX_POINT('',#479247); #154011=VERTEX_POINT('',#479256); #154012=VERTEX_POINT('',#479257); #154013=VERTEX_POINT('',#479259); #154014=VERTEX_POINT('',#479261); #154015=VERTEX_POINT('',#479265); #154016=VERTEX_POINT('',#479267); #154017=VERTEX_POINT('',#479271); #154018=VERTEX_POINT('',#479273); #154019=VERTEX_POINT('',#479282); #154020=VERTEX_POINT('',#479283); #154021=VERTEX_POINT('',#479285); #154022=VERTEX_POINT('',#479287); #154023=VERTEX_POINT('',#479291); #154024=VERTEX_POINT('',#479293); #154025=VERTEX_POINT('',#479297); #154026=VERTEX_POINT('',#479299); #154027=VERTEX_POINT('',#479308); #154028=VERTEX_POINT('',#479309); #154029=VERTEX_POINT('',#479311); #154030=VERTEX_POINT('',#479313); #154031=VERTEX_POINT('',#479317); #154032=VERTEX_POINT('',#479319); #154033=VERTEX_POINT('',#479323); #154034=VERTEX_POINT('',#479325); #154035=VERTEX_POINT('',#479334); #154036=VERTEX_POINT('',#479336); #154037=VERTEX_POINT('',#479340); #154038=VERTEX_POINT('',#479342); #154039=VERTEX_POINT('',#479346); #154040=VERTEX_POINT('',#479348); #154041=VERTEX_POINT('',#479352); #154042=VERTEX_POINT('',#479354); #154043=VERTEX_POINT('',#479358); #154044=VERTEX_POINT('',#479360); #154045=VERTEX_POINT('',#479364); #154046=VERTEX_POINT('',#479366); #154047=VERTEX_POINT('',#479370); #154048=VERTEX_POINT('',#479371); #154049=VERTEX_POINT('',#479373); #154050=VERTEX_POINT('',#479375); #154051=VERTEX_POINT('',#479379); #154052=VERTEX_POINT('',#479381); #154053=VERTEX_POINT('',#479385); #154054=VERTEX_POINT('',#479387); #154055=VERTEX_POINT('',#479396); #154056=VERTEX_POINT('',#479397); #154057=VERTEX_POINT('',#479399); #154058=VERTEX_POINT('',#479401); #154059=VERTEX_POINT('',#479405); #154060=VERTEX_POINT('',#479407); #154061=VERTEX_POINT('',#479411); #154062=VERTEX_POINT('',#479413); #154063=VERTEX_POINT('',#479422); #154064=VERTEX_POINT('',#479423); #154065=VERTEX_POINT('',#479425); #154066=VERTEX_POINT('',#479427); #154067=VERTEX_POINT('',#479431); #154068=VERTEX_POINT('',#479433); #154069=VERTEX_POINT('',#479437); #154070=VERTEX_POINT('',#479439); #154071=VERTEX_POINT('',#479448); #154072=VERTEX_POINT('',#479449); #154073=VERTEX_POINT('',#479451); #154074=VERTEX_POINT('',#479453); #154075=VERTEX_POINT('',#479457); #154076=VERTEX_POINT('',#479459); #154077=VERTEX_POINT('',#479463); #154078=VERTEX_POINT('',#479465); #154079=VERTEX_POINT('',#479474); #154080=VERTEX_POINT('',#479475); #154081=VERTEX_POINT('',#479477); #154082=VERTEX_POINT('',#479479); #154083=VERTEX_POINT('',#479483); #154084=VERTEX_POINT('',#479485); #154085=VERTEX_POINT('',#479489); #154086=VERTEX_POINT('',#479491); #154087=VERTEX_POINT('',#479500); #154088=VERTEX_POINT('',#479501); #154089=VERTEX_POINT('',#479503); #154090=VERTEX_POINT('',#479505); #154091=VERTEX_POINT('',#479509); #154092=VERTEX_POINT('',#479511); #154093=VERTEX_POINT('',#479515); #154094=VERTEX_POINT('',#479517); #154095=VERTEX_POINT('',#479526); #154096=VERTEX_POINT('',#479527); #154097=VERTEX_POINT('',#479529); #154098=VERTEX_POINT('',#479531); #154099=VERTEX_POINT('',#479535); #154100=VERTEX_POINT('',#479537); #154101=VERTEX_POINT('',#479541); #154102=VERTEX_POINT('',#479543); #154103=VERTEX_POINT('',#479552); #154104=VERTEX_POINT('',#479554); #154105=VERTEX_POINT('',#479558); #154106=VERTEX_POINT('',#479560); #154107=VERTEX_POINT('',#479564); #154108=VERTEX_POINT('',#479566); #154109=VERTEX_POINT('',#479570); #154110=VERTEX_POINT('',#479572); #154111=VERTEX_POINT('',#479576); #154112=VERTEX_POINT('',#479578); #154113=VERTEX_POINT('',#479582); #154114=VERTEX_POINT('',#479584); #154115=VERTEX_POINT('',#479588); #154116=VERTEX_POINT('',#479589); #154117=VERTEX_POINT('',#479591); #154118=VERTEX_POINT('',#479593); #154119=VERTEX_POINT('',#479597); #154120=VERTEX_POINT('',#479599); #154121=VERTEX_POINT('',#479603); #154122=VERTEX_POINT('',#479605); #154123=VERTEX_POINT('',#479614); #154124=VERTEX_POINT('',#479615); #154125=VERTEX_POINT('',#479617); #154126=VERTEX_POINT('',#479619); #154127=VERTEX_POINT('',#479623); #154128=VERTEX_POINT('',#479625); #154129=VERTEX_POINT('',#479629); #154130=VERTEX_POINT('',#479631); #154131=VERTEX_POINT('',#479640); #154132=VERTEX_POINT('',#479641); #154133=VERTEX_POINT('',#479643); #154134=VERTEX_POINT('',#479645); #154135=VERTEX_POINT('',#479649); #154136=VERTEX_POINT('',#479651); #154137=VERTEX_POINT('',#479655); #154138=VERTEX_POINT('',#479657); #154139=VERTEX_POINT('',#479666); #154140=VERTEX_POINT('',#479668); #154141=VERTEX_POINT('',#479672); #154142=VERTEX_POINT('',#479674); #154143=VERTEX_POINT('',#479678); #154144=VERTEX_POINT('',#479680); #154145=VERTEX_POINT('',#479684); #154146=VERTEX_POINT('',#479686); #154147=VERTEX_POINT('',#479690); #154148=VERTEX_POINT('',#479692); #154149=VERTEX_POINT('',#479696); #154150=VERTEX_POINT('',#479698); #154151=VERTEX_POINT('',#479702); #154152=VERTEX_POINT('',#479704); #154153=VERTEX_POINT('',#479708); #154154=VERTEX_POINT('',#479710); #154155=VERTEX_POINT('',#479714); #154156=VERTEX_POINT('',#479716); #154157=VERTEX_POINT('',#479720); #154158=VERTEX_POINT('',#479722); #154159=VERTEX_POINT('',#479726); #154160=VERTEX_POINT('',#479728); #154161=VERTEX_POINT('',#479732); #154162=VERTEX_POINT('',#479734); #154163=VERTEX_POINT('',#479738); #154164=VERTEX_POINT('',#479740); #154165=VERTEX_POINT('',#479744); #154166=VERTEX_POINT('',#479746); #154167=VERTEX_POINT('',#479750); #154168=VERTEX_POINT('',#479752); #154169=VERTEX_POINT('',#479756); #154170=VERTEX_POINT('',#479758); #154171=VERTEX_POINT('',#479762); #154172=VERTEX_POINT('',#479764); #154173=VERTEX_POINT('',#479768); #154174=VERTEX_POINT('',#479770); #154175=VERTEX_POINT('',#479774); #154176=VERTEX_POINT('',#479776); #154177=VERTEX_POINT('',#479780); #154178=VERTEX_POINT('',#479782); #154179=VERTEX_POINT('',#479786); #154180=VERTEX_POINT('',#479788); #154181=VERTEX_POINT('',#479792); #154182=VERTEX_POINT('',#479794); #154183=VERTEX_POINT('',#479798); #154184=VERTEX_POINT('',#479800); #154185=VERTEX_POINT('',#479804); #154186=VERTEX_POINT('',#479806); #154187=VERTEX_POINT('',#479810); #154188=VERTEX_POINT('',#479812); #154189=VERTEX_POINT('',#479816); #154190=VERTEX_POINT('',#479818); #154191=VERTEX_POINT('',#479822); #154192=VERTEX_POINT('',#479824); #154193=VERTEX_POINT('',#479828); #154194=VERTEX_POINT('',#479830); #154195=VERTEX_POINT('',#479834); #154196=VERTEX_POINT('',#479836); #154197=VERTEX_POINT('',#479840); #154198=VERTEX_POINT('',#479842); #154199=VERTEX_POINT('',#479846); #154200=VERTEX_POINT('',#479848); #154201=VERTEX_POINT('',#479852); #154202=VERTEX_POINT('',#479854); #154203=VERTEX_POINT('',#479858); #154204=VERTEX_POINT('',#479860); #154205=VERTEX_POINT('',#479864); #154206=VERTEX_POINT('',#479866); #154207=VERTEX_POINT('',#479870); #154208=VERTEX_POINT('',#479872); #154209=VERTEX_POINT('',#479876); #154210=VERTEX_POINT('',#479878); #154211=VERTEX_POINT('',#479882); #154212=VERTEX_POINT('',#479884); #154213=VERTEX_POINT('',#479888); #154214=VERTEX_POINT('',#479890); #154215=VERTEX_POINT('',#479894); #154216=VERTEX_POINT('',#479896); #154217=VERTEX_POINT('',#479900); #154218=VERTEX_POINT('',#479902); #154219=VERTEX_POINT('',#479906); #154220=VERTEX_POINT('',#479908); #154221=VERTEX_POINT('',#479912); #154222=VERTEX_POINT('',#479914); #154223=VERTEX_POINT('',#479918); #154224=VERTEX_POINT('',#479920); #154225=VERTEX_POINT('',#479924); #154226=VERTEX_POINT('',#479926); #154227=VERTEX_POINT('',#479930); #154228=VERTEX_POINT('',#479932); #154229=VERTEX_POINT('',#479936); #154230=VERTEX_POINT('',#479938); #154231=VERTEX_POINT('',#479942); #154232=VERTEX_POINT('',#479944); #154233=VERTEX_POINT('',#479948); #154234=VERTEX_POINT('',#479950); #154235=VERTEX_POINT('',#479954); #154236=VERTEX_POINT('',#479956); #154237=VERTEX_POINT('',#479960); #154238=VERTEX_POINT('',#479961); #154239=VERTEX_POINT('',#479963); #154240=VERTEX_POINT('',#479965); #154241=VERTEX_POINT('',#479969); #154242=VERTEX_POINT('',#479971); #154243=VERTEX_POINT('',#479975); #154244=VERTEX_POINT('',#479977); #154245=VERTEX_POINT('',#479986); #154246=VERTEX_POINT('',#479987); #154247=VERTEX_POINT('',#479989); #154248=VERTEX_POINT('',#479991); #154249=VERTEX_POINT('',#479995); #154250=VERTEX_POINT('',#479997); #154251=VERTEX_POINT('',#480001); #154252=VERTEX_POINT('',#480003); #154253=VERTEX_POINT('',#480012); #154254=VERTEX_POINT('',#480013); #154255=VERTEX_POINT('',#480015); #154256=VERTEX_POINT('',#480017); #154257=VERTEX_POINT('',#480021); #154258=VERTEX_POINT('',#480023); #154259=VERTEX_POINT('',#480027); #154260=VERTEX_POINT('',#480029); #154261=VERTEX_POINT('',#480038); #154262=VERTEX_POINT('',#480039); #154263=VERTEX_POINT('',#480041); #154264=VERTEX_POINT('',#480043); #154265=VERTEX_POINT('',#480047); #154266=VERTEX_POINT('',#480049); #154267=VERTEX_POINT('',#480053); #154268=VERTEX_POINT('',#480055); #154269=VERTEX_POINT('',#480064); #154270=VERTEX_POINT('',#480065); #154271=VERTEX_POINT('',#480067); #154272=VERTEX_POINT('',#480069); #154273=VERTEX_POINT('',#480073); #154274=VERTEX_POINT('',#480075); #154275=VERTEX_POINT('',#480079); #154276=VERTEX_POINT('',#480081); #154277=VERTEX_POINT('',#480090); #154278=VERTEX_POINT('',#480091); #154279=VERTEX_POINT('',#480093); #154280=VERTEX_POINT('',#480095); #154281=VERTEX_POINT('',#480099); #154282=VERTEX_POINT('',#480101); #154283=VERTEX_POINT('',#480105); #154284=VERTEX_POINT('',#480107); #154285=VERTEX_POINT('',#480116); #154286=VERTEX_POINT('',#480117); #154287=VERTEX_POINT('',#480119); #154288=VERTEX_POINT('',#480121); #154289=VERTEX_POINT('',#480125); #154290=VERTEX_POINT('',#480127); #154291=VERTEX_POINT('',#480131); #154292=VERTEX_POINT('',#480133); #154293=VERTEX_POINT('',#480142); #154294=VERTEX_POINT('',#480143); #154295=VERTEX_POINT('',#480145); #154296=VERTEX_POINT('',#480147); #154297=VERTEX_POINT('',#480151); #154298=VERTEX_POINT('',#480153); #154299=VERTEX_POINT('',#480157); #154300=VERTEX_POINT('',#480159); #154301=VERTEX_POINT('',#480168); #154302=VERTEX_POINT('',#480169); #154303=VERTEX_POINT('',#480171); #154304=VERTEX_POINT('',#480173); #154305=VERTEX_POINT('',#480177); #154306=VERTEX_POINT('',#480179); #154307=VERTEX_POINT('',#480183); #154308=VERTEX_POINT('',#480185); #154309=VERTEX_POINT('',#480194); #154310=VERTEX_POINT('',#480195); #154311=VERTEX_POINT('',#480197); #154312=VERTEX_POINT('',#480199); #154313=VERTEX_POINT('',#480203); #154314=VERTEX_POINT('',#480205); #154315=VERTEX_POINT('',#480209); #154316=VERTEX_POINT('',#480211); #154317=VERTEX_POINT('',#480220); #154318=VERTEX_POINT('',#480221); #154319=VERTEX_POINT('',#480223); #154320=VERTEX_POINT('',#480225); #154321=VERTEX_POINT('',#480229); #154322=VERTEX_POINT('',#480231); #154323=VERTEX_POINT('',#480235); #154324=VERTEX_POINT('',#480237); #154325=VERTEX_POINT('',#480246); #154326=VERTEX_POINT('',#480247); #154327=VERTEX_POINT('',#480249); #154328=VERTEX_POINT('',#480251); #154329=VERTEX_POINT('',#480255); #154330=VERTEX_POINT('',#480257); #154331=VERTEX_POINT('',#480261); #154332=VERTEX_POINT('',#480263); #154333=VERTEX_POINT('',#480272); #154334=VERTEX_POINT('',#480273); #154335=VERTEX_POINT('',#480275); #154336=VERTEX_POINT('',#480277); #154337=VERTEX_POINT('',#480281); #154338=VERTEX_POINT('',#480283); #154339=VERTEX_POINT('',#480287); #154340=VERTEX_POINT('',#480289); #154341=VERTEX_POINT('',#480298); #154342=VERTEX_POINT('',#480299); #154343=VERTEX_POINT('',#480301); #154344=VERTEX_POINT('',#480303); #154345=VERTEX_POINT('',#480307); #154346=VERTEX_POINT('',#480309); #154347=VERTEX_POINT('',#480313); #154348=VERTEX_POINT('',#480315); #154349=VERTEX_POINT('',#480324); #154350=VERTEX_POINT('',#480325); #154351=VERTEX_POINT('',#480327); #154352=VERTEX_POINT('',#480329); #154353=VERTEX_POINT('',#480333); #154354=VERTEX_POINT('',#480335); #154355=VERTEX_POINT('',#480339); #154356=VERTEX_POINT('',#480341); #154357=VERTEX_POINT('',#480350); #154358=VERTEX_POINT('',#480351); #154359=VERTEX_POINT('',#480353); #154360=VERTEX_POINT('',#480355); #154361=VERTEX_POINT('',#480359); #154362=VERTEX_POINT('',#480361); #154363=VERTEX_POINT('',#480365); #154364=VERTEX_POINT('',#480367); #154365=VERTEX_POINT('',#480376); #154366=VERTEX_POINT('',#480377); #154367=VERTEX_POINT('',#480379); #154368=VERTEX_POINT('',#480381); #154369=VERTEX_POINT('',#480385); #154370=VERTEX_POINT('',#480387); #154371=VERTEX_POINT('',#480391); #154372=VERTEX_POINT('',#480393); #154373=VERTEX_POINT('',#480402); #154374=VERTEX_POINT('',#480403); #154375=VERTEX_POINT('',#480405); #154376=VERTEX_POINT('',#480407); #154377=VERTEX_POINT('',#480411); #154378=VERTEX_POINT('',#480413); #154379=VERTEX_POINT('',#480417); #154380=VERTEX_POINT('',#480419); #154381=VERTEX_POINT('',#480428); #154382=VERTEX_POINT('',#480429); #154383=VERTEX_POINT('',#480431); #154384=VERTEX_POINT('',#480433); #154385=VERTEX_POINT('',#480437); #154386=VERTEX_POINT('',#480439); #154387=VERTEX_POINT('',#480443); #154388=VERTEX_POINT('',#480445); #154389=VERTEX_POINT('',#480454); #154390=VERTEX_POINT('',#480456); #154391=VERTEX_POINT('',#480460); #154392=VERTEX_POINT('',#480461); #154393=VERTEX_POINT('',#480463); #154394=VERTEX_POINT('',#480465); #154395=VERTEX_POINT('',#480469); #154396=VERTEX_POINT('',#480471); #154397=VERTEX_POINT('',#480475); #154398=VERTEX_POINT('',#480477); #154399=VERTEX_POINT('',#480486); #154400=VERTEX_POINT('',#480487); #154401=VERTEX_POINT('',#480489); #154402=VERTEX_POINT('',#480491); #154403=VERTEX_POINT('',#480495); #154404=VERTEX_POINT('',#480497); #154405=VERTEX_POINT('',#480501); #154406=VERTEX_POINT('',#480503); #154407=VERTEX_POINT('',#480512); #154408=VERTEX_POINT('',#480513); #154409=VERTEX_POINT('',#480515); #154410=VERTEX_POINT('',#480517); #154411=VERTEX_POINT('',#480521); #154412=VERTEX_POINT('',#480523); #154413=VERTEX_POINT('',#480527); #154414=VERTEX_POINT('',#480529); #154415=VERTEX_POINT('',#480538); #154416=VERTEX_POINT('',#480540); #154417=VERTEX_POINT('',#480544); #154418=VERTEX_POINT('',#480545); #154419=VERTEX_POINT('',#480547); #154420=VERTEX_POINT('',#480549); #154421=VERTEX_POINT('',#480553); #154422=VERTEX_POINT('',#480555); #154423=VERTEX_POINT('',#480559); #154424=VERTEX_POINT('',#480561); #154425=VERTEX_POINT('',#480570); #154426=VERTEX_POINT('',#480571); #154427=VERTEX_POINT('',#480573); #154428=VERTEX_POINT('',#480575); #154429=VERTEX_POINT('',#480579); #154430=VERTEX_POINT('',#480581); #154431=VERTEX_POINT('',#480585); #154432=VERTEX_POINT('',#480587); #154433=VERTEX_POINT('',#480596); #154434=VERTEX_POINT('',#480597); #154435=VERTEX_POINT('',#480599); #154436=VERTEX_POINT('',#480601); #154437=VERTEX_POINT('',#480605); #154438=VERTEX_POINT('',#480607); #154439=VERTEX_POINT('',#480611); #154440=VERTEX_POINT('',#480613); #154441=VERTEX_POINT('',#480622); #154442=VERTEX_POINT('',#480623); #154443=VERTEX_POINT('',#480625); #154444=VERTEX_POINT('',#480627); #154445=VERTEX_POINT('',#480631); #154446=VERTEX_POINT('',#480633); #154447=VERTEX_POINT('',#480637); #154448=VERTEX_POINT('',#480639); #154449=VERTEX_POINT('',#480648); #154450=VERTEX_POINT('',#480649); #154451=VERTEX_POINT('',#480651); #154452=VERTEX_POINT('',#480653); #154453=VERTEX_POINT('',#480657); #154454=VERTEX_POINT('',#480659); #154455=VERTEX_POINT('',#480663); #154456=VERTEX_POINT('',#480665); #154457=VERTEX_POINT('',#480674); #154458=VERTEX_POINT('',#480675); #154459=VERTEX_POINT('',#480677); #154460=VERTEX_POINT('',#480679); #154461=VERTEX_POINT('',#480683); #154462=VERTEX_POINT('',#480685); #154463=VERTEX_POINT('',#480689); #154464=VERTEX_POINT('',#480691); #154465=VERTEX_POINT('',#480700); #154466=VERTEX_POINT('',#480701); #154467=VERTEX_POINT('',#480703); #154468=VERTEX_POINT('',#480705); #154469=VERTEX_POINT('',#480709); #154470=VERTEX_POINT('',#480711); #154471=VERTEX_POINT('',#480715); #154472=VERTEX_POINT('',#480717); #154473=VERTEX_POINT('',#480726); #154474=VERTEX_POINT('',#480727); #154475=VERTEX_POINT('',#480729); #154476=VERTEX_POINT('',#480731); #154477=VERTEX_POINT('',#480735); #154478=VERTEX_POINT('',#480737); #154479=VERTEX_POINT('',#480741); #154480=VERTEX_POINT('',#480743); #154481=VERTEX_POINT('',#480752); #154482=VERTEX_POINT('',#480753); #154483=VERTEX_POINT('',#480755); #154484=VERTEX_POINT('',#480757); #154485=VERTEX_POINT('',#480761); #154486=VERTEX_POINT('',#480763); #154487=VERTEX_POINT('',#480767); #154488=VERTEX_POINT('',#480769); #154489=VERTEX_POINT('',#480778); #154490=VERTEX_POINT('',#480779); #154491=VERTEX_POINT('',#480781); #154492=VERTEX_POINT('',#480783); #154493=VERTEX_POINT('',#480787); #154494=VERTEX_POINT('',#480789); #154495=VERTEX_POINT('',#480793); #154496=VERTEX_POINT('',#480795); #154497=VERTEX_POINT('',#480804); #154498=VERTEX_POINT('',#480805); #154499=VERTEX_POINT('',#480807); #154500=VERTEX_POINT('',#480809); #154501=VERTEX_POINT('',#480813); #154502=VERTEX_POINT('',#480815); #154503=VERTEX_POINT('',#480819); #154504=VERTEX_POINT('',#480821); #154505=VERTEX_POINT('',#480830); #154506=VERTEX_POINT('',#480831); #154507=VERTEX_POINT('',#480833); #154508=VERTEX_POINT('',#480835); #154509=VERTEX_POINT('',#480839); #154510=VERTEX_POINT('',#480841); #154511=VERTEX_POINT('',#480845); #154512=VERTEX_POINT('',#480847); #154513=VERTEX_POINT('',#480856); #154514=VERTEX_POINT('',#480857); #154515=VERTEX_POINT('',#480859); #154516=VERTEX_POINT('',#480861); #154517=VERTEX_POINT('',#480865); #154518=VERTEX_POINT('',#480866); #154519=VERTEX_POINT('',#480868); #154520=VERTEX_POINT('',#480870); #154521=VERTEX_POINT('',#480874); #154522=VERTEX_POINT('',#480876); #154523=VERTEX_POINT('',#480880); #154524=VERTEX_POINT('',#480881); #154525=VERTEX_POINT('',#480883); #154526=VERTEX_POINT('',#480885); #154527=VERTEX_POINT('',#480889); #154528=VERTEX_POINT('',#480890); #154529=VERTEX_POINT('',#480892); #154530=VERTEX_POINT('',#480894); #154531=VERTEX_POINT('',#480898); #154532=VERTEX_POINT('',#480899); #154533=VERTEX_POINT('',#480901); #154534=VERTEX_POINT('',#480903); #154535=VERTEX_POINT('',#480907); #154536=VERTEX_POINT('',#480908); #154537=VERTEX_POINT('',#480910); #154538=VERTEX_POINT('',#480912); #154539=VERTEX_POINT('',#480916); #154540=VERTEX_POINT('',#480917); #154541=VERTEX_POINT('',#480919); #154542=VERTEX_POINT('',#480921); #154543=VERTEX_POINT('',#480925); #154544=VERTEX_POINT('',#480926); #154545=VERTEX_POINT('',#480928); #154546=VERTEX_POINT('',#480930); #154547=VERTEX_POINT('',#480934); #154548=VERTEX_POINT('',#480936); #154549=VERTEX_POINT('',#480943); #154550=VERTEX_POINT('',#480945); #154551=VERTEX_POINT('',#480972); #154552=VERTEX_POINT('',#480974); #154553=VERTEX_POINT('',#480978); #154554=VERTEX_POINT('',#480979); #154555=VERTEX_POINT('',#480981); #154556=VERTEX_POINT('',#480983); #154557=VERTEX_POINT('',#480987); #154558=VERTEX_POINT('',#480989); #154559=VERTEX_POINT('',#480993); #154560=VERTEX_POINT('',#480995); #154561=VERTEX_POINT('',#481004); #154562=VERTEX_POINT('',#481005); #154563=VERTEX_POINT('',#481007); #154564=VERTEX_POINT('',#481009); #154565=VERTEX_POINT('',#481013); #154566=VERTEX_POINT('',#481015); #154567=VERTEX_POINT('',#481019); #154568=VERTEX_POINT('',#481021); #154569=VERTEX_POINT('',#481030); #154570=VERTEX_POINT('',#481031); #154571=VERTEX_POINT('',#481033); #154572=VERTEX_POINT('',#481035); #154573=VERTEX_POINT('',#481039); #154574=VERTEX_POINT('',#481041); #154575=VERTEX_POINT('',#481045); #154576=VERTEX_POINT('',#481047); #154577=VERTEX_POINT('',#481056); #154578=VERTEX_POINT('',#481057); #154579=VERTEX_POINT('',#481059); #154580=VERTEX_POINT('',#481061); #154581=VERTEX_POINT('',#481065); #154582=VERTEX_POINT('',#481067); #154583=VERTEX_POINT('',#481071); #154584=VERTEX_POINT('',#481073); #154585=VERTEX_POINT('',#481082); #154586=VERTEX_POINT('',#481083); #154587=VERTEX_POINT('',#481085); #154588=VERTEX_POINT('',#481087); #154589=VERTEX_POINT('',#481091); #154590=VERTEX_POINT('',#481093); #154591=VERTEX_POINT('',#481097); #154592=VERTEX_POINT('',#481099); #154593=VERTEX_POINT('',#481108); #154594=VERTEX_POINT('',#481109); #154595=VERTEX_POINT('',#481111); #154596=VERTEX_POINT('',#481113); #154597=VERTEX_POINT('',#481117); #154598=VERTEX_POINT('',#481119); #154599=VERTEX_POINT('',#481123); #154600=VERTEX_POINT('',#481125); #154601=VERTEX_POINT('',#481134); #154602=VERTEX_POINT('',#481136); #154603=VERTEX_POINT('',#481140); #154604=VERTEX_POINT('',#481141); #154605=VERTEX_POINT('',#481143); #154606=VERTEX_POINT('',#481145); #154607=VERTEX_POINT('',#481149); #154608=VERTEX_POINT('',#481151); #154609=VERTEX_POINT('',#481155); #154610=VERTEX_POINT('',#481157); #154611=VERTEX_POINT('',#481166); #154612=VERTEX_POINT('',#481168); #154613=VERTEX_POINT('',#481172); #154614=VERTEX_POINT('',#481173); #154615=VERTEX_POINT('',#481175); #154616=VERTEX_POINT('',#481177); #154617=VERTEX_POINT('',#481181); #154618=VERTEX_POINT('',#481183); #154619=VERTEX_POINT('',#481187); #154620=VERTEX_POINT('',#481189); #154621=VERTEX_POINT('',#481198); #154622=VERTEX_POINT('',#481199); #154623=VERTEX_POINT('',#481201); #154624=VERTEX_POINT('',#481203); #154625=VERTEX_POINT('',#481207); #154626=VERTEX_POINT('',#481209); #154627=VERTEX_POINT('',#481213); #154628=VERTEX_POINT('',#481215); #154629=VERTEX_POINT('',#481224); #154630=VERTEX_POINT('',#481225); #154631=VERTEX_POINT('',#481227); #154632=VERTEX_POINT('',#481229); #154633=VERTEX_POINT('',#481233); #154634=VERTEX_POINT('',#481235); #154635=VERTEX_POINT('',#481239); #154636=VERTEX_POINT('',#481241); #154637=VERTEX_POINT('',#481250); #154638=VERTEX_POINT('',#481251); #154639=VERTEX_POINT('',#481253); #154640=VERTEX_POINT('',#481255); #154641=VERTEX_POINT('',#481259); #154642=VERTEX_POINT('',#481261); #154643=VERTEX_POINT('',#481265); #154644=VERTEX_POINT('',#481267); #154645=VERTEX_POINT('',#481276); #154646=VERTEX_POINT('',#481277); #154647=VERTEX_POINT('',#481279); #154648=VERTEX_POINT('',#481281); #154649=VERTEX_POINT('',#481285); #154650=VERTEX_POINT('',#481287); #154651=VERTEX_POINT('',#481291); #154652=VERTEX_POINT('',#481293); #154653=VERTEX_POINT('',#481302); #154654=VERTEX_POINT('',#481303); #154655=VERTEX_POINT('',#481305); #154656=VERTEX_POINT('',#481307); #154657=VERTEX_POINT('',#481311); #154658=VERTEX_POINT('',#481313); #154659=VERTEX_POINT('',#481317); #154660=VERTEX_POINT('',#481319); #154661=VERTEX_POINT('',#481328); #154662=VERTEX_POINT('',#481329); #154663=VERTEX_POINT('',#481331); #154664=VERTEX_POINT('',#481333); #154665=VERTEX_POINT('',#481337); #154666=VERTEX_POINT('',#481339); #154667=VERTEX_POINT('',#481343); #154668=VERTEX_POINT('',#481345); #154669=VERTEX_POINT('',#481354); #154670=VERTEX_POINT('',#481355); #154671=VERTEX_POINT('',#481357); #154672=VERTEX_POINT('',#481359); #154673=VERTEX_POINT('',#481363); #154674=VERTEX_POINT('',#481365); #154675=VERTEX_POINT('',#481369); #154676=VERTEX_POINT('',#481371); #154677=VERTEX_POINT('',#481380); #154678=VERTEX_POINT('',#481381); #154679=VERTEX_POINT('',#481383); #154680=VERTEX_POINT('',#481385); #154681=VERTEX_POINT('',#481389); #154682=VERTEX_POINT('',#481391); #154683=VERTEX_POINT('',#481395); #154684=VERTEX_POINT('',#481397); #154685=VERTEX_POINT('',#481406); #154686=VERTEX_POINT('',#481407); #154687=VERTEX_POINT('',#481409); #154688=VERTEX_POINT('',#481411); #154689=VERTEX_POINT('',#481415); #154690=VERTEX_POINT('',#481417); #154691=VERTEX_POINT('',#481421); #154692=VERTEX_POINT('',#481423); #154693=VERTEX_POINT('',#481432); #154694=VERTEX_POINT('',#481433); #154695=VERTEX_POINT('',#481435); #154696=VERTEX_POINT('',#481437); #154697=VERTEX_POINT('',#481441); #154698=VERTEX_POINT('',#481443); #154699=VERTEX_POINT('',#481447); #154700=VERTEX_POINT('',#481449); #154701=VERTEX_POINT('',#481458); #154702=VERTEX_POINT('',#481459); #154703=VERTEX_POINT('',#481461); #154704=VERTEX_POINT('',#481463); #154705=VERTEX_POINT('',#481467); #154706=VERTEX_POINT('',#481469); #154707=VERTEX_POINT('',#481473); #154708=VERTEX_POINT('',#481475); #154709=VERTEX_POINT('',#481484); #154710=VERTEX_POINT('',#481486); #154711=VERTEX_POINT('',#481490); #154712=VERTEX_POINT('',#481492); #154713=VERTEX_POINT('',#481496); #154714=VERTEX_POINT('',#481498); #154715=VERTEX_POINT('',#481502); #154716=VERTEX_POINT('',#481504); #154717=VERTEX_POINT('',#481508); #154718=VERTEX_POINT('',#481510); #154719=VERTEX_POINT('',#481514); #154720=VERTEX_POINT('',#481516); #154721=VERTEX_POINT('',#481520); #154722=VERTEX_POINT('',#481521); #154723=VERTEX_POINT('',#481523); #154724=VERTEX_POINT('',#481525); #154725=VERTEX_POINT('',#481529); #154726=VERTEX_POINT('',#481531); #154727=VERTEX_POINT('',#481535); #154728=VERTEX_POINT('',#481537); #154729=VERTEX_POINT('',#481546); #154730=VERTEX_POINT('',#481547); #154731=VERTEX_POINT('',#481549); #154732=VERTEX_POINT('',#481551); #154733=VERTEX_POINT('',#481555); #154734=VERTEX_POINT('',#481557); #154735=VERTEX_POINT('',#481561); #154736=VERTEX_POINT('',#481563); #154737=VERTEX_POINT('',#481572); #154738=VERTEX_POINT('',#481573); #154739=VERTEX_POINT('',#481575); #154740=VERTEX_POINT('',#481577); #154741=VERTEX_POINT('',#481581); #154742=VERTEX_POINT('',#481583); #154743=VERTEX_POINT('',#481587); #154744=VERTEX_POINT('',#481589); #154745=VERTEX_POINT('',#481598); #154746=VERTEX_POINT('',#481599); #154747=VERTEX_POINT('',#481601); #154748=VERTEX_POINT('',#481603); #154749=VERTEX_POINT('',#481607); #154750=VERTEX_POINT('',#481609); #154751=VERTEX_POINT('',#481613); #154752=VERTEX_POINT('',#481615); #154753=VERTEX_POINT('',#481624); #154754=VERTEX_POINT('',#481625); #154755=VERTEX_POINT('',#481627); #154756=VERTEX_POINT('',#481629); #154757=VERTEX_POINT('',#481633); #154758=VERTEX_POINT('',#481635); #154759=VERTEX_POINT('',#481639); #154760=VERTEX_POINT('',#481640); #154761=VERTEX_POINT('',#481642); #154762=VERTEX_POINT('',#481644); #154763=VERTEX_POINT('',#481648); #154764=VERTEX_POINT('',#481649); #154765=VERTEX_POINT('',#481651); #154766=VERTEX_POINT('',#481653); #154767=VERTEX_POINT('',#481657); #154768=VERTEX_POINT('',#481658); #154769=VERTEX_POINT('',#481660); #154770=VERTEX_POINT('',#481662); #154771=VERTEX_POINT('',#481666); #154772=VERTEX_POINT('',#481668); #154773=VERTEX_POINT('',#481672); #154774=VERTEX_POINT('',#481674); #154775=VERTEX_POINT('',#481678); #154776=VERTEX_POINT('',#481680); #154777=VERTEX_POINT('',#481687); #154778=VERTEX_POINT('',#481689); #154779=VERTEX_POINT('',#481693); #154780=VERTEX_POINT('',#481695); #154781=VERTEX_POINT('',#481710); #154782=VERTEX_POINT('',#481711); #154783=VERTEX_POINT('',#481713); #154784=VERTEX_POINT('',#481715); #154785=VERTEX_POINT('',#481719); #154786=VERTEX_POINT('',#481721); #154787=VERTEX_POINT('',#481725); #154788=VERTEX_POINT('',#481727); #154789=VERTEX_POINT('',#481736); #154790=VERTEX_POINT('',#481737); #154791=VERTEX_POINT('',#481739); #154792=VERTEX_POINT('',#481741); #154793=VERTEX_POINT('',#481745); #154794=VERTEX_POINT('',#481747); #154795=VERTEX_POINT('',#481751); #154796=VERTEX_POINT('',#481753); #154797=VERTEX_POINT('',#481762); #154798=VERTEX_POINT('',#481763); #154799=VERTEX_POINT('',#481765); #154800=VERTEX_POINT('',#481767); #154801=VERTEX_POINT('',#481771); #154802=VERTEX_POINT('',#481773); #154803=VERTEX_POINT('',#481777); #154804=VERTEX_POINT('',#481779); #154805=VERTEX_POINT('',#481788); #154806=VERTEX_POINT('',#481789); #154807=VERTEX_POINT('',#481791); #154808=VERTEX_POINT('',#481793); #154809=VERTEX_POINT('',#481797); #154810=VERTEX_POINT('',#481799); #154811=VERTEX_POINT('',#481803); #154812=VERTEX_POINT('',#481805); #154813=VERTEX_POINT('',#481814); #154814=VERTEX_POINT('',#481815); #154815=VERTEX_POINT('',#481817); #154816=VERTEX_POINT('',#481819); #154817=VERTEX_POINT('',#481823); #154818=VERTEX_POINT('',#481825); #154819=VERTEX_POINT('',#481829); #154820=VERTEX_POINT('',#481831); #154821=VERTEX_POINT('',#481840); #154822=VERTEX_POINT('',#481841); #154823=VERTEX_POINT('',#481843); #154824=VERTEX_POINT('',#481845); #154825=VERTEX_POINT('',#481849); #154826=VERTEX_POINT('',#481851); #154827=VERTEX_POINT('',#481855); #154828=VERTEX_POINT('',#481857); #154829=VERTEX_POINT('',#481866); #154830=VERTEX_POINT('',#481867); #154831=VERTEX_POINT('',#481869); #154832=VERTEX_POINT('',#481871); #154833=VERTEX_POINT('',#481875); #154834=VERTEX_POINT('',#481877); #154835=VERTEX_POINT('',#481881); #154836=VERTEX_POINT('',#481883); #154837=VERTEX_POINT('',#481892); #154838=VERTEX_POINT('',#481893); #154839=VERTEX_POINT('',#481895); #154840=VERTEX_POINT('',#481897); #154841=VERTEX_POINT('',#481901); #154842=VERTEX_POINT('',#481903); #154843=VERTEX_POINT('',#481907); #154844=VERTEX_POINT('',#481909); #154845=VERTEX_POINT('',#481918); #154846=VERTEX_POINT('',#481919); #154847=VERTEX_POINT('',#481921); #154848=VERTEX_POINT('',#481923); #154849=VERTEX_POINT('',#481927); #154850=VERTEX_POINT('',#481929); #154851=VERTEX_POINT('',#481933); #154852=VERTEX_POINT('',#481935); #154853=VERTEX_POINT('',#481944); #154854=VERTEX_POINT('',#481945); #154855=VERTEX_POINT('',#481947); #154856=VERTEX_POINT('',#481949); #154857=VERTEX_POINT('',#481953); #154858=VERTEX_POINT('',#481955); #154859=VERTEX_POINT('',#481959); #154860=VERTEX_POINT('',#481961); #154861=VERTEX_POINT('',#481970); #154862=VERTEX_POINT('',#481971); #154863=VERTEX_POINT('',#481973); #154864=VERTEX_POINT('',#481975); #154865=VERTEX_POINT('',#481979); #154866=VERTEX_POINT('',#481981); #154867=VERTEX_POINT('',#481985); #154868=VERTEX_POINT('',#481987); #154869=VERTEX_POINT('',#481996); #154870=VERTEX_POINT('',#481997); #154871=VERTEX_POINT('',#481999); #154872=VERTEX_POINT('',#482001); #154873=VERTEX_POINT('',#482005); #154874=VERTEX_POINT('',#482007); #154875=VERTEX_POINT('',#482011); #154876=VERTEX_POINT('',#482013); #154877=VERTEX_POINT('',#482022); #154878=VERTEX_POINT('',#482023); #154879=VERTEX_POINT('',#482025); #154880=VERTEX_POINT('',#482027); #154881=VERTEX_POINT('',#482031); #154882=VERTEX_POINT('',#482033); #154883=VERTEX_POINT('',#482037); #154884=VERTEX_POINT('',#482039); #154885=VERTEX_POINT('',#482048); #154886=VERTEX_POINT('',#482049); #154887=VERTEX_POINT('',#482051); #154888=VERTEX_POINT('',#482053); #154889=VERTEX_POINT('',#482057); #154890=VERTEX_POINT('',#482059); #154891=VERTEX_POINT('',#482063); #154892=VERTEX_POINT('',#482065); #154893=VERTEX_POINT('',#482074); #154894=VERTEX_POINT('',#482075); #154895=VERTEX_POINT('',#482077); #154896=VERTEX_POINT('',#482079); #154897=VERTEX_POINT('',#482083); #154898=VERTEX_POINT('',#482085); #154899=VERTEX_POINT('',#482089); #154900=VERTEX_POINT('',#482091); #154901=VERTEX_POINT('',#482100); #154902=VERTEX_POINT('',#482101); #154903=VERTEX_POINT('',#482103); #154904=VERTEX_POINT('',#482105); #154905=VERTEX_POINT('',#482109); #154906=VERTEX_POINT('',#482111); #154907=VERTEX_POINT('',#482115); #154908=VERTEX_POINT('',#482117); #154909=VERTEX_POINT('',#482126); #154910=VERTEX_POINT('',#482127); #154911=VERTEX_POINT('',#482129); #154912=VERTEX_POINT('',#482131); #154913=VERTEX_POINT('',#482135); #154914=VERTEX_POINT('',#482137); #154915=VERTEX_POINT('',#482141); #154916=VERTEX_POINT('',#482143); #154917=VERTEX_POINT('',#482152); #154918=VERTEX_POINT('',#482153); #154919=VERTEX_POINT('',#482155); #154920=VERTEX_POINT('',#482157); #154921=VERTEX_POINT('',#482161); #154922=VERTEX_POINT('',#482163); #154923=VERTEX_POINT('',#482167); #154924=VERTEX_POINT('',#482169); #154925=VERTEX_POINT('',#482178); #154926=VERTEX_POINT('',#482179); #154927=VERTEX_POINT('',#482181); #154928=VERTEX_POINT('',#482183); #154929=VERTEX_POINT('',#482187); #154930=VERTEX_POINT('',#482189); #154931=VERTEX_POINT('',#482193); #154932=VERTEX_POINT('',#482195); #154933=VERTEX_POINT('',#482204); #154934=VERTEX_POINT('',#482205); #154935=VERTEX_POINT('',#482207); #154936=VERTEX_POINT('',#482209); #154937=VERTEX_POINT('',#482213); #154938=VERTEX_POINT('',#482215); #154939=VERTEX_POINT('',#482219); #154940=VERTEX_POINT('',#482221); #154941=VERTEX_POINT('',#482230); #154942=VERTEX_POINT('',#482231); #154943=VERTEX_POINT('',#482233); #154944=VERTEX_POINT('',#482235); #154945=VERTEX_POINT('',#482239); #154946=VERTEX_POINT('',#482241); #154947=VERTEX_POINT('',#482245); #154948=VERTEX_POINT('',#482247); #154949=VERTEX_POINT('',#482257); #154950=VERTEX_POINT('',#482259); #154951=VERTEX_POINT('',#482263); #154952=VERTEX_POINT('',#482264); #154953=VERTEX_POINT('',#482266); #154954=VERTEX_POINT('',#482268); #154955=VERTEX_POINT('',#482272); #154956=VERTEX_POINT('',#482274); #154957=VERTEX_POINT('',#482283); #154958=VERTEX_POINT('',#482284); #154959=VERTEX_POINT('',#482286); #154960=VERTEX_POINT('',#482288); #154961=VERTEX_POINT('',#482292); #154962=VERTEX_POINT('',#482294); #154963=VERTEX_POINT('',#482298); #154964=VERTEX_POINT('',#482300); #154965=VERTEX_POINT('',#482309); #154966=VERTEX_POINT('',#482310); #154967=VERTEX_POINT('',#482312); #154968=VERTEX_POINT('',#482314); #154969=VERTEX_POINT('',#482318); #154970=VERTEX_POINT('',#482320); #154971=VERTEX_POINT('',#482324); #154972=VERTEX_POINT('',#482326); #154973=VERTEX_POINT('',#482335); #154974=VERTEX_POINT('',#482337); #154975=VERTEX_POINT('',#482341); #154976=VERTEX_POINT('',#482343); #154977=VERTEX_POINT('',#482347); #154978=VERTEX_POINT('',#482348); #154979=VERTEX_POINT('',#482350); #154980=VERTEX_POINT('',#482352); #154981=VERTEX_POINT('',#482356); #154982=VERTEX_POINT('',#482358); #154983=VERTEX_POINT('',#482362); #154984=VERTEX_POINT('',#482364); #154985=VERTEX_POINT('',#482373); #154986=VERTEX_POINT('',#482374); #154987=VERTEX_POINT('',#482376); #154988=VERTEX_POINT('',#482378); #154989=VERTEX_POINT('',#482382); #154990=VERTEX_POINT('',#482384); #154991=VERTEX_POINT('',#482388); #154992=VERTEX_POINT('',#482390); #154993=VERTEX_POINT('',#482399); #154994=VERTEX_POINT('',#482401); #154995=VERTEX_POINT('',#482405); #154996=VERTEX_POINT('',#482407); #154997=VERTEX_POINT('',#482411); #154998=VERTEX_POINT('',#482412); #154999=VERTEX_POINT('',#482414); #155000=VERTEX_POINT('',#482416); #155001=VERTEX_POINT('',#482420); #155002=VERTEX_POINT('',#482422); #155003=VERTEX_POINT('',#482426); #155004=VERTEX_POINT('',#482428); #155005=VERTEX_POINT('',#482437); #155006=VERTEX_POINT('',#482438); #155007=VERTEX_POINT('',#482440); #155008=VERTEX_POINT('',#482442); #155009=VERTEX_POINT('',#482446); #155010=VERTEX_POINT('',#482448); #155011=VERTEX_POINT('',#482452); #155012=VERTEX_POINT('',#482454); #155013=VERTEX_POINT('',#482463); #155014=VERTEX_POINT('',#482465); #155015=VERTEX_POINT('',#482469); #155016=VERTEX_POINT('',#482471); #155017=VERTEX_POINT('',#482475); #155018=VERTEX_POINT('',#482476); #155019=VERTEX_POINT('',#482478); #155020=VERTEX_POINT('',#482480); #155021=VERTEX_POINT('',#482484); #155022=VERTEX_POINT('',#482486); #155023=VERTEX_POINT('',#482490); #155024=VERTEX_POINT('',#482492); #155025=VERTEX_POINT('',#482501); #155026=VERTEX_POINT('',#482502); #155027=VERTEX_POINT('',#482504); #155028=VERTEX_POINT('',#482506); #155029=VERTEX_POINT('',#482510); #155030=VERTEX_POINT('',#482512); #155031=VERTEX_POINT('',#482516); #155032=VERTEX_POINT('',#482518); #155033=VERTEX_POINT('',#482527); #155034=VERTEX_POINT('',#482529); #155035=VERTEX_POINT('',#482533); #155036=VERTEX_POINT('',#482535); #155037=VERTEX_POINT('',#482539); #155038=VERTEX_POINT('',#482540); #155039=VERTEX_POINT('',#482542); #155040=VERTEX_POINT('',#482544); #155041=VERTEX_POINT('',#482548); #155042=VERTEX_POINT('',#482550); #155043=VERTEX_POINT('',#482554); #155044=VERTEX_POINT('',#482556); #155045=VERTEX_POINT('',#482565); #155046=VERTEX_POINT('',#482566); #155047=VERTEX_POINT('',#482568); #155048=VERTEX_POINT('',#482570); #155049=VERTEX_POINT('',#482574); #155050=VERTEX_POINT('',#482576); #155051=VERTEX_POINT('',#482580); #155052=VERTEX_POINT('',#482582); #155053=VERTEX_POINT('',#482591); #155054=VERTEX_POINT('',#482592); #155055=VERTEX_POINT('',#482594); #155056=VERTEX_POINT('',#482596); #155057=VERTEX_POINT('',#482600); #155058=VERTEX_POINT('',#482602); #155059=VERTEX_POINT('',#482606); #155060=VERTEX_POINT('',#482608); #155061=VERTEX_POINT('',#482612); #155062=VERTEX_POINT('',#482614); #155063=VERTEX_POINT('',#482618); #155064=VERTEX_POINT('',#482620); #155065=VERTEX_POINT('',#482624); #155066=VERTEX_POINT('',#482626); #155067=VERTEX_POINT('',#482630); #155068=VERTEX_POINT('',#482632); #155069=VERTEX_POINT('',#482636); #155070=VERTEX_POINT('',#482638); #155071=VERTEX_POINT('',#482642); #155072=VERTEX_POINT('',#482644); #155073=VERTEX_POINT('',#482648); #155074=VERTEX_POINT('',#482650); #155075=VERTEX_POINT('',#482654); #155076=VERTEX_POINT('',#482656); #155077=VERTEX_POINT('',#482660); #155078=VERTEX_POINT('',#482662); #155079=VERTEX_POINT('',#482666); #155080=VERTEX_POINT('',#482668); #155081=VERTEX_POINT('',#482672); #155082=VERTEX_POINT('',#482674); #155083=VERTEX_POINT('',#482678); #155084=VERTEX_POINT('',#482680); #155085=VERTEX_POINT('',#482684); #155086=VERTEX_POINT('',#482686); #155087=VERTEX_POINT('',#482690); #155088=VERTEX_POINT('',#482692); #155089=VERTEX_POINT('',#482696); #155090=VERTEX_POINT('',#482698); #155091=VERTEX_POINT('',#482702); #155092=VERTEX_POINT('',#482704); #155093=VERTEX_POINT('',#482708); #155094=VERTEX_POINT('',#482710); #155095=VERTEX_POINT('',#482714); #155096=VERTEX_POINT('',#482716); #155097=VERTEX_POINT('',#482720); #155098=VERTEX_POINT('',#482722); #155099=VERTEX_POINT('',#482726); #155100=VERTEX_POINT('',#482728); #155101=VERTEX_POINT('',#482732); #155102=VERTEX_POINT('',#482734); #155103=VERTEX_POINT('',#482738); #155104=VERTEX_POINT('',#482740); #155105=VERTEX_POINT('',#482744); #155106=VERTEX_POINT('',#482746); #155107=VERTEX_POINT('',#482750); #155108=VERTEX_POINT('',#482752); #155109=VERTEX_POINT('',#482756); #155110=VERTEX_POINT('',#482758); #155111=VERTEX_POINT('',#482762); #155112=VERTEX_POINT('',#482764); #155113=VERTEX_POINT('',#482768); #155114=VERTEX_POINT('',#482770); #155115=VERTEX_POINT('',#482774); #155116=VERTEX_POINT('',#482776); #155117=VERTEX_POINT('',#482780); #155118=VERTEX_POINT('',#482782); #155119=VERTEX_POINT('',#482786); #155120=VERTEX_POINT('',#482788); #155121=VERTEX_POINT('',#482792); #155122=VERTEX_POINT('',#482794); #155123=VERTEX_POINT('',#482798); #155124=VERTEX_POINT('',#482800); #155125=VERTEX_POINT('',#482804); #155126=VERTEX_POINT('',#482806); #155127=VERTEX_POINT('',#482810); #155128=VERTEX_POINT('',#482812); #155129=VERTEX_POINT('',#482816); #155130=VERTEX_POINT('',#482818); #155131=VERTEX_POINT('',#482822); #155132=VERTEX_POINT('',#482824); #155133=VERTEX_POINT('',#482828); #155134=VERTEX_POINT('',#482830); #155135=VERTEX_POINT('',#482834); #155136=VERTEX_POINT('',#482836); #155137=VERTEX_POINT('',#482840); #155138=VERTEX_POINT('',#482842); #155139=VERTEX_POINT('',#482846); #155140=VERTEX_POINT('',#482848); #155141=VERTEX_POINT('',#482852); #155142=VERTEX_POINT('',#482854); #155143=VERTEX_POINT('',#482858); #155144=VERTEX_POINT('',#482860); #155145=VERTEX_POINT('',#482864); #155146=VERTEX_POINT('',#482866); #155147=VERTEX_POINT('',#482870); #155148=VERTEX_POINT('',#482872); #155149=VERTEX_POINT('',#482876); #155150=VERTEX_POINT('',#482878); #155151=VERTEX_POINT('',#482882); #155152=VERTEX_POINT('',#482884); #155153=VERTEX_POINT('',#482888); #155154=VERTEX_POINT('',#482890); #155155=VERTEX_POINT('',#482894); #155156=VERTEX_POINT('',#482896); #155157=VERTEX_POINT('',#482900); #155158=VERTEX_POINT('',#482902); #155159=VERTEX_POINT('',#482906); #155160=VERTEX_POINT('',#482908); #155161=VERTEX_POINT('',#482912); #155162=VERTEX_POINT('',#482914); #155163=VERTEX_POINT('',#482918); #155164=VERTEX_POINT('',#482920); #155165=VERTEX_POINT('',#482924); #155166=VERTEX_POINT('',#482926); #155167=VERTEX_POINT('',#482930); #155168=VERTEX_POINT('',#482932); #155169=VERTEX_POINT('',#482941); #155170=VERTEX_POINT('',#482943); #155171=VERTEX_POINT('',#482947); #155172=VERTEX_POINT('',#482949); #155173=VERTEX_POINT('',#482953); #155174=VERTEX_POINT('',#482954); #155175=VERTEX_POINT('',#482956); #155176=VERTEX_POINT('',#482958); #155177=VERTEX_POINT('',#482962); #155178=VERTEX_POINT('',#482964); #155179=VERTEX_POINT('',#482968); #155180=VERTEX_POINT('',#482970); #155181=VERTEX_POINT('',#482974); #155182=VERTEX_POINT('',#482976); #155183=VERTEX_POINT('',#482980); #155184=VERTEX_POINT('',#482982); #155185=VERTEX_POINT('',#482986); #155186=VERTEX_POINT('',#482988); #155187=VERTEX_POINT('',#482992); #155188=VERTEX_POINT('',#482994); #155189=VERTEX_POINT('',#483003); #155190=VERTEX_POINT('',#483005); #155191=VERTEX_POINT('',#483009); #155192=VERTEX_POINT('',#483010); #155193=VERTEX_POINT('',#483012); #155194=VERTEX_POINT('',#483014); #155195=VERTEX_POINT('',#483018); #155196=VERTEX_POINT('',#483020); #155197=VERTEX_POINT('',#483024); #155198=VERTEX_POINT('',#483026); #155199=VERTEX_POINT('',#483030); #155200=VERTEX_POINT('',#483032); #155201=VERTEX_POINT('',#483036); #155202=VERTEX_POINT('',#483038); #155203=VERTEX_POINT('',#483042); #155204=VERTEX_POINT('',#483044); #155205=VERTEX_POINT('',#483048); #155206=VERTEX_POINT('',#483050); #155207=VERTEX_POINT('',#483054); #155208=VERTEX_POINT('',#483056); #155209=VERTEX_POINT('',#483060); #155210=VERTEX_POINT('',#483062); #155211=VERTEX_POINT('',#483071); #155212=VERTEX_POINT('',#483073); #155213=VERTEX_POINT('',#483077); #155214=VERTEX_POINT('',#483078); #155215=VERTEX_POINT('',#483080); #155216=VERTEX_POINT('',#483082); #155217=VERTEX_POINT('',#483086); #155218=VERTEX_POINT('',#483088); #155219=VERTEX_POINT('',#483092); #155220=VERTEX_POINT('',#483094); #155221=VERTEX_POINT('',#483098); #155222=VERTEX_POINT('',#483100); #155223=VERTEX_POINT('',#483104); #155224=VERTEX_POINT('',#483106); #155225=VERTEX_POINT('',#483110); #155226=VERTEX_POINT('',#483112); #155227=VERTEX_POINT('',#483116); #155228=VERTEX_POINT('',#483118); #155229=VERTEX_POINT('',#483122); #155230=VERTEX_POINT('',#483124); #155231=VERTEX_POINT('',#483128); #155232=VERTEX_POINT('',#483130); #155233=VERTEX_POINT('',#483134); #155234=VERTEX_POINT('',#483136); #155235=VERTEX_POINT('',#483140); #155236=VERTEX_POINT('',#483142); #155237=VERTEX_POINT('',#483146); #155238=VERTEX_POINT('',#483148); #155239=VERTEX_POINT('',#483157); #155240=VERTEX_POINT('',#483159); #155241=VERTEX_POINT('',#483163); #155242=VERTEX_POINT('',#483165); #155243=VERTEX_POINT('',#483169); #155244=VERTEX_POINT('',#483170); #155245=VERTEX_POINT('',#483172); #155246=VERTEX_POINT('',#483174); #155247=VERTEX_POINT('',#483178); #155248=VERTEX_POINT('',#483180); #155249=VERTEX_POINT('',#483184); #155250=VERTEX_POINT('',#483186); #155251=VERTEX_POINT('',#483190); #155252=VERTEX_POINT('',#483192); #155253=VERTEX_POINT('',#483196); #155254=VERTEX_POINT('',#483198); #155255=VERTEX_POINT('',#483202); #155256=VERTEX_POINT('',#483204); #155257=VERTEX_POINT('',#483208); #155258=VERTEX_POINT('',#483210); #155259=VERTEX_POINT('',#483214); #155260=VERTEX_POINT('',#483216); #155261=VERTEX_POINT('',#483220); #155262=VERTEX_POINT('',#483222); #155263=VERTEX_POINT('',#483226); #155264=VERTEX_POINT('',#483228); #155265=VERTEX_POINT('',#483232); #155266=VERTEX_POINT('',#483234); #155267=VERTEX_POINT('',#483238); #155268=VERTEX_POINT('',#483240); #155269=VERTEX_POINT('',#483244); #155270=VERTEX_POINT('',#483246); #155271=VERTEX_POINT('',#483250); #155272=VERTEX_POINT('',#483252); #155273=VERTEX_POINT('',#483256); #155274=VERTEX_POINT('',#483258); #155275=VERTEX_POINT('',#483262); #155276=VERTEX_POINT('',#483264); #155277=VERTEX_POINT('',#483268); #155278=VERTEX_POINT('',#483270); #155279=VERTEX_POINT('',#483274); #155280=VERTEX_POINT('',#483276); #155281=VERTEX_POINT('',#483280); #155282=VERTEX_POINT('',#483282); #155283=VERTEX_POINT('',#483286); #155284=VERTEX_POINT('',#483288); #155285=VERTEX_POINT('',#483292); #155286=VERTEX_POINT('',#483294); #155287=VERTEX_POINT('',#483298); #155288=VERTEX_POINT('',#483300); #155289=VERTEX_POINT('',#483304); #155290=VERTEX_POINT('',#483306); #155291=VERTEX_POINT('',#483310); #155292=VERTEX_POINT('',#483312); #155293=VERTEX_POINT('',#483316); #155294=VERTEX_POINT('',#483318); #155295=VERTEX_POINT('',#483322); #155296=VERTEX_POINT('',#483324); #155297=VERTEX_POINT('',#483328); #155298=VERTEX_POINT('',#483330); #155299=VERTEX_POINT('',#483334); #155300=VERTEX_POINT('',#483336); #155301=VERTEX_POINT('',#483340); #155302=VERTEX_POINT('',#483342); #155303=VERTEX_POINT('',#483346); #155304=VERTEX_POINT('',#483348); #155305=VERTEX_POINT('',#483352); #155306=VERTEX_POINT('',#483354); #155307=VERTEX_POINT('',#483358); #155308=VERTEX_POINT('',#483360); #155309=VERTEX_POINT('',#483364); #155310=VERTEX_POINT('',#483366); #155311=VERTEX_POINT('',#483370); #155312=VERTEX_POINT('',#483372); #155313=VERTEX_POINT('',#483376); #155314=VERTEX_POINT('',#483378); #155315=VERTEX_POINT('',#483382); #155316=VERTEX_POINT('',#483384); #155317=VERTEX_POINT('',#483388); #155318=VERTEX_POINT('',#483390); #155319=VERTEX_POINT('',#483394); #155320=VERTEX_POINT('',#483396); #155321=VERTEX_POINT('',#483400); #155322=VERTEX_POINT('',#483402); #155323=VERTEX_POINT('',#483406); #155324=VERTEX_POINT('',#483408); #155325=VERTEX_POINT('',#483412); #155326=VERTEX_POINT('',#483414); #155327=VERTEX_POINT('',#483418); #155328=VERTEX_POINT('',#483420); #155329=VERTEX_POINT('',#483424); #155330=VERTEX_POINT('',#483426); #155331=VERTEX_POINT('',#483435); #155332=VERTEX_POINT('',#483437); #155333=VERTEX_POINT('',#483441); #155334=VERTEX_POINT('',#483443); #155335=VERTEX_POINT('',#483447); #155336=VERTEX_POINT('',#483448); #155337=VERTEX_POINT('',#483450); #155338=VERTEX_POINT('',#483452); #155339=VERTEX_POINT('',#483456); #155340=VERTEX_POINT('',#483458); #155341=VERTEX_POINT('',#483462); #155342=VERTEX_POINT('',#483464); #155343=VERTEX_POINT('',#483468); #155344=VERTEX_POINT('',#483470); #155345=VERTEX_POINT('',#483474); #155346=VERTEX_POINT('',#483476); #155347=VERTEX_POINT('',#483480); #155348=VERTEX_POINT('',#483482); #155349=VERTEX_POINT('',#483486); #155350=VERTEX_POINT('',#483488); #155351=VERTEX_POINT('',#483492); #155352=VERTEX_POINT('',#483494); #155353=VERTEX_POINT('',#483498); #155354=VERTEX_POINT('',#483500); #155355=VERTEX_POINT('',#483504); #155356=VERTEX_POINT('',#483506); #155357=VERTEX_POINT('',#483515); #155358=VERTEX_POINT('',#483517); #155359=VERTEX_POINT('',#483521); #155360=VERTEX_POINT('',#483522); #155361=VERTEX_POINT('',#483524); #155362=VERTEX_POINT('',#483526); #155363=VERTEX_POINT('',#483530); #155364=VERTEX_POINT('',#483532); #155365=VERTEX_POINT('',#483536); #155366=VERTEX_POINT('',#483538); #155367=VERTEX_POINT('',#483542); #155368=VERTEX_POINT('',#483544); #155369=VERTEX_POINT('',#483548); #155370=VERTEX_POINT('',#483550); #155371=VERTEX_POINT('',#483554); #155372=VERTEX_POINT('',#483556); #155373=VERTEX_POINT('',#483560); #155374=VERTEX_POINT('',#483562); #155375=VERTEX_POINT('',#483566); #155376=VERTEX_POINT('',#483568); #155377=VERTEX_POINT('',#483572); #155378=VERTEX_POINT('',#483574); #155379=VERTEX_POINT('',#483578); #155380=VERTEX_POINT('',#483580); #155381=VERTEX_POINT('',#483584); #155382=VERTEX_POINT('',#483586); #155383=VERTEX_POINT('',#483590); #155384=VERTEX_POINT('',#483592); #155385=VERTEX_POINT('',#483596); #155386=VERTEX_POINT('',#483598); #155387=VERTEX_POINT('',#483602); #155388=VERTEX_POINT('',#483604); #155389=VERTEX_POINT('',#483608); #155390=VERTEX_POINT('',#483610); #155391=VERTEX_POINT('',#483614); #155392=VERTEX_POINT('',#483616); #155393=VERTEX_POINT('',#483620); #155394=VERTEX_POINT('',#483622); #155395=VERTEX_POINT('',#483626); #155396=VERTEX_POINT('',#483628); #155397=VERTEX_POINT('',#483632); #155398=VERTEX_POINT('',#483634); #155399=VERTEX_POINT('',#483638); #155400=VERTEX_POINT('',#483640); #155401=VERTEX_POINT('',#483644); #155402=VERTEX_POINT('',#483646); #155403=VERTEX_POINT('',#483650); #155404=VERTEX_POINT('',#483652); #155405=VERTEX_POINT('',#483656); #155406=VERTEX_POINT('',#483658); #155407=VERTEX_POINT('',#483667); #155408=VERTEX_POINT('',#483669); #155409=VERTEX_POINT('',#483673); #155410=VERTEX_POINT('',#483674); #155411=VERTEX_POINT('',#483676); #155412=VERTEX_POINT('',#483678); #155413=VERTEX_POINT('',#483682); #155414=VERTEX_POINT('',#483684); #155415=VERTEX_POINT('',#483688); #155416=VERTEX_POINT('',#483690); #155417=VERTEX_POINT('',#483694); #155418=VERTEX_POINT('',#483696); #155419=VERTEX_POINT('',#483700); #155420=VERTEX_POINT('',#483702); #155421=VERTEX_POINT('',#483706); #155422=VERTEX_POINT('',#483708); #155423=VERTEX_POINT('',#483712); #155424=VERTEX_POINT('',#483714); #155425=VERTEX_POINT('',#483718); #155426=VERTEX_POINT('',#483720); #155427=VERTEX_POINT('',#483724); #155428=VERTEX_POINT('',#483726); #155429=VERTEX_POINT('',#483730); #155430=VERTEX_POINT('',#483732); #155431=VERTEX_POINT('',#483736); #155432=VERTEX_POINT('',#483738); #155433=VERTEX_POINT('',#483742); #155434=VERTEX_POINT('',#483744); #155435=VERTEX_POINT('',#483748); #155436=VERTEX_POINT('',#483750); #155437=VERTEX_POINT('',#483754); #155438=VERTEX_POINT('',#483756); #155439=VERTEX_POINT('',#483760); #155440=VERTEX_POINT('',#483762); #155441=VERTEX_POINT('',#483766); #155442=VERTEX_POINT('',#483768); #155443=VERTEX_POINT('',#483772); #155444=VERTEX_POINT('',#483774); #155445=VERTEX_POINT('',#483778); #155446=VERTEX_POINT('',#483780); #155447=VERTEX_POINT('',#483784); #155448=VERTEX_POINT('',#483786); #155449=VERTEX_POINT('',#483790); #155450=VERTEX_POINT('',#483792); #155451=VERTEX_POINT('',#483796); #155452=VERTEX_POINT('',#483798); #155453=VERTEX_POINT('',#483802); #155454=VERTEX_POINT('',#483804); #155455=VERTEX_POINT('',#483808); #155456=VERTEX_POINT('',#483810); #155457=VERTEX_POINT('',#483814); #155458=VERTEX_POINT('',#483816); #155459=VERTEX_POINT('',#483820); #155460=VERTEX_POINT('',#483822); #155461=VERTEX_POINT('',#483831); #155462=VERTEX_POINT('',#483833); #155463=VERTEX_POINT('',#483837); #155464=VERTEX_POINT('',#483839); #155465=VERTEX_POINT('',#483843); #155466=VERTEX_POINT('',#483844); #155467=VERTEX_POINT('',#483846); #155468=VERTEX_POINT('',#483848); #155469=VERTEX_POINT('',#483852); #155470=VERTEX_POINT('',#483854); #155471=VERTEX_POINT('',#483858); #155472=VERTEX_POINT('',#483860); #155473=VERTEX_POINT('',#483864); #155474=VERTEX_POINT('',#483866); #155475=VERTEX_POINT('',#483870); #155476=VERTEX_POINT('',#483872); #155477=VERTEX_POINT('',#483876); #155478=VERTEX_POINT('',#483878); #155479=VERTEX_POINT('',#483882); #155480=VERTEX_POINT('',#483884); #155481=VERTEX_POINT('',#483888); #155482=VERTEX_POINT('',#483890); #155483=VERTEX_POINT('',#483894); #155484=VERTEX_POINT('',#483896); #155485=VERTEX_POINT('',#483900); #155486=VERTEX_POINT('',#483902); #155487=VERTEX_POINT('',#483906); #155488=VERTEX_POINT('',#483908); #155489=VERTEX_POINT('',#483912); #155490=VERTEX_POINT('',#483914); #155491=VERTEX_POINT('',#483918); #155492=VERTEX_POINT('',#483920); #155493=VERTEX_POINT('',#483924); #155494=VERTEX_POINT('',#483926); #155495=VERTEX_POINT('',#483930); #155496=VERTEX_POINT('',#483932); #155497=VERTEX_POINT('',#483936); #155498=VERTEX_POINT('',#483938); #155499=VERTEX_POINT('',#483942); #155500=VERTEX_POINT('',#483944); #155501=VERTEX_POINT('',#483948); #155502=VERTEX_POINT('',#483950); #155503=VERTEX_POINT('',#483954); #155504=VERTEX_POINT('',#483956); #155505=VERTEX_POINT('',#483960); #155506=VERTEX_POINT('',#483962); #155507=VERTEX_POINT('',#483966); #155508=VERTEX_POINT('',#483968); #155509=VERTEX_POINT('',#483972); #155510=VERTEX_POINT('',#483974); #155511=VERTEX_POINT('',#483978); #155512=VERTEX_POINT('',#483980); #155513=VERTEX_POINT('',#483984); #155514=VERTEX_POINT('',#483986); #155515=VERTEX_POINT('',#483990); #155516=VERTEX_POINT('',#483992); #155517=VERTEX_POINT('',#483996); #155518=VERTEX_POINT('',#483998); #155519=VERTEX_POINT('',#484002); #155520=VERTEX_POINT('',#484004); #155521=VERTEX_POINT('',#484008); #155522=VERTEX_POINT('',#484010); #155523=VERTEX_POINT('',#484014); #155524=VERTEX_POINT('',#484016); #155525=VERTEX_POINT('',#484020); #155526=VERTEX_POINT('',#484022); #155527=VERTEX_POINT('',#484026); #155528=VERTEX_POINT('',#484028); #155529=VERTEX_POINT('',#484032); #155530=VERTEX_POINT('',#484034); #155531=VERTEX_POINT('',#484038); #155532=VERTEX_POINT('',#484040); #155533=VERTEX_POINT('',#484044); #155534=VERTEX_POINT('',#484046); #155535=VERTEX_POINT('',#484050); #155536=VERTEX_POINT('',#484052); #155537=VERTEX_POINT('',#484056); #155538=VERTEX_POINT('',#484058); #155539=VERTEX_POINT('',#484062); #155540=VERTEX_POINT('',#484064); #155541=VERTEX_POINT('',#484068); #155542=VERTEX_POINT('',#484070); #155543=VERTEX_POINT('',#484074); #155544=VERTEX_POINT('',#484076); #155545=VERTEX_POINT('',#484080); #155546=VERTEX_POINT('',#484082); #155547=VERTEX_POINT('',#484086); #155548=VERTEX_POINT('',#484088); #155549=VERTEX_POINT('',#484092); #155550=VERTEX_POINT('',#484094); #155551=VERTEX_POINT('',#484098); #155552=VERTEX_POINT('',#484100); #155553=VERTEX_POINT('',#484104); #155554=VERTEX_POINT('',#484106); #155555=VERTEX_POINT('',#484110); #155556=VERTEX_POINT('',#484112); #155557=VERTEX_POINT('',#484116); #155558=VERTEX_POINT('',#484118); #155559=VERTEX_POINT('',#484122); #155560=VERTEX_POINT('',#484124); #155561=VERTEX_POINT('',#484128); #155562=VERTEX_POINT('',#484130); #155563=VERTEX_POINT('',#484134); #155564=VERTEX_POINT('',#484136); #155565=VERTEX_POINT('',#484140); #155566=VERTEX_POINT('',#484142); #155567=VERTEX_POINT('',#484146); #155568=VERTEX_POINT('',#484148); #155569=VERTEX_POINT('',#484152); #155570=VERTEX_POINT('',#484154); #155571=VERTEX_POINT('',#484158); #155572=VERTEX_POINT('',#484160); #155573=VERTEX_POINT('',#484164); #155574=VERTEX_POINT('',#484166); #155575=VERTEX_POINT('',#484170); #155576=VERTEX_POINT('',#484172); #155577=VERTEX_POINT('',#484176); #155578=VERTEX_POINT('',#484178); #155579=VERTEX_POINT('',#484182); #155580=VERTEX_POINT('',#484184); #155581=VERTEX_POINT('',#484188); #155582=VERTEX_POINT('',#484190); #155583=VERTEX_POINT('',#484194); #155584=VERTEX_POINT('',#484196); #155585=VERTEX_POINT('',#484200); #155586=VERTEX_POINT('',#484202); #155587=VERTEX_POINT('',#484211); #155588=VERTEX_POINT('',#484213); #155589=VERTEX_POINT('',#484217); #155590=VERTEX_POINT('',#484219); #155591=VERTEX_POINT('',#484223); #155592=VERTEX_POINT('',#484224); #155593=VERTEX_POINT('',#484226); #155594=VERTEX_POINT('',#484228); #155595=VERTEX_POINT('',#484232); #155596=VERTEX_POINT('',#484234); #155597=VERTEX_POINT('',#484238); #155598=VERTEX_POINT('',#484240); #155599=VERTEX_POINT('',#484244); #155600=VERTEX_POINT('',#484246); #155601=VERTEX_POINT('',#484250); #155602=VERTEX_POINT('',#484252); #155603=VERTEX_POINT('',#484256); #155604=VERTEX_POINT('',#484258); #155605=VERTEX_POINT('',#484262); #155606=VERTEX_POINT('',#484264); #155607=VERTEX_POINT('',#484273); #155608=VERTEX_POINT('',#484275); #155609=VERTEX_POINT('',#484279); #155610=VERTEX_POINT('',#484281); #155611=VERTEX_POINT('',#484285); #155612=VERTEX_POINT('',#484286); #155613=VERTEX_POINT('',#484288); #155614=VERTEX_POINT('',#484290); #155615=VERTEX_POINT('',#484294); #155616=VERTEX_POINT('',#484296); #155617=VERTEX_POINT('',#484300); #155618=VERTEX_POINT('',#484302); #155619=VERTEX_POINT('',#484306); #155620=VERTEX_POINT('',#484308); #155621=VERTEX_POINT('',#484312); #155622=VERTEX_POINT('',#484314); #155623=VERTEX_POINT('',#484318); #155624=VERTEX_POINT('',#484320); #155625=VERTEX_POINT('',#484324); #155626=VERTEX_POINT('',#484326); #155627=VERTEX_POINT('',#484335); #155628=VERTEX_POINT('',#484337); #155629=VERTEX_POINT('',#484341); #155630=VERTEX_POINT('',#484343); #155631=VERTEX_POINT('',#484347); #155632=VERTEX_POINT('',#484348); #155633=VERTEX_POINT('',#484350); #155634=VERTEX_POINT('',#484352); #155635=VERTEX_POINT('',#484356); #155636=VERTEX_POINT('',#484358); #155637=VERTEX_POINT('',#484362); #155638=VERTEX_POINT('',#484364); #155639=VERTEX_POINT('',#484368); #155640=VERTEX_POINT('',#484370); #155641=VERTEX_POINT('',#484374); #155642=VERTEX_POINT('',#484376); #155643=VERTEX_POINT('',#484380); #155644=VERTEX_POINT('',#484382); #155645=VERTEX_POINT('',#484386); #155646=VERTEX_POINT('',#484388); #155647=VERTEX_POINT('',#484397); #155648=VERTEX_POINT('',#484399); #155649=VERTEX_POINT('',#484403); #155650=VERTEX_POINT('',#484405); #155651=VERTEX_POINT('',#484409); #155652=VERTEX_POINT('',#484410); #155653=VERTEX_POINT('',#484412); #155654=VERTEX_POINT('',#484414); #155655=VERTEX_POINT('',#484418); #155656=VERTEX_POINT('',#484420); #155657=VERTEX_POINT('',#484424); #155658=VERTEX_POINT('',#484426); #155659=VERTEX_POINT('',#484430); #155660=VERTEX_POINT('',#484432); #155661=VERTEX_POINT('',#484436); #155662=VERTEX_POINT('',#484438); #155663=VERTEX_POINT('',#484442); #155664=VERTEX_POINT('',#484444); #155665=VERTEX_POINT('',#484448); #155666=VERTEX_POINT('',#484450); #155667=VERTEX_POINT('',#484454); #155668=VERTEX_POINT('',#484456); #155669=VERTEX_POINT('',#484460); #155670=VERTEX_POINT('',#484462); #155671=VERTEX_POINT('',#484466); #155672=VERTEX_POINT('',#484468); #155673=VERTEX_POINT('',#484472); #155674=VERTEX_POINT('',#484474); #155675=VERTEX_POINT('',#484478); #155676=VERTEX_POINT('',#484480); #155677=VERTEX_POINT('',#484489); #155678=VERTEX_POINT('',#484491); #155679=VERTEX_POINT('',#484495); #155680=VERTEX_POINT('',#484496); #155681=VERTEX_POINT('',#484498); #155682=VERTEX_POINT('',#484500); #155683=VERTEX_POINT('',#484504); #155684=VERTEX_POINT('',#484506); #155685=VERTEX_POINT('',#484510); #155686=VERTEX_POINT('',#484512); #155687=VERTEX_POINT('',#484521); #155688=VERTEX_POINT('',#484523); #155689=VERTEX_POINT('',#484527); #155690=VERTEX_POINT('',#484528); #155691=VERTEX_POINT('',#484530); #155692=VERTEX_POINT('',#484532); #155693=VERTEX_POINT('',#484536); #155694=VERTEX_POINT('',#484538); #155695=VERTEX_POINT('',#484542); #155696=VERTEX_POINT('',#484544); #155697=VERTEX_POINT('',#484553); #155698=VERTEX_POINT('',#484555); #155699=VERTEX_POINT('',#484559); #155700=VERTEX_POINT('',#484560); #155701=VERTEX_POINT('',#484562); #155702=VERTEX_POINT('',#484564); #155703=VERTEX_POINT('',#484568); #155704=VERTEX_POINT('',#484570); #155705=VERTEX_POINT('',#484574); #155706=VERTEX_POINT('',#484576); #155707=VERTEX_POINT('',#484585); #155708=VERTEX_POINT('',#484587); #155709=VERTEX_POINT('',#484591); #155710=VERTEX_POINT('',#484592); #155711=VERTEX_POINT('',#484594); #155712=VERTEX_POINT('',#484596); #155713=VERTEX_POINT('',#484600); #155714=VERTEX_POINT('',#484602); #155715=VERTEX_POINT('',#484606); #155716=VERTEX_POINT('',#484608); #155717=VERTEX_POINT('',#484612); #155718=VERTEX_POINT('',#484614); #155719=VERTEX_POINT('',#484618); #155720=VERTEX_POINT('',#484620); #155721=VERTEX_POINT('',#484624); #155722=VERTEX_POINT('',#484626); #155723=VERTEX_POINT('',#484630); #155724=VERTEX_POINT('',#484632); #155725=VERTEX_POINT('',#484636); #155726=VERTEX_POINT('',#484638); #155727=VERTEX_POINT('',#484642); #155728=VERTEX_POINT('',#484644); #155729=VERTEX_POINT('',#484653); #155730=VERTEX_POINT('',#484655); #155731=VERTEX_POINT('',#484659); #155732=VERTEX_POINT('',#484661); #155733=VERTEX_POINT('',#484665); #155734=VERTEX_POINT('',#484666); #155735=VERTEX_POINT('',#484668); #155736=VERTEX_POINT('',#484670); #155737=VERTEX_POINT('',#484674); #155738=VERTEX_POINT('',#484676); #155739=VERTEX_POINT('',#484680); #155740=VERTEX_POINT('',#484682); #155741=VERTEX_POINT('',#484686); #155742=VERTEX_POINT('',#484688); #155743=VERTEX_POINT('',#484692); #155744=VERTEX_POINT('',#484694); #155745=VERTEX_POINT('',#484698); #155746=VERTEX_POINT('',#484700); #155747=VERTEX_POINT('',#484704); #155748=VERTEX_POINT('',#484706); #155749=VERTEX_POINT('',#484710); #155750=VERTEX_POINT('',#484712); #155751=VERTEX_POINT('',#484716); #155752=VERTEX_POINT('',#484718); #155753=VERTEX_POINT('',#484722); #155754=VERTEX_POINT('',#484724); #155755=VERTEX_POINT('',#484728); #155756=VERTEX_POINT('',#484730); #155757=VERTEX_POINT('',#484734); #155758=VERTEX_POINT('',#484736); #155759=VERTEX_POINT('',#484740); #155760=VERTEX_POINT('',#484742); #155761=VERTEX_POINT('',#484746); #155762=VERTEX_POINT('',#484748); #155763=VERTEX_POINT('',#484752); #155764=VERTEX_POINT('',#484754); #155765=VERTEX_POINT('',#484758); #155766=VERTEX_POINT('',#484760); #155767=VERTEX_POINT('',#484764); #155768=VERTEX_POINT('',#484766); #155769=VERTEX_POINT('',#484770); #155770=VERTEX_POINT('',#484772); #155771=VERTEX_POINT('',#484776); #155772=VERTEX_POINT('',#484778); #155773=VERTEX_POINT('',#484782); #155774=VERTEX_POINT('',#484784); #155775=VERTEX_POINT('',#484788); #155776=VERTEX_POINT('',#484790); #155777=VERTEX_POINT('',#484794); #155778=VERTEX_POINT('',#484796); #155779=VERTEX_POINT('',#484800); #155780=VERTEX_POINT('',#484802); #155781=VERTEX_POINT('',#484806); #155782=VERTEX_POINT('',#484808); #155783=VERTEX_POINT('',#484812); #155784=VERTEX_POINT('',#484814); #155785=VERTEX_POINT('',#484818); #155786=VERTEX_POINT('',#484820); #155787=VERTEX_POINT('',#484824); #155788=VERTEX_POINT('',#484826); #155789=VERTEX_POINT('',#484830); #155790=VERTEX_POINT('',#484832); #155791=VERTEX_POINT('',#484836); #155792=VERTEX_POINT('',#484838); #155793=VERTEX_POINT('',#484842); #155794=VERTEX_POINT('',#484844); #155795=VERTEX_POINT('',#484848); #155796=VERTEX_POINT('',#484850); #155797=VERTEX_POINT('',#484854); #155798=VERTEX_POINT('',#484856); #155799=VERTEX_POINT('',#484860); #155800=VERTEX_POINT('',#484862); #155801=VERTEX_POINT('',#484866); #155802=VERTEX_POINT('',#484868); #155803=VERTEX_POINT('',#484872); #155804=VERTEX_POINT('',#484874); #155805=VERTEX_POINT('',#484878); #155806=VERTEX_POINT('',#484880); #155807=VERTEX_POINT('',#484884); #155808=VERTEX_POINT('',#484886); #155809=VERTEX_POINT('',#484890); #155810=VERTEX_POINT('',#484892); #155811=VERTEX_POINT('',#484896); #155812=VERTEX_POINT('',#484898); #155813=VERTEX_POINT('',#484902); #155814=VERTEX_POINT('',#484904); #155815=VERTEX_POINT('',#484908); #155816=VERTEX_POINT('',#484910); #155817=VERTEX_POINT('',#484914); #155818=VERTEX_POINT('',#484916); #155819=VERTEX_POINT('',#484920); #155820=VERTEX_POINT('',#484922); #155821=VERTEX_POINT('',#484926); #155822=VERTEX_POINT('',#484928); #155823=VERTEX_POINT('',#484932); #155824=VERTEX_POINT('',#484934); #155825=VERTEX_POINT('',#484938); #155826=VERTEX_POINT('',#484940); #155827=VERTEX_POINT('',#484944); #155828=VERTEX_POINT('',#484946); #155829=VERTEX_POINT('',#484950); #155830=VERTEX_POINT('',#484952); #155831=VERTEX_POINT('',#484956); #155832=VERTEX_POINT('',#484958); #155833=VERTEX_POINT('',#484962); #155834=VERTEX_POINT('',#484964); #155835=VERTEX_POINT('',#484968); #155836=VERTEX_POINT('',#484970); #155837=VERTEX_POINT('',#484974); #155838=VERTEX_POINT('',#484976); #155839=VERTEX_POINT('',#484980); #155840=VERTEX_POINT('',#484982); #155841=VERTEX_POINT('',#484986); #155842=VERTEX_POINT('',#484988); #155843=VERTEX_POINT('',#484992); #155844=VERTEX_POINT('',#484994); #155845=VERTEX_POINT('',#484998); #155846=VERTEX_POINT('',#485000); #155847=VERTEX_POINT('',#485004); #155848=VERTEX_POINT('',#485006); #155849=VERTEX_POINT('',#485010); #155850=VERTEX_POINT('',#485012); #155851=VERTEX_POINT('',#485016); #155852=VERTEX_POINT('',#485018); #155853=VERTEX_POINT('',#485022); #155854=VERTEX_POINT('',#485024); #155855=VERTEX_POINT('',#485033); #155856=VERTEX_POINT('',#485035); #155857=VERTEX_POINT('',#485039); #155858=VERTEX_POINT('',#485041); #155859=VERTEX_POINT('',#485045); #155860=VERTEX_POINT('',#485046); #155861=VERTEX_POINT('',#485048); #155862=VERTEX_POINT('',#485050); #155863=VERTEX_POINT('',#485054); #155864=VERTEX_POINT('',#485056); #155865=VERTEX_POINT('',#485060); #155866=VERTEX_POINT('',#485062); #155867=VERTEX_POINT('',#485066); #155868=VERTEX_POINT('',#485068); #155869=VERTEX_POINT('',#485072); #155870=VERTEX_POINT('',#485074); #155871=VERTEX_POINT('',#485078); #155872=VERTEX_POINT('',#485080); #155873=VERTEX_POINT('',#485089); #155874=VERTEX_POINT('',#485091); #155875=VERTEX_POINT('',#485095); #155876=VERTEX_POINT('',#485096); #155877=VERTEX_POINT('',#485098); #155878=VERTEX_POINT('',#485100); #155879=VERTEX_POINT('',#485104); #155880=VERTEX_POINT('',#485106); #155881=VERTEX_POINT('',#485110); #155882=VERTEX_POINT('',#485112); #155883=VERTEX_POINT('',#485116); #155884=VERTEX_POINT('',#485118); #155885=VERTEX_POINT('',#485122); #155886=VERTEX_POINT('',#485124); #155887=VERTEX_POINT('',#485128); #155888=VERTEX_POINT('',#485130); #155889=VERTEX_POINT('',#485134); #155890=VERTEX_POINT('',#485136); #155891=VERTEX_POINT('',#485140); #155892=VERTEX_POINT('',#485142); #155893=VERTEX_POINT('',#485146); #155894=VERTEX_POINT('',#485148); #155895=VERTEX_POINT('',#485157); #155896=VERTEX_POINT('',#485159); #155897=VERTEX_POINT('',#485163); #155898=VERTEX_POINT('',#485164); #155899=VERTEX_POINT('',#485166); #155900=VERTEX_POINT('',#485168); #155901=VERTEX_POINT('',#485172); #155902=VERTEX_POINT('',#485174); #155903=VERTEX_POINT('',#485178); #155904=VERTEX_POINT('',#485180); #155905=VERTEX_POINT('',#485184); #155906=VERTEX_POINT('',#485186); #155907=VERTEX_POINT('',#485190); #155908=VERTEX_POINT('',#485192); #155909=VERTEX_POINT('',#485196); #155910=VERTEX_POINT('',#485198); #155911=VERTEX_POINT('',#485202); #155912=VERTEX_POINT('',#485204); #155913=VERTEX_POINT('',#485208); #155914=VERTEX_POINT('',#485210); #155915=VERTEX_POINT('',#485214); #155916=VERTEX_POINT('',#485216); #155917=VERTEX_POINT('',#485225); #155918=VERTEX_POINT('',#485227); #155919=VERTEX_POINT('',#485231); #155920=VERTEX_POINT('',#485232); #155921=VERTEX_POINT('',#485234); #155922=VERTEX_POINT('',#485236); #155923=VERTEX_POINT('',#485240); #155924=VERTEX_POINT('',#485242); #155925=VERTEX_POINT('',#485246); #155926=VERTEX_POINT('',#485248); #155927=VERTEX_POINT('',#485252); #155928=VERTEX_POINT('',#485254); #155929=VERTEX_POINT('',#485258); #155930=VERTEX_POINT('',#485260); #155931=VERTEX_POINT('',#485264); #155932=VERTEX_POINT('',#485266); #155933=VERTEX_POINT('',#485270); #155934=VERTEX_POINT('',#485272); #155935=VERTEX_POINT('',#485276); #155936=VERTEX_POINT('',#485278); #155937=VERTEX_POINT('',#485282); #155938=VERTEX_POINT('',#485284); #155939=VERTEX_POINT('',#485288); #155940=VERTEX_POINT('',#485290); #155941=VERTEX_POINT('',#485294); #155942=VERTEX_POINT('',#485296); #155943=VERTEX_POINT('',#485300); #155944=VERTEX_POINT('',#485302); #155945=VERTEX_POINT('',#485306); #155946=VERTEX_POINT('',#485308); #155947=VERTEX_POINT('',#485312); #155948=VERTEX_POINT('',#485314); #155949=VERTEX_POINT('',#485318); #155950=VERTEX_POINT('',#485320); #155951=VERTEX_POINT('',#485324); #155952=VERTEX_POINT('',#485326); #155953=VERTEX_POINT('',#485335); #155954=VERTEX_POINT('',#485337); #155955=VERTEX_POINT('',#485341); #155956=VERTEX_POINT('',#485342); #155957=VERTEX_POINT('',#485344); #155958=VERTEX_POINT('',#485346); #155959=VERTEX_POINT('',#485350); #155960=VERTEX_POINT('',#485352); #155961=VERTEX_POINT('',#485356); #155962=VERTEX_POINT('',#485358); #155963=VERTEX_POINT('',#485362); #155964=VERTEX_POINT('',#485364); #155965=VERTEX_POINT('',#485368); #155966=VERTEX_POINT('',#485370); #155967=VERTEX_POINT('',#485374); #155968=VERTEX_POINT('',#485376); #155969=VERTEX_POINT('',#485380); #155970=VERTEX_POINT('',#485382); #155971=VERTEX_POINT('',#485386); #155972=VERTEX_POINT('',#485388); #155973=VERTEX_POINT('',#485392); #155974=VERTEX_POINT('',#485394); #155975=VERTEX_POINT('',#485398); #155976=VERTEX_POINT('',#485400); #155977=VERTEX_POINT('',#485404); #155978=VERTEX_POINT('',#485406); #155979=VERTEX_POINT('',#485410); #155980=VERTEX_POINT('',#485412); #155981=VERTEX_POINT('',#485416); #155982=VERTEX_POINT('',#485418); #155983=VERTEX_POINT('',#485422); #155984=VERTEX_POINT('',#485424); #155985=VERTEX_POINT('',#485433); #155986=VERTEX_POINT('',#485435); #155987=VERTEX_POINT('',#485439); #155988=VERTEX_POINT('',#485440); #155989=VERTEX_POINT('',#485442); #155990=VERTEX_POINT('',#485444); #155991=VERTEX_POINT('',#485448); #155992=VERTEX_POINT('',#485450); #155993=VERTEX_POINT('',#485459); #155994=VERTEX_POINT('',#485461); #155995=VERTEX_POINT('',#485465); #155996=VERTEX_POINT('',#485466); #155997=VERTEX_POINT('',#485468); #155998=VERTEX_POINT('',#485470); #155999=VERTEX_POINT('',#485474); #156000=VERTEX_POINT('',#485476); #156001=VERTEX_POINT('',#485480); #156002=VERTEX_POINT('',#485482); #156003=VERTEX_POINT('',#485486); #156004=VERTEX_POINT('',#485488); #156005=VERTEX_POINT('',#485492); #156006=VERTEX_POINT('',#485494); #156007=VERTEX_POINT('',#485498); #156008=VERTEX_POINT('',#485500); #156009=VERTEX_POINT('',#485504); #156010=VERTEX_POINT('',#485506); #156011=VERTEX_POINT('',#485510); #156012=VERTEX_POINT('',#485512); #156013=VERTEX_POINT('',#485516); #156014=VERTEX_POINT('',#485518); #156015=VERTEX_POINT('',#485522); #156016=VERTEX_POINT('',#485524); #156017=VERTEX_POINT('',#485528); #156018=VERTEX_POINT('',#485530); #156019=VERTEX_POINT('',#485534); #156020=VERTEX_POINT('',#485536); #156021=VERTEX_POINT('',#485540); #156022=VERTEX_POINT('',#485542); #156023=VERTEX_POINT('',#485546); #156024=VERTEX_POINT('',#485548); #156025=VERTEX_POINT('',#485552); #156026=VERTEX_POINT('',#485554); #156027=VERTEX_POINT('',#485558); #156028=VERTEX_POINT('',#485560); #156029=VERTEX_POINT('',#485564); #156030=VERTEX_POINT('',#485566); #156031=VERTEX_POINT('',#485570); #156032=VERTEX_POINT('',#485572); #156033=VERTEX_POINT('',#485576); #156034=VERTEX_POINT('',#485578); #156035=VERTEX_POINT('',#485582); #156036=VERTEX_POINT('',#485584); #156037=VERTEX_POINT('',#485593); #156038=VERTEX_POINT('',#485595); #156039=VERTEX_POINT('',#485599); #156040=VERTEX_POINT('',#485600); #156041=VERTEX_POINT('',#485602); #156042=VERTEX_POINT('',#485604); #156043=VERTEX_POINT('',#485608); #156044=VERTEX_POINT('',#485610); #156045=VERTEX_POINT('',#485614); #156046=VERTEX_POINT('',#485616); #156047=VERTEX_POINT('',#485620); #156048=VERTEX_POINT('',#485622); #156049=VERTEX_POINT('',#485626); #156050=VERTEX_POINT('',#485628); #156051=VERTEX_POINT('',#485632); #156052=VERTEX_POINT('',#485634); #156053=VERTEX_POINT('',#485638); #156054=VERTEX_POINT('',#485640); #156055=VERTEX_POINT('',#485644); #156056=VERTEX_POINT('',#485646); #156057=VERTEX_POINT('',#485650); #156058=VERTEX_POINT('',#485652); #156059=VERTEX_POINT('',#485656); #156060=VERTEX_POINT('',#485658); #156061=VERTEX_POINT('',#485662); #156062=VERTEX_POINT('',#485664); #156063=VERTEX_POINT('',#485668); #156064=VERTEX_POINT('',#485670); #156065=VERTEX_POINT('',#485674); #156066=VERTEX_POINT('',#485676); #156067=VERTEX_POINT('',#485680); #156068=VERTEX_POINT('',#485682); #156069=VERTEX_POINT('',#485691); #156070=VERTEX_POINT('',#485692); #156071=VERTEX_POINT('',#485694); #156072=VERTEX_POINT('',#485696); #156073=VERTEX_POINT('',#485700); #156074=VERTEX_POINT('',#485702); #156075=VERTEX_POINT('',#485706); #156076=VERTEX_POINT('',#485708); #156077=VERTEX_POINT('',#485712); #156078=VERTEX_POINT('',#485714); #156079=VERTEX_POINT('',#485718); #156080=VERTEX_POINT('',#485720); #156081=VERTEX_POINT('',#485724); #156082=VERTEX_POINT('',#485726); #156083=VERTEX_POINT('',#485730); #156084=VERTEX_POINT('',#485732); #156085=VERTEX_POINT('',#485736); #156086=VERTEX_POINT('',#485738); #156087=VERTEX_POINT('',#485742); #156088=VERTEX_POINT('',#485744); #156089=VERTEX_POINT('',#485748); #156090=VERTEX_POINT('',#485750); #156091=VERTEX_POINT('',#485754); #156092=VERTEX_POINT('',#485756); #156093=VERTEX_POINT('',#485760); #156094=VERTEX_POINT('',#485762); #156095=VERTEX_POINT('',#485766); #156096=VERTEX_POINT('',#485768); #156097=VERTEX_POINT('',#485777); #156098=VERTEX_POINT('',#485778); #156099=VERTEX_POINT('',#485780); #156100=VERTEX_POINT('',#485782); #156101=VERTEX_POINT('',#485786); #156102=VERTEX_POINT('',#485788); #156103=VERTEX_POINT('',#485792); #156104=VERTEX_POINT('',#485794); #156105=VERTEX_POINT('',#485798); #156106=VERTEX_POINT('',#485800); #156107=VERTEX_POINT('',#485804); #156108=VERTEX_POINT('',#485806); #156109=VERTEX_POINT('',#485810); #156110=VERTEX_POINT('',#485812); #156111=VERTEX_POINT('',#485816); #156112=VERTEX_POINT('',#485818); #156113=VERTEX_POINT('',#485822); #156114=VERTEX_POINT('',#485824); #156115=VERTEX_POINT('',#485828); #156116=VERTEX_POINT('',#485830); #156117=VERTEX_POINT('',#485834); #156118=VERTEX_POINT('',#485836); #156119=VERTEX_POINT('',#485840); #156120=VERTEX_POINT('',#485842); #156121=VERTEX_POINT('',#485846); #156122=VERTEX_POINT('',#485848); #156123=VERTEX_POINT('',#485852); #156124=VERTEX_POINT('',#485854); #156125=VERTEX_POINT('',#485863); #156126=VERTEX_POINT('',#485865); #156127=VERTEX_POINT('',#485869); #156128=VERTEX_POINT('',#485870); #156129=VERTEX_POINT('',#485872); #156130=VERTEX_POINT('',#485874); #156131=VERTEX_POINT('',#485878); #156132=VERTEX_POINT('',#485880); #156133=VERTEX_POINT('',#485884); #156134=VERTEX_POINT('',#485886); #156135=VERTEX_POINT('',#485890); #156136=VERTEX_POINT('',#485892); #156137=VERTEX_POINT('',#485896); #156138=VERTEX_POINT('',#485898); #156139=VERTEX_POINT('',#485902); #156140=VERTEX_POINT('',#485904); #156141=VERTEX_POINT('',#485908); #156142=VERTEX_POINT('',#485910); #156143=VERTEX_POINT('',#485914); #156144=VERTEX_POINT('',#485916); #156145=VERTEX_POINT('',#485920); #156146=VERTEX_POINT('',#485922); #156147=VERTEX_POINT('',#485926); #156148=VERTEX_POINT('',#485928); #156149=VERTEX_POINT('',#485932); #156150=VERTEX_POINT('',#485934); #156151=VERTEX_POINT('',#485938); #156152=VERTEX_POINT('',#485940); #156153=VERTEX_POINT('',#485944); #156154=VERTEX_POINT('',#485946); #156155=VERTEX_POINT('',#485950); #156156=VERTEX_POINT('',#485952); #156157=VERTEX_POINT('',#485956); #156158=VERTEX_POINT('',#485958); #156159=VERTEX_POINT('',#485962); #156160=VERTEX_POINT('',#485964); #156161=VERTEX_POINT('',#485968); #156162=VERTEX_POINT('',#485970); #156163=VERTEX_POINT('',#485974); #156164=VERTEX_POINT('',#485976); #156165=VERTEX_POINT('',#485980); #156166=VERTEX_POINT('',#485982); #156167=VERTEX_POINT('',#485986); #156168=VERTEX_POINT('',#485988); #156169=VERTEX_POINT('',#485992); #156170=VERTEX_POINT('',#485994); #156171=VERTEX_POINT('',#485998); #156172=VERTEX_POINT('',#486000); #156173=VERTEX_POINT('',#486004); #156174=VERTEX_POINT('',#486006); #156175=VERTEX_POINT('',#486010); #156176=VERTEX_POINT('',#486012); #156177=VERTEX_POINT('',#486016); #156178=VERTEX_POINT('',#486018); #156179=VERTEX_POINT('',#486022); #156180=VERTEX_POINT('',#486024); #156181=VERTEX_POINT('',#486028); #156182=VERTEX_POINT('',#486030); #156183=VERTEX_POINT('',#486034); #156184=VERTEX_POINT('',#486036); #156185=VERTEX_POINT('',#486040); #156186=VERTEX_POINT('',#486042); #156187=VERTEX_POINT('',#486046); #156188=VERTEX_POINT('',#486048); #156189=VERTEX_POINT('',#486052); #156190=VERTEX_POINT('',#486054); #156191=VERTEX_POINT('',#486058); #156192=VERTEX_POINT('',#486060); #156193=VERTEX_POINT('',#486064); #156194=VERTEX_POINT('',#486066); #156195=VERTEX_POINT('',#486070); #156196=VERTEX_POINT('',#486072); #156197=VERTEX_POINT('',#486076); #156198=VERTEX_POINT('',#486078); #156199=VERTEX_POINT('',#486082); #156200=VERTEX_POINT('',#486084); #156201=VERTEX_POINT('',#486088); #156202=VERTEX_POINT('',#486090); #156203=VERTEX_POINT('',#486094); #156204=VERTEX_POINT('',#486096); #156205=VERTEX_POINT('',#486105); #156206=VERTEX_POINT('',#486107); #156207=VERTEX_POINT('',#486111); #156208=VERTEX_POINT('',#486113); #156209=VERTEX_POINT('',#486117); #156210=VERTEX_POINT('',#486118); #156211=VERTEX_POINT('',#486120); #156212=VERTEX_POINT('',#486122); #156213=VERTEX_POINT('',#486126); #156214=VERTEX_POINT('',#486128); #156215=VERTEX_POINT('',#486132); #156216=VERTEX_POINT('',#486134); #156217=VERTEX_POINT('',#486138); #156218=VERTEX_POINT('',#486140); #156219=VERTEX_POINT('',#486144); #156220=VERTEX_POINT('',#486146); #156221=VERTEX_POINT('',#486150); #156222=VERTEX_POINT('',#486152); #156223=VERTEX_POINT('',#486161); #156224=VERTEX_POINT('',#486163); #156225=VERTEX_POINT('',#486167); #156226=VERTEX_POINT('',#486168); #156227=VERTEX_POINT('',#486170); #156228=VERTEX_POINT('',#486172); #156229=VERTEX_POINT('',#486176); #156230=VERTEX_POINT('',#486178); #156231=VERTEX_POINT('',#486182); #156232=VERTEX_POINT('',#486184); #156233=VERTEX_POINT('',#486193); #156234=VERTEX_POINT('',#486195); #156235=VERTEX_POINT('',#486199); #156236=VERTEX_POINT('',#486201); #156237=VERTEX_POINT('',#486205); #156238=VERTEX_POINT('',#486206); #156239=VERTEX_POINT('',#486208); #156240=VERTEX_POINT('',#486210); #156241=VERTEX_POINT('',#486214); #156242=VERTEX_POINT('',#486216); #156243=VERTEX_POINT('',#486220); #156244=VERTEX_POINT('',#486222); #156245=VERTEX_POINT('',#486226); #156246=VERTEX_POINT('',#486228); #156247=VERTEX_POINT('',#486232); #156248=VERTEX_POINT('',#486234); #156249=VERTEX_POINT('',#486238); #156250=VERTEX_POINT('',#486240); #156251=VERTEX_POINT('',#486244); #156252=VERTEX_POINT('',#486246); #156253=VERTEX_POINT('',#486250); #156254=VERTEX_POINT('',#486252); #156255=VERTEX_POINT('',#486256); #156256=VERTEX_POINT('',#486258); #156257=VERTEX_POINT('',#486262); #156258=VERTEX_POINT('',#486264); #156259=VERTEX_POINT('',#486268); #156260=VERTEX_POINT('',#486270); #156261=VERTEX_POINT('',#486274); #156262=VERTEX_POINT('',#486276); #156263=VERTEX_POINT('',#486280); #156264=VERTEX_POINT('',#486282); #156265=VERTEX_POINT('',#486286); #156266=VERTEX_POINT('',#486288); #156267=VERTEX_POINT('',#486292); #156268=VERTEX_POINT('',#486294); #156269=VERTEX_POINT('',#486298); #156270=VERTEX_POINT('',#486300); #156271=VERTEX_POINT('',#486304); #156272=VERTEX_POINT('',#486306); #156273=VERTEX_POINT('',#486310); #156274=VERTEX_POINT('',#486312); #156275=VERTEX_POINT('',#486316); #156276=VERTEX_POINT('',#486318); #156277=VERTEX_POINT('',#486322); #156278=VERTEX_POINT('',#486324); #156279=VERTEX_POINT('',#486328); #156280=VERTEX_POINT('',#486330); #156281=VERTEX_POINT('',#486334); #156282=VERTEX_POINT('',#486336); #156283=VERTEX_POINT('',#486345); #156284=VERTEX_POINT('',#486347); #156285=VERTEX_POINT('',#486351); #156286=VERTEX_POINT('',#486353); #156287=VERTEX_POINT('',#486357); #156288=VERTEX_POINT('',#486358); #156289=VERTEX_POINT('',#486360); #156290=VERTEX_POINT('',#486362); #156291=VERTEX_POINT('',#486366); #156292=VERTEX_POINT('',#486368); #156293=VERTEX_POINT('',#486372); #156294=VERTEX_POINT('',#486374); #156295=VERTEX_POINT('',#486378); #156296=VERTEX_POINT('',#486380); #156297=VERTEX_POINT('',#486384); #156298=VERTEX_POINT('',#486386); #156299=VERTEX_POINT('',#486390); #156300=VERTEX_POINT('',#486392); #156301=VERTEX_POINT('',#486396); #156302=VERTEX_POINT('',#486398); #156303=VERTEX_POINT('',#486407); #156304=VERTEX_POINT('',#486409); #156305=VERTEX_POINT('',#486413); #156306=VERTEX_POINT('',#486414); #156307=VERTEX_POINT('',#486416); #156308=VERTEX_POINT('',#486418); #156309=VERTEX_POINT('',#486422); #156310=VERTEX_POINT('',#486424); #156311=VERTEX_POINT('',#486428); #156312=VERTEX_POINT('',#486430); #156313=VERTEX_POINT('',#486439); #156314=VERTEX_POINT('',#486441); #156315=VERTEX_POINT('',#486445); #156316=VERTEX_POINT('',#486447); #156317=VERTEX_POINT('',#486451); #156318=VERTEX_POINT('',#486453); #156319=VERTEX_POINT('',#486457); #156320=VERTEX_POINT('',#486459); #156321=VERTEX_POINT('',#486463); #156322=VERTEX_POINT('',#486464); #156323=VERTEX_POINT('',#486466); #156324=VERTEX_POINT('',#486468); #156325=VERTEX_POINT('',#486472); #156326=VERTEX_POINT('',#486474); #156327=VERTEX_POINT('',#486478); #156328=VERTEX_POINT('',#486480); #156329=VERTEX_POINT('',#486484); #156330=VERTEX_POINT('',#486486); #156331=VERTEX_POINT('',#486490); #156332=VERTEX_POINT('',#486492); #156333=VERTEX_POINT('',#486496); #156334=VERTEX_POINT('',#486498); #156335=VERTEX_POINT('',#486502); #156336=VERTEX_POINT('',#486504); #156337=VERTEX_POINT('',#486508); #156338=VERTEX_POINT('',#486510); #156339=VERTEX_POINT('',#486514); #156340=VERTEX_POINT('',#486516); #156341=VERTEX_POINT('',#486520); #156342=VERTEX_POINT('',#486522); #156343=VERTEX_POINT('',#486526); #156344=VERTEX_POINT('',#486528); #156345=VERTEX_POINT('',#486532); #156346=VERTEX_POINT('',#486534); #156347=VERTEX_POINT('',#486538); #156348=VERTEX_POINT('',#486540); #156349=VERTEX_POINT('',#486544); #156350=VERTEX_POINT('',#486546); #156351=VERTEX_POINT('',#486550); #156352=VERTEX_POINT('',#486552); #156353=VERTEX_POINT('',#486559); #156354=VERTEX_POINT('',#486560); #156355=VERTEX_POINT('',#486562); #156356=VERTEX_POINT('',#486564); #156357=VERTEX_POINT('',#486568); #156358=VERTEX_POINT('',#486570); #156359=VERTEX_POINT('',#486574); #156360=VERTEX_POINT('',#486576); #156361=VERTEX_POINT('',#486580); #156362=VERTEX_POINT('',#486582); #156363=VERTEX_POINT('',#486586); #156364=VERTEX_POINT('',#486588); #156365=VERTEX_POINT('',#486592); #156366=VERTEX_POINT('',#486594); #156367=VERTEX_POINT('',#486598); #156368=VERTEX_POINT('',#486600); #156369=VERTEX_POINT('',#486604); #156370=VERTEX_POINT('',#486606); #156371=VERTEX_POINT('',#486610); #156372=VERTEX_POINT('',#486612); #156373=VERTEX_POINT('',#486616); #156374=VERTEX_POINT('',#486618); #156375=VERTEX_POINT('',#486622); #156376=VERTEX_POINT('',#486624); #156377=VERTEX_POINT('',#486628); #156378=VERTEX_POINT('',#486630); #156379=VERTEX_POINT('',#486634); #156380=VERTEX_POINT('',#486636); #156381=VERTEX_POINT('',#486640); #156382=VERTEX_POINT('',#486642); #156383=VERTEX_POINT('',#486646); #156384=VERTEX_POINT('',#486648); #156385=VERTEX_POINT('',#486652); #156386=VERTEX_POINT('',#486654); #156387=VERTEX_POINT('',#486658); #156388=VERTEX_POINT('',#486660); #156389=VERTEX_POINT('',#486664); #156390=VERTEX_POINT('',#486666); #156391=VERTEX_POINT('',#486670); #156392=VERTEX_POINT('',#486672); #156393=VERTEX_POINT('',#486676); #156394=VERTEX_POINT('',#486678); #156395=VERTEX_POINT('',#486682); #156396=VERTEX_POINT('',#486684); #156397=VERTEX_POINT('',#486688); #156398=VERTEX_POINT('',#486690); #156399=VERTEX_POINT('',#486694); #156400=VERTEX_POINT('',#486696); #156401=VERTEX_POINT('',#486700); #156402=VERTEX_POINT('',#486702); #156403=VERTEX_POINT('',#486706); #156404=VERTEX_POINT('',#486708); #156405=VERTEX_POINT('',#486712); #156406=VERTEX_POINT('',#486714); #156407=VERTEX_POINT('',#486718); #156408=VERTEX_POINT('',#486720); #156409=VERTEX_POINT('',#486724); #156410=VERTEX_POINT('',#486726); #156411=VERTEX_POINT('',#486730); #156412=VERTEX_POINT('',#486732); #156413=VERTEX_POINT('',#486736); #156414=VERTEX_POINT('',#486738); #156415=VERTEX_POINT('',#486742); #156416=VERTEX_POINT('',#486744); #156417=VERTEX_POINT('',#486748); #156418=VERTEX_POINT('',#486750); #156419=VERTEX_POINT('',#486754); #156420=VERTEX_POINT('',#486756); #156421=VERTEX_POINT('',#486760); #156422=VERTEX_POINT('',#486762); #156423=VERTEX_POINT('',#486766); #156424=VERTEX_POINT('',#486768); #156425=VERTEX_POINT('',#486772); #156426=VERTEX_POINT('',#486774); #156427=VERTEX_POINT('',#486778); #156428=VERTEX_POINT('',#486780); #156429=VERTEX_POINT('',#486784); #156430=VERTEX_POINT('',#486786); #156431=VERTEX_POINT('',#486790); #156432=VERTEX_POINT('',#486792); #156433=VERTEX_POINT('',#486796); #156434=VERTEX_POINT('',#486798); #156435=VERTEX_POINT('',#486802); #156436=VERTEX_POINT('',#486804); #156437=VERTEX_POINT('',#486808); #156438=VERTEX_POINT('',#486810); #156439=VERTEX_POINT('',#486814); #156440=VERTEX_POINT('',#486816); #156441=VERTEX_POINT('',#486820); #156442=VERTEX_POINT('',#486822); #156443=VERTEX_POINT('',#486826); #156444=VERTEX_POINT('',#486828); #156445=VERTEX_POINT('',#486832); #156446=VERTEX_POINT('',#486834); #156447=VERTEX_POINT('',#486838); #156448=VERTEX_POINT('',#486840); #156449=VERTEX_POINT('',#486844); #156450=VERTEX_POINT('',#486846); #156451=VERTEX_POINT('',#486850); #156452=VERTEX_POINT('',#486852); #156453=VERTEX_POINT('',#486856); #156454=VERTEX_POINT('',#486858); #156455=VERTEX_POINT('',#486862); #156456=VERTEX_POINT('',#486864); #156457=VERTEX_POINT('',#486868); #156458=VERTEX_POINT('',#486870); #156459=VERTEX_POINT('',#486874); #156460=VERTEX_POINT('',#486876); #156461=VERTEX_POINT('',#486880); #156462=VERTEX_POINT('',#486882); #156463=VERTEX_POINT('',#486886); #156464=VERTEX_POINT('',#486888); #156465=VERTEX_POINT('',#486892); #156466=VERTEX_POINT('',#486894); #156467=VERTEX_POINT('',#486898); #156468=VERTEX_POINT('',#486900); #156469=VERTEX_POINT('',#486904); #156470=VERTEX_POINT('',#486906); #156471=VERTEX_POINT('',#486910); #156472=VERTEX_POINT('',#486912); #156473=VERTEX_POINT('',#486916); #156474=VERTEX_POINT('',#486918); #156475=VERTEX_POINT('',#486922); #156476=VERTEX_POINT('',#486924); #156477=VERTEX_POINT('',#486928); #156478=VERTEX_POINT('',#486930); #156479=VERTEX_POINT('',#486934); #156480=VERTEX_POINT('',#486936); #156481=VERTEX_POINT('',#486940); #156482=VERTEX_POINT('',#486942); #156483=VERTEX_POINT('',#486946); #156484=VERTEX_POINT('',#486948); #156485=VERTEX_POINT('',#486952); #156486=VERTEX_POINT('',#486954); #156487=VERTEX_POINT('',#486958); #156488=VERTEX_POINT('',#486960); #156489=VERTEX_POINT('',#486964); #156490=VERTEX_POINT('',#486966); #156491=VERTEX_POINT('',#486970); #156492=VERTEX_POINT('',#486972); #156493=VERTEX_POINT('',#486976); #156494=VERTEX_POINT('',#486978); #156495=VERTEX_POINT('',#486982); #156496=VERTEX_POINT('',#486984); #156497=VERTEX_POINT('',#486988); #156498=VERTEX_POINT('',#486990); #156499=VERTEX_POINT('',#486994); #156500=VERTEX_POINT('',#486996); #156501=VERTEX_POINT('',#487005); #156502=VERTEX_POINT('',#487007); #156503=VERTEX_POINT('',#487011); #156504=VERTEX_POINT('',#487012); #156505=VERTEX_POINT('',#487014); #156506=VERTEX_POINT('',#487016); #156507=VERTEX_POINT('',#487020); #156508=VERTEX_POINT('',#487022); #156509=VERTEX_POINT('',#487026); #156510=VERTEX_POINT('',#487028); #156511=VERTEX_POINT('',#487032); #156512=VERTEX_POINT('',#487034); #156513=VERTEX_POINT('',#487038); #156514=VERTEX_POINT('',#487040); #156515=VERTEX_POINT('',#487044); #156516=VERTEX_POINT('',#487046); #156517=VERTEX_POINT('',#487050); #156518=VERTEX_POINT('',#487052); #156519=VERTEX_POINT('',#487056); #156520=VERTEX_POINT('',#487058); #156521=VERTEX_POINT('',#487062); #156522=VERTEX_POINT('',#487064); #156523=VERTEX_POINT('',#487068); #156524=VERTEX_POINT('',#487070); #156525=VERTEX_POINT('',#487074); #156526=VERTEX_POINT('',#487076); #156527=VERTEX_POINT('',#487080); #156528=VERTEX_POINT('',#487082); #156529=VERTEX_POINT('',#487086); #156530=VERTEX_POINT('',#487088); #156531=VERTEX_POINT('',#487092); #156532=VERTEX_POINT('',#487094); #156533=VERTEX_POINT('',#487098); #156534=VERTEX_POINT('',#487100); #156535=VERTEX_POINT('',#487104); #156536=VERTEX_POINT('',#487106); #156537=VERTEX_POINT('',#487110); #156538=VERTEX_POINT('',#487112); #156539=VERTEX_POINT('',#487116); #156540=VERTEX_POINT('',#487118); #156541=VERTEX_POINT('',#487122); #156542=VERTEX_POINT('',#487124); #156543=VERTEX_POINT('',#487128); #156544=VERTEX_POINT('',#487130); #156545=VERTEX_POINT('',#487134); #156546=VERTEX_POINT('',#487136); #156547=VERTEX_POINT('',#487140); #156548=VERTEX_POINT('',#487142); #156549=VERTEX_POINT('',#487146); #156550=VERTEX_POINT('',#487148); #156551=VERTEX_POINT('',#487152); #156552=VERTEX_POINT('',#487154); #156553=VERTEX_POINT('',#487158); #156554=VERTEX_POINT('',#487160); #156555=VERTEX_POINT('',#487164); #156556=VERTEX_POINT('',#487166); #156557=VERTEX_POINT('',#487170); #156558=VERTEX_POINT('',#487172); #156559=VERTEX_POINT('',#487176); #156560=VERTEX_POINT('',#487178); #156561=VERTEX_POINT('',#487182); #156562=VERTEX_POINT('',#487184); #156563=VERTEX_POINT('',#487188); #156564=VERTEX_POINT('',#487190); #156565=VERTEX_POINT('',#487194); #156566=VERTEX_POINT('',#487196); #156567=VERTEX_POINT('',#487200); #156568=VERTEX_POINT('',#487202); #156569=VERTEX_POINT('',#487206); #156570=VERTEX_POINT('',#487208); #156571=VERTEX_POINT('',#487212); #156572=VERTEX_POINT('',#487214); #156573=VERTEX_POINT('',#487218); #156574=VERTEX_POINT('',#487220); #156575=VERTEX_POINT('',#487224); #156576=VERTEX_POINT('',#487226); #156577=VERTEX_POINT('',#487230); #156578=VERTEX_POINT('',#487232); #156579=VERTEX_POINT('',#487236); #156580=VERTEX_POINT('',#487238); #156581=VERTEX_POINT('',#487242); #156582=VERTEX_POINT('',#487244); #156583=VERTEX_POINT('',#487248); #156584=VERTEX_POINT('',#487250); #156585=VERTEX_POINT('',#487254); #156586=VERTEX_POINT('',#487256); #156587=VERTEX_POINT('',#487260); #156588=VERTEX_POINT('',#487262); #156589=VERTEX_POINT('',#487266); #156590=VERTEX_POINT('',#487268); #156591=VERTEX_POINT('',#487272); #156592=VERTEX_POINT('',#487274); #156593=VERTEX_POINT('',#487278); #156594=VERTEX_POINT('',#487280); #156595=VERTEX_POINT('',#487284); #156596=VERTEX_POINT('',#487286); #156597=VERTEX_POINT('',#487290); #156598=VERTEX_POINT('',#487292); #156599=VERTEX_POINT('',#487301); #156600=VERTEX_POINT('',#487303); #156601=VERTEX_POINT('',#487307); #156602=VERTEX_POINT('',#487309); #156603=VERTEX_POINT('',#487313); #156604=VERTEX_POINT('',#487315); #156605=VERTEX_POINT('',#487319); #156606=VERTEX_POINT('',#487321); #156607=VERTEX_POINT('',#487325); #156608=VERTEX_POINT('',#487327); #156609=VERTEX_POINT('',#487331); #156610=VERTEX_POINT('',#487333); #156611=VERTEX_POINT('',#487337); #156612=VERTEX_POINT('',#487339); #156613=VERTEX_POINT('',#487343); #156614=VERTEX_POINT('',#487345); #156615=VERTEX_POINT('',#487349); #156616=VERTEX_POINT('',#487351); #156617=VERTEX_POINT('',#487355); #156618=VERTEX_POINT('',#487357); #156619=VERTEX_POINT('',#487361); #156620=VERTEX_POINT('',#487363); #156621=VERTEX_POINT('',#487367); #156622=VERTEX_POINT('',#487369); #156623=VERTEX_POINT('',#487373); #156624=VERTEX_POINT('',#487374); #156625=VERTEX_POINT('',#487376); #156626=VERTEX_POINT('',#487378); #156627=VERTEX_POINT('',#487382); #156628=VERTEX_POINT('',#487384); #156629=VERTEX_POINT('',#487388); #156630=VERTEX_POINT('',#487390); #156631=VERTEX_POINT('',#487394); #156632=VERTEX_POINT('',#487396); #156633=VERTEX_POINT('',#487400); #156634=VERTEX_POINT('',#487402); #156635=VERTEX_POINT('',#487406); #156636=VERTEX_POINT('',#487408); #156637=VERTEX_POINT('',#487412); #156638=VERTEX_POINT('',#487414); #156639=VERTEX_POINT('',#487418); #156640=VERTEX_POINT('',#487420); #156641=VERTEX_POINT('',#487424); #156642=VERTEX_POINT('',#487426); #156643=VERTEX_POINT('',#487430); #156644=VERTEX_POINT('',#487432); #156645=VERTEX_POINT('',#487436); #156646=VERTEX_POINT('',#487438); #156647=VERTEX_POINT('',#487442); #156648=VERTEX_POINT('',#487444); #156649=VERTEX_POINT('',#487448); #156650=VERTEX_POINT('',#487450); #156651=VERTEX_POINT('',#487454); #156652=VERTEX_POINT('',#487456); #156653=VERTEX_POINT('',#487460); #156654=VERTEX_POINT('',#487462); #156655=VERTEX_POINT('',#487466); #156656=VERTEX_POINT('',#487468); #156657=VERTEX_POINT('',#487472); #156658=VERTEX_POINT('',#487474); #156659=VERTEX_POINT('',#487478); #156660=VERTEX_POINT('',#487480); #156661=VERTEX_POINT('',#487484); #156662=VERTEX_POINT('',#487486); #156663=VERTEX_POINT('',#487490); #156664=VERTEX_POINT('',#487492); #156665=VERTEX_POINT('',#487496); #156666=VERTEX_POINT('',#487498); #156667=VERTEX_POINT('',#487502); #156668=VERTEX_POINT('',#487504); #156669=VERTEX_POINT('',#487508); #156670=VERTEX_POINT('',#487510); #156671=VERTEX_POINT('',#487514); #156672=VERTEX_POINT('',#487516); #156673=VERTEX_POINT('',#487520); #156674=VERTEX_POINT('',#487522); #156675=VERTEX_POINT('',#487526); #156676=VERTEX_POINT('',#487528); #156677=VERTEX_POINT('',#487532); #156678=VERTEX_POINT('',#487534); #156679=VERTEX_POINT('',#487538); #156680=VERTEX_POINT('',#487540); #156681=VERTEX_POINT('',#487544); #156682=VERTEX_POINT('',#487546); #156683=VERTEX_POINT('',#487550); #156684=VERTEX_POINT('',#487552); #156685=VERTEX_POINT('',#487556); #156686=VERTEX_POINT('',#487558); #156687=VERTEX_POINT('',#487562); #156688=VERTEX_POINT('',#487564); #156689=VERTEX_POINT('',#487568); #156690=VERTEX_POINT('',#487570); #156691=VERTEX_POINT('',#487574); #156692=VERTEX_POINT('',#487576); #156693=VERTEX_POINT('',#487580); #156694=VERTEX_POINT('',#487582); #156695=VERTEX_POINT('',#487586); #156696=VERTEX_POINT('',#487588); #156697=VERTEX_POINT('',#487592); #156698=VERTEX_POINT('',#487594); #156699=VERTEX_POINT('',#487598); #156700=VERTEX_POINT('',#487600); #156701=VERTEX_POINT('',#487604); #156702=VERTEX_POINT('',#487606); #156703=VERTEX_POINT('',#487610); #156704=VERTEX_POINT('',#487612); #156705=VERTEX_POINT('',#487616); #156706=VERTEX_POINT('',#487618); #156707=VERTEX_POINT('',#487622); #156708=VERTEX_POINT('',#487624); #156709=VERTEX_POINT('',#487628); #156710=VERTEX_POINT('',#487630); #156711=VERTEX_POINT('',#487634); #156712=VERTEX_POINT('',#487636); #156713=VERTEX_POINT('',#487640); #156714=VERTEX_POINT('',#487642); #156715=VERTEX_POINT('',#487646); #156716=VERTEX_POINT('',#487648); #156717=VERTEX_POINT('',#487652); #156718=VERTEX_POINT('',#487654); #156719=VERTEX_POINT('',#487658); #156720=VERTEX_POINT('',#487660); #156721=VERTEX_POINT('',#487664); #156722=VERTEX_POINT('',#487666); #156723=VERTEX_POINT('',#487670); #156724=VERTEX_POINT('',#487672); #156725=VERTEX_POINT('',#487676); #156726=VERTEX_POINT('',#487678); #156727=VERTEX_POINT('',#487682); #156728=VERTEX_POINT('',#487684); #156729=VERTEX_POINT('',#487688); #156730=VERTEX_POINT('',#487690); #156731=VERTEX_POINT('',#487694); #156732=VERTEX_POINT('',#487696); #156733=VERTEX_POINT('',#487700); #156734=VERTEX_POINT('',#487702); #156735=VERTEX_POINT('',#487706); #156736=VERTEX_POINT('',#487708); #156737=VERTEX_POINT('',#487712); #156738=VERTEX_POINT('',#487714); #156739=VERTEX_POINT('',#487718); #156740=VERTEX_POINT('',#487720); #156741=VERTEX_POINT('',#487724); #156742=VERTEX_POINT('',#487726); #156743=VERTEX_POINT('',#487730); #156744=VERTEX_POINT('',#487732); #156745=VERTEX_POINT('',#487736); #156746=VERTEX_POINT('',#487738); #156747=VERTEX_POINT('',#487742); #156748=VERTEX_POINT('',#487744); #156749=VERTEX_POINT('',#487748); #156750=VERTEX_POINT('',#487750); #156751=VERTEX_POINT('',#487754); #156752=VERTEX_POINT('',#487756); #156753=VERTEX_POINT('',#487760); #156754=VERTEX_POINT('',#487762); #156755=VERTEX_POINT('',#487766); #156756=VERTEX_POINT('',#487768); #156757=VERTEX_POINT('',#487772); #156758=VERTEX_POINT('',#487774); #156759=VERTEX_POINT('',#487778); #156760=VERTEX_POINT('',#487780); #156761=VERTEX_POINT('',#487784); #156762=VERTEX_POINT('',#487786); #156763=VERTEX_POINT('',#487790); #156764=VERTEX_POINT('',#487792); #156765=VERTEX_POINT('',#487796); #156766=VERTEX_POINT('',#487798); #156767=VERTEX_POINT('',#487802); #156768=VERTEX_POINT('',#487804); #156769=VERTEX_POINT('',#487808); #156770=VERTEX_POINT('',#487810); #156771=VERTEX_POINT('',#487814); #156772=VERTEX_POINT('',#487816); #156773=VERTEX_POINT('',#487820); #156774=VERTEX_POINT('',#487822); #156775=VERTEX_POINT('',#487826); #156776=VERTEX_POINT('',#487828); #156777=VERTEX_POINT('',#487832); #156778=VERTEX_POINT('',#487834); #156779=VERTEX_POINT('',#487838); #156780=VERTEX_POINT('',#487840); #156781=VERTEX_POINT('',#487844); #156782=VERTEX_POINT('',#487846); #156783=VERTEX_POINT('',#487850); #156784=VERTEX_POINT('',#487852); #156785=VERTEX_POINT('',#487856); #156786=VERTEX_POINT('',#487858); #156787=VERTEX_POINT('',#487862); #156788=VERTEX_POINT('',#487864); #156789=VERTEX_POINT('',#487868); #156790=VERTEX_POINT('',#487870); #156791=VERTEX_POINT('',#487874); #156792=VERTEX_POINT('',#487876); #156793=VERTEX_POINT('',#487880); #156794=VERTEX_POINT('',#487882); #156795=VERTEX_POINT('',#487886); #156796=VERTEX_POINT('',#487888); #156797=VERTEX_POINT('',#487892); #156798=VERTEX_POINT('',#487894); #156799=VERTEX_POINT('',#487898); #156800=VERTEX_POINT('',#487900); #156801=VERTEX_POINT('',#487904); #156802=VERTEX_POINT('',#487906); #156803=VERTEX_POINT('',#487910); #156804=VERTEX_POINT('',#487912); #156805=VERTEX_POINT('',#487916); #156806=VERTEX_POINT('',#487918); #156807=VERTEX_POINT('',#487922); #156808=VERTEX_POINT('',#487924); #156809=VERTEX_POINT('',#487928); #156810=VERTEX_POINT('',#487930); #156811=VERTEX_POINT('',#487934); #156812=VERTEX_POINT('',#487936); #156813=VERTEX_POINT('',#487940); #156814=VERTEX_POINT('',#487942); #156815=VERTEX_POINT('',#487946); #156816=VERTEX_POINT('',#487948); #156817=VERTEX_POINT('',#487957); #156818=VERTEX_POINT('',#487958); #156819=VERTEX_POINT('',#487960); #156820=VERTEX_POINT('',#487962); #156821=VERTEX_POINT('',#487966); #156822=VERTEX_POINT('',#487968); #156823=VERTEX_POINT('',#487972); #156824=VERTEX_POINT('',#487974); #156825=VERTEX_POINT('',#487978); #156826=VERTEX_POINT('',#487980); #156827=VERTEX_POINT('',#487984); #156828=VERTEX_POINT('',#487986); #156829=VERTEX_POINT('',#487990); #156830=VERTEX_POINT('',#487992); #156831=VERTEX_POINT('',#487996); #156832=VERTEX_POINT('',#487998); #156833=VERTEX_POINT('',#488002); #156834=VERTEX_POINT('',#488004); #156835=VERTEX_POINT('',#488008); #156836=VERTEX_POINT('',#488010); #156837=VERTEX_POINT('',#488014); #156838=VERTEX_POINT('',#488016); #156839=VERTEX_POINT('',#488020); #156840=VERTEX_POINT('',#488022); #156841=VERTEX_POINT('',#488026); #156842=VERTEX_POINT('',#488028); #156843=VERTEX_POINT('',#488032); #156844=VERTEX_POINT('',#488034); #156845=VERTEX_POINT('',#488038); #156846=VERTEX_POINT('',#488040); #156847=VERTEX_POINT('',#488044); #156848=VERTEX_POINT('',#488046); #156849=VERTEX_POINT('',#488050); #156850=VERTEX_POINT('',#488052); #156851=VERTEX_POINT('',#488056); #156852=VERTEX_POINT('',#488058); #156853=VERTEX_POINT('',#488062); #156854=VERTEX_POINT('',#488064); #156855=VERTEX_POINT('',#488068); #156856=VERTEX_POINT('',#488070); #156857=VERTEX_POINT('',#488074); #156858=VERTEX_POINT('',#488076); #156859=VERTEX_POINT('',#488085); #156860=VERTEX_POINT('',#488086); #156861=VERTEX_POINT('',#488088); #156862=VERTEX_POINT('',#488090); #156863=VERTEX_POINT('',#488094); #156864=VERTEX_POINT('',#488096); #156865=VERTEX_POINT('',#488100); #156866=VERTEX_POINT('',#488102); #156867=VERTEX_POINT('',#488106); #156868=VERTEX_POINT('',#488108); #156869=VERTEX_POINT('',#488112); #156870=VERTEX_POINT('',#488114); #156871=VERTEX_POINT('',#488118); #156872=VERTEX_POINT('',#488120); #156873=VERTEX_POINT('',#488124); #156874=VERTEX_POINT('',#488126); #156875=VERTEX_POINT('',#488130); #156876=VERTEX_POINT('',#488132); #156877=VERTEX_POINT('',#488136); #156878=VERTEX_POINT('',#488138); #156879=VERTEX_POINT('',#488142); #156880=VERTEX_POINT('',#488144); #156881=VERTEX_POINT('',#488148); #156882=VERTEX_POINT('',#488150); #156883=VERTEX_POINT('',#488154); #156884=VERTEX_POINT('',#488156); #156885=VERTEX_POINT('',#488160); #156886=VERTEX_POINT('',#488162); #156887=VERTEX_POINT('',#488166); #156888=VERTEX_POINT('',#488168); #156889=VERTEX_POINT('',#488172); #156890=VERTEX_POINT('',#488174); #156891=VERTEX_POINT('',#488178); #156892=VERTEX_POINT('',#488180); #156893=VERTEX_POINT('',#488184); #156894=VERTEX_POINT('',#488186); #156895=VERTEX_POINT('',#488190); #156896=VERTEX_POINT('',#488192); #156897=VERTEX_POINT('',#488196); #156898=VERTEX_POINT('',#488198); #156899=VERTEX_POINT('',#488207); #156900=VERTEX_POINT('',#488208); #156901=VERTEX_POINT('',#488210); #156902=VERTEX_POINT('',#488212); #156903=VERTEX_POINT('',#488216); #156904=VERTEX_POINT('',#488218); #156905=VERTEX_POINT('',#488222); #156906=VERTEX_POINT('',#488224); #156907=VERTEX_POINT('',#488228); #156908=VERTEX_POINT('',#488230); #156909=VERTEX_POINT('',#488234); #156910=VERTEX_POINT('',#488236); #156911=VERTEX_POINT('',#488240); #156912=VERTEX_POINT('',#488242); #156913=VERTEX_POINT('',#488246); #156914=VERTEX_POINT('',#488248); #156915=VERTEX_POINT('',#488252); #156916=VERTEX_POINT('',#488254); #156917=VERTEX_POINT('',#488258); #156918=VERTEX_POINT('',#488260); #156919=VERTEX_POINT('',#488264); #156920=VERTEX_POINT('',#488266); #156921=VERTEX_POINT('',#488270); #156922=VERTEX_POINT('',#488272); #156923=VERTEX_POINT('',#488276); #156924=VERTEX_POINT('',#488278); #156925=VERTEX_POINT('',#488282); #156926=VERTEX_POINT('',#488284); #156927=VERTEX_POINT('',#488288); #156928=VERTEX_POINT('',#488290); #156929=VERTEX_POINT('',#488294); #156930=VERTEX_POINT('',#488296); #156931=VERTEX_POINT('',#488300); #156932=VERTEX_POINT('',#488302); #156933=VERTEX_POINT('',#488306); #156934=VERTEX_POINT('',#488308); #156935=VERTEX_POINT('',#488312); #156936=VERTEX_POINT('',#488314); #156937=VERTEX_POINT('',#488318); #156938=VERTEX_POINT('',#488320); #156939=VERTEX_POINT('',#488324); #156940=VERTEX_POINT('',#488326); #156941=VERTEX_POINT('',#488330); #156942=VERTEX_POINT('',#488332); #156943=VERTEX_POINT('',#488336); #156944=VERTEX_POINT('',#488338); #156945=VERTEX_POINT('',#488342); #156946=VERTEX_POINT('',#488344); #156947=VERTEX_POINT('',#488353); #156948=VERTEX_POINT('',#488354); #156949=VERTEX_POINT('',#488356); #156950=VERTEX_POINT('',#488358); #156951=VERTEX_POINT('',#488362); #156952=VERTEX_POINT('',#488364); #156953=VERTEX_POINT('',#488368); #156954=VERTEX_POINT('',#488370); #156955=VERTEX_POINT('',#488374); #156956=VERTEX_POINT('',#488376); #156957=VERTEX_POINT('',#488380); #156958=VERTEX_POINT('',#488382); #156959=VERTEX_POINT('',#488386); #156960=VERTEX_POINT('',#488388); #156961=VERTEX_POINT('',#488392); #156962=VERTEX_POINT('',#488394); #156963=VERTEX_POINT('',#488398); #156964=VERTEX_POINT('',#488400); #156965=VERTEX_POINT('',#488404); #156966=VERTEX_POINT('',#488406); #156967=VERTEX_POINT('',#488410); #156968=VERTEX_POINT('',#488412); #156969=VERTEX_POINT('',#488416); #156970=VERTEX_POINT('',#488418); #156971=VERTEX_POINT('',#488422); #156972=VERTEX_POINT('',#488424); #156973=VERTEX_POINT('',#488428); #156974=VERTEX_POINT('',#488430); #156975=VERTEX_POINT('',#488434); #156976=VERTEX_POINT('',#488436); #156977=VERTEX_POINT('',#488440); #156978=VERTEX_POINT('',#488442); #156979=VERTEX_POINT('',#488446); #156980=VERTEX_POINT('',#488448); #156981=VERTEX_POINT('',#488452); #156982=VERTEX_POINT('',#488454); #156983=VERTEX_POINT('',#488458); #156984=VERTEX_POINT('',#488460); #156985=VERTEX_POINT('',#488464); #156986=VERTEX_POINT('',#488466); #156987=VERTEX_POINT('',#488470); #156988=VERTEX_POINT('',#488472); #156989=VERTEX_POINT('',#488481); #156990=VERTEX_POINT('',#488482); #156991=VERTEX_POINT('',#488484); #156992=VERTEX_POINT('',#488486); #156993=VERTEX_POINT('',#488490); #156994=VERTEX_POINT('',#488492); #156995=VERTEX_POINT('',#488496); #156996=VERTEX_POINT('',#488498); #156997=VERTEX_POINT('',#488502); #156998=VERTEX_POINT('',#488504); #156999=VERTEX_POINT('',#488508); #157000=VERTEX_POINT('',#488510); #157001=VERTEX_POINT('',#488514); #157002=VERTEX_POINT('',#488516); #157003=VERTEX_POINT('',#488520); #157004=VERTEX_POINT('',#488522); #157005=VERTEX_POINT('',#488526); #157006=VERTEX_POINT('',#488528); #157007=VERTEX_POINT('',#488532); #157008=VERTEX_POINT('',#488534); #157009=VERTEX_POINT('',#488538); #157010=VERTEX_POINT('',#488540); #157011=VERTEX_POINT('',#488544); #157012=VERTEX_POINT('',#488546); #157013=VERTEX_POINT('',#488550); #157014=VERTEX_POINT('',#488552); #157015=VERTEX_POINT('',#488556); #157016=VERTEX_POINT('',#488558); #157017=VERTEX_POINT('',#488562); #157018=VERTEX_POINT('',#488564); #157019=VERTEX_POINT('',#488568); #157020=VERTEX_POINT('',#488570); #157021=VERTEX_POINT('',#488574); #157022=VERTEX_POINT('',#488576); #157023=VERTEX_POINT('',#488580); #157024=VERTEX_POINT('',#488582); #157025=VERTEX_POINT('',#488586); #157026=VERTEX_POINT('',#488588); #157027=VERTEX_POINT('',#488592); #157028=VERTEX_POINT('',#488594); #157029=VERTEX_POINT('',#488598); #157030=VERTEX_POINT('',#488600); #157031=VERTEX_POINT('',#488604); #157032=VERTEX_POINT('',#488606); #157033=VERTEX_POINT('',#488610); #157034=VERTEX_POINT('',#488612); #157035=VERTEX_POINT('',#488616); #157036=VERTEX_POINT('',#488618); #157037=VERTEX_POINT('',#488622); #157038=VERTEX_POINT('',#488624); #157039=VERTEX_POINT('',#488628); #157040=VERTEX_POINT('',#488630); #157041=VERTEX_POINT('',#488634); #157042=VERTEX_POINT('',#488636); #157043=VERTEX_POINT('',#488640); #157044=VERTEX_POINT('',#488642); #157045=VERTEX_POINT('',#488646); #157046=VERTEX_POINT('',#488648); #157047=VERTEX_POINT('',#488652); #157048=VERTEX_POINT('',#488654); #157049=VERTEX_POINT('',#488658); #157050=VERTEX_POINT('',#488660); #157051=VERTEX_POINT('',#488664); #157052=VERTEX_POINT('',#488666); #157053=VERTEX_POINT('',#488670); #157054=VERTEX_POINT('',#488672); #157055=VERTEX_POINT('',#488676); #157056=VERTEX_POINT('',#488678); #157057=VERTEX_POINT('',#488682); #157058=VERTEX_POINT('',#488684); #157059=VERTEX_POINT('',#488693); #157060=VERTEX_POINT('',#488694); #157061=VERTEX_POINT('',#488696); #157062=VERTEX_POINT('',#488698); #157063=VERTEX_POINT('',#488702); #157064=VERTEX_POINT('',#488704); #157065=VERTEX_POINT('',#488708); #157066=VERTEX_POINT('',#488710); #157067=VERTEX_POINT('',#488714); #157068=VERTEX_POINT('',#488716); #157069=VERTEX_POINT('',#488720); #157070=VERTEX_POINT('',#488722); #157071=VERTEX_POINT('',#488726); #157072=VERTEX_POINT('',#488728); #157073=VERTEX_POINT('',#488732); #157074=VERTEX_POINT('',#488734); #157075=VERTEX_POINT('',#488738); #157076=VERTEX_POINT('',#488740); #157077=VERTEX_POINT('',#488744); #157078=VERTEX_POINT('',#488746); #157079=VERTEX_POINT('',#488750); #157080=VERTEX_POINT('',#488752); #157081=VERTEX_POINT('',#488756); #157082=VERTEX_POINT('',#488758); #157083=VERTEX_POINT('',#488762); #157084=VERTEX_POINT('',#488764); #157085=VERTEX_POINT('',#488768); #157086=VERTEX_POINT('',#488770); #157087=VERTEX_POINT('',#488774); #157088=VERTEX_POINT('',#488776); #157089=VERTEX_POINT('',#488780); #157090=VERTEX_POINT('',#488782); #157091=VERTEX_POINT('',#488786); #157092=VERTEX_POINT('',#488788); #157093=VERTEX_POINT('',#488792); #157094=VERTEX_POINT('',#488794); #157095=VERTEX_POINT('',#488798); #157096=VERTEX_POINT('',#488800); #157097=VERTEX_POINT('',#488804); #157098=VERTEX_POINT('',#488806); #157099=VERTEX_POINT('',#488810); #157100=VERTEX_POINT('',#488812); #157101=VERTEX_POINT('',#488821); #157102=VERTEX_POINT('',#488822); #157103=VERTEX_POINT('',#488824); #157104=VERTEX_POINT('',#488826); #157105=VERTEX_POINT('',#488830); #157106=VERTEX_POINT('',#488832); #157107=VERTEX_POINT('',#488836); #157108=VERTEX_POINT('',#488838); #157109=VERTEX_POINT('',#488842); #157110=VERTEX_POINT('',#488844); #157111=VERTEX_POINT('',#488848); #157112=VERTEX_POINT('',#488850); #157113=VERTEX_POINT('',#488854); #157114=VERTEX_POINT('',#488856); #157115=VERTEX_POINT('',#488860); #157116=VERTEX_POINT('',#488862); #157117=VERTEX_POINT('',#488866); #157118=VERTEX_POINT('',#488868); #157119=VERTEX_POINT('',#488872); #157120=VERTEX_POINT('',#488874); #157121=VERTEX_POINT('',#488878); #157122=VERTEX_POINT('',#488880); #157123=VERTEX_POINT('',#488884); #157124=VERTEX_POINT('',#488886); #157125=VERTEX_POINT('',#488890); #157126=VERTEX_POINT('',#488892); #157127=VERTEX_POINT('',#488896); #157128=VERTEX_POINT('',#488898); #157129=VERTEX_POINT('',#488902); #157130=VERTEX_POINT('',#488904); #157131=VERTEX_POINT('',#488908); #157132=VERTEX_POINT('',#488910); #157133=VERTEX_POINT('',#488914); #157134=VERTEX_POINT('',#488916); #157135=VERTEX_POINT('',#488920); #157136=VERTEX_POINT('',#488922); #157137=VERTEX_POINT('',#488926); #157138=VERTEX_POINT('',#488928); #157139=VERTEX_POINT('',#488932); #157140=VERTEX_POINT('',#488934); #157141=VERTEX_POINT('',#488938); #157142=VERTEX_POINT('',#488940); #157143=VERTEX_POINT('',#488944); #157144=VERTEX_POINT('',#488946); #157145=VERTEX_POINT('',#488950); #157146=VERTEX_POINT('',#488952); #157147=VERTEX_POINT('',#488956); #157148=VERTEX_POINT('',#488958); #157149=VERTEX_POINT('',#488962); #157150=VERTEX_POINT('',#488964); #157151=VERTEX_POINT('',#488968); #157152=VERTEX_POINT('',#488970); #157153=VERTEX_POINT('',#488974); #157154=VERTEX_POINT('',#488976); #157155=VERTEX_POINT('',#488980); #157156=VERTEX_POINT('',#488982); #157157=VERTEX_POINT('',#488986); #157158=VERTEX_POINT('',#488988); #157159=VERTEX_POINT('',#488992); #157160=VERTEX_POINT('',#488994); #157161=VERTEX_POINT('',#488998); #157162=VERTEX_POINT('',#489000); #157163=VERTEX_POINT('',#489009); #157164=VERTEX_POINT('',#489010); #157165=VERTEX_POINT('',#489012); #157166=VERTEX_POINT('',#489014); #157167=VERTEX_POINT('',#489018); #157168=VERTEX_POINT('',#489020); #157169=VERTEX_POINT('',#489024); #157170=VERTEX_POINT('',#489026); #157171=VERTEX_POINT('',#489030); #157172=VERTEX_POINT('',#489032); #157173=VERTEX_POINT('',#489036); #157174=VERTEX_POINT('',#489038); #157175=VERTEX_POINT('',#489042); #157176=VERTEX_POINT('',#489044); #157177=VERTEX_POINT('',#489048); #157178=VERTEX_POINT('',#489050); #157179=VERTEX_POINT('',#489054); #157180=VERTEX_POINT('',#489056); #157181=VERTEX_POINT('',#489060); #157182=VERTEX_POINT('',#489062); #157183=VERTEX_POINT('',#489066); #157184=VERTEX_POINT('',#489068); #157185=VERTEX_POINT('',#489072); #157186=VERTEX_POINT('',#489074); #157187=VERTEX_POINT('',#489078); #157188=VERTEX_POINT('',#489080); #157189=VERTEX_POINT('',#489084); #157190=VERTEX_POINT('',#489086); #157191=VERTEX_POINT('',#489090); #157192=VERTEX_POINT('',#489092); #157193=VERTEX_POINT('',#489096); #157194=VERTEX_POINT('',#489098); #157195=VERTEX_POINT('',#489102); #157196=VERTEX_POINT('',#489104); #157197=VERTEX_POINT('',#489108); #157198=VERTEX_POINT('',#489110); #157199=VERTEX_POINT('',#489114); #157200=VERTEX_POINT('',#489116); #157201=VERTEX_POINT('',#489120); #157202=VERTEX_POINT('',#489122); #157203=VERTEX_POINT('',#489126); #157204=VERTEX_POINT('',#489128); #157205=VERTEX_POINT('',#489132); #157206=VERTEX_POINT('',#489134); #157207=VERTEX_POINT('',#489143); #157208=VERTEX_POINT('',#489144); #157209=VERTEX_POINT('',#489146); #157210=VERTEX_POINT('',#489148); #157211=VERTEX_POINT('',#489152); #157212=VERTEX_POINT('',#489154); #157213=VERTEX_POINT('',#489158); #157214=VERTEX_POINT('',#489160); #157215=VERTEX_POINT('',#489164); #157216=VERTEX_POINT('',#489166); #157217=VERTEX_POINT('',#489170); #157218=VERTEX_POINT('',#489172); #157219=VERTEX_POINT('',#489176); #157220=VERTEX_POINT('',#489178); #157221=VERTEX_POINT('',#489182); #157222=VERTEX_POINT('',#489184); #157223=VERTEX_POINT('',#489188); #157224=VERTEX_POINT('',#489190); #157225=VERTEX_POINT('',#489194); #157226=VERTEX_POINT('',#489196); #157227=VERTEX_POINT('',#489200); #157228=VERTEX_POINT('',#489202); #157229=VERTEX_POINT('',#489206); #157230=VERTEX_POINT('',#489208); #157231=VERTEX_POINT('',#489212); #157232=VERTEX_POINT('',#489214); #157233=VERTEX_POINT('',#489218); #157234=VERTEX_POINT('',#489220); #157235=VERTEX_POINT('',#489224); #157236=VERTEX_POINT('',#489226); #157237=VERTEX_POINT('',#489230); #157238=VERTEX_POINT('',#489232); #157239=VERTEX_POINT('',#489236); #157240=VERTEX_POINT('',#489238); #157241=VERTEX_POINT('',#489242); #157242=VERTEX_POINT('',#489244); #157243=VERTEX_POINT('',#489248); #157244=VERTEX_POINT('',#489250); #157245=VERTEX_POINT('',#489254); #157246=VERTEX_POINT('',#489256); #157247=VERTEX_POINT('',#489265); #157248=VERTEX_POINT('',#489267); #157249=VERTEX_POINT('',#489271); #157250=VERTEX_POINT('',#489273); #157251=VERTEX_POINT('',#489277); #157252=VERTEX_POINT('',#489279); #157253=VERTEX_POINT('',#489283); #157254=VERTEX_POINT('',#489285); #157255=VERTEX_POINT('',#489289); #157256=VERTEX_POINT('',#489291); #157257=VERTEX_POINT('',#489295); #157258=VERTEX_POINT('',#489297); #157259=VERTEX_POINT('',#489301); #157260=VERTEX_POINT('',#489303); #157261=VERTEX_POINT('',#489307); #157262=VERTEX_POINT('',#489309); #157263=VERTEX_POINT('',#489313); #157264=VERTEX_POINT('',#489315); #157265=VERTEX_POINT('',#489319); #157266=VERTEX_POINT('',#489321); #157267=VERTEX_POINT('',#489325); #157268=VERTEX_POINT('',#489327); #157269=VERTEX_POINT('',#489331); #157270=VERTEX_POINT('',#489333); #157271=VERTEX_POINT('',#489337); #157272=VERTEX_POINT('',#489339); #157273=VERTEX_POINT('',#489343); #157274=VERTEX_POINT('',#489345); #157275=VERTEX_POINT('',#489349); #157276=VERTEX_POINT('',#489351); #157277=VERTEX_POINT('',#489355); #157278=VERTEX_POINT('',#489357); #157279=VERTEX_POINT('',#489361); #157280=VERTEX_POINT('',#489363); #157281=VERTEX_POINT('',#489367); #157282=VERTEX_POINT('',#489369); #157283=VERTEX_POINT('',#489373); #157284=VERTEX_POINT('',#489375); #157285=VERTEX_POINT('',#489379); #157286=VERTEX_POINT('',#489381); #157287=VERTEX_POINT('',#489385); #157288=VERTEX_POINT('',#489387); #157289=VERTEX_POINT('',#489391); #157290=VERTEX_POINT('',#489393); #157291=VERTEX_POINT('',#489397); #157292=VERTEX_POINT('',#489399); #157293=VERTEX_POINT('',#489403); #157294=VERTEX_POINT('',#489405); #157295=VERTEX_POINT('',#489409); #157296=VERTEX_POINT('',#489411); #157297=VERTEX_POINT('',#489415); #157298=VERTEX_POINT('',#489417); #157299=VERTEX_POINT('',#489421); #157300=VERTEX_POINT('',#489423); #157301=VERTEX_POINT('',#489427); #157302=VERTEX_POINT('',#489429); #157303=VERTEX_POINT('',#489433); #157304=VERTEX_POINT('',#489435); #157305=VERTEX_POINT('',#489439); #157306=VERTEX_POINT('',#489441); #157307=VERTEX_POINT('',#489445); #157308=VERTEX_POINT('',#489447); #157309=VERTEX_POINT('',#489451); #157310=VERTEX_POINT('',#489453); #157311=VERTEX_POINT('',#489457); #157312=VERTEX_POINT('',#489459); #157313=VERTEX_POINT('',#489463); #157314=VERTEX_POINT('',#489465); #157315=VERTEX_POINT('',#489469); #157316=VERTEX_POINT('',#489471); #157317=VERTEX_POINT('',#489475); #157318=VERTEX_POINT('',#489477); #157319=VERTEX_POINT('',#489481); #157320=VERTEX_POINT('',#489483); #157321=VERTEX_POINT('',#489487); #157322=VERTEX_POINT('',#489489); #157323=VERTEX_POINT('',#489493); #157324=VERTEX_POINT('',#489495); #157325=VERTEX_POINT('',#489499); #157326=VERTEX_POINT('',#489501); #157327=VERTEX_POINT('',#489505); #157328=VERTEX_POINT('',#489507); #157329=VERTEX_POINT('',#489511); #157330=VERTEX_POINT('',#489513); #157331=VERTEX_POINT('',#489517); #157332=VERTEX_POINT('',#489519); #157333=VERTEX_POINT('',#489523); #157334=VERTEX_POINT('',#489525); #157335=VERTEX_POINT('',#489529); #157336=VERTEX_POINT('',#489531); #157337=VERTEX_POINT('',#489535); #157338=VERTEX_POINT('',#489537); #157339=VERTEX_POINT('',#489541); #157340=VERTEX_POINT('',#489543); #157341=VERTEX_POINT('',#489547); #157342=VERTEX_POINT('',#489549); #157343=VERTEX_POINT('',#489553); #157344=VERTEX_POINT('',#489555); #157345=VERTEX_POINT('',#489559); #157346=VERTEX_POINT('',#489561); #157347=VERTEX_POINT('',#489565); #157348=VERTEX_POINT('',#489567); #157349=VERTEX_POINT('',#489571); #157350=VERTEX_POINT('',#489573); #157351=VERTEX_POINT('',#489577); #157352=VERTEX_POINT('',#489579); #157353=VERTEX_POINT('',#489583); #157354=VERTEX_POINT('',#489585); #157355=VERTEX_POINT('',#489589); #157356=VERTEX_POINT('',#489591); #157357=VERTEX_POINT('',#489595); #157358=VERTEX_POINT('',#489597); #157359=VERTEX_POINT('',#489601); #157360=VERTEX_POINT('',#489603); #157361=VERTEX_POINT('',#489607); #157362=VERTEX_POINT('',#489609); #157363=VERTEX_POINT('',#489613); #157364=VERTEX_POINT('',#489615); #157365=VERTEX_POINT('',#489619); #157366=VERTEX_POINT('',#489621); #157367=VERTEX_POINT('',#489625); #157368=VERTEX_POINT('',#489627); #157369=VERTEX_POINT('',#489631); #157370=VERTEX_POINT('',#489633); #157371=VERTEX_POINT('',#489637); #157372=VERTEX_POINT('',#489639); #157373=VERTEX_POINT('',#489643); #157374=VERTEX_POINT('',#489645); #157375=VERTEX_POINT('',#489649); #157376=VERTEX_POINT('',#489650); #157377=VERTEX_POINT('',#489652); #157378=VERTEX_POINT('',#489654); #157379=VERTEX_POINT('',#489658); #157380=VERTEX_POINT('',#489660); #157381=VERTEX_POINT('',#489664); #157382=VERTEX_POINT('',#489666); #157383=VERTEX_POINT('',#489673); #157384=VERTEX_POINT('',#489674); #157385=VERTEX_POINT('',#489676); #157386=VERTEX_POINT('',#489678); #157387=VERTEX_POINT('',#489682); #157388=VERTEX_POINT('',#489684); #157389=VERTEX_POINT('',#489688); #157390=VERTEX_POINT('',#489690); #157391=VERTEX_POINT('',#489697); #157392=VERTEX_POINT('',#489699); #157393=VERTEX_POINT('',#489703); #157394=VERTEX_POINT('',#489705); #157395=VERTEX_POINT('',#489709); #157396=VERTEX_POINT('',#489710); #157397=VERTEX_POINT('',#489712); #157398=VERTEX_POINT('',#489714); #157399=VERTEX_POINT('',#489718); #157400=VERTEX_POINT('',#489720); #157401=VERTEX_POINT('',#489724); #157402=VERTEX_POINT('',#489726); #157403=VERTEX_POINT('',#489733); #157404=VERTEX_POINT('',#489735); #157405=VERTEX_POINT('',#489739); #157406=VERTEX_POINT('',#489741); #157407=VERTEX_POINT('',#489745); #157408=VERTEX_POINT('',#489747); #157409=VERTEX_POINT('',#489751); #157410=VERTEX_POINT('',#489753); #157411=VERTEX_POINT('',#489757); #157412=VERTEX_POINT('',#489759); #157413=VERTEX_POINT('',#489763); #157414=VERTEX_POINT('',#489764); #157415=VERTEX_POINT('',#489766); #157416=VERTEX_POINT('',#489768); #157417=VERTEX_POINT('',#489772); #157418=VERTEX_POINT('',#489774); #157419=VERTEX_POINT('',#489778); #157420=VERTEX_POINT('',#489780); #157421=VERTEX_POINT('',#489787); #157422=VERTEX_POINT('',#489789); #157423=VERTEX_POINT('',#489793); #157424=VERTEX_POINT('',#489794); #157425=VERTEX_POINT('',#489796); #157426=VERTEX_POINT('',#489798); #157427=VERTEX_POINT('',#489802); #157428=VERTEX_POINT('',#489804); #157429=VERTEX_POINT('',#489808); #157430=VERTEX_POINT('',#489810); #157431=VERTEX_POINT('',#489817); #157432=VERTEX_POINT('',#489818); #157433=VERTEX_POINT('',#489820); #157434=VERTEX_POINT('',#489822); #157435=VERTEX_POINT('',#489826); #157436=VERTEX_POINT('',#489828); #157437=VERTEX_POINT('',#489832); #157438=VERTEX_POINT('',#489834); #157439=VERTEX_POINT('',#489841); #157440=VERTEX_POINT('',#489843); #157441=VERTEX_POINT('',#489847); #157442=VERTEX_POINT('',#489849); #157443=VERTEX_POINT('',#489853); #157444=VERTEX_POINT('',#489855); #157445=VERTEX_POINT('',#489859); #157446=VERTEX_POINT('',#489861); #157447=VERTEX_POINT('',#489865); #157448=VERTEX_POINT('',#489867); #157449=VERTEX_POINT('',#489871); #157450=VERTEX_POINT('',#489873); #157451=VERTEX_POINT('',#489877); #157452=VERTEX_POINT('',#489879); #157453=VERTEX_POINT('',#489883); #157454=VERTEX_POINT('',#489885); #157455=VERTEX_POINT('',#489889); #157456=VERTEX_POINT('',#489891); #157457=VERTEX_POINT('',#489895); #157458=VERTEX_POINT('',#489897); #157459=VERTEX_POINT('',#489901); #157460=VERTEX_POINT('',#489903); #157461=VERTEX_POINT('',#489907); #157462=VERTEX_POINT('',#489909); #157463=VERTEX_POINT('',#489913); #157464=VERTEX_POINT('',#489915); #157465=VERTEX_POINT('',#489919); #157466=VERTEX_POINT('',#489921); #157467=VERTEX_POINT('',#489925); #157468=VERTEX_POINT('',#489927); #157469=VERTEX_POINT('',#489931); #157470=VERTEX_POINT('',#489933); #157471=VERTEX_POINT('',#489937); #157472=VERTEX_POINT('',#489939); #157473=VERTEX_POINT('',#489943); #157474=VERTEX_POINT('',#489945); #157475=VERTEX_POINT('',#489949); #157476=VERTEX_POINT('',#489951); #157477=VERTEX_POINT('',#489955); #157478=VERTEX_POINT('',#489957); #157479=VERTEX_POINT('',#489961); #157480=VERTEX_POINT('',#489963); #157481=VERTEX_POINT('',#489967); #157482=VERTEX_POINT('',#489969); #157483=VERTEX_POINT('',#489973); #157484=VERTEX_POINT('',#489975); #157485=VERTEX_POINT('',#489979); #157486=VERTEX_POINT('',#489981); #157487=VERTEX_POINT('',#489985); #157488=VERTEX_POINT('',#489987); #157489=VERTEX_POINT('',#489991); #157490=VERTEX_POINT('',#489993); #157491=VERTEX_POINT('',#489997); #157492=VERTEX_POINT('',#489999); #157493=VERTEX_POINT('',#490003); #157494=VERTEX_POINT('',#490005); #157495=VERTEX_POINT('',#490009); #157496=VERTEX_POINT('',#490011); #157497=VERTEX_POINT('',#490015); #157498=VERTEX_POINT('',#490017); #157499=VERTEX_POINT('',#490021); #157500=VERTEX_POINT('',#490023); #157501=VERTEX_POINT('',#490027); #157502=VERTEX_POINT('',#490029); #157503=VERTEX_POINT('',#490033); #157504=VERTEX_POINT('',#490035); #157505=VERTEX_POINT('',#490039); #157506=VERTEX_POINT('',#490041); #157507=VERTEX_POINT('',#490045); #157508=VERTEX_POINT('',#490047); #157509=VERTEX_POINT('',#490051); #157510=VERTEX_POINT('',#490053); #157511=VERTEX_POINT('',#490057); #157512=VERTEX_POINT('',#490059); #157513=VERTEX_POINT('',#490063); #157514=VERTEX_POINT('',#490065); #157515=VERTEX_POINT('',#490069); #157516=VERTEX_POINT('',#490071); #157517=VERTEX_POINT('',#490075); #157518=VERTEX_POINT('',#490077); #157519=VERTEX_POINT('',#490081); #157520=VERTEX_POINT('',#490083); #157521=VERTEX_POINT('',#490087); #157522=VERTEX_POINT('',#490089); #157523=VERTEX_POINT('',#490093); #157524=VERTEX_POINT('',#490095); #157525=VERTEX_POINT('',#490099); #157526=VERTEX_POINT('',#490101); #157527=VERTEX_POINT('',#490105); #157528=VERTEX_POINT('',#490107); #157529=VERTEX_POINT('',#490111); #157530=VERTEX_POINT('',#490113); #157531=VERTEX_POINT('',#490117); #157532=VERTEX_POINT('',#490119); #157533=VERTEX_POINT('',#490123); #157534=VERTEX_POINT('',#490125); #157535=VERTEX_POINT('',#490129); #157536=VERTEX_POINT('',#490131); #157537=VERTEX_POINT('',#490135); #157538=VERTEX_POINT('',#490137); #157539=VERTEX_POINT('',#490141); #157540=VERTEX_POINT('',#490143); #157541=VERTEX_POINT('',#490147); #157542=VERTEX_POINT('',#490149); #157543=VERTEX_POINT('',#490153); #157544=VERTEX_POINT('',#490154); #157545=VERTEX_POINT('',#490156); #157546=VERTEX_POINT('',#490158); #157547=VERTEX_POINT('',#490162); #157548=VERTEX_POINT('',#490164); #157549=VERTEX_POINT('',#490168); #157550=VERTEX_POINT('',#490170); #157551=VERTEX_POINT('',#490174); #157552=VERTEX_POINT('',#490176); #157553=VERTEX_POINT('',#490180); #157554=VERTEX_POINT('',#490182); #157555=VERTEX_POINT('',#490186); #157556=VERTEX_POINT('',#490188); #157557=VERTEX_POINT('',#490192); #157558=VERTEX_POINT('',#490194); #157559=VERTEX_POINT('',#490198); #157560=VERTEX_POINT('',#490200); #157561=VERTEX_POINT('',#490204); #157562=VERTEX_POINT('',#490206); #157563=VERTEX_POINT('',#490210); #157564=VERTEX_POINT('',#490212); #157565=VERTEX_POINT('',#490216); #157566=VERTEX_POINT('',#490218); #157567=VERTEX_POINT('',#490222); #157568=VERTEX_POINT('',#490224); #157569=VERTEX_POINT('',#490228); #157570=VERTEX_POINT('',#490230); #157571=VERTEX_POINT('',#490234); #157572=VERTEX_POINT('',#490236); #157573=VERTEX_POINT('',#490240); #157574=VERTEX_POINT('',#490242); #157575=VERTEX_POINT('',#490246); #157576=VERTEX_POINT('',#490248); #157577=VERTEX_POINT('',#490252); #157578=VERTEX_POINT('',#490254); #157579=VERTEX_POINT('',#490258); #157580=VERTEX_POINT('',#490260); #157581=VERTEX_POINT('',#490264); #157582=VERTEX_POINT('',#490266); #157583=VERTEX_POINT('',#490270); #157584=VERTEX_POINT('',#490272); #157585=VERTEX_POINT('',#490276); #157586=VERTEX_POINT('',#490278); #157587=VERTEX_POINT('',#490282); #157588=VERTEX_POINT('',#490284); #157589=VERTEX_POINT('',#490288); #157590=VERTEX_POINT('',#490290); #157591=VERTEX_POINT('',#490294); #157592=VERTEX_POINT('',#490296); #157593=VERTEX_POINT('',#490300); #157594=VERTEX_POINT('',#490302); #157595=VERTEX_POINT('',#490306); #157596=VERTEX_POINT('',#490308); #157597=VERTEX_POINT('',#490312); #157598=VERTEX_POINT('',#490314); #157599=VERTEX_POINT('',#490318); #157600=VERTEX_POINT('',#490320); #157601=VERTEX_POINT('',#490324); #157602=VERTEX_POINT('',#490326); #157603=VERTEX_POINT('',#490330); #157604=VERTEX_POINT('',#490332); #157605=VERTEX_POINT('',#490336); #157606=VERTEX_POINT('',#490338); #157607=VERTEX_POINT('',#490342); #157608=VERTEX_POINT('',#490344); #157609=VERTEX_POINT('',#490348); #157610=VERTEX_POINT('',#490350); #157611=VERTEX_POINT('',#490354); #157612=VERTEX_POINT('',#490356); #157613=VERTEX_POINT('',#490360); #157614=VERTEX_POINT('',#490362); #157615=VERTEX_POINT('',#490366); #157616=VERTEX_POINT('',#490368); #157617=VERTEX_POINT('',#490372); #157618=VERTEX_POINT('',#490374); #157619=VERTEX_POINT('',#490378); #157620=VERTEX_POINT('',#490380); #157621=VERTEX_POINT('',#490384); #157622=VERTEX_POINT('',#490386); #157623=VERTEX_POINT('',#490390); #157624=VERTEX_POINT('',#490392); #157625=VERTEX_POINT('',#490396); #157626=VERTEX_POINT('',#490398); #157627=VERTEX_POINT('',#490402); #157628=VERTEX_POINT('',#490404); #157629=VERTEX_POINT('',#490408); #157630=VERTEX_POINT('',#490410); #157631=VERTEX_POINT('',#490414); #157632=VERTEX_POINT('',#490416); #157633=VERTEX_POINT('',#490420); #157634=VERTEX_POINT('',#490422); #157635=VERTEX_POINT('',#490426); #157636=VERTEX_POINT('',#490428); #157637=VERTEX_POINT('',#490432); #157638=VERTEX_POINT('',#490434); #157639=VERTEX_POINT('',#490438); #157640=VERTEX_POINT('',#490440); #157641=VERTEX_POINT('',#490444); #157642=VERTEX_POINT('',#490446); #157643=VERTEX_POINT('',#490450); #157644=VERTEX_POINT('',#490452); #157645=VERTEX_POINT('',#490456); #157646=VERTEX_POINT('',#490458); #157647=VERTEX_POINT('',#490462); #157648=VERTEX_POINT('',#490464); #157649=VERTEX_POINT('',#490468); #157650=VERTEX_POINT('',#490470); #157651=VERTEX_POINT('',#490474); #157652=VERTEX_POINT('',#490476); #157653=VERTEX_POINT('',#490480); #157654=VERTEX_POINT('',#490482); #157655=VERTEX_POINT('',#490486); #157656=VERTEX_POINT('',#490488); #157657=VERTEX_POINT('',#490492); #157658=VERTEX_POINT('',#490494); #157659=VERTEX_POINT('',#490498); #157660=VERTEX_POINT('',#490500); #157661=VERTEX_POINT('',#490504); #157662=VERTEX_POINT('',#490506); #157663=VERTEX_POINT('',#490510); #157664=VERTEX_POINT('',#490512); #157665=VERTEX_POINT('',#490516); #157666=VERTEX_POINT('',#490518); #157667=VERTEX_POINT('',#490522); #157668=VERTEX_POINT('',#490524); #157669=VERTEX_POINT('',#490528); #157670=VERTEX_POINT('',#490530); #157671=VERTEX_POINT('',#490534); #157672=VERTEX_POINT('',#490536); #157673=VERTEX_POINT('',#490540); #157674=VERTEX_POINT('',#490542); #157675=VERTEX_POINT('',#490546); #157676=VERTEX_POINT('',#490548); #157677=VERTEX_POINT('',#490552); #157678=VERTEX_POINT('',#490554); #157679=VERTEX_POINT('',#490558); #157680=VERTEX_POINT('',#490560); #157681=VERTEX_POINT('',#490564); #157682=VERTEX_POINT('',#490566); #157683=VERTEX_POINT('',#490570); #157684=VERTEX_POINT('',#490572); #157685=VERTEX_POINT('',#490576); #157686=VERTEX_POINT('',#490578); #157687=VERTEX_POINT('',#490582); #157688=VERTEX_POINT('',#490584); #157689=VERTEX_POINT('',#490588); #157690=VERTEX_POINT('',#490590); #157691=VERTEX_POINT('',#490594); #157692=VERTEX_POINT('',#490596); #157693=VERTEX_POINT('',#490600); #157694=VERTEX_POINT('',#490602); #157695=VERTEX_POINT('',#490606); #157696=VERTEX_POINT('',#490608); #157697=VERTEX_POINT('',#490612); #157698=VERTEX_POINT('',#490614); #157699=VERTEX_POINT('',#490618); #157700=VERTEX_POINT('',#490620); #157701=VERTEX_POINT('',#490624); #157702=VERTEX_POINT('',#490626); #157703=VERTEX_POINT('',#490630); #157704=VERTEX_POINT('',#490632); #157705=VERTEX_POINT('',#490636); #157706=VERTEX_POINT('',#490638); #157707=VERTEX_POINT('',#490642); #157708=VERTEX_POINT('',#490644); #157709=VERTEX_POINT('',#490648); #157710=VERTEX_POINT('',#490650); #157711=VERTEX_POINT('',#490654); #157712=VERTEX_POINT('',#490656); #157713=VERTEX_POINT('',#490660); #157714=VERTEX_POINT('',#490662); #157715=VERTEX_POINT('',#490666); #157716=VERTEX_POINT('',#490668); #157717=VERTEX_POINT('',#490672); #157718=VERTEX_POINT('',#490674); #157719=VERTEX_POINT('',#490678); #157720=VERTEX_POINT('',#490680); #157721=VERTEX_POINT('',#490684); #157722=VERTEX_POINT('',#490686); #157723=VERTEX_POINT('',#490690); #157724=VERTEX_POINT('',#490692); #157725=VERTEX_POINT('',#490696); #157726=VERTEX_POINT('',#490698); #157727=VERTEX_POINT('',#490702); #157728=VERTEX_POINT('',#490704); #157729=VERTEX_POINT('',#490708); #157730=VERTEX_POINT('',#490710); #157731=VERTEX_POINT('',#490714); #157732=VERTEX_POINT('',#490716); #157733=VERTEX_POINT('',#490720); #157734=VERTEX_POINT('',#490722); #157735=VERTEX_POINT('',#490726); #157736=VERTEX_POINT('',#490728); #157737=VERTEX_POINT('',#490732); #157738=VERTEX_POINT('',#490734); #157739=VERTEX_POINT('',#490738); #157740=VERTEX_POINT('',#490740); #157741=VERTEX_POINT('',#490744); #157742=VERTEX_POINT('',#490746); #157743=VERTEX_POINT('',#490750); #157744=VERTEX_POINT('',#490752); #157745=VERTEX_POINT('',#490756); #157746=VERTEX_POINT('',#490758); #157747=VERTEX_POINT('',#490762); #157748=VERTEX_POINT('',#490764); #157749=VERTEX_POINT('',#490768); #157750=VERTEX_POINT('',#490770); #157751=VERTEX_POINT('',#490774); #157752=VERTEX_POINT('',#490776); #157753=VERTEX_POINT('',#490780); #157754=VERTEX_POINT('',#490782); #157755=VERTEX_POINT('',#490786); #157756=VERTEX_POINT('',#490788); #157757=VERTEX_POINT('',#490792); #157758=VERTEX_POINT('',#490794); #157759=VERTEX_POINT('',#490798); #157760=VERTEX_POINT('',#490800); #157761=VERTEX_POINT('',#490804); #157762=VERTEX_POINT('',#490806); #157763=VERTEX_POINT('',#490810); #157764=VERTEX_POINT('',#490812); #157765=VERTEX_POINT('',#490816); #157766=VERTEX_POINT('',#490818); #157767=VERTEX_POINT('',#490822); #157768=VERTEX_POINT('',#490824); #157769=VERTEX_POINT('',#490828); #157770=VERTEX_POINT('',#490830); #157771=VERTEX_POINT('',#490834); #157772=VERTEX_POINT('',#490836); #157773=VERTEX_POINT('',#490840); #157774=VERTEX_POINT('',#490842); #157775=VERTEX_POINT('',#490846); #157776=VERTEX_POINT('',#490848); #157777=VERTEX_POINT('',#490852); #157778=VERTEX_POINT('',#490854); #157779=VERTEX_POINT('',#490858); #157780=VERTEX_POINT('',#490860); #157781=VERTEX_POINT('',#490864); #157782=VERTEX_POINT('',#490866); #157783=VERTEX_POINT('',#490870); #157784=VERTEX_POINT('',#490872); #157785=VERTEX_POINT('',#490876); #157786=VERTEX_POINT('',#490878); #157787=VERTEX_POINT('',#490882); #157788=VERTEX_POINT('',#490884); #157789=VERTEX_POINT('',#490888); #157790=VERTEX_POINT('',#490890); #157791=VERTEX_POINT('',#490894); #157792=VERTEX_POINT('',#490896); #157793=VERTEX_POINT('',#490900); #157794=VERTEX_POINT('',#490902); #157795=VERTEX_POINT('',#490906); #157796=VERTEX_POINT('',#490908); #157797=VERTEX_POINT('',#490912); #157798=VERTEX_POINT('',#490914); #157799=VERTEX_POINT('',#490918); #157800=VERTEX_POINT('',#490920); #157801=VERTEX_POINT('',#490924); #157802=VERTEX_POINT('',#490926); #157803=VERTEX_POINT('',#490930); #157804=VERTEX_POINT('',#490932); #157805=VERTEX_POINT('',#490936); #157806=VERTEX_POINT('',#490938); #157807=VERTEX_POINT('',#490945); #157808=VERTEX_POINT('',#490946); #157809=VERTEX_POINT('',#490948); #157810=VERTEX_POINT('',#490950); #157811=VERTEX_POINT('',#490954); #157812=VERTEX_POINT('',#490956); #157813=VERTEX_POINT('',#490960); #157814=VERTEX_POINT('',#490962); #157815=VERTEX_POINT('',#490966); #157816=VERTEX_POINT('',#490968); #157817=VERTEX_POINT('',#490972); #157818=VERTEX_POINT('',#490974); #157819=VERTEX_POINT('',#490978); #157820=VERTEX_POINT('',#490980); #157821=VERTEX_POINT('',#490984); #157822=VERTEX_POINT('',#490986); #157823=VERTEX_POINT('',#490993); #157824=VERTEX_POINT('',#490994); #157825=VERTEX_POINT('',#490996); #157826=VERTEX_POINT('',#490998); #157827=VERTEX_POINT('',#491002); #157828=VERTEX_POINT('',#491004); #157829=VERTEX_POINT('',#491008); #157830=VERTEX_POINT('',#491010); #157831=VERTEX_POINT('',#491014); #157832=VERTEX_POINT('',#491016); #157833=VERTEX_POINT('',#491020); #157834=VERTEX_POINT('',#491022); #157835=VERTEX_POINT('',#491026); #157836=VERTEX_POINT('',#491028); #157837=VERTEX_POINT('',#491032); #157838=VERTEX_POINT('',#491034); #157839=VERTEX_POINT('',#491041); #157840=VERTEX_POINT('',#491042); #157841=VERTEX_POINT('',#491044); #157842=VERTEX_POINT('',#491046); #157843=VERTEX_POINT('',#491050); #157844=VERTEX_POINT('',#491052); #157845=VERTEX_POINT('',#491056); #157846=VERTEX_POINT('',#491058); #157847=VERTEX_POINT('',#491062); #157848=VERTEX_POINT('',#491064); #157849=VERTEX_POINT('',#491068); #157850=VERTEX_POINT('',#491070); #157851=VERTEX_POINT('',#491074); #157852=VERTEX_POINT('',#491076); #157853=VERTEX_POINT('',#491080); #157854=VERTEX_POINT('',#491082); #157855=VERTEX_POINT('',#491089); #157856=VERTEX_POINT('',#491090); #157857=VERTEX_POINT('',#491092); #157858=VERTEX_POINT('',#491094); #157859=VERTEX_POINT('',#491098); #157860=VERTEX_POINT('',#491100); #157861=VERTEX_POINT('',#491104); #157862=VERTEX_POINT('',#491106); #157863=VERTEX_POINT('',#491110); #157864=VERTEX_POINT('',#491112); #157865=VERTEX_POINT('',#491116); #157866=VERTEX_POINT('',#491118); #157867=VERTEX_POINT('',#491122); #157868=VERTEX_POINT('',#491124); #157869=VERTEX_POINT('',#491128); #157870=VERTEX_POINT('',#491130); #157871=VERTEX_POINT('',#491134); #157872=VERTEX_POINT('',#491136); #157873=VERTEX_POINT('',#491140); #157874=VERTEX_POINT('',#491142); #157875=VERTEX_POINT('',#491146); #157876=VERTEX_POINT('',#491148); #157877=VERTEX_POINT('',#491152); #157878=VERTEX_POINT('',#491154); #157879=VERTEX_POINT('',#491158); #157880=VERTEX_POINT('',#491160); #157881=VERTEX_POINT('',#491164); #157882=VERTEX_POINT('',#491166); #157883=VERTEX_POINT('',#491170); #157884=VERTEX_POINT('',#491172); #157885=VERTEX_POINT('',#491176); #157886=VERTEX_POINT('',#491178); #157887=VERTEX_POINT('',#491182); #157888=VERTEX_POINT('',#491184); #157889=VERTEX_POINT('',#491188); #157890=VERTEX_POINT('',#491190); #157891=VERTEX_POINT('',#491194); #157892=VERTEX_POINT('',#491196); #157893=VERTEX_POINT('',#491200); #157894=VERTEX_POINT('',#491202); #157895=VERTEX_POINT('',#491206); #157896=VERTEX_POINT('',#491208); #157897=VERTEX_POINT('',#491212); #157898=VERTEX_POINT('',#491214); #157899=VERTEX_POINT('',#491218); #157900=VERTEX_POINT('',#491220); #157901=VERTEX_POINT('',#491224); #157902=VERTEX_POINT('',#491226); #157903=VERTEX_POINT('',#491230); #157904=VERTEX_POINT('',#491232); #157905=VERTEX_POINT('',#491236); #157906=VERTEX_POINT('',#491238); #157907=VERTEX_POINT('',#491242); #157908=VERTEX_POINT('',#491244); #157909=VERTEX_POINT('',#491248); #157910=VERTEX_POINT('',#491250); #157911=VERTEX_POINT('',#491254); #157912=VERTEX_POINT('',#491256); #157913=VERTEX_POINT('',#491260); #157914=VERTEX_POINT('',#491262); #157915=VERTEX_POINT('',#491266); #157916=VERTEX_POINT('',#491268); #157917=VERTEX_POINT('',#491272); #157918=VERTEX_POINT('',#491274); #157919=VERTEX_POINT('',#491278); #157920=VERTEX_POINT('',#491280); #157921=VERTEX_POINT('',#491284); #157922=VERTEX_POINT('',#491286); #157923=VERTEX_POINT('',#491293); #157924=VERTEX_POINT('',#491294); #157925=VERTEX_POINT('',#491296); #157926=VERTEX_POINT('',#491298); #157927=VERTEX_POINT('',#491302); #157928=VERTEX_POINT('',#491304); #157929=VERTEX_POINT('',#491308); #157930=VERTEX_POINT('',#491310); #157931=VERTEX_POINT('',#491314); #157932=VERTEX_POINT('',#491316); #157933=VERTEX_POINT('',#491320); #157934=VERTEX_POINT('',#491322); #157935=VERTEX_POINT('',#491326); #157936=VERTEX_POINT('',#491328); #157937=VERTEX_POINT('',#491332); #157938=VERTEX_POINT('',#491334); #157939=VERTEX_POINT('',#491338); #157940=VERTEX_POINT('',#491340); #157941=VERTEX_POINT('',#491344); #157942=VERTEX_POINT('',#491346); #157943=VERTEX_POINT('',#491350); #157944=VERTEX_POINT('',#491352); #157945=VERTEX_POINT('',#491356); #157946=VERTEX_POINT('',#491358); #157947=VERTEX_POINT('',#491362); #157948=VERTEX_POINT('',#491364); #157949=VERTEX_POINT('',#491368); #157950=VERTEX_POINT('',#491370); #157951=VERTEX_POINT('',#491374); #157952=VERTEX_POINT('',#491376); #157953=VERTEX_POINT('',#491380); #157954=VERTEX_POINT('',#491382); #157955=VERTEX_POINT('',#491386); #157956=VERTEX_POINT('',#491388); #157957=VERTEX_POINT('',#491392); #157958=VERTEX_POINT('',#491394); #157959=VERTEX_POINT('',#491398); #157960=VERTEX_POINT('',#491400); #157961=VERTEX_POINT('',#491404); #157962=VERTEX_POINT('',#491406); #157963=VERTEX_POINT('',#491410); #157964=VERTEX_POINT('',#491412); #157965=VERTEX_POINT('',#491416); #157966=VERTEX_POINT('',#491418); #157967=VERTEX_POINT('',#491422); #157968=VERTEX_POINT('',#491424); #157969=VERTEX_POINT('',#491428); #157970=VERTEX_POINT('',#491430); #157971=VERTEX_POINT('',#491434); #157972=VERTEX_POINT('',#491436); #157973=VERTEX_POINT('',#491440); #157974=VERTEX_POINT('',#491442); #157975=VERTEX_POINT('',#491446); #157976=VERTEX_POINT('',#491448); #157977=VERTEX_POINT('',#491452); #157978=VERTEX_POINT('',#491454); #157979=VERTEX_POINT('',#491458); #157980=VERTEX_POINT('',#491460); #157981=VERTEX_POINT('',#491464); #157982=VERTEX_POINT('',#491466); #157983=VERTEX_POINT('',#491470); #157984=VERTEX_POINT('',#491472); #157985=VERTEX_POINT('',#491476); #157986=VERTEX_POINT('',#491478); #157987=VERTEX_POINT('',#491482); #157988=VERTEX_POINT('',#491484); #157989=VERTEX_POINT('',#491488); #157990=VERTEX_POINT('',#491490); #157991=VERTEX_POINT('',#491497); #157992=VERTEX_POINT('',#491498); #157993=VERTEX_POINT('',#491500); #157994=VERTEX_POINT('',#491502); #157995=VERTEX_POINT('',#491506); #157996=VERTEX_POINT('',#491508); #157997=VERTEX_POINT('',#491512); #157998=VERTEX_POINT('',#491514); #157999=VERTEX_POINT('',#491518); #158000=VERTEX_POINT('',#491520); #158001=VERTEX_POINT('',#491524); #158002=VERTEX_POINT('',#491526); #158003=VERTEX_POINT('',#491530); #158004=VERTEX_POINT('',#491532); #158005=VERTEX_POINT('',#491536); #158006=VERTEX_POINT('',#491538); #158007=VERTEX_POINT('',#491542); #158008=VERTEX_POINT('',#491544); #158009=VERTEX_POINT('',#491548); #158010=VERTEX_POINT('',#491550); #158011=VERTEX_POINT('',#491554); #158012=VERTEX_POINT('',#491556); #158013=VERTEX_POINT('',#491560); #158014=VERTEX_POINT('',#491562); #158015=VERTEX_POINT('',#491566); #158016=VERTEX_POINT('',#491568); #158017=VERTEX_POINT('',#491572); #158018=VERTEX_POINT('',#491574); #158019=VERTEX_POINT('',#491578); #158020=VERTEX_POINT('',#491580); #158021=VERTEX_POINT('',#491584); #158022=VERTEX_POINT('',#491586); #158023=VERTEX_POINT('',#491590); #158024=VERTEX_POINT('',#491592); #158025=VERTEX_POINT('',#491596); #158026=VERTEX_POINT('',#491598); #158027=VERTEX_POINT('',#491602); #158028=VERTEX_POINT('',#491604); #158029=VERTEX_POINT('',#491608); #158030=VERTEX_POINT('',#491610); #158031=VERTEX_POINT('',#491614); #158032=VERTEX_POINT('',#491616); #158033=VERTEX_POINT('',#491620); #158034=VERTEX_POINT('',#491622); #158035=VERTEX_POINT('',#491626); #158036=VERTEX_POINT('',#491628); #158037=VERTEX_POINT('',#491632); #158038=VERTEX_POINT('',#491634); #158039=VERTEX_POINT('',#491638); #158040=VERTEX_POINT('',#491640); #158041=VERTEX_POINT('',#491644); #158042=VERTEX_POINT('',#491646); #158043=VERTEX_POINT('',#491650); #158044=VERTEX_POINT('',#491652); #158045=VERTEX_POINT('',#491656); #158046=VERTEX_POINT('',#491658); #158047=VERTEX_POINT('',#491662); #158048=VERTEX_POINT('',#491664); #158049=VERTEX_POINT('',#491668); #158050=VERTEX_POINT('',#491670); #158051=VERTEX_POINT('',#491674); #158052=VERTEX_POINT('',#491676); #158053=VERTEX_POINT('',#491680); #158054=VERTEX_POINT('',#491682); #158055=VERTEX_POINT('',#491686); #158056=VERTEX_POINT('',#491688); #158057=VERTEX_POINT('',#491692); #158058=VERTEX_POINT('',#491694); #158059=VERTEX_POINT('',#491698); #158060=VERTEX_POINT('',#491700); #158061=VERTEX_POINT('',#491704); #158062=VERTEX_POINT('',#491706); #158063=VERTEX_POINT('',#491710); #158064=VERTEX_POINT('',#491712); #158065=VERTEX_POINT('',#491716); #158066=VERTEX_POINT('',#491718); #158067=VERTEX_POINT('',#491722); #158068=VERTEX_POINT('',#491724); #158069=VERTEX_POINT('',#491728); #158070=VERTEX_POINT('',#491730); #158071=VERTEX_POINT('',#491737); #158072=VERTEX_POINT('',#491738); #158073=VERTEX_POINT('',#491740); #158074=VERTEX_POINT('',#491742); #158075=VERTEX_POINT('',#491746); #158076=VERTEX_POINT('',#491748); #158077=VERTEX_POINT('',#491752); #158078=VERTEX_POINT('',#491754); #158079=VERTEX_POINT('',#491758); #158080=VERTEX_POINT('',#491760); #158081=VERTEX_POINT('',#491764); #158082=VERTEX_POINT('',#491766); #158083=VERTEX_POINT('',#491770); #158084=VERTEX_POINT('',#491772); #158085=VERTEX_POINT('',#491776); #158086=VERTEX_POINT('',#491778); #158087=VERTEX_POINT('',#491782); #158088=VERTEX_POINT('',#491784); #158089=VERTEX_POINT('',#491788); #158090=VERTEX_POINT('',#491790); #158091=VERTEX_POINT('',#491794); #158092=VERTEX_POINT('',#491796); #158093=VERTEX_POINT('',#491800); #158094=VERTEX_POINT('',#491802); #158095=VERTEX_POINT('',#491806); #158096=VERTEX_POINT('',#491808); #158097=VERTEX_POINT('',#491812); #158098=VERTEX_POINT('',#491814); #158099=VERTEX_POINT('',#491818); #158100=VERTEX_POINT('',#491820); #158101=VERTEX_POINT('',#491824); #158102=VERTEX_POINT('',#491826); #158103=VERTEX_POINT('',#491830); #158104=VERTEX_POINT('',#491832); #158105=VERTEX_POINT('',#491836); #158106=VERTEX_POINT('',#491838); #158107=VERTEX_POINT('',#491842); #158108=VERTEX_POINT('',#491844); #158109=VERTEX_POINT('',#491848); #158110=VERTEX_POINT('',#491850); #158111=VERTEX_POINT('',#491854); #158112=VERTEX_POINT('',#491856); #158113=VERTEX_POINT('',#491860); #158114=VERTEX_POINT('',#491862); #158115=VERTEX_POINT('',#491866); #158116=VERTEX_POINT('',#491868); #158117=VERTEX_POINT('',#491872); #158118=VERTEX_POINT('',#491874); #158119=VERTEX_POINT('',#491878); #158120=VERTEX_POINT('',#491880); #158121=VERTEX_POINT('',#491884); #158122=VERTEX_POINT('',#491886); #158123=VERTEX_POINT('',#491890); #158124=VERTEX_POINT('',#491892); #158125=VERTEX_POINT('',#491896); #158126=VERTEX_POINT('',#491898); #158127=VERTEX_POINT('',#491902); #158128=VERTEX_POINT('',#491904); #158129=VERTEX_POINT('',#491908); #158130=VERTEX_POINT('',#491910); #158131=VERTEX_POINT('',#491914); #158132=VERTEX_POINT('',#491916); #158133=VERTEX_POINT('',#491920); #158134=VERTEX_POINT('',#491922); #158135=VERTEX_POINT('',#491926); #158136=VERTEX_POINT('',#491928); #158137=VERTEX_POINT('',#491932); #158138=VERTEX_POINT('',#491934); #158139=VERTEX_POINT('',#491938); #158140=VERTEX_POINT('',#491940); #158141=VERTEX_POINT('',#491944); #158142=VERTEX_POINT('',#491946); #158143=VERTEX_POINT('',#491950); #158144=VERTEX_POINT('',#491952); #158145=VERTEX_POINT('',#491956); #158146=VERTEX_POINT('',#491958); #158147=VERTEX_POINT('',#491965); #158148=VERTEX_POINT('',#491966); #158149=VERTEX_POINT('',#491968); #158150=VERTEX_POINT('',#491970); #158151=VERTEX_POINT('',#491974); #158152=VERTEX_POINT('',#491976); #158153=VERTEX_POINT('',#491980); #158154=VERTEX_POINT('',#491982); #158155=VERTEX_POINT('',#491986); #158156=VERTEX_POINT('',#491988); #158157=VERTEX_POINT('',#491992); #158158=VERTEX_POINT('',#491994); #158159=VERTEX_POINT('',#491998); #158160=VERTEX_POINT('',#492000); #158161=VERTEX_POINT('',#492004); #158162=VERTEX_POINT('',#492006); #158163=VERTEX_POINT('',#492010); #158164=VERTEX_POINT('',#492012); #158165=VERTEX_POINT('',#492016); #158166=VERTEX_POINT('',#492018); #158167=VERTEX_POINT('',#492022); #158168=VERTEX_POINT('',#492024); #158169=VERTEX_POINT('',#492028); #158170=VERTEX_POINT('',#492030); #158171=VERTEX_POINT('',#492034); #158172=VERTEX_POINT('',#492036); #158173=VERTEX_POINT('',#492040); #158174=VERTEX_POINT('',#492042); #158175=VERTEX_POINT('',#492046); #158176=VERTEX_POINT('',#492048); #158177=VERTEX_POINT('',#492052); #158178=VERTEX_POINT('',#492054); #158179=VERTEX_POINT('',#492058); #158180=VERTEX_POINT('',#492060); #158181=VERTEX_POINT('',#492064); #158182=VERTEX_POINT('',#492066); #158183=VERTEX_POINT('',#492070); #158184=VERTEX_POINT('',#492072); #158185=VERTEX_POINT('',#492076); #158186=VERTEX_POINT('',#492078); #158187=VERTEX_POINT('',#492082); #158188=VERTEX_POINT('',#492084); #158189=VERTEX_POINT('',#492088); #158190=VERTEX_POINT('',#492090); #158191=VERTEX_POINT('',#492094); #158192=VERTEX_POINT('',#492096); #158193=VERTEX_POINT('',#492100); #158194=VERTEX_POINT('',#492102); #158195=VERTEX_POINT('',#492109); #158196=VERTEX_POINT('',#492110); #158197=VERTEX_POINT('',#492112); #158198=VERTEX_POINT('',#492114); #158199=VERTEX_POINT('',#492118); #158200=VERTEX_POINT('',#492120); #158201=VERTEX_POINT('',#492124); #158202=VERTEX_POINT('',#492126); #158203=VERTEX_POINT('',#492130); #158204=VERTEX_POINT('',#492132); #158205=VERTEX_POINT('',#492136); #158206=VERTEX_POINT('',#492138); #158207=VERTEX_POINT('',#492142); #158208=VERTEX_POINT('',#492144); #158209=VERTEX_POINT('',#492148); #158210=VERTEX_POINT('',#492150); #158211=VERTEX_POINT('',#492154); #158212=VERTEX_POINT('',#492156); #158213=VERTEX_POINT('',#492160); #158214=VERTEX_POINT('',#492162); #158215=VERTEX_POINT('',#492166); #158216=VERTEX_POINT('',#492168); #158217=VERTEX_POINT('',#492172); #158218=VERTEX_POINT('',#492174); #158219=VERTEX_POINT('',#492178); #158220=VERTEX_POINT('',#492180); #158221=VERTEX_POINT('',#492184); #158222=VERTEX_POINT('',#492186); #158223=VERTEX_POINT('',#492190); #158224=VERTEX_POINT('',#492192); #158225=VERTEX_POINT('',#492196); #158226=VERTEX_POINT('',#492198); #158227=VERTEX_POINT('',#492202); #158228=VERTEX_POINT('',#492204); #158229=VERTEX_POINT('',#492208); #158230=VERTEX_POINT('',#492210); #158231=VERTEX_POINT('',#492214); #158232=VERTEX_POINT('',#492216); #158233=VERTEX_POINT('',#492220); #158234=VERTEX_POINT('',#492222); #158235=VERTEX_POINT('',#492226); #158236=VERTEX_POINT('',#492228); #158237=VERTEX_POINT('',#492232); #158238=VERTEX_POINT('',#492234); #158239=VERTEX_POINT('',#492238); #158240=VERTEX_POINT('',#492240); #158241=VERTEX_POINT('',#492244); #158242=VERTEX_POINT('',#492246); #158243=VERTEX_POINT('',#492250); #158244=VERTEX_POINT('',#492252); #158245=VERTEX_POINT('',#492256); #158246=VERTEX_POINT('',#492258); #158247=VERTEX_POINT('',#492262); #158248=VERTEX_POINT('',#492264); #158249=VERTEX_POINT('',#492268); #158250=VERTEX_POINT('',#492270); #158251=VERTEX_POINT('',#492274); #158252=VERTEX_POINT('',#492276); #158253=VERTEX_POINT('',#492280); #158254=VERTEX_POINT('',#492282); #158255=VERTEX_POINT('',#492286); #158256=VERTEX_POINT('',#492288); #158257=VERTEX_POINT('',#492292); #158258=VERTEX_POINT('',#492294); #158259=VERTEX_POINT('',#492298); #158260=VERTEX_POINT('',#492300); #158261=VERTEX_POINT('',#492304); #158262=VERTEX_POINT('',#492306); #158263=VERTEX_POINT('',#492310); #158264=VERTEX_POINT('',#492312); #158265=VERTEX_POINT('',#492316); #158266=VERTEX_POINT('',#492318); #158267=VERTEX_POINT('',#492322); #158268=VERTEX_POINT('',#492324); #158269=VERTEX_POINT('',#492328); #158270=VERTEX_POINT('',#492330); #158271=VERTEX_POINT('',#492334); #158272=VERTEX_POINT('',#492336); #158273=VERTEX_POINT('',#492340); #158274=VERTEX_POINT('',#492342); #158275=VERTEX_POINT('',#492346); #158276=VERTEX_POINT('',#492348); #158277=VERTEX_POINT('',#492352); #158278=VERTEX_POINT('',#492354); #158279=VERTEX_POINT('',#492358); #158280=VERTEX_POINT('',#492360); #158281=VERTEX_POINT('',#492364); #158282=VERTEX_POINT('',#492366); #158283=VERTEX_POINT('',#492370); #158284=VERTEX_POINT('',#492372); #158285=VERTEX_POINT('',#492376); #158286=VERTEX_POINT('',#492378); #158287=VERTEX_POINT('',#492382); #158288=VERTEX_POINT('',#492384); #158289=VERTEX_POINT('',#492388); #158290=VERTEX_POINT('',#492390); #158291=VERTEX_POINT('',#492394); #158292=VERTEX_POINT('',#492396); #158293=VERTEX_POINT('',#492400); #158294=VERTEX_POINT('',#492402); #158295=VERTEX_POINT('',#492406); #158296=VERTEX_POINT('',#492408); #158297=VERTEX_POINT('',#492412); #158298=VERTEX_POINT('',#492414); #158299=VERTEX_POINT('',#492418); #158300=VERTEX_POINT('',#492420); #158301=VERTEX_POINT('',#492424); #158302=VERTEX_POINT('',#492426); #158303=VERTEX_POINT('',#492430); #158304=VERTEX_POINT('',#492432); #158305=VERTEX_POINT('',#492436); #158306=VERTEX_POINT('',#492438); #158307=VERTEX_POINT('',#492442); #158308=VERTEX_POINT('',#492444); #158309=VERTEX_POINT('',#492448); #158310=VERTEX_POINT('',#492450); #158311=VERTEX_POINT('',#492454); #158312=VERTEX_POINT('',#492456); #158313=VERTEX_POINT('',#492460); #158314=VERTEX_POINT('',#492462); #158315=VERTEX_POINT('',#492466); #158316=VERTEX_POINT('',#492468); #158317=VERTEX_POINT('',#492472); #158318=VERTEX_POINT('',#492474); #158319=VERTEX_POINT('',#492478); #158320=VERTEX_POINT('',#492480); #158321=VERTEX_POINT('',#492484); #158322=VERTEX_POINT('',#492486); #158323=VERTEX_POINT('',#492490); #158324=VERTEX_POINT('',#492492); #158325=VERTEX_POINT('',#492496); #158326=VERTEX_POINT('',#492498); #158327=VERTEX_POINT('',#492502); #158328=VERTEX_POINT('',#492504); #158329=VERTEX_POINT('',#492508); #158330=VERTEX_POINT('',#492510); #158331=VERTEX_POINT('',#492514); #158332=VERTEX_POINT('',#492516); #158333=VERTEX_POINT('',#492520); #158334=VERTEX_POINT('',#492522); #158335=VERTEX_POINT('',#492526); #158336=VERTEX_POINT('',#492528); #158337=VERTEX_POINT('',#492532); #158338=VERTEX_POINT('',#492534); #158339=VERTEX_POINT('',#492538); #158340=VERTEX_POINT('',#492540); #158341=VERTEX_POINT('',#492544); #158342=VERTEX_POINT('',#492546); #158343=VERTEX_POINT('',#492550); #158344=VERTEX_POINT('',#492552); #158345=VERTEX_POINT('',#492556); #158346=VERTEX_POINT('',#492558); #158347=VERTEX_POINT('',#492562); #158348=VERTEX_POINT('',#492564); #158349=VERTEX_POINT('',#492568); #158350=VERTEX_POINT('',#492570); #158351=VERTEX_POINT('',#492574); #158352=VERTEX_POINT('',#492576); #158353=VERTEX_POINT('',#492580); #158354=VERTEX_POINT('',#492582); #158355=VERTEX_POINT('',#492586); #158356=VERTEX_POINT('',#492588); #158357=VERTEX_POINT('',#492592); #158358=VERTEX_POINT('',#492594); #158359=VERTEX_POINT('',#492598); #158360=VERTEX_POINT('',#492600); #158361=VERTEX_POINT('',#492604); #158362=VERTEX_POINT('',#492606); #158363=VERTEX_POINT('',#492610); #158364=VERTEX_POINT('',#492612); #158365=VERTEX_POINT('',#492616); #158366=VERTEX_POINT('',#492618); #158367=VERTEX_POINT('',#492622); #158368=VERTEX_POINT('',#492624); #158369=VERTEX_POINT('',#492628); #158370=VERTEX_POINT('',#492630); #158371=VERTEX_POINT('',#492634); #158372=VERTEX_POINT('',#492636); #158373=VERTEX_POINT('',#492640); #158374=VERTEX_POINT('',#492642); #158375=VERTEX_POINT('',#492646); #158376=VERTEX_POINT('',#492648); #158377=VERTEX_POINT('',#492652); #158378=VERTEX_POINT('',#492654); #158379=VERTEX_POINT('',#492658); #158380=VERTEX_POINT('',#492660); #158381=VERTEX_POINT('',#492664); #158382=VERTEX_POINT('',#492666); #158383=VERTEX_POINT('',#492670); #158384=VERTEX_POINT('',#492672); #158385=VERTEX_POINT('',#492676); #158386=VERTEX_POINT('',#492678); #158387=VERTEX_POINT('',#492682); #158388=VERTEX_POINT('',#492684); #158389=VERTEX_POINT('',#492688); #158390=VERTEX_POINT('',#492690); #158391=VERTEX_POINT('',#492694); #158392=VERTEX_POINT('',#492696); #158393=VERTEX_POINT('',#492700); #158394=VERTEX_POINT('',#492702); #158395=VERTEX_POINT('',#492706); #158396=VERTEX_POINT('',#492708); #158397=VERTEX_POINT('',#492712); #158398=VERTEX_POINT('',#492714); #158399=VERTEX_POINT('',#492718); #158400=VERTEX_POINT('',#492720); #158401=VERTEX_POINT('',#492724); #158402=VERTEX_POINT('',#492726); #158403=VERTEX_POINT('',#492730); #158404=VERTEX_POINT('',#492732); #158405=VERTEX_POINT('',#492736); #158406=VERTEX_POINT('',#492738); #158407=VERTEX_POINT('',#492742); #158408=VERTEX_POINT('',#492744); #158409=VERTEX_POINT('',#492748); #158410=VERTEX_POINT('',#492750); #158411=VERTEX_POINT('',#492754); #158412=VERTEX_POINT('',#492756); #158413=VERTEX_POINT('',#492760); #158414=VERTEX_POINT('',#492762); #158415=VERTEX_POINT('',#492766); #158416=VERTEX_POINT('',#492768); #158417=VERTEX_POINT('',#492772); #158418=VERTEX_POINT('',#492774); #158419=VERTEX_POINT('',#492778); #158420=VERTEX_POINT('',#492780); #158421=VERTEX_POINT('',#492784); #158422=VERTEX_POINT('',#492786); #158423=VERTEX_POINT('',#492790); #158424=VERTEX_POINT('',#492792); #158425=VERTEX_POINT('',#492796); #158426=VERTEX_POINT('',#492798); #158427=VERTEX_POINT('',#492802); #158428=VERTEX_POINT('',#492804); #158429=VERTEX_POINT('',#492808); #158430=VERTEX_POINT('',#492810); #158431=VERTEX_POINT('',#492814); #158432=VERTEX_POINT('',#492816); #158433=VERTEX_POINT('',#492820); #158434=VERTEX_POINT('',#492822); #158435=VERTEX_POINT('',#492826); #158436=VERTEX_POINT('',#492828); #158437=VERTEX_POINT('',#492832); #158438=VERTEX_POINT('',#492834); #158439=VERTEX_POINT('',#492838); #158440=VERTEX_POINT('',#492840); #158441=VERTEX_POINT('',#492844); #158442=VERTEX_POINT('',#492846); #158443=VERTEX_POINT('',#492850); #158444=VERTEX_POINT('',#492852); #158445=VERTEX_POINT('',#492856); #158446=VERTEX_POINT('',#492858); #158447=VERTEX_POINT('',#492862); #158448=VERTEX_POINT('',#492864); #158449=VERTEX_POINT('',#492868); #158450=VERTEX_POINT('',#492870); #158451=VERTEX_POINT('',#492874); #158452=VERTEX_POINT('',#492876); #158453=VERTEX_POINT('',#492880); #158454=VERTEX_POINT('',#492882); #158455=VERTEX_POINT('',#492886); #158456=VERTEX_POINT('',#492888); #158457=VERTEX_POINT('',#492892); #158458=VERTEX_POINT('',#492894); #158459=VERTEX_POINT('',#492898); #158460=VERTEX_POINT('',#492900); #158461=VERTEX_POINT('',#492904); #158462=VERTEX_POINT('',#492906); #158463=VERTEX_POINT('',#492910); #158464=VERTEX_POINT('',#492912); #158465=VERTEX_POINT('',#492916); #158466=VERTEX_POINT('',#492918); #158467=VERTEX_POINT('',#492922); #158468=VERTEX_POINT('',#492924); #158469=VERTEX_POINT('',#492928); #158470=VERTEX_POINT('',#492930); #158471=VERTEX_POINT('',#492934); #158472=VERTEX_POINT('',#492936); #158473=VERTEX_POINT('',#492940); #158474=VERTEX_POINT('',#492942); #158475=VERTEX_POINT('',#492946); #158476=VERTEX_POINT('',#492948); #158477=VERTEX_POINT('',#492952); #158478=VERTEX_POINT('',#492954); #158479=VERTEX_POINT('',#492958); #158480=VERTEX_POINT('',#492960); #158481=VERTEX_POINT('',#492964); #158482=VERTEX_POINT('',#492966); #158483=VERTEX_POINT('',#492970); #158484=VERTEX_POINT('',#492972); #158485=VERTEX_POINT('',#492976); #158486=VERTEX_POINT('',#492978); #158487=VERTEX_POINT('',#492982); #158488=VERTEX_POINT('',#492984); #158489=VERTEX_POINT('',#492988); #158490=VERTEX_POINT('',#492990); #158491=VERTEX_POINT('',#492994); #158492=VERTEX_POINT('',#492996); #158493=VERTEX_POINT('',#493000); #158494=VERTEX_POINT('',#493002); #158495=VERTEX_POINT('',#493006); #158496=VERTEX_POINT('',#493008); #158497=VERTEX_POINT('',#493012); #158498=VERTEX_POINT('',#493014); #158499=VERTEX_POINT('',#493018); #158500=VERTEX_POINT('',#493020); #158501=VERTEX_POINT('',#493024); #158502=VERTEX_POINT('',#493026); #158503=VERTEX_POINT('',#493030); #158504=VERTEX_POINT('',#493032); #158505=VERTEX_POINT('',#493036); #158506=VERTEX_POINT('',#493038); #158507=VERTEX_POINT('',#493042); #158508=VERTEX_POINT('',#493044); #158509=VERTEX_POINT('',#493048); #158510=VERTEX_POINT('',#493050); #158511=VERTEX_POINT('',#493054); #158512=VERTEX_POINT('',#493056); #158513=VERTEX_POINT('',#493060); #158514=VERTEX_POINT('',#493062); #158515=VERTEX_POINT('',#493066); #158516=VERTEX_POINT('',#493068); #158517=VERTEX_POINT('',#493072); #158518=VERTEX_POINT('',#493074); #158519=VERTEX_POINT('',#493078); #158520=VERTEX_POINT('',#493080); #158521=VERTEX_POINT('',#493084); #158522=VERTEX_POINT('',#493086); #158523=VERTEX_POINT('',#493090); #158524=VERTEX_POINT('',#493092); #158525=VERTEX_POINT('',#493096); #158526=VERTEX_POINT('',#493098); #158527=VERTEX_POINT('',#493102); #158528=VERTEX_POINT('',#493104); #158529=VERTEX_POINT('',#493108); #158530=VERTEX_POINT('',#493110); #158531=VERTEX_POINT('',#493114); #158532=VERTEX_POINT('',#493116); #158533=VERTEX_POINT('',#493120); #158534=VERTEX_POINT('',#493122); #158535=VERTEX_POINT('',#493126); #158536=VERTEX_POINT('',#493128); #158537=VERTEX_POINT('',#493132); #158538=VERTEX_POINT('',#493134); #158539=VERTEX_POINT('',#493138); #158540=VERTEX_POINT('',#493140); #158541=VERTEX_POINT('',#493144); #158542=VERTEX_POINT('',#493146); #158543=VERTEX_POINT('',#493150); #158544=VERTEX_POINT('',#493152); #158545=VERTEX_POINT('',#493156); #158546=VERTEX_POINT('',#493158); #158547=VERTEX_POINT('',#493162); #158548=VERTEX_POINT('',#493164); #158549=VERTEX_POINT('',#493168); #158550=VERTEX_POINT('',#493170); #158551=VERTEX_POINT('',#493174); #158552=VERTEX_POINT('',#493176); #158553=VERTEX_POINT('',#493180); #158554=VERTEX_POINT('',#493182); #158555=VERTEX_POINT('',#493186); #158556=VERTEX_POINT('',#493188); #158557=VERTEX_POINT('',#493192); #158558=VERTEX_POINT('',#493194); #158559=VERTEX_POINT('',#493198); #158560=VERTEX_POINT('',#493200); #158561=VERTEX_POINT('',#493204); #158562=VERTEX_POINT('',#493206); #158563=VERTEX_POINT('',#493210); #158564=VERTEX_POINT('',#493212); #158565=VERTEX_POINT('',#493216); #158566=VERTEX_POINT('',#493218); #158567=VERTEX_POINT('',#493222); #158568=VERTEX_POINT('',#493224); #158569=VERTEX_POINT('',#493228); #158570=VERTEX_POINT('',#493230); #158571=VERTEX_POINT('',#493234); #158572=VERTEX_POINT('',#493236); #158573=VERTEX_POINT('',#493240); #158574=VERTEX_POINT('',#493242); #158575=VERTEX_POINT('',#493246); #158576=VERTEX_POINT('',#493248); #158577=VERTEX_POINT('',#493252); #158578=VERTEX_POINT('',#493254); #158579=VERTEX_POINT('',#493258); #158580=VERTEX_POINT('',#493260); #158581=VERTEX_POINT('',#493264); #158582=VERTEX_POINT('',#493266); #158583=VERTEX_POINT('',#493270); #158584=VERTEX_POINT('',#493272); #158585=VERTEX_POINT('',#493276); #158586=VERTEX_POINT('',#493278); #158587=VERTEX_POINT('',#493282); #158588=VERTEX_POINT('',#493284); #158589=VERTEX_POINT('',#493288); #158590=VERTEX_POINT('',#493290); #158591=VERTEX_POINT('',#493294); #158592=VERTEX_POINT('',#493296); #158593=VERTEX_POINT('',#493300); #158594=VERTEX_POINT('',#493302); #158595=VERTEX_POINT('',#493306); #158596=VERTEX_POINT('',#493308); #158597=VERTEX_POINT('',#493312); #158598=VERTEX_POINT('',#493314); #158599=VERTEX_POINT('',#493318); #158600=VERTEX_POINT('',#493320); #158601=VERTEX_POINT('',#493324); #158602=VERTEX_POINT('',#493326); #158603=VERTEX_POINT('',#493330); #158604=VERTEX_POINT('',#493332); #158605=VERTEX_POINT('',#493336); #158606=VERTEX_POINT('',#493338); #158607=VERTEX_POINT('',#493342); #158608=VERTEX_POINT('',#493344); #158609=VERTEX_POINT('',#493348); #158610=VERTEX_POINT('',#493350); #158611=VERTEX_POINT('',#493354); #158612=VERTEX_POINT('',#493356); #158613=VERTEX_POINT('',#493360); #158614=VERTEX_POINT('',#493362); #158615=VERTEX_POINT('',#493366); #158616=VERTEX_POINT('',#493368); #158617=VERTEX_POINT('',#493372); #158618=VERTEX_POINT('',#493374); #158619=VERTEX_POINT('',#493378); #158620=VERTEX_POINT('',#493380); #158621=VERTEX_POINT('',#493384); #158622=VERTEX_POINT('',#493386); #158623=VERTEX_POINT('',#493390); #158624=VERTEX_POINT('',#493392); #158625=VERTEX_POINT('',#493396); #158626=VERTEX_POINT('',#493398); #158627=VERTEX_POINT('',#493402); #158628=VERTEX_POINT('',#493404); #158629=VERTEX_POINT('',#493408); #158630=VERTEX_POINT('',#493410); #158631=VERTEX_POINT('',#493414); #158632=VERTEX_POINT('',#493416); #158633=VERTEX_POINT('',#493420); #158634=VERTEX_POINT('',#493422); #158635=VERTEX_POINT('',#493426); #158636=VERTEX_POINT('',#493428); #158637=VERTEX_POINT('',#493432); #158638=VERTEX_POINT('',#493434); #158639=VERTEX_POINT('',#493438); #158640=VERTEX_POINT('',#493440); #158641=VERTEX_POINT('',#493444); #158642=VERTEX_POINT('',#493446); #158643=VERTEX_POINT('',#493450); #158644=VERTEX_POINT('',#493452); #158645=VERTEX_POINT('',#493456); #158646=VERTEX_POINT('',#493458); #158647=VERTEX_POINT('',#493462); #158648=VERTEX_POINT('',#493464); #158649=VERTEX_POINT('',#493468); #158650=VERTEX_POINT('',#493470); #158651=VERTEX_POINT('',#493474); #158652=VERTEX_POINT('',#493476); #158653=VERTEX_POINT('',#493480); #158654=VERTEX_POINT('',#493482); #158655=VERTEX_POINT('',#493486); #158656=VERTEX_POINT('',#493488); #158657=VERTEX_POINT('',#493492); #158658=VERTEX_POINT('',#493494); #158659=VERTEX_POINT('',#493498); #158660=VERTEX_POINT('',#493500); #158661=VERTEX_POINT('',#493504); #158662=VERTEX_POINT('',#493506); #158663=VERTEX_POINT('',#493510); #158664=VERTEX_POINT('',#493512); #158665=VERTEX_POINT('',#493516); #158666=VERTEX_POINT('',#493518); #158667=VERTEX_POINT('',#493522); #158668=VERTEX_POINT('',#493524); #158669=VERTEX_POINT('',#493528); #158670=VERTEX_POINT('',#493530); #158671=VERTEX_POINT('',#493534); #158672=VERTEX_POINT('',#493536); #158673=VERTEX_POINT('',#493540); #158674=VERTEX_POINT('',#493542); #158675=VERTEX_POINT('',#493546); #158676=VERTEX_POINT('',#493548); #158677=VERTEX_POINT('',#493552); #158678=VERTEX_POINT('',#493554); #158679=VERTEX_POINT('',#493558); #158680=VERTEX_POINT('',#493560); #158681=VERTEX_POINT('',#493564); #158682=VERTEX_POINT('',#493566); #158683=VERTEX_POINT('',#493570); #158684=VERTEX_POINT('',#493572); #158685=VERTEX_POINT('',#493576); #158686=VERTEX_POINT('',#493578); #158687=VERTEX_POINT('',#493582); #158688=VERTEX_POINT('',#493584); #158689=VERTEX_POINT('',#493588); #158690=VERTEX_POINT('',#493590); #158691=VERTEX_POINT('',#493594); #158692=VERTEX_POINT('',#493596); #158693=VERTEX_POINT('',#493600); #158694=VERTEX_POINT('',#493602); #158695=VERTEX_POINT('',#493606); #158696=VERTEX_POINT('',#493608); #158697=VERTEX_POINT('',#493612); #158698=VERTEX_POINT('',#493614); #158699=VERTEX_POINT('',#493618); #158700=VERTEX_POINT('',#493620); #158701=VERTEX_POINT('',#493624); #158702=VERTEX_POINT('',#493626); #158703=VERTEX_POINT('',#493630); #158704=VERTEX_POINT('',#493632); #158705=VERTEX_POINT('',#493636); #158706=VERTEX_POINT('',#493638); #158707=VERTEX_POINT('',#493642); #158708=VERTEX_POINT('',#493644); #158709=VERTEX_POINT('',#493648); #158710=VERTEX_POINT('',#493650); #158711=VERTEX_POINT('',#493654); #158712=VERTEX_POINT('',#493656); #158713=VERTEX_POINT('',#493660); #158714=VERTEX_POINT('',#493662); #158715=VERTEX_POINT('',#493666); #158716=VERTEX_POINT('',#493668); #158717=VERTEX_POINT('',#493672); #158718=VERTEX_POINT('',#493674); #158719=VERTEX_POINT('',#493678); #158720=VERTEX_POINT('',#493680); #158721=VERTEX_POINT('',#493684); #158722=VERTEX_POINT('',#493686); #158723=VERTEX_POINT('',#493690); #158724=VERTEX_POINT('',#493692); #158725=VERTEX_POINT('',#493696); #158726=VERTEX_POINT('',#493698); #158727=VERTEX_POINT('',#493702); #158728=VERTEX_POINT('',#493704); #158729=VERTEX_POINT('',#493708); #158730=VERTEX_POINT('',#493710); #158731=VERTEX_POINT('',#493714); #158732=VERTEX_POINT('',#493716); #158733=VERTEX_POINT('',#493720); #158734=VERTEX_POINT('',#493722); #158735=VERTEX_POINT('',#493726); #158736=VERTEX_POINT('',#493728); #158737=VERTEX_POINT('',#493732); #158738=VERTEX_POINT('',#493734); #158739=VERTEX_POINT('',#493738); #158740=VERTEX_POINT('',#493740); #158741=VERTEX_POINT('',#493744); #158742=VERTEX_POINT('',#493746); #158743=VERTEX_POINT('',#493750); #158744=VERTEX_POINT('',#493752); #158745=VERTEX_POINT('',#493756); #158746=VERTEX_POINT('',#493758); #158747=VERTEX_POINT('',#493762); #158748=VERTEX_POINT('',#493764); #158749=VERTEX_POINT('',#493768); #158750=VERTEX_POINT('',#493770); #158751=VERTEX_POINT('',#493774); #158752=VERTEX_POINT('',#493776); #158753=VERTEX_POINT('',#493780); #158754=VERTEX_POINT('',#493782); #158755=VERTEX_POINT('',#493786); #158756=VERTEX_POINT('',#493788); #158757=VERTEX_POINT('',#493792); #158758=VERTEX_POINT('',#493794); #158759=VERTEX_POINT('',#493798); #158760=VERTEX_POINT('',#493800); #158761=VERTEX_POINT('',#493804); #158762=VERTEX_POINT('',#493806); #158763=VERTEX_POINT('',#493810); #158764=VERTEX_POINT('',#493812); #158765=VERTEX_POINT('',#493816); #158766=VERTEX_POINT('',#493818); #158767=VERTEX_POINT('',#493822); #158768=VERTEX_POINT('',#493824); #158769=VERTEX_POINT('',#493828); #158770=VERTEX_POINT('',#493830); #158771=VERTEX_POINT('',#493834); #158772=VERTEX_POINT('',#493836); #158773=VERTEX_POINT('',#493840); #158774=VERTEX_POINT('',#493842); #158775=VERTEX_POINT('',#493846); #158776=VERTEX_POINT('',#493848); #158777=VERTEX_POINT('',#493852); #158778=VERTEX_POINT('',#493854); #158779=VERTEX_POINT('',#493858); #158780=VERTEX_POINT('',#493860); #158781=VERTEX_POINT('',#493864); #158782=VERTEX_POINT('',#493866); #158783=VERTEX_POINT('',#493870); #158784=VERTEX_POINT('',#493872); #158785=VERTEX_POINT('',#493876); #158786=VERTEX_POINT('',#493878); #158787=VERTEX_POINT('',#493882); #158788=VERTEX_POINT('',#493884); #158789=VERTEX_POINT('',#493888); #158790=VERTEX_POINT('',#493890); #158791=VERTEX_POINT('',#493894); #158792=VERTEX_POINT('',#493896); #158793=VERTEX_POINT('',#493900); #158794=VERTEX_POINT('',#493902); #158795=VERTEX_POINT('',#493906); #158796=VERTEX_POINT('',#493908); #158797=VERTEX_POINT('',#493912); #158798=VERTEX_POINT('',#493914); #158799=VERTEX_POINT('',#493918); #158800=VERTEX_POINT('',#493920); #158801=VERTEX_POINT('',#493924); #158802=VERTEX_POINT('',#493926); #158803=VERTEX_POINT('',#493930); #158804=VERTEX_POINT('',#493932); #158805=VERTEX_POINT('',#493936); #158806=VERTEX_POINT('',#493938); #158807=VERTEX_POINT('',#493942); #158808=VERTEX_POINT('',#493944); #158809=VERTEX_POINT('',#493948); #158810=VERTEX_POINT('',#493950); #158811=VERTEX_POINT('',#493954); #158812=VERTEX_POINT('',#493956); #158813=VERTEX_POINT('',#493960); #158814=VERTEX_POINT('',#493962); #158815=VERTEX_POINT('',#493966); #158816=VERTEX_POINT('',#493968); #158817=VERTEX_POINT('',#493972); #158818=VERTEX_POINT('',#493974); #158819=VERTEX_POINT('',#493978); #158820=VERTEX_POINT('',#493980); #158821=VERTEX_POINT('',#493984); #158822=VERTEX_POINT('',#493986); #158823=VERTEX_POINT('',#493990); #158824=VERTEX_POINT('',#493992); #158825=VERTEX_POINT('',#493996); #158826=VERTEX_POINT('',#493998); #158827=VERTEX_POINT('',#494002); #158828=VERTEX_POINT('',#494004); #158829=VERTEX_POINT('',#494008); #158830=VERTEX_POINT('',#494010); #158831=VERTEX_POINT('',#494014); #158832=VERTEX_POINT('',#494016); #158833=VERTEX_POINT('',#494020); #158834=VERTEX_POINT('',#494022); #158835=VERTEX_POINT('',#494026); #158836=VERTEX_POINT('',#494028); #158837=VERTEX_POINT('',#494032); #158838=VERTEX_POINT('',#494034); #158839=VERTEX_POINT('',#494038); #158840=VERTEX_POINT('',#494040); #158841=VERTEX_POINT('',#494044); #158842=VERTEX_POINT('',#494046); #158843=VERTEX_POINT('',#494050); #158844=VERTEX_POINT('',#494052); #158845=VERTEX_POINT('',#494056); #158846=VERTEX_POINT('',#494058); #158847=VERTEX_POINT('',#494062); #158848=VERTEX_POINT('',#494064); #158849=VERTEX_POINT('',#494068); #158850=VERTEX_POINT('',#494070); #158851=VERTEX_POINT('',#494074); #158852=VERTEX_POINT('',#494076); #158853=VERTEX_POINT('',#494080); #158854=VERTEX_POINT('',#494082); #158855=VERTEX_POINT('',#494086); #158856=VERTEX_POINT('',#494088); #158857=VERTEX_POINT('',#494092); #158858=VERTEX_POINT('',#494094); #158859=VERTEX_POINT('',#494098); #158860=VERTEX_POINT('',#494100); #158861=VERTEX_POINT('',#494104); #158862=VERTEX_POINT('',#494106); #158863=VERTEX_POINT('',#494110); #158864=VERTEX_POINT('',#494112); #158865=VERTEX_POINT('',#494116); #158866=VERTEX_POINT('',#494118); #158867=VERTEX_POINT('',#494122); #158868=VERTEX_POINT('',#494124); #158869=VERTEX_POINT('',#494128); #158870=VERTEX_POINT('',#494130); #158871=VERTEX_POINT('',#494134); #158872=VERTEX_POINT('',#494136); #158873=VERTEX_POINT('',#494140); #158874=VERTEX_POINT('',#494142); #158875=VERTEX_POINT('',#494146); #158876=VERTEX_POINT('',#494148); #158877=VERTEX_POINT('',#494152); #158878=VERTEX_POINT('',#494154); #158879=VERTEX_POINT('',#494158); #158880=VERTEX_POINT('',#494160); #158881=VERTEX_POINT('',#494164); #158882=VERTEX_POINT('',#494166); #158883=VERTEX_POINT('',#494170); #158884=VERTEX_POINT('',#494172); #158885=VERTEX_POINT('',#494176); #158886=VERTEX_POINT('',#494178); #158887=VERTEX_POINT('',#494182); #158888=VERTEX_POINT('',#494184); #158889=VERTEX_POINT('',#494188); #158890=VERTEX_POINT('',#494190); #158891=VERTEX_POINT('',#494194); #158892=VERTEX_POINT('',#494196); #158893=VERTEX_POINT('',#494200); #158894=VERTEX_POINT('',#494202); #158895=VERTEX_POINT('',#494206); #158896=VERTEX_POINT('',#494208); #158897=VERTEX_POINT('',#494212); #158898=VERTEX_POINT('',#494214); #158899=VERTEX_POINT('',#494218); #158900=VERTEX_POINT('',#494220); #158901=VERTEX_POINT('',#494224); #158902=VERTEX_POINT('',#494226); #158903=VERTEX_POINT('',#494230); #158904=VERTEX_POINT('',#494232); #158905=VERTEX_POINT('',#494236); #158906=VERTEX_POINT('',#494238); #158907=VERTEX_POINT('',#494242); #158908=VERTEX_POINT('',#494244); #158909=VERTEX_POINT('',#494248); #158910=VERTEX_POINT('',#494250); #158911=VERTEX_POINT('',#494254); #158912=VERTEX_POINT('',#494256); #158913=VERTEX_POINT('',#494260); #158914=VERTEX_POINT('',#494262); #158915=VERTEX_POINT('',#494266); #158916=VERTEX_POINT('',#494268); #158917=VERTEX_POINT('',#494272); #158918=VERTEX_POINT('',#494274); #158919=VERTEX_POINT('',#494278); #158920=VERTEX_POINT('',#494280); #158921=VERTEX_POINT('',#494284); #158922=VERTEX_POINT('',#494286); #158923=VERTEX_POINT('',#494290); #158924=VERTEX_POINT('',#494292); #158925=VERTEX_POINT('',#494296); #158926=VERTEX_POINT('',#494298); #158927=VERTEX_POINT('',#494302); #158928=VERTEX_POINT('',#494304); #158929=VERTEX_POINT('',#494308); #158930=VERTEX_POINT('',#494310); #158931=VERTEX_POINT('',#494314); #158932=VERTEX_POINT('',#494316); #158933=VERTEX_POINT('',#494320); #158934=VERTEX_POINT('',#494322); #158935=VERTEX_POINT('',#494326); #158936=VERTEX_POINT('',#494328); #158937=VERTEX_POINT('',#494332); #158938=VERTEX_POINT('',#494334); #158939=VERTEX_POINT('',#494338); #158940=VERTEX_POINT('',#494340); #158941=VERTEX_POINT('',#494344); #158942=VERTEX_POINT('',#494346); #158943=VERTEX_POINT('',#494350); #158944=VERTEX_POINT('',#494352); #158945=VERTEX_POINT('',#494356); #158946=VERTEX_POINT('',#494358); #158947=VERTEX_POINT('',#494362); #158948=VERTEX_POINT('',#494364); #158949=VERTEX_POINT('',#494368); #158950=VERTEX_POINT('',#494370); #158951=VERTEX_POINT('',#494374); #158952=VERTEX_POINT('',#494376); #158953=VERTEX_POINT('',#494380); #158954=VERTEX_POINT('',#494382); #158955=VERTEX_POINT('',#494386); #158956=VERTEX_POINT('',#494388); #158957=VERTEX_POINT('',#494392); #158958=VERTEX_POINT('',#494394); #158959=VERTEX_POINT('',#494398); #158960=VERTEX_POINT('',#494400); #158961=VERTEX_POINT('',#494404); #158962=VERTEX_POINT('',#494406); #158963=VERTEX_POINT('',#494410); #158964=VERTEX_POINT('',#494412); #158965=VERTEX_POINT('',#494416); #158966=VERTEX_POINT('',#494418); #158967=VERTEX_POINT('',#494422); #158968=VERTEX_POINT('',#494424); #158969=VERTEX_POINT('',#494428); #158970=VERTEX_POINT('',#494430); #158971=VERTEX_POINT('',#494434); #158972=VERTEX_POINT('',#494436); #158973=VERTEX_POINT('',#494440); #158974=VERTEX_POINT('',#494442); #158975=VERTEX_POINT('',#494446); #158976=VERTEX_POINT('',#494448); #158977=VERTEX_POINT('',#494452); #158978=VERTEX_POINT('',#494454); #158979=VERTEX_POINT('',#494458); #158980=VERTEX_POINT('',#494460); #158981=VERTEX_POINT('',#494464); #158982=VERTEX_POINT('',#494466); #158983=VERTEX_POINT('',#494470); #158984=VERTEX_POINT('',#494472); #158985=VERTEX_POINT('',#494476); #158986=VERTEX_POINT('',#494478); #158987=VERTEX_POINT('',#494482); #158988=VERTEX_POINT('',#494484); #158989=VERTEX_POINT('',#494488); #158990=VERTEX_POINT('',#494490); #158991=VERTEX_POINT('',#494494); #158992=VERTEX_POINT('',#494496); #158993=VERTEX_POINT('',#494500); #158994=VERTEX_POINT('',#494502); #158995=VERTEX_POINT('',#494506); #158996=VERTEX_POINT('',#494508); #158997=VERTEX_POINT('',#494512); #158998=VERTEX_POINT('',#494514); #158999=VERTEX_POINT('',#494518); #159000=VERTEX_POINT('',#494520); #159001=VERTEX_POINT('',#494524); #159002=VERTEX_POINT('',#494526); #159003=VERTEX_POINT('',#494530); #159004=VERTEX_POINT('',#494532); #159005=VERTEX_POINT('',#494536); #159006=VERTEX_POINT('',#494538); #159007=VERTEX_POINT('',#494542); #159008=VERTEX_POINT('',#494544); #159009=VERTEX_POINT('',#494548); #159010=VERTEX_POINT('',#494550); #159011=VERTEX_POINT('',#494554); #159012=VERTEX_POINT('',#494556); #159013=VERTEX_POINT('',#494560); #159014=VERTEX_POINT('',#494562); #159015=VERTEX_POINT('',#494566); #159016=VERTEX_POINT('',#494568); #159017=VERTEX_POINT('',#494572); #159018=VERTEX_POINT('',#494574); #159019=VERTEX_POINT('',#494578); #159020=VERTEX_POINT('',#494580); #159021=VERTEX_POINT('',#494584); #159022=VERTEX_POINT('',#494586); #159023=VERTEX_POINT('',#494590); #159024=VERTEX_POINT('',#494592); #159025=VERTEX_POINT('',#494596); #159026=VERTEX_POINT('',#494598); #159027=VERTEX_POINT('',#494602); #159028=VERTEX_POINT('',#494604); #159029=VERTEX_POINT('',#494608); #159030=VERTEX_POINT('',#494610); #159031=VERTEX_POINT('',#494614); #159032=VERTEX_POINT('',#494616); #159033=VERTEX_POINT('',#494620); #159034=VERTEX_POINT('',#494622); #159035=VERTEX_POINT('',#494626); #159036=VERTEX_POINT('',#494628); #159037=VERTEX_POINT('',#494632); #159038=VERTEX_POINT('',#494634); #159039=VERTEX_POINT('',#494638); #159040=VERTEX_POINT('',#494640); #159041=VERTEX_POINT('',#494644); #159042=VERTEX_POINT('',#494646); #159043=VERTEX_POINT('',#494650); #159044=VERTEX_POINT('',#494652); #159045=VERTEX_POINT('',#494656); #159046=VERTEX_POINT('',#494658); #159047=VERTEX_POINT('',#494662); #159048=VERTEX_POINT('',#494664); #159049=VERTEX_POINT('',#494668); #159050=VERTEX_POINT('',#494670); #159051=VERTEX_POINT('',#494674); #159052=VERTEX_POINT('',#494676); #159053=VERTEX_POINT('',#494680); #159054=VERTEX_POINT('',#494682); #159055=VERTEX_POINT('',#494686); #159056=VERTEX_POINT('',#494688); #159057=VERTEX_POINT('',#494692); #159058=VERTEX_POINT('',#494694); #159059=VERTEX_POINT('',#494698); #159060=VERTEX_POINT('',#494700); #159061=VERTEX_POINT('',#494704); #159062=VERTEX_POINT('',#494706); #159063=VERTEX_POINT('',#494710); #159064=VERTEX_POINT('',#494712); #159065=VERTEX_POINT('',#494716); #159066=VERTEX_POINT('',#494718); #159067=VERTEX_POINT('',#494722); #159068=VERTEX_POINT('',#494724); #159069=VERTEX_POINT('',#494728); #159070=VERTEX_POINT('',#494730); #159071=VERTEX_POINT('',#494734); #159072=VERTEX_POINT('',#494736); #159073=VERTEX_POINT('',#494740); #159074=VERTEX_POINT('',#494742); #159075=VERTEX_POINT('',#494746); #159076=VERTEX_POINT('',#494748); #159077=VERTEX_POINT('',#494752); #159078=VERTEX_POINT('',#494754); #159079=VERTEX_POINT('',#494758); #159080=VERTEX_POINT('',#494760); #159081=VERTEX_POINT('',#494764); #159082=VERTEX_POINT('',#494766); #159083=VERTEX_POINT('',#494770); #159084=VERTEX_POINT('',#494772); #159085=VERTEX_POINT('',#494776); #159086=VERTEX_POINT('',#494778); #159087=VERTEX_POINT('',#494782); #159088=VERTEX_POINT('',#494784); #159089=VERTEX_POINT('',#494788); #159090=VERTEX_POINT('',#494790); #159091=VERTEX_POINT('',#494794); #159092=VERTEX_POINT('',#494796); #159093=VERTEX_POINT('',#494800); #159094=VERTEX_POINT('',#494802); #159095=VERTEX_POINT('',#494806); #159096=VERTEX_POINT('',#494808); #159097=VERTEX_POINT('',#494812); #159098=VERTEX_POINT('',#494814); #159099=VERTEX_POINT('',#494818); #159100=VERTEX_POINT('',#494820); #159101=VERTEX_POINT('',#494824); #159102=VERTEX_POINT('',#494826); #159103=VERTEX_POINT('',#494830); #159104=VERTEX_POINT('',#494832); #159105=VERTEX_POINT('',#494836); #159106=VERTEX_POINT('',#494838); #159107=VERTEX_POINT('',#494842); #159108=VERTEX_POINT('',#494844); #159109=VERTEX_POINT('',#494848); #159110=VERTEX_POINT('',#494850); #159111=VERTEX_POINT('',#494854); #159112=VERTEX_POINT('',#494856); #159113=VERTEX_POINT('',#494860); #159114=VERTEX_POINT('',#494862); #159115=VERTEX_POINT('',#494866); #159116=VERTEX_POINT('',#494868); #159117=VERTEX_POINT('',#494872); #159118=VERTEX_POINT('',#494874); #159119=VERTEX_POINT('',#494878); #159120=VERTEX_POINT('',#494880); #159121=VERTEX_POINT('',#494884); #159122=VERTEX_POINT('',#494886); #159123=VERTEX_POINT('',#494890); #159124=VERTEX_POINT('',#494892); #159125=VERTEX_POINT('',#494896); #159126=VERTEX_POINT('',#494898); #159127=VERTEX_POINT('',#494902); #159128=VERTEX_POINT('',#494904); #159129=VERTEX_POINT('',#494908); #159130=VERTEX_POINT('',#494910); #159131=VERTEX_POINT('',#494914); #159132=VERTEX_POINT('',#494916); #159133=VERTEX_POINT('',#494920); #159134=VERTEX_POINT('',#494922); #159135=VERTEX_POINT('',#494926); #159136=VERTEX_POINT('',#494928); #159137=VERTEX_POINT('',#494932); #159138=VERTEX_POINT('',#494934); #159139=VERTEX_POINT('',#494938); #159140=VERTEX_POINT('',#494940); #159141=VERTEX_POINT('',#494944); #159142=VERTEX_POINT('',#494946); #159143=VERTEX_POINT('',#494950); #159144=VERTEX_POINT('',#494952); #159145=VERTEX_POINT('',#494956); #159146=VERTEX_POINT('',#494958); #159147=VERTEX_POINT('',#494962); #159148=VERTEX_POINT('',#494964); #159149=VERTEX_POINT('',#494968); #159150=VERTEX_POINT('',#494970); #159151=VERTEX_POINT('',#494974); #159152=VERTEX_POINT('',#494976); #159153=VERTEX_POINT('',#494980); #159154=VERTEX_POINT('',#494982); #159155=VERTEX_POINT('',#494986); #159156=VERTEX_POINT('',#494988); #159157=VERTEX_POINT('',#494992); #159158=VERTEX_POINT('',#494994); #159159=VERTEX_POINT('',#494998); #159160=VERTEX_POINT('',#495000); #159161=VERTEX_POINT('',#495004); #159162=VERTEX_POINT('',#495006); #159163=VERTEX_POINT('',#495010); #159164=VERTEX_POINT('',#495012); #159165=VERTEX_POINT('',#495016); #159166=VERTEX_POINT('',#495018); #159167=VERTEX_POINT('',#495022); #159168=VERTEX_POINT('',#495024); #159169=VERTEX_POINT('',#495028); #159170=VERTEX_POINT('',#495030); #159171=VERTEX_POINT('',#495034); #159172=VERTEX_POINT('',#495036); #159173=VERTEX_POINT('',#495040); #159174=VERTEX_POINT('',#495042); #159175=VERTEX_POINT('',#495046); #159176=VERTEX_POINT('',#495048); #159177=VERTEX_POINT('',#495052); #159178=VERTEX_POINT('',#495054); #159179=VERTEX_POINT('',#495058); #159180=VERTEX_POINT('',#495060); #159181=VERTEX_POINT('',#495064); #159182=VERTEX_POINT('',#495066); #159183=VERTEX_POINT('',#495070); #159184=VERTEX_POINT('',#495072); #159185=VERTEX_POINT('',#495076); #159186=VERTEX_POINT('',#495078); #159187=VERTEX_POINT('',#495082); #159188=VERTEX_POINT('',#495084); #159189=VERTEX_POINT('',#495088); #159190=VERTEX_POINT('',#495090); #159191=VERTEX_POINT('',#495094); #159192=VERTEX_POINT('',#495096); #159193=VERTEX_POINT('',#495100); #159194=VERTEX_POINT('',#495102); #159195=VERTEX_POINT('',#495106); #159196=VERTEX_POINT('',#495108); #159197=VERTEX_POINT('',#495112); #159198=VERTEX_POINT('',#495114); #159199=VERTEX_POINT('',#495118); #159200=VERTEX_POINT('',#495120); #159201=VERTEX_POINT('',#495124); #159202=VERTEX_POINT('',#495126); #159203=VERTEX_POINT('',#495130); #159204=VERTEX_POINT('',#495132); #159205=VERTEX_POINT('',#495136); #159206=VERTEX_POINT('',#495138); #159207=VERTEX_POINT('',#495142); #159208=VERTEX_POINT('',#495144); #159209=VERTEX_POINT('',#495148); #159210=VERTEX_POINT('',#495150); #159211=VERTEX_POINT('',#495154); #159212=VERTEX_POINT('',#495156); #159213=VERTEX_POINT('',#495160); #159214=VERTEX_POINT('',#495162); #159215=VERTEX_POINT('',#495166); #159216=VERTEX_POINT('',#495168); #159217=VERTEX_POINT('',#495172); #159218=VERTEX_POINT('',#495174); #159219=VERTEX_POINT('',#495178); #159220=VERTEX_POINT('',#495180); #159221=VERTEX_POINT('',#495184); #159222=VERTEX_POINT('',#495186); #159223=VERTEX_POINT('',#495190); #159224=VERTEX_POINT('',#495192); #159225=VERTEX_POINT('',#495196); #159226=VERTEX_POINT('',#495198); #159227=VERTEX_POINT('',#495202); #159228=VERTEX_POINT('',#495204); #159229=VERTEX_POINT('',#495208); #159230=VERTEX_POINT('',#495210); #159231=VERTEX_POINT('',#495214); #159232=VERTEX_POINT('',#495216); #159233=VERTEX_POINT('',#495220); #159234=VERTEX_POINT('',#495222); #159235=VERTEX_POINT('',#495226); #159236=VERTEX_POINT('',#495228); #159237=VERTEX_POINT('',#495232); #159238=VERTEX_POINT('',#495234); #159239=VERTEX_POINT('',#495238); #159240=VERTEX_POINT('',#495240); #159241=VERTEX_POINT('',#495244); #159242=VERTEX_POINT('',#495246); #159243=VERTEX_POINT('',#495250); #159244=VERTEX_POINT('',#495252); #159245=VERTEX_POINT('',#495256); #159246=VERTEX_POINT('',#495258); #159247=VERTEX_POINT('',#495262); #159248=VERTEX_POINT('',#495264); #159249=VERTEX_POINT('',#495268); #159250=VERTEX_POINT('',#495270); #159251=VERTEX_POINT('',#495274); #159252=VERTEX_POINT('',#495276); #159253=VERTEX_POINT('',#495280); #159254=VERTEX_POINT('',#495282); #159255=VERTEX_POINT('',#495286); #159256=VERTEX_POINT('',#495288); #159257=VERTEX_POINT('',#495292); #159258=VERTEX_POINT('',#495294); #159259=VERTEX_POINT('',#495298); #159260=VERTEX_POINT('',#495300); #159261=VERTEX_POINT('',#495304); #159262=VERTEX_POINT('',#495306); #159263=VERTEX_POINT('',#495310); #159264=VERTEX_POINT('',#495312); #159265=VERTEX_POINT('',#495316); #159266=VERTEX_POINT('',#495318); #159267=VERTEX_POINT('',#495322); #159268=VERTEX_POINT('',#495324); #159269=VERTEX_POINT('',#495328); #159270=VERTEX_POINT('',#495330); #159271=VERTEX_POINT('',#495334); #159272=VERTEX_POINT('',#495336); #159273=VERTEX_POINT('',#495340); #159274=VERTEX_POINT('',#495342); #159275=VERTEX_POINT('',#495346); #159276=VERTEX_POINT('',#495348); #159277=VERTEX_POINT('',#495352); #159278=VERTEX_POINT('',#495354); #159279=VERTEX_POINT('',#495358); #159280=VERTEX_POINT('',#495360); #159281=VERTEX_POINT('',#495364); #159282=VERTEX_POINT('',#495366); #159283=VERTEX_POINT('',#495370); #159284=VERTEX_POINT('',#495372); #159285=VERTEX_POINT('',#495376); #159286=VERTEX_POINT('',#495378); #159287=VERTEX_POINT('',#495382); #159288=VERTEX_POINT('',#495384); #159289=VERTEX_POINT('',#495388); #159290=VERTEX_POINT('',#495390); #159291=VERTEX_POINT('',#495394); #159292=VERTEX_POINT('',#495396); #159293=VERTEX_POINT('',#495400); #159294=VERTEX_POINT('',#495402); #159295=VERTEX_POINT('',#495406); #159296=VERTEX_POINT('',#495408); #159297=VERTEX_POINT('',#495412); #159298=VERTEX_POINT('',#495414); #159299=VERTEX_POINT('',#495418); #159300=VERTEX_POINT('',#495420); #159301=VERTEX_POINT('',#495424); #159302=VERTEX_POINT('',#495426); #159303=VERTEX_POINT('',#495430); #159304=VERTEX_POINT('',#495432); #159305=VERTEX_POINT('',#495436); #159306=VERTEX_POINT('',#495438); #159307=VERTEX_POINT('',#495442); #159308=VERTEX_POINT('',#495444); #159309=VERTEX_POINT('',#495448); #159310=VERTEX_POINT('',#495450); #159311=VERTEX_POINT('',#495454); #159312=VERTEX_POINT('',#495456); #159313=VERTEX_POINT('',#495460); #159314=VERTEX_POINT('',#495462); #159315=VERTEX_POINT('',#495466); #159316=VERTEX_POINT('',#495468); #159317=VERTEX_POINT('',#495472); #159318=VERTEX_POINT('',#495474); #159319=VERTEX_POINT('',#495478); #159320=VERTEX_POINT('',#495480); #159321=VERTEX_POINT('',#495484); #159322=VERTEX_POINT('',#495486); #159323=VERTEX_POINT('',#495490); #159324=VERTEX_POINT('',#495492); #159325=VERTEX_POINT('',#495496); #159326=VERTEX_POINT('',#495498); #159327=VERTEX_POINT('',#495502); #159328=VERTEX_POINT('',#495504); #159329=VERTEX_POINT('',#495508); #159330=VERTEX_POINT('',#495510); #159331=VERTEX_POINT('',#495514); #159332=VERTEX_POINT('',#495516); #159333=VERTEX_POINT('',#495520); #159334=VERTEX_POINT('',#495522); #159335=VERTEX_POINT('',#495526); #159336=VERTEX_POINT('',#495528); #159337=VERTEX_POINT('',#495532); #159338=VERTEX_POINT('',#495534); #159339=VERTEX_POINT('',#495538); #159340=VERTEX_POINT('',#495540); #159341=VERTEX_POINT('',#495544); #159342=VERTEX_POINT('',#495546); #159343=VERTEX_POINT('',#495550); #159344=VERTEX_POINT('',#495552); #159345=VERTEX_POINT('',#495556); #159346=VERTEX_POINT('',#495558); #159347=VERTEX_POINT('',#495562); #159348=VERTEX_POINT('',#495564); #159349=VERTEX_POINT('',#495568); #159350=VERTEX_POINT('',#495570); #159351=VERTEX_POINT('',#495574); #159352=VERTEX_POINT('',#495576); #159353=VERTEX_POINT('',#495580); #159354=VERTEX_POINT('',#495582); #159355=VERTEX_POINT('',#495586); #159356=VERTEX_POINT('',#495588); #159357=VERTEX_POINT('',#495592); #159358=VERTEX_POINT('',#495594); #159359=VERTEX_POINT('',#495598); #159360=VERTEX_POINT('',#495600); #159361=VERTEX_POINT('',#495604); #159362=VERTEX_POINT('',#495606); #159363=VERTEX_POINT('',#495610); #159364=VERTEX_POINT('',#495612); #159365=VERTEX_POINT('',#495616); #159366=VERTEX_POINT('',#495618); #159367=VERTEX_POINT('',#495622); #159368=VERTEX_POINT('',#495624); #159369=VERTEX_POINT('',#495628); #159370=VERTEX_POINT('',#495630); #159371=VERTEX_POINT('',#495634); #159372=VERTEX_POINT('',#495636); #159373=VERTEX_POINT('',#495640); #159374=VERTEX_POINT('',#495642); #159375=VERTEX_POINT('',#495646); #159376=VERTEX_POINT('',#495648); #159377=VERTEX_POINT('',#495652); #159378=VERTEX_POINT('',#495654); #159379=VERTEX_POINT('',#495658); #159380=VERTEX_POINT('',#495660); #159381=VERTEX_POINT('',#495664); #159382=VERTEX_POINT('',#495666); #159383=VERTEX_POINT('',#495670); #159384=VERTEX_POINT('',#495672); #159385=VERTEX_POINT('',#495676); #159386=VERTEX_POINT('',#495678); #159387=VERTEX_POINT('',#495682); #159388=VERTEX_POINT('',#495684); #159389=VERTEX_POINT('',#495688); #159390=VERTEX_POINT('',#495690); #159391=VERTEX_POINT('',#495694); #159392=VERTEX_POINT('',#495696); #159393=VERTEX_POINT('',#495700); #159394=VERTEX_POINT('',#495702); #159395=VERTEX_POINT('',#495706); #159396=VERTEX_POINT('',#495708); #159397=VERTEX_POINT('',#495712); #159398=VERTEX_POINT('',#495714); #159399=VERTEX_POINT('',#495718); #159400=VERTEX_POINT('',#495720); #159401=VERTEX_POINT('',#495724); #159402=VERTEX_POINT('',#495726); #159403=VERTEX_POINT('',#495730); #159404=VERTEX_POINT('',#495732); #159405=VERTEX_POINT('',#495736); #159406=VERTEX_POINT('',#495738); #159407=VERTEX_POINT('',#495742); #159408=VERTEX_POINT('',#495744); #159409=VERTEX_POINT('',#495748); #159410=VERTEX_POINT('',#495750); #159411=VERTEX_POINT('',#495754); #159412=VERTEX_POINT('',#495756); #159413=VERTEX_POINT('',#495760); #159414=VERTEX_POINT('',#495762); #159415=VERTEX_POINT('',#495766); #159416=VERTEX_POINT('',#495768); #159417=VERTEX_POINT('',#495772); #159418=VERTEX_POINT('',#495774); #159419=VERTEX_POINT('',#495778); #159420=VERTEX_POINT('',#495780); #159421=VERTEX_POINT('',#495784); #159422=VERTEX_POINT('',#495786); #159423=VERTEX_POINT('',#495790); #159424=VERTEX_POINT('',#495792); #159425=VERTEX_POINT('',#495796); #159426=VERTEX_POINT('',#495798); #159427=VERTEX_POINT('',#495802); #159428=VERTEX_POINT('',#495804); #159429=VERTEX_POINT('',#495808); #159430=VERTEX_POINT('',#495810); #159431=VERTEX_POINT('',#495814); #159432=VERTEX_POINT('',#495816); #159433=VERTEX_POINT('',#495820); #159434=VERTEX_POINT('',#495822); #159435=VERTEX_POINT('',#495826); #159436=VERTEX_POINT('',#495828); #159437=VERTEX_POINT('',#495832); #159438=VERTEX_POINT('',#495834); #159439=VERTEX_POINT('',#495838); #159440=VERTEX_POINT('',#495840); #159441=VERTEX_POINT('',#495844); #159442=VERTEX_POINT('',#495846); #159443=VERTEX_POINT('',#495850); #159444=VERTEX_POINT('',#495852); #159445=VERTEX_POINT('',#495856); #159446=VERTEX_POINT('',#495858); #159447=VERTEX_POINT('',#495862); #159448=VERTEX_POINT('',#495864); #159449=VERTEX_POINT('',#495868); #159450=VERTEX_POINT('',#495870); #159451=VERTEX_POINT('',#495874); #159452=VERTEX_POINT('',#495876); #159453=VERTEX_POINT('',#495880); #159454=VERTEX_POINT('',#495882); #159455=VERTEX_POINT('',#495886); #159456=VERTEX_POINT('',#495888); #159457=VERTEX_POINT('',#495892); #159458=VERTEX_POINT('',#495894); #159459=VERTEX_POINT('',#495898); #159460=VERTEX_POINT('',#495900); #159461=VERTEX_POINT('',#495904); #159462=VERTEX_POINT('',#495906); #159463=VERTEX_POINT('',#495910); #159464=VERTEX_POINT('',#495912); #159465=VERTEX_POINT('',#495916); #159466=VERTEX_POINT('',#495918); #159467=VERTEX_POINT('',#495922); #159468=VERTEX_POINT('',#495924); #159469=VERTEX_POINT('',#495928); #159470=VERTEX_POINT('',#495930); #159471=VERTEX_POINT('',#495934); #159472=VERTEX_POINT('',#495936); #159473=VERTEX_POINT('',#495940); #159474=VERTEX_POINT('',#495942); #159475=VERTEX_POINT('',#495946); #159476=VERTEX_POINT('',#495948); #159477=VERTEX_POINT('',#495952); #159478=VERTEX_POINT('',#495954); #159479=VERTEX_POINT('',#495958); #159480=VERTEX_POINT('',#495960); #159481=VERTEX_POINT('',#495964); #159482=VERTEX_POINT('',#495966); #159483=VERTEX_POINT('',#495970); #159484=VERTEX_POINT('',#495972); #159485=VERTEX_POINT('',#495976); #159486=VERTEX_POINT('',#495978); #159487=VERTEX_POINT('',#495985); #159488=VERTEX_POINT('',#495986); #159489=VERTEX_POINT('',#495988); #159490=VERTEX_POINT('',#495990); #159491=VERTEX_POINT('',#495994); #159492=VERTEX_POINT('',#495996); #159493=VERTEX_POINT('',#496000); #159494=VERTEX_POINT('',#496002); #159495=VERTEX_POINT('',#496006); #159496=VERTEX_POINT('',#496008); #159497=VERTEX_POINT('',#496012); #159498=VERTEX_POINT('',#496014); #159499=VERTEX_POINT('',#496018); #159500=VERTEX_POINT('',#496020); #159501=VERTEX_POINT('',#496024); #159502=VERTEX_POINT('',#496026); #159503=VERTEX_POINT('',#496030); #159504=VERTEX_POINT('',#496032); #159505=VERTEX_POINT('',#496036); #159506=VERTEX_POINT('',#496038); #159507=VERTEX_POINT('',#496042); #159508=VERTEX_POINT('',#496044); #159509=VERTEX_POINT('',#496048); #159510=VERTEX_POINT('',#496050); #159511=VERTEX_POINT('',#496054); #159512=VERTEX_POINT('',#496056); #159513=VERTEX_POINT('',#496060); #159514=VERTEX_POINT('',#496062); #159515=VERTEX_POINT('',#496066); #159516=VERTEX_POINT('',#496068); #159517=VERTEX_POINT('',#496072); #159518=VERTEX_POINT('',#496074); #159519=VERTEX_POINT('',#496078); #159520=VERTEX_POINT('',#496080); #159521=VERTEX_POINT('',#496084); #159522=VERTEX_POINT('',#496086); #159523=VERTEX_POINT('',#496090); #159524=VERTEX_POINT('',#496092); #159525=VERTEX_POINT('',#496096); #159526=VERTEX_POINT('',#496098); #159527=VERTEX_POINT('',#496102); #159528=VERTEX_POINT('',#496104); #159529=VERTEX_POINT('',#496108); #159530=VERTEX_POINT('',#496110); #159531=VERTEX_POINT('',#496114); #159532=VERTEX_POINT('',#496116); #159533=VERTEX_POINT('',#496120); #159534=VERTEX_POINT('',#496122); #159535=VERTEX_POINT('',#496126); #159536=VERTEX_POINT('',#496128); #159537=VERTEX_POINT('',#496132); #159538=VERTEX_POINT('',#496134); #159539=VERTEX_POINT('',#496138); #159540=VERTEX_POINT('',#496140); #159541=VERTEX_POINT('',#496144); #159542=VERTEX_POINT('',#496146); #159543=VERTEX_POINT('',#496150); #159544=VERTEX_POINT('',#496152); #159545=VERTEX_POINT('',#496156); #159546=VERTEX_POINT('',#496158); #159547=VERTEX_POINT('',#496162); #159548=VERTEX_POINT('',#496164); #159549=VERTEX_POINT('',#496168); #159550=VERTEX_POINT('',#496170); #159551=VERTEX_POINT('',#496174); #159552=VERTEX_POINT('',#496176); #159553=VERTEX_POINT('',#496180); #159554=VERTEX_POINT('',#496182); #159555=VERTEX_POINT('',#496186); #159556=VERTEX_POINT('',#496188); #159557=VERTEX_POINT('',#496192); #159558=VERTEX_POINT('',#496194); #159559=VERTEX_POINT('',#496198); #159560=VERTEX_POINT('',#496200); #159561=VERTEX_POINT('',#496204); #159562=VERTEX_POINT('',#496206); #159563=VERTEX_POINT('',#496210); #159564=VERTEX_POINT('',#496212); #159565=VERTEX_POINT('',#496216); #159566=VERTEX_POINT('',#496218); #159567=VERTEX_POINT('',#496222); #159568=VERTEX_POINT('',#496224); #159569=VERTEX_POINT('',#496228); #159570=VERTEX_POINT('',#496230); #159571=VERTEX_POINT('',#496234); #159572=VERTEX_POINT('',#496236); #159573=VERTEX_POINT('',#496240); #159574=VERTEX_POINT('',#496242); #159575=VERTEX_POINT('',#496246); #159576=VERTEX_POINT('',#496248); #159577=VERTEX_POINT('',#496252); #159578=VERTEX_POINT('',#496254); #159579=VERTEX_POINT('',#496258); #159580=VERTEX_POINT('',#496260); #159581=VERTEX_POINT('',#496264); #159582=VERTEX_POINT('',#496266); #159583=VERTEX_POINT('',#496270); #159584=VERTEX_POINT('',#496272); #159585=VERTEX_POINT('',#496276); #159586=VERTEX_POINT('',#496278); #159587=VERTEX_POINT('',#496282); #159588=VERTEX_POINT('',#496284); #159589=VERTEX_POINT('',#496288); #159590=VERTEX_POINT('',#496290); #159591=VERTEX_POINT('',#496294); #159592=VERTEX_POINT('',#496296); #159593=VERTEX_POINT('',#496300); #159594=VERTEX_POINT('',#496302); #159595=VERTEX_POINT('',#496306); #159596=VERTEX_POINT('',#496308); #159597=VERTEX_POINT('',#496312); #159598=VERTEX_POINT('',#496314); #159599=VERTEX_POINT('',#496318); #159600=VERTEX_POINT('',#496320); #159601=VERTEX_POINT('',#496324); #159602=VERTEX_POINT('',#496326); #159603=VERTEX_POINT('',#496330); #159604=VERTEX_POINT('',#496332); #159605=VERTEX_POINT('',#496336); #159606=VERTEX_POINT('',#496338); #159607=VERTEX_POINT('',#496342); #159608=VERTEX_POINT('',#496344); #159609=VERTEX_POINT('',#496348); #159610=VERTEX_POINT('',#496350); #159611=VERTEX_POINT('',#496354); #159612=VERTEX_POINT('',#496356); #159613=VERTEX_POINT('',#496360); #159614=VERTEX_POINT('',#496362); #159615=VERTEX_POINT('',#496366); #159616=VERTEX_POINT('',#496368); #159617=VERTEX_POINT('',#496372); #159618=VERTEX_POINT('',#496374); #159619=VERTEX_POINT('',#496378); #159620=VERTEX_POINT('',#496380); #159621=VERTEX_POINT('',#496384); #159622=VERTEX_POINT('',#496386); #159623=VERTEX_POINT('',#496390); #159624=VERTEX_POINT('',#496392); #159625=VERTEX_POINT('',#496396); #159626=VERTEX_POINT('',#496398); #159627=VERTEX_POINT('',#496402); #159628=VERTEX_POINT('',#496404); #159629=VERTEX_POINT('',#496408); #159630=VERTEX_POINT('',#496410); #159631=VERTEX_POINT('',#496414); #159632=VERTEX_POINT('',#496416); #159633=VERTEX_POINT('',#496420); #159634=VERTEX_POINT('',#496422); #159635=VERTEX_POINT('',#496426); #159636=VERTEX_POINT('',#496428); #159637=VERTEX_POINT('',#496432); #159638=VERTEX_POINT('',#496434); #159639=VERTEX_POINT('',#496438); #159640=VERTEX_POINT('',#496440); #159641=VERTEX_POINT('',#496444); #159642=VERTEX_POINT('',#496446); #159643=VERTEX_POINT('',#496450); #159644=VERTEX_POINT('',#496452); #159645=VERTEX_POINT('',#496456); #159646=VERTEX_POINT('',#496458); #159647=VERTEX_POINT('',#496462); #159648=VERTEX_POINT('',#496464); #159649=VERTEX_POINT('',#496468); #159650=VERTEX_POINT('',#496470); #159651=VERTEX_POINT('',#496474); #159652=VERTEX_POINT('',#496476); #159653=VERTEX_POINT('',#496480); #159654=VERTEX_POINT('',#496482); #159655=VERTEX_POINT('',#496486); #159656=VERTEX_POINT('',#496488); #159657=VERTEX_POINT('',#496492); #159658=VERTEX_POINT('',#496494); #159659=VERTEX_POINT('',#496498); #159660=VERTEX_POINT('',#496500); #159661=VERTEX_POINT('',#496504); #159662=VERTEX_POINT('',#496506); #159663=VERTEX_POINT('',#496510); #159664=VERTEX_POINT('',#496512); #159665=VERTEX_POINT('',#496516); #159666=VERTEX_POINT('',#496518); #159667=VERTEX_POINT('',#496522); #159668=VERTEX_POINT('',#496524); #159669=VERTEX_POINT('',#496528); #159670=VERTEX_POINT('',#496530); #159671=VERTEX_POINT('',#496534); #159672=VERTEX_POINT('',#496536); #159673=VERTEX_POINT('',#496540); #159674=VERTEX_POINT('',#496542); #159675=VERTEX_POINT('',#496546); #159676=VERTEX_POINT('',#496548); #159677=VERTEX_POINT('',#496552); #159678=VERTEX_POINT('',#496554); #159679=VERTEX_POINT('',#496558); #159680=VERTEX_POINT('',#496560); #159681=VERTEX_POINT('',#496564); #159682=VERTEX_POINT('',#496566); #159683=VERTEX_POINT('',#496570); #159684=VERTEX_POINT('',#496572); #159685=VERTEX_POINT('',#496576); #159686=VERTEX_POINT('',#496578); #159687=VERTEX_POINT('',#496585); #159688=VERTEX_POINT('',#496586); #159689=VERTEX_POINT('',#496588); #159690=VERTEX_POINT('',#496590); #159691=VERTEX_POINT('',#496594); #159692=VERTEX_POINT('',#496596); #159693=VERTEX_POINT('',#496600); #159694=VERTEX_POINT('',#496602); #159695=VERTEX_POINT('',#496606); #159696=VERTEX_POINT('',#496608); #159697=VERTEX_POINT('',#496612); #159698=VERTEX_POINT('',#496614); #159699=VERTEX_POINT('',#496618); #159700=VERTEX_POINT('',#496620); #159701=VERTEX_POINT('',#496624); #159702=VERTEX_POINT('',#496626); #159703=VERTEX_POINT('',#496630); #159704=VERTEX_POINT('',#496632); #159705=VERTEX_POINT('',#496636); #159706=VERTEX_POINT('',#496638); #159707=VERTEX_POINT('',#496642); #159708=VERTEX_POINT('',#496644); #159709=VERTEX_POINT('',#496648); #159710=VERTEX_POINT('',#496650); #159711=VERTEX_POINT('',#496654); #159712=VERTEX_POINT('',#496656); #159713=VERTEX_POINT('',#496660); #159714=VERTEX_POINT('',#496662); #159715=VERTEX_POINT('',#496666); #159716=VERTEX_POINT('',#496668); #159717=VERTEX_POINT('',#496672); #159718=VERTEX_POINT('',#496674); #159719=VERTEX_POINT('',#496678); #159720=VERTEX_POINT('',#496680); #159721=VERTEX_POINT('',#496684); #159722=VERTEX_POINT('',#496686); #159723=VERTEX_POINT('',#496690); #159724=VERTEX_POINT('',#496692); #159725=VERTEX_POINT('',#496696); #159726=VERTEX_POINT('',#496698); #159727=VERTEX_POINT('',#496702); #159728=VERTEX_POINT('',#496704); #159729=VERTEX_POINT('',#496708); #159730=VERTEX_POINT('',#496710); #159731=VERTEX_POINT('',#496714); #159732=VERTEX_POINT('',#496716); #159733=VERTEX_POINT('',#496720); #159734=VERTEX_POINT('',#496722); #159735=VERTEX_POINT('',#496726); #159736=VERTEX_POINT('',#496728); #159737=VERTEX_POINT('',#496732); #159738=VERTEX_POINT('',#496734); #159739=VERTEX_POINT('',#496738); #159740=VERTEX_POINT('',#496740); #159741=VERTEX_POINT('',#496744); #159742=VERTEX_POINT('',#496746); #159743=VERTEX_POINT('',#496750); #159744=VERTEX_POINT('',#496752); #159745=VERTEX_POINT('',#496756); #159746=VERTEX_POINT('',#496758); #159747=VERTEX_POINT('',#496762); #159748=VERTEX_POINT('',#496764); #159749=VERTEX_POINT('',#496768); #159750=VERTEX_POINT('',#496770); #159751=VERTEX_POINT('',#496774); #159752=VERTEX_POINT('',#496776); #159753=VERTEX_POINT('',#496780); #159754=VERTEX_POINT('',#496782); #159755=VERTEX_POINT('',#496786); #159756=VERTEX_POINT('',#496788); #159757=VERTEX_POINT('',#496792); #159758=VERTEX_POINT('',#496794); #159759=VERTEX_POINT('',#496798); #159760=VERTEX_POINT('',#496800); #159761=VERTEX_POINT('',#496804); #159762=VERTEX_POINT('',#496806); #159763=VERTEX_POINT('',#496810); #159764=VERTEX_POINT('',#496812); #159765=VERTEX_POINT('',#496816); #159766=VERTEX_POINT('',#496818); #159767=VERTEX_POINT('',#496822); #159768=VERTEX_POINT('',#496824); #159769=VERTEX_POINT('',#496828); #159770=VERTEX_POINT('',#496830); #159771=VERTEX_POINT('',#496834); #159772=VERTEX_POINT('',#496836); #159773=VERTEX_POINT('',#496840); #159774=VERTEX_POINT('',#496842); #159775=VERTEX_POINT('',#496846); #159776=VERTEX_POINT('',#496848); #159777=VERTEX_POINT('',#496852); #159778=VERTEX_POINT('',#496854); #159779=VERTEX_POINT('',#496858); #159780=VERTEX_POINT('',#496860); #159781=VERTEX_POINT('',#496864); #159782=VERTEX_POINT('',#496866); #159783=VERTEX_POINT('',#496870); #159784=VERTEX_POINT('',#496872); #159785=VERTEX_POINT('',#496876); #159786=VERTEX_POINT('',#496878); #159787=VERTEX_POINT('',#496882); #159788=VERTEX_POINT('',#496884); #159789=VERTEX_POINT('',#496888); #159790=VERTEX_POINT('',#496890); #159791=VERTEX_POINT('',#496894); #159792=VERTEX_POINT('',#496896); #159793=VERTEX_POINT('',#496900); #159794=VERTEX_POINT('',#496902); #159795=VERTEX_POINT('',#496906); #159796=VERTEX_POINT('',#496908); #159797=VERTEX_POINT('',#496912); #159798=VERTEX_POINT('',#496914); #159799=VERTEX_POINT('',#496918); #159800=VERTEX_POINT('',#496920); #159801=VERTEX_POINT('',#496924); #159802=VERTEX_POINT('',#496926); #159803=VERTEX_POINT('',#496930); #159804=VERTEX_POINT('',#496932); #159805=VERTEX_POINT('',#496936); #159806=VERTEX_POINT('',#496938); #159807=VERTEX_POINT('',#496942); #159808=VERTEX_POINT('',#496944); #159809=VERTEX_POINT('',#496948); #159810=VERTEX_POINT('',#496950); #159811=VERTEX_POINT('',#496954); #159812=VERTEX_POINT('',#496956); #159813=VERTEX_POINT('',#496960); #159814=VERTEX_POINT('',#496962); #159815=VERTEX_POINT('',#496966); #159816=VERTEX_POINT('',#496968); #159817=VERTEX_POINT('',#496972); #159818=VERTEX_POINT('',#496974); #159819=VERTEX_POINT('',#496978); #159820=VERTEX_POINT('',#496980); #159821=VERTEX_POINT('',#496984); #159822=VERTEX_POINT('',#496986); #159823=VERTEX_POINT('',#496990); #159824=VERTEX_POINT('',#496992); #159825=VERTEX_POINT('',#496996); #159826=VERTEX_POINT('',#496998); #159827=VERTEX_POINT('',#497002); #159828=VERTEX_POINT('',#497004); #159829=VERTEX_POINT('',#497008); #159830=VERTEX_POINT('',#497010); #159831=VERTEX_POINT('',#497014); #159832=VERTEX_POINT('',#497016); #159833=VERTEX_POINT('',#497020); #159834=VERTEX_POINT('',#497022); #159835=VERTEX_POINT('',#497026); #159836=VERTEX_POINT('',#497028); #159837=VERTEX_POINT('',#497032); #159838=VERTEX_POINT('',#497034); #159839=VERTEX_POINT('',#497038); #159840=VERTEX_POINT('',#497040); #159841=VERTEX_POINT('',#497044); #159842=VERTEX_POINT('',#497046); #159843=VERTEX_POINT('',#497050); #159844=VERTEX_POINT('',#497052); #159845=VERTEX_POINT('',#497056); #159846=VERTEX_POINT('',#497058); #159847=VERTEX_POINT('',#497062); #159848=VERTEX_POINT('',#497064); #159849=VERTEX_POINT('',#497068); #159850=VERTEX_POINT('',#497070); #159851=VERTEX_POINT('',#497074); #159852=VERTEX_POINT('',#497076); #159853=VERTEX_POINT('',#497080); #159854=VERTEX_POINT('',#497082); #159855=VERTEX_POINT('',#497086); #159856=VERTEX_POINT('',#497088); #159857=VERTEX_POINT('',#497092); #159858=VERTEX_POINT('',#497094); #159859=VERTEX_POINT('',#497098); #159860=VERTEX_POINT('',#497100); #159861=VERTEX_POINT('',#497104); #159862=VERTEX_POINT('',#497106); #159863=VERTEX_POINT('',#497110); #159864=VERTEX_POINT('',#497112); #159865=VERTEX_POINT('',#497116); #159866=VERTEX_POINT('',#497118); #159867=VERTEX_POINT('',#497122); #159868=VERTEX_POINT('',#497124); #159869=VERTEX_POINT('',#497128); #159870=VERTEX_POINT('',#497130); #159871=VERTEX_POINT('',#497134); #159872=VERTEX_POINT('',#497136); #159873=VERTEX_POINT('',#497140); #159874=VERTEX_POINT('',#497142); #159875=VERTEX_POINT('',#497146); #159876=VERTEX_POINT('',#497148); #159877=VERTEX_POINT('',#497152); #159878=VERTEX_POINT('',#497154); #159879=VERTEX_POINT('',#497158); #159880=VERTEX_POINT('',#497160); #159881=VERTEX_POINT('',#497164); #159882=VERTEX_POINT('',#497166); #159883=VERTEX_POINT('',#497170); #159884=VERTEX_POINT('',#497172); #159885=VERTEX_POINT('',#497176); #159886=VERTEX_POINT('',#497178); #159887=VERTEX_POINT('',#497182); #159888=VERTEX_POINT('',#497184); #159889=VERTEX_POINT('',#497188); #159890=VERTEX_POINT('',#497190); #159891=VERTEX_POINT('',#497194); #159892=VERTEX_POINT('',#497196); #159893=VERTEX_POINT('',#497200); #159894=VERTEX_POINT('',#497202); #159895=VERTEX_POINT('',#497206); #159896=VERTEX_POINT('',#497208); #159897=VERTEX_POINT('',#497212); #159898=VERTEX_POINT('',#497214); #159899=VERTEX_POINT('',#497218); #159900=VERTEX_POINT('',#497220); #159901=VERTEX_POINT('',#497224); #159902=VERTEX_POINT('',#497226); #159903=VERTEX_POINT('',#497230); #159904=VERTEX_POINT('',#497232); #159905=VERTEX_POINT('',#497236); #159906=VERTEX_POINT('',#497238); #159907=VERTEX_POINT('',#497242); #159908=VERTEX_POINT('',#497244); #159909=VERTEX_POINT('',#497248); #159910=VERTEX_POINT('',#497250); #159911=VERTEX_POINT('',#497254); #159912=VERTEX_POINT('',#497256); #159913=VERTEX_POINT('',#497260); #159914=VERTEX_POINT('',#497262); #159915=VERTEX_POINT('',#497266); #159916=VERTEX_POINT('',#497268); #159917=VERTEX_POINT('',#497272); #159918=VERTEX_POINT('',#497274); #159919=VERTEX_POINT('',#497278); #159920=VERTEX_POINT('',#497280); #159921=VERTEX_POINT('',#497284); #159922=VERTEX_POINT('',#497286); #159923=VERTEX_POINT('',#497290); #159924=VERTEX_POINT('',#497292); #159925=VERTEX_POINT('',#497296); #159926=VERTEX_POINT('',#497298); #159927=VERTEX_POINT('',#497302); #159928=VERTEX_POINT('',#497304); #159929=VERTEX_POINT('',#497308); #159930=VERTEX_POINT('',#497310); #159931=VERTEX_POINT('',#497314); #159932=VERTEX_POINT('',#497316); #159933=VERTEX_POINT('',#497320); #159934=VERTEX_POINT('',#497322); #159935=VERTEX_POINT('',#497326); #159936=VERTEX_POINT('',#497328); #159937=VERTEX_POINT('',#497332); #159938=VERTEX_POINT('',#497334); #159939=VERTEX_POINT('',#497338); #159940=VERTEX_POINT('',#497340); #159941=VERTEX_POINT('',#497344); #159942=VERTEX_POINT('',#497346); #159943=VERTEX_POINT('',#497350); #159944=VERTEX_POINT('',#497352); #159945=VERTEX_POINT('',#497356); #159946=VERTEX_POINT('',#497358); #159947=VERTEX_POINT('',#497362); #159948=VERTEX_POINT('',#497364); #159949=VERTEX_POINT('',#497368); #159950=VERTEX_POINT('',#497370); #159951=VERTEX_POINT('',#497374); #159952=VERTEX_POINT('',#497376); #159953=VERTEX_POINT('',#497380); #159954=VERTEX_POINT('',#497382); #159955=VERTEX_POINT('',#497386); #159956=VERTEX_POINT('',#497388); #159957=VERTEX_POINT('',#497392); #159958=VERTEX_POINT('',#497394); #159959=VERTEX_POINT('',#497398); #159960=VERTEX_POINT('',#497400); #159961=VERTEX_POINT('',#497404); #159962=VERTEX_POINT('',#497406); #159963=VERTEX_POINT('',#497410); #159964=VERTEX_POINT('',#497412); #159965=VERTEX_POINT('',#497416); #159966=VERTEX_POINT('',#497418); #159967=VERTEX_POINT('',#497422); #159968=VERTEX_POINT('',#497424); #159969=VERTEX_POINT('',#497428); #159970=VERTEX_POINT('',#497430); #159971=VERTEX_POINT('',#497434); #159972=VERTEX_POINT('',#497436); #159973=VERTEX_POINT('',#497440); #159974=VERTEX_POINT('',#497442); #159975=VERTEX_POINT('',#497446); #159976=VERTEX_POINT('',#497448); #159977=VERTEX_POINT('',#497452); #159978=VERTEX_POINT('',#497454); #159979=VERTEX_POINT('',#497458); #159980=VERTEX_POINT('',#497460); #159981=VERTEX_POINT('',#497464); #159982=VERTEX_POINT('',#497466); #159983=VERTEX_POINT('',#497470); #159984=VERTEX_POINT('',#497472); #159985=VERTEX_POINT('',#497476); #159986=VERTEX_POINT('',#497478); #159987=VERTEX_POINT('',#497482); #159988=VERTEX_POINT('',#497484); #159989=VERTEX_POINT('',#497488); #159990=VERTEX_POINT('',#497490); #159991=VERTEX_POINT('',#497494); #159992=VERTEX_POINT('',#497496); #159993=VERTEX_POINT('',#497500); #159994=VERTEX_POINT('',#497502); #159995=VERTEX_POINT('',#497506); #159996=VERTEX_POINT('',#497508); #159997=VERTEX_POINT('',#497512); #159998=VERTEX_POINT('',#497514); #159999=VERTEX_POINT('',#497518); #160000=VERTEX_POINT('',#497520); #160001=VERTEX_POINT('',#497524); #160002=VERTEX_POINT('',#497526); #160003=VERTEX_POINT('',#497530); #160004=VERTEX_POINT('',#497532); #160005=VERTEX_POINT('',#497536); #160006=VERTEX_POINT('',#497538); #160007=VERTEX_POINT('',#497542); #160008=VERTEX_POINT('',#497544); #160009=VERTEX_POINT('',#497548); #160010=VERTEX_POINT('',#497550); #160011=VERTEX_POINT('',#497554); #160012=VERTEX_POINT('',#497556); #160013=VERTEX_POINT('',#497560); #160014=VERTEX_POINT('',#497562); #160015=VERTEX_POINT('',#497566); #160016=VERTEX_POINT('',#497568); #160017=VERTEX_POINT('',#497572); #160018=VERTEX_POINT('',#497574); #160019=VERTEX_POINT('',#497578); #160020=VERTEX_POINT('',#497580); #160021=VERTEX_POINT('',#497584); #160022=VERTEX_POINT('',#497586); #160023=VERTEX_POINT('',#497590); #160024=VERTEX_POINT('',#497592); #160025=VERTEX_POINT('',#497596); #160026=VERTEX_POINT('',#497598); #160027=VERTEX_POINT('',#497602); #160028=VERTEX_POINT('',#497604); #160029=VERTEX_POINT('',#497608); #160030=VERTEX_POINT('',#497610); #160031=VERTEX_POINT('',#497614); #160032=VERTEX_POINT('',#497616); #160033=VERTEX_POINT('',#497620); #160034=VERTEX_POINT('',#497622); #160035=VERTEX_POINT('',#497626); #160036=VERTEX_POINT('',#497628); #160037=VERTEX_POINT('',#497632); #160038=VERTEX_POINT('',#497634); #160039=VERTEX_POINT('',#497638); #160040=VERTEX_POINT('',#497640); #160041=VERTEX_POINT('',#497644); #160042=VERTEX_POINT('',#497646); #160043=VERTEX_POINT('',#497650); #160044=VERTEX_POINT('',#497652); #160045=VERTEX_POINT('',#497656); #160046=VERTEX_POINT('',#497658); #160047=VERTEX_POINT('',#497662); #160048=VERTEX_POINT('',#497664); #160049=VERTEX_POINT('',#497668); #160050=VERTEX_POINT('',#497670); #160051=VERTEX_POINT('',#497674); #160052=VERTEX_POINT('',#497676); #160053=VERTEX_POINT('',#497680); #160054=VERTEX_POINT('',#497682); #160055=VERTEX_POINT('',#497686); #160056=VERTEX_POINT('',#497688); #160057=VERTEX_POINT('',#497692); #160058=VERTEX_POINT('',#497694); #160059=VERTEX_POINT('',#497698); #160060=VERTEX_POINT('',#497700); #160061=VERTEX_POINT('',#497704); #160062=VERTEX_POINT('',#497706); #160063=VERTEX_POINT('',#497710); #160064=VERTEX_POINT('',#497712); #160065=VERTEX_POINT('',#497716); #160066=VERTEX_POINT('',#497718); #160067=VERTEX_POINT('',#497722); #160068=VERTEX_POINT('',#497724); #160069=VERTEX_POINT('',#497728); #160070=VERTEX_POINT('',#497730); #160071=VERTEX_POINT('',#497734); #160072=VERTEX_POINT('',#497736); #160073=VERTEX_POINT('',#497740); #160074=VERTEX_POINT('',#497742); #160075=VERTEX_POINT('',#497746); #160076=VERTEX_POINT('',#497748); #160077=VERTEX_POINT('',#497752); #160078=VERTEX_POINT('',#497754); #160079=VERTEX_POINT('',#497758); #160080=VERTEX_POINT('',#497760); #160081=VERTEX_POINT('',#497764); #160082=VERTEX_POINT('',#497766); #160083=VERTEX_POINT('',#497770); #160084=VERTEX_POINT('',#497772); #160085=VERTEX_POINT('',#497776); #160086=VERTEX_POINT('',#497778); #160087=VERTEX_POINT('',#497782); #160088=VERTEX_POINT('',#497784); #160089=VERTEX_POINT('',#497788); #160090=VERTEX_POINT('',#497790); #160091=VERTEX_POINT('',#497794); #160092=VERTEX_POINT('',#497796); #160093=VERTEX_POINT('',#497800); #160094=VERTEX_POINT('',#497802); #160095=VERTEX_POINT('',#497806); #160096=VERTEX_POINT('',#497808); #160097=VERTEX_POINT('',#497812); #160098=VERTEX_POINT('',#497814); #160099=VERTEX_POINT('',#497818); #160100=VERTEX_POINT('',#497820); #160101=VERTEX_POINT('',#497824); #160102=VERTEX_POINT('',#497826); #160103=VERTEX_POINT('',#497830); #160104=VERTEX_POINT('',#497832); #160105=VERTEX_POINT('',#497836); #160106=VERTEX_POINT('',#497838); #160107=VERTEX_POINT('',#497842); #160108=VERTEX_POINT('',#497844); #160109=VERTEX_POINT('',#497848); #160110=VERTEX_POINT('',#497850); #160111=VERTEX_POINT('',#497854); #160112=VERTEX_POINT('',#497856); #160113=VERTEX_POINT('',#497860); #160114=VERTEX_POINT('',#497862); #160115=VERTEX_POINT('',#497866); #160116=VERTEX_POINT('',#497868); #160117=VERTEX_POINT('',#497872); #160118=VERTEX_POINT('',#497874); #160119=VERTEX_POINT('',#497878); #160120=VERTEX_POINT('',#497880); #160121=VERTEX_POINT('',#497884); #160122=VERTEX_POINT('',#497886); #160123=VERTEX_POINT('',#497890); #160124=VERTEX_POINT('',#497892); #160125=VERTEX_POINT('',#497896); #160126=VERTEX_POINT('',#497898); #160127=VERTEX_POINT('',#497902); #160128=VERTEX_POINT('',#497904); #160129=VERTEX_POINT('',#497908); #160130=VERTEX_POINT('',#497910); #160131=VERTEX_POINT('',#497914); #160132=VERTEX_POINT('',#497916); #160133=VERTEX_POINT('',#497920); #160134=VERTEX_POINT('',#497922); #160135=VERTEX_POINT('',#497926); #160136=VERTEX_POINT('',#497928); #160137=VERTEX_POINT('',#497932); #160138=VERTEX_POINT('',#497934); #160139=VERTEX_POINT('',#497938); #160140=VERTEX_POINT('',#497940); #160141=VERTEX_POINT('',#497944); #160142=VERTEX_POINT('',#497946); #160143=VERTEX_POINT('',#497950); #160144=VERTEX_POINT('',#497952); #160145=VERTEX_POINT('',#497956); #160146=VERTEX_POINT('',#497958); #160147=VERTEX_POINT('',#497962); #160148=VERTEX_POINT('',#497964); #160149=VERTEX_POINT('',#497968); #160150=VERTEX_POINT('',#497970); #160151=VERTEX_POINT('',#497974); #160152=VERTEX_POINT('',#497976); #160153=VERTEX_POINT('',#497980); #160154=VERTEX_POINT('',#497982); #160155=VERTEX_POINT('',#497986); #160156=VERTEX_POINT('',#497988); #160157=VERTEX_POINT('',#497992); #160158=VERTEX_POINT('',#497994); #160159=VERTEX_POINT('',#497998); #160160=VERTEX_POINT('',#498000); #160161=VERTEX_POINT('',#498004); #160162=VERTEX_POINT('',#498006); #160163=VERTEX_POINT('',#498010); #160164=VERTEX_POINT('',#498012); #160165=VERTEX_POINT('',#498016); #160166=VERTEX_POINT('',#498018); #160167=VERTEX_POINT('',#498022); #160168=VERTEX_POINT('',#498024); #160169=VERTEX_POINT('',#498028); #160170=VERTEX_POINT('',#498030); #160171=VERTEX_POINT('',#498034); #160172=VERTEX_POINT('',#498036); #160173=VERTEX_POINT('',#498040); #160174=VERTEX_POINT('',#498042); #160175=VERTEX_POINT('',#498046); #160176=VERTEX_POINT('',#498048); #160177=VERTEX_POINT('',#498052); #160178=VERTEX_POINT('',#498054); #160179=VERTEX_POINT('',#498058); #160180=VERTEX_POINT('',#498060); #160181=VERTEX_POINT('',#498064); #160182=VERTEX_POINT('',#498066); #160183=VERTEX_POINT('',#498070); #160184=VERTEX_POINT('',#498072); #160185=VERTEX_POINT('',#498076); #160186=VERTEX_POINT('',#498078); #160187=VERTEX_POINT('',#498082); #160188=VERTEX_POINT('',#498084); #160189=VERTEX_POINT('',#498091); #160190=VERTEX_POINT('',#498092); #160191=VERTEX_POINT('',#498094); #160192=VERTEX_POINT('',#498096); #160193=VERTEX_POINT('',#498100); #160194=VERTEX_POINT('',#498102); #160195=VERTEX_POINT('',#498106); #160196=VERTEX_POINT('',#498108); #160197=VERTEX_POINT('',#498112); #160198=VERTEX_POINT('',#498114); #160199=VERTEX_POINT('',#498118); #160200=VERTEX_POINT('',#498120); #160201=VERTEX_POINT('',#498124); #160202=VERTEX_POINT('',#498126); #160203=VERTEX_POINT('',#498130); #160204=VERTEX_POINT('',#498132); #160205=VERTEX_POINT('',#498136); #160206=VERTEX_POINT('',#498138); #160207=VERTEX_POINT('',#498142); #160208=VERTEX_POINT('',#498144); #160209=VERTEX_POINT('',#498148); #160210=VERTEX_POINT('',#498150); #160211=VERTEX_POINT('',#498154); #160212=VERTEX_POINT('',#498156); #160213=VERTEX_POINT('',#498160); #160214=VERTEX_POINT('',#498162); #160215=VERTEX_POINT('',#498166); #160216=VERTEX_POINT('',#498168); #160217=VERTEX_POINT('',#498172); #160218=VERTEX_POINT('',#498174); #160219=VERTEX_POINT('',#498178); #160220=VERTEX_POINT('',#498180); #160221=VERTEX_POINT('',#498184); #160222=VERTEX_POINT('',#498186); #160223=VERTEX_POINT('',#498190); #160224=VERTEX_POINT('',#498192); #160225=VERTEX_POINT('',#498196); #160226=VERTEX_POINT('',#498198); #160227=VERTEX_POINT('',#498202); #160228=VERTEX_POINT('',#498204); #160229=VERTEX_POINT('',#498208); #160230=VERTEX_POINT('',#498210); #160231=VERTEX_POINT('',#498214); #160232=VERTEX_POINT('',#498216); #160233=VERTEX_POINT('',#498220); #160234=VERTEX_POINT('',#498222); #160235=VERTEX_POINT('',#498226); #160236=VERTEX_POINT('',#498228); #160237=VERTEX_POINT('',#498232); #160238=VERTEX_POINT('',#498234); #160239=VERTEX_POINT('',#498238); #160240=VERTEX_POINT('',#498240); #160241=VERTEX_POINT('',#498244); #160242=VERTEX_POINT('',#498246); #160243=VERTEX_POINT('',#498250); #160244=VERTEX_POINT('',#498252); #160245=VERTEX_POINT('',#498256); #160246=VERTEX_POINT('',#498258); #160247=VERTEX_POINT('',#498262); #160248=VERTEX_POINT('',#498264); #160249=VERTEX_POINT('',#498271); #160250=VERTEX_POINT('',#498272); #160251=VERTEX_POINT('',#498274); #160252=VERTEX_POINT('',#498276); #160253=VERTEX_POINT('',#498280); #160254=VERTEX_POINT('',#498282); #160255=VERTEX_POINT('',#498286); #160256=VERTEX_POINT('',#498288); #160257=VERTEX_POINT('',#498292); #160258=VERTEX_POINT('',#498294); #160259=VERTEX_POINT('',#498298); #160260=VERTEX_POINT('',#498300); #160261=VERTEX_POINT('',#498304); #160262=VERTEX_POINT('',#498306); #160263=VERTEX_POINT('',#498310); #160264=VERTEX_POINT('',#498312); #160265=VERTEX_POINT('',#498316); #160266=VERTEX_POINT('',#498318); #160267=VERTEX_POINT('',#498322); #160268=VERTEX_POINT('',#498324); #160269=VERTEX_POINT('',#498328); #160270=VERTEX_POINT('',#498330); #160271=VERTEX_POINT('',#498334); #160272=VERTEX_POINT('',#498336); #160273=VERTEX_POINT('',#498340); #160274=VERTEX_POINT('',#498342); #160275=VERTEX_POINT('',#498346); #160276=VERTEX_POINT('',#498348); #160277=VERTEX_POINT('',#498352); #160278=VERTEX_POINT('',#498354); #160279=VERTEX_POINT('',#498358); #160280=VERTEX_POINT('',#498360); #160281=VERTEX_POINT('',#498364); #160282=VERTEX_POINT('',#498366); #160283=VERTEX_POINT('',#498370); #160284=VERTEX_POINT('',#498372); #160285=VERTEX_POINT('',#498376); #160286=VERTEX_POINT('',#498378); #160287=VERTEX_POINT('',#498382); #160288=VERTEX_POINT('',#498384); #160289=VERTEX_POINT('',#498388); #160290=VERTEX_POINT('',#498390); #160291=VERTEX_POINT('',#498394); #160292=VERTEX_POINT('',#498396); #160293=VERTEX_POINT('',#498400); #160294=VERTEX_POINT('',#498402); #160295=VERTEX_POINT('',#498406); #160296=VERTEX_POINT('',#498408); #160297=VERTEX_POINT('',#498412); #160298=VERTEX_POINT('',#498414); #160299=VERTEX_POINT('',#498418); #160300=VERTEX_POINT('',#498420); #160301=VERTEX_POINT('',#498424); #160302=VERTEX_POINT('',#498426); #160303=VERTEX_POINT('',#498430); #160304=VERTEX_POINT('',#498432); #160305=VERTEX_POINT('',#498436); #160306=VERTEX_POINT('',#498438); #160307=VERTEX_POINT('',#498442); #160308=VERTEX_POINT('',#498444); #160309=VERTEX_POINT('',#498451); #160310=VERTEX_POINT('',#498452); #160311=VERTEX_POINT('',#498454); #160312=VERTEX_POINT('',#498456); #160313=VERTEX_POINT('',#498460); #160314=VERTEX_POINT('',#498462); #160315=VERTEX_POINT('',#498466); #160316=VERTEX_POINT('',#498468); #160317=VERTEX_POINT('',#498472); #160318=VERTEX_POINT('',#498474); #160319=VERTEX_POINT('',#498478); #160320=VERTEX_POINT('',#498480); #160321=VERTEX_POINT('',#498484); #160322=VERTEX_POINT('',#498486); #160323=VERTEX_POINT('',#498490); #160324=VERTEX_POINT('',#498492); #160325=VERTEX_POINT('',#498496); #160326=VERTEX_POINT('',#498498); #160327=VERTEX_POINT('',#498502); #160328=VERTEX_POINT('',#498504); #160329=VERTEX_POINT('',#498508); #160330=VERTEX_POINT('',#498510); #160331=VERTEX_POINT('',#498514); #160332=VERTEX_POINT('',#498516); #160333=VERTEX_POINT('',#498520); #160334=VERTEX_POINT('',#498522); #160335=VERTEX_POINT('',#498526); #160336=VERTEX_POINT('',#498528); #160337=VERTEX_POINT('',#498532); #160338=VERTEX_POINT('',#498534); #160339=VERTEX_POINT('',#498538); #160340=VERTEX_POINT('',#498540); #160341=VERTEX_POINT('',#498544); #160342=VERTEX_POINT('',#498546); #160343=VERTEX_POINT('',#498550); #160344=VERTEX_POINT('',#498552); #160345=VERTEX_POINT('',#498556); #160346=VERTEX_POINT('',#498558); #160347=VERTEX_POINT('',#498562); #160348=VERTEX_POINT('',#498564); #160349=VERTEX_POINT('',#498568); #160350=VERTEX_POINT('',#498570); #160351=VERTEX_POINT('',#498574); #160352=VERTEX_POINT('',#498576); #160353=VERTEX_POINT('',#498580); #160354=VERTEX_POINT('',#498582); #160355=VERTEX_POINT('',#498586); #160356=VERTEX_POINT('',#498588); #160357=VERTEX_POINT('',#498592); #160358=VERTEX_POINT('',#498594); #160359=VERTEX_POINT('',#498598); #160360=VERTEX_POINT('',#498600); #160361=VERTEX_POINT('',#498604); #160362=VERTEX_POINT('',#498606); #160363=VERTEX_POINT('',#498610); #160364=VERTEX_POINT('',#498612); #160365=VERTEX_POINT('',#498616); #160366=VERTEX_POINT('',#498618); #160367=VERTEX_POINT('',#498622); #160368=VERTEX_POINT('',#498624); #160369=VERTEX_POINT('',#498631); #160370=VERTEX_POINT('',#498632); #160371=VERTEX_POINT('',#498634); #160372=VERTEX_POINT('',#498636); #160373=VERTEX_POINT('',#498640); #160374=VERTEX_POINT('',#498642); #160375=VERTEX_POINT('',#498646); #160376=VERTEX_POINT('',#498648); #160377=VERTEX_POINT('',#498652); #160378=VERTEX_POINT('',#498654); #160379=VERTEX_POINT('',#498658); #160380=VERTEX_POINT('',#498660); #160381=VERTEX_POINT('',#498664); #160382=VERTEX_POINT('',#498666); #160383=VERTEX_POINT('',#498670); #160384=VERTEX_POINT('',#498672); #160385=VERTEX_POINT('',#498676); #160386=VERTEX_POINT('',#498678); #160387=VERTEX_POINT('',#498682); #160388=VERTEX_POINT('',#498684); #160389=VERTEX_POINT('',#498688); #160390=VERTEX_POINT('',#498690); #160391=VERTEX_POINT('',#498694); #160392=VERTEX_POINT('',#498696); #160393=VERTEX_POINT('',#498700); #160394=VERTEX_POINT('',#498702); #160395=VERTEX_POINT('',#498706); #160396=VERTEX_POINT('',#498708); #160397=VERTEX_POINT('',#498712); #160398=VERTEX_POINT('',#498714); #160399=VERTEX_POINT('',#498718); #160400=VERTEX_POINT('',#498720); #160401=VERTEX_POINT('',#498724); #160402=VERTEX_POINT('',#498726); #160403=VERTEX_POINT('',#498730); #160404=VERTEX_POINT('',#498732); #160405=VERTEX_POINT('',#498736); #160406=VERTEX_POINT('',#498738); #160407=VERTEX_POINT('',#498742); #160408=VERTEX_POINT('',#498744); #160409=VERTEX_POINT('',#498748); #160410=VERTEX_POINT('',#498750); #160411=VERTEX_POINT('',#498754); #160412=VERTEX_POINT('',#498756); #160413=VERTEX_POINT('',#498760); #160414=VERTEX_POINT('',#498762); #160415=VERTEX_POINT('',#498766); #160416=VERTEX_POINT('',#498768); #160417=VERTEX_POINT('',#498772); #160418=VERTEX_POINT('',#498774); #160419=VERTEX_POINT('',#498778); #160420=VERTEX_POINT('',#498780); #160421=VERTEX_POINT('',#498784); #160422=VERTEX_POINT('',#498786); #160423=VERTEX_POINT('',#498790); #160424=VERTEX_POINT('',#498792); #160425=VERTEX_POINT('',#498796); #160426=VERTEX_POINT('',#498798); #160427=VERTEX_POINT('',#498802); #160428=VERTEX_POINT('',#498804); #160429=VERTEX_POINT('',#498808); #160430=VERTEX_POINT('',#498810); #160431=VERTEX_POINT('',#498814); #160432=VERTEX_POINT('',#498816); #160433=VERTEX_POINT('',#498820); #160434=VERTEX_POINT('',#498822); #160435=VERTEX_POINT('',#498826); #160436=VERTEX_POINT('',#498828); #160437=VERTEX_POINT('',#498832); #160438=VERTEX_POINT('',#498834); #160439=VERTEX_POINT('',#498838); #160440=VERTEX_POINT('',#498840); #160441=VERTEX_POINT('',#498844); #160442=VERTEX_POINT('',#498846); #160443=VERTEX_POINT('',#498850); #160444=VERTEX_POINT('',#498852); #160445=VERTEX_POINT('',#498856); #160446=VERTEX_POINT('',#498858); #160447=VERTEX_POINT('',#498862); #160448=VERTEX_POINT('',#498864); #160449=VERTEX_POINT('',#498868); #160450=VERTEX_POINT('',#498870); #160451=VERTEX_POINT('',#498874); #160452=VERTEX_POINT('',#498876); #160453=VERTEX_POINT('',#498880); #160454=VERTEX_POINT('',#498882); #160455=VERTEX_POINT('',#498886); #160456=VERTEX_POINT('',#498888); #160457=VERTEX_POINT('',#498892); #160458=VERTEX_POINT('',#498894); #160459=VERTEX_POINT('',#498898); #160460=VERTEX_POINT('',#498900); #160461=VERTEX_POINT('',#498904); #160462=VERTEX_POINT('',#498906); #160463=VERTEX_POINT('',#498910); #160464=VERTEX_POINT('',#498912); #160465=VERTEX_POINT('',#498916); #160466=VERTEX_POINT('',#498918); #160467=VERTEX_POINT('',#498922); #160468=VERTEX_POINT('',#498924); #160469=VERTEX_POINT('',#498928); #160470=VERTEX_POINT('',#498930); #160471=VERTEX_POINT('',#498934); #160472=VERTEX_POINT('',#498936); #160473=VERTEX_POINT('',#498940); #160474=VERTEX_POINT('',#498942); #160475=VERTEX_POINT('',#498946); #160476=VERTEX_POINT('',#498948); #160477=VERTEX_POINT('',#498952); #160478=VERTEX_POINT('',#498954); #160479=VERTEX_POINT('',#498958); #160480=VERTEX_POINT('',#498960); #160481=VERTEX_POINT('',#498964); #160482=VERTEX_POINT('',#498966); #160483=VERTEX_POINT('',#498970); #160484=VERTEX_POINT('',#498972); #160485=VERTEX_POINT('',#498976); #160486=VERTEX_POINT('',#498978); #160487=VERTEX_POINT('',#498982); #160488=VERTEX_POINT('',#498984); #160489=VERTEX_POINT('',#498988); #160490=VERTEX_POINT('',#498990); #160491=VERTEX_POINT('',#498994); #160492=VERTEX_POINT('',#498996); #160493=VERTEX_POINT('',#499000); #160494=VERTEX_POINT('',#499002); #160495=VERTEX_POINT('',#499006); #160496=VERTEX_POINT('',#499008); #160497=VERTEX_POINT('',#499012); #160498=VERTEX_POINT('',#499014); #160499=VERTEX_POINT('',#499018); #160500=VERTEX_POINT('',#499020); #160501=VERTEX_POINT('',#499024); #160502=VERTEX_POINT('',#499026); #160503=VERTEX_POINT('',#499030); #160504=VERTEX_POINT('',#499032); #160505=VERTEX_POINT('',#499036); #160506=VERTEX_POINT('',#499038); #160507=VERTEX_POINT('',#499042); #160508=VERTEX_POINT('',#499044); #160509=VERTEX_POINT('',#499048); #160510=VERTEX_POINT('',#499050); #160511=VERTEX_POINT('',#499054); #160512=VERTEX_POINT('',#499056); #160513=VERTEX_POINT('',#499060); #160514=VERTEX_POINT('',#499062); #160515=VERTEX_POINT('',#499066); #160516=VERTEX_POINT('',#499068); #160517=VERTEX_POINT('',#499072); #160518=VERTEX_POINT('',#499074); #160519=VERTEX_POINT('',#499078); #160520=VERTEX_POINT('',#499080); #160521=VERTEX_POINT('',#499084); #160522=VERTEX_POINT('',#499086); #160523=VERTEX_POINT('',#499090); #160524=VERTEX_POINT('',#499092); #160525=VERTEX_POINT('',#499096); #160526=VERTEX_POINT('',#499098); #160527=VERTEX_POINT('',#499102); #160528=VERTEX_POINT('',#499104); #160529=VERTEX_POINT('',#499108); #160530=VERTEX_POINT('',#499110); #160531=VERTEX_POINT('',#499114); #160532=VERTEX_POINT('',#499116); #160533=VERTEX_POINT('',#499120); #160534=VERTEX_POINT('',#499122); #160535=VERTEX_POINT('',#499126); #160536=VERTEX_POINT('',#499128); #160537=VERTEX_POINT('',#499132); #160538=VERTEX_POINT('',#499134); #160539=VERTEX_POINT('',#499138); #160540=VERTEX_POINT('',#499140); #160541=VERTEX_POINT('',#499144); #160542=VERTEX_POINT('',#499146); #160543=VERTEX_POINT('',#499150); #160544=VERTEX_POINT('',#499152); #160545=VERTEX_POINT('',#499156); #160546=VERTEX_POINT('',#499158); #160547=VERTEX_POINT('',#499162); #160548=VERTEX_POINT('',#499164); #160549=VERTEX_POINT('',#499168); #160550=VERTEX_POINT('',#499170); #160551=VERTEX_POINT('',#499174); #160552=VERTEX_POINT('',#499176); #160553=VERTEX_POINT('',#499180); #160554=VERTEX_POINT('',#499182); #160555=VERTEX_POINT('',#499186); #160556=VERTEX_POINT('',#499188); #160557=VERTEX_POINT('',#499192); #160558=VERTEX_POINT('',#499194); #160559=VERTEX_POINT('',#499198); #160560=VERTEX_POINT('',#499200); #160561=VERTEX_POINT('',#499204); #160562=VERTEX_POINT('',#499206); #160563=VERTEX_POINT('',#499210); #160564=VERTEX_POINT('',#499212); #160565=VERTEX_POINT('',#499216); #160566=VERTEX_POINT('',#499218); #160567=VERTEX_POINT('',#499222); #160568=VERTEX_POINT('',#499224); #160569=VERTEX_POINT('',#499228); #160570=VERTEX_POINT('',#499230); #160571=VERTEX_POINT('',#499234); #160572=VERTEX_POINT('',#499236); #160573=VERTEX_POINT('',#499240); #160574=VERTEX_POINT('',#499242); #160575=VERTEX_POINT('',#499246); #160576=VERTEX_POINT('',#499248); #160577=VERTEX_POINT('',#499252); #160578=VERTEX_POINT('',#499254); #160579=VERTEX_POINT('',#499258); #160580=VERTEX_POINT('',#499260); #160581=VERTEX_POINT('',#499264); #160582=VERTEX_POINT('',#499266); #160583=VERTEX_POINT('',#499270); #160584=VERTEX_POINT('',#499272); #160585=VERTEX_POINT('',#499276); #160586=VERTEX_POINT('',#499278); #160587=VERTEX_POINT('',#499282); #160588=VERTEX_POINT('',#499284); #160589=VERTEX_POINT('',#499288); #160590=VERTEX_POINT('',#499290); #160591=VERTEX_POINT('',#499294); #160592=VERTEX_POINT('',#499296); #160593=VERTEX_POINT('',#499300); #160594=VERTEX_POINT('',#499302); #160595=VERTEX_POINT('',#499306); #160596=VERTEX_POINT('',#499308); #160597=VERTEX_POINT('',#499312); #160598=VERTEX_POINT('',#499314); #160599=VERTEX_POINT('',#499318); #160600=VERTEX_POINT('',#499320); #160601=VERTEX_POINT('',#499324); #160602=VERTEX_POINT('',#499326); #160603=VERTEX_POINT('',#499330); #160604=VERTEX_POINT('',#499332); #160605=VERTEX_POINT('',#499336); #160606=VERTEX_POINT('',#499338); #160607=VERTEX_POINT('',#499342); #160608=VERTEX_POINT('',#499344); #160609=VERTEX_POINT('',#499353); #160610=VERTEX_POINT('',#499354); #160611=VERTEX_POINT('',#499356); #160612=VERTEX_POINT('',#499358); #160613=VERTEX_POINT('',#499362); #160614=VERTEX_POINT('',#499364); #160615=VERTEX_POINT('',#499368); #160616=VERTEX_POINT('',#499370); #160617=VERTEX_POINT('',#499374); #160618=VERTEX_POINT('',#499376); #160619=VERTEX_POINT('',#499380); #160620=VERTEX_POINT('',#499382); #160621=VERTEX_POINT('',#499386); #160622=VERTEX_POINT('',#499388); #160623=VERTEX_POINT('',#499392); #160624=VERTEX_POINT('',#499394); #160625=VERTEX_POINT('',#499398); #160626=VERTEX_POINT('',#499400); #160627=VERTEX_POINT('',#499404); #160628=VERTEX_POINT('',#499406); #160629=VERTEX_POINT('',#499410); #160630=VERTEX_POINT('',#499412); #160631=VERTEX_POINT('',#499416); #160632=VERTEX_POINT('',#499418); #160633=VERTEX_POINT('',#499422); #160634=VERTEX_POINT('',#499424); #160635=VERTEX_POINT('',#499428); #160636=VERTEX_POINT('',#499430); #160637=VERTEX_POINT('',#499434); #160638=VERTEX_POINT('',#499436); #160639=VERTEX_POINT('',#499440); #160640=VERTEX_POINT('',#499442); #160641=VERTEX_POINT('',#499446); #160642=VERTEX_POINT('',#499448); #160643=VERTEX_POINT('',#499452); #160644=VERTEX_POINT('',#499454); #160645=VERTEX_POINT('',#499458); #160646=VERTEX_POINT('',#499460); #160647=VERTEX_POINT('',#499464); #160648=VERTEX_POINT('',#499466); #160649=VERTEX_POINT('',#499470); #160650=VERTEX_POINT('',#499472); #160651=VERTEX_POINT('',#499476); #160652=VERTEX_POINT('',#499478); #160653=VERTEX_POINT('',#499482); #160654=VERTEX_POINT('',#499484); #160655=VERTEX_POINT('',#499488); #160656=VERTEX_POINT('',#499490); #160657=VERTEX_POINT('',#499494); #160658=VERTEX_POINT('',#499496); #160659=VERTEX_POINT('',#499500); #160660=VERTEX_POINT('',#499502); #160661=VERTEX_POINT('',#499506); #160662=VERTEX_POINT('',#499508); #160663=VERTEX_POINT('',#499517); #160664=VERTEX_POINT('',#499518); #160665=VERTEX_POINT('',#499520); #160666=VERTEX_POINT('',#499522); #160667=VERTEX_POINT('',#499526); #160668=VERTEX_POINT('',#499528); #160669=VERTEX_POINT('',#499532); #160670=VERTEX_POINT('',#499534); #160671=VERTEX_POINT('',#499538); #160672=VERTEX_POINT('',#499540); #160673=VERTEX_POINT('',#499544); #160674=VERTEX_POINT('',#499546); #160675=VERTEX_POINT('',#499550); #160676=VERTEX_POINT('',#499552); #160677=VERTEX_POINT('',#499556); #160678=VERTEX_POINT('',#499558); #160679=VERTEX_POINT('',#499562); #160680=VERTEX_POINT('',#499564); #160681=VERTEX_POINT('',#499568); #160682=VERTEX_POINT('',#499570); #160683=VERTEX_POINT('',#499574); #160684=VERTEX_POINT('',#499576); #160685=VERTEX_POINT('',#499580); #160686=VERTEX_POINT('',#499582); #160687=VERTEX_POINT('',#499586); #160688=VERTEX_POINT('',#499588); #160689=VERTEX_POINT('',#499592); #160690=VERTEX_POINT('',#499594); #160691=VERTEX_POINT('',#499598); #160692=VERTEX_POINT('',#499600); #160693=VERTEX_POINT('',#499604); #160694=VERTEX_POINT('',#499606); #160695=VERTEX_POINT('',#499610); #160696=VERTEX_POINT('',#499612); #160697=VERTEX_POINT('',#499616); #160698=VERTEX_POINT('',#499618); #160699=VERTEX_POINT('',#499622); #160700=VERTEX_POINT('',#499624); #160701=VERTEX_POINT('',#499628); #160702=VERTEX_POINT('',#499630); #160703=VERTEX_POINT('',#499634); #160704=VERTEX_POINT('',#499636); #160705=VERTEX_POINT('',#499645); #160706=VERTEX_POINT('',#499646); #160707=VERTEX_POINT('',#499648); #160708=VERTEX_POINT('',#499650); #160709=VERTEX_POINT('',#499654); #160710=VERTEX_POINT('',#499656); #160711=VERTEX_POINT('',#499660); #160712=VERTEX_POINT('',#499662); #160713=VERTEX_POINT('',#499666); #160714=VERTEX_POINT('',#499668); #160715=VERTEX_POINT('',#499672); #160716=VERTEX_POINT('',#499674); #160717=VERTEX_POINT('',#499678); #160718=VERTEX_POINT('',#499680); #160719=VERTEX_POINT('',#499684); #160720=VERTEX_POINT('',#499686); #160721=VERTEX_POINT('',#499690); #160722=VERTEX_POINT('',#499692); #160723=VERTEX_POINT('',#499696); #160724=VERTEX_POINT('',#499698); #160725=VERTEX_POINT('',#499702); #160726=VERTEX_POINT('',#499704); #160727=VERTEX_POINT('',#499708); #160728=VERTEX_POINT('',#499710); #160729=VERTEX_POINT('',#499714); #160730=VERTEX_POINT('',#499716); #160731=VERTEX_POINT('',#499720); #160732=VERTEX_POINT('',#499722); #160733=VERTEX_POINT('',#499726); #160734=VERTEX_POINT('',#499728); #160735=VERTEX_POINT('',#499732); #160736=VERTEX_POINT('',#499734); #160737=VERTEX_POINT('',#499738); #160738=VERTEX_POINT('',#499740); #160739=VERTEX_POINT('',#499744); #160740=VERTEX_POINT('',#499746); #160741=VERTEX_POINT('',#499750); #160742=VERTEX_POINT('',#499752); #160743=VERTEX_POINT('',#499756); #160744=VERTEX_POINT('',#499758); #160745=VERTEX_POINT('',#499767); #160746=VERTEX_POINT('',#499768); #160747=VERTEX_POINT('',#499770); #160748=VERTEX_POINT('',#499772); #160749=VERTEX_POINT('',#499776); #160750=VERTEX_POINT('',#499778); #160751=VERTEX_POINT('',#499782); #160752=VERTEX_POINT('',#499784); #160753=VERTEX_POINT('',#499788); #160754=VERTEX_POINT('',#499790); #160755=VERTEX_POINT('',#499794); #160756=VERTEX_POINT('',#499796); #160757=VERTEX_POINT('',#499800); #160758=VERTEX_POINT('',#499802); #160759=VERTEX_POINT('',#499806); #160760=VERTEX_POINT('',#499808); #160761=VERTEX_POINT('',#499812); #160762=VERTEX_POINT('',#499814); #160763=VERTEX_POINT('',#499818); #160764=VERTEX_POINT('',#499820); #160765=VERTEX_POINT('',#499824); #160766=VERTEX_POINT('',#499826); #160767=VERTEX_POINT('',#499830); #160768=VERTEX_POINT('',#499832); #160769=VERTEX_POINT('',#499836); #160770=VERTEX_POINT('',#499838); #160771=VERTEX_POINT('',#499842); #160772=VERTEX_POINT('',#499844); #160773=VERTEX_POINT('',#499848); #160774=VERTEX_POINT('',#499850); #160775=VERTEX_POINT('',#499854); #160776=VERTEX_POINT('',#499856); #160777=VERTEX_POINT('',#499860); #160778=VERTEX_POINT('',#499862); #160779=VERTEX_POINT('',#499866); #160780=VERTEX_POINT('',#499868); #160781=VERTEX_POINT('',#499872); #160782=VERTEX_POINT('',#499874); #160783=VERTEX_POINT('',#499878); #160784=VERTEX_POINT('',#499880); #160785=VERTEX_POINT('',#499884); #160786=VERTEX_POINT('',#499886); #160787=VERTEX_POINT('',#499890); #160788=VERTEX_POINT('',#499892); #160789=VERTEX_POINT('',#499896); #160790=VERTEX_POINT('',#499898); #160791=VERTEX_POINT('',#499902); #160792=VERTEX_POINT('',#499904); #160793=VERTEX_POINT('',#499908); #160794=VERTEX_POINT('',#499910); #160795=VERTEX_POINT('',#499914); #160796=VERTEX_POINT('',#499916); #160797=VERTEX_POINT('',#499920); #160798=VERTEX_POINT('',#499922); #160799=VERTEX_POINT('',#499926); #160800=VERTEX_POINT('',#499928); #160801=VERTEX_POINT('',#499932); #160802=VERTEX_POINT('',#499934); #160803=VERTEX_POINT('',#499938); #160804=VERTEX_POINT('',#499940); #160805=VERTEX_POINT('',#499944); #160806=VERTEX_POINT('',#499946); #160807=VERTEX_POINT('',#499950); #160808=VERTEX_POINT('',#499952); #160809=VERTEX_POINT('',#499956); #160810=VERTEX_POINT('',#499958); #160811=VERTEX_POINT('',#499962); #160812=VERTEX_POINT('',#499964); #160813=VERTEX_POINT('',#499968); #160814=VERTEX_POINT('',#499970); #160815=VERTEX_POINT('',#499974); #160816=VERTEX_POINT('',#499976); #160817=VERTEX_POINT('',#499980); #160818=VERTEX_POINT('',#499982); #160819=VERTEX_POINT('',#499986); #160820=VERTEX_POINT('',#499988); #160821=VERTEX_POINT('',#499992); #160822=VERTEX_POINT('',#499994); #160823=VERTEX_POINT('',#499998); #160824=VERTEX_POINT('',#500000); #160825=VERTEX_POINT('',#500004); #160826=VERTEX_POINT('',#500006); #160827=VERTEX_POINT('',#500010); #160828=VERTEX_POINT('',#500012); #160829=VERTEX_POINT('',#500016); #160830=VERTEX_POINT('',#500018); #160831=VERTEX_POINT('',#500022); #160832=VERTEX_POINT('',#500024); #160833=VERTEX_POINT('',#500028); #160834=VERTEX_POINT('',#500030); #160835=VERTEX_POINT('',#500034); #160836=VERTEX_POINT('',#500036); #160837=VERTEX_POINT('',#500040); #160838=VERTEX_POINT('',#500042); #160839=VERTEX_POINT('',#500051); #160840=VERTEX_POINT('',#500052); #160841=VERTEX_POINT('',#500054); #160842=VERTEX_POINT('',#500056); #160843=VERTEX_POINT('',#500060); #160844=VERTEX_POINT('',#500062); #160845=VERTEX_POINT('',#500066); #160846=VERTEX_POINT('',#500068); #160847=VERTEX_POINT('',#500072); #160848=VERTEX_POINT('',#500074); #160849=VERTEX_POINT('',#500078); #160850=VERTEX_POINT('',#500080); #160851=VERTEX_POINT('',#500084); #160852=VERTEX_POINT('',#500086); #160853=VERTEX_POINT('',#500090); #160854=VERTEX_POINT('',#500092); #160855=VERTEX_POINT('',#500096); #160856=VERTEX_POINT('',#500098); #160857=VERTEX_POINT('',#500102); #160858=VERTEX_POINT('',#500104); #160859=VERTEX_POINT('',#500108); #160860=VERTEX_POINT('',#500110); #160861=VERTEX_POINT('',#500114); #160862=VERTEX_POINT('',#500116); #160863=VERTEX_POINT('',#500120); #160864=VERTEX_POINT('',#500122); #160865=VERTEX_POINT('',#500126); #160866=VERTEX_POINT('',#500128); #160867=VERTEX_POINT('',#500132); #160868=VERTEX_POINT('',#500134); #160869=VERTEX_POINT('',#500138); #160870=VERTEX_POINT('',#500140); #160871=VERTEX_POINT('',#500144); #160872=VERTEX_POINT('',#500146); #160873=VERTEX_POINT('',#500150); #160874=VERTEX_POINT('',#500152); #160875=VERTEX_POINT('',#500156); #160876=VERTEX_POINT('',#500158); #160877=VERTEX_POINT('',#500162); #160878=VERTEX_POINT('',#500164); #160879=VERTEX_POINT('',#500168); #160880=VERTEX_POINT('',#500170); #160881=VERTEX_POINT('',#500174); #160882=VERTEX_POINT('',#500176); #160883=VERTEX_POINT('',#500180); #160884=VERTEX_POINT('',#500182); #160885=VERTEX_POINT('',#500186); #160886=VERTEX_POINT('',#500188); #160887=VERTEX_POINT('',#500192); #160888=VERTEX_POINT('',#500194); #160889=VERTEX_POINT('',#500198); #160890=VERTEX_POINT('',#500200); #160891=VERTEX_POINT('',#500204); #160892=VERTEX_POINT('',#500206); #160893=VERTEX_POINT('',#500210); #160894=VERTEX_POINT('',#500212); #160895=VERTEX_POINT('',#500216); #160896=VERTEX_POINT('',#500218); #160897=VERTEX_POINT('',#500222); #160898=VERTEX_POINT('',#500224); #160899=VERTEX_POINT('',#500228); #160900=VERTEX_POINT('',#500230); #160901=VERTEX_POINT('',#500234); #160902=VERTEX_POINT('',#500236); #160903=VERTEX_POINT('',#500240); #160904=VERTEX_POINT('',#500242); #160905=VERTEX_POINT('',#500246); #160906=VERTEX_POINT('',#500248); #160907=VERTEX_POINT('',#500257); #160908=VERTEX_POINT('',#500258); #160909=VERTEX_POINT('',#500260); #160910=VERTEX_POINT('',#500262); #160911=VERTEX_POINT('',#500266); #160912=VERTEX_POINT('',#500268); #160913=VERTEX_POINT('',#500272); #160914=VERTEX_POINT('',#500274); #160915=VERTEX_POINT('',#500278); #160916=VERTEX_POINT('',#500280); #160917=VERTEX_POINT('',#500284); #160918=VERTEX_POINT('',#500286); #160919=VERTEX_POINT('',#500290); #160920=VERTEX_POINT('',#500292); #160921=VERTEX_POINT('',#500296); #160922=VERTEX_POINT('',#500298); #160923=VERTEX_POINT('',#500302); #160924=VERTEX_POINT('',#500304); #160925=VERTEX_POINT('',#500308); #160926=VERTEX_POINT('',#500310); #160927=VERTEX_POINT('',#500314); #160928=VERTEX_POINT('',#500316); #160929=VERTEX_POINT('',#500320); #160930=VERTEX_POINT('',#500322); #160931=VERTEX_POINT('',#500326); #160932=VERTEX_POINT('',#500328); #160933=VERTEX_POINT('',#500332); #160934=VERTEX_POINT('',#500334); #160935=VERTEX_POINT('',#500338); #160936=VERTEX_POINT('',#500340); #160937=VERTEX_POINT('',#500344); #160938=VERTEX_POINT('',#500346); #160939=VERTEX_POINT('',#500350); #160940=VERTEX_POINT('',#500352); #160941=VERTEX_POINT('',#500356); #160942=VERTEX_POINT('',#500358); #160943=VERTEX_POINT('',#500362); #160944=VERTEX_POINT('',#500364); #160945=VERTEX_POINT('',#500368); #160946=VERTEX_POINT('',#500370); #160947=VERTEX_POINT('',#500374); #160948=VERTEX_POINT('',#500376); #160949=VERTEX_POINT('',#500380); #160950=VERTEX_POINT('',#500382); #160951=VERTEX_POINT('',#500391); #160952=VERTEX_POINT('',#500392); #160953=VERTEX_POINT('',#500394); #160954=VERTEX_POINT('',#500396); #160955=VERTEX_POINT('',#500400); #160956=VERTEX_POINT('',#500402); #160957=VERTEX_POINT('',#500406); #160958=VERTEX_POINT('',#500408); #160959=VERTEX_POINT('',#500412); #160960=VERTEX_POINT('',#500414); #160961=VERTEX_POINT('',#500418); #160962=VERTEX_POINT('',#500420); #160963=VERTEX_POINT('',#500424); #160964=VERTEX_POINT('',#500426); #160965=VERTEX_POINT('',#500430); #160966=VERTEX_POINT('',#500432); #160967=VERTEX_POINT('',#500436); #160968=VERTEX_POINT('',#500438); #160969=VERTEX_POINT('',#500442); #160970=VERTEX_POINT('',#500444); #160971=VERTEX_POINT('',#500448); #160972=VERTEX_POINT('',#500450); #160973=VERTEX_POINT('',#500454); #160974=VERTEX_POINT('',#500456); #160975=VERTEX_POINT('',#500460); #160976=VERTEX_POINT('',#500462); #160977=VERTEX_POINT('',#500466); #160978=VERTEX_POINT('',#500468); #160979=VERTEX_POINT('',#500472); #160980=VERTEX_POINT('',#500474); #160981=VERTEX_POINT('',#500478); #160982=VERTEX_POINT('',#500480); #160983=VERTEX_POINT('',#500484); #160984=VERTEX_POINT('',#500486); #160985=VERTEX_POINT('',#500490); #160986=VERTEX_POINT('',#500492); #160987=VERTEX_POINT('',#500496); #160988=VERTEX_POINT('',#500498); #160989=VERTEX_POINT('',#500502); #160990=VERTEX_POINT('',#500504); #160991=VERTEX_POINT('',#500513); #160992=VERTEX_POINT('',#500514); #160993=VERTEX_POINT('',#500516); #160994=VERTEX_POINT('',#500518); #160995=VERTEX_POINT('',#500522); #160996=VERTEX_POINT('',#500524); #160997=VERTEX_POINT('',#500528); #160998=VERTEX_POINT('',#500530); #160999=VERTEX_POINT('',#500534); #161000=VERTEX_POINT('',#500536); #161001=VERTEX_POINT('',#500540); #161002=VERTEX_POINT('',#500542); #161003=VERTEX_POINT('',#500546); #161004=VERTEX_POINT('',#500548); #161005=VERTEX_POINT('',#500552); #161006=VERTEX_POINT('',#500554); #161007=VERTEX_POINT('',#500558); #161008=VERTEX_POINT('',#500560); #161009=VERTEX_POINT('',#500564); #161010=VERTEX_POINT('',#500566); #161011=VERTEX_POINT('',#500570); #161012=VERTEX_POINT('',#500572); #161013=VERTEX_POINT('',#500576); #161014=VERTEX_POINT('',#500578); #161015=VERTEX_POINT('',#500582); #161016=VERTEX_POINT('',#500584); #161017=VERTEX_POINT('',#500588); #161018=VERTEX_POINT('',#500590); #161019=VERTEX_POINT('',#500594); #161020=VERTEX_POINT('',#500596); #161021=VERTEX_POINT('',#500600); #161022=VERTEX_POINT('',#500602); #161023=VERTEX_POINT('',#500606); #161024=VERTEX_POINT('',#500608); #161025=VERTEX_POINT('',#500612); #161026=VERTEX_POINT('',#500614); #161027=VERTEX_POINT('',#500618); #161028=VERTEX_POINT('',#500620); #161029=VERTEX_POINT('',#500624); #161030=VERTEX_POINT('',#500626); #161031=VERTEX_POINT('',#500630); #161032=VERTEX_POINT('',#500632); #161033=VERTEX_POINT('',#500636); #161034=VERTEX_POINT('',#500638); #161035=VERTEX_POINT('',#500642); #161036=VERTEX_POINT('',#500644); #161037=VERTEX_POINT('',#500648); #161038=VERTEX_POINT('',#500650); #161039=VERTEX_POINT('',#500654); #161040=VERTEX_POINT('',#500656); #161041=VERTEX_POINT('',#500660); #161042=VERTEX_POINT('',#500662); #161043=VERTEX_POINT('',#500666); #161044=VERTEX_POINT('',#500668); #161045=VERTEX_POINT('',#500672); #161046=VERTEX_POINT('',#500674); #161047=VERTEX_POINT('',#500678); #161048=VERTEX_POINT('',#500680); #161049=VERTEX_POINT('',#500684); #161050=VERTEX_POINT('',#500686); #161051=VERTEX_POINT('',#500690); #161052=VERTEX_POINT('',#500692); #161053=VERTEX_POINT('',#500696); #161054=VERTEX_POINT('',#500698); #161055=VERTEX_POINT('',#500702); #161056=VERTEX_POINT('',#500704); #161057=VERTEX_POINT('',#500708); #161058=VERTEX_POINT('',#500710); #161059=VERTEX_POINT('',#500714); #161060=VERTEX_POINT('',#500716); #161061=VERTEX_POINT('',#500720); #161062=VERTEX_POINT('',#500722); #161063=VERTEX_POINT('',#500726); #161064=VERTEX_POINT('',#500728); #161065=VERTEX_POINT('',#500732); #161066=VERTEX_POINT('',#500734); #161067=VERTEX_POINT('',#500738); #161068=VERTEX_POINT('',#500740); #161069=VERTEX_POINT('',#500744); #161070=VERTEX_POINT('',#500746); #161071=VERTEX_POINT('',#500750); #161072=VERTEX_POINT('',#500752); #161073=VERTEX_POINT('',#500756); #161074=VERTEX_POINT('',#500758); #161075=VERTEX_POINT('',#500762); #161076=VERTEX_POINT('',#500764); #161077=VERTEX_POINT('',#500768); #161078=VERTEX_POINT('',#500770); #161079=VERTEX_POINT('',#500774); #161080=VERTEX_POINT('',#500776); #161081=VERTEX_POINT('',#500780); #161082=VERTEX_POINT('',#500782); #161083=VERTEX_POINT('',#500786); #161084=VERTEX_POINT('',#500788); #161085=VERTEX_POINT('',#500792); #161086=VERTEX_POINT('',#500794); #161087=VERTEX_POINT('',#500798); #161088=VERTEX_POINT('',#500800); #161089=VERTEX_POINT('',#500804); #161090=VERTEX_POINT('',#500806); #161091=VERTEX_POINT('',#500810); #161092=VERTEX_POINT('',#500812); #161093=VERTEX_POINT('',#500816); #161094=VERTEX_POINT('',#500818); #161095=VERTEX_POINT('',#500822); #161096=VERTEX_POINT('',#500824); #161097=VERTEX_POINT('',#500828); #161098=VERTEX_POINT('',#500830); #161099=VERTEX_POINT('',#500834); #161100=VERTEX_POINT('',#500836); #161101=VERTEX_POINT('',#500840); #161102=VERTEX_POINT('',#500842); #161103=VERTEX_POINT('',#500846); #161104=VERTEX_POINT('',#500848); #161105=VERTEX_POINT('',#500852); #161106=VERTEX_POINT('',#500854); #161107=VERTEX_POINT('',#500858); #161108=VERTEX_POINT('',#500860); #161109=VERTEX_POINT('',#500864); #161110=VERTEX_POINT('',#500866); #161111=VERTEX_POINT('',#500870); #161112=VERTEX_POINT('',#500872); #161113=VERTEX_POINT('',#500876); #161114=VERTEX_POINT('',#500878); #161115=VERTEX_POINT('',#500882); #161116=VERTEX_POINT('',#500884); #161117=VERTEX_POINT('',#500888); #161118=VERTEX_POINT('',#500890); #161119=VERTEX_POINT('',#500894); #161120=VERTEX_POINT('',#500896); #161121=VERTEX_POINT('',#500900); #161122=VERTEX_POINT('',#500902); #161123=VERTEX_POINT('',#500906); #161124=VERTEX_POINT('',#500908); #161125=VERTEX_POINT('',#500912); #161126=VERTEX_POINT('',#500914); #161127=VERTEX_POINT('',#500918); #161128=VERTEX_POINT('',#500920); #161129=VERTEX_POINT('',#500924); #161130=VERTEX_POINT('',#500926); #161131=VERTEX_POINT('',#500930); #161132=VERTEX_POINT('',#500932); #161133=VERTEX_POINT('',#500936); #161134=VERTEX_POINT('',#500938); #161135=VERTEX_POINT('',#500947); #161136=VERTEX_POINT('',#500948); #161137=VERTEX_POINT('',#500950); #161138=VERTEX_POINT('',#500952); #161139=VERTEX_POINT('',#500956); #161140=VERTEX_POINT('',#500958); #161141=VERTEX_POINT('',#500962); #161142=VERTEX_POINT('',#500964); #161143=VERTEX_POINT('',#500968); #161144=VERTEX_POINT('',#500970); #161145=VERTEX_POINT('',#500974); #161146=VERTEX_POINT('',#500976); #161147=VERTEX_POINT('',#500980); #161148=VERTEX_POINT('',#500982); #161149=VERTEX_POINT('',#500986); #161150=VERTEX_POINT('',#500988); #161151=VERTEX_POINT('',#500992); #161152=VERTEX_POINT('',#500994); #161153=VERTEX_POINT('',#500998); #161154=VERTEX_POINT('',#501000); #161155=VERTEX_POINT('',#501004); #161156=VERTEX_POINT('',#501006); #161157=VERTEX_POINT('',#501010); #161158=VERTEX_POINT('',#501012); #161159=VERTEX_POINT('',#501016); #161160=VERTEX_POINT('',#501018); #161161=VERTEX_POINT('',#501022); #161162=VERTEX_POINT('',#501024); #161163=VERTEX_POINT('',#501028); #161164=VERTEX_POINT('',#501030); #161165=VERTEX_POINT('',#501034); #161166=VERTEX_POINT('',#501036); #161167=VERTEX_POINT('',#501040); #161168=VERTEX_POINT('',#501042); #161169=VERTEX_POINT('',#501046); #161170=VERTEX_POINT('',#501048); #161171=VERTEX_POINT('',#501052); #161172=VERTEX_POINT('',#501054); #161173=VERTEX_POINT('',#501058); #161174=VERTEX_POINT('',#501060); #161175=VERTEX_POINT('',#501064); #161176=VERTEX_POINT('',#501066); #161177=VERTEX_POINT('',#501070); #161178=VERTEX_POINT('',#501072); #161179=VERTEX_POINT('',#501076); #161180=VERTEX_POINT('',#501078); #161181=VERTEX_POINT('',#501082); #161182=VERTEX_POINT('',#501084); #161183=VERTEX_POINT('',#501088); #161184=VERTEX_POINT('',#501090); #161185=VERTEX_POINT('',#501094); #161186=VERTEX_POINT('',#501096); #161187=VERTEX_POINT('',#501100); #161188=VERTEX_POINT('',#501102); #161189=VERTEX_POINT('',#501106); #161190=VERTEX_POINT('',#501108); #161191=VERTEX_POINT('',#501117); #161192=VERTEX_POINT('',#501119); #161193=VERTEX_POINT('',#501123); #161194=VERTEX_POINT('',#501125); #161195=VERTEX_POINT('',#501129); #161196=VERTEX_POINT('',#501131); #161197=VERTEX_POINT('',#501135); #161198=VERTEX_POINT('',#501137); #161199=VERTEX_POINT('',#501141); #161200=VERTEX_POINT('',#501143); #161201=VERTEX_POINT('',#501147); #161202=VERTEX_POINT('',#501149); #161203=VERTEX_POINT('',#501153); #161204=VERTEX_POINT('',#501155); #161205=VERTEX_POINT('',#501159); #161206=VERTEX_POINT('',#501161); #161207=VERTEX_POINT('',#501165); #161208=VERTEX_POINT('',#501167); #161209=VERTEX_POINT('',#501171); #161210=VERTEX_POINT('',#501173); #161211=VERTEX_POINT('',#501177); #161212=VERTEX_POINT('',#501179); #161213=VERTEX_POINT('',#501183); #161214=VERTEX_POINT('',#501185); #161215=VERTEX_POINT('',#501189); #161216=VERTEX_POINT('',#501191); #161217=VERTEX_POINT('',#501195); #161218=VERTEX_POINT('',#501197); #161219=VERTEX_POINT('',#501201); #161220=VERTEX_POINT('',#501203); #161221=VERTEX_POINT('',#501207); #161222=VERTEX_POINT('',#501209); #161223=VERTEX_POINT('',#501213); #161224=VERTEX_POINT('',#501214); #161225=VERTEX_POINT('',#501216); #161226=VERTEX_POINT('',#501218); #161227=VERTEX_POINT('',#501222); #161228=VERTEX_POINT('',#501224); #161229=VERTEX_POINT('',#501228); #161230=VERTEX_POINT('',#501230); #161231=VERTEX_POINT('',#501234); #161232=VERTEX_POINT('',#501236); #161233=VERTEX_POINT('',#501240); #161234=VERTEX_POINT('',#501242); #161235=VERTEX_POINT('',#501246); #161236=VERTEX_POINT('',#501248); #161237=VERTEX_POINT('',#501252); #161238=VERTEX_POINT('',#501254); #161239=VERTEX_POINT('',#501258); #161240=VERTEX_POINT('',#501260); #161241=VERTEX_POINT('',#501264); #161242=VERTEX_POINT('',#501266); #161243=VERTEX_POINT('',#501270); #161244=VERTEX_POINT('',#501272); #161245=VERTEX_POINT('',#501276); #161246=VERTEX_POINT('',#501278); #161247=VERTEX_POINT('',#501282); #161248=VERTEX_POINT('',#501284); #161249=VERTEX_POINT('',#501288); #161250=VERTEX_POINT('',#501290); #161251=VERTEX_POINT('',#501294); #161252=VERTEX_POINT('',#501296); #161253=VERTEX_POINT('',#501300); #161254=VERTEX_POINT('',#501302); #161255=VERTEX_POINT('',#501306); #161256=VERTEX_POINT('',#501308); #161257=VERTEX_POINT('',#501312); #161258=VERTEX_POINT('',#501314); #161259=VERTEX_POINT('',#501318); #161260=VERTEX_POINT('',#501320); #161261=VERTEX_POINT('',#501324); #161262=VERTEX_POINT('',#501326); #161263=VERTEX_POINT('',#501330); #161264=VERTEX_POINT('',#501332); #161265=VERTEX_POINT('',#501336); #161266=VERTEX_POINT('',#501338); #161267=VERTEX_POINT('',#501342); #161268=VERTEX_POINT('',#501344); #161269=VERTEX_POINT('',#501348); #161270=VERTEX_POINT('',#501350); #161271=VERTEX_POINT('',#501354); #161272=VERTEX_POINT('',#501356); #161273=VERTEX_POINT('',#501360); #161274=VERTEX_POINT('',#501362); #161275=VERTEX_POINT('',#501366); #161276=VERTEX_POINT('',#501368); #161277=VERTEX_POINT('',#501372); #161278=VERTEX_POINT('',#501374); #161279=VERTEX_POINT('',#501378); #161280=VERTEX_POINT('',#501380); #161281=VERTEX_POINT('',#501384); #161282=VERTEX_POINT('',#501386); #161283=VERTEX_POINT('',#501390); #161284=VERTEX_POINT('',#501392); #161285=VERTEX_POINT('',#501396); #161286=VERTEX_POINT('',#501398); #161287=VERTEX_POINT('',#501402); #161288=VERTEX_POINT('',#501404); #161289=VERTEX_POINT('',#501408); #161290=VERTEX_POINT('',#501410); #161291=VERTEX_POINT('',#501414); #161292=VERTEX_POINT('',#501416); #161293=VERTEX_POINT('',#501420); #161294=VERTEX_POINT('',#501422); #161295=VERTEX_POINT('',#501426); #161296=VERTEX_POINT('',#501428); #161297=VERTEX_POINT('',#501432); #161298=VERTEX_POINT('',#501434); #161299=VERTEX_POINT('',#501438); #161300=VERTEX_POINT('',#501440); #161301=VERTEX_POINT('',#501444); #161302=VERTEX_POINT('',#501446); #161303=VERTEX_POINT('',#501450); #161304=VERTEX_POINT('',#501452); #161305=VERTEX_POINT('',#501456); #161306=VERTEX_POINT('',#501458); #161307=VERTEX_POINT('',#501462); #161308=VERTEX_POINT('',#501464); #161309=VERTEX_POINT('',#501468); #161310=VERTEX_POINT('',#501470); #161311=VERTEX_POINT('',#501474); #161312=VERTEX_POINT('',#501476); #161313=VERTEX_POINT('',#501480); #161314=VERTEX_POINT('',#501482); #161315=VERTEX_POINT('',#501486); #161316=VERTEX_POINT('',#501488); #161317=VERTEX_POINT('',#501492); #161318=VERTEX_POINT('',#501494); #161319=VERTEX_POINT('',#501498); #161320=VERTEX_POINT('',#501500); #161321=VERTEX_POINT('',#501504); #161322=VERTEX_POINT('',#501506); #161323=VERTEX_POINT('',#501510); #161324=VERTEX_POINT('',#501512); #161325=VERTEX_POINT('',#501516); #161326=VERTEX_POINT('',#501518); #161327=VERTEX_POINT('',#501522); #161328=VERTEX_POINT('',#501524); #161329=VERTEX_POINT('',#501528); #161330=VERTEX_POINT('',#501530); #161331=VERTEX_POINT('',#501534); #161332=VERTEX_POINT('',#501536); #161333=VERTEX_POINT('',#501540); #161334=VERTEX_POINT('',#501542); #161335=VERTEX_POINT('',#501546); #161336=VERTEX_POINT('',#501548); #161337=VERTEX_POINT('',#501552); #161338=VERTEX_POINT('',#501554); #161339=VERTEX_POINT('',#501558); #161340=VERTEX_POINT('',#501560); #161341=VERTEX_POINT('',#501564); #161342=VERTEX_POINT('',#501566); #161343=VERTEX_POINT('',#501570); #161344=VERTEX_POINT('',#501572); #161345=VERTEX_POINT('',#501576); #161346=VERTEX_POINT('',#501578); #161347=VERTEX_POINT('',#501582); #161348=VERTEX_POINT('',#501584); #161349=VERTEX_POINT('',#501588); #161350=VERTEX_POINT('',#501590); #161351=VERTEX_POINT('',#501594); #161352=VERTEX_POINT('',#501596); #161353=VERTEX_POINT('',#501600); #161354=VERTEX_POINT('',#501602); #161355=VERTEX_POINT('',#501606); #161356=VERTEX_POINT('',#501608); #161357=VERTEX_POINT('',#501612); #161358=VERTEX_POINT('',#501614); #161359=VERTEX_POINT('',#501618); #161360=VERTEX_POINT('',#501620); #161361=VERTEX_POINT('',#501624); #161362=VERTEX_POINT('',#501626); #161363=VERTEX_POINT('',#501630); #161364=VERTEX_POINT('',#501632); #161365=VERTEX_POINT('',#501636); #161366=VERTEX_POINT('',#501638); #161367=VERTEX_POINT('',#501642); #161368=VERTEX_POINT('',#501644); #161369=VERTEX_POINT('',#501648); #161370=VERTEX_POINT('',#501650); #161371=VERTEX_POINT('',#501659); #161372=VERTEX_POINT('',#501661); #161373=VERTEX_POINT('',#501665); #161374=VERTEX_POINT('',#501667); #161375=VERTEX_POINT('',#501671); #161376=VERTEX_POINT('',#501673); #161377=VERTEX_POINT('',#501677); #161378=VERTEX_POINT('',#501679); #161379=VERTEX_POINT('',#501683); #161380=VERTEX_POINT('',#501685); #161381=VERTEX_POINT('',#501689); #161382=VERTEX_POINT('',#501691); #161383=VERTEX_POINT('',#501695); #161384=VERTEX_POINT('',#501697); #161385=VERTEX_POINT('',#501701); #161386=VERTEX_POINT('',#501703); #161387=VERTEX_POINT('',#501707); #161388=VERTEX_POINT('',#501709); #161389=VERTEX_POINT('',#501713); #161390=VERTEX_POINT('',#501715); #161391=VERTEX_POINT('',#501719); #161392=VERTEX_POINT('',#501721); #161393=VERTEX_POINT('',#501725); #161394=VERTEX_POINT('',#501727); #161395=VERTEX_POINT('',#501731); #161396=VERTEX_POINT('',#501733); #161397=VERTEX_POINT('',#501737); #161398=VERTEX_POINT('',#501739); #161399=VERTEX_POINT('',#501743); #161400=VERTEX_POINT('',#501745); #161401=VERTEX_POINT('',#501749); #161402=VERTEX_POINT('',#501751); #161403=VERTEX_POINT('',#501755); #161404=VERTEX_POINT('',#501756); #161405=VERTEX_POINT('',#501758); #161406=VERTEX_POINT('',#501760); #161407=VERTEX_POINT('',#501764); #161408=VERTEX_POINT('',#501766); #161409=VERTEX_POINT('',#501770); #161410=VERTEX_POINT('',#501772); #161411=VERTEX_POINT('',#501776); #161412=VERTEX_POINT('',#501778); #161413=VERTEX_POINT('',#501782); #161414=VERTEX_POINT('',#501784); #161415=VERTEX_POINT('',#501788); #161416=VERTEX_POINT('',#501790); #161417=VERTEX_POINT('',#501794); #161418=VERTEX_POINT('',#501796); #161419=VERTEX_POINT('',#501800); #161420=VERTEX_POINT('',#501802); #161421=VERTEX_POINT('',#501806); #161422=VERTEX_POINT('',#501808); #161423=VERTEX_POINT('',#501812); #161424=VERTEX_POINT('',#501814); #161425=VERTEX_POINT('',#501818); #161426=VERTEX_POINT('',#501820); #161427=VERTEX_POINT('',#501824); #161428=VERTEX_POINT('',#501826); #161429=VERTEX_POINT('',#501830); #161430=VERTEX_POINT('',#501832); #161431=VERTEX_POINT('',#501836); #161432=VERTEX_POINT('',#501838); #161433=VERTEX_POINT('',#501842); #161434=VERTEX_POINT('',#501844); #161435=VERTEX_POINT('',#501848); #161436=VERTEX_POINT('',#501850); #161437=VERTEX_POINT('',#501854); #161438=VERTEX_POINT('',#501856); #161439=VERTEX_POINT('',#501860); #161440=VERTEX_POINT('',#501862); #161441=VERTEX_POINT('',#501866); #161442=VERTEX_POINT('',#501868); #161443=VERTEX_POINT('',#501872); #161444=VERTEX_POINT('',#501874); #161445=VERTEX_POINT('',#501878); #161446=VERTEX_POINT('',#501880); #161447=VERTEX_POINT('',#501884); #161448=VERTEX_POINT('',#501886); #161449=VERTEX_POINT('',#501890); #161450=VERTEX_POINT('',#501892); #161451=VERTEX_POINT('',#501896); #161452=VERTEX_POINT('',#501898); #161453=VERTEX_POINT('',#501902); #161454=VERTEX_POINT('',#501904); #161455=VERTEX_POINT('',#501908); #161456=VERTEX_POINT('',#501910); #161457=VERTEX_POINT('',#501914); #161458=VERTEX_POINT('',#501916); #161459=VERTEX_POINT('',#501920); #161460=VERTEX_POINT('',#501922); #161461=VERTEX_POINT('',#501926); #161462=VERTEX_POINT('',#501928); #161463=VERTEX_POINT('',#501932); #161464=VERTEX_POINT('',#501934); #161465=VERTEX_POINT('',#501938); #161466=VERTEX_POINT('',#501940); #161467=VERTEX_POINT('',#501944); #161468=VERTEX_POINT('',#501946); #161469=VERTEX_POINT('',#501950); #161470=VERTEX_POINT('',#501952); #161471=VERTEX_POINT('',#501956); #161472=VERTEX_POINT('',#501958); #161473=VERTEX_POINT('',#501962); #161474=VERTEX_POINT('',#501964); #161475=VERTEX_POINT('',#501968); #161476=VERTEX_POINT('',#501970); #161477=VERTEX_POINT('',#501974); #161478=VERTEX_POINT('',#501976); #161479=VERTEX_POINT('',#501980); #161480=VERTEX_POINT('',#501982); #161481=VERTEX_POINT('',#501986); #161482=VERTEX_POINT('',#501988); #161483=VERTEX_POINT('',#501992); #161484=VERTEX_POINT('',#501994); #161485=VERTEX_POINT('',#501998); #161486=VERTEX_POINT('',#502000); #161487=VERTEX_POINT('',#502004); #161488=VERTEX_POINT('',#502006); #161489=VERTEX_POINT('',#502010); #161490=VERTEX_POINT('',#502012); #161491=VERTEX_POINT('',#502016); #161492=VERTEX_POINT('',#502018); #161493=VERTEX_POINT('',#502022); #161494=VERTEX_POINT('',#502024); #161495=VERTEX_POINT('',#502028); #161496=VERTEX_POINT('',#502030); #161497=VERTEX_POINT('',#502034); #161498=VERTEX_POINT('',#502036); #161499=VERTEX_POINT('',#502040); #161500=VERTEX_POINT('',#502042); #161501=VERTEX_POINT('',#502046); #161502=VERTEX_POINT('',#502048); #161503=VERTEX_POINT('',#502052); #161504=VERTEX_POINT('',#502054); #161505=VERTEX_POINT('',#502058); #161506=VERTEX_POINT('',#502060); #161507=VERTEX_POINT('',#502064); #161508=VERTEX_POINT('',#502066); #161509=VERTEX_POINT('',#502070); #161510=VERTEX_POINT('',#502072); #161511=VERTEX_POINT('',#502076); #161512=VERTEX_POINT('',#502078); #161513=VERTEX_POINT('',#502082); #161514=VERTEX_POINT('',#502084); #161515=VERTEX_POINT('',#502088); #161516=VERTEX_POINT('',#502090); #161517=VERTEX_POINT('',#502094); #161518=VERTEX_POINT('',#502096); #161519=VERTEX_POINT('',#502100); #161520=VERTEX_POINT('',#502102); #161521=VERTEX_POINT('',#502106); #161522=VERTEX_POINT('',#502108); #161523=VERTEX_POINT('',#502112); #161524=VERTEX_POINT('',#502114); #161525=VERTEX_POINT('',#502118); #161526=VERTEX_POINT('',#502120); #161527=VERTEX_POINT('',#502124); #161528=VERTEX_POINT('',#502126); #161529=VERTEX_POINT('',#502130); #161530=VERTEX_POINT('',#502132); #161531=VERTEX_POINT('',#502136); #161532=VERTEX_POINT('',#502138); #161533=VERTEX_POINT('',#502142); #161534=VERTEX_POINT('',#502144); #161535=VERTEX_POINT('',#502148); #161536=VERTEX_POINT('',#502150); #161537=VERTEX_POINT('',#502154); #161538=VERTEX_POINT('',#502156); #161539=VERTEX_POINT('',#502160); #161540=VERTEX_POINT('',#502162); #161541=VERTEX_POINT('',#502166); #161542=VERTEX_POINT('',#502168); #161543=VERTEX_POINT('',#502177); #161544=VERTEX_POINT('',#502179); #161545=VERTEX_POINT('',#502183); #161546=VERTEX_POINT('',#502185); #161547=VERTEX_POINT('',#502189); #161548=VERTEX_POINT('',#502191); #161549=VERTEX_POINT('',#502195); #161550=VERTEX_POINT('',#502197); #161551=VERTEX_POINT('',#502201); #161552=VERTEX_POINT('',#502203); #161553=VERTEX_POINT('',#502207); #161554=VERTEX_POINT('',#502209); #161555=VERTEX_POINT('',#502213); #161556=VERTEX_POINT('',#502215); #161557=VERTEX_POINT('',#502219); #161558=VERTEX_POINT('',#502221); #161559=VERTEX_POINT('',#502225); #161560=VERTEX_POINT('',#502227); #161561=VERTEX_POINT('',#502231); #161562=VERTEX_POINT('',#502233); #161563=VERTEX_POINT('',#502237); #161564=VERTEX_POINT('',#502239); #161565=VERTEX_POINT('',#502243); #161566=VERTEX_POINT('',#502245); #161567=VERTEX_POINT('',#502249); #161568=VERTEX_POINT('',#502251); #161569=VERTEX_POINT('',#502255); #161570=VERTEX_POINT('',#502257); #161571=VERTEX_POINT('',#502261); #161572=VERTEX_POINT('',#502263); #161573=VERTEX_POINT('',#502267); #161574=VERTEX_POINT('',#502269); #161575=VERTEX_POINT('',#502273); #161576=VERTEX_POINT('',#502275); #161577=VERTEX_POINT('',#502279); #161578=VERTEX_POINT('',#502281); #161579=VERTEX_POINT('',#502285); #161580=VERTEX_POINT('',#502287); #161581=VERTEX_POINT('',#502291); #161582=VERTEX_POINT('',#502293); #161583=VERTEX_POINT('',#502297); #161584=VERTEX_POINT('',#502299); #161585=VERTEX_POINT('',#502303); #161586=VERTEX_POINT('',#502305); #161587=VERTEX_POINT('',#502309); #161588=VERTEX_POINT('',#502311); #161589=VERTEX_POINT('',#502315); #161590=VERTEX_POINT('',#502317); #161591=VERTEX_POINT('',#502321); #161592=VERTEX_POINT('',#502323); #161593=VERTEX_POINT('',#502327); #161594=VERTEX_POINT('',#502329); #161595=VERTEX_POINT('',#502333); #161596=VERTEX_POINT('',#502335); #161597=VERTEX_POINT('',#502339); #161598=VERTEX_POINT('',#502341); #161599=VERTEX_POINT('',#502345); #161600=VERTEX_POINT('',#502347); #161601=VERTEX_POINT('',#502351); #161602=VERTEX_POINT('',#502353); #161603=VERTEX_POINT('',#502357); #161604=VERTEX_POINT('',#502358); #161605=VERTEX_POINT('',#502360); #161606=VERTEX_POINT('',#502362); #161607=VERTEX_POINT('',#502366); #161608=VERTEX_POINT('',#502368); #161609=VERTEX_POINT('',#502372); #161610=VERTEX_POINT('',#502374); #161611=VERTEX_POINT('',#502378); #161612=VERTEX_POINT('',#502380); #161613=VERTEX_POINT('',#502384); #161614=VERTEX_POINT('',#502386); #161615=VERTEX_POINT('',#502390); #161616=VERTEX_POINT('',#502392); #161617=VERTEX_POINT('',#502396); #161618=VERTEX_POINT('',#502398); #161619=VERTEX_POINT('',#502402); #161620=VERTEX_POINT('',#502404); #161621=VERTEX_POINT('',#502408); #161622=VERTEX_POINT('',#502410); #161623=VERTEX_POINT('',#502414); #161624=VERTEX_POINT('',#502416); #161625=VERTEX_POINT('',#502420); #161626=VERTEX_POINT('',#502422); #161627=VERTEX_POINT('',#502426); #161628=VERTEX_POINT('',#502428); #161629=VERTEX_POINT('',#502432); #161630=VERTEX_POINT('',#502434); #161631=VERTEX_POINT('',#502438); #161632=VERTEX_POINT('',#502440); #161633=VERTEX_POINT('',#502444); #161634=VERTEX_POINT('',#502446); #161635=VERTEX_POINT('',#502450); #161636=VERTEX_POINT('',#502452); #161637=VERTEX_POINT('',#502456); #161638=VERTEX_POINT('',#502458); #161639=VERTEX_POINT('',#502462); #161640=VERTEX_POINT('',#502464); #161641=VERTEX_POINT('',#502468); #161642=VERTEX_POINT('',#502470); #161643=VERTEX_POINT('',#502474); #161644=VERTEX_POINT('',#502476); #161645=VERTEX_POINT('',#502480); #161646=VERTEX_POINT('',#502482); #161647=VERTEX_POINT('',#502486); #161648=VERTEX_POINT('',#502488); #161649=VERTEX_POINT('',#502492); #161650=VERTEX_POINT('',#502494); #161651=VERTEX_POINT('',#502498); #161652=VERTEX_POINT('',#502500); #161653=VERTEX_POINT('',#502504); #161654=VERTEX_POINT('',#502506); #161655=VERTEX_POINT('',#502510); #161656=VERTEX_POINT('',#502512); #161657=VERTEX_POINT('',#502516); #161658=VERTEX_POINT('',#502518); #161659=VERTEX_POINT('',#502522); #161660=VERTEX_POINT('',#502524); #161661=VERTEX_POINT('',#502528); #161662=VERTEX_POINT('',#502530); #161663=VERTEX_POINT('',#502534); #161664=VERTEX_POINT('',#502536); #161665=VERTEX_POINT('',#502540); #161666=VERTEX_POINT('',#502542); #161667=VERTEX_POINT('',#502546); #161668=VERTEX_POINT('',#502548); #161669=VERTEX_POINT('',#502552); #161670=VERTEX_POINT('',#502554); #161671=VERTEX_POINT('',#502558); #161672=VERTEX_POINT('',#502560); #161673=VERTEX_POINT('',#502564); #161674=VERTEX_POINT('',#502566); #161675=VERTEX_POINT('',#502570); #161676=VERTEX_POINT('',#502572); #161677=VERTEX_POINT('',#502576); #161678=VERTEX_POINT('',#502578); #161679=VERTEX_POINT('',#502582); #161680=VERTEX_POINT('',#502584); #161681=VERTEX_POINT('',#502588); #161682=VERTEX_POINT('',#502590); #161683=VERTEX_POINT('',#502594); #161684=VERTEX_POINT('',#502596); #161685=VERTEX_POINT('',#502600); #161686=VERTEX_POINT('',#502602); #161687=VERTEX_POINT('',#502606); #161688=VERTEX_POINT('',#502608); #161689=VERTEX_POINT('',#502612); #161690=VERTEX_POINT('',#502614); #161691=VERTEX_POINT('',#502618); #161692=VERTEX_POINT('',#502620); #161693=VERTEX_POINT('',#502629); #161694=VERTEX_POINT('',#502631); #161695=VERTEX_POINT('',#502635); #161696=VERTEX_POINT('',#502637); #161697=VERTEX_POINT('',#502641); #161698=VERTEX_POINT('',#502642); #161699=VERTEX_POINT('',#502644); #161700=VERTEX_POINT('',#502646); #161701=VERTEX_POINT('',#502650); #161702=VERTEX_POINT('',#502652); #161703=VERTEX_POINT('',#502656); #161704=VERTEX_POINT('',#502658); #161705=VERTEX_POINT('',#502662); #161706=VERTEX_POINT('',#502664); #161707=VERTEX_POINT('',#502668); #161708=VERTEX_POINT('',#502670); #161709=VERTEX_POINT('',#502674); #161710=VERTEX_POINT('',#502676); #161711=VERTEX_POINT('',#502685); #161712=VERTEX_POINT('',#502687); #161713=VERTEX_POINT('',#502691); #161714=VERTEX_POINT('',#502693); #161715=VERTEX_POINT('',#502697); #161716=VERTEX_POINT('',#502699); #161717=VERTEX_POINT('',#502703); #161718=VERTEX_POINT('',#502705); #161719=VERTEX_POINT('',#502709); #161720=VERTEX_POINT('',#502711); #161721=VERTEX_POINT('',#502715); #161722=VERTEX_POINT('',#502717); #161723=VERTEX_POINT('',#502721); #161724=VERTEX_POINT('',#502723); #161725=VERTEX_POINT('',#502727); #161726=VERTEX_POINT('',#502729); #161727=VERTEX_POINT('',#502733); #161728=VERTEX_POINT('',#502735); #161729=VERTEX_POINT('',#502739); #161730=VERTEX_POINT('',#502741); #161731=VERTEX_POINT('',#502745); #161732=VERTEX_POINT('',#502746); #161733=VERTEX_POINT('',#502748); #161734=VERTEX_POINT('',#502750); #161735=VERTEX_POINT('',#502754); #161736=VERTEX_POINT('',#502756); #161737=VERTEX_POINT('',#502760); #161738=VERTEX_POINT('',#502762); #161739=VERTEX_POINT('',#502766); #161740=VERTEX_POINT('',#502768); #161741=VERTEX_POINT('',#502772); #161742=VERTEX_POINT('',#502774); #161743=VERTEX_POINT('',#502778); #161744=VERTEX_POINT('',#502780); #161745=VERTEX_POINT('',#502784); #161746=VERTEX_POINT('',#502786); #161747=VERTEX_POINT('',#502790); #161748=VERTEX_POINT('',#502792); #161749=VERTEX_POINT('',#502796); #161750=VERTEX_POINT('',#502798); #161751=VERTEX_POINT('',#502802); #161752=VERTEX_POINT('',#502804); #161753=VERTEX_POINT('',#502808); #161754=VERTEX_POINT('',#502810); #161755=VERTEX_POINT('',#502814); #161756=VERTEX_POINT('',#502816); #161757=VERTEX_POINT('',#502820); #161758=VERTEX_POINT('',#502822); #161759=VERTEX_POINT('',#502826); #161760=VERTEX_POINT('',#502828); #161761=VERTEX_POINT('',#502832); #161762=VERTEX_POINT('',#502834); #161763=VERTEX_POINT('',#502838); #161764=VERTEX_POINT('',#502840); #161765=VERTEX_POINT('',#502844); #161766=VERTEX_POINT('',#502846); #161767=VERTEX_POINT('',#502850); #161768=VERTEX_POINT('',#502852); #161769=VERTEX_POINT('',#502856); #161770=VERTEX_POINT('',#502858); #161771=VERTEX_POINT('',#502862); #161772=VERTEX_POINT('',#502864); #161773=VERTEX_POINT('',#502868); #161774=VERTEX_POINT('',#502870); #161775=VERTEX_POINT('',#502874); #161776=VERTEX_POINT('',#502876); #161777=VERTEX_POINT('',#502880); #161778=VERTEX_POINT('',#502882); #161779=VERTEX_POINT('',#502891); #161780=VERTEX_POINT('',#502893); #161781=VERTEX_POINT('',#502897); #161782=VERTEX_POINT('',#502898); #161783=VERTEX_POINT('',#502900); #161784=VERTEX_POINT('',#502902); #161785=VERTEX_POINT('',#502906); #161786=VERTEX_POINT('',#502908); #161787=VERTEX_POINT('',#502917); #161788=VERTEX_POINT('',#502919); #161789=VERTEX_POINT('',#502923); #161790=VERTEX_POINT('',#502925); #161791=VERTEX_POINT('',#502929); #161792=VERTEX_POINT('',#502931); #161793=VERTEX_POINT('',#502935); #161794=VERTEX_POINT('',#502937); #161795=VERTEX_POINT('',#502941); #161796=VERTEX_POINT('',#502943); #161797=VERTEX_POINT('',#502947); #161798=VERTEX_POINT('',#502949); #161799=VERTEX_POINT('',#502953); #161800=VERTEX_POINT('',#502955); #161801=VERTEX_POINT('',#502959); #161802=VERTEX_POINT('',#502961); #161803=VERTEX_POINT('',#502965); #161804=VERTEX_POINT('',#502967); #161805=VERTEX_POINT('',#502971); #161806=VERTEX_POINT('',#502973); #161807=VERTEX_POINT('',#502977); #161808=VERTEX_POINT('',#502979); #161809=VERTEX_POINT('',#502983); #161810=VERTEX_POINT('',#502985); #161811=VERTEX_POINT('',#502989); #161812=VERTEX_POINT('',#502991); #161813=VERTEX_POINT('',#502995); #161814=VERTEX_POINT('',#502997); #161815=VERTEX_POINT('',#503001); #161816=VERTEX_POINT('',#503003); #161817=VERTEX_POINT('',#503007); #161818=VERTEX_POINT('',#503009); #161819=VERTEX_POINT('',#503013); #161820=VERTEX_POINT('',#503015); #161821=VERTEX_POINT('',#503019); #161822=VERTEX_POINT('',#503021); #161823=VERTEX_POINT('',#503025); #161824=VERTEX_POINT('',#503027); #161825=VERTEX_POINT('',#503031); #161826=VERTEX_POINT('',#503033); #161827=VERTEX_POINT('',#503037); #161828=VERTEX_POINT('',#503039); #161829=VERTEX_POINT('',#503043); #161830=VERTEX_POINT('',#503045); #161831=VERTEX_POINT('',#503049); #161832=VERTEX_POINT('',#503051); #161833=VERTEX_POINT('',#503055); #161834=VERTEX_POINT('',#503057); #161835=VERTEX_POINT('',#503061); #161836=VERTEX_POINT('',#503063); #161837=VERTEX_POINT('',#503067); #161838=VERTEX_POINT('',#503069); #161839=VERTEX_POINT('',#503073); #161840=VERTEX_POINT('',#503075); #161841=VERTEX_POINT('',#503079); #161842=VERTEX_POINT('',#503081); #161843=VERTEX_POINT('',#503085); #161844=VERTEX_POINT('',#503087); #161845=VERTEX_POINT('',#503091); #161846=VERTEX_POINT('',#503093); #161847=VERTEX_POINT('',#503097); #161848=VERTEX_POINT('',#503098); #161849=VERTEX_POINT('',#503100); #161850=VERTEX_POINT('',#503102); #161851=VERTEX_POINT('',#503106); #161852=VERTEX_POINT('',#503108); #161853=VERTEX_POINT('',#503112); #161854=VERTEX_POINT('',#503114); #161855=VERTEX_POINT('',#503118); #161856=VERTEX_POINT('',#503120); #161857=VERTEX_POINT('',#503124); #161858=VERTEX_POINT('',#503126); #161859=VERTEX_POINT('',#503130); #161860=VERTEX_POINT('',#503132); #161861=VERTEX_POINT('',#503136); #161862=VERTEX_POINT('',#503138); #161863=VERTEX_POINT('',#503142); #161864=VERTEX_POINT('',#503144); #161865=VERTEX_POINT('',#503148); #161866=VERTEX_POINT('',#503150); #161867=VERTEX_POINT('',#503154); #161868=VERTEX_POINT('',#503156); #161869=VERTEX_POINT('',#503160); #161870=VERTEX_POINT('',#503162); #161871=VERTEX_POINT('',#503166); #161872=VERTEX_POINT('',#503168); #161873=VERTEX_POINT('',#503172); #161874=VERTEX_POINT('',#503174); #161875=VERTEX_POINT('',#503178); #161876=VERTEX_POINT('',#503180); #161877=VERTEX_POINT('',#503184); #161878=VERTEX_POINT('',#503186); #161879=VERTEX_POINT('',#503190); #161880=VERTEX_POINT('',#503192); #161881=VERTEX_POINT('',#503196); #161882=VERTEX_POINT('',#503198); #161883=VERTEX_POINT('',#503202); #161884=VERTEX_POINT('',#503204); #161885=VERTEX_POINT('',#503208); #161886=VERTEX_POINT('',#503210); #161887=VERTEX_POINT('',#503214); #161888=VERTEX_POINT('',#503216); #161889=VERTEX_POINT('',#503220); #161890=VERTEX_POINT('',#503222); #161891=VERTEX_POINT('',#503226); #161892=VERTEX_POINT('',#503228); #161893=VERTEX_POINT('',#503232); #161894=VERTEX_POINT('',#503234); #161895=VERTEX_POINT('',#503238); #161896=VERTEX_POINT('',#503240); #161897=VERTEX_POINT('',#503244); #161898=VERTEX_POINT('',#503246); #161899=VERTEX_POINT('',#503250); #161900=VERTEX_POINT('',#503252); #161901=VERTEX_POINT('',#503256); #161902=VERTEX_POINT('',#503258); #161903=VERTEX_POINT('',#503262); #161904=VERTEX_POINT('',#503264); #161905=VERTEX_POINT('',#503268); #161906=VERTEX_POINT('',#503270); #161907=VERTEX_POINT('',#503274); #161908=VERTEX_POINT('',#503276); #161909=VERTEX_POINT('',#503280); #161910=VERTEX_POINT('',#503282); #161911=VERTEX_POINT('',#503286); #161912=VERTEX_POINT('',#503288); #161913=VERTEX_POINT('',#503292); #161914=VERTEX_POINT('',#503294); #161915=VERTEX_POINT('',#503298); #161916=VERTEX_POINT('',#503300); #161917=VERTEX_POINT('',#503304); #161918=VERTEX_POINT('',#503306); #161919=VERTEX_POINT('',#503310); #161920=VERTEX_POINT('',#503312); #161921=VERTEX_POINT('',#503316); #161922=VERTEX_POINT('',#503318); #161923=VERTEX_POINT('',#503322); #161924=VERTEX_POINT('',#503324); #161925=VERTEX_POINT('',#503328); #161926=VERTEX_POINT('',#503330); #161927=VERTEX_POINT('',#503334); #161928=VERTEX_POINT('',#503336); #161929=VERTEX_POINT('',#503340); #161930=VERTEX_POINT('',#503342); #161931=VERTEX_POINT('',#503346); #161932=VERTEX_POINT('',#503348); #161933=VERTEX_POINT('',#503352); #161934=VERTEX_POINT('',#503354); #161935=VERTEX_POINT('',#503358); #161936=VERTEX_POINT('',#503360); #161937=VERTEX_POINT('',#503369); #161938=VERTEX_POINT('',#503371); #161939=VERTEX_POINT('',#503375); #161940=VERTEX_POINT('',#503377); #161941=VERTEX_POINT('',#503381); #161942=VERTEX_POINT('',#503382); #161943=VERTEX_POINT('',#503384); #161944=VERTEX_POINT('',#503386); #161945=VERTEX_POINT('',#503390); #161946=VERTEX_POINT('',#503392); #161947=VERTEX_POINT('',#503396); #161948=VERTEX_POINT('',#503398); #161949=VERTEX_POINT('',#503402); #161950=VERTEX_POINT('',#503404); #161951=VERTEX_POINT('',#503408); #161952=VERTEX_POINT('',#503410); #161953=VERTEX_POINT('',#503414); #161954=VERTEX_POINT('',#503416); #161955=VERTEX_POINT('',#503425); #161956=VERTEX_POINT('',#503427); #161957=VERTEX_POINT('',#503431); #161958=VERTEX_POINT('',#503432); #161959=VERTEX_POINT('',#503434); #161960=VERTEX_POINT('',#503436); #161961=VERTEX_POINT('',#503440); #161962=VERTEX_POINT('',#503442); #161963=VERTEX_POINT('',#503451); #161964=VERTEX_POINT('',#503453); #161965=VERTEX_POINT('',#503457); #161966=VERTEX_POINT('',#503459); #161967=VERTEX_POINT('',#503463); #161968=VERTEX_POINT('',#503465); #161969=VERTEX_POINT('',#503469); #161970=VERTEX_POINT('',#503471); #161971=VERTEX_POINT('',#503475); #161972=VERTEX_POINT('',#503477); #161973=VERTEX_POINT('',#503481); #161974=VERTEX_POINT('',#503483); #161975=VERTEX_POINT('',#503487); #161976=VERTEX_POINT('',#503489); #161977=VERTEX_POINT('',#503493); #161978=VERTEX_POINT('',#503495); #161979=VERTEX_POINT('',#503499); #161980=VERTEX_POINT('',#503501); #161981=VERTEX_POINT('',#503505); #161982=VERTEX_POINT('',#503507); #161983=VERTEX_POINT('',#503511); #161984=VERTEX_POINT('',#503513); #161985=VERTEX_POINT('',#503517); #161986=VERTEX_POINT('',#503519); #161987=VERTEX_POINT('',#503523); #161988=VERTEX_POINT('',#503525); #161989=VERTEX_POINT('',#503529); #161990=VERTEX_POINT('',#503531); #161991=VERTEX_POINT('',#503535); #161992=VERTEX_POINT('',#503537); #161993=VERTEX_POINT('',#503541); #161994=VERTEX_POINT('',#503542); #161995=VERTEX_POINT('',#503544); #161996=VERTEX_POINT('',#503546); #161997=VERTEX_POINT('',#503550); #161998=VERTEX_POINT('',#503552); #161999=VERTEX_POINT('',#503556); #162000=VERTEX_POINT('',#503558); #162001=VERTEX_POINT('',#503562); #162002=VERTEX_POINT('',#503564); #162003=VERTEX_POINT('',#503568); #162004=VERTEX_POINT('',#503570); #162005=VERTEX_POINT('',#503574); #162006=VERTEX_POINT('',#503576); #162007=VERTEX_POINT('',#503580); #162008=VERTEX_POINT('',#503582); #162009=VERTEX_POINT('',#503586); #162010=VERTEX_POINT('',#503588); #162011=VERTEX_POINT('',#503592); #162012=VERTEX_POINT('',#503594); #162013=VERTEX_POINT('',#503598); #162014=VERTEX_POINT('',#503600); #162015=VERTEX_POINT('',#503604); #162016=VERTEX_POINT('',#503606); #162017=VERTEX_POINT('',#503610); #162018=VERTEX_POINT('',#503612); #162019=VERTEX_POINT('',#503616); #162020=VERTEX_POINT('',#503618); #162021=VERTEX_POINT('',#503622); #162022=VERTEX_POINT('',#503624); #162023=VERTEX_POINT('',#503628); #162024=VERTEX_POINT('',#503630); #162025=VERTEX_POINT('',#503634); #162026=VERTEX_POINT('',#503636); #162027=VERTEX_POINT('',#503640); #162028=VERTEX_POINT('',#503642); #162029=VERTEX_POINT('',#503646); #162030=VERTEX_POINT('',#503648); #162031=VERTEX_POINT('',#503652); #162032=VERTEX_POINT('',#503654); #162033=VERTEX_POINT('',#503658); #162034=VERTEX_POINT('',#503660); #162035=VERTEX_POINT('',#503664); #162036=VERTEX_POINT('',#503666); #162037=VERTEX_POINT('',#503670); #162038=VERTEX_POINT('',#503672); #162039=VERTEX_POINT('',#503676); #162040=VERTEX_POINT('',#503678); #162041=VERTEX_POINT('',#503682); #162042=VERTEX_POINT('',#503684); #162043=VERTEX_POINT('',#503688); #162044=VERTEX_POINT('',#503690); #162045=VERTEX_POINT('',#503694); #162046=VERTEX_POINT('',#503696); #162047=VERTEX_POINT('',#503700); #162048=VERTEX_POINT('',#503702); #162049=VERTEX_POINT('',#503706); #162050=VERTEX_POINT('',#503708); #162051=VERTEX_POINT('',#503712); #162052=VERTEX_POINT('',#503714); #162053=VERTEX_POINT('',#503718); #162054=VERTEX_POINT('',#503720); #162055=VERTEX_POINT('',#503724); #162056=VERTEX_POINT('',#503726); #162057=VERTEX_POINT('',#503730); #162058=VERTEX_POINT('',#503732); #162059=VERTEX_POINT('',#503736); #162060=VERTEX_POINT('',#503738); #162061=VERTEX_POINT('',#503742); #162062=VERTEX_POINT('',#503744); #162063=VERTEX_POINT('',#503748); #162064=VERTEX_POINT('',#503750); #162065=VERTEX_POINT('',#503754); #162066=VERTEX_POINT('',#503756); #162067=VERTEX_POINT('',#503760); #162068=VERTEX_POINT('',#503762); #162069=VERTEX_POINT('',#503766); #162070=VERTEX_POINT('',#503768); #162071=VERTEX_POINT('',#503772); #162072=VERTEX_POINT('',#503774); #162073=VERTEX_POINT('',#503778); #162074=VERTEX_POINT('',#503780); #162075=VERTEX_POINT('',#503784); #162076=VERTEX_POINT('',#503786); #162077=VERTEX_POINT('',#503790); #162078=VERTEX_POINT('',#503792); #162079=VERTEX_POINT('',#503796); #162080=VERTEX_POINT('',#503798); #162081=VERTEX_POINT('',#503802); #162082=VERTEX_POINT('',#503804); #162083=VERTEX_POINT('',#503808); #162084=VERTEX_POINT('',#503810); #162085=VERTEX_POINT('',#503814); #162086=VERTEX_POINT('',#503816); #162087=VERTEX_POINT('',#503820); #162088=VERTEX_POINT('',#503822); #162089=VERTEX_POINT('',#503826); #162090=VERTEX_POINT('',#503828); #162091=VERTEX_POINT('',#503832); #162092=VERTEX_POINT('',#503834); #162093=VERTEX_POINT('',#503838); #162094=VERTEX_POINT('',#503840); #162095=VERTEX_POINT('',#503844); #162096=VERTEX_POINT('',#503846); #162097=VERTEX_POINT('',#503850); #162098=VERTEX_POINT('',#503852); #162099=VERTEX_POINT('',#503856); #162100=VERTEX_POINT('',#503858); #162101=VERTEX_POINT('',#503862); #162102=VERTEX_POINT('',#503864); #162103=VERTEX_POINT('',#503868); #162104=VERTEX_POINT('',#503870); #162105=VERTEX_POINT('',#503874); #162106=VERTEX_POINT('',#503876); #162107=VERTEX_POINT('',#503880); #162108=VERTEX_POINT('',#503882); #162109=VERTEX_POINT('',#503886); #162110=VERTEX_POINT('',#503888); #162111=VERTEX_POINT('',#503892); #162112=VERTEX_POINT('',#503894); #162113=VERTEX_POINT('',#503898); #162114=VERTEX_POINT('',#503900); #162115=VERTEX_POINT('',#503904); #162116=VERTEX_POINT('',#503906); #162117=VERTEX_POINT('',#503910); #162118=VERTEX_POINT('',#503912); #162119=VERTEX_POINT('',#503916); #162120=VERTEX_POINT('',#503918); #162121=VERTEX_POINT('',#503922); #162122=VERTEX_POINT('',#503924); #162123=VERTEX_POINT('',#503928); #162124=VERTEX_POINT('',#503930); #162125=VERTEX_POINT('',#503934); #162126=VERTEX_POINT('',#503936); #162127=VERTEX_POINT('',#503940); #162128=VERTEX_POINT('',#503942); #162129=VERTEX_POINT('',#503946); #162130=VERTEX_POINT('',#503948); #162131=VERTEX_POINT('',#503952); #162132=VERTEX_POINT('',#503954); #162133=VERTEX_POINT('',#503958); #162134=VERTEX_POINT('',#503960); #162135=VERTEX_POINT('',#503964); #162136=VERTEX_POINT('',#503966); #162137=VERTEX_POINT('',#503973); #162138=VERTEX_POINT('',#503974); #162139=VERTEX_POINT('',#503976); #162140=VERTEX_POINT('',#503978); #162141=VERTEX_POINT('',#503982); #162142=VERTEX_POINT('',#503984); #162143=VERTEX_POINT('',#503988); #162144=VERTEX_POINT('',#503990); #162145=VERTEX_POINT('',#503994); #162146=VERTEX_POINT('',#503996); #162147=VERTEX_POINT('',#504000); #162148=VERTEX_POINT('',#504002); #162149=VERTEX_POINT('',#504006); #162150=VERTEX_POINT('',#504008); #162151=VERTEX_POINT('',#504012); #162152=VERTEX_POINT('',#504014); #162153=VERTEX_POINT('',#504018); #162154=VERTEX_POINT('',#504020); #162155=VERTEX_POINT('',#504024); #162156=VERTEX_POINT('',#504026); #162157=VERTEX_POINT('',#504030); #162158=VERTEX_POINT('',#504032); #162159=VERTEX_POINT('',#504036); #162160=VERTEX_POINT('',#504038); #162161=VERTEX_POINT('',#504042); #162162=VERTEX_POINT('',#504044); #162163=VERTEX_POINT('',#504048); #162164=VERTEX_POINT('',#504050); #162165=VERTEX_POINT('',#504054); #162166=VERTEX_POINT('',#504056); #162167=VERTEX_POINT('',#504060); #162168=VERTEX_POINT('',#504062); #162169=VERTEX_POINT('',#504066); #162170=VERTEX_POINT('',#504068); #162171=VERTEX_POINT('',#504072); #162172=VERTEX_POINT('',#504074); #162173=VERTEX_POINT('',#504078); #162174=VERTEX_POINT('',#504080); #162175=VERTEX_POINT('',#504084); #162176=VERTEX_POINT('',#504086); #162177=VERTEX_POINT('',#504090); #162178=VERTEX_POINT('',#504092); #162179=VERTEX_POINT('',#504096); #162180=VERTEX_POINT('',#504098); #162181=VERTEX_POINT('',#504102); #162182=VERTEX_POINT('',#504104); #162183=VERTEX_POINT('',#504108); #162184=VERTEX_POINT('',#504110); #162185=VERTEX_POINT('',#504114); #162186=VERTEX_POINT('',#504116); #162187=VERTEX_POINT('',#504120); #162188=VERTEX_POINT('',#504122); #162189=VERTEX_POINT('',#504126); #162190=VERTEX_POINT('',#504128); #162191=VERTEX_POINT('',#504132); #162192=VERTEX_POINT('',#504134); #162193=VERTEX_POINT('',#504138); #162194=VERTEX_POINT('',#504140); #162195=VERTEX_POINT('',#504144); #162196=VERTEX_POINT('',#504146); #162197=VERTEX_POINT('',#504150); #162198=VERTEX_POINT('',#504152); #162199=VERTEX_POINT('',#504156); #162200=VERTEX_POINT('',#504158); #162201=VERTEX_POINT('',#504162); #162202=VERTEX_POINT('',#504164); #162203=VERTEX_POINT('',#504168); #162204=VERTEX_POINT('',#504170); #162205=VERTEX_POINT('',#504174); #162206=VERTEX_POINT('',#504176); #162207=VERTEX_POINT('',#504180); #162208=VERTEX_POINT('',#504182); #162209=VERTEX_POINT('',#504186); #162210=VERTEX_POINT('',#504188); #162211=VERTEX_POINT('',#504192); #162212=VERTEX_POINT('',#504194); #162213=VERTEX_POINT('',#504198); #162214=VERTEX_POINT('',#504200); #162215=VERTEX_POINT('',#504204); #162216=VERTEX_POINT('',#504206); #162217=VERTEX_POINT('',#504210); #162218=VERTEX_POINT('',#504212); #162219=VERTEX_POINT('',#504216); #162220=VERTEX_POINT('',#504218); #162221=VERTEX_POINT('',#504222); #162222=VERTEX_POINT('',#504224); #162223=VERTEX_POINT('',#504228); #162224=VERTEX_POINT('',#504230); #162225=VERTEX_POINT('',#504234); #162226=VERTEX_POINT('',#504236); #162227=VERTEX_POINT('',#504240); #162228=VERTEX_POINT('',#504242); #162229=VERTEX_POINT('',#504246); #162230=VERTEX_POINT('',#504248); #162231=VERTEX_POINT('',#504252); #162232=VERTEX_POINT('',#504254); #162233=VERTEX_POINT('',#504258); #162234=VERTEX_POINT('',#504260); #162235=VERTEX_POINT('',#504264); #162236=VERTEX_POINT('',#504266); #162237=VERTEX_POINT('',#504270); #162238=VERTEX_POINT('',#504272); #162239=VERTEX_POINT('',#504276); #162240=VERTEX_POINT('',#504278); #162241=VERTEX_POINT('',#504282); #162242=VERTEX_POINT('',#504284); #162243=VERTEX_POINT('',#504288); #162244=VERTEX_POINT('',#504290); #162245=VERTEX_POINT('',#504294); #162246=VERTEX_POINT('',#504296); #162247=VERTEX_POINT('',#504300); #162248=VERTEX_POINT('',#504302); #162249=VERTEX_POINT('',#504306); #162250=VERTEX_POINT('',#504308); #162251=VERTEX_POINT('',#504312); #162252=VERTEX_POINT('',#504314); #162253=VERTEX_POINT('',#504318); #162254=VERTEX_POINT('',#504320); #162255=VERTEX_POINT('',#504324); #162256=VERTEX_POINT('',#504326); #162257=VERTEX_POINT('',#504330); #162258=VERTEX_POINT('',#504332); #162259=VERTEX_POINT('',#504336); #162260=VERTEX_POINT('',#504338); #162261=VERTEX_POINT('',#504342); #162262=VERTEX_POINT('',#504344); #162263=VERTEX_POINT('',#504348); #162264=VERTEX_POINT('',#504350); #162265=VERTEX_POINT('',#504354); #162266=VERTEX_POINT('',#504356); #162267=VERTEX_POINT('',#504360); #162268=VERTEX_POINT('',#504362); #162269=VERTEX_POINT('',#504366); #162270=VERTEX_POINT('',#504368); #162271=VERTEX_POINT('',#504372); #162272=VERTEX_POINT('',#504374); #162273=VERTEX_POINT('',#504378); #162274=VERTEX_POINT('',#504380); #162275=VERTEX_POINT('',#504384); #162276=VERTEX_POINT('',#504386); #162277=VERTEX_POINT('',#504390); #162278=VERTEX_POINT('',#504392); #162279=VERTEX_POINT('',#504396); #162280=VERTEX_POINT('',#504398); #162281=VERTEX_POINT('',#504402); #162282=VERTEX_POINT('',#504404); #162283=VERTEX_POINT('',#504408); #162284=VERTEX_POINT('',#504410); #162285=VERTEX_POINT('',#504414); #162286=VERTEX_POINT('',#504416); #162287=VERTEX_POINT('',#504420); #162288=VERTEX_POINT('',#504422); #162289=VERTEX_POINT('',#504426); #162290=VERTEX_POINT('',#504428); #162291=VERTEX_POINT('',#504432); #162292=VERTEX_POINT('',#504434); #162293=VERTEX_POINT('',#504438); #162294=VERTEX_POINT('',#504440); #162295=VERTEX_POINT('',#504444); #162296=VERTEX_POINT('',#504446); #162297=VERTEX_POINT('',#504450); #162298=VERTEX_POINT('',#504452); #162299=VERTEX_POINT('',#504456); #162300=VERTEX_POINT('',#504458); #162301=VERTEX_POINT('',#504462); #162302=VERTEX_POINT('',#504464); #162303=VERTEX_POINT('',#504468); #162304=VERTEX_POINT('',#504470); #162305=VERTEX_POINT('',#504474); #162306=VERTEX_POINT('',#504476); #162307=VERTEX_POINT('',#504480); #162308=VERTEX_POINT('',#504482); #162309=VERTEX_POINT('',#504486); #162310=VERTEX_POINT('',#504488); #162311=VERTEX_POINT('',#504492); #162312=VERTEX_POINT('',#504494); #162313=VERTEX_POINT('',#504498); #162314=VERTEX_POINT('',#504500); #162315=VERTEX_POINT('',#504504); #162316=VERTEX_POINT('',#504506); #162317=VERTEX_POINT('',#504510); #162318=VERTEX_POINT('',#504512); #162319=VERTEX_POINT('',#504516); #162320=VERTEX_POINT('',#504518); #162321=VERTEX_POINT('',#504522); #162322=VERTEX_POINT('',#504524); #162323=VERTEX_POINT('',#504528); #162324=VERTEX_POINT('',#504530); #162325=VERTEX_POINT('',#504534); #162326=VERTEX_POINT('',#504536); #162327=VERTEX_POINT('',#504545); #162328=VERTEX_POINT('',#504547); #162329=VERTEX_POINT('',#504551); #162330=VERTEX_POINT('',#504552); #162331=VERTEX_POINT('',#504554); #162332=VERTEX_POINT('',#504556); #162333=VERTEX_POINT('',#504560); #162334=VERTEX_POINT('',#504562); #162335=VERTEX_POINT('',#504571); #162336=VERTEX_POINT('',#504573); #162337=VERTEX_POINT('',#504577); #162338=VERTEX_POINT('',#504578); #162339=VERTEX_POINT('',#504580); #162340=VERTEX_POINT('',#504582); #162341=VERTEX_POINT('',#504586); #162342=VERTEX_POINT('',#504588); #162343=VERTEX_POINT('',#504597); #162344=VERTEX_POINT('',#504599); #162345=VERTEX_POINT('',#504603); #162346=VERTEX_POINT('',#504604); #162347=VERTEX_POINT('',#504606); #162348=VERTEX_POINT('',#504608); #162349=VERTEX_POINT('',#504612); #162350=VERTEX_POINT('',#504614); #162351=VERTEX_POINT('',#504623); #162352=VERTEX_POINT('',#504625); #162353=VERTEX_POINT('',#504629); #162354=VERTEX_POINT('',#504630); #162355=VERTEX_POINT('',#504632); #162356=VERTEX_POINT('',#504634); #162357=VERTEX_POINT('',#504638); #162358=VERTEX_POINT('',#504640); #162359=VERTEX_POINT('',#504649); #162360=VERTEX_POINT('',#504651); #162361=VERTEX_POINT('',#504655); #162362=VERTEX_POINT('',#504656); #162363=VERTEX_POINT('',#504658); #162364=VERTEX_POINT('',#504660); #162365=VERTEX_POINT('',#504664); #162366=VERTEX_POINT('',#504666); #162367=VERTEX_POINT('',#504670); #162368=VERTEX_POINT('',#504672); #162369=VERTEX_POINT('',#504681); #162370=VERTEX_POINT('',#504683); #162371=VERTEX_POINT('',#504687); #162372=VERTEX_POINT('',#504688); #162373=VERTEX_POINT('',#504690); #162374=VERTEX_POINT('',#504692); #162375=VERTEX_POINT('',#504696); #162376=VERTEX_POINT('',#504698); #162377=VERTEX_POINT('',#504707); #162378=VERTEX_POINT('',#504709); #162379=VERTEX_POINT('',#504713); #162380=VERTEX_POINT('',#504714); #162381=VERTEX_POINT('',#504716); #162382=VERTEX_POINT('',#504718); #162383=VERTEX_POINT('',#504722); #162384=VERTEX_POINT('',#504724); #162385=VERTEX_POINT('',#504733); #162386=VERTEX_POINT('',#504735); #162387=VERTEX_POINT('',#504739); #162388=VERTEX_POINT('',#504740); #162389=VERTEX_POINT('',#504742); #162390=VERTEX_POINT('',#504744); #162391=VERTEX_POINT('',#504748); #162392=VERTEX_POINT('',#504750); #162393=VERTEX_POINT('',#504759); #162394=VERTEX_POINT('',#504761); #162395=VERTEX_POINT('',#504765); #162396=VERTEX_POINT('',#504766); #162397=VERTEX_POINT('',#504768); #162398=VERTEX_POINT('',#504770); #162399=VERTEX_POINT('',#504774); #162400=VERTEX_POINT('',#504776); #162401=VERTEX_POINT('',#504785); #162402=VERTEX_POINT('',#504786); #162403=VERTEX_POINT('',#504788); #162404=VERTEX_POINT('',#504790); #162405=VERTEX_POINT('',#504794); #162406=VERTEX_POINT('',#504796); #162407=VERTEX_POINT('',#504800); #162408=VERTEX_POINT('',#504802); #162409=VERTEX_POINT('',#504809); #162410=VERTEX_POINT('',#504810); #162411=VERTEX_POINT('',#504812); #162412=VERTEX_POINT('',#504814); #162413=VERTEX_POINT('',#504818); #162414=VERTEX_POINT('',#504820); #162415=VERTEX_POINT('',#504824); #162416=VERTEX_POINT('',#504826); #162417=VERTEX_POINT('',#504830); #162418=VERTEX_POINT('',#504832); #162419=VERTEX_POINT('',#504836); #162420=VERTEX_POINT('',#504838); #162421=VERTEX_POINT('',#504842); #162422=VERTEX_POINT('',#504844); #162423=VERTEX_POINT('',#504848); #162424=VERTEX_POINT('',#504850); #162425=VERTEX_POINT('',#504854); #162426=VERTEX_POINT('',#504856); #162427=VERTEX_POINT('',#504860); #162428=VERTEX_POINT('',#504862); #162429=VERTEX_POINT('',#504866); #162430=VERTEX_POINT('',#504868); #162431=VERTEX_POINT('',#504872); #162432=VERTEX_POINT('',#504874); #162433=VERTEX_POINT('',#504878); #162434=VERTEX_POINT('',#504880); #162435=VERTEX_POINT('',#504884); #162436=VERTEX_POINT('',#504886); #162437=VERTEX_POINT('',#504890); #162438=VERTEX_POINT('',#504892); #162439=VERTEX_POINT('',#504896); #162440=VERTEX_POINT('',#504898); #162441=VERTEX_POINT('',#504902); #162442=VERTEX_POINT('',#504904); #162443=VERTEX_POINT('',#504908); #162444=VERTEX_POINT('',#504910); #162445=VERTEX_POINT('',#504914); #162446=VERTEX_POINT('',#504916); #162447=VERTEX_POINT('',#504920); #162448=VERTEX_POINT('',#504922); #162449=VERTEX_POINT('',#504926); #162450=VERTEX_POINT('',#504928); #162451=VERTEX_POINT('',#504932); #162452=VERTEX_POINT('',#504934); #162453=VERTEX_POINT('',#504938); #162454=VERTEX_POINT('',#504940); #162455=VERTEX_POINT('',#504944); #162456=VERTEX_POINT('',#504946); #162457=VERTEX_POINT('',#504950); #162458=VERTEX_POINT('',#504952); #162459=VERTEX_POINT('',#504956); #162460=VERTEX_POINT('',#504958); #162461=VERTEX_POINT('',#504962); #162462=VERTEX_POINT('',#504964); #162463=VERTEX_POINT('',#504968); #162464=VERTEX_POINT('',#504970); #162465=VERTEX_POINT('',#504974); #162466=VERTEX_POINT('',#504976); #162467=VERTEX_POINT('',#504980); #162468=VERTEX_POINT('',#504982); #162469=VERTEX_POINT('',#504986); #162470=VERTEX_POINT('',#504988); #162471=VERTEX_POINT('',#504992); #162472=VERTEX_POINT('',#504994); #162473=VERTEX_POINT('',#504998); #162474=VERTEX_POINT('',#505000); #162475=VERTEX_POINT('',#505004); #162476=VERTEX_POINT('',#505006); #162477=VERTEX_POINT('',#505010); #162478=VERTEX_POINT('',#505012); #162479=VERTEX_POINT('',#505016); #162480=VERTEX_POINT('',#505018); #162481=VERTEX_POINT('',#505022); #162482=VERTEX_POINT('',#505024); #162483=VERTEX_POINT('',#505028); #162484=VERTEX_POINT('',#505030); #162485=VERTEX_POINT('',#505034); #162486=VERTEX_POINT('',#505036); #162487=VERTEX_POINT('',#505040); #162488=VERTEX_POINT('',#505042); #162489=VERTEX_POINT('',#505046); #162490=VERTEX_POINT('',#505048); #162491=VERTEX_POINT('',#505052); #162492=VERTEX_POINT('',#505054); #162493=VERTEX_POINT('',#505058); #162494=VERTEX_POINT('',#505060); #162495=VERTEX_POINT('',#505064); #162496=VERTEX_POINT('',#505066); #162497=VERTEX_POINT('',#505070); #162498=VERTEX_POINT('',#505072); #162499=VERTEX_POINT('',#505076); #162500=VERTEX_POINT('',#505078); #162501=VERTEX_POINT('',#505082); #162502=VERTEX_POINT('',#505084); #162503=VERTEX_POINT('',#505088); #162504=VERTEX_POINT('',#505090); #162505=VERTEX_POINT('',#505094); #162506=VERTEX_POINT('',#505096); #162507=VERTEX_POINT('',#505100); #162508=VERTEX_POINT('',#505102); #162509=VERTEX_POINT('',#505106); #162510=VERTEX_POINT('',#505108); #162511=VERTEX_POINT('',#505112); #162512=VERTEX_POINT('',#505114); #162513=VERTEX_POINT('',#505118); #162514=VERTEX_POINT('',#505120); #162515=VERTEX_POINT('',#505124); #162516=VERTEX_POINT('',#505126); #162517=VERTEX_POINT('',#505130); #162518=VERTEX_POINT('',#505132); #162519=VERTEX_POINT('',#505136); #162520=VERTEX_POINT('',#505138); #162521=VERTEX_POINT('',#505147); #162522=VERTEX_POINT('',#505148); #162523=VERTEX_POINT('',#505150); #162524=VERTEX_POINT('',#505152); #162525=VERTEX_POINT('',#505156); #162526=VERTEX_POINT('',#505158); #162527=VERTEX_POINT('',#505162); #162528=VERTEX_POINT('',#505164); #162529=VERTEX_POINT('',#505171); #162530=VERTEX_POINT('',#505172); #162531=VERTEX_POINT('',#505174); #162532=VERTEX_POINT('',#505176); #162533=VERTEX_POINT('',#505180); #162534=VERTEX_POINT('',#505182); #162535=VERTEX_POINT('',#505186); #162536=VERTEX_POINT('',#505188); #162537=VERTEX_POINT('',#505192); #162538=VERTEX_POINT('',#505194); #162539=VERTEX_POINT('',#505198); #162540=VERTEX_POINT('',#505200); #162541=VERTEX_POINT('',#505204); #162542=VERTEX_POINT('',#505206); #162543=VERTEX_POINT('',#505210); #162544=VERTEX_POINT('',#505212); #162545=VERTEX_POINT('',#505216); #162546=VERTEX_POINT('',#505218); #162547=VERTEX_POINT('',#505222); #162548=VERTEX_POINT('',#505224); #162549=VERTEX_POINT('',#505228); #162550=VERTEX_POINT('',#505230); #162551=VERTEX_POINT('',#505234); #162552=VERTEX_POINT('',#505236); #162553=VERTEX_POINT('',#505240); #162554=VERTEX_POINT('',#505242); #162555=VERTEX_POINT('',#505246); #162556=VERTEX_POINT('',#505248); #162557=VERTEX_POINT('',#505252); #162558=VERTEX_POINT('',#505254); #162559=VERTEX_POINT('',#505258); #162560=VERTEX_POINT('',#505260); #162561=VERTEX_POINT('',#505264); #162562=VERTEX_POINT('',#505266); #162563=VERTEX_POINT('',#505270); #162564=VERTEX_POINT('',#505272); #162565=VERTEX_POINT('',#505276); #162566=VERTEX_POINT('',#505278); #162567=VERTEX_POINT('',#505282); #162568=VERTEX_POINT('',#505284); #162569=VERTEX_POINT('',#505288); #162570=VERTEX_POINT('',#505290); #162571=VERTEX_POINT('',#505294); #162572=VERTEX_POINT('',#505296); #162573=VERTEX_POINT('',#505300); #162574=VERTEX_POINT('',#505302); #162575=VERTEX_POINT('',#505306); #162576=VERTEX_POINT('',#505308); #162577=VERTEX_POINT('',#505312); #162578=VERTEX_POINT('',#505314); #162579=VERTEX_POINT('',#505318); #162580=VERTEX_POINT('',#505320); #162581=VERTEX_POINT('',#505324); #162582=VERTEX_POINT('',#505326); #162583=VERTEX_POINT('',#505330); #162584=VERTEX_POINT('',#505332); #162585=VERTEX_POINT('',#505336); #162586=VERTEX_POINT('',#505338); #162587=VERTEX_POINT('',#505342); #162588=VERTEX_POINT('',#505344); #162589=VERTEX_POINT('',#505348); #162590=VERTEX_POINT('',#505350); #162591=VERTEX_POINT('',#505354); #162592=VERTEX_POINT('',#505356); #162593=VERTEX_POINT('',#505360); #162594=VERTEX_POINT('',#505362); #162595=VERTEX_POINT('',#505366); #162596=VERTEX_POINT('',#505368); #162597=VERTEX_POINT('',#505372); #162598=VERTEX_POINT('',#505374); #162599=VERTEX_POINT('',#505378); #162600=VERTEX_POINT('',#505380); #162601=VERTEX_POINT('',#505384); #162602=VERTEX_POINT('',#505386); #162603=VERTEX_POINT('',#505390); #162604=VERTEX_POINT('',#505392); #162605=VERTEX_POINT('',#505396); #162606=VERTEX_POINT('',#505398); #162607=VERTEX_POINT('',#505402); #162608=VERTEX_POINT('',#505404); #162609=VERTEX_POINT('',#505408); #162610=VERTEX_POINT('',#505410); #162611=VERTEX_POINT('',#505414); #162612=VERTEX_POINT('',#505416); #162613=VERTEX_POINT('',#505420); #162614=VERTEX_POINT('',#505422); #162615=VERTEX_POINT('',#505426); #162616=VERTEX_POINT('',#505428); #162617=VERTEX_POINT('',#505432); #162618=VERTEX_POINT('',#505434); #162619=VERTEX_POINT('',#505438); #162620=VERTEX_POINT('',#505440); #162621=VERTEX_POINT('',#505444); #162622=VERTEX_POINT('',#505446); #162623=VERTEX_POINT('',#505450); #162624=VERTEX_POINT('',#505452); #162625=VERTEX_POINT('',#505456); #162626=VERTEX_POINT('',#505458); #162627=VERTEX_POINT('',#505462); #162628=VERTEX_POINT('',#505464); #162629=VERTEX_POINT('',#505468); #162630=VERTEX_POINT('',#505470); #162631=VERTEX_POINT('',#505474); #162632=VERTEX_POINT('',#505476); #162633=VERTEX_POINT('',#505480); #162634=VERTEX_POINT('',#505482); #162635=VERTEX_POINT('',#505486); #162636=VERTEX_POINT('',#505488); #162637=VERTEX_POINT('',#505492); #162638=VERTEX_POINT('',#505494); #162639=VERTEX_POINT('',#505498); #162640=VERTEX_POINT('',#505500); #162641=VERTEX_POINT('',#505504); #162642=VERTEX_POINT('',#505506); #162643=VERTEX_POINT('',#505510); #162644=VERTEX_POINT('',#505512); #162645=VERTEX_POINT('',#505516); #162646=VERTEX_POINT('',#505518); #162647=VERTEX_POINT('',#505522); #162648=VERTEX_POINT('',#505524); #162649=VERTEX_POINT('',#505528); #162650=VERTEX_POINT('',#505530); #162651=VERTEX_POINT('',#505534); #162652=VERTEX_POINT('',#505536); #162653=VERTEX_POINT('',#505540); #162654=VERTEX_POINT('',#505542); #162655=VERTEX_POINT('',#505546); #162656=VERTEX_POINT('',#505548); #162657=VERTEX_POINT('',#505552); #162658=VERTEX_POINT('',#505554); #162659=VERTEX_POINT('',#505558); #162660=VERTEX_POINT('',#505560); #162661=VERTEX_POINT('',#505564); #162662=VERTEX_POINT('',#505566); #162663=VERTEX_POINT('',#505570); #162664=VERTEX_POINT('',#505572); #162665=VERTEX_POINT('',#505576); #162666=VERTEX_POINT('',#505578); #162667=VERTEX_POINT('',#505582); #162668=VERTEX_POINT('',#505584); #162669=VERTEX_POINT('',#505588); #162670=VERTEX_POINT('',#505590); #162671=VERTEX_POINT('',#505594); #162672=VERTEX_POINT('',#505596); #162673=VERTEX_POINT('',#505605); #162674=VERTEX_POINT('',#505606); #162675=VERTEX_POINT('',#505608); #162676=VERTEX_POINT('',#505610); #162677=VERTEX_POINT('',#505614); #162678=VERTEX_POINT('',#505616); #162679=VERTEX_POINT('',#505620); #162680=VERTEX_POINT('',#505622); #162681=VERTEX_POINT('',#505629); #162682=VERTEX_POINT('',#505630); #162683=VERTEX_POINT('',#505632); #162684=VERTEX_POINT('',#505634); #162685=VERTEX_POINT('',#505638); #162686=VERTEX_POINT('',#505640); #162687=VERTEX_POINT('',#505644); #162688=VERTEX_POINT('',#505646); #162689=VERTEX_POINT('',#505650); #162690=VERTEX_POINT('',#505652); #162691=VERTEX_POINT('',#505656); #162692=VERTEX_POINT('',#505658); #162693=VERTEX_POINT('',#505662); #162694=VERTEX_POINT('',#505664); #162695=VERTEX_POINT('',#505668); #162696=VERTEX_POINT('',#505670); #162697=VERTEX_POINT('',#505674); #162698=VERTEX_POINT('',#505676); #162699=VERTEX_POINT('',#505680); #162700=VERTEX_POINT('',#505682); #162701=VERTEX_POINT('',#505686); #162702=VERTEX_POINT('',#505688); #162703=VERTEX_POINT('',#505692); #162704=VERTEX_POINT('',#505694); #162705=VERTEX_POINT('',#505698); #162706=VERTEX_POINT('',#505700); #162707=VERTEX_POINT('',#505704); #162708=VERTEX_POINT('',#505706); #162709=VERTEX_POINT('',#505710); #162710=VERTEX_POINT('',#505712); #162711=VERTEX_POINT('',#505716); #162712=VERTEX_POINT('',#505718); #162713=VERTEX_POINT('',#505722); #162714=VERTEX_POINT('',#505724); #162715=VERTEX_POINT('',#505728); #162716=VERTEX_POINT('',#505730); #162717=VERTEX_POINT('',#505734); #162718=VERTEX_POINT('',#505736); #162719=VERTEX_POINT('',#505740); #162720=VERTEX_POINT('',#505742); #162721=VERTEX_POINT('',#505746); #162722=VERTEX_POINT('',#505748); #162723=VERTEX_POINT('',#505752); #162724=VERTEX_POINT('',#505754); #162725=VERTEX_POINT('',#505758); #162726=VERTEX_POINT('',#505760); #162727=VERTEX_POINT('',#505764); #162728=VERTEX_POINT('',#505766); #162729=VERTEX_POINT('',#505770); #162730=VERTEX_POINT('',#505772); #162731=VERTEX_POINT('',#505776); #162732=VERTEX_POINT('',#505778); #162733=VERTEX_POINT('',#505782); #162734=VERTEX_POINT('',#505784); #162735=VERTEX_POINT('',#505788); #162736=VERTEX_POINT('',#505790); #162737=VERTEX_POINT('',#505794); #162738=VERTEX_POINT('',#505796); #162739=VERTEX_POINT('',#505800); #162740=VERTEX_POINT('',#505802); #162741=VERTEX_POINT('',#505806); #162742=VERTEX_POINT('',#505808); #162743=VERTEX_POINT('',#505812); #162744=VERTEX_POINT('',#505814); #162745=VERTEX_POINT('',#505818); #162746=VERTEX_POINT('',#505820); #162747=VERTEX_POINT('',#505824); #162748=VERTEX_POINT('',#505826); #162749=VERTEX_POINT('',#505830); #162750=VERTEX_POINT('',#505832); #162751=VERTEX_POINT('',#505836); #162752=VERTEX_POINT('',#505838); #162753=VERTEX_POINT('',#505842); #162754=VERTEX_POINT('',#505844); #162755=VERTEX_POINT('',#505848); #162756=VERTEX_POINT('',#505850); #162757=VERTEX_POINT('',#505854); #162758=VERTEX_POINT('',#505856); #162759=VERTEX_POINT('',#505860); #162760=VERTEX_POINT('',#505862); #162761=VERTEX_POINT('',#505866); #162762=VERTEX_POINT('',#505868); #162763=VERTEX_POINT('',#505872); #162764=VERTEX_POINT('',#505874); #162765=VERTEX_POINT('',#505878); #162766=VERTEX_POINT('',#505880); #162767=VERTEX_POINT('',#505884); #162768=VERTEX_POINT('',#505886); #162769=VERTEX_POINT('',#505890); #162770=VERTEX_POINT('',#505892); #162771=VERTEX_POINT('',#505896); #162772=VERTEX_POINT('',#505898); #162773=VERTEX_POINT('',#505902); #162774=VERTEX_POINT('',#505904); #162775=VERTEX_POINT('',#505908); #162776=VERTEX_POINT('',#505910); #162777=VERTEX_POINT('',#505914); #162778=VERTEX_POINT('',#505916); #162779=VERTEX_POINT('',#505920); #162780=VERTEX_POINT('',#505922); #162781=VERTEX_POINT('',#505926); #162782=VERTEX_POINT('',#505928); #162783=VERTEX_POINT('',#505932); #162784=VERTEX_POINT('',#505934); #162785=VERTEX_POINT('',#505938); #162786=VERTEX_POINT('',#505940); #162787=VERTEX_POINT('',#505944); #162788=VERTEX_POINT('',#505946); #162789=VERTEX_POINT('',#505950); #162790=VERTEX_POINT('',#505952); #162791=VERTEX_POINT('',#505956); #162792=VERTEX_POINT('',#505958); #162793=VERTEX_POINT('',#505967); #162794=VERTEX_POINT('',#505969); #162795=VERTEX_POINT('',#505973); #162796=VERTEX_POINT('',#505974); #162797=VERTEX_POINT('',#505976); #162798=VERTEX_POINT('',#505978); #162799=VERTEX_POINT('',#505982); #162800=VERTEX_POINT('',#505984); #162801=VERTEX_POINT('',#505988); #162802=VERTEX_POINT('',#505990); #162803=VERTEX_POINT('',#505999); #162804=VERTEX_POINT('',#506000); #162805=VERTEX_POINT('',#506002); #162806=VERTEX_POINT('',#506004); #162807=VERTEX_POINT('',#506008); #162808=VERTEX_POINT('',#506010); #162809=VERTEX_POINT('',#506014); #162810=VERTEX_POINT('',#506016); #162811=VERTEX_POINT('',#506023); #162812=VERTEX_POINT('',#506024); #162813=VERTEX_POINT('',#506026); #162814=VERTEX_POINT('',#506028); #162815=VERTEX_POINT('',#506032); #162816=VERTEX_POINT('',#506034); #162817=VERTEX_POINT('',#506038); #162818=VERTEX_POINT('',#506040); #162819=VERTEX_POINT('',#506044); #162820=VERTEX_POINT('',#506046); #162821=VERTEX_POINT('',#506050); #162822=VERTEX_POINT('',#506052); #162823=VERTEX_POINT('',#506056); #162824=VERTEX_POINT('',#506058); #162825=VERTEX_POINT('',#506062); #162826=VERTEX_POINT('',#506064); #162827=VERTEX_POINT('',#506068); #162828=VERTEX_POINT('',#506070); #162829=VERTEX_POINT('',#506074); #162830=VERTEX_POINT('',#506076); #162831=VERTEX_POINT('',#506080); #162832=VERTEX_POINT('',#506082); #162833=VERTEX_POINT('',#506086); #162834=VERTEX_POINT('',#506088); #162835=VERTEX_POINT('',#506092); #162836=VERTEX_POINT('',#506094); #162837=VERTEX_POINT('',#506098); #162838=VERTEX_POINT('',#506100); #162839=VERTEX_POINT('',#506104); #162840=VERTEX_POINT('',#506106); #162841=VERTEX_POINT('',#506110); #162842=VERTEX_POINT('',#506112); #162843=VERTEX_POINT('',#506116); #162844=VERTEX_POINT('',#506118); #162845=VERTEX_POINT('',#506122); #162846=VERTEX_POINT('',#506124); #162847=VERTEX_POINT('',#506128); #162848=VERTEX_POINT('',#506130); #162849=VERTEX_POINT('',#506134); #162850=VERTEX_POINT('',#506136); #162851=VERTEX_POINT('',#506140); #162852=VERTEX_POINT('',#506142); #162853=VERTEX_POINT('',#506146); #162854=VERTEX_POINT('',#506148); #162855=VERTEX_POINT('',#506152); #162856=VERTEX_POINT('',#506154); #162857=VERTEX_POINT('',#506158); #162858=VERTEX_POINT('',#506160); #162859=VERTEX_POINT('',#506164); #162860=VERTEX_POINT('',#506166); #162861=VERTEX_POINT('',#506170); #162862=VERTEX_POINT('',#506172); #162863=VERTEX_POINT('',#506176); #162864=VERTEX_POINT('',#506178); #162865=VERTEX_POINT('',#506182); #162866=VERTEX_POINT('',#506184); #162867=VERTEX_POINT('',#506188); #162868=VERTEX_POINT('',#506190); #162869=VERTEX_POINT('',#506194); #162870=VERTEX_POINT('',#506196); #162871=VERTEX_POINT('',#506200); #162872=VERTEX_POINT('',#506202); #162873=VERTEX_POINT('',#506206); #162874=VERTEX_POINT('',#506208); #162875=VERTEX_POINT('',#506212); #162876=VERTEX_POINT('',#506214); #162877=VERTEX_POINT('',#506218); #162878=VERTEX_POINT('',#506220); #162879=VERTEX_POINT('',#506224); #162880=VERTEX_POINT('',#506226); #162881=VERTEX_POINT('',#506230); #162882=VERTEX_POINT('',#506232); #162883=VERTEX_POINT('',#506236); #162884=VERTEX_POINT('',#506238); #162885=VERTEX_POINT('',#506242); #162886=VERTEX_POINT('',#506244); #162887=VERTEX_POINT('',#506248); #162888=VERTEX_POINT('',#506250); #162889=VERTEX_POINT('',#506254); #162890=VERTEX_POINT('',#506256); #162891=VERTEX_POINT('',#506260); #162892=VERTEX_POINT('',#506262); #162893=VERTEX_POINT('',#506266); #162894=VERTEX_POINT('',#506268); #162895=VERTEX_POINT('',#506272); #162896=VERTEX_POINT('',#506274); #162897=VERTEX_POINT('',#506278); #162898=VERTEX_POINT('',#506280); #162899=VERTEX_POINT('',#506284); #162900=VERTEX_POINT('',#506286); #162901=VERTEX_POINT('',#506290); #162902=VERTEX_POINT('',#506292); #162903=VERTEX_POINT('',#506296); #162904=VERTEX_POINT('',#506298); #162905=VERTEX_POINT('',#506302); #162906=VERTEX_POINT('',#506304); #162907=VERTEX_POINT('',#506308); #162908=VERTEX_POINT('',#506310); #162909=VERTEX_POINT('',#506314); #162910=VERTEX_POINT('',#506316); #162911=VERTEX_POINT('',#506320); #162912=VERTEX_POINT('',#506322); #162913=VERTEX_POINT('',#506326); #162914=VERTEX_POINT('',#506328); #162915=VERTEX_POINT('',#506332); #162916=VERTEX_POINT('',#506334); #162917=VERTEX_POINT('',#506338); #162918=VERTEX_POINT('',#506340); #162919=VERTEX_POINT('',#506344); #162920=VERTEX_POINT('',#506346); #162921=VERTEX_POINT('',#506350); #162922=VERTEX_POINT('',#506352); #162923=VERTEX_POINT('',#506361); #162924=VERTEX_POINT('',#506362); #162925=VERTEX_POINT('',#506364); #162926=VERTEX_POINT('',#506366); #162927=VERTEX_POINT('',#506370); #162928=VERTEX_POINT('',#506372); #162929=VERTEX_POINT('',#506376); #162930=VERTEX_POINT('',#506378); #162931=VERTEX_POINT('',#506385); #162932=VERTEX_POINT('',#506386); #162933=VERTEX_POINT('',#506388); #162934=VERTEX_POINT('',#506390); #162935=VERTEX_POINT('',#506394); #162936=VERTEX_POINT('',#506396); #162937=VERTEX_POINT('',#506400); #162938=VERTEX_POINT('',#506402); #162939=VERTEX_POINT('',#506406); #162940=VERTEX_POINT('',#506408); #162941=VERTEX_POINT('',#506412); #162942=VERTEX_POINT('',#506414); #162943=VERTEX_POINT('',#506418); #162944=VERTEX_POINT('',#506420); #162945=VERTEX_POINT('',#506424); #162946=VERTEX_POINT('',#506426); #162947=VERTEX_POINT('',#506430); #162948=VERTEX_POINT('',#506432); #162949=VERTEX_POINT('',#506436); #162950=VERTEX_POINT('',#506438); #162951=VERTEX_POINT('',#506442); #162952=VERTEX_POINT('',#506444); #162953=VERTEX_POINT('',#506448); #162954=VERTEX_POINT('',#506450); #162955=VERTEX_POINT('',#506454); #162956=VERTEX_POINT('',#506456); #162957=VERTEX_POINT('',#506460); #162958=VERTEX_POINT('',#506462); #162959=VERTEX_POINT('',#506466); #162960=VERTEX_POINT('',#506468); #162961=VERTEX_POINT('',#506472); #162962=VERTEX_POINT('',#506474); #162963=VERTEX_POINT('',#506478); #162964=VERTEX_POINT('',#506480); #162965=VERTEX_POINT('',#506484); #162966=VERTEX_POINT('',#506486); #162967=VERTEX_POINT('',#506490); #162968=VERTEX_POINT('',#506492); #162969=VERTEX_POINT('',#506496); #162970=VERTEX_POINT('',#506498); #162971=VERTEX_POINT('',#506502); #162972=VERTEX_POINT('',#506504); #162973=VERTEX_POINT('',#506508); #162974=VERTEX_POINT('',#506510); #162975=VERTEX_POINT('',#506514); #162976=VERTEX_POINT('',#506516); #162977=VERTEX_POINT('',#506520); #162978=VERTEX_POINT('',#506522); #162979=VERTEX_POINT('',#506526); #162980=VERTEX_POINT('',#506528); #162981=VERTEX_POINT('',#506532); #162982=VERTEX_POINT('',#506534); #162983=VERTEX_POINT('',#506538); #162984=VERTEX_POINT('',#506540); #162985=VERTEX_POINT('',#506544); #162986=VERTEX_POINT('',#506546); #162987=VERTEX_POINT('',#506550); #162988=VERTEX_POINT('',#506552); #162989=VERTEX_POINT('',#506556); #162990=VERTEX_POINT('',#506558); #162991=VERTEX_POINT('',#506562); #162992=VERTEX_POINT('',#506564); #162993=VERTEX_POINT('',#506568); #162994=VERTEX_POINT('',#506570); #162995=VERTEX_POINT('',#506574); #162996=VERTEX_POINT('',#506576); #162997=VERTEX_POINT('',#506580); #162998=VERTEX_POINT('',#506582); #162999=VERTEX_POINT('',#506586); #163000=VERTEX_POINT('',#506588); #163001=VERTEX_POINT('',#506592); #163002=VERTEX_POINT('',#506594); #163003=VERTEX_POINT('',#506598); #163004=VERTEX_POINT('',#506600); #163005=VERTEX_POINT('',#506604); #163006=VERTEX_POINT('',#506606); #163007=VERTEX_POINT('',#506610); #163008=VERTEX_POINT('',#506612); #163009=VERTEX_POINT('',#506616); #163010=VERTEX_POINT('',#506618); #163011=VERTEX_POINT('',#506622); #163012=VERTEX_POINT('',#506624); #163013=VERTEX_POINT('',#506628); #163014=VERTEX_POINT('',#506630); #163015=VERTEX_POINT('',#506634); #163016=VERTEX_POINT('',#506636); #163017=VERTEX_POINT('',#506640); #163018=VERTEX_POINT('',#506642); #163019=VERTEX_POINT('',#506646); #163020=VERTEX_POINT('',#506648); #163021=VERTEX_POINT('',#506652); #163022=VERTEX_POINT('',#506654); #163023=VERTEX_POINT('',#506658); #163024=VERTEX_POINT('',#506660); #163025=VERTEX_POINT('',#506664); #163026=VERTEX_POINT('',#506666); #163027=VERTEX_POINT('',#506670); #163028=VERTEX_POINT('',#506672); #163029=VERTEX_POINT('',#506676); #163030=VERTEX_POINT('',#506678); #163031=VERTEX_POINT('',#506682); #163032=VERTEX_POINT('',#506684); #163033=VERTEX_POINT('',#506688); #163034=VERTEX_POINT('',#506690); #163035=VERTEX_POINT('',#506694); #163036=VERTEX_POINT('',#506696); #163037=VERTEX_POINT('',#506700); #163038=VERTEX_POINT('',#506702); #163039=VERTEX_POINT('',#506706); #163040=VERTEX_POINT('',#506708); #163041=VERTEX_POINT('',#506712); #163042=VERTEX_POINT('',#506714); #163043=VERTEX_POINT('',#506718); #163044=VERTEX_POINT('',#506720); #163045=VERTEX_POINT('',#506724); #163046=VERTEX_POINT('',#506726); #163047=VERTEX_POINT('',#506730); #163048=VERTEX_POINT('',#506732); #163049=VERTEX_POINT('',#506736); #163050=VERTEX_POINT('',#506738); #163051=VERTEX_POINT('',#506742); #163052=VERTEX_POINT('',#506744); #163053=VERTEX_POINT('',#506748); #163054=VERTEX_POINT('',#506750); #163055=VERTEX_POINT('',#506754); #163056=VERTEX_POINT('',#506756); #163057=VERTEX_POINT('',#506760); #163058=VERTEX_POINT('',#506762); #163059=VERTEX_POINT('',#506766); #163060=VERTEX_POINT('',#506768); #163061=VERTEX_POINT('',#506772); #163062=VERTEX_POINT('',#506774); #163063=VERTEX_POINT('',#506778); #163064=VERTEX_POINT('',#506780); #163065=VERTEX_POINT('',#506784); #163066=VERTEX_POINT('',#506786); #163067=VERTEX_POINT('',#506790); #163068=VERTEX_POINT('',#506792); #163069=VERTEX_POINT('',#506796); #163070=VERTEX_POINT('',#506798); #163071=VERTEX_POINT('',#506802); #163072=VERTEX_POINT('',#506804); #163073=VERTEX_POINT('',#506808); #163074=VERTEX_POINT('',#506810); #163075=VERTEX_POINT('',#506819); #163076=VERTEX_POINT('',#506821); #163077=VERTEX_POINT('',#506825); #163078=VERTEX_POINT('',#506826); #163079=VERTEX_POINT('',#506828); #163080=VERTEX_POINT('',#506830); #163081=VERTEX_POINT('',#506834); #163082=VERTEX_POINT('',#506836); #163083=VERTEX_POINT('',#506845); #163084=VERTEX_POINT('',#506847); #163085=VERTEX_POINT('',#506851); #163086=VERTEX_POINT('',#506852); #163087=VERTEX_POINT('',#506854); #163088=VERTEX_POINT('',#506856); #163089=VERTEX_POINT('',#506860); #163090=VERTEX_POINT('',#506862); #163091=VERTEX_POINT('',#506871); #163092=VERTEX_POINT('',#506872); #163093=VERTEX_POINT('',#506874); #163094=VERTEX_POINT('',#506876); #163095=VERTEX_POINT('',#506880); #163096=VERTEX_POINT('',#506882); #163097=VERTEX_POINT('',#506886); #163098=VERTEX_POINT('',#506888); #163099=VERTEX_POINT('',#506895); #163100=VERTEX_POINT('',#506896); #163101=VERTEX_POINT('',#506898); #163102=VERTEX_POINT('',#506900); #163103=VERTEX_POINT('',#506904); #163104=VERTEX_POINT('',#506906); #163105=VERTEX_POINT('',#506910); #163106=VERTEX_POINT('',#506912); #163107=VERTEX_POINT('',#506916); #163108=VERTEX_POINT('',#506918); #163109=VERTEX_POINT('',#506922); #163110=VERTEX_POINT('',#506924); #163111=VERTEX_POINT('',#506928); #163112=VERTEX_POINT('',#506930); #163113=VERTEX_POINT('',#506934); #163114=VERTEX_POINT('',#506936); #163115=VERTEX_POINT('',#506940); #163116=VERTEX_POINT('',#506942); #163117=VERTEX_POINT('',#506946); #163118=VERTEX_POINT('',#506948); #163119=VERTEX_POINT('',#506952); #163120=VERTEX_POINT('',#506954); #163121=VERTEX_POINT('',#506958); #163122=VERTEX_POINT('',#506960); #163123=VERTEX_POINT('',#506964); #163124=VERTEX_POINT('',#506966); #163125=VERTEX_POINT('',#506970); #163126=VERTEX_POINT('',#506972); #163127=VERTEX_POINT('',#506976); #163128=VERTEX_POINT('',#506978); #163129=VERTEX_POINT('',#506982); #163130=VERTEX_POINT('',#506984); #163131=VERTEX_POINT('',#506988); #163132=VERTEX_POINT('',#506990); #163133=VERTEX_POINT('',#506994); #163134=VERTEX_POINT('',#506996); #163135=VERTEX_POINT('',#507000); #163136=VERTEX_POINT('',#507002); #163137=VERTEX_POINT('',#507006); #163138=VERTEX_POINT('',#507008); #163139=VERTEX_POINT('',#507012); #163140=VERTEX_POINT('',#507014); #163141=VERTEX_POINT('',#507018); #163142=VERTEX_POINT('',#507020); #163143=VERTEX_POINT('',#507024); #163144=VERTEX_POINT('',#507026); #163145=VERTEX_POINT('',#507030); #163146=VERTEX_POINT('',#507032); #163147=VERTEX_POINT('',#507036); #163148=VERTEX_POINT('',#507038); #163149=VERTEX_POINT('',#507042); #163150=VERTEX_POINT('',#507044); #163151=VERTEX_POINT('',#507048); #163152=VERTEX_POINT('',#507050); #163153=VERTEX_POINT('',#507054); #163154=VERTEX_POINT('',#507056); #163155=VERTEX_POINT('',#507060); #163156=VERTEX_POINT('',#507062); #163157=VERTEX_POINT('',#507066); #163158=VERTEX_POINT('',#507068); #163159=VERTEX_POINT('',#507072); #163160=VERTEX_POINT('',#507074); #163161=VERTEX_POINT('',#507078); #163162=VERTEX_POINT('',#507080); #163163=VERTEX_POINT('',#507084); #163164=VERTEX_POINT('',#507086); #163165=VERTEX_POINT('',#507090); #163166=VERTEX_POINT('',#507092); #163167=VERTEX_POINT('',#507096); #163168=VERTEX_POINT('',#507098); #163169=VERTEX_POINT('',#507102); #163170=VERTEX_POINT('',#507104); #163171=VERTEX_POINT('',#507108); #163172=VERTEX_POINT('',#507110); #163173=VERTEX_POINT('',#507114); #163174=VERTEX_POINT('',#507116); #163175=VERTEX_POINT('',#507120); #163176=VERTEX_POINT('',#507122); #163177=VERTEX_POINT('',#507126); #163178=VERTEX_POINT('',#507128); #163179=VERTEX_POINT('',#507132); #163180=VERTEX_POINT('',#507134); #163181=VERTEX_POINT('',#507138); #163182=VERTEX_POINT('',#507140); #163183=VERTEX_POINT('',#507144); #163184=VERTEX_POINT('',#507146); #163185=VERTEX_POINT('',#507150); #163186=VERTEX_POINT('',#507152); #163187=VERTEX_POINT('',#507156); #163188=VERTEX_POINT('',#507158); #163189=VERTEX_POINT('',#507162); #163190=VERTEX_POINT('',#507164); #163191=VERTEX_POINT('',#507168); #163192=VERTEX_POINT('',#507170); #163193=VERTEX_POINT('',#507174); #163194=VERTEX_POINT('',#507176); #163195=VERTEX_POINT('',#507180); #163196=VERTEX_POINT('',#507182); #163197=VERTEX_POINT('',#507186); #163198=VERTEX_POINT('',#507188); #163199=VERTEX_POINT('',#507192); #163200=VERTEX_POINT('',#507194); #163201=VERTEX_POINT('',#507198); #163202=VERTEX_POINT('',#507200); #163203=VERTEX_POINT('',#507204); #163204=VERTEX_POINT('',#507206); #163205=VERTEX_POINT('',#507210); #163206=VERTEX_POINT('',#507212); #163207=VERTEX_POINT('',#507216); #163208=VERTEX_POINT('',#507218); #163209=VERTEX_POINT('',#507222); #163210=VERTEX_POINT('',#507224); #163211=VERTEX_POINT('',#507233); #163212=VERTEX_POINT('',#507235); #163213=VERTEX_POINT('',#507239); #163214=VERTEX_POINT('',#507240); #163215=VERTEX_POINT('',#507242); #163216=VERTEX_POINT('',#507244); #163217=VERTEX_POINT('',#507248); #163218=VERTEX_POINT('',#507250); #163219=VERTEX_POINT('',#507259); #163220=VERTEX_POINT('',#507261); #163221=VERTEX_POINT('',#507265); #163222=VERTEX_POINT('',#507266); #163223=VERTEX_POINT('',#507268); #163224=VERTEX_POINT('',#507270); #163225=VERTEX_POINT('',#507274); #163226=VERTEX_POINT('',#507276); #163227=VERTEX_POINT('',#507285); #163228=VERTEX_POINT('',#507287); #163229=VERTEX_POINT('',#507291); #163230=VERTEX_POINT('',#507292); #163231=VERTEX_POINT('',#507294); #163232=VERTEX_POINT('',#507296); #163233=VERTEX_POINT('',#507300); #163234=VERTEX_POINT('',#507302); #163235=VERTEX_POINT('',#507311); #163236=VERTEX_POINT('',#507313); #163237=VERTEX_POINT('',#507317); #163238=VERTEX_POINT('',#507318); #163239=VERTEX_POINT('',#507320); #163240=VERTEX_POINT('',#507322); #163241=VERTEX_POINT('',#507326); #163242=VERTEX_POINT('',#507328); #163243=VERTEX_POINT('',#507332); #163244=VERTEX_POINT('',#507334); #163245=VERTEX_POINT('',#507343); #163246=VERTEX_POINT('',#507345); #163247=VERTEX_POINT('',#507349); #163248=VERTEX_POINT('',#507350); #163249=VERTEX_POINT('',#507352); #163250=VERTEX_POINT('',#507354); #163251=VERTEX_POINT('',#507358); #163252=VERTEX_POINT('',#507360); #163253=VERTEX_POINT('',#507369); #163254=VERTEX_POINT('',#507370); #163255=VERTEX_POINT('',#507372); #163256=VERTEX_POINT('',#507374); #163257=VERTEX_POINT('',#507378); #163258=VERTEX_POINT('',#507380); #163259=VERTEX_POINT('',#507384); #163260=VERTEX_POINT('',#507386); #163261=VERTEX_POINT('',#507395); #163262=VERTEX_POINT('',#507396); #163263=VERTEX_POINT('',#507398); #163264=VERTEX_POINT('',#507400); #163265=VERTEX_POINT('',#507404); #163266=VERTEX_POINT('',#507406); #163267=VERTEX_POINT('',#507410); #163268=VERTEX_POINT('',#507412); #163269=VERTEX_POINT('',#507421); #163270=VERTEX_POINT('',#507422); #163271=VERTEX_POINT('',#507424); #163272=VERTEX_POINT('',#507426); #163273=VERTEX_POINT('',#507430); #163274=VERTEX_POINT('',#507432); #163275=VERTEX_POINT('',#507436); #163276=VERTEX_POINT('',#507438); #163277=VERTEX_POINT('',#507447); #163278=VERTEX_POINT('',#507448); #163279=VERTEX_POINT('',#507450); #163280=VERTEX_POINT('',#507452); #163281=VERTEX_POINT('',#507456); #163282=VERTEX_POINT('',#507458); #163283=VERTEX_POINT('',#507462); #163284=VERTEX_POINT('',#507464); #163285=VERTEX_POINT('',#507473); #163286=VERTEX_POINT('',#507474); #163287=VERTEX_POINT('',#507476); #163288=VERTEX_POINT('',#507478); #163289=VERTEX_POINT('',#507482); #163290=VERTEX_POINT('',#507484); #163291=VERTEX_POINT('',#507488); #163292=VERTEX_POINT('',#507490); #163293=VERTEX_POINT('',#507499); #163294=VERTEX_POINT('',#507500); #163295=VERTEX_POINT('',#507502); #163296=VERTEX_POINT('',#507504); #163297=VERTEX_POINT('',#507508); #163298=VERTEX_POINT('',#507510); #163299=VERTEX_POINT('',#507514); #163300=VERTEX_POINT('',#507516); #163301=VERTEX_POINT('',#507525); #163302=VERTEX_POINT('',#507526); #163303=VERTEX_POINT('',#507528); #163304=VERTEX_POINT('',#507530); #163305=VERTEX_POINT('',#507534); #163306=VERTEX_POINT('',#507536); #163307=VERTEX_POINT('',#507540); #163308=VERTEX_POINT('',#507542); #163309=VERTEX_POINT('',#507551); #163310=VERTEX_POINT('',#507552); #163311=VERTEX_POINT('',#507554); #163312=VERTEX_POINT('',#507556); #163313=VERTEX_POINT('',#507560); #163314=VERTEX_POINT('',#507562); #163315=VERTEX_POINT('',#507566); #163316=VERTEX_POINT('',#507568); #163317=VERTEX_POINT('',#507577); #163318=VERTEX_POINT('',#507578); #163319=VERTEX_POINT('',#507580); #163320=VERTEX_POINT('',#507582); #163321=VERTEX_POINT('',#507586); #163322=VERTEX_POINT('',#507588); #163323=VERTEX_POINT('',#507592); #163324=VERTEX_POINT('',#507594); #163325=VERTEX_POINT('',#507603); #163326=VERTEX_POINT('',#507604); #163327=VERTEX_POINT('',#507606); #163328=VERTEX_POINT('',#507608); #163329=VERTEX_POINT('',#507612); #163330=VERTEX_POINT('',#507614); #163331=VERTEX_POINT('',#507618); #163332=VERTEX_POINT('',#507620); #163333=VERTEX_POINT('',#507629); #163334=VERTEX_POINT('',#507630); #163335=VERTEX_POINT('',#507632); #163336=VERTEX_POINT('',#507634); #163337=VERTEX_POINT('',#507638); #163338=VERTEX_POINT('',#507640); #163339=VERTEX_POINT('',#507644); #163340=VERTEX_POINT('',#507646); #163341=VERTEX_POINT('',#507655); #163342=VERTEX_POINT('',#507656); #163343=VERTEX_POINT('',#507658); #163344=VERTEX_POINT('',#507660); #163345=VERTEX_POINT('',#507664); #163346=VERTEX_POINT('',#507666); #163347=VERTEX_POINT('',#507670); #163348=VERTEX_POINT('',#507672); #163349=VERTEX_POINT('',#507681); #163350=VERTEX_POINT('',#507682); #163351=VERTEX_POINT('',#507684); #163352=VERTEX_POINT('',#507686); #163353=VERTEX_POINT('',#507690); #163354=VERTEX_POINT('',#507692); #163355=VERTEX_POINT('',#507696); #163356=VERTEX_POINT('',#507698); #163357=VERTEX_POINT('',#507707); #163358=VERTEX_POINT('',#507708); #163359=VERTEX_POINT('',#507710); #163360=VERTEX_POINT('',#507712); #163361=VERTEX_POINT('',#507716); #163362=VERTEX_POINT('',#507718); #163363=VERTEX_POINT('',#507722); #163364=VERTEX_POINT('',#507724); #163365=VERTEX_POINT('',#507733); #163366=VERTEX_POINT('',#507734); #163367=VERTEX_POINT('',#507736); #163368=VERTEX_POINT('',#507738); #163369=VERTEX_POINT('',#507742); #163370=VERTEX_POINT('',#507744); #163371=VERTEX_POINT('',#507748); #163372=VERTEX_POINT('',#507750); #163373=VERTEX_POINT('',#507759); #163374=VERTEX_POINT('',#507760); #163375=VERTEX_POINT('',#507762); #163376=VERTEX_POINT('',#507764); #163377=VERTEX_POINT('',#507768); #163378=VERTEX_POINT('',#507770); #163379=VERTEX_POINT('',#507774); #163380=VERTEX_POINT('',#507776); #163381=VERTEX_POINT('',#507785); #163382=VERTEX_POINT('',#507786); #163383=VERTEX_POINT('',#507788); #163384=VERTEX_POINT('',#507790); #163385=VERTEX_POINT('',#507794); #163386=VERTEX_POINT('',#507796); #163387=VERTEX_POINT('',#507800); #163388=VERTEX_POINT('',#507802); #163389=VERTEX_POINT('',#507811); #163390=VERTEX_POINT('',#507812); #163391=VERTEX_POINT('',#507814); #163392=VERTEX_POINT('',#507816); #163393=VERTEX_POINT('',#507820); #163394=VERTEX_POINT('',#507822); #163395=VERTEX_POINT('',#507826); #163396=VERTEX_POINT('',#507828); #163397=VERTEX_POINT('',#507837); #163398=VERTEX_POINT('',#507838); #163399=VERTEX_POINT('',#507840); #163400=VERTEX_POINT('',#507842); #163401=VERTEX_POINT('',#507846); #163402=VERTEX_POINT('',#507848); #163403=VERTEX_POINT('',#507852); #163404=VERTEX_POINT('',#507854); #163405=VERTEX_POINT('',#507863); #163406=VERTEX_POINT('',#507864); #163407=VERTEX_POINT('',#507866); #163408=VERTEX_POINT('',#507868); #163409=VERTEX_POINT('',#507872); #163410=VERTEX_POINT('',#507874); #163411=VERTEX_POINT('',#507878); #163412=VERTEX_POINT('',#507880); #163413=VERTEX_POINT('',#507889); #163414=VERTEX_POINT('',#507890); #163415=VERTEX_POINT('',#507892); #163416=VERTEX_POINT('',#507894); #163417=VERTEX_POINT('',#507898); #163418=VERTEX_POINT('',#507900); #163419=VERTEX_POINT('',#507904); #163420=VERTEX_POINT('',#507906); #163421=VERTEX_POINT('',#507915); #163422=VERTEX_POINT('',#507916); #163423=VERTEX_POINT('',#507918); #163424=VERTEX_POINT('',#507920); #163425=VERTEX_POINT('',#507924); #163426=VERTEX_POINT('',#507926); #163427=VERTEX_POINT('',#507930); #163428=VERTEX_POINT('',#507932); #163429=VERTEX_POINT('',#507941); #163430=VERTEX_POINT('',#507942); #163431=VERTEX_POINT('',#507944); #163432=VERTEX_POINT('',#507946); #163433=VERTEX_POINT('',#507950); #163434=VERTEX_POINT('',#507952); #163435=VERTEX_POINT('',#507956); #163436=VERTEX_POINT('',#507958); #163437=VERTEX_POINT('',#507967); #163438=VERTEX_POINT('',#507969); #163439=VERTEX_POINT('',#507973); #163440=VERTEX_POINT('',#507975); #163441=VERTEX_POINT('',#507979); #163442=VERTEX_POINT('',#507980); #163443=VERTEX_POINT('',#507982); #163444=VERTEX_POINT('',#507984); #163445=VERTEX_POINT('',#507988); #163446=VERTEX_POINT('',#507990); #163447=VERTEX_POINT('',#507994); #163448=VERTEX_POINT('',#507996); #163449=VERTEX_POINT('',#508005); #163450=VERTEX_POINT('',#508006); #163451=VERTEX_POINT('',#508008); #163452=VERTEX_POINT('',#508010); #163453=VERTEX_POINT('',#508014); #163454=VERTEX_POINT('',#508016); #163455=VERTEX_POINT('',#508020); #163456=VERTEX_POINT('',#508022); #163457=VERTEX_POINT('',#508031); #163458=VERTEX_POINT('',#508033); #163459=VERTEX_POINT('',#508037); #163460=VERTEX_POINT('',#508039); #163461=VERTEX_POINT('',#508043); #163462=VERTEX_POINT('',#508044); #163463=VERTEX_POINT('',#508046); #163464=VERTEX_POINT('',#508048); #163465=VERTEX_POINT('',#508052); #163466=VERTEX_POINT('',#508054); #163467=VERTEX_POINT('',#508058); #163468=VERTEX_POINT('',#508060); #163469=VERTEX_POINT('',#508069); #163470=VERTEX_POINT('',#508070); #163471=VERTEX_POINT('',#508072); #163472=VERTEX_POINT('',#508074); #163473=VERTEX_POINT('',#508078); #163474=VERTEX_POINT('',#508080); #163475=VERTEX_POINT('',#508084); #163476=VERTEX_POINT('',#508086); #163477=VERTEX_POINT('',#508095); #163478=VERTEX_POINT('',#508096); #163479=VERTEX_POINT('',#508098); #163480=VERTEX_POINT('',#508100); #163481=VERTEX_POINT('',#508104); #163482=VERTEX_POINT('',#508106); #163483=VERTEX_POINT('',#508110); #163484=VERTEX_POINT('',#508112); #163485=VERTEX_POINT('',#508121); #163486=VERTEX_POINT('',#508122); #163487=VERTEX_POINT('',#508124); #163488=VERTEX_POINT('',#508126); #163489=VERTEX_POINT('',#508130); #163490=VERTEX_POINT('',#508132); #163491=VERTEX_POINT('',#508136); #163492=VERTEX_POINT('',#508138); #163493=VERTEX_POINT('',#508147); #163494=VERTEX_POINT('',#508148); #163495=VERTEX_POINT('',#508150); #163496=VERTEX_POINT('',#508152); #163497=VERTEX_POINT('',#508156); #163498=VERTEX_POINT('',#508158); #163499=VERTEX_POINT('',#508162); #163500=VERTEX_POINT('',#508164); #163501=VERTEX_POINT('',#508173); #163502=VERTEX_POINT('',#508174); #163503=VERTEX_POINT('',#508176); #163504=VERTEX_POINT('',#508178); #163505=VERTEX_POINT('',#508182); #163506=VERTEX_POINT('',#508184); #163507=VERTEX_POINT('',#508188); #163508=VERTEX_POINT('',#508190); #163509=VERTEX_POINT('',#508199); #163510=VERTEX_POINT('',#508200); #163511=VERTEX_POINT('',#508202); #163512=VERTEX_POINT('',#508204); #163513=VERTEX_POINT('',#508208); #163514=VERTEX_POINT('',#508210); #163515=VERTEX_POINT('',#508214); #163516=VERTEX_POINT('',#508216); #163517=VERTEX_POINT('',#508225); #163518=VERTEX_POINT('',#508226); #163519=VERTEX_POINT('',#508228); #163520=VERTEX_POINT('',#508230); #163521=VERTEX_POINT('',#508234); #163522=VERTEX_POINT('',#508236); #163523=VERTEX_POINT('',#508240); #163524=VERTEX_POINT('',#508242); #163525=VERTEX_POINT('',#508251); #163526=VERTEX_POINT('',#508252); #163527=VERTEX_POINT('',#508254); #163528=VERTEX_POINT('',#508256); #163529=VERTEX_POINT('',#508260); #163530=VERTEX_POINT('',#508262); #163531=VERTEX_POINT('',#508266); #163532=VERTEX_POINT('',#508268); #163533=VERTEX_POINT('',#508277); #163534=VERTEX_POINT('',#508278); #163535=VERTEX_POINT('',#508280); #163536=VERTEX_POINT('',#508282); #163537=VERTEX_POINT('',#508286); #163538=VERTEX_POINT('',#508288); #163539=VERTEX_POINT('',#508292); #163540=VERTEX_POINT('',#508294); #163541=VERTEX_POINT('',#508303); #163542=VERTEX_POINT('',#508304); #163543=VERTEX_POINT('',#508306); #163544=VERTEX_POINT('',#508308); #163545=VERTEX_POINT('',#508312); #163546=VERTEX_POINT('',#508314); #163547=VERTEX_POINT('',#508318); #163548=VERTEX_POINT('',#508320); #163549=VERTEX_POINT('',#508329); #163550=VERTEX_POINT('',#508330); #163551=VERTEX_POINT('',#508332); #163552=VERTEX_POINT('',#508334); #163553=VERTEX_POINT('',#508338); #163554=VERTEX_POINT('',#508340); #163555=VERTEX_POINT('',#508344); #163556=VERTEX_POINT('',#508346); #163557=VERTEX_POINT('',#508355); #163558=VERTEX_POINT('',#508356); #163559=VERTEX_POINT('',#508358); #163560=VERTEX_POINT('',#508360); #163561=VERTEX_POINT('',#508364); #163562=VERTEX_POINT('',#508366); #163563=VERTEX_POINT('',#508370); #163564=VERTEX_POINT('',#508372); #163565=VERTEX_POINT('',#508381); #163566=VERTEX_POINT('',#508382); #163567=VERTEX_POINT('',#508384); #163568=VERTEX_POINT('',#508386); #163569=VERTEX_POINT('',#508390); #163570=VERTEX_POINT('',#508392); #163571=VERTEX_POINT('',#508396); #163572=VERTEX_POINT('',#508398); #163573=VERTEX_POINT('',#508407); #163574=VERTEX_POINT('',#508408); #163575=VERTEX_POINT('',#508410); #163576=VERTEX_POINT('',#508412); #163577=VERTEX_POINT('',#508416); #163578=VERTEX_POINT('',#508418); #163579=VERTEX_POINT('',#508422); #163580=VERTEX_POINT('',#508424); #163581=VERTEX_POINT('',#508433); #163582=VERTEX_POINT('',#508434); #163583=VERTEX_POINT('',#508436); #163584=VERTEX_POINT('',#508438); #163585=VERTEX_POINT('',#508442); #163586=VERTEX_POINT('',#508444); #163587=VERTEX_POINT('',#508448); #163588=VERTEX_POINT('',#508450); #163589=VERTEX_POINT('',#508459); #163590=VERTEX_POINT('',#508460); #163591=VERTEX_POINT('',#508462); #163592=VERTEX_POINT('',#508464); #163593=VERTEX_POINT('',#508468); #163594=VERTEX_POINT('',#508470); #163595=VERTEX_POINT('',#508474); #163596=VERTEX_POINT('',#508476); #163597=VERTEX_POINT('',#508485); #163598=VERTEX_POINT('',#508487); #163599=VERTEX_POINT('',#508491); #163600=VERTEX_POINT('',#508492); #163601=VERTEX_POINT('',#508494); #163602=VERTEX_POINT('',#508496); #163603=VERTEX_POINT('',#508500); #163604=VERTEX_POINT('',#508502); #163605=VERTEX_POINT('',#508506); #163606=VERTEX_POINT('',#508508); #163607=VERTEX_POINT('',#508517); #163608=VERTEX_POINT('',#508518); #163609=VERTEX_POINT('',#508520); #163610=VERTEX_POINT('',#508522); #163611=VERTEX_POINT('',#508526); #163612=VERTEX_POINT('',#508528); #163613=VERTEX_POINT('',#508532); #163614=VERTEX_POINT('',#508534); #163615=VERTEX_POINT('',#508543); #163616=VERTEX_POINT('',#508544); #163617=VERTEX_POINT('',#508546); #163618=VERTEX_POINT('',#508548); #163619=VERTEX_POINT('',#508552); #163620=VERTEX_POINT('',#508554); #163621=VERTEX_POINT('',#508558); #163622=VERTEX_POINT('',#508560); #163623=VERTEX_POINT('',#508569); #163624=VERTEX_POINT('',#508570); #163625=VERTEX_POINT('',#508572); #163626=VERTEX_POINT('',#508574); #163627=VERTEX_POINT('',#508578); #163628=VERTEX_POINT('',#508580); #163629=VERTEX_POINT('',#508584); #163630=VERTEX_POINT('',#508586); #163631=VERTEX_POINT('',#508595); #163632=VERTEX_POINT('',#508596); #163633=VERTEX_POINT('',#508598); #163634=VERTEX_POINT('',#508600); #163635=VERTEX_POINT('',#508604); #163636=VERTEX_POINT('',#508606); #163637=VERTEX_POINT('',#508610); #163638=VERTEX_POINT('',#508612); #163639=VERTEX_POINT('',#508621); #163640=VERTEX_POINT('',#508623); #163641=VERTEX_POINT('',#508627); #163642=VERTEX_POINT('',#508629); #163643=VERTEX_POINT('',#508633); #163644=VERTEX_POINT('',#508635); #163645=VERTEX_POINT('',#508639); #163646=VERTEX_POINT('',#508641); #163647=VERTEX_POINT('',#508645); #163648=VERTEX_POINT('',#508647); #163649=VERTEX_POINT('',#508651); #163650=VERTEX_POINT('',#508653); #163651=VERTEX_POINT('',#508657); #163652=VERTEX_POINT('',#508659); #163653=VERTEX_POINT('',#508663); #163654=VERTEX_POINT('',#508665); #163655=VERTEX_POINT('',#508669); #163656=VERTEX_POINT('',#508671); #163657=VERTEX_POINT('',#508675); #163658=VERTEX_POINT('',#508677); #163659=VERTEX_POINT('',#508681); #163660=VERTEX_POINT('',#508683); #163661=VERTEX_POINT('',#508687); #163662=VERTEX_POINT('',#508689); #163663=VERTEX_POINT('',#508693); #163664=VERTEX_POINT('',#508695); #163665=VERTEX_POINT('',#508699); #163666=VERTEX_POINT('',#508701); #163667=VERTEX_POINT('',#508705); #163668=VERTEX_POINT('',#508707); #163669=VERTEX_POINT('',#508711); #163670=VERTEX_POINT('',#508712); #163671=VERTEX_POINT('',#508714); #163672=VERTEX_POINT('',#508716); #163673=VERTEX_POINT('',#508720); #163674=VERTEX_POINT('',#508722); #163675=VERTEX_POINT('',#508726); #163676=VERTEX_POINT('',#508728); #163677=VERTEX_POINT('',#508737); #163678=VERTEX_POINT('',#508738); #163679=VERTEX_POINT('',#508740); #163680=VERTEX_POINT('',#508742); #163681=VERTEX_POINT('',#508746); #163682=VERTEX_POINT('',#508748); #163683=VERTEX_POINT('',#508752); #163684=VERTEX_POINT('',#508754); #163685=VERTEX_POINT('',#508763); #163686=VERTEX_POINT('',#508764); #163687=VERTEX_POINT('',#508766); #163688=VERTEX_POINT('',#508768); #163689=VERTEX_POINT('',#508772); #163690=VERTEX_POINT('',#508774); #163691=VERTEX_POINT('',#508778); #163692=VERTEX_POINT('',#508780); #163693=VERTEX_POINT('',#508789); #163694=VERTEX_POINT('',#508790); #163695=VERTEX_POINT('',#508792); #163696=VERTEX_POINT('',#508794); #163697=VERTEX_POINT('',#508798); #163698=VERTEX_POINT('',#508800); #163699=VERTEX_POINT('',#508804); #163700=VERTEX_POINT('',#508806); #163701=VERTEX_POINT('',#508815); #163702=VERTEX_POINT('',#508817); #163703=VERTEX_POINT('',#508821); #163704=VERTEX_POINT('',#508822); #163705=VERTEX_POINT('',#508824); #163706=VERTEX_POINT('',#508826); #163707=VERTEX_POINT('',#508830); #163708=VERTEX_POINT('',#508832); #163709=VERTEX_POINT('',#508836); #163710=VERTEX_POINT('',#508838); #163711=VERTEX_POINT('',#508847); #163712=VERTEX_POINT('',#508848); #163713=VERTEX_POINT('',#508850); #163714=VERTEX_POINT('',#508852); #163715=VERTEX_POINT('',#508856); #163716=VERTEX_POINT('',#508858); #163717=VERTEX_POINT('',#508862); #163718=VERTEX_POINT('',#508864); #163719=VERTEX_POINT('',#508873); #163720=VERTEX_POINT('',#508874); #163721=VERTEX_POINT('',#508876); #163722=VERTEX_POINT('',#508878); #163723=VERTEX_POINT('',#508882); #163724=VERTEX_POINT('',#508884); #163725=VERTEX_POINT('',#508888); #163726=VERTEX_POINT('',#508890); #163727=VERTEX_POINT('',#508899); #163728=VERTEX_POINT('',#508900); #163729=VERTEX_POINT('',#508902); #163730=VERTEX_POINT('',#508904); #163731=VERTEX_POINT('',#508908); #163732=VERTEX_POINT('',#508910); #163733=VERTEX_POINT('',#508914); #163734=VERTEX_POINT('',#508916); #163735=VERTEX_POINT('',#508925); #163736=VERTEX_POINT('',#508926); #163737=VERTEX_POINT('',#508928); #163738=VERTEX_POINT('',#508930); #163739=VERTEX_POINT('',#508934); #163740=VERTEX_POINT('',#508936); #163741=VERTEX_POINT('',#508940); #163742=VERTEX_POINT('',#508942); #163743=VERTEX_POINT('',#508951); #163744=VERTEX_POINT('',#508953); #163745=VERTEX_POINT('',#508957); #163746=VERTEX_POINT('',#508959); #163747=VERTEX_POINT('',#508963); #163748=VERTEX_POINT('',#508965); #163749=VERTEX_POINT('',#508969); #163750=VERTEX_POINT('',#508971); #163751=VERTEX_POINT('',#508975); #163752=VERTEX_POINT('',#508977); #163753=VERTEX_POINT('',#508981); #163754=VERTEX_POINT('',#508983); #163755=VERTEX_POINT('',#508987); #163756=VERTEX_POINT('',#508989); #163757=VERTEX_POINT('',#508993); #163758=VERTEX_POINT('',#508995); #163759=VERTEX_POINT('',#508999); #163760=VERTEX_POINT('',#509001); #163761=VERTEX_POINT('',#509005); #163762=VERTEX_POINT('',#509007); #163763=VERTEX_POINT('',#509011); #163764=VERTEX_POINT('',#509013); #163765=VERTEX_POINT('',#509017); #163766=VERTEX_POINT('',#509019); #163767=VERTEX_POINT('',#509023); #163768=VERTEX_POINT('',#509025); #163769=VERTEX_POINT('',#509029); #163770=VERTEX_POINT('',#509031); #163771=VERTEX_POINT('',#509035); #163772=VERTEX_POINT('',#509037); #163773=VERTEX_POINT('',#509041); #163774=VERTEX_POINT('',#509042); #163775=VERTEX_POINT('',#509044); #163776=VERTEX_POINT('',#509046); #163777=VERTEX_POINT('',#509050); #163778=VERTEX_POINT('',#509052); #163779=VERTEX_POINT('',#509056); #163780=VERTEX_POINT('',#509058); #163781=VERTEX_POINT('',#509067); #163782=VERTEX_POINT('',#509068); #163783=VERTEX_POINT('',#509070); #163784=VERTEX_POINT('',#509072); #163785=VERTEX_POINT('',#509076); #163786=VERTEX_POINT('',#509078); #163787=VERTEX_POINT('',#509082); #163788=VERTEX_POINT('',#509084); #163789=VERTEX_POINT('',#509093); #163790=VERTEX_POINT('',#509094); #163791=VERTEX_POINT('',#509096); #163792=VERTEX_POINT('',#509098); #163793=VERTEX_POINT('',#509102); #163794=VERTEX_POINT('',#509104); #163795=VERTEX_POINT('',#509108); #163796=VERTEX_POINT('',#509110); #163797=VERTEX_POINT('',#509119); #163798=VERTEX_POINT('',#509120); #163799=VERTEX_POINT('',#509122); #163800=VERTEX_POINT('',#509124); #163801=VERTEX_POINT('',#509128); #163802=VERTEX_POINT('',#509130); #163803=VERTEX_POINT('',#509134); #163804=VERTEX_POINT('',#509136); #163805=VERTEX_POINT('',#509145); #163806=VERTEX_POINT('',#509147); #163807=VERTEX_POINT('',#509151); #163808=VERTEX_POINT('',#509152); #163809=VERTEX_POINT('',#509154); #163810=VERTEX_POINT('',#509156); #163811=VERTEX_POINT('',#509160); #163812=VERTEX_POINT('',#509162); #163813=VERTEX_POINT('',#509166); #163814=VERTEX_POINT('',#509168); #163815=VERTEX_POINT('',#509177); #163816=VERTEX_POINT('',#509179); #163817=VERTEX_POINT('',#509183); #163818=VERTEX_POINT('',#509184); #163819=VERTEX_POINT('',#509186); #163820=VERTEX_POINT('',#509188); #163821=VERTEX_POINT('',#509192); #163822=VERTEX_POINT('',#509194); #163823=VERTEX_POINT('',#509198); #163824=VERTEX_POINT('',#509200); #163825=VERTEX_POINT('',#509209); #163826=VERTEX_POINT('',#509211); #163827=VERTEX_POINT('',#509215); #163828=VERTEX_POINT('',#509216); #163829=VERTEX_POINT('',#509218); #163830=VERTEX_POINT('',#509220); #163831=VERTEX_POINT('',#509224); #163832=VERTEX_POINT('',#509226); #163833=VERTEX_POINT('',#509230); #163834=VERTEX_POINT('',#509232); #163835=VERTEX_POINT('',#509241); #163836=VERTEX_POINT('',#509243); #163837=VERTEX_POINT('',#509247); #163838=VERTEX_POINT('',#509249); #163839=VERTEX_POINT('',#509253); #163840=VERTEX_POINT('',#509255); #163841=VERTEX_POINT('',#509259); #163842=VERTEX_POINT('',#509261); #163843=VERTEX_POINT('',#509265); #163844=VERTEX_POINT('',#509267); #163845=VERTEX_POINT('',#509271); #163846=VERTEX_POINT('',#509273); #163847=VERTEX_POINT('',#509277); #163848=VERTEX_POINT('',#509279); #163849=VERTEX_POINT('',#509283); #163850=VERTEX_POINT('',#509285); #163851=VERTEX_POINT('',#509289); #163852=VERTEX_POINT('',#509291); #163853=VERTEX_POINT('',#509295); #163854=VERTEX_POINT('',#509297); #163855=VERTEX_POINT('',#509301); #163856=VERTEX_POINT('',#509303); #163857=VERTEX_POINT('',#509307); #163858=VERTEX_POINT('',#509309); #163859=VERTEX_POINT('',#509313); #163860=VERTEX_POINT('',#509315); #163861=VERTEX_POINT('',#509319); #163862=VERTEX_POINT('',#509321); #163863=VERTEX_POINT('',#509325); #163864=VERTEX_POINT('',#509327); #163865=VERTEX_POINT('',#509331); #163866=VERTEX_POINT('',#509332); #163867=VERTEX_POINT('',#509334); #163868=VERTEX_POINT('',#509336); #163869=VERTEX_POINT('',#509340); #163870=VERTEX_POINT('',#509342); #163871=VERTEX_POINT('',#509346); #163872=VERTEX_POINT('',#509348); #163873=VERTEX_POINT('',#509357); #163874=VERTEX_POINT('',#509358); #163875=VERTEX_POINT('',#509360); #163876=VERTEX_POINT('',#509362); #163877=VERTEX_POINT('',#509366); #163878=VERTEX_POINT('',#509368); #163879=VERTEX_POINT('',#509372); #163880=VERTEX_POINT('',#509374); #163881=VERTEX_POINT('',#509383); #163882=VERTEX_POINT('',#509384); #163883=VERTEX_POINT('',#509386); #163884=VERTEX_POINT('',#509388); #163885=VERTEX_POINT('',#509392); #163886=VERTEX_POINT('',#509394); #163887=VERTEX_POINT('',#509398); #163888=VERTEX_POINT('',#509400); #163889=VERTEX_POINT('',#509409); #163890=VERTEX_POINT('',#509410); #163891=VERTEX_POINT('',#509412); #163892=VERTEX_POINT('',#509414); #163893=VERTEX_POINT('',#509418); #163894=VERTEX_POINT('',#509420); #163895=VERTEX_POINT('',#509424); #163896=VERTEX_POINT('',#509426); #163897=VERTEX_POINT('',#509435); #163898=VERTEX_POINT('',#509436); #163899=VERTEX_POINT('',#509438); #163900=VERTEX_POINT('',#509440); #163901=VERTEX_POINT('',#509444); #163902=VERTEX_POINT('',#509446); #163903=VERTEX_POINT('',#509450); #163904=VERTEX_POINT('',#509452); #163905=VERTEX_POINT('',#509461); #163906=VERTEX_POINT('',#509462); #163907=VERTEX_POINT('',#509464); #163908=VERTEX_POINT('',#509466); #163909=VERTEX_POINT('',#509470); #163910=VERTEX_POINT('',#509472); #163911=VERTEX_POINT('',#509476); #163912=VERTEX_POINT('',#509478); #163913=VERTEX_POINT('',#509487); #163914=VERTEX_POINT('',#509488); #163915=VERTEX_POINT('',#509490); #163916=VERTEX_POINT('',#509492); #163917=VERTEX_POINT('',#509496); #163918=VERTEX_POINT('',#509498); #163919=VERTEX_POINT('',#509502); #163920=VERTEX_POINT('',#509504); #163921=VERTEX_POINT('',#509513); #163922=VERTEX_POINT('',#509514); #163923=VERTEX_POINT('',#509516); #163924=VERTEX_POINT('',#509518); #163925=VERTEX_POINT('',#509522); #163926=VERTEX_POINT('',#509524); #163927=VERTEX_POINT('',#509528); #163928=VERTEX_POINT('',#509530); #163929=VERTEX_POINT('',#509539); #163930=VERTEX_POINT('',#509540); #163931=VERTEX_POINT('',#509542); #163932=VERTEX_POINT('',#509544); #163933=VERTEX_POINT('',#509548); #163934=VERTEX_POINT('',#509550); #163935=VERTEX_POINT('',#509554); #163936=VERTEX_POINT('',#509556); #163937=VERTEX_POINT('',#509565); #163938=VERTEX_POINT('',#509566); #163939=VERTEX_POINT('',#509568); #163940=VERTEX_POINT('',#509570); #163941=VERTEX_POINT('',#509574); #163942=VERTEX_POINT('',#509576); #163943=VERTEX_POINT('',#509580); #163944=VERTEX_POINT('',#509582); #163945=VERTEX_POINT('',#509591); #163946=VERTEX_POINT('',#509592); #163947=VERTEX_POINT('',#509594); #163948=VERTEX_POINT('',#509596); #163949=VERTEX_POINT('',#509600); #163950=VERTEX_POINT('',#509602); #163951=VERTEX_POINT('',#509606); #163952=VERTEX_POINT('',#509608); #163953=VERTEX_POINT('',#509617); #163954=VERTEX_POINT('',#509618); #163955=VERTEX_POINT('',#509620); #163956=VERTEX_POINT('',#509622); #163957=VERTEX_POINT('',#509626); #163958=VERTEX_POINT('',#509628); #163959=VERTEX_POINT('',#509632); #163960=VERTEX_POINT('',#509634); #163961=VERTEX_POINT('',#509643); #163962=VERTEX_POINT('',#509644); #163963=VERTEX_POINT('',#509646); #163964=VERTEX_POINT('',#509648); #163965=VERTEX_POINT('',#509652); #163966=VERTEX_POINT('',#509654); #163967=VERTEX_POINT('',#509658); #163968=VERTEX_POINT('',#509660); #163969=VERTEX_POINT('',#509669); #163970=VERTEX_POINT('',#509670); #163971=VERTEX_POINT('',#509672); #163972=VERTEX_POINT('',#509674); #163973=VERTEX_POINT('',#509678); #163974=VERTEX_POINT('',#509680); #163975=VERTEX_POINT('',#509684); #163976=VERTEX_POINT('',#509686); #163977=VERTEX_POINT('',#509695); #163978=VERTEX_POINT('',#509696); #163979=VERTEX_POINT('',#509698); #163980=VERTEX_POINT('',#509700); #163981=VERTEX_POINT('',#509704); #163982=VERTEX_POINT('',#509706); #163983=VERTEX_POINT('',#509710); #163984=VERTEX_POINT('',#509712); #163985=VERTEX_POINT('',#509721); #163986=VERTEX_POINT('',#509722); #163987=VERTEX_POINT('',#509724); #163988=VERTEX_POINT('',#509726); #163989=VERTEX_POINT('',#509730); #163990=VERTEX_POINT('',#509732); #163991=VERTEX_POINT('',#509736); #163992=VERTEX_POINT('',#509738); #163993=VERTEX_POINT('',#509747); #163994=VERTEX_POINT('',#509748); #163995=VERTEX_POINT('',#509750); #163996=VERTEX_POINT('',#509752); #163997=VERTEX_POINT('',#509756); #163998=VERTEX_POINT('',#509758); #163999=VERTEX_POINT('',#509762); #164000=VERTEX_POINT('',#509764); #164001=VERTEX_POINT('',#509773); #164002=VERTEX_POINT('',#509774); #164003=VERTEX_POINT('',#509776); #164004=VERTEX_POINT('',#509778); #164005=VERTEX_POINT('',#509782); #164006=VERTEX_POINT('',#509784); #164007=VERTEX_POINT('',#509788); #164008=VERTEX_POINT('',#509790); #164009=VERTEX_POINT('',#509799); #164010=VERTEX_POINT('',#509800); #164011=VERTEX_POINT('',#509802); #164012=VERTEX_POINT('',#509804); #164013=VERTEX_POINT('',#509808); #164014=VERTEX_POINT('',#509810); #164015=VERTEX_POINT('',#509814); #164016=VERTEX_POINT('',#509816); #164017=VERTEX_POINT('',#509825); #164018=VERTEX_POINT('',#509826); #164019=VERTEX_POINT('',#509828); #164020=VERTEX_POINT('',#509830); #164021=VERTEX_POINT('',#509834); #164022=VERTEX_POINT('',#509836); #164023=VERTEX_POINT('',#509840); #164024=VERTEX_POINT('',#509842); #164025=VERTEX_POINT('',#509851); #164026=VERTEX_POINT('',#509852); #164027=VERTEX_POINT('',#509854); #164028=VERTEX_POINT('',#509856); #164029=VERTEX_POINT('',#509860); #164030=VERTEX_POINT('',#509862); #164031=VERTEX_POINT('',#509866); #164032=VERTEX_POINT('',#509868); #164033=VERTEX_POINT('',#509877); #164034=VERTEX_POINT('',#509879); #164035=VERTEX_POINT('',#509883); #164036=VERTEX_POINT('',#509885); #164037=VERTEX_POINT('',#509889); #164038=VERTEX_POINT('',#509891); #164039=VERTEX_POINT('',#509895); #164040=VERTEX_POINT('',#509897); #164041=VERTEX_POINT('',#509901); #164042=VERTEX_POINT('',#509903); #164043=VERTEX_POINT('',#509907); #164044=VERTEX_POINT('',#509909); #164045=VERTEX_POINT('',#509913); #164046=VERTEX_POINT('',#509915); #164047=VERTEX_POINT('',#509919); #164048=VERTEX_POINT('',#509921); #164049=VERTEX_POINT('',#509925); #164050=VERTEX_POINT('',#509927); #164051=VERTEX_POINT('',#509931); #164052=VERTEX_POINT('',#509933); #164053=VERTEX_POINT('',#509937); #164054=VERTEX_POINT('',#509939); #164055=VERTEX_POINT('',#509943); #164056=VERTEX_POINT('',#509945); #164057=VERTEX_POINT('',#509949); #164058=VERTEX_POINT('',#509951); #164059=VERTEX_POINT('',#509955); #164060=VERTEX_POINT('',#509957); #164061=VERTEX_POINT('',#509961); #164062=VERTEX_POINT('',#509963); #164063=VERTEX_POINT('',#509967); #164064=VERTEX_POINT('',#509968); #164065=VERTEX_POINT('',#509970); #164066=VERTEX_POINT('',#509972); #164067=VERTEX_POINT('',#509976); #164068=VERTEX_POINT('',#509978); #164069=VERTEX_POINT('',#509982); #164070=VERTEX_POINT('',#509984); #164071=VERTEX_POINT('',#509993); #164072=VERTEX_POINT('',#509994); #164073=VERTEX_POINT('',#509996); #164074=VERTEX_POINT('',#509998); #164075=VERTEX_POINT('',#510002); #164076=VERTEX_POINT('',#510004); #164077=VERTEX_POINT('',#510008); #164078=VERTEX_POINT('',#510010); #164079=VERTEX_POINT('',#510019); #164080=VERTEX_POINT('',#510020); #164081=VERTEX_POINT('',#510022); #164082=VERTEX_POINT('',#510024); #164083=VERTEX_POINT('',#510028); #164084=VERTEX_POINT('',#510030); #164085=VERTEX_POINT('',#510034); #164086=VERTEX_POINT('',#510036); #164087=VERTEX_POINT('',#510045); #164088=VERTEX_POINT('',#510046); #164089=VERTEX_POINT('',#510048); #164090=VERTEX_POINT('',#510050); #164091=VERTEX_POINT('',#510054); #164092=VERTEX_POINT('',#510056); #164093=VERTEX_POINT('',#510060); #164094=VERTEX_POINT('',#510062); #164095=VERTEX_POINT('',#510071); #164096=VERTEX_POINT('',#510072); #164097=VERTEX_POINT('',#510074); #164098=VERTEX_POINT('',#510076); #164099=VERTEX_POINT('',#510080); #164100=VERTEX_POINT('',#510082); #164101=VERTEX_POINT('',#510086); #164102=VERTEX_POINT('',#510088); #164103=VERTEX_POINT('',#510097); #164104=VERTEX_POINT('',#510098); #164105=VERTEX_POINT('',#510100); #164106=VERTEX_POINT('',#510102); #164107=VERTEX_POINT('',#510106); #164108=VERTEX_POINT('',#510108); #164109=VERTEX_POINT('',#510112); #164110=VERTEX_POINT('',#510114); #164111=VERTEX_POINT('',#510123); #164112=VERTEX_POINT('',#510124); #164113=VERTEX_POINT('',#510126); #164114=VERTEX_POINT('',#510128); #164115=VERTEX_POINT('',#510132); #164116=VERTEX_POINT('',#510134); #164117=VERTEX_POINT('',#510138); #164118=VERTEX_POINT('',#510140); #164119=VERTEX_POINT('',#510149); #164120=VERTEX_POINT('',#510151); #164121=VERTEX_POINT('',#510155); #164122=VERTEX_POINT('',#510156); #164123=VERTEX_POINT('',#510158); #164124=VERTEX_POINT('',#510160); #164125=VERTEX_POINT('',#510164); #164126=VERTEX_POINT('',#510166); #164127=VERTEX_POINT('',#510170); #164128=VERTEX_POINT('',#510172); #164129=VERTEX_POINT('',#510181); #164130=VERTEX_POINT('',#510183); #164131=VERTEX_POINT('',#510187); #164132=VERTEX_POINT('',#510189); #164133=VERTEX_POINT('',#510193); #164134=VERTEX_POINT('',#510194); #164135=VERTEX_POINT('',#510196); #164136=VERTEX_POINT('',#510198); #164137=VERTEX_POINT('',#510202); #164138=VERTEX_POINT('',#510204); #164139=VERTEX_POINT('',#510208); #164140=VERTEX_POINT('',#510210); #164141=VERTEX_POINT('',#510219); #164142=VERTEX_POINT('',#510221); #164143=VERTEX_POINT('',#510225); #164144=VERTEX_POINT('',#510227); #164145=VERTEX_POINT('',#510231); #164146=VERTEX_POINT('',#510232); #164147=VERTEX_POINT('',#510234); #164148=VERTEX_POINT('',#510236); #164149=VERTEX_POINT('',#510240); #164150=VERTEX_POINT('',#510242); #164151=VERTEX_POINT('',#510246); #164152=VERTEX_POINT('',#510248); #164153=VERTEX_POINT('',#510257); #164154=VERTEX_POINT('',#510259); #164155=VERTEX_POINT('',#510263); #164156=VERTEX_POINT('',#510264); #164157=VERTEX_POINT('',#510266); #164158=VERTEX_POINT('',#510268); #164159=VERTEX_POINT('',#510272); #164160=VERTEX_POINT('',#510274); #164161=VERTEX_POINT('',#510278); #164162=VERTEX_POINT('',#510280); #164163=VERTEX_POINT('',#510290); #164164=VERTEX_POINT('',#510292); #164165=VERTEX_POINT('',#510296); #164166=VERTEX_POINT('',#510298); #164167=VERTEX_POINT('',#510302); #164168=VERTEX_POINT('',#510304); #164169=VERTEX_POINT('',#510308); #164170=VERTEX_POINT('',#510310); #164171=VERTEX_POINT('',#510314); #164172=VERTEX_POINT('',#510316); #164173=VERTEX_POINT('',#510320); #164174=VERTEX_POINT('',#510322); #164175=VERTEX_POINT('',#510326); #164176=VERTEX_POINT('',#510328); #164177=VERTEX_POINT('',#510332); #164178=VERTEX_POINT('',#510334); #164179=VERTEX_POINT('',#510338); #164180=VERTEX_POINT('',#510340); #164181=VERTEX_POINT('',#510344); #164182=VERTEX_POINT('',#510346); #164183=VERTEX_POINT('',#510350); #164184=VERTEX_POINT('',#510352); #164185=VERTEX_POINT('',#510356); #164186=VERTEX_POINT('',#510358); #164187=VERTEX_POINT('',#510362); #164188=VERTEX_POINT('',#510364); #164189=VERTEX_POINT('',#510368); #164190=VERTEX_POINT('',#510370); #164191=VERTEX_POINT('',#510374); #164192=VERTEX_POINT('',#510376); #164193=VERTEX_POINT('',#510380); #164194=VERTEX_POINT('',#510382); #164195=VERTEX_POINT('',#510386); #164196=VERTEX_POINT('',#510388); #164197=VERTEX_POINT('',#510392); #164198=VERTEX_POINT('',#510394); #164199=VERTEX_POINT('',#510398); #164200=VERTEX_POINT('',#510400); #164201=VERTEX_POINT('',#510404); #164202=VERTEX_POINT('',#510406); #164203=VERTEX_POINT('',#510410); #164204=VERTEX_POINT('',#510412); #164205=VERTEX_POINT('',#510416); #164206=VERTEX_POINT('',#510418); #164207=VERTEX_POINT('',#510422); #164208=VERTEX_POINT('',#510424); #164209=VERTEX_POINT('',#510428); #164210=VERTEX_POINT('',#510430); #164211=VERTEX_POINT('',#510434); #164212=VERTEX_POINT('',#510436); #164213=VERTEX_POINT('',#510440); #164214=VERTEX_POINT('',#510442); #164215=VERTEX_POINT('',#510446); #164216=VERTEX_POINT('',#510448); #164217=VERTEX_POINT('',#510452); #164218=VERTEX_POINT('',#510454); #164219=VERTEX_POINT('',#510458); #164220=VERTEX_POINT('',#510460); #164221=VERTEX_POINT('',#510464); #164222=VERTEX_POINT('',#510466); #164223=VERTEX_POINT('',#510470); #164224=VERTEX_POINT('',#510472); #164225=VERTEX_POINT('',#510476); #164226=VERTEX_POINT('',#510478); #164227=VERTEX_POINT('',#510482); #164228=VERTEX_POINT('',#510484); #164229=VERTEX_POINT('',#510488); #164230=VERTEX_POINT('',#510490); #164231=VERTEX_POINT('',#510494); #164232=VERTEX_POINT('',#510496); #164233=VERTEX_POINT('',#510500); #164234=VERTEX_POINT('',#510502); #164235=VERTEX_POINT('',#510506); #164236=VERTEX_POINT('',#510508); #164237=VERTEX_POINT('',#510512); #164238=VERTEX_POINT('',#510514); #164239=VERTEX_POINT('',#510518); #164240=VERTEX_POINT('',#510520); #164241=VERTEX_POINT('',#510524); #164242=VERTEX_POINT('',#510526); #164243=VERTEX_POINT('',#510530); #164244=VERTEX_POINT('',#510532); #164245=VERTEX_POINT('',#510536); #164246=VERTEX_POINT('',#510538); #164247=VERTEX_POINT('',#510542); #164248=VERTEX_POINT('',#510544); #164249=VERTEX_POINT('',#510548); #164250=VERTEX_POINT('',#510550); #164251=VERTEX_POINT('',#510554); #164252=VERTEX_POINT('',#510556); #164253=VERTEX_POINT('',#510560); #164254=VERTEX_POINT('',#510562); #164255=VERTEX_POINT('',#510566); #164256=VERTEX_POINT('',#510568); #164257=VERTEX_POINT('',#510572); #164258=VERTEX_POINT('',#510574); #164259=VERTEX_POINT('',#510578); #164260=VERTEX_POINT('',#510580); #164261=VERTEX_POINT('',#510584); #164262=VERTEX_POINT('',#510586); #164263=VERTEX_POINT('',#510590); #164264=VERTEX_POINT('',#510592); #164265=VERTEX_POINT('',#510596); #164266=VERTEX_POINT('',#510598); #164267=VERTEX_POINT('',#510602); #164268=VERTEX_POINT('',#510604); #164269=VERTEX_POINT('',#510608); #164270=VERTEX_POINT('',#510610); #164271=VERTEX_POINT('',#510614); #164272=VERTEX_POINT('',#510616); #164273=VERTEX_POINT('',#510620); #164274=VERTEX_POINT('',#510622); #164275=VERTEX_POINT('',#510626); #164276=VERTEX_POINT('',#510628); #164277=VERTEX_POINT('',#510632); #164278=VERTEX_POINT('',#510634); #164279=VERTEX_POINT('',#510638); #164280=VERTEX_POINT('',#510640); #164281=VERTEX_POINT('',#510644); #164282=VERTEX_POINT('',#510646); #164283=VERTEX_POINT('',#510650); #164284=VERTEX_POINT('',#510652); #164285=VERTEX_POINT('',#510656); #164286=VERTEX_POINT('',#510658); #164287=VERTEX_POINT('',#510662); #164288=VERTEX_POINT('',#510664); #164289=VERTEX_POINT('',#510668); #164290=VERTEX_POINT('',#510670); #164291=VERTEX_POINT('',#510674); #164292=VERTEX_POINT('',#510676); #164293=VERTEX_POINT('',#510680); #164294=VERTEX_POINT('',#510682); #164295=VERTEX_POINT('',#510686); #164296=VERTEX_POINT('',#510688); #164297=VERTEX_POINT('',#510692); #164298=VERTEX_POINT('',#510694); #164299=VERTEX_POINT('',#510698); #164300=VERTEX_POINT('',#510700); #164301=VERTEX_POINT('',#510704); #164302=VERTEX_POINT('',#510706); #164303=VERTEX_POINT('',#510710); #164304=VERTEX_POINT('',#510712); #164305=VERTEX_POINT('',#510716); #164306=VERTEX_POINT('',#510718); #164307=VERTEX_POINT('',#510722); #164308=VERTEX_POINT('',#510724); #164309=VERTEX_POINT('',#510728); #164310=VERTEX_POINT('',#510730); #164311=VERTEX_POINT('',#510734); #164312=VERTEX_POINT('',#510736); #164313=VERTEX_POINT('',#510740); #164314=VERTEX_POINT('',#510742); #164315=VERTEX_POINT('',#510746); #164316=VERTEX_POINT('',#510748); #164317=VERTEX_POINT('',#510752); #164318=VERTEX_POINT('',#510754); #164319=VERTEX_POINT('',#510758); #164320=VERTEX_POINT('',#510760); #164321=VERTEX_POINT('',#510764); #164322=VERTEX_POINT('',#510766); #164323=VERTEX_POINT('',#510770); #164324=VERTEX_POINT('',#510772); #164325=VERTEX_POINT('',#510776); #164326=VERTEX_POINT('',#510778); #164327=VERTEX_POINT('',#510782); #164328=VERTEX_POINT('',#510784); #164329=VERTEX_POINT('',#510788); #164330=VERTEX_POINT('',#510790); #164331=VERTEX_POINT('',#510794); #164332=VERTEX_POINT('',#510796); #164333=VERTEX_POINT('',#510800); #164334=VERTEX_POINT('',#510802); #164335=VERTEX_POINT('',#510806); #164336=VERTEX_POINT('',#510808); #164337=VERTEX_POINT('',#510812); #164338=VERTEX_POINT('',#510814); #164339=VERTEX_POINT('',#510818); #164340=VERTEX_POINT('',#510820); #164341=VERTEX_POINT('',#510824); #164342=VERTEX_POINT('',#510826); #164343=VERTEX_POINT('',#510830); #164344=VERTEX_POINT('',#510832); #164345=VERTEX_POINT('',#510836); #164346=VERTEX_POINT('',#510838); #164347=VERTEX_POINT('',#510842); #164348=VERTEX_POINT('',#510844); #164349=VERTEX_POINT('',#510848); #164350=VERTEX_POINT('',#510850); #164351=VERTEX_POINT('',#510854); #164352=VERTEX_POINT('',#510856); #164353=VERTEX_POINT('',#510860); #164354=VERTEX_POINT('',#510862); #164355=VERTEX_POINT('',#510866); #164356=VERTEX_POINT('',#510868); #164357=VERTEX_POINT('',#510872); #164358=VERTEX_POINT('',#510874); #164359=VERTEX_POINT('',#510878); #164360=VERTEX_POINT('',#510880); #164361=VERTEX_POINT('',#510884); #164362=VERTEX_POINT('',#510886); #164363=VERTEX_POINT('',#510890); #164364=VERTEX_POINT('',#510892); #164365=VERTEX_POINT('',#510896); #164366=VERTEX_POINT('',#510898); #164367=VERTEX_POINT('',#510902); #164368=VERTEX_POINT('',#510904); #164369=VERTEX_POINT('',#510908); #164370=VERTEX_POINT('',#510910); #164371=VERTEX_POINT('',#510914); #164372=VERTEX_POINT('',#510916); #164373=VERTEX_POINT('',#510920); #164374=VERTEX_POINT('',#510922); #164375=VERTEX_POINT('',#510926); #164376=VERTEX_POINT('',#510928); #164377=VERTEX_POINT('',#510932); #164378=VERTEX_POINT('',#510934); #164379=VERTEX_POINT('',#510938); #164380=VERTEX_POINT('',#510940); #164381=VERTEX_POINT('',#510944); #164382=VERTEX_POINT('',#510946); #164383=VERTEX_POINT('',#510950); #164384=VERTEX_POINT('',#510952); #164385=VERTEX_POINT('',#510956); #164386=VERTEX_POINT('',#510958); #164387=VERTEX_POINT('',#510962); #164388=VERTEX_POINT('',#510964); #164389=VERTEX_POINT('',#510968); #164390=VERTEX_POINT('',#510970); #164391=VERTEX_POINT('',#510974); #164392=VERTEX_POINT('',#510976); #164393=VERTEX_POINT('',#510980); #164394=VERTEX_POINT('',#510982); #164395=VERTEX_POINT('',#510986); #164396=VERTEX_POINT('',#510988); #164397=VERTEX_POINT('',#510992); #164398=VERTEX_POINT('',#510994); #164399=VERTEX_POINT('',#510998); #164400=VERTEX_POINT('',#511000); #164401=VERTEX_POINT('',#511004); #164402=VERTEX_POINT('',#511006); #164403=VERTEX_POINT('',#511010); #164404=VERTEX_POINT('',#511012); #164405=VERTEX_POINT('',#511016); #164406=VERTEX_POINT('',#511018); #164407=VERTEX_POINT('',#511022); #164408=VERTEX_POINT('',#511024); #164409=VERTEX_POINT('',#511028); #164410=VERTEX_POINT('',#511030); #164411=VERTEX_POINT('',#511034); #164412=VERTEX_POINT('',#511036); #164413=VERTEX_POINT('',#511040); #164414=VERTEX_POINT('',#511042); #164415=VERTEX_POINT('',#511046); #164416=VERTEX_POINT('',#511048); #164417=VERTEX_POINT('',#511052); #164418=VERTEX_POINT('',#511054); #164419=VERTEX_POINT('',#511058); #164420=VERTEX_POINT('',#511060); #164421=VERTEX_POINT('',#511064); #164422=VERTEX_POINT('',#511066); #164423=VERTEX_POINT('',#511070); #164424=VERTEX_POINT('',#511072); #164425=VERTEX_POINT('',#511076); #164426=VERTEX_POINT('',#511078); #164427=VERTEX_POINT('',#511082); #164428=VERTEX_POINT('',#511084); #164429=VERTEX_POINT('',#511088); #164430=VERTEX_POINT('',#511090); #164431=VERTEX_POINT('',#511094); #164432=VERTEX_POINT('',#511096); #164433=VERTEX_POINT('',#511100); #164434=VERTEX_POINT('',#511102); #164435=VERTEX_POINT('',#511106); #164436=VERTEX_POINT('',#511108); #164437=VERTEX_POINT('',#511112); #164438=VERTEX_POINT('',#511114); #164439=VERTEX_POINT('',#511118); #164440=VERTEX_POINT('',#511120); #164441=VERTEX_POINT('',#511124); #164442=VERTEX_POINT('',#511126); #164443=VERTEX_POINT('',#511130); #164444=VERTEX_POINT('',#511132); #164445=VERTEX_POINT('',#511136); #164446=VERTEX_POINT('',#511138); #164447=VERTEX_POINT('',#511142); #164448=VERTEX_POINT('',#511144); #164449=VERTEX_POINT('',#511148); #164450=VERTEX_POINT('',#511150); #164451=VERTEX_POINT('',#511154); #164452=VERTEX_POINT('',#511156); #164453=VERTEX_POINT('',#511160); #164454=VERTEX_POINT('',#511162); #164455=VERTEX_POINT('',#511166); #164456=VERTEX_POINT('',#511168); #164457=VERTEX_POINT('',#511172); #164458=VERTEX_POINT('',#511174); #164459=VERTEX_POINT('',#511178); #164460=VERTEX_POINT('',#511180); #164461=VERTEX_POINT('',#511184); #164462=VERTEX_POINT('',#511186); #164463=VERTEX_POINT('',#511190); #164464=VERTEX_POINT('',#511192); #164465=VERTEX_POINT('',#511196); #164466=VERTEX_POINT('',#511198); #164467=VERTEX_POINT('',#511202); #164468=VERTEX_POINT('',#511204); #164469=VERTEX_POINT('',#511208); #164470=VERTEX_POINT('',#511210); #164471=VERTEX_POINT('',#511214); #164472=VERTEX_POINT('',#511216); #164473=VERTEX_POINT('',#511220); #164474=VERTEX_POINT('',#511222); #164475=VERTEX_POINT('',#511226); #164476=VERTEX_POINT('',#511228); #164477=VERTEX_POINT('',#511232); #164478=VERTEX_POINT('',#511234); #164479=VERTEX_POINT('',#511238); #164480=VERTEX_POINT('',#511240); #164481=VERTEX_POINT('',#511244); #164482=VERTEX_POINT('',#511246); #164483=VERTEX_POINT('',#511250); #164484=VERTEX_POINT('',#511252); #164485=VERTEX_POINT('',#511256); #164486=VERTEX_POINT('',#511258); #164487=VERTEX_POINT('',#511262); #164488=VERTEX_POINT('',#511264); #164489=VERTEX_POINT('',#511268); #164490=VERTEX_POINT('',#511269); #164491=VERTEX_POINT('',#511271); #164492=VERTEX_POINT('',#511273); #164493=VERTEX_POINT('',#511277); #164494=VERTEX_POINT('',#511279); #164495=VERTEX_POINT('',#511283); #164496=VERTEX_POINT('',#511285); #164497=VERTEX_POINT('',#511292); #164498=VERTEX_POINT('',#511294); #164499=VERTEX_POINT('',#511298); #164500=VERTEX_POINT('',#511299); #164501=VERTEX_POINT('',#511301); #164502=VERTEX_POINT('',#511303); #164503=VERTEX_POINT('',#511307); #164504=VERTEX_POINT('',#511309); #164505=VERTEX_POINT('',#511313); #164506=VERTEX_POINT('',#511315); #164507=VERTEX_POINT('',#511322); #164508=VERTEX_POINT('',#511324); #164509=VERTEX_POINT('',#511328); #164510=VERTEX_POINT('',#511330); #164511=VERTEX_POINT('',#511334); #164512=VERTEX_POINT('',#511336); #164513=VERTEX_POINT('',#511340); #164514=VERTEX_POINT('',#511341); #164515=VERTEX_POINT('',#511343); #164516=VERTEX_POINT('',#511345); #164517=VERTEX_POINT('',#511349); #164518=VERTEX_POINT('',#511351); #164519=VERTEX_POINT('',#511355); #164520=VERTEX_POINT('',#511357); #164521=VERTEX_POINT('',#511364); #164522=VERTEX_POINT('',#511366); #164523=VERTEX_POINT('',#511370); #164524=VERTEX_POINT('',#511372); #164525=VERTEX_POINT('',#511376); #164526=VERTEX_POINT('',#511378); #164527=VERTEX_POINT('',#511382); #164528=VERTEX_POINT('',#511384); #164529=VERTEX_POINT('',#511388); #164530=VERTEX_POINT('',#511390); #164531=VERTEX_POINT('',#511394); #164532=VERTEX_POINT('',#511396); #164533=VERTEX_POINT('',#511400); #164534=VERTEX_POINT('',#511402); #164535=VERTEX_POINT('',#511406); #164536=VERTEX_POINT('',#511408); #164537=VERTEX_POINT('',#511412); #164538=VERTEX_POINT('',#511414); #164539=VERTEX_POINT('',#511418); #164540=VERTEX_POINT('',#511420); #164541=VERTEX_POINT('',#511424); #164542=VERTEX_POINT('',#511425); #164543=VERTEX_POINT('',#511427); #164544=VERTEX_POINT('',#511429); #164545=VERTEX_POINT('',#511433); #164546=VERTEX_POINT('',#511435); #164547=VERTEX_POINT('',#511439); #164548=VERTEX_POINT('',#511441); #164549=VERTEX_POINT('',#511448); #164550=VERTEX_POINT('',#511450); #164551=VERTEX_POINT('',#511454); #164552=VERTEX_POINT('',#511455); #164553=VERTEX_POINT('',#511457); #164554=VERTEX_POINT('',#511459); #164555=VERTEX_POINT('',#511463); #164556=VERTEX_POINT('',#511465); #164557=VERTEX_POINT('',#511469); #164558=VERTEX_POINT('',#511471); #164559=VERTEX_POINT('',#511478); #164560=VERTEX_POINT('',#511480); #164561=VERTEX_POINT('',#511484); #164562=VERTEX_POINT('',#511485); #164563=VERTEX_POINT('',#511487); #164564=VERTEX_POINT('',#511489); #164565=VERTEX_POINT('',#511493); #164566=VERTEX_POINT('',#511495); #164567=VERTEX_POINT('',#511499); #164568=VERTEX_POINT('',#511501); #164569=VERTEX_POINT('',#511508); #164570=VERTEX_POINT('',#511510); #164571=VERTEX_POINT('',#511514); #164572=VERTEX_POINT('',#511516); #164573=VERTEX_POINT('',#511520); #164574=VERTEX_POINT('',#511522); #164575=VERTEX_POINT('',#511526); #164576=VERTEX_POINT('',#511528); #164577=VERTEX_POINT('',#511532); #164578=VERTEX_POINT('',#511534); #164579=VERTEX_POINT('',#511538); #164580=VERTEX_POINT('',#511540); #164581=VERTEX_POINT('',#511544); #164582=VERTEX_POINT('',#511546); #164583=VERTEX_POINT('',#511550); #164584=VERTEX_POINT('',#511552); #164585=VERTEX_POINT('',#511556); #164586=VERTEX_POINT('',#511558); #164587=VERTEX_POINT('',#511562); #164588=VERTEX_POINT('',#511564); #164589=VERTEX_POINT('',#511568); #164590=VERTEX_POINT('',#511570); #164591=VERTEX_POINT('',#511574); #164592=VERTEX_POINT('',#511576); #164593=VERTEX_POINT('',#511580); #164594=VERTEX_POINT('',#511582); #164595=VERTEX_POINT('',#511586); #164596=VERTEX_POINT('',#511588); #164597=VERTEX_POINT('',#511592); #164598=VERTEX_POINT('',#511594); #164599=VERTEX_POINT('',#511598); #164600=VERTEX_POINT('',#511600); #164601=VERTEX_POINT('',#511604); #164602=VERTEX_POINT('',#511606); #164603=VERTEX_POINT('',#511610); #164604=VERTEX_POINT('',#511612); #164605=VERTEX_POINT('',#511616); #164606=VERTEX_POINT('',#511618); #164607=VERTEX_POINT('',#511622); #164608=VERTEX_POINT('',#511624); #164609=VERTEX_POINT('',#511628); #164610=VERTEX_POINT('',#511630); #164611=VERTEX_POINT('',#511634); #164612=VERTEX_POINT('',#511636); #164613=VERTEX_POINT('',#511640); #164614=VERTEX_POINT('',#511642); #164615=VERTEX_POINT('',#511646); #164616=VERTEX_POINT('',#511648); #164617=VERTEX_POINT('',#511652); #164618=VERTEX_POINT('',#511654); #164619=VERTEX_POINT('',#511658); #164620=VERTEX_POINT('',#511660); #164621=VERTEX_POINT('',#511664); #164622=VERTEX_POINT('',#511666); #164623=VERTEX_POINT('',#511670); #164624=VERTEX_POINT('',#511672); #164625=VERTEX_POINT('',#511676); #164626=VERTEX_POINT('',#511678); #164627=VERTEX_POINT('',#511682); #164628=VERTEX_POINT('',#511684); #164629=VERTEX_POINT('',#511688); #164630=VERTEX_POINT('',#511690); #164631=VERTEX_POINT('',#511694); #164632=VERTEX_POINT('',#511696); #164633=VERTEX_POINT('',#511700); #164634=VERTEX_POINT('',#511702); #164635=VERTEX_POINT('',#511706); #164636=VERTEX_POINT('',#511708); #164637=VERTEX_POINT('',#511712); #164638=VERTEX_POINT('',#511714); #164639=VERTEX_POINT('',#511718); #164640=VERTEX_POINT('',#511720); #164641=VERTEX_POINT('',#511724); #164642=VERTEX_POINT('',#511726); #164643=VERTEX_POINT('',#511730); #164644=VERTEX_POINT('',#511732); #164645=VERTEX_POINT('',#511736); #164646=VERTEX_POINT('',#511738); #164647=VERTEX_POINT('',#511742); #164648=VERTEX_POINT('',#511744); #164649=VERTEX_POINT('',#511748); #164650=VERTEX_POINT('',#511750); #164651=VERTEX_POINT('',#511754); #164652=VERTEX_POINT('',#511756); #164653=VERTEX_POINT('',#511760); #164654=VERTEX_POINT('',#511762); #164655=VERTEX_POINT('',#511766); #164656=VERTEX_POINT('',#511768); #164657=VERTEX_POINT('',#511772); #164658=VERTEX_POINT('',#511774); #164659=VERTEX_POINT('',#511778); #164660=VERTEX_POINT('',#511780); #164661=VERTEX_POINT('',#511784); #164662=VERTEX_POINT('',#511786); #164663=VERTEX_POINT('',#511790); #164664=VERTEX_POINT('',#511792); #164665=VERTEX_POINT('',#511796); #164666=VERTEX_POINT('',#511798); #164667=VERTEX_POINT('',#511802); #164668=VERTEX_POINT('',#511804); #164669=VERTEX_POINT('',#511808); #164670=VERTEX_POINT('',#511810); #164671=VERTEX_POINT('',#511814); #164672=VERTEX_POINT('',#511816); #164673=VERTEX_POINT('',#511820); #164674=VERTEX_POINT('',#511822); #164675=VERTEX_POINT('',#511826); #164676=VERTEX_POINT('',#511828); #164677=VERTEX_POINT('',#511832); #164678=VERTEX_POINT('',#511834); #164679=VERTEX_POINT('',#511838); #164680=VERTEX_POINT('',#511840); #164681=VERTEX_POINT('',#511844); #164682=VERTEX_POINT('',#511846); #164683=VERTEX_POINT('',#511850); #164684=VERTEX_POINT('',#511852); #164685=VERTEX_POINT('',#511856); #164686=VERTEX_POINT('',#511858); #164687=VERTEX_POINT('',#511862); #164688=VERTEX_POINT('',#511864); #164689=VERTEX_POINT('',#511868); #164690=VERTEX_POINT('',#511870); #164691=VERTEX_POINT('',#511874); #164692=VERTEX_POINT('',#511876); #164693=VERTEX_POINT('',#511880); #164694=VERTEX_POINT('',#511882); #164695=VERTEX_POINT('',#511886); #164696=VERTEX_POINT('',#511888); #164697=VERTEX_POINT('',#511892); #164698=VERTEX_POINT('',#511894); #164699=VERTEX_POINT('',#511898); #164700=VERTEX_POINT('',#511900); #164701=VERTEX_POINT('',#511904); #164702=VERTEX_POINT('',#511906); #164703=VERTEX_POINT('',#511910); #164704=VERTEX_POINT('',#511912); #164705=VERTEX_POINT('',#511916); #164706=VERTEX_POINT('',#511918); #164707=VERTEX_POINT('',#511922); #164708=VERTEX_POINT('',#511924); #164709=VERTEX_POINT('',#511928); #164710=VERTEX_POINT('',#511930); #164711=VERTEX_POINT('',#511934); #164712=VERTEX_POINT('',#511936); #164713=VERTEX_POINT('',#511940); #164714=VERTEX_POINT('',#511942); #164715=VERTEX_POINT('',#511946); #164716=VERTEX_POINT('',#511948); #164717=VERTEX_POINT('',#511952); #164718=VERTEX_POINT('',#511954); #164719=VERTEX_POINT('',#511958); #164720=VERTEX_POINT('',#511960); #164721=VERTEX_POINT('',#511964); #164722=VERTEX_POINT('',#511966); #164723=VERTEX_POINT('',#511970); #164724=VERTEX_POINT('',#511972); #164725=VERTEX_POINT('',#511976); #164726=VERTEX_POINT('',#511978); #164727=VERTEX_POINT('',#511982); #164728=VERTEX_POINT('',#511984); #164729=VERTEX_POINT('',#511988); #164730=VERTEX_POINT('',#511990); #164731=VERTEX_POINT('',#511994); #164732=VERTEX_POINT('',#511996); #164733=VERTEX_POINT('',#512000); #164734=VERTEX_POINT('',#512002); #164735=VERTEX_POINT('',#512006); #164736=VERTEX_POINT('',#512008); #164737=VERTEX_POINT('',#512012); #164738=VERTEX_POINT('',#512014); #164739=VERTEX_POINT('',#512018); #164740=VERTEX_POINT('',#512020); #164741=VERTEX_POINT('',#512024); #164742=VERTEX_POINT('',#512026); #164743=VERTEX_POINT('',#512030); #164744=VERTEX_POINT('',#512032); #164745=VERTEX_POINT('',#512036); #164746=VERTEX_POINT('',#512038); #164747=VERTEX_POINT('',#512042); #164748=VERTEX_POINT('',#512044); #164749=VERTEX_POINT('',#512048); #164750=VERTEX_POINT('',#512050); #164751=VERTEX_POINT('',#512054); #164752=VERTEX_POINT('',#512056); #164753=VERTEX_POINT('',#512060); #164754=VERTEX_POINT('',#512062); #164755=VERTEX_POINT('',#512066); #164756=VERTEX_POINT('',#512068); #164757=VERTEX_POINT('',#512072); #164758=VERTEX_POINT('',#512074); #164759=VERTEX_POINT('',#512078); #164760=VERTEX_POINT('',#512080); #164761=VERTEX_POINT('',#512084); #164762=VERTEX_POINT('',#512086); #164763=VERTEX_POINT('',#512090); #164764=VERTEX_POINT('',#512092); #164765=VERTEX_POINT('',#512096); #164766=VERTEX_POINT('',#512098); #164767=VERTEX_POINT('',#512102); #164768=VERTEX_POINT('',#512104); #164769=VERTEX_POINT('',#512108); #164770=VERTEX_POINT('',#512110); #164771=VERTEX_POINT('',#512114); #164772=VERTEX_POINT('',#512116); #164773=VERTEX_POINT('',#512120); #164774=VERTEX_POINT('',#512122); #164775=VERTEX_POINT('',#512126); #164776=VERTEX_POINT('',#512128); #164777=VERTEX_POINT('',#512132); #164778=VERTEX_POINT('',#512134); #164779=VERTEX_POINT('',#512138); #164780=VERTEX_POINT('',#512140); #164781=VERTEX_POINT('',#512144); #164782=VERTEX_POINT('',#512146); #164783=VERTEX_POINT('',#512150); #164784=VERTEX_POINT('',#512152); #164785=VERTEX_POINT('',#512156); #164786=VERTEX_POINT('',#512158); #164787=VERTEX_POINT('',#512162); #164788=VERTEX_POINT('',#512164); #164789=VERTEX_POINT('',#512168); #164790=VERTEX_POINT('',#512170); #164791=VERTEX_POINT('',#512174); #164792=VERTEX_POINT('',#512176); #164793=VERTEX_POINT('',#512180); #164794=VERTEX_POINT('',#512182); #164795=VERTEX_POINT('',#512186); #164796=VERTEX_POINT('',#512188); #164797=VERTEX_POINT('',#512192); #164798=VERTEX_POINT('',#512194); #164799=VERTEX_POINT('',#512198); #164800=VERTEX_POINT('',#512200); #164801=VERTEX_POINT('',#512204); #164802=VERTEX_POINT('',#512206); #164803=VERTEX_POINT('',#512210); #164804=VERTEX_POINT('',#512212); #164805=VERTEX_POINT('',#512216); #164806=VERTEX_POINT('',#512218); #164807=VERTEX_POINT('',#512222); #164808=VERTEX_POINT('',#512224); #164809=VERTEX_POINT('',#512228); #164810=VERTEX_POINT('',#512230); #164811=VERTEX_POINT('',#512234); #164812=VERTEX_POINT('',#512236); #164813=VERTEX_POINT('',#512240); #164814=VERTEX_POINT('',#512242); #164815=VERTEX_POINT('',#512246); #164816=VERTEX_POINT('',#512248); #164817=VERTEX_POINT('',#512252); #164818=VERTEX_POINT('',#512254); #164819=VERTEX_POINT('',#512258); #164820=VERTEX_POINT('',#512260); #164821=VERTEX_POINT('',#512264); #164822=VERTEX_POINT('',#512266); #164823=VERTEX_POINT('',#512270); #164824=VERTEX_POINT('',#512272); #164825=VERTEX_POINT('',#512276); #164826=VERTEX_POINT('',#512278); #164827=VERTEX_POINT('',#512282); #164828=VERTEX_POINT('',#512284); #164829=VERTEX_POINT('',#512288); #164830=VERTEX_POINT('',#512290); #164831=VERTEX_POINT('',#512294); #164832=VERTEX_POINT('',#512296); #164833=VERTEX_POINT('',#512300); #164834=VERTEX_POINT('',#512302); #164835=VERTEX_POINT('',#512309); #164836=VERTEX_POINT('',#512311); #164837=VERTEX_POINT('',#512315); #164838=VERTEX_POINT('',#512317); #164839=VERTEX_POINT('',#512321); #164840=VERTEX_POINT('',#512323); #164841=VERTEX_POINT('',#512327); #164842=VERTEX_POINT('',#512329); #164843=VERTEX_POINT('',#512333); #164844=VERTEX_POINT('',#512335); #164845=VERTEX_POINT('',#512339); #164846=VERTEX_POINT('',#512341); #164847=VERTEX_POINT('',#512345); #164848=VERTEX_POINT('',#512347); #164849=VERTEX_POINT('',#512351); #164850=VERTEX_POINT('',#512353); #164851=VERTEX_POINT('',#512357); #164852=VERTEX_POINT('',#512359); #164853=VERTEX_POINT('',#512363); #164854=VERTEX_POINT('',#512365); #164855=VERTEX_POINT('',#512369); #164856=VERTEX_POINT('',#512371); #164857=VERTEX_POINT('',#512375); #164858=VERTEX_POINT('',#512377); #164859=VERTEX_POINT('',#512381); #164860=VERTEX_POINT('',#512383); #164861=VERTEX_POINT('',#512387); #164862=VERTEX_POINT('',#512389); #164863=VERTEX_POINT('',#512393); #164864=VERTEX_POINT('',#512395); #164865=VERTEX_POINT('',#512399); #164866=VERTEX_POINT('',#512401); #164867=VERTEX_POINT('',#512405); #164868=VERTEX_POINT('',#512407); #164869=VERTEX_POINT('',#512411); #164870=VERTEX_POINT('',#512413); #164871=VERTEX_POINT('',#512417); #164872=VERTEX_POINT('',#512419); #164873=VERTEX_POINT('',#512423); #164874=VERTEX_POINT('',#512425); #164875=VERTEX_POINT('',#512429); #164876=VERTEX_POINT('',#512431); #164877=VERTEX_POINT('',#512435); #164878=VERTEX_POINT('',#512437); #164879=VERTEX_POINT('',#512441); #164880=VERTEX_POINT('',#512443); #164881=VERTEX_POINT('',#512447); #164882=VERTEX_POINT('',#512449); #164883=VERTEX_POINT('',#512453); #164884=VERTEX_POINT('',#512455); #164885=VERTEX_POINT('',#512459); #164886=VERTEX_POINT('',#512461); #164887=VERTEX_POINT('',#512465); #164888=VERTEX_POINT('',#512467); #164889=VERTEX_POINT('',#512471); #164890=VERTEX_POINT('',#512473); #164891=VERTEX_POINT('',#512477); #164892=VERTEX_POINT('',#512479); #164893=VERTEX_POINT('',#512483); #164894=VERTEX_POINT('',#512485); #164895=VERTEX_POINT('',#512489); #164896=VERTEX_POINT('',#512491); #164897=VERTEX_POINT('',#512495); #164898=VERTEX_POINT('',#512497); #164899=VERTEX_POINT('',#512501); #164900=VERTEX_POINT('',#512503); #164901=VERTEX_POINT('',#512507); #164902=VERTEX_POINT('',#512509); #164903=VERTEX_POINT('',#512513); #164904=VERTEX_POINT('',#512515); #164905=VERTEX_POINT('',#512519); #164906=VERTEX_POINT('',#512521); #164907=VERTEX_POINT('',#512525); #164908=VERTEX_POINT('',#512527); #164909=VERTEX_POINT('',#512531); #164910=VERTEX_POINT('',#512533); #164911=VERTEX_POINT('',#512537); #164912=VERTEX_POINT('',#512539); #164913=VERTEX_POINT('',#512543); #164914=VERTEX_POINT('',#512545); #164915=VERTEX_POINT('',#512549); #164916=VERTEX_POINT('',#512551); #164917=VERTEX_POINT('',#512555); #164918=VERTEX_POINT('',#512557); #164919=VERTEX_POINT('',#512561); #164920=VERTEX_POINT('',#512563); #164921=VERTEX_POINT('',#512567); #164922=VERTEX_POINT('',#512569); #164923=VERTEX_POINT('',#512573); #164924=VERTEX_POINT('',#512575); #164925=VERTEX_POINT('',#512579); #164926=VERTEX_POINT('',#512581); #164927=VERTEX_POINT('',#512585); #164928=VERTEX_POINT('',#512587); #164929=VERTEX_POINT('',#512591); #164930=VERTEX_POINT('',#512593); #164931=VERTEX_POINT('',#512597); #164932=VERTEX_POINT('',#512599); #164933=VERTEX_POINT('',#512603); #164934=VERTEX_POINT('',#512605); #164935=VERTEX_POINT('',#512609); #164936=VERTEX_POINT('',#512611); #164937=VERTEX_POINT('',#512615); #164938=VERTEX_POINT('',#512617); #164939=VERTEX_POINT('',#512621); #164940=VERTEX_POINT('',#512623); #164941=VERTEX_POINT('',#512627); #164942=VERTEX_POINT('',#512629); #164943=VERTEX_POINT('',#512633); #164944=VERTEX_POINT('',#512635); #164945=VERTEX_POINT('',#512639); #164946=VERTEX_POINT('',#512641); #164947=VERTEX_POINT('',#512645); #164948=VERTEX_POINT('',#512647); #164949=VERTEX_POINT('',#512651); #164950=VERTEX_POINT('',#512653); #164951=VERTEX_POINT('',#512657); #164952=VERTEX_POINT('',#512659); #164953=VERTEX_POINT('',#512663); #164954=VERTEX_POINT('',#512665); #164955=VERTEX_POINT('',#512669); #164956=VERTEX_POINT('',#512671); #164957=VERTEX_POINT('',#512675); #164958=VERTEX_POINT('',#512677); #164959=VERTEX_POINT('',#512681); #164960=VERTEX_POINT('',#512683); #164961=VERTEX_POINT('',#512687); #164962=VERTEX_POINT('',#512689); #164963=VERTEX_POINT('',#512693); #164964=VERTEX_POINT('',#512695); #164965=VERTEX_POINT('',#512699); #164966=VERTEX_POINT('',#512701); #164967=VERTEX_POINT('',#512705); #164968=VERTEX_POINT('',#512707); #164969=VERTEX_POINT('',#512711); #164970=VERTEX_POINT('',#512713); #164971=VERTEX_POINT('',#512717); #164972=VERTEX_POINT('',#512719); #164973=VERTEX_POINT('',#512723); #164974=VERTEX_POINT('',#512725); #164975=VERTEX_POINT('',#512729); #164976=VERTEX_POINT('',#512731); #164977=VERTEX_POINT('',#512735); #164978=VERTEX_POINT('',#512737); #164979=VERTEX_POINT('',#512741); #164980=VERTEX_POINT('',#512743); #164981=VERTEX_POINT('',#512747); #164982=VERTEX_POINT('',#512749); #164983=VERTEX_POINT('',#512753); #164984=VERTEX_POINT('',#512755); #164985=VERTEX_POINT('',#512759); #164986=VERTEX_POINT('',#512761); #164987=VERTEX_POINT('',#512765); #164988=VERTEX_POINT('',#512767); #164989=VERTEX_POINT('',#512771); #164990=VERTEX_POINT('',#512773); #164991=VERTEX_POINT('',#512777); #164992=VERTEX_POINT('',#512779); #164993=VERTEX_POINT('',#512783); #164994=VERTEX_POINT('',#512785); #164995=VERTEX_POINT('',#512789); #164996=VERTEX_POINT('',#512791); #164997=VERTEX_POINT('',#512795); #164998=VERTEX_POINT('',#512797); #164999=VERTEX_POINT('',#512801); #165000=VERTEX_POINT('',#512803); #165001=VERTEX_POINT('',#512807); #165002=VERTEX_POINT('',#512809); #165003=VERTEX_POINT('',#512813); #165004=VERTEX_POINT('',#512815); #165005=VERTEX_POINT('',#512819); #165006=VERTEX_POINT('',#512821); #165007=VERTEX_POINT('',#512825); #165008=VERTEX_POINT('',#512827); #165009=VERTEX_POINT('',#512831); #165010=VERTEX_POINT('',#512833); #165011=VERTEX_POINT('',#512837); #165012=VERTEX_POINT('',#512839); #165013=VERTEX_POINT('',#512843); #165014=VERTEX_POINT('',#512845); #165015=VERTEX_POINT('',#512849); #165016=VERTEX_POINT('',#512851); #165017=VERTEX_POINT('',#512855); #165018=VERTEX_POINT('',#512857); #165019=VERTEX_POINT('',#512861); #165020=VERTEX_POINT('',#512863); #165021=VERTEX_POINT('',#512867); #165022=VERTEX_POINT('',#512869); #165023=VERTEX_POINT('',#512873); #165024=VERTEX_POINT('',#512875); #165025=VERTEX_POINT('',#512879); #165026=VERTEX_POINT('',#512881); #165027=VERTEX_POINT('',#512885); #165028=VERTEX_POINT('',#512887); #165029=VERTEX_POINT('',#512891); #165030=VERTEX_POINT('',#512893); #165031=VERTEX_POINT('',#512897); #165032=VERTEX_POINT('',#512899); #165033=VERTEX_POINT('',#512903); #165034=VERTEX_POINT('',#512905); #165035=VERTEX_POINT('',#512909); #165036=VERTEX_POINT('',#512911); #165037=VERTEX_POINT('',#512915); #165038=VERTEX_POINT('',#512917); #165039=VERTEX_POINT('',#512921); #165040=VERTEX_POINT('',#512923); #165041=VERTEX_POINT('',#512927); #165042=VERTEX_POINT('',#512929); #165043=VERTEX_POINT('',#512933); #165044=VERTEX_POINT('',#512935); #165045=VERTEX_POINT('',#512939); #165046=VERTEX_POINT('',#512941); #165047=VERTEX_POINT('',#512945); #165048=VERTEX_POINT('',#512947); #165049=VERTEX_POINT('',#512951); #165050=VERTEX_POINT('',#512953); #165051=VERTEX_POINT('',#512957); #165052=VERTEX_POINT('',#512959); #165053=VERTEX_POINT('',#512963); #165054=VERTEX_POINT('',#512965); #165055=VERTEX_POINT('',#512969); #165056=VERTEX_POINT('',#512971); #165057=VERTEX_POINT('',#512975); #165058=VERTEX_POINT('',#512977); #165059=VERTEX_POINT('',#512981); #165060=VERTEX_POINT('',#512983); #165061=VERTEX_POINT('',#512987); #165062=VERTEX_POINT('',#512989); #165063=VERTEX_POINT('',#512993); #165064=VERTEX_POINT('',#512995); #165065=VERTEX_POINT('',#512999); #165066=VERTEX_POINT('',#513001); #165067=VERTEX_POINT('',#513005); #165068=VERTEX_POINT('',#513007); #165069=VERTEX_POINT('',#513011); #165070=VERTEX_POINT('',#513013); #165071=VERTEX_POINT('',#513017); #165072=VERTEX_POINT('',#513019); #165073=VERTEX_POINT('',#513023); #165074=VERTEX_POINT('',#513025); #165075=VERTEX_POINT('',#513029); #165076=VERTEX_POINT('',#513031); #165077=VERTEX_POINT('',#513035); #165078=VERTEX_POINT('',#513037); #165079=VERTEX_POINT('',#513041); #165080=VERTEX_POINT('',#513043); #165081=VERTEX_POINT('',#513047); #165082=VERTEX_POINT('',#513049); #165083=VERTEX_POINT('',#513053); #165084=VERTEX_POINT('',#513055); #165085=VERTEX_POINT('',#513059); #165086=VERTEX_POINT('',#513061); #165087=VERTEX_POINT('',#513065); #165088=VERTEX_POINT('',#513067); #165089=VERTEX_POINT('',#513071); #165090=VERTEX_POINT('',#513073); #165091=VERTEX_POINT('',#513077); #165092=VERTEX_POINT('',#513079); #165093=VERTEX_POINT('',#513083); #165094=VERTEX_POINT('',#513085); #165095=VERTEX_POINT('',#513089); #165096=VERTEX_POINT('',#513091); #165097=VERTEX_POINT('',#513095); #165098=VERTEX_POINT('',#513097); #165099=VERTEX_POINT('',#513101); #165100=VERTEX_POINT('',#513103); #165101=VERTEX_POINT('',#513107); #165102=VERTEX_POINT('',#513109); #165103=VERTEX_POINT('',#513113); #165104=VERTEX_POINT('',#513115); #165105=VERTEX_POINT('',#513119); #165106=VERTEX_POINT('',#513121); #165107=VERTEX_POINT('',#513125); #165108=VERTEX_POINT('',#513127); #165109=VERTEX_POINT('',#513131); #165110=VERTEX_POINT('',#513133); #165111=VERTEX_POINT('',#513137); #165112=VERTEX_POINT('',#513139); #165113=VERTEX_POINT('',#513143); #165114=VERTEX_POINT('',#513145); #165115=VERTEX_POINT('',#513149); #165116=VERTEX_POINT('',#513151); #165117=VERTEX_POINT('',#513155); #165118=VERTEX_POINT('',#513157); #165119=VERTEX_POINT('',#513161); #165120=VERTEX_POINT('',#513163); #165121=VERTEX_POINT('',#513167); #165122=VERTEX_POINT('',#513169); #165123=VERTEX_POINT('',#513173); #165124=VERTEX_POINT('',#513175); #165125=VERTEX_POINT('',#513179); #165126=VERTEX_POINT('',#513181); #165127=VERTEX_POINT('',#513185); #165128=VERTEX_POINT('',#513187); #165129=VERTEX_POINT('',#513191); #165130=VERTEX_POINT('',#513193); #165131=VERTEX_POINT('',#513197); #165132=VERTEX_POINT('',#513199); #165133=VERTEX_POINT('',#513203); #165134=VERTEX_POINT('',#513205); #165135=VERTEX_POINT('',#513209); #165136=VERTEX_POINT('',#513211); #165137=VERTEX_POINT('',#513215); #165138=VERTEX_POINT('',#513217); #165139=VERTEX_POINT('',#513221); #165140=VERTEX_POINT('',#513223); #165141=VERTEX_POINT('',#513227); #165142=VERTEX_POINT('',#513229); #165143=VERTEX_POINT('',#513233); #165144=VERTEX_POINT('',#513235); #165145=VERTEX_POINT('',#513239); #165146=VERTEX_POINT('',#513241); #165147=VERTEX_POINT('',#513245); #165148=VERTEX_POINT('',#513247); #165149=VERTEX_POINT('',#513251); #165150=VERTEX_POINT('',#513253); #165151=VERTEX_POINT('',#513257); #165152=VERTEX_POINT('',#513259); #165153=VERTEX_POINT('',#513263); #165154=VERTEX_POINT('',#513265); #165155=VERTEX_POINT('',#513269); #165156=VERTEX_POINT('',#513271); #165157=VERTEX_POINT('',#513275); #165158=VERTEX_POINT('',#513277); #165159=VERTEX_POINT('',#513281); #165160=VERTEX_POINT('',#513283); #165161=VERTEX_POINT('',#513287); #165162=VERTEX_POINT('',#513288); #165163=VERTEX_POINT('',#513290); #165164=VERTEX_POINT('',#513292); #165165=VERTEX_POINT('',#513296); #165166=VERTEX_POINT('',#513298); #165167=VERTEX_POINT('',#513302); #165168=VERTEX_POINT('',#513304); #165169=VERTEX_POINT('',#513311); #165170=VERTEX_POINT('',#513313); #165171=VERTEX_POINT('',#513317); #165172=VERTEX_POINT('',#513318); #165173=VERTEX_POINT('',#513320); #165174=VERTEX_POINT('',#513322); #165175=VERTEX_POINT('',#513326); #165176=VERTEX_POINT('',#513328); #165177=VERTEX_POINT('',#513332); #165178=VERTEX_POINT('',#513334); #165179=VERTEX_POINT('',#513341); #165180=VERTEX_POINT('',#513343); #165181=VERTEX_POINT('',#513347); #165182=VERTEX_POINT('',#513349); #165183=VERTEX_POINT('',#513353); #165184=VERTEX_POINT('',#513355); #165185=VERTEX_POINT('',#513359); #165186=VERTEX_POINT('',#513360); #165187=VERTEX_POINT('',#513362); #165188=VERTEX_POINT('',#513364); #165189=VERTEX_POINT('',#513368); #165190=VERTEX_POINT('',#513370); #165191=VERTEX_POINT('',#513374); #165192=VERTEX_POINT('',#513376); #165193=VERTEX_POINT('',#513383); #165194=VERTEX_POINT('',#513385); #165195=VERTEX_POINT('',#513389); #165196=VERTEX_POINT('',#513391); #165197=VERTEX_POINT('',#513395); #165198=VERTEX_POINT('',#513397); #165199=VERTEX_POINT('',#513401); #165200=VERTEX_POINT('',#513403); #165201=VERTEX_POINT('',#513407); #165202=VERTEX_POINT('',#513409); #165203=VERTEX_POINT('',#513413); #165204=VERTEX_POINT('',#513415); #165205=VERTEX_POINT('',#513419); #165206=VERTEX_POINT('',#513421); #165207=VERTEX_POINT('',#513425); #165208=VERTEX_POINT('',#513427); #165209=VERTEX_POINT('',#513431); #165210=VERTEX_POINT('',#513433); #165211=VERTEX_POINT('',#513437); #165212=VERTEX_POINT('',#513439); #165213=VERTEX_POINT('',#513443); #165214=VERTEX_POINT('',#513444); #165215=VERTEX_POINT('',#513446); #165216=VERTEX_POINT('',#513448); #165217=VERTEX_POINT('',#513452); #165218=VERTEX_POINT('',#513454); #165219=VERTEX_POINT('',#513458); #165220=VERTEX_POINT('',#513460); #165221=VERTEX_POINT('',#513467); #165222=VERTEX_POINT('',#513469); #165223=VERTEX_POINT('',#513473); #165224=VERTEX_POINT('',#513474); #165225=VERTEX_POINT('',#513476); #165226=VERTEX_POINT('',#513478); #165227=VERTEX_POINT('',#513482); #165228=VERTEX_POINT('',#513484); #165229=VERTEX_POINT('',#513488); #165230=VERTEX_POINT('',#513490); #165231=VERTEX_POINT('',#513497); #165232=VERTEX_POINT('',#513499); #165233=VERTEX_POINT('',#513503); #165234=VERTEX_POINT('',#513504); #165235=VERTEX_POINT('',#513506); #165236=VERTEX_POINT('',#513508); #165237=VERTEX_POINT('',#513512); #165238=VERTEX_POINT('',#513514); #165239=VERTEX_POINT('',#513518); #165240=VERTEX_POINT('',#513520); #165241=VERTEX_POINT('',#513527); #165242=VERTEX_POINT('',#513529); #165243=VERTEX_POINT('',#513533); #165244=VERTEX_POINT('',#513535); #165245=VERTEX_POINT('',#513539); #165246=VERTEX_POINT('',#513541); #165247=VERTEX_POINT('',#513545); #165248=VERTEX_POINT('',#513547); #165249=VERTEX_POINT('',#513551); #165250=VERTEX_POINT('',#513553); #165251=VERTEX_POINT('',#513557); #165252=VERTEX_POINT('',#513559); #165253=VERTEX_POINT('',#513563); #165254=VERTEX_POINT('',#513565); #165255=VERTEX_POINT('',#513569); #165256=VERTEX_POINT('',#513571); #165257=VERTEX_POINT('',#513575); #165258=VERTEX_POINT('',#513577); #165259=VERTEX_POINT('',#513581); #165260=VERTEX_POINT('',#513583); #165261=VERTEX_POINT('',#513587); #165262=VERTEX_POINT('',#513589); #165263=VERTEX_POINT('',#513593); #165264=VERTEX_POINT('',#513595); #165265=VERTEX_POINT('',#513599); #165266=VERTEX_POINT('',#513601); #165267=VERTEX_POINT('',#513605); #165268=VERTEX_POINT('',#513607); #165269=VERTEX_POINT('',#513611); #165270=VERTEX_POINT('',#513613); #165271=VERTEX_POINT('',#513617); #165272=VERTEX_POINT('',#513619); #165273=VERTEX_POINT('',#513623); #165274=VERTEX_POINT('',#513625); #165275=VERTEX_POINT('',#513629); #165276=VERTEX_POINT('',#513631); #165277=VERTEX_POINT('',#513635); #165278=VERTEX_POINT('',#513637); #165279=VERTEX_POINT('',#513641); #165280=VERTEX_POINT('',#513643); #165281=VERTEX_POINT('',#513647); #165282=VERTEX_POINT('',#513649); #165283=VERTEX_POINT('',#513653); #165284=VERTEX_POINT('',#513655); #165285=VERTEX_POINT('',#513659); #165286=VERTEX_POINT('',#513661); #165287=VERTEX_POINT('',#513665); #165288=VERTEX_POINT('',#513667); #165289=VERTEX_POINT('',#513671); #165290=VERTEX_POINT('',#513673); #165291=VERTEX_POINT('',#513677); #165292=VERTEX_POINT('',#513679); #165293=VERTEX_POINT('',#513683); #165294=VERTEX_POINT('',#513685); #165295=VERTEX_POINT('',#513689); #165296=VERTEX_POINT('',#513691); #165297=VERTEX_POINT('',#513695); #165298=VERTEX_POINT('',#513697); #165299=VERTEX_POINT('',#513701); #165300=VERTEX_POINT('',#513703); #165301=VERTEX_POINT('',#513707); #165302=VERTEX_POINT('',#513709); #165303=VERTEX_POINT('',#513713); #165304=VERTEX_POINT('',#513715); #165305=VERTEX_POINT('',#513719); #165306=VERTEX_POINT('',#513721); #165307=VERTEX_POINT('',#513725); #165308=VERTEX_POINT('',#513727); #165309=VERTEX_POINT('',#513731); #165310=VERTEX_POINT('',#513733); #165311=VERTEX_POINT('',#513737); #165312=VERTEX_POINT('',#513739); #165313=VERTEX_POINT('',#513743); #165314=VERTEX_POINT('',#513745); #165315=VERTEX_POINT('',#513749); #165316=VERTEX_POINT('',#513751); #165317=VERTEX_POINT('',#513755); #165318=VERTEX_POINT('',#513757); #165319=VERTEX_POINT('',#513761); #165320=VERTEX_POINT('',#513763); #165321=VERTEX_POINT('',#513767); #165322=VERTEX_POINT('',#513769); #165323=VERTEX_POINT('',#513773); #165324=VERTEX_POINT('',#513775); #165325=VERTEX_POINT('',#513779); #165326=VERTEX_POINT('',#513781); #165327=VERTEX_POINT('',#513785); #165328=VERTEX_POINT('',#513787); #165329=VERTEX_POINT('',#513791); #165330=VERTEX_POINT('',#513793); #165331=VERTEX_POINT('',#513797); #165332=VERTEX_POINT('',#513799); #165333=VERTEX_POINT('',#513803); #165334=VERTEX_POINT('',#513805); #165335=VERTEX_POINT('',#513809); #165336=VERTEX_POINT('',#513811); #165337=VERTEX_POINT('',#513815); #165338=VERTEX_POINT('',#513817); #165339=VERTEX_POINT('',#513821); #165340=VERTEX_POINT('',#513823); #165341=VERTEX_POINT('',#513827); #165342=VERTEX_POINT('',#513829); #165343=VERTEX_POINT('',#513833); #165344=VERTEX_POINT('',#513835); #165345=VERTEX_POINT('',#513839); #165346=VERTEX_POINT('',#513841); #165347=VERTEX_POINT('',#513845); #165348=VERTEX_POINT('',#513847); #165349=VERTEX_POINT('',#513851); #165350=VERTEX_POINT('',#513853); #165351=VERTEX_POINT('',#513857); #165352=VERTEX_POINT('',#513859); #165353=VERTEX_POINT('',#513863); #165354=VERTEX_POINT('',#513865); #165355=VERTEX_POINT('',#513869); #165356=VERTEX_POINT('',#513871); #165357=VERTEX_POINT('',#513875); #165358=VERTEX_POINT('',#513877); #165359=VERTEX_POINT('',#513881); #165360=VERTEX_POINT('',#513883); #165361=VERTEX_POINT('',#513887); #165362=VERTEX_POINT('',#513889); #165363=VERTEX_POINT('',#513893); #165364=VERTEX_POINT('',#513895); #165365=VERTEX_POINT('',#513899); #165366=VERTEX_POINT('',#513901); #165367=VERTEX_POINT('',#513905); #165368=VERTEX_POINT('',#513907); #165369=VERTEX_POINT('',#513911); #165370=VERTEX_POINT('',#513913); #165371=VERTEX_POINT('',#513917); #165372=VERTEX_POINT('',#513919); #165373=VERTEX_POINT('',#513923); #165374=VERTEX_POINT('',#513925); #165375=VERTEX_POINT('',#513929); #165376=VERTEX_POINT('',#513931); #165377=VERTEX_POINT('',#513935); #165378=VERTEX_POINT('',#513937); #165379=VERTEX_POINT('',#513941); #165380=VERTEX_POINT('',#513943); #165381=VERTEX_POINT('',#513947); #165382=VERTEX_POINT('',#513949); #165383=VERTEX_POINT('',#513953); #165384=VERTEX_POINT('',#513955); #165385=VERTEX_POINT('',#513959); #165386=VERTEX_POINT('',#513961); #165387=VERTEX_POINT('',#513965); #165388=VERTEX_POINT('',#513967); #165389=VERTEX_POINT('',#513971); #165390=VERTEX_POINT('',#513973); #165391=VERTEX_POINT('',#513977); #165392=VERTEX_POINT('',#513979); #165393=VERTEX_POINT('',#513983); #165394=VERTEX_POINT('',#513985); #165395=VERTEX_POINT('',#513989); #165396=VERTEX_POINT('',#513991); #165397=VERTEX_POINT('',#513995); #165398=VERTEX_POINT('',#513997); #165399=VERTEX_POINT('',#514001); #165400=VERTEX_POINT('',#514003); #165401=VERTEX_POINT('',#514007); #165402=VERTEX_POINT('',#514009); #165403=VERTEX_POINT('',#514013); #165404=VERTEX_POINT('',#514015); #165405=VERTEX_POINT('',#514019); #165406=VERTEX_POINT('',#514021); #165407=VERTEX_POINT('',#514025); #165408=VERTEX_POINT('',#514027); #165409=VERTEX_POINT('',#514031); #165410=VERTEX_POINT('',#514033); #165411=VERTEX_POINT('',#514037); #165412=VERTEX_POINT('',#514039); #165413=VERTEX_POINT('',#514043); #165414=VERTEX_POINT('',#514045); #165415=VERTEX_POINT('',#514049); #165416=VERTEX_POINT('',#514051); #165417=VERTEX_POINT('',#514055); #165418=VERTEX_POINT('',#514057); #165419=VERTEX_POINT('',#514061); #165420=VERTEX_POINT('',#514063); #165421=VERTEX_POINT('',#514067); #165422=VERTEX_POINT('',#514069); #165423=VERTEX_POINT('',#514073); #165424=VERTEX_POINT('',#514075); #165425=VERTEX_POINT('',#514079); #165426=VERTEX_POINT('',#514081); #165427=VERTEX_POINT('',#514085); #165428=VERTEX_POINT('',#514087); #165429=VERTEX_POINT('',#514091); #165430=VERTEX_POINT('',#514093); #165431=VERTEX_POINT('',#514097); #165432=VERTEX_POINT('',#514099); #165433=VERTEX_POINT('',#514103); #165434=VERTEX_POINT('',#514105); #165435=VERTEX_POINT('',#514109); #165436=VERTEX_POINT('',#514111); #165437=VERTEX_POINT('',#514115); #165438=VERTEX_POINT('',#514117); #165439=VERTEX_POINT('',#514121); #165440=VERTEX_POINT('',#514123); #165441=VERTEX_POINT('',#514127); #165442=VERTEX_POINT('',#514129); #165443=VERTEX_POINT('',#514133); #165444=VERTEX_POINT('',#514135); #165445=VERTEX_POINT('',#514139); #165446=VERTEX_POINT('',#514141); #165447=VERTEX_POINT('',#514145); #165448=VERTEX_POINT('',#514147); #165449=VERTEX_POINT('',#514151); #165450=VERTEX_POINT('',#514153); #165451=VERTEX_POINT('',#514157); #165452=VERTEX_POINT('',#514159); #165453=VERTEX_POINT('',#514163); #165454=VERTEX_POINT('',#514165); #165455=VERTEX_POINT('',#514169); #165456=VERTEX_POINT('',#514171); #165457=VERTEX_POINT('',#514175); #165458=VERTEX_POINT('',#514177); #165459=VERTEX_POINT('',#514181); #165460=VERTEX_POINT('',#514183); #165461=VERTEX_POINT('',#514187); #165462=VERTEX_POINT('',#514189); #165463=VERTEX_POINT('',#514193); #165464=VERTEX_POINT('',#514195); #165465=VERTEX_POINT('',#514199); #165466=VERTEX_POINT('',#514201); #165467=VERTEX_POINT('',#514205); #165468=VERTEX_POINT('',#514207); #165469=VERTEX_POINT('',#514211); #165470=VERTEX_POINT('',#514213); #165471=VERTEX_POINT('',#514217); #165472=VERTEX_POINT('',#514219); #165473=VERTEX_POINT('',#514223); #165474=VERTEX_POINT('',#514225); #165475=VERTEX_POINT('',#514229); #165476=VERTEX_POINT('',#514231); #165477=VERTEX_POINT('',#514235); #165478=VERTEX_POINT('',#514237); #165479=VERTEX_POINT('',#514241); #165480=VERTEX_POINT('',#514243); #165481=VERTEX_POINT('',#514247); #165482=VERTEX_POINT('',#514249); #165483=VERTEX_POINT('',#514253); #165484=VERTEX_POINT('',#514255); #165485=VERTEX_POINT('',#514259); #165486=VERTEX_POINT('',#514261); #165487=VERTEX_POINT('',#514265); #165488=VERTEX_POINT('',#514267); #165489=VERTEX_POINT('',#514271); #165490=VERTEX_POINT('',#514273); #165491=VERTEX_POINT('',#514277); #165492=VERTEX_POINT('',#514279); #165493=VERTEX_POINT('',#514283); #165494=VERTEX_POINT('',#514285); #165495=VERTEX_POINT('',#514289); #165496=VERTEX_POINT('',#514291); #165497=VERTEX_POINT('',#514295); #165498=VERTEX_POINT('',#514297); #165499=VERTEX_POINT('',#514301); #165500=VERTEX_POINT('',#514303); #165501=VERTEX_POINT('',#514307); #165502=VERTEX_POINT('',#514309); #165503=VERTEX_POINT('',#514313); #165504=VERTEX_POINT('',#514315); #165505=VERTEX_POINT('',#514319); #165506=VERTEX_POINT('',#514321); #165507=VERTEX_POINT('',#514330); #165508=VERTEX_POINT('',#514331); #165509=VERTEX_POINT('',#514333); #165510=VERTEX_POINT('',#514335); #165511=VERTEX_POINT('',#514339); #165512=VERTEX_POINT('',#514341); #165513=VERTEX_POINT('',#514345); #165514=VERTEX_POINT('',#514347); #165515=VERTEX_POINT('',#514349); #165516=VERTEX_POINT('',#514351); #165517=VERTEX_POINT('',#514353); #165518=VERTEX_POINT('',#514355); #165519=VERTEX_POINT('',#514357); #165520=VERTEX_POINT('',#514359); #165521=VERTEX_POINT('',#514361); #165522=VERTEX_POINT('',#514363); #165523=VERTEX_POINT('',#514365); #165524=VERTEX_POINT('',#514367); #165525=VERTEX_POINT('',#514369); #165526=VERTEX_POINT('',#514371); #165527=VERTEX_POINT('',#514373); #165528=VERTEX_POINT('',#514375); #165529=VERTEX_POINT('',#514377); #165530=VERTEX_POINT('',#514379); #165531=VERTEX_POINT('',#514381); #165532=VERTEX_POINT('',#514383); #165533=VERTEX_POINT('',#514385); #165534=VERTEX_POINT('',#514387); #165535=VERTEX_POINT('',#514389); #165536=VERTEX_POINT('',#514393); #165537=VERTEX_POINT('',#514397); #165538=VERTEX_POINT('',#514399); #165539=VERTEX_POINT('',#514403); #165540=VERTEX_POINT('',#514405); #165541=VERTEX_POINT('',#514407); #165542=VERTEX_POINT('',#514409); #165543=VERTEX_POINT('',#514413); #165544=VERTEX_POINT('',#514416); #165545=VERTEX_POINT('',#514418); #165546=VERTEX_POINT('',#514421); #165547=VERTEX_POINT('',#514422); #165548=VERTEX_POINT('',#514424); #165549=VERTEX_POINT('',#514426); #165550=VERTEX_POINT('',#514429); #165551=VERTEX_POINT('',#514430); #165552=VERTEX_POINT('',#514432); #165553=VERTEX_POINT('',#514434); #165554=VERTEX_POINT('',#514437); #165555=VERTEX_POINT('',#514438); #165556=VERTEX_POINT('',#514440); #165557=VERTEX_POINT('',#514442); #165558=VERTEX_POINT('',#514445); #165559=VERTEX_POINT('',#514446); #165560=VERTEX_POINT('',#514448); #165561=VERTEX_POINT('',#514450); #165562=VERTEX_POINT('',#514454); #165563=VERTEX_POINT('',#514455); #165564=VERTEX_POINT('',#514459); #165565=VERTEX_POINT('',#514460); #165566=VERTEX_POINT('',#514462); #165567=VERTEX_POINT('',#514464); #165568=VERTEX_POINT('',#514468); #165569=VERTEX_POINT('',#514469); #165570=VERTEX_POINT('',#514473); #165571=VERTEX_POINT('',#514474); #165572=VERTEX_POINT('',#514476); #165573=VERTEX_POINT('',#514478); #165574=VERTEX_POINT('',#514482); #165575=VERTEX_POINT('',#514483); #165576=VERTEX_POINT('',#514487); #165577=VERTEX_POINT('',#514488); #165578=VERTEX_POINT('',#514490); #165579=VERTEX_POINT('',#514492); #165580=VERTEX_POINT('',#514496); #165581=VERTEX_POINT('',#514497); #165582=VERTEX_POINT('',#514502); #165583=VERTEX_POINT('',#514503); #165584=VERTEX_POINT('',#514508); #165585=VERTEX_POINT('',#514509); #165586=VERTEX_POINT('',#514513); #165587=VERTEX_POINT('',#514514); #165588=VERTEX_POINT('',#514516); #165589=VERTEX_POINT('',#514518); #165590=VERTEX_POINT('',#514522); #165591=VERTEX_POINT('',#514524); #165592=VERTEX_POINT('',#514528); #165593=VERTEX_POINT('',#514530); #165594=VERTEX_POINT('',#514537); #165595=VERTEX_POINT('',#514539); #165596=VERTEX_POINT('',#514550); #165597=VERTEX_POINT('',#514552); #165598=VERTEX_POINT('',#514554); #165599=VERTEX_POINT('',#514567); #165600=VERTEX_POINT('',#514568); #165601=VERTEX_POINT('',#514570); #165602=VERTEX_POINT('',#514572); #165603=VERTEX_POINT('',#514576); #165604=VERTEX_POINT('',#514578); #165605=VERTEX_POINT('',#514582); #165606=VERTEX_POINT('',#514584); #165607=VERTEX_POINT('',#514592); #165608=VERTEX_POINT('',#514594); #165609=VERTEX_POINT('',#514598); #165610=VERTEX_POINT('',#514600); #165611=VERTEX_POINT('',#514608); #165612=VERTEX_POINT('',#514609); #165613=VERTEX_POINT('',#514611); #165614=VERTEX_POINT('',#514613); #165615=VERTEX_POINT('',#514641); #165616=VERTEX_POINT('',#514642); #165617=VERTEX_POINT('',#514644); #165618=VERTEX_POINT('',#514646); #165619=VERTEX_POINT('',#514650); #165620=VERTEX_POINT('',#514652); #165621=VERTEX_POINT('',#514656); #165622=VERTEX_POINT('',#514658); #165623=VERTEX_POINT('',#514666); #165624=VERTEX_POINT('',#514668); #165625=VERTEX_POINT('',#514672); #165626=VERTEX_POINT('',#514674); #165627=VERTEX_POINT('',#514682); #165628=VERTEX_POINT('',#514683); #165629=VERTEX_POINT('',#514685); #165630=VERTEX_POINT('',#514687); #165631=VERTEX_POINT('',#514691); #165632=VERTEX_POINT('',#514692); #165633=VERTEX_POINT('',#514694); #165634=VERTEX_POINT('',#514696); #165635=VERTEX_POINT('',#514700); #165636=VERTEX_POINT('',#514702); #165637=VERTEX_POINT('',#514706); #165638=VERTEX_POINT('',#514708); #165639=VERTEX_POINT('',#514716); #165640=VERTEX_POINT('',#514718); #165641=VERTEX_POINT('',#514722); #165642=VERTEX_POINT('',#514724); #165643=VERTEX_POINT('',#514732); #165644=VERTEX_POINT('',#514733); #165645=VERTEX_POINT('',#514735); #165646=VERTEX_POINT('',#514737); #165647=VERTEX_POINT('',#514741); #165648=VERTEX_POINT('',#514742); #165649=VERTEX_POINT('',#514744); #165650=VERTEX_POINT('',#514746); #165651=VERTEX_POINT('',#514750); #165652=VERTEX_POINT('',#514752); #165653=VERTEX_POINT('',#514756); #165654=VERTEX_POINT('',#514758); #165655=VERTEX_POINT('',#514766); #165656=VERTEX_POINT('',#514768); #165657=VERTEX_POINT('',#514772); #165658=VERTEX_POINT('',#514774); #165659=VERTEX_POINT('',#514782); #165660=VERTEX_POINT('',#514783); #165661=VERTEX_POINT('',#514785); #165662=VERTEX_POINT('',#514787); #165663=VERTEX_POINT('',#514843); #165664=VERTEX_POINT('',#514844); #165665=VERTEX_POINT('',#514846); #165666=VERTEX_POINT('',#514850); #165667=VERTEX_POINT('',#514851); #165668=VERTEX_POINT('',#514853); #165669=VERTEX_POINT('',#514857); #165670=VERTEX_POINT('',#514858); #165671=VERTEX_POINT('',#514860); #165672=VERTEX_POINT('',#514864); #165673=VERTEX_POINT('',#514865); #165674=VERTEX_POINT('',#514867); #165675=VERTEX_POINT('',#514871); #165676=VERTEX_POINT('',#514872); #165677=VERTEX_POINT('',#514874); #165678=VERTEX_POINT('',#514881); #165679=VERTEX_POINT('',#514882); #165680=VERTEX_POINT('',#514884); #165681=VERTEX_POINT('',#514888); #165682=VERTEX_POINT('',#514889); #165683=VERTEX_POINT('',#514891); #165684=VERTEX_POINT('',#514901); #165685=VERTEX_POINT('',#514902); #165686=VERTEX_POINT('',#514904); #165687=VERTEX_POINT('',#514941); #165688=VERTEX_POINT('',#514942); #165689=VERTEX_POINT('',#514944); #165690=VERTEX_POINT('',#514948); #165691=VERTEX_POINT('',#514949); #165692=VERTEX_POINT('',#514951); #165693=VERTEX_POINT('',#514955); #165694=VERTEX_POINT('',#514956); #165695=VERTEX_POINT('',#514958); #165696=VERTEX_POINT('',#514962); #165697=VERTEX_POINT('',#514963); #165698=VERTEX_POINT('',#514965); #165699=VERTEX_POINT('',#514969); #165700=VERTEX_POINT('',#514970); #165701=VERTEX_POINT('',#514972); #165702=VERTEX_POINT('',#514979); #165703=VERTEX_POINT('',#514980); #165704=VERTEX_POINT('',#514982); #165705=VERTEX_POINT('',#514986); #165706=VERTEX_POINT('',#514987); #165707=VERTEX_POINT('',#514989); #165708=VERTEX_POINT('',#514999); #165709=VERTEX_POINT('',#515000); #165710=VERTEX_POINT('',#515002); #165711=VERTEX_POINT('',#515039); #165712=VERTEX_POINT('',#515040); #165713=VERTEX_POINT('',#515042); #165714=VERTEX_POINT('',#515044); #165715=VERTEX_POINT('',#515048); #165716=VERTEX_POINT('',#515050); #165717=VERTEX_POINT('',#515054); #165718=VERTEX_POINT('',#515056); #165719=VERTEX_POINT('',#515065); #165720=VERTEX_POINT('',#515066); #165721=VERTEX_POINT('',#515068); #165722=VERTEX_POINT('',#515070); #165723=VERTEX_POINT('',#515074); #165724=VERTEX_POINT('',#515075); #165725=VERTEX_POINT('',#515077); #165726=VERTEX_POINT('',#515079); #165727=VERTEX_POINT('',#515091); #165728=VERTEX_POINT('',#515092); #165729=VERTEX_POINT('',#515094); #165730=VERTEX_POINT('',#515096); #165731=VERTEX_POINT('',#515100); #165732=VERTEX_POINT('',#515102); #165733=VERTEX_POINT('',#515106); #165734=VERTEX_POINT('',#515108); #165735=VERTEX_POINT('',#515122); #165736=VERTEX_POINT('',#515123); #165737=VERTEX_POINT('',#515125); #165738=VERTEX_POINT('',#515127); #165739=VERTEX_POINT('',#515129); #165740=VERTEX_POINT('',#515131); #165741=VERTEX_POINT('',#515133); #165742=VERTEX_POINT('',#515135); #165743=VERTEX_POINT('',#515137); #165744=VERTEX_POINT('',#515139); #165745=VERTEX_POINT('',#515141); #165746=VERTEX_POINT('',#515143); #165747=VERTEX_POINT('',#515145); #165748=VERTEX_POINT('',#515147); #165749=VERTEX_POINT('',#515149); #165750=VERTEX_POINT('',#515151); #165751=VERTEX_POINT('',#515155); #165752=VERTEX_POINT('',#515156); #165753=VERTEX_POINT('',#515158); #165754=VERTEX_POINT('',#515160); #165755=VERTEX_POINT('',#515164); #165756=VERTEX_POINT('',#515165); #165757=VERTEX_POINT('',#515167); #165758=VERTEX_POINT('',#515169); #165759=VERTEX_POINT('',#515171); #165760=VERTEX_POINT('',#515173); #165761=VERTEX_POINT('',#515175); #165762=VERTEX_POINT('',#515177); #165763=VERTEX_POINT('',#515181); #165764=VERTEX_POINT('',#515182); #165765=VERTEX_POINT('',#515184); #165766=VERTEX_POINT('',#515186); #165767=VERTEX_POINT('',#515188); #165768=VERTEX_POINT('',#515190); #165769=VERTEX_POINT('',#515192); #165770=VERTEX_POINT('',#515194); #165771=VERTEX_POINT('',#515204); #165772=VERTEX_POINT('',#515205); #165773=VERTEX_POINT('',#515207); #165774=VERTEX_POINT('',#515209); #165775=VERTEX_POINT('',#515211); #165776=VERTEX_POINT('',#515213); #165777=VERTEX_POINT('',#515215); #165778=VERTEX_POINT('',#515217); #165779=VERTEX_POINT('',#515219); #165780=VERTEX_POINT('',#515221); #165781=VERTEX_POINT('',#515223); #165782=VERTEX_POINT('',#515225); #165783=VERTEX_POINT('',#515227); #165784=VERTEX_POINT('',#515229); #165785=VERTEX_POINT('',#515231); #165786=VERTEX_POINT('',#515233); #165787=VERTEX_POINT('',#515237); #165788=VERTEX_POINT('',#515239); #165789=VERTEX_POINT('',#515243); #165790=VERTEX_POINT('',#515244); #165791=VERTEX_POINT('',#515246); #165792=VERTEX_POINT('',#515248); #165793=VERTEX_POINT('',#515250); #165794=VERTEX_POINT('',#515252); #165795=VERTEX_POINT('',#515254); #165796=VERTEX_POINT('',#515256); #165797=VERTEX_POINT('',#515258); #165798=VERTEX_POINT('',#515260); #165799=VERTEX_POINT('',#515262); #165800=VERTEX_POINT('',#515264); #165801=VERTEX_POINT('',#515266); #165802=VERTEX_POINT('',#515268); #165803=VERTEX_POINT('',#515270); #165804=VERTEX_POINT('',#515272); #165805=VERTEX_POINT('',#515274); #165806=VERTEX_POINT('',#515276); #165807=VERTEX_POINT('',#515278); #165808=VERTEX_POINT('',#515282); #165809=VERTEX_POINT('',#515284); #165810=VERTEX_POINT('',#515286); #165811=VERTEX_POINT('',#515288); #165812=VERTEX_POINT('',#515292); #165813=VERTEX_POINT('',#515293); #165814=VERTEX_POINT('',#515296); #165815=VERTEX_POINT('',#515298); #165816=VERTEX_POINT('',#515302); #165817=VERTEX_POINT('',#515303); #165818=VERTEX_POINT('',#515309); #165819=VERTEX_POINT('',#515312); #165820=VERTEX_POINT('',#515314); #165821=VERTEX_POINT('',#515321); #165822=VERTEX_POINT('',#515322); #165823=VERTEX_POINT('',#515324); #165824=VERTEX_POINT('',#515326); #165825=VERTEX_POINT('',#515330); #165826=VERTEX_POINT('',#515331); #165827=VERTEX_POINT('',#515333); #165828=VERTEX_POINT('',#515335); #165829=VERTEX_POINT('',#515339); #165830=VERTEX_POINT('',#515340); #165831=VERTEX_POINT('',#515342); #165832=VERTEX_POINT('',#515344); #165833=VERTEX_POINT('',#515348); #165834=VERTEX_POINT('',#515349); #165835=VERTEX_POINT('',#515351); #165836=VERTEX_POINT('',#515353); #165837=VERTEX_POINT('',#515357); #165838=VERTEX_POINT('',#515358); #165839=VERTEX_POINT('',#515360); #165840=VERTEX_POINT('',#515362); #165841=VERTEX_POINT('',#515366); #165842=VERTEX_POINT('',#515367); #165843=VERTEX_POINT('',#515369); #165844=VERTEX_POINT('',#515371); #165845=VERTEX_POINT('',#515375); #165846=VERTEX_POINT('',#515376); #165847=VERTEX_POINT('',#515378); #165848=VERTEX_POINT('',#515380); #165849=VERTEX_POINT('',#515384); #165850=VERTEX_POINT('',#515385); #165851=VERTEX_POINT('',#515387); #165852=VERTEX_POINT('',#515389); #165853=VERTEX_POINT('',#515393); #165854=VERTEX_POINT('',#515394); #165855=VERTEX_POINT('',#515396); #165856=VERTEX_POINT('',#515398); #165857=VERTEX_POINT('',#515402); #165858=VERTEX_POINT('',#515403); #165859=VERTEX_POINT('',#515405); #165860=VERTEX_POINT('',#515407); #165861=VERTEX_POINT('',#515411); #165862=VERTEX_POINT('',#515412); #165863=VERTEX_POINT('',#515414); #165864=VERTEX_POINT('',#515416); #165865=VERTEX_POINT('',#515420); #165866=VERTEX_POINT('',#515421); #165867=VERTEX_POINT('',#515423); #165868=VERTEX_POINT('',#515425); #165869=VERTEX_POINT('',#515429); #165870=VERTEX_POINT('',#515431); #165871=VERTEX_POINT('',#515435); #165872=VERTEX_POINT('',#515437); #165873=VERTEX_POINT('',#515441); #165874=VERTEX_POINT('',#515442); #165875=VERTEX_POINT('',#515444); #165876=VERTEX_POINT('',#515446); #165877=VERTEX_POINT('',#515453); #165878=VERTEX_POINT('',#515455); #165879=VERTEX_POINT('',#515459); #165880=VERTEX_POINT('',#515461); #165881=VERTEX_POINT('',#515465); #165882=VERTEX_POINT('',#515466); #165883=VERTEX_POINT('',#515468); #165884=VERTEX_POINT('',#515470); #165885=VERTEX_POINT('',#515477); #165886=VERTEX_POINT('',#515479); #165887=VERTEX_POINT('',#515483); #165888=VERTEX_POINT('',#515485); #165889=VERTEX_POINT('',#515489); #165890=VERTEX_POINT('',#515490); #165891=VERTEX_POINT('',#515492); #165892=VERTEX_POINT('',#515494); #165893=VERTEX_POINT('',#515501); #165894=VERTEX_POINT('',#515503); #165895=VERTEX_POINT('',#515507); #165896=VERTEX_POINT('',#515509); #165897=VERTEX_POINT('',#515513); #165898=VERTEX_POINT('',#515514); #165899=VERTEX_POINT('',#515516); #165900=VERTEX_POINT('',#515518); #165901=VERTEX_POINT('',#515525); #165902=VERTEX_POINT('',#515527); #165903=VERTEX_POINT('',#515531); #165904=VERTEX_POINT('',#515533); #165905=VERTEX_POINT('',#515537); #165906=VERTEX_POINT('',#515538); #165907=VERTEX_POINT('',#515540); #165908=VERTEX_POINT('',#515542); #165909=VERTEX_POINT('',#515549); #165910=VERTEX_POINT('',#515551); #165911=VERTEX_POINT('',#515555); #165912=VERTEX_POINT('',#515557); #165913=VERTEX_POINT('',#515561); #165914=VERTEX_POINT('',#515562); #165915=VERTEX_POINT('',#515564); #165916=VERTEX_POINT('',#515566); #165917=VERTEX_POINT('',#515573); #165918=VERTEX_POINT('',#515575); #165919=VERTEX_POINT('',#515579); #165920=VERTEX_POINT('',#515581); #165921=VERTEX_POINT('',#515585); #165922=VERTEX_POINT('',#515587); #165923=VERTEX_POINT('',#515591); #165924=VERTEX_POINT('',#515593); #165925=VERTEX_POINT('',#515597); #165926=VERTEX_POINT('',#515598); #165927=VERTEX_POINT('',#515600); #165928=VERTEX_POINT('',#515602); #165929=VERTEX_POINT('',#515609); #165930=VERTEX_POINT('',#515611); #165931=VERTEX_POINT('',#515615); #165932=VERTEX_POINT('',#515617); #165933=VERTEX_POINT('',#515621); #165934=VERTEX_POINT('',#515622); #165935=VERTEX_POINT('',#515624); #165936=VERTEX_POINT('',#515626); #165937=VERTEX_POINT('',#515633); #165938=VERTEX_POINT('',#515635); #165939=VERTEX_POINT('',#515639); #165940=VERTEX_POINT('',#515641); #165941=VERTEX_POINT('',#515645); #165942=VERTEX_POINT('',#515646); #165943=VERTEX_POINT('',#515648); #165944=VERTEX_POINT('',#515650); #165945=VERTEX_POINT('',#515657); #165946=VERTEX_POINT('',#515659); #165947=VERTEX_POINT('',#515663); #165948=VERTEX_POINT('',#515665); #165949=VERTEX_POINT('',#515669); #165950=VERTEX_POINT('',#515670); #165951=VERTEX_POINT('',#515672); #165952=VERTEX_POINT('',#515674); #165953=VERTEX_POINT('',#515681); #165954=VERTEX_POINT('',#515683); #165955=VERTEX_POINT('',#515687); #165956=VERTEX_POINT('',#515689); #165957=VERTEX_POINT('',#515693); #165958=VERTEX_POINT('',#515694); #165959=VERTEX_POINT('',#515696); #165960=VERTEX_POINT('',#515698); #165961=VERTEX_POINT('',#515705); #165962=VERTEX_POINT('',#515707); #165963=VERTEX_POINT('',#515711); #165964=VERTEX_POINT('',#515713); #165965=VERTEX_POINT('',#515717); #165966=VERTEX_POINT('',#515718); #165967=VERTEX_POINT('',#515720); #165968=VERTEX_POINT('',#515725); #165969=VERTEX_POINT('',#515727); #165970=VERTEX_POINT('',#515729); #165971=VERTEX_POINT('',#515731); #165972=VERTEX_POINT('',#515735); #165973=VERTEX_POINT('',#515754); #165974=VERTEX_POINT('',#515756); #165975=VERTEX_POINT('',#515758); #165976=VERTEX_POINT('',#515760); #165977=VERTEX_POINT('',#515764); #165978=VERTEX_POINT('',#515766); #165979=VERTEX_POINT('',#515768); #165980=VERTEX_POINT('',#515770); #165981=VERTEX_POINT('',#515774); #165982=VERTEX_POINT('',#515775); #165983=VERTEX_POINT('',#515777); #165984=VERTEX_POINT('',#515779); #165985=VERTEX_POINT('',#515783); #165986=VERTEX_POINT('',#515785); #165987=VERTEX_POINT('',#515789); #165988=VERTEX_POINT('',#515790); #165989=VERTEX_POINT('',#515792); #165990=VERTEX_POINT('',#515794); #165991=VERTEX_POINT('',#515798); #165992=VERTEX_POINT('',#515799); #165993=VERTEX_POINT('',#515801); #165994=VERTEX_POINT('',#515803); #165995=VERTEX_POINT('',#515805); #165996=VERTEX_POINT('',#515807); #165997=VERTEX_POINT('',#515809); #165998=VERTEX_POINT('',#515811); #165999=VERTEX_POINT('',#515813); #166000=VERTEX_POINT('',#515815); #166001=VERTEX_POINT('',#515817); #166002=VERTEX_POINT('',#515819); #166003=VERTEX_POINT('',#515821); #166004=VERTEX_POINT('',#515823); #166005=VERTEX_POINT('',#515827); #166006=VERTEX_POINT('',#515828); #166007=VERTEX_POINT('',#515830); #166008=VERTEX_POINT('',#515832); #166009=VERTEX_POINT('',#515836); #166010=VERTEX_POINT('',#515838); #166011=VERTEX_POINT('',#515842); #166012=VERTEX_POINT('',#515843); #166013=VERTEX_POINT('',#515845); #166014=VERTEX_POINT('',#515847); #166015=VERTEX_POINT('',#515849); #166016=VERTEX_POINT('',#515851); #166017=VERTEX_POINT('',#515853); #166018=VERTEX_POINT('',#515855); #166019=VERTEX_POINT('',#515857); #166020=VERTEX_POINT('',#515859); #166021=VERTEX_POINT('',#515870); #166022=VERTEX_POINT('',#515873); #166023=VERTEX_POINT('',#515875); #166024=VERTEX_POINT('',#515877); #166025=VERTEX_POINT('',#515879); #166026=VERTEX_POINT('',#515881); #166027=VERTEX_POINT('',#515885); #166028=VERTEX_POINT('',#515886); #166029=VERTEX_POINT('',#515888); #166030=VERTEX_POINT('',#515890); #166031=VERTEX_POINT('',#515903); #166032=VERTEX_POINT('',#515905); #166033=VERTEX_POINT('',#515909); #166034=VERTEX_POINT('',#515911); #166035=VERTEX_POINT('',#515919); #166036=VERTEX_POINT('',#515927); #166037=VERTEX_POINT('',#515930); #166038=VERTEX_POINT('',#515932); #166039=VERTEX_POINT('',#515934); #166040=VERTEX_POINT('',#515937); #166041=VERTEX_POINT('',#515939); #166042=VERTEX_POINT('',#515941); #166043=VERTEX_POINT('',#515945); #166044=VERTEX_POINT('',#515947); #166045=VERTEX_POINT('',#515949); #166046=VERTEX_POINT('',#515951); #166047=VERTEX_POINT('',#515955); #166048=VERTEX_POINT('',#515957); #166049=VERTEX_POINT('',#515961); #166050=VERTEX_POINT('',#515962); #166051=VERTEX_POINT('',#515965); #166052=VERTEX_POINT('',#515971); #166053=VERTEX_POINT('',#515973); #166054=VERTEX_POINT('',#515976); #166055=VERTEX_POINT('',#515978); #166056=VERTEX_POINT('',#515982); #166057=VERTEX_POINT('',#515983); #166058=VERTEX_POINT('',#515985); #166059=VERTEX_POINT('',#515987); #166060=VERTEX_POINT('',#515989); #166061=VERTEX_POINT('',#515991); #166062=VERTEX_POINT('',#515993); #166063=VERTEX_POINT('',#515995); #166064=VERTEX_POINT('',#515998); #166065=VERTEX_POINT('',#515999); #166066=VERTEX_POINT('',#516001); #166067=VERTEX_POINT('',#516003); #166068=VERTEX_POINT('',#516007); #166069=VERTEX_POINT('',#516009); #166070=VERTEX_POINT('',#516013); #166071=VERTEX_POINT('',#516015); #166072=VERTEX_POINT('',#516019); #166073=VERTEX_POINT('',#516021); #166074=VERTEX_POINT('',#516025); #166075=VERTEX_POINT('',#516027); #166076=VERTEX_POINT('',#516031); #166077=VERTEX_POINT('',#516033); #166078=VERTEX_POINT('',#516037); #166079=VERTEX_POINT('',#516038); #166080=VERTEX_POINT('',#516040); #166081=VERTEX_POINT('',#516042); #166082=VERTEX_POINT('',#516046); #166083=VERTEX_POINT('',#516047); #166084=VERTEX_POINT('',#516049); #166085=VERTEX_POINT('',#516051); #166086=VERTEX_POINT('',#516055); #166087=VERTEX_POINT('',#516057); #166088=VERTEX_POINT('',#516064); #166089=VERTEX_POINT('',#516066); #166090=VERTEX_POINT('',#516070); #166091=VERTEX_POINT('',#516072); #166092=VERTEX_POINT('',#516122); #166093=VERTEX_POINT('',#516124); #166094=VERTEX_POINT('',#516132); #166095=VERTEX_POINT('',#516134); #166096=VERTEX_POINT('',#516136); #166097=VERTEX_POINT('',#516139); #166098=VERTEX_POINT('',#516143); #166099=VERTEX_POINT('',#516144); #166100=VERTEX_POINT('',#516146); #166101=VERTEX_POINT('',#516148); #166102=VERTEX_POINT('',#516157); #166103=VERTEX_POINT('',#516159); #166104=VERTEX_POINT('',#516174); #166105=VERTEX_POINT('',#516178); #166106=VERTEX_POINT('',#516180); #166107=VERTEX_POINT('',#516183); #166108=VERTEX_POINT('',#516191); #166109=VERTEX_POINT('',#516193); #166110=VERTEX_POINT('',#516196); #166111=VERTEX_POINT('',#516200); #166112=VERTEX_POINT('',#516203); #166113=VERTEX_POINT('',#516207); #166114=VERTEX_POINT('',#516215); #166115=VERTEX_POINT('',#516219); #166116=VERTEX_POINT('',#516224); #166117=VERTEX_POINT('',#516226); #166118=VERTEX_POINT('',#516289); #166119=VERTEX_POINT('',#516290); #166120=VERTEX_POINT('',#516292); #166121=VERTEX_POINT('',#516295); #166122=VERTEX_POINT('',#516299); #166123=VERTEX_POINT('',#516304); #166124=VERTEX_POINT('',#516306); #166125=VERTEX_POINT('',#516312); #166126=VERTEX_POINT('',#516318); #166127=VERTEX_POINT('',#516320); #166128=VERTEX_POINT('',#516325); #166129=VERTEX_POINT('',#516327); #166130=VERTEX_POINT('',#516329); #166131=VERTEX_POINT('',#516333); #166132=VERTEX_POINT('',#516335); #166133=VERTEX_POINT('',#516337); #166134=VERTEX_POINT('',#516339); #166135=VERTEX_POINT('',#516341); #166136=VERTEX_POINT('',#516343); #166137=VERTEX_POINT('',#516345); #166138=VERTEX_POINT('',#516347); #166139=VERTEX_POINT('',#516349); #166140=VERTEX_POINT('',#516351); #166141=VERTEX_POINT('',#516353); #166142=VERTEX_POINT('',#516355); #166143=VERTEX_POINT('',#516357); #166144=VERTEX_POINT('',#516359); #166145=VERTEX_POINT('',#516363); #166146=VERTEX_POINT('',#516367); #166147=VERTEX_POINT('',#516375); #166148=VERTEX_POINT('',#516379); #166149=VERTEX_POINT('',#516387); #166150=VERTEX_POINT('',#516391); #166151=VERTEX_POINT('',#516395); #166152=VERTEX_POINT('',#516399); #166153=VERTEX_POINT('',#516403); #166154=VERTEX_POINT('',#516407); #166155=VERTEX_POINT('',#516411); #166156=VERTEX_POINT('',#516415); #166157=VERTEX_POINT('',#516419); #166158=VERTEX_POINT('',#516423); #166159=VERTEX_POINT('',#516427); #166160=VERTEX_POINT('',#516431); #166161=VERTEX_POINT('',#516435); #166162=VERTEX_POINT('',#516439); #166163=VERTEX_POINT('',#516445); #166164=VERTEX_POINT('',#516449); #166165=VERTEX_POINT('',#516453); #166166=VERTEX_POINT('',#516457); #166167=VERTEX_POINT('',#516461); #166168=VERTEX_POINT('',#516465); #166169=VERTEX_POINT('',#516469); #166170=VERTEX_POINT('',#516473); #166171=VERTEX_POINT('',#516477); #166172=VERTEX_POINT('',#516481); #166173=VERTEX_POINT('',#516485); #166174=VERTEX_POINT('',#516489); #166175=VERTEX_POINT('',#516493); #166176=VERTEX_POINT('',#516497); #166177=VERTEX_POINT('',#516520); #166178=VERTEX_POINT('',#516521); #166179=VERTEX_POINT('',#516523); #166180=VERTEX_POINT('',#516525); #166181=VERTEX_POINT('',#516529); #166182=VERTEX_POINT('',#516531); #166183=VERTEX_POINT('',#516533); #166184=VERTEX_POINT('',#516535); #166185=VERTEX_POINT('',#516537); #166186=VERTEX_POINT('',#516539); #166187=VERTEX_POINT('',#516541); #166188=VERTEX_POINT('',#516543); #166189=VERTEX_POINT('',#516545); #166190=VERTEX_POINT('',#516547); #166191=VERTEX_POINT('',#516551); #166192=VERTEX_POINT('',#516552); #166193=VERTEX_POINT('',#516554); #166194=VERTEX_POINT('',#516556); #166195=VERTEX_POINT('',#516558); #166196=VERTEX_POINT('',#516560); #166197=VERTEX_POINT('',#516562); #166198=VERTEX_POINT('',#516564); #166199=VERTEX_POINT('',#516566); #166200=VERTEX_POINT('',#516568); #166201=VERTEX_POINT('',#516570); #166202=VERTEX_POINT('',#516572); #166203=VERTEX_POINT('',#516576); #166204=VERTEX_POINT('',#516578); #166205=VERTEX_POINT('',#516582); #166206=VERTEX_POINT('',#516583); #166207=VERTEX_POINT('',#516585); #166208=VERTEX_POINT('',#516588); #166209=VERTEX_POINT('',#516592); #166210=VERTEX_POINT('',#516593); #166211=VERTEX_POINT('',#516598); #166212=VERTEX_POINT('',#516601); #166213=VERTEX_POINT('',#516631); #166214=VERTEX_POINT('',#516632); #166215=VERTEX_POINT('',#516634); #166216=VERTEX_POINT('',#516636); #166217=VERTEX_POINT('',#516640); #166218=VERTEX_POINT('',#516642); #166219=VERTEX_POINT('',#516644); #166220=VERTEX_POINT('',#516646); #166221=VERTEX_POINT('',#516648); #166222=VERTEX_POINT('',#516650); #166223=VERTEX_POINT('',#516652); #166224=VERTEX_POINT('',#516654); #166225=VERTEX_POINT('',#516656); #166226=VERTEX_POINT('',#516658); #166227=VERTEX_POINT('',#516662); #166228=VERTEX_POINT('',#516663); #166229=VERTEX_POINT('',#516665); #166230=VERTEX_POINT('',#516667); #166231=VERTEX_POINT('',#516669); #166232=VERTEX_POINT('',#516671); #166233=VERTEX_POINT('',#516673); #166234=VERTEX_POINT('',#516675); #166235=VERTEX_POINT('',#516677); #166236=VERTEX_POINT('',#516679); #166237=VERTEX_POINT('',#516681); #166238=VERTEX_POINT('',#516683); #166239=VERTEX_POINT('',#516687); #166240=VERTEX_POINT('',#516689); #166241=VERTEX_POINT('',#516693); #166242=VERTEX_POINT('',#516694); #166243=VERTEX_POINT('',#516696); #166244=VERTEX_POINT('',#516699); #166245=VERTEX_POINT('',#516703); #166246=VERTEX_POINT('',#516704); #166247=VERTEX_POINT('',#516709); #166248=VERTEX_POINT('',#516712); #166249=VERTEX_POINT('',#516742); #166250=VERTEX_POINT('',#516743); #166251=VERTEX_POINT('',#516745); #166252=VERTEX_POINT('',#516747); #166253=VERTEX_POINT('',#516751); #166254=VERTEX_POINT('',#516753); #166255=VERTEX_POINT('',#516755); #166256=VERTEX_POINT('',#516757); #166257=VERTEX_POINT('',#516759); #166258=VERTEX_POINT('',#516761); #166259=VERTEX_POINT('',#516763); #166260=VERTEX_POINT('',#516765); #166261=VERTEX_POINT('',#516767); #166262=VERTEX_POINT('',#516769); #166263=VERTEX_POINT('',#516773); #166264=VERTEX_POINT('',#516774); #166265=VERTEX_POINT('',#516776); #166266=VERTEX_POINT('',#516778); #166267=VERTEX_POINT('',#516780); #166268=VERTEX_POINT('',#516782); #166269=VERTEX_POINT('',#516784); #166270=VERTEX_POINT('',#516786); #166271=VERTEX_POINT('',#516788); #166272=VERTEX_POINT('',#516790); #166273=VERTEX_POINT('',#516792); #166274=VERTEX_POINT('',#516794); #166275=VERTEX_POINT('',#516798); #166276=VERTEX_POINT('',#516800); #166277=VERTEX_POINT('',#516804); #166278=VERTEX_POINT('',#516805); #166279=VERTEX_POINT('',#516807); #166280=VERTEX_POINT('',#516810); #166281=VERTEX_POINT('',#516814); #166282=VERTEX_POINT('',#516815); #166283=VERTEX_POINT('',#516820); #166284=VERTEX_POINT('',#516823); #166285=VERTEX_POINT('',#516853); #166286=VERTEX_POINT('',#516854); #166287=VERTEX_POINT('',#516856); #166288=VERTEX_POINT('',#516858); #166289=VERTEX_POINT('',#516862); #166290=VERTEX_POINT('',#516864); #166291=VERTEX_POINT('',#516866); #166292=VERTEX_POINT('',#516868); #166293=VERTEX_POINT('',#516870); #166294=VERTEX_POINT('',#516872); #166295=VERTEX_POINT('',#516874); #166296=VERTEX_POINT('',#516876); #166297=VERTEX_POINT('',#516878); #166298=VERTEX_POINT('',#516880); #166299=VERTEX_POINT('',#516884); #166300=VERTEX_POINT('',#516885); #166301=VERTEX_POINT('',#516887); #166302=VERTEX_POINT('',#516889); #166303=VERTEX_POINT('',#516891); #166304=VERTEX_POINT('',#516893); #166305=VERTEX_POINT('',#516895); #166306=VERTEX_POINT('',#516897); #166307=VERTEX_POINT('',#516899); #166308=VERTEX_POINT('',#516901); #166309=VERTEX_POINT('',#516903); #166310=VERTEX_POINT('',#516905); #166311=VERTEX_POINT('',#516909); #166312=VERTEX_POINT('',#516911); #166313=VERTEX_POINT('',#516915); #166314=VERTEX_POINT('',#516916); #166315=VERTEX_POINT('',#516918); #166316=VERTEX_POINT('',#516921); #166317=VERTEX_POINT('',#516925); #166318=VERTEX_POINT('',#516926); #166319=VERTEX_POINT('',#516931); #166320=VERTEX_POINT('',#516934); #166321=VERTEX_POINT('',#516964); #166322=VERTEX_POINT('',#516965); #166323=VERTEX_POINT('',#516967); #166324=VERTEX_POINT('',#516969); #166325=VERTEX_POINT('',#516973); #166326=VERTEX_POINT('',#516975); #166327=VERTEX_POINT('',#516977); #166328=VERTEX_POINT('',#516979); #166329=VERTEX_POINT('',#516981); #166330=VERTEX_POINT('',#516983); #166331=VERTEX_POINT('',#516985); #166332=VERTEX_POINT('',#516987); #166333=VERTEX_POINT('',#516989); #166334=VERTEX_POINT('',#516991); #166335=VERTEX_POINT('',#516995); #166336=VERTEX_POINT('',#516996); #166337=VERTEX_POINT('',#516998); #166338=VERTEX_POINT('',#517000); #166339=VERTEX_POINT('',#517002); #166340=VERTEX_POINT('',#517004); #166341=VERTEX_POINT('',#517006); #166342=VERTEX_POINT('',#517008); #166343=VERTEX_POINT('',#517010); #166344=VERTEX_POINT('',#517012); #166345=VERTEX_POINT('',#517014); #166346=VERTEX_POINT('',#517016); #166347=VERTEX_POINT('',#517020); #166348=VERTEX_POINT('',#517022); #166349=VERTEX_POINT('',#517026); #166350=VERTEX_POINT('',#517027); #166351=VERTEX_POINT('',#517029); #166352=VERTEX_POINT('',#517032); #166353=VERTEX_POINT('',#517036); #166354=VERTEX_POINT('',#517037); #166355=VERTEX_POINT('',#517042); #166356=VERTEX_POINT('',#517045); #166357=VERTEX_POINT('',#517075); #166358=VERTEX_POINT('',#517076); #166359=VERTEX_POINT('',#517078); #166360=VERTEX_POINT('',#517080); #166361=VERTEX_POINT('',#517084); #166362=VERTEX_POINT('',#517086); #166363=VERTEX_POINT('',#517088); #166364=VERTEX_POINT('',#517090); #166365=VERTEX_POINT('',#517092); #166366=VERTEX_POINT('',#517094); #166367=VERTEX_POINT('',#517096); #166368=VERTEX_POINT('',#517098); #166369=VERTEX_POINT('',#517100); #166370=VERTEX_POINT('',#517102); #166371=VERTEX_POINT('',#517106); #166372=VERTEX_POINT('',#517107); #166373=VERTEX_POINT('',#517109); #166374=VERTEX_POINT('',#517111); #166375=VERTEX_POINT('',#517113); #166376=VERTEX_POINT('',#517115); #166377=VERTEX_POINT('',#517117); #166378=VERTEX_POINT('',#517119); #166379=VERTEX_POINT('',#517121); #166380=VERTEX_POINT('',#517123); #166381=VERTEX_POINT('',#517125); #166382=VERTEX_POINT('',#517127); #166383=VERTEX_POINT('',#517131); #166384=VERTEX_POINT('',#517133); #166385=VERTEX_POINT('',#517137); #166386=VERTEX_POINT('',#517138); #166387=VERTEX_POINT('',#517140); #166388=VERTEX_POINT('',#517143); #166389=VERTEX_POINT('',#517147); #166390=VERTEX_POINT('',#517148); #166391=VERTEX_POINT('',#517153); #166392=VERTEX_POINT('',#517156); #166393=VERTEX_POINT('',#517186); #166394=VERTEX_POINT('',#517187); #166395=VERTEX_POINT('',#517189); #166396=VERTEX_POINT('',#517191); #166397=VERTEX_POINT('',#517195); #166398=VERTEX_POINT('',#517197); #166399=VERTEX_POINT('',#517199); #166400=VERTEX_POINT('',#517201); #166401=VERTEX_POINT('',#517203); #166402=VERTEX_POINT('',#517205); #166403=VERTEX_POINT('',#517207); #166404=VERTEX_POINT('',#517209); #166405=VERTEX_POINT('',#517211); #166406=VERTEX_POINT('',#517213); #166407=VERTEX_POINT('',#517217); #166408=VERTEX_POINT('',#517218); #166409=VERTEX_POINT('',#517220); #166410=VERTEX_POINT('',#517222); #166411=VERTEX_POINT('',#517224); #166412=VERTEX_POINT('',#517226); #166413=VERTEX_POINT('',#517228); #166414=VERTEX_POINT('',#517230); #166415=VERTEX_POINT('',#517232); #166416=VERTEX_POINT('',#517234); #166417=VERTEX_POINT('',#517236); #166418=VERTEX_POINT('',#517238); #166419=VERTEX_POINT('',#517242); #166420=VERTEX_POINT('',#517244); #166421=VERTEX_POINT('',#517248); #166422=VERTEX_POINT('',#517249); #166423=VERTEX_POINT('',#517251); #166424=VERTEX_POINT('',#517254); #166425=VERTEX_POINT('',#517258); #166426=VERTEX_POINT('',#517259); #166427=VERTEX_POINT('',#517264); #166428=VERTEX_POINT('',#517267); #166429=VERTEX_POINT('',#517297); #166430=VERTEX_POINT('',#517298); #166431=VERTEX_POINT('',#517300); #166432=VERTEX_POINT('',#517302); #166433=VERTEX_POINT('',#517306); #166434=VERTEX_POINT('',#517308); #166435=VERTEX_POINT('',#517310); #166436=VERTEX_POINT('',#517312); #166437=VERTEX_POINT('',#517314); #166438=VERTEX_POINT('',#517316); #166439=VERTEX_POINT('',#517318); #166440=VERTEX_POINT('',#517320); #166441=VERTEX_POINT('',#517322); #166442=VERTEX_POINT('',#517324); #166443=VERTEX_POINT('',#517328); #166444=VERTEX_POINT('',#517329); #166445=VERTEX_POINT('',#517331); #166446=VERTEX_POINT('',#517333); #166447=VERTEX_POINT('',#517335); #166448=VERTEX_POINT('',#517337); #166449=VERTEX_POINT('',#517339); #166450=VERTEX_POINT('',#517341); #166451=VERTEX_POINT('',#517343); #166452=VERTEX_POINT('',#517345); #166453=VERTEX_POINT('',#517347); #166454=VERTEX_POINT('',#517349); #166455=VERTEX_POINT('',#517353); #166456=VERTEX_POINT('',#517355); #166457=VERTEX_POINT('',#517359); #166458=VERTEX_POINT('',#517360); #166459=VERTEX_POINT('',#517362); #166460=VERTEX_POINT('',#517365); #166461=VERTEX_POINT('',#517369); #166462=VERTEX_POINT('',#517370); #166463=VERTEX_POINT('',#517375); #166464=VERTEX_POINT('',#517377); #166465=VERTEX_POINT('',#517408); #166466=VERTEX_POINT('',#517409); #166467=VERTEX_POINT('',#517411); #166468=VERTEX_POINT('',#517413); #166469=VERTEX_POINT('',#517417); #166470=VERTEX_POINT('',#517419); #166471=VERTEX_POINT('',#517421); #166472=VERTEX_POINT('',#517423); #166473=VERTEX_POINT('',#517425); #166474=VERTEX_POINT('',#517427); #166475=VERTEX_POINT('',#517429); #166476=VERTEX_POINT('',#517431); #166477=VERTEX_POINT('',#517433); #166478=VERTEX_POINT('',#517435); #166479=VERTEX_POINT('',#517439); #166480=VERTEX_POINT('',#517440); #166481=VERTEX_POINT('',#517442); #166482=VERTEX_POINT('',#517444); #166483=VERTEX_POINT('',#517446); #166484=VERTEX_POINT('',#517448); #166485=VERTEX_POINT('',#517450); #166486=VERTEX_POINT('',#517452); #166487=VERTEX_POINT('',#517454); #166488=VERTEX_POINT('',#517456); #166489=VERTEX_POINT('',#517458); #166490=VERTEX_POINT('',#517460); #166491=VERTEX_POINT('',#517464); #166492=VERTEX_POINT('',#517466); #166493=VERTEX_POINT('',#517470); #166494=VERTEX_POINT('',#517471); #166495=VERTEX_POINT('',#517473); #166496=VERTEX_POINT('',#517476); #166497=VERTEX_POINT('',#517480); #166498=VERTEX_POINT('',#517481); #166499=VERTEX_POINT('',#517486); #166500=VERTEX_POINT('',#517488); #166501=VERTEX_POINT('',#517519); #166502=VERTEX_POINT('',#517520); #166503=VERTEX_POINT('',#517522); #166504=VERTEX_POINT('',#517524); #166505=VERTEX_POINT('',#517528); #166506=VERTEX_POINT('',#517530); #166507=VERTEX_POINT('',#517532); #166508=VERTEX_POINT('',#517534); #166509=VERTEX_POINT('',#517536); #166510=VERTEX_POINT('',#517538); #166511=VERTEX_POINT('',#517540); #166512=VERTEX_POINT('',#517542); #166513=VERTEX_POINT('',#517544); #166514=VERTEX_POINT('',#517546); #166515=VERTEX_POINT('',#517550); #166516=VERTEX_POINT('',#517551); #166517=VERTEX_POINT('',#517553); #166518=VERTEX_POINT('',#517555); #166519=VERTEX_POINT('',#517557); #166520=VERTEX_POINT('',#517559); #166521=VERTEX_POINT('',#517561); #166522=VERTEX_POINT('',#517563); #166523=VERTEX_POINT('',#517565); #166524=VERTEX_POINT('',#517567); #166525=VERTEX_POINT('',#517569); #166526=VERTEX_POINT('',#517571); #166527=VERTEX_POINT('',#517575); #166528=VERTEX_POINT('',#517577); #166529=VERTEX_POINT('',#517581); #166530=VERTEX_POINT('',#517582); #166531=VERTEX_POINT('',#517584); #166532=VERTEX_POINT('',#517587); #166533=VERTEX_POINT('',#517591); #166534=VERTEX_POINT('',#517592); #166535=VERTEX_POINT('',#517597); #166536=VERTEX_POINT('',#517599); #166537=VERTEX_POINT('',#517630); #166538=VERTEX_POINT('',#517631); #166539=VERTEX_POINT('',#517633); #166540=VERTEX_POINT('',#517635); #166541=VERTEX_POINT('',#517639); #166542=VERTEX_POINT('',#517641); #166543=VERTEX_POINT('',#517643); #166544=VERTEX_POINT('',#517645); #166545=VERTEX_POINT('',#517647); #166546=VERTEX_POINT('',#517649); #166547=VERTEX_POINT('',#517651); #166548=VERTEX_POINT('',#517653); #166549=VERTEX_POINT('',#517655); #166550=VERTEX_POINT('',#517657); #166551=VERTEX_POINT('',#517661); #166552=VERTEX_POINT('',#517662); #166553=VERTEX_POINT('',#517664); #166554=VERTEX_POINT('',#517666); #166555=VERTEX_POINT('',#517668); #166556=VERTEX_POINT('',#517670); #166557=VERTEX_POINT('',#517672); #166558=VERTEX_POINT('',#517674); #166559=VERTEX_POINT('',#517676); #166560=VERTEX_POINT('',#517678); #166561=VERTEX_POINT('',#517680); #166562=VERTEX_POINT('',#517682); #166563=VERTEX_POINT('',#517686); #166564=VERTEX_POINT('',#517688); #166565=VERTEX_POINT('',#517692); #166566=VERTEX_POINT('',#517693); #166567=VERTEX_POINT('',#517695); #166568=VERTEX_POINT('',#517698); #166569=VERTEX_POINT('',#517702); #166570=VERTEX_POINT('',#517703); #166571=VERTEX_POINT('',#517708); #166572=VERTEX_POINT('',#517710); #166573=VERTEX_POINT('',#517741); #166574=VERTEX_POINT('',#517742); #166575=VERTEX_POINT('',#517744); #166576=VERTEX_POINT('',#517746); #166577=VERTEX_POINT('',#517750); #166578=VERTEX_POINT('',#517752); #166579=VERTEX_POINT('',#517754); #166580=VERTEX_POINT('',#517756); #166581=VERTEX_POINT('',#517758); #166582=VERTEX_POINT('',#517760); #166583=VERTEX_POINT('',#517762); #166584=VERTEX_POINT('',#517764); #166585=VERTEX_POINT('',#517766); #166586=VERTEX_POINT('',#517768); #166587=VERTEX_POINT('',#517772); #166588=VERTEX_POINT('',#517773); #166589=VERTEX_POINT('',#517775); #166590=VERTEX_POINT('',#517777); #166591=VERTEX_POINT('',#517779); #166592=VERTEX_POINT('',#517781); #166593=VERTEX_POINT('',#517783); #166594=VERTEX_POINT('',#517785); #166595=VERTEX_POINT('',#517787); #166596=VERTEX_POINT('',#517789); #166597=VERTEX_POINT('',#517791); #166598=VERTEX_POINT('',#517793); #166599=VERTEX_POINT('',#517797); #166600=VERTEX_POINT('',#517799); #166601=VERTEX_POINT('',#517803); #166602=VERTEX_POINT('',#517804); #166603=VERTEX_POINT('',#517806); #166604=VERTEX_POINT('',#517809); #166605=VERTEX_POINT('',#517813); #166606=VERTEX_POINT('',#517814); #166607=VERTEX_POINT('',#517819); #166608=VERTEX_POINT('',#517821); #166609=VERTEX_POINT('',#517852); #166610=VERTEX_POINT('',#517853); #166611=VERTEX_POINT('',#517855); #166612=VERTEX_POINT('',#517857); #166613=VERTEX_POINT('',#517861); #166614=VERTEX_POINT('',#517863); #166615=VERTEX_POINT('',#517865); #166616=VERTEX_POINT('',#517867); #166617=VERTEX_POINT('',#517869); #166618=VERTEX_POINT('',#517871); #166619=VERTEX_POINT('',#517873); #166620=VERTEX_POINT('',#517875); #166621=VERTEX_POINT('',#517877); #166622=VERTEX_POINT('',#517879); #166623=VERTEX_POINT('',#517883); #166624=VERTEX_POINT('',#517884); #166625=VERTEX_POINT('',#517886); #166626=VERTEX_POINT('',#517888); #166627=VERTEX_POINT('',#517890); #166628=VERTEX_POINT('',#517892); #166629=VERTEX_POINT('',#517894); #166630=VERTEX_POINT('',#517896); #166631=VERTEX_POINT('',#517898); #166632=VERTEX_POINT('',#517900); #166633=VERTEX_POINT('',#517902); #166634=VERTEX_POINT('',#517904); #166635=VERTEX_POINT('',#517908); #166636=VERTEX_POINT('',#517910); #166637=VERTEX_POINT('',#517914); #166638=VERTEX_POINT('',#517915); #166639=VERTEX_POINT('',#517917); #166640=VERTEX_POINT('',#517920); #166641=VERTEX_POINT('',#517924); #166642=VERTEX_POINT('',#517925); #166643=VERTEX_POINT('',#517930); #166644=VERTEX_POINT('',#517932); #166645=VERTEX_POINT('',#517963); #166646=VERTEX_POINT('',#517964); #166647=VERTEX_POINT('',#517966); #166648=VERTEX_POINT('',#517968); #166649=VERTEX_POINT('',#517972); #166650=VERTEX_POINT('',#517974); #166651=VERTEX_POINT('',#517976); #166652=VERTEX_POINT('',#517978); #166653=VERTEX_POINT('',#517980); #166654=VERTEX_POINT('',#517982); #166655=VERTEX_POINT('',#517984); #166656=VERTEX_POINT('',#517986); #166657=VERTEX_POINT('',#517988); #166658=VERTEX_POINT('',#517990); #166659=VERTEX_POINT('',#517994); #166660=VERTEX_POINT('',#517995); #166661=VERTEX_POINT('',#517997); #166662=VERTEX_POINT('',#517999); #166663=VERTEX_POINT('',#518001); #166664=VERTEX_POINT('',#518003); #166665=VERTEX_POINT('',#518005); #166666=VERTEX_POINT('',#518007); #166667=VERTEX_POINT('',#518009); #166668=VERTEX_POINT('',#518011); #166669=VERTEX_POINT('',#518013); #166670=VERTEX_POINT('',#518015); #166671=VERTEX_POINT('',#518019); #166672=VERTEX_POINT('',#518021); #166673=VERTEX_POINT('',#518025); #166674=VERTEX_POINT('',#518026); #166675=VERTEX_POINT('',#518028); #166676=VERTEX_POINT('',#518031); #166677=VERTEX_POINT('',#518035); #166678=VERTEX_POINT('',#518036); #166679=VERTEX_POINT('',#518041); #166680=VERTEX_POINT('',#518043); #166681=VERTEX_POINT('',#518074); #166682=VERTEX_POINT('',#518075); #166683=VERTEX_POINT('',#518077); #166684=VERTEX_POINT('',#518079); #166685=VERTEX_POINT('',#518083); #166686=VERTEX_POINT('',#518085); #166687=VERTEX_POINT('',#518089); #166688=VERTEX_POINT('',#518091); #166689=VERTEX_POINT('',#518095); #166690=VERTEX_POINT('',#518097); #166691=VERTEX_POINT('',#518101); #166692=VERTEX_POINT('',#518103); #166693=VERTEX_POINT('',#518107); #166694=VERTEX_POINT('',#518109); #166695=VERTEX_POINT('',#518113); #166696=VERTEX_POINT('',#518115); #166697=VERTEX_POINT('',#518119); #166698=VERTEX_POINT('',#518121); #166699=VERTEX_POINT('',#518125); #166700=VERTEX_POINT('',#518127); #166701=VERTEX_POINT('',#518131); #166702=VERTEX_POINT('',#518133); #166703=VERTEX_POINT('',#518137); #166704=VERTEX_POINT('',#518139); #166705=VERTEX_POINT('',#518143); #166706=VERTEX_POINT('',#518145); #166707=VERTEX_POINT('',#518149); #166708=VERTEX_POINT('',#518151); #166709=VERTEX_POINT('',#518155); #166710=VERTEX_POINT('',#518157); #166711=VERTEX_POINT('',#518161); #166712=VERTEX_POINT('',#518163); #166713=VERTEX_POINT('',#518167); #166714=VERTEX_POINT('',#518169); #166715=VERTEX_POINT('',#518173); #166716=VERTEX_POINT('',#518175); #166717=VERTEX_POINT('',#518179); #166718=VERTEX_POINT('',#518181); #166719=VERTEX_POINT('',#518185); #166720=VERTEX_POINT('',#518187); #166721=VERTEX_POINT('',#518191); #166722=VERTEX_POINT('',#518193); #166723=VERTEX_POINT('',#518197); #166724=VERTEX_POINT('',#518199); #166725=VERTEX_POINT('',#518203); #166726=VERTEX_POINT('',#518205); #166727=VERTEX_POINT('',#518209); #166728=VERTEX_POINT('',#518211); #166729=VERTEX_POINT('',#518215); #166730=VERTEX_POINT('',#518217); #166731=VERTEX_POINT('',#518221); #166732=VERTEX_POINT('',#518223); #166733=VERTEX_POINT('',#518227); #166734=VERTEX_POINT('',#518229); #166735=VERTEX_POINT('',#518233); #166736=VERTEX_POINT('',#518235); #166737=VERTEX_POINT('',#518239); #166738=VERTEX_POINT('',#518241); #166739=VERTEX_POINT('',#518245); #166740=VERTEX_POINT('',#518247); #166741=VERTEX_POINT('',#518251); #166742=VERTEX_POINT('',#518253); #166743=VERTEX_POINT('',#518257); #166744=VERTEX_POINT('',#518259); #166745=VERTEX_POINT('',#518263); #166746=VERTEX_POINT('',#518265); #166747=VERTEX_POINT('',#518269); #166748=VERTEX_POINT('',#518271); #166749=VERTEX_POINT('',#518275); #166750=VERTEX_POINT('',#518277); #166751=VERTEX_POINT('',#518281); #166752=VERTEX_POINT('',#518283); #166753=VERTEX_POINT('',#518293); #166754=VERTEX_POINT('',#518294); #166755=VERTEX_POINT('',#518296); #166756=VERTEX_POINT('',#518298); #166757=VERTEX_POINT('',#518302); #166758=VERTEX_POINT('',#518303); #166759=VERTEX_POINT('',#518305); #166760=VERTEX_POINT('',#518307); #166761=VERTEX_POINT('',#518311); #166762=VERTEX_POINT('',#518312); #166763=VERTEX_POINT('',#518314); #166764=VERTEX_POINT('',#518316); #166765=VERTEX_POINT('',#518318); #166766=VERTEX_POINT('',#518320); #166767=VERTEX_POINT('',#518322); #166768=VERTEX_POINT('',#518324); #166769=VERTEX_POINT('',#518326); #166770=VERTEX_POINT('',#518328); #166771=VERTEX_POINT('',#518330); #166772=VERTEX_POINT('',#518332); #166773=VERTEX_POINT('',#518334); #166774=VERTEX_POINT('',#518336); #166775=VERTEX_POINT('',#518338); #166776=VERTEX_POINT('',#518340); #166777=VERTEX_POINT('',#518342); #166778=VERTEX_POINT('',#518344); #166779=VERTEX_POINT('',#518346); #166780=VERTEX_POINT('',#518348); #166781=VERTEX_POINT('',#518350); #166782=VERTEX_POINT('',#518352); #166783=VERTEX_POINT('',#518354); #166784=VERTEX_POINT('',#518356); #166785=VERTEX_POINT('',#518358); #166786=VERTEX_POINT('',#518360); #166787=VERTEX_POINT('',#518362); #166788=VERTEX_POINT('',#518364); #166789=VERTEX_POINT('',#518366); #166790=VERTEX_POINT('',#518368); #166791=VERTEX_POINT('',#518370); #166792=VERTEX_POINT('',#518372); #166793=VERTEX_POINT('',#518374); #166794=VERTEX_POINT('',#518376); #166795=VERTEX_POINT('',#518378); #166796=VERTEX_POINT('',#518380); #166797=VERTEX_POINT('',#518382); #166798=VERTEX_POINT('',#518384); #166799=VERTEX_POINT('',#518386); #166800=VERTEX_POINT('',#518389); #166801=VERTEX_POINT('',#518390); #166802=VERTEX_POINT('',#518392); #166803=VERTEX_POINT('',#518394); #166804=VERTEX_POINT('',#518396); #166805=VERTEX_POINT('',#518398); #166806=VERTEX_POINT('',#518400); #166807=VERTEX_POINT('',#518402); #166808=VERTEX_POINT('',#518406); #166809=VERTEX_POINT('',#518407); #166810=VERTEX_POINT('',#518409); #166811=VERTEX_POINT('',#518411); #166812=VERTEX_POINT('',#518413); #166813=VERTEX_POINT('',#518415); #166814=VERTEX_POINT('',#518417); #166815=VERTEX_POINT('',#518419); #166816=VERTEX_POINT('',#518421); #166817=VERTEX_POINT('',#518423); #166818=VERTEX_POINT('',#518425); #166819=VERTEX_POINT('',#518427); #166820=VERTEX_POINT('',#518429); #166821=VERTEX_POINT('',#518431); #166822=VERTEX_POINT('',#518433); #166823=VERTEX_POINT('',#518435); #166824=VERTEX_POINT('',#518437); #166825=VERTEX_POINT('',#518439); #166826=VERTEX_POINT('',#518441); #166827=VERTEX_POINT('',#518443); #166828=VERTEX_POINT('',#518445); #166829=VERTEX_POINT('',#518447); #166830=VERTEX_POINT('',#518449); #166831=VERTEX_POINT('',#518451); #166832=VERTEX_POINT('',#518453); #166833=VERTEX_POINT('',#518455); #166834=VERTEX_POINT('',#518457); #166835=VERTEX_POINT('',#518459); #166836=VERTEX_POINT('',#518461); #166837=VERTEX_POINT('',#518463); #166838=VERTEX_POINT('',#518465); #166839=VERTEX_POINT('',#518467); #166840=VERTEX_POINT('',#518469); #166841=VERTEX_POINT('',#518471); #166842=VERTEX_POINT('',#518473); #166843=VERTEX_POINT('',#518475); #166844=VERTEX_POINT('',#518477); #166845=VERTEX_POINT('',#518479); #166846=VERTEX_POINT('',#518481); #166847=VERTEX_POINT('',#518484); #166848=VERTEX_POINT('',#518485); #166849=VERTEX_POINT('',#518487); #166850=VERTEX_POINT('',#518489); #166851=VERTEX_POINT('',#518491); #166852=VERTEX_POINT('',#518493); #166853=VERTEX_POINT('',#518495); #166854=VERTEX_POINT('',#518497); #166855=VERTEX_POINT('',#518505); #166856=VERTEX_POINT('',#518509); #166857=VERTEX_POINT('',#518510); #166858=VERTEX_POINT('',#518512); #166859=VERTEX_POINT('',#518514); #166860=VERTEX_POINT('',#518516); #166861=VERTEX_POINT('',#518520); #166862=VERTEX_POINT('',#518521); #166863=VERTEX_POINT('',#518523); #166864=VERTEX_POINT('',#518525); #166865=VERTEX_POINT('',#518527); #166866=VERTEX_POINT('',#518531); #166867=VERTEX_POINT('',#518532); #166868=VERTEX_POINT('',#518535); #166869=VERTEX_POINT('',#518537); #166870=VERTEX_POINT('',#518540); #166871=VERTEX_POINT('',#518542); #166872=VERTEX_POINT('',#518544); #166873=VERTEX_POINT('',#518546); #166874=VERTEX_POINT('',#518549); #166875=VERTEX_POINT('',#518550); #166876=VERTEX_POINT('',#518552); #166877=VERTEX_POINT('',#518554); #166878=VERTEX_POINT('',#518556); #166879=VERTEX_POINT('',#518558); #166880=VERTEX_POINT('',#518560); #166881=VERTEX_POINT('',#518562); #166882=VERTEX_POINT('',#518565); #166883=VERTEX_POINT('',#518566); #166884=VERTEX_POINT('',#518568); #166885=VERTEX_POINT('',#518570); #166886=VERTEX_POINT('',#518572); #166887=VERTEX_POINT('',#518574); #166888=VERTEX_POINT('',#518576); #166889=VERTEX_POINT('',#518578); #166890=VERTEX_POINT('',#518582); #166891=VERTEX_POINT('',#518583); #166892=VERTEX_POINT('',#518585); #166893=VERTEX_POINT('',#518587); #166894=VERTEX_POINT('',#518589); #166895=VERTEX_POINT('',#518591); #166896=VERTEX_POINT('',#518593); #166897=VERTEX_POINT('',#518595); #166898=VERTEX_POINT('',#518597); #166899=VERTEX_POINT('',#518599); #166900=VERTEX_POINT('',#518601); #166901=VERTEX_POINT('',#518603); #166902=VERTEX_POINT('',#518605); #166903=VERTEX_POINT('',#518607); #166904=VERTEX_POINT('',#518609); #166905=VERTEX_POINT('',#518611); #166906=VERTEX_POINT('',#518613); #166907=VERTEX_POINT('',#518615); #166908=VERTEX_POINT('',#518617); #166909=VERTEX_POINT('',#518619); #166910=VERTEX_POINT('',#518621); #166911=VERTEX_POINT('',#518623); #166912=VERTEX_POINT('',#518625); #166913=VERTEX_POINT('',#518627); #166914=VERTEX_POINT('',#518629); #166915=VERTEX_POINT('',#518631); #166916=VERTEX_POINT('',#518633); #166917=VERTEX_POINT('',#518635); #166918=VERTEX_POINT('',#518637); #166919=VERTEX_POINT('',#518639); #166920=VERTEX_POINT('',#518641); #166921=VERTEX_POINT('',#518643); #166922=VERTEX_POINT('',#518645); #166923=VERTEX_POINT('',#518647); #166924=VERTEX_POINT('',#518649); #166925=VERTEX_POINT('',#518651); #166926=VERTEX_POINT('',#518653); #166927=VERTEX_POINT('',#518655); #166928=VERTEX_POINT('',#518657); #166929=VERTEX_POINT('',#518659); #166930=VERTEX_POINT('',#518661); #166931=VERTEX_POINT('',#518663); #166932=VERTEX_POINT('',#518666); #166933=VERTEX_POINT('',#518667); #166934=VERTEX_POINT('',#518669); #166935=VERTEX_POINT('',#518671); #166936=VERTEX_POINT('',#518675); #166937=VERTEX_POINT('',#518676); #166938=VERTEX_POINT('',#518678); #166939=VERTEX_POINT('',#518680); #166940=VERTEX_POINT('',#518682); #166941=VERTEX_POINT('',#518684); #166942=VERTEX_POINT('',#518686); #166943=VERTEX_POINT('',#518688); #166944=VERTEX_POINT('',#518692); #166945=VERTEX_POINT('',#518693); #166946=VERTEX_POINT('',#518696); #166947=VERTEX_POINT('',#518698); #166948=VERTEX_POINT('',#518700); #166949=VERTEX_POINT('',#518702); #166950=VERTEX_POINT('',#518704); #166951=VERTEX_POINT('',#518706); #166952=VERTEX_POINT('',#518708); #166953=VERTEX_POINT('',#518710); #166954=VERTEX_POINT('',#518712); #166955=VERTEX_POINT('',#518714); #166956=VERTEX_POINT('',#518716); #166957=VERTEX_POINT('',#518718); #166958=VERTEX_POINT('',#518720); #166959=VERTEX_POINT('',#518722); #166960=VERTEX_POINT('',#518724); #166961=VERTEX_POINT('',#518726); #166962=VERTEX_POINT('',#518728); #166963=VERTEX_POINT('',#518730); #166964=VERTEX_POINT('',#518732); #166965=VERTEX_POINT('',#518734); #166966=VERTEX_POINT('',#518736); #166967=VERTEX_POINT('',#518738); #166968=VERTEX_POINT('',#518740); #166969=VERTEX_POINT('',#518742); #166970=VERTEX_POINT('',#518744); #166971=VERTEX_POINT('',#518747); #166972=VERTEX_POINT('',#518749); #166973=VERTEX_POINT('',#518751); #166974=VERTEX_POINT('',#518753); #166975=VERTEX_POINT('',#518755); #166976=VERTEX_POINT('',#518757); #166977=VERTEX_POINT('',#518761); #166978=VERTEX_POINT('',#518762); #166979=VERTEX_POINT('',#518764); #166980=VERTEX_POINT('',#518766); #166981=VERTEX_POINT('',#518768); #166982=VERTEX_POINT('',#518770); #166983=VERTEX_POINT('',#518772); #166984=VERTEX_POINT('',#518774); #166985=VERTEX_POINT('',#518778); #166986=VERTEX_POINT('',#518780); #166987=VERTEX_POINT('',#518784); #166988=VERTEX_POINT('',#518786); #166989=VERTEX_POINT('',#518790); #166990=VERTEX_POINT('',#518792); #166991=VERTEX_POINT('',#518796); #166992=VERTEX_POINT('',#518798); #166993=VERTEX_POINT('',#518802); #166994=VERTEX_POINT('',#518803); #166995=VERTEX_POINT('',#518805); #166996=VERTEX_POINT('',#518807); #166997=VERTEX_POINT('',#518809); #166998=VERTEX_POINT('',#518811); #166999=VERTEX_POINT('',#518815); #167000=VERTEX_POINT('',#518817); #167001=VERTEX_POINT('',#518819); #167002=VERTEX_POINT('',#518824); #167003=VERTEX_POINT('',#518825); #167004=VERTEX_POINT('',#518827); #167005=VERTEX_POINT('',#518829); #167006=VERTEX_POINT('',#518831); #167007=VERTEX_POINT('',#518833); #167008=VERTEX_POINT('',#518835); #167009=VERTEX_POINT('',#518837); #167010=VERTEX_POINT('',#518839); #167011=VERTEX_POINT('',#518841); #167012=VERTEX_POINT('',#518843); #167013=VERTEX_POINT('',#518845); #167014=VERTEX_POINT('',#518847); #167015=VERTEX_POINT('',#518849); #167016=VERTEX_POINT('',#518851); #167017=VERTEX_POINT('',#518853); #167018=VERTEX_POINT('',#518855); #167019=VERTEX_POINT('',#518857); #167020=VERTEX_POINT('',#518859); #167021=VERTEX_POINT('',#518861); #167022=VERTEX_POINT('',#518863); #167023=VERTEX_POINT('',#518865); #167024=VERTEX_POINT('',#518867); #167025=VERTEX_POINT('',#518869); #167026=VERTEX_POINT('',#518871); #167027=VERTEX_POINT('',#518873); #167028=VERTEX_POINT('',#518875); #167029=VERTEX_POINT('',#518877); #167030=VERTEX_POINT('',#518879); #167031=VERTEX_POINT('',#518881); #167032=VERTEX_POINT('',#518883); #167033=VERTEX_POINT('',#518885); #167034=VERTEX_POINT('',#518887); #167035=VERTEX_POINT('',#518889); #167036=VERTEX_POINT('',#518891); #167037=VERTEX_POINT('',#518893); #167038=VERTEX_POINT('',#518895); #167039=VERTEX_POINT('',#518897); #167040=VERTEX_POINT('',#518899); #167041=VERTEX_POINT('',#518901); #167042=VERTEX_POINT('',#518903); #167043=VERTEX_POINT('',#518905); #167044=VERTEX_POINT('',#518908); #167045=VERTEX_POINT('',#518909); #167046=VERTEX_POINT('',#518911); #167047=VERTEX_POINT('',#518913); #167048=VERTEX_POINT('',#518917); #167049=VERTEX_POINT('',#518928); #167050=VERTEX_POINT('',#518930); #167051=VERTEX_POINT('',#518934); #167052=VERTEX_POINT('',#518936); #167053=VERTEX_POINT('',#518940); #167054=VERTEX_POINT('',#518941); #167055=VERTEX_POINT('',#518943); #167056=VERTEX_POINT('',#518945); #167057=VERTEX_POINT('',#518947); #167058=VERTEX_POINT('',#518949); #167059=VERTEX_POINT('',#518951); #167060=VERTEX_POINT('',#518953); #167061=VERTEX_POINT('',#518955); #167062=VERTEX_POINT('',#518957); #167063=VERTEX_POINT('',#518959); #167064=VERTEX_POINT('',#518961); #167065=VERTEX_POINT('',#518963); #167066=VERTEX_POINT('',#518965); #167067=VERTEX_POINT('',#518967); #167068=VERTEX_POINT('',#518969); #167069=VERTEX_POINT('',#518971); #167070=VERTEX_POINT('',#518973); #167071=VERTEX_POINT('',#518975); #167072=VERTEX_POINT('',#518977); #167073=VERTEX_POINT('',#518979); #167074=VERTEX_POINT('',#518981); #167075=VERTEX_POINT('',#518983); #167076=VERTEX_POINT('',#518985); #167077=VERTEX_POINT('',#518987); #167078=VERTEX_POINT('',#518989); #167079=VERTEX_POINT('',#518991); #167080=VERTEX_POINT('',#518993); #167081=VERTEX_POINT('',#518995); #167082=VERTEX_POINT('',#518997); #167083=VERTEX_POINT('',#518999); #167084=VERTEX_POINT('',#519001); #167085=VERTEX_POINT('',#519003); #167086=VERTEX_POINT('',#519005); #167087=VERTEX_POINT('',#519007); #167088=VERTEX_POINT('',#519009); #167089=VERTEX_POINT('',#519011); #167090=VERTEX_POINT('',#519013); #167091=VERTEX_POINT('',#519015); #167092=VERTEX_POINT('',#519018); #167093=VERTEX_POINT('',#519019); #167094=VERTEX_POINT('',#519021); #167095=VERTEX_POINT('',#519023); #167096=VERTEX_POINT('',#519025); #167097=VERTEX_POINT('',#519027); #167098=VERTEX_POINT('',#519031); #167099=VERTEX_POINT('',#519033); #167100=VERTEX_POINT('',#519035); #167101=VERTEX_POINT('',#519037); #167102=VERTEX_POINT('',#519041); #167103=VERTEX_POINT('',#519043); #167104=VERTEX_POINT('',#519046); #167105=VERTEX_POINT('',#519048); #167106=VERTEX_POINT('',#519050); #167107=VERTEX_POINT('',#519052); #167108=VERTEX_POINT('',#519054); #167109=VERTEX_POINT('',#519056); #167110=VERTEX_POINT('',#519059); #167111=VERTEX_POINT('',#519061); #167112=VERTEX_POINT('',#519063); #167113=VERTEX_POINT('',#519065); #167114=VERTEX_POINT('',#519067); #167115=VERTEX_POINT('',#519069); #167116=VERTEX_POINT('',#519071); #167117=VERTEX_POINT('',#519073); #167118=VERTEX_POINT('',#519075); #167119=VERTEX_POINT('',#519077); #167120=VERTEX_POINT('',#519079); #167121=VERTEX_POINT('',#519081); #167122=VERTEX_POINT('',#519083); #167123=VERTEX_POINT('',#519085); #167124=VERTEX_POINT('',#519087); #167125=VERTEX_POINT('',#519089); #167126=VERTEX_POINT('',#519091); #167127=VERTEX_POINT('',#519093); #167128=VERTEX_POINT('',#519095); #167129=VERTEX_POINT('',#519097); #167130=VERTEX_POINT('',#519099); #167131=VERTEX_POINT('',#519101); #167132=VERTEX_POINT('',#519103); #167133=VERTEX_POINT('',#519105); #167134=VERTEX_POINT('',#519107); #167135=VERTEX_POINT('',#519110); #167136=VERTEX_POINT('',#519112); #167137=VERTEX_POINT('',#519115); #167138=VERTEX_POINT('',#519117); #167139=VERTEX_POINT('',#519124); #167140=VERTEX_POINT('',#519130); #167141=VERTEX_POINT('',#519143); #167142=VERTEX_POINT('',#519145); #167143=VERTEX_POINT('',#519149); #167144=VERTEX_POINT('',#519154); #167145=VERTEX_POINT('',#519156); #167146=VERTEX_POINT('',#519158); #167147=VERTEX_POINT('',#519181); #167148=VERTEX_POINT('',#519185); #167149=VERTEX_POINT('',#519188); #167150=VERTEX_POINT('',#519192); #167151=VERTEX_POINT('',#519196); #167152=VERTEX_POINT('',#519198); #167153=VERTEX_POINT('',#519200); #167154=VERTEX_POINT('',#519202); #167155=VERTEX_POINT('',#519204); #167156=VERTEX_POINT('',#519206); #167157=VERTEX_POINT('',#519208); #167158=VERTEX_POINT('',#519210); #167159=VERTEX_POINT('',#519213); #167160=VERTEX_POINT('',#519215); #167161=VERTEX_POINT('',#519217); #167162=VERTEX_POINT('',#519225); #167163=VERTEX_POINT('',#519231); #167164=VERTEX_POINT('',#519245); #167165=VERTEX_POINT('',#519247); #167166=VERTEX_POINT('',#519249); #167167=VERTEX_POINT('',#519251); #167168=VERTEX_POINT('',#519253); #167169=VERTEX_POINT('',#519255); #167170=VERTEX_POINT('',#519257); #167171=VERTEX_POINT('',#519259); #167172=VERTEX_POINT('',#519261); #167173=VERTEX_POINT('',#519263); #167174=VERTEX_POINT('',#519265); #167175=VERTEX_POINT('',#519267); #167176=VERTEX_POINT('',#519269); #167177=VERTEX_POINT('',#519271); #167178=VERTEX_POINT('',#519274); #167179=VERTEX_POINT('',#519276); #167180=VERTEX_POINT('',#519278); #167181=VERTEX_POINT('',#519280); #167182=VERTEX_POINT('',#519282); #167183=VERTEX_POINT('',#519284); #167184=VERTEX_POINT('',#519286); #167185=VERTEX_POINT('',#519288); #167186=VERTEX_POINT('',#519290); #167187=VERTEX_POINT('',#519292); #167188=VERTEX_POINT('',#519294); #167189=VERTEX_POINT('',#519296); #167190=VERTEX_POINT('',#519298); #167191=VERTEX_POINT('',#519300); #167192=VERTEX_POINT('',#519302); #167193=VERTEX_POINT('',#519304); #167194=VERTEX_POINT('',#519306); #167195=VERTEX_POINT('',#519308); #167196=VERTEX_POINT('',#519311); #167197=VERTEX_POINT('',#519312); #167198=VERTEX_POINT('',#519314); #167199=VERTEX_POINT('',#519316); #167200=VERTEX_POINT('',#519318); #167201=VERTEX_POINT('',#519320); #167202=VERTEX_POINT('',#519332); #167203=VERTEX_POINT('',#519336); #167204=VERTEX_POINT('',#519339); #167205=VERTEX_POINT('',#519341); #167206=VERTEX_POINT('',#519344); #167207=VERTEX_POINT('',#519346); #167208=VERTEX_POINT('',#519349); #167209=VERTEX_POINT('',#519351); #167210=VERTEX_POINT('',#519354); #167211=VERTEX_POINT('',#519356); #167212=VERTEX_POINT('',#519367); #167213=VERTEX_POINT('',#519373); #167214=VERTEX_POINT('',#519386); #167215=VERTEX_POINT('',#519390); #167216=VERTEX_POINT('',#519399); #167217=VERTEX_POINT('',#519401); #167218=VERTEX_POINT('',#519403); #167219=VERTEX_POINT('',#519405); #167220=VERTEX_POINT('',#519436); #167221=VERTEX_POINT('',#519523); #167222=VERTEX_POINT('',#519581); #167223=VERTEX_POINT('',#519583); #167224=VERTEX_POINT('',#519585); #167225=VERTEX_POINT('',#519587); #167226=VERTEX_POINT('',#519589); #167227=VERTEX_POINT('',#519591); #167228=VERTEX_POINT('',#519594); #167229=VERTEX_POINT('',#519596); #167230=VERTEX_POINT('',#519598); #167231=VERTEX_POINT('',#519600); #167232=VERTEX_POINT('',#519602); #167233=VERTEX_POINT('',#519604); #167234=VERTEX_POINT('',#519608); #167235=VERTEX_POINT('',#519609); #167236=VERTEX_POINT('',#519611); #167237=VERTEX_POINT('',#519613); #167238=VERTEX_POINT('',#519615); #167239=VERTEX_POINT('',#519617); #167240=VERTEX_POINT('',#519620); #167241=VERTEX_POINT('',#519622); #167242=VERTEX_POINT('',#519624); #167243=VERTEX_POINT('',#519626); #167244=VERTEX_POINT('',#519628); #167245=VERTEX_POINT('',#519630); #167246=VERTEX_POINT('',#519669); #167247=VERTEX_POINT('',#519671); #167248=VERTEX_POINT('',#519673); #167249=VERTEX_POINT('',#519675); #167250=VERTEX_POINT('',#519677); #167251=VERTEX_POINT('',#519679); #167252=VERTEX_POINT('',#519682); #167253=VERTEX_POINT('',#519684); #167254=VERTEX_POINT('',#519686); #167255=VERTEX_POINT('',#519688); #167256=VERTEX_POINT('',#519690); #167257=VERTEX_POINT('',#519692); #167258=VERTEX_POINT('',#519696); #167259=VERTEX_POINT('',#519697); #167260=VERTEX_POINT('',#519699); #167261=VERTEX_POINT('',#519701); #167262=VERTEX_POINT('',#519703); #167263=VERTEX_POINT('',#519705); #167264=VERTEX_POINT('',#519708); #167265=VERTEX_POINT('',#519710); #167266=VERTEX_POINT('',#519712); #167267=VERTEX_POINT('',#519714); #167268=VERTEX_POINT('',#519716); #167269=VERTEX_POINT('',#519718); #167270=VERTEX_POINT('',#519749); #167271=VERTEX_POINT('',#519750); #167272=VERTEX_POINT('',#519752); #167273=VERTEX_POINT('',#519754); #167274=VERTEX_POINT('',#519758); #167275=VERTEX_POINT('',#519760); #167276=VERTEX_POINT('',#519764); #167277=VERTEX_POINT('',#519766); #167278=VERTEX_POINT('',#519773); #167279=VERTEX_POINT('',#519777); #167280=VERTEX_POINT('',#519778); #167281=VERTEX_POINT('',#519837); #167282=VERTEX_POINT('',#519838); #167283=VERTEX_POINT('',#519843); #167284=VERTEX_POINT('',#519844); #167285=VERTEX_POINT('',#519858); #167286=VERTEX_POINT('',#519860); #167287=VERTEX_POINT('',#519864); #167288=VERTEX_POINT('',#519866); #167289=VERTEX_POINT('',#519929); #167290=VERTEX_POINT('',#519953); #167291=VERTEX_POINT('',#519955); #167292=VERTEX_POINT('',#519957); #167293=VERTEX_POINT('',#519959); #167294=VERTEX_POINT('',#519961); #167295=VERTEX_POINT('',#519963); #167296=VERTEX_POINT('',#519966); #167297=VERTEX_POINT('',#519968); #167298=VERTEX_POINT('',#519970); #167299=VERTEX_POINT('',#519972); #167300=VERTEX_POINT('',#519974); #167301=VERTEX_POINT('',#519976); #167302=VERTEX_POINT('',#519980); #167303=VERTEX_POINT('',#519981); #167304=VERTEX_POINT('',#519983); #167305=VERTEX_POINT('',#519985); #167306=VERTEX_POINT('',#519987); #167307=VERTEX_POINT('',#519989); #167308=VERTEX_POINT('',#519992); #167309=VERTEX_POINT('',#519994); #167310=VERTEX_POINT('',#519996); #167311=VERTEX_POINT('',#519998); #167312=VERTEX_POINT('',#520000); #167313=VERTEX_POINT('',#520002); #167314=VERTEX_POINT('',#520041); #167315=VERTEX_POINT('',#520043); #167316=VERTEX_POINT('',#520045); #167317=VERTEX_POINT('',#520047); #167318=VERTEX_POINT('',#520049); #167319=VERTEX_POINT('',#520051); #167320=VERTEX_POINT('',#520054); #167321=VERTEX_POINT('',#520056); #167322=VERTEX_POINT('',#520058); #167323=VERTEX_POINT('',#520060); #167324=VERTEX_POINT('',#520062); #167325=VERTEX_POINT('',#520064); #167326=VERTEX_POINT('',#520068); #167327=VERTEX_POINT('',#520069); #167328=VERTEX_POINT('',#520071); #167329=VERTEX_POINT('',#520073); #167330=VERTEX_POINT('',#520075); #167331=VERTEX_POINT('',#520077); #167332=VERTEX_POINT('',#520080); #167333=VERTEX_POINT('',#520082); #167334=VERTEX_POINT('',#520084); #167335=VERTEX_POINT('',#520086); #167336=VERTEX_POINT('',#520088); #167337=VERTEX_POINT('',#520090); #167338=VERTEX_POINT('',#520121); #167339=VERTEX_POINT('',#520122); #167340=VERTEX_POINT('',#520124); #167341=VERTEX_POINT('',#520126); #167342=VERTEX_POINT('',#520130); #167343=VERTEX_POINT('',#520132); #167344=VERTEX_POINT('',#520139); #167345=VERTEX_POINT('',#520140); #167346=VERTEX_POINT('',#520145); #167347=VERTEX_POINT('',#520147); #167348=VERTEX_POINT('',#520149); #167349=VERTEX_POINT('',#520209); #167350=VERTEX_POINT('',#520211); #167351=VERTEX_POINT('',#520215); #167352=VERTEX_POINT('',#520216); #167353=VERTEX_POINT('',#520230); #167354=VERTEX_POINT('',#520232); #167355=VERTEX_POINT('',#520236); #167356=VERTEX_POINT('',#520238); #167357=VERTEX_POINT('',#520247); #167358=VERTEX_POINT('',#520248); #167359=VERTEX_POINT('',#520250); #167360=VERTEX_POINT('',#520252); #167361=VERTEX_POINT('',#520256); #167362=VERTEX_POINT('',#520258); #167363=VERTEX_POINT('',#520262); #167364=VERTEX_POINT('',#520263); #167365=VERTEX_POINT('',#520265); #167366=VERTEX_POINT('',#520267); #167367=VERTEX_POINT('',#520271); #167368=VERTEX_POINT('',#520273); #167369=VERTEX_POINT('',#520277); #167370=VERTEX_POINT('',#520279); #167371=VERTEX_POINT('',#520283); #167372=VERTEX_POINT('',#520285); #167373=VERTEX_POINT('',#520289); #167374=VERTEX_POINT('',#520291); #167375=VERTEX_POINT('',#520295); #167376=VERTEX_POINT('',#520297); #167377=VERTEX_POINT('',#520301); #167378=VERTEX_POINT('',#520303); #167379=VERTEX_POINT('',#520307); #167380=VERTEX_POINT('',#520309); #167381=VERTEX_POINT('',#520313); #167382=VERTEX_POINT('',#520315); #167383=VERTEX_POINT('',#520319); #167384=VERTEX_POINT('',#520321); #167385=VERTEX_POINT('',#520325); #167386=VERTEX_POINT('',#520327); #167387=VERTEX_POINT('',#520334); #167388=VERTEX_POINT('',#520335); #167389=VERTEX_POINT('',#520337); #167390=VERTEX_POINT('',#520339); #167391=VERTEX_POINT('',#520343); #167392=VERTEX_POINT('',#520345); #167393=VERTEX_POINT('',#520349); #167394=VERTEX_POINT('',#520351); #167395=VERTEX_POINT('',#520355); #167396=VERTEX_POINT('',#520357); #167397=VERTEX_POINT('',#520361); #167398=VERTEX_POINT('',#520363); #167399=VERTEX_POINT('',#520367); #167400=VERTEX_POINT('',#520369); #167401=VERTEX_POINT('',#520373); #167402=VERTEX_POINT('',#520375); #167403=VERTEX_POINT('',#520379); #167404=VERTEX_POINT('',#520381); #167405=VERTEX_POINT('',#520385); #167406=VERTEX_POINT('',#520387); #167407=VERTEX_POINT('',#520391); #167408=VERTEX_POINT('',#520393); #167409=VERTEX_POINT('',#520397); #167410=VERTEX_POINT('',#520399); #167411=VERTEX_POINT('',#520406); #167412=VERTEX_POINT('',#520407); #167413=VERTEX_POINT('',#520409); #167414=VERTEX_POINT('',#520411); #167415=VERTEX_POINT('',#520415); #167416=VERTEX_POINT('',#520416); #167417=VERTEX_POINT('',#520428); #167418=VERTEX_POINT('',#520429); #167419=VERTEX_POINT('',#520431); #167420=VERTEX_POINT('',#520436); #167421=VERTEX_POINT('',#520438); #167422=VERTEX_POINT('',#520465); #167423=VERTEX_POINT('',#520466); #167424=VERTEX_POINT('',#520516); #167425=VERTEX_POINT('',#520518); #167426=VERTEX_POINT('',#520521); #167427=VERTEX_POINT('',#520525); #167428=VERTEX_POINT('',#520527); #167429=VERTEX_POINT('',#520531); #167430=VERTEX_POINT('',#520535); #167431=VERTEX_POINT('',#520539); #167432=VERTEX_POINT('',#520543); #167433=VERTEX_POINT('',#520544); #167434=VERTEX_POINT('',#520546); #167435=VERTEX_POINT('',#520548); #167436=VERTEX_POINT('',#520553); #167437=VERTEX_POINT('',#520557); #167438=VERTEX_POINT('',#520572); #167439=VERTEX_POINT('',#520573); #167440=VERTEX_POINT('',#520575); #167441=VERTEX_POINT('',#520604); #167442=VERTEX_POINT('',#520626); #167443=VERTEX_POINT('',#520628); #167444=VERTEX_POINT('',#520642); #167445=VERTEX_POINT('',#520643); #167446=VERTEX_POINT('',#520649); #167447=VERTEX_POINT('',#520665); #167448=VERTEX_POINT('',#520669); #167449=VERTEX_POINT('',#520670); #167450=VERTEX_POINT('',#520672); #167451=VERTEX_POINT('',#520674); #167452=VERTEX_POINT('',#520678); #167453=VERTEX_POINT('',#520679); #167454=VERTEX_POINT('',#520681); #167455=VERTEX_POINT('',#520683); #167456=VERTEX_POINT('',#520687); #167457=VERTEX_POINT('',#520691); #167458=VERTEX_POINT('',#520692); #167459=VERTEX_POINT('',#520694); #167460=VERTEX_POINT('',#520696); #167461=VERTEX_POINT('',#520700); #167462=VERTEX_POINT('',#520702); #167463=VERTEX_POINT('',#520706); #167464=VERTEX_POINT('',#520708); #167465=VERTEX_POINT('',#520715); #167466=VERTEX_POINT('',#520719); #167467=VERTEX_POINT('',#520721); #167468=VERTEX_POINT('',#520725); #167469=VERTEX_POINT('',#520727); #167470=VERTEX_POINT('',#520731); #167471=VERTEX_POINT('',#520733); #167472=VERTEX_POINT('',#520737); #167473=VERTEX_POINT('',#520739); #167474=VERTEX_POINT('',#520743); #167475=VERTEX_POINT('',#520745); #167476=VERTEX_POINT('',#520749); #167477=VERTEX_POINT('',#520751); #167478=VERTEX_POINT('',#520755); #167479=VERTEX_POINT('',#520760); #167480=VERTEX_POINT('',#520764); #167481=VERTEX_POINT('',#520766); #167482=VERTEX_POINT('',#520770); #167483=VERTEX_POINT('',#520772); #167484=VERTEX_POINT('',#520776); #167485=VERTEX_POINT('',#520778); #167486=VERTEX_POINT('',#520782); #167487=VERTEX_POINT('',#520784); #167488=VERTEX_POINT('',#520788); #167489=VERTEX_POINT('',#520793); #167490=VERTEX_POINT('',#520797); #167491=VERTEX_POINT('',#520799); #167492=VERTEX_POINT('',#520803); #167493=VERTEX_POINT('',#520805); #167494=VERTEX_POINT('',#520809); #167495=VERTEX_POINT('',#520811); #167496=VERTEX_POINT('',#520815); #167497=VERTEX_POINT('',#520817); #167498=VERTEX_POINT('',#520821); #167499=VERTEX_POINT('',#520823); #167500=VERTEX_POINT('',#520827); #167501=VERTEX_POINT('',#520829); #167502=VERTEX_POINT('',#520834); #167503=VERTEX_POINT('',#520840); #167504=VERTEX_POINT('',#520842); #167505=VERTEX_POINT('',#520846); #167506=VERTEX_POINT('',#520848); #167507=VERTEX_POINT('',#520852); #167508=VERTEX_POINT('',#520854); #167509=VERTEX_POINT('',#520858); #167510=VERTEX_POINT('',#520860); #167511=VERTEX_POINT('',#520864); #167512=VERTEX_POINT('',#520865); #167513=VERTEX_POINT('',#520867); #167514=VERTEX_POINT('',#520869); #167515=VERTEX_POINT('',#520873); #167516=VERTEX_POINT('',#520875); #167517=VERTEX_POINT('',#520879); #167518=VERTEX_POINT('',#520881); #167519=VERTEX_POINT('',#520885); #167520=VERTEX_POINT('',#520887); #167521=VERTEX_POINT('',#520889); #167522=VERTEX_POINT('',#520891); #167523=VERTEX_POINT('',#520895); #167524=VERTEX_POINT('',#520897); #167525=VERTEX_POINT('',#520900); #167526=VERTEX_POINT('',#520904); #167527=VERTEX_POINT('',#520905); #167528=VERTEX_POINT('',#520910); #167529=VERTEX_POINT('',#520911); #167530=VERTEX_POINT('',#520917); #167531=VERTEX_POINT('',#520921); #167532=VERTEX_POINT('',#520923); #167533=VERTEX_POINT('',#520938); #167534=VERTEX_POINT('',#520940); #167535=VERTEX_POINT('',#520944); #167536=VERTEX_POINT('',#520946); #167537=VERTEX_POINT('',#521025); #167538=VERTEX_POINT('',#521033); #167539=VERTEX_POINT('',#521043); #167540=VERTEX_POINT('',#521045); #167541=VERTEX_POINT('',#521118); #167542=VERTEX_POINT('',#521119); #167543=VERTEX_POINT('',#521121); #167544=VERTEX_POINT('',#521123); #167545=VERTEX_POINT('',#521127); #167546=VERTEX_POINT('',#521129); #167547=VERTEX_POINT('',#521131); #167548=VERTEX_POINT('',#521133); #167549=VERTEX_POINT('',#521135); #167550=VERTEX_POINT('',#521137); #167551=VERTEX_POINT('',#521139); #167552=VERTEX_POINT('',#521141); #167553=VERTEX_POINT('',#521143); #167554=VERTEX_POINT('',#521145); #167555=VERTEX_POINT('',#521149); #167556=VERTEX_POINT('',#521150); #167557=VERTEX_POINT('',#521152); #167558=VERTEX_POINT('',#521154); #167559=VERTEX_POINT('',#521156); #167560=VERTEX_POINT('',#521158); #167561=VERTEX_POINT('',#521160); #167562=VERTEX_POINT('',#521162); #167563=VERTEX_POINT('',#521164); #167564=VERTEX_POINT('',#521166); #167565=VERTEX_POINT('',#521168); #167566=VERTEX_POINT('',#521170); #167567=VERTEX_POINT('',#521174); #167568=VERTEX_POINT('',#521176); #167569=VERTEX_POINT('',#521180); #167570=VERTEX_POINT('',#521181); #167571=VERTEX_POINT('',#521183); #167572=VERTEX_POINT('',#521186); #167573=VERTEX_POINT('',#521190); #167574=VERTEX_POINT('',#521191); #167575=VERTEX_POINT('',#521196); #167576=VERTEX_POINT('',#521199); #167577=VERTEX_POINT('',#521251); #167578=VERTEX_POINT('',#521252); #167579=VERTEX_POINT('',#521254); #167580=VERTEX_POINT('',#521256); #167581=VERTEX_POINT('',#521258); #167582=VERTEX_POINT('',#521260); #167583=VERTEX_POINT('',#521262); #167584=VERTEX_POINT('',#521264); #167585=VERTEX_POINT('',#521266); #167586=VERTEX_POINT('',#521268); #167587=VERTEX_POINT('',#521270); #167588=VERTEX_POINT('',#521272); #167589=VERTEX_POINT('',#521276); #167590=VERTEX_POINT('',#521277); #167591=VERTEX_POINT('',#521279); #167592=VERTEX_POINT('',#521281); #167593=VERTEX_POINT('',#521285); #167594=VERTEX_POINT('',#521286); #167595=VERTEX_POINT('',#521288); #167596=VERTEX_POINT('',#521290); #167597=VERTEX_POINT('',#521294); #167598=VERTEX_POINT('',#521295); #167599=VERTEX_POINT('',#521297); #167600=VERTEX_POINT('',#521299); #167601=VERTEX_POINT('',#521302); #167602=VERTEX_POINT('',#521303); #167603=VERTEX_POINT('',#521305); #167604=VERTEX_POINT('',#521307); #167605=VERTEX_POINT('',#521311); #167606=VERTEX_POINT('',#521312); #167607=VERTEX_POINT('',#521314); #167608=VERTEX_POINT('',#521316); #167609=VERTEX_POINT('',#521319); #167610=VERTEX_POINT('',#521320); #167611=VERTEX_POINT('',#521322); #167612=VERTEX_POINT('',#521324); #167613=VERTEX_POINT('',#521328); #167614=VERTEX_POINT('',#521329); #167615=VERTEX_POINT('',#521331); #167616=VERTEX_POINT('',#521333); #167617=VERTEX_POINT('',#521336); #167618=VERTEX_POINT('',#521337); #167619=VERTEX_POINT('',#521339); #167620=VERTEX_POINT('',#521341); #167621=VERTEX_POINT('',#521344); #167622=VERTEX_POINT('',#521345); #167623=VERTEX_POINT('',#521347); #167624=VERTEX_POINT('',#521349); #167625=VERTEX_POINT('',#521353); #167626=VERTEX_POINT('',#521356); #167627=VERTEX_POINT('',#521360); #167628=VERTEX_POINT('',#521362); #167629=VERTEX_POINT('',#521367); #167630=VERTEX_POINT('',#521371); #167631=VERTEX_POINT('',#521374); #167632=VERTEX_POINT('',#521378); #167633=VERTEX_POINT('',#521383); #167634=VERTEX_POINT('',#521387); #167635=VERTEX_POINT('',#521388); #167636=VERTEX_POINT('',#521390); #167637=VERTEX_POINT('',#521392); #167638=VERTEX_POINT('',#521394); #167639=VERTEX_POINT('',#521396); #167640=VERTEX_POINT('',#521401); #167641=VERTEX_POINT('',#521402); #167642=VERTEX_POINT('',#521404); #167643=VERTEX_POINT('',#521410); #167644=VERTEX_POINT('',#521412); #167645=VERTEX_POINT('',#521414); #167646=VERTEX_POINT('',#521417); #167647=VERTEX_POINT('',#521421); #167648=VERTEX_POINT('',#521422); #167649=VERTEX_POINT('',#521424); #167650=VERTEX_POINT('',#521426); #167651=VERTEX_POINT('',#521428); #167652=VERTEX_POINT('',#521430); #167653=VERTEX_POINT('',#521432); #167654=VERTEX_POINT('',#521438); #167655=VERTEX_POINT('',#521440); #167656=VERTEX_POINT('',#521442); #167657=VERTEX_POINT('',#521447); #167658=VERTEX_POINT('',#521448); #167659=VERTEX_POINT('',#521450); #167660=VERTEX_POINT('',#521452); #167661=VERTEX_POINT('',#521454); #167662=VERTEX_POINT('',#521456); #167663=VERTEX_POINT('',#521458); #167664=VERTEX_POINT('',#521461); #167665=VERTEX_POINT('',#521464); #167666=VERTEX_POINT('',#521467); #167667=VERTEX_POINT('',#521469); #167668=VERTEX_POINT('',#521471); #167669=VERTEX_POINT('',#521475); #167670=VERTEX_POINT('',#521477); #167671=VERTEX_POINT('',#521479); #167672=VERTEX_POINT('',#521481); #167673=VERTEX_POINT('',#521485); #167674=VERTEX_POINT('',#521489); #167675=VERTEX_POINT('',#521490); #167676=VERTEX_POINT('',#521493); #167677=VERTEX_POINT('',#521522); #167678=VERTEX_POINT('',#521524); #167679=VERTEX_POINT('',#521528); #167680=VERTEX_POINT('',#521532); #167681=VERTEX_POINT('',#521538); #167682=VERTEX_POINT('',#521539); #167683=VERTEX_POINT('',#521541); #167684=VERTEX_POINT('',#521543); #167685=VERTEX_POINT('',#521555); #167686=VERTEX_POINT('',#521557); #167687=VERTEX_POINT('',#521561); #167688=VERTEX_POINT('',#521565); #167689=VERTEX_POINT('',#521571); #167690=VERTEX_POINT('',#521572); #167691=VERTEX_POINT('',#521574); #167692=VERTEX_POINT('',#521576); #167693=VERTEX_POINT('',#521588); #167694=VERTEX_POINT('',#521590); #167695=VERTEX_POINT('',#521594); #167696=VERTEX_POINT('',#521595); #167697=VERTEX_POINT('',#521597); #167698=VERTEX_POINT('',#521599); #167699=VERTEX_POINT('',#521603); #167700=VERTEX_POINT('',#521605); #167701=VERTEX_POINT('',#521621); #167702=VERTEX_POINT('',#521623); #167703=VERTEX_POINT('',#521627); #167704=VERTEX_POINT('',#521628); #167705=VERTEX_POINT('',#521630); #167706=VERTEX_POINT('',#521632); #167707=VERTEX_POINT('',#521636); #167708=VERTEX_POINT('',#521638); #167709=VERTEX_POINT('',#521674); #167710=VERTEX_POINT('',#521675); #167711=VERTEX_POINT('',#521677); #167712=VERTEX_POINT('',#521681); #167713=VERTEX_POINT('',#521682); #167714=VERTEX_POINT('',#521684); #167715=VERTEX_POINT('',#521688); #167716=VERTEX_POINT('',#521689); #167717=VERTEX_POINT('',#521691); #167718=VERTEX_POINT('',#521695); #167719=VERTEX_POINT('',#521696); #167720=VERTEX_POINT('',#521698); #167721=VERTEX_POINT('',#521702); #167722=VERTEX_POINT('',#521703); #167723=VERTEX_POINT('',#521705); #167724=VERTEX_POINT('',#521712); #167725=VERTEX_POINT('',#521713); #167726=VERTEX_POINT('',#521715); #167727=VERTEX_POINT('',#521719); #167728=VERTEX_POINT('',#521720); #167729=VERTEX_POINT('',#521722); #167730=VERTEX_POINT('',#521732); #167731=VERTEX_POINT('',#521733); #167732=VERTEX_POINT('',#521735); #167733=VERTEX_POINT('',#521772); #167734=VERTEX_POINT('',#521773); #167735=VERTEX_POINT('',#521775); #167736=VERTEX_POINT('',#521779); #167737=VERTEX_POINT('',#521780); #167738=VERTEX_POINT('',#521782); #167739=VERTEX_POINT('',#521786); #167740=VERTEX_POINT('',#521787); #167741=VERTEX_POINT('',#521789); #167742=VERTEX_POINT('',#521793); #167743=VERTEX_POINT('',#521794); #167744=VERTEX_POINT('',#521796); #167745=VERTEX_POINT('',#521800); #167746=VERTEX_POINT('',#521801); #167747=VERTEX_POINT('',#521803); #167748=VERTEX_POINT('',#521810); #167749=VERTEX_POINT('',#521811); #167750=VERTEX_POINT('',#521813); #167751=VERTEX_POINT('',#521817); #167752=VERTEX_POINT('',#521818); #167753=VERTEX_POINT('',#521820); #167754=VERTEX_POINT('',#521830); #167755=VERTEX_POINT('',#521831); #167756=VERTEX_POINT('',#521833); #167757=VERTEX_POINT('',#521870); #167758=VERTEX_POINT('',#521871); #167759=VERTEX_POINT('',#521873); #167760=VERTEX_POINT('',#521875); #167761=VERTEX_POINT('',#521879); #167762=VERTEX_POINT('',#521881); #167763=VERTEX_POINT('',#521885); #167764=VERTEX_POINT('',#521887); #167765=VERTEX_POINT('',#521899); #167766=VERTEX_POINT('',#521900); #167767=VERTEX_POINT('',#521902); #167768=VERTEX_POINT('',#521906); #167769=VERTEX_POINT('',#521907); #167770=VERTEX_POINT('',#521909); #167771=VERTEX_POINT('',#521913); #167772=VERTEX_POINT('',#521914); #167773=VERTEX_POINT('',#521916); #167774=VERTEX_POINT('',#521920); #167775=VERTEX_POINT('',#521921); #167776=VERTEX_POINT('',#521923); #167777=VERTEX_POINT('',#521927); #167778=VERTEX_POINT('',#521928); #167779=VERTEX_POINT('',#521930); #167780=VERTEX_POINT('',#521937); #167781=VERTEX_POINT('',#521938); #167782=VERTEX_POINT('',#521940); #167783=VERTEX_POINT('',#521944); #167784=VERTEX_POINT('',#521945); #167785=VERTEX_POINT('',#521947); #167786=VERTEX_POINT('',#521957); #167787=VERTEX_POINT('',#521958); #167788=VERTEX_POINT('',#521960); #167789=VERTEX_POINT('',#521997); #167790=VERTEX_POINT('',#521998); #167791=VERTEX_POINT('',#522000); #167792=VERTEX_POINT('',#522004); #167793=VERTEX_POINT('',#522005); #167794=VERTEX_POINT('',#522007); #167795=VERTEX_POINT('',#522011); #167796=VERTEX_POINT('',#522012); #167797=VERTEX_POINT('',#522014); #167798=VERTEX_POINT('',#522018); #167799=VERTEX_POINT('',#522019); #167800=VERTEX_POINT('',#522021); #167801=VERTEX_POINT('',#522025); #167802=VERTEX_POINT('',#522026); #167803=VERTEX_POINT('',#522028); #167804=VERTEX_POINT('',#522035); #167805=VERTEX_POINT('',#522036); #167806=VERTEX_POINT('',#522038); #167807=VERTEX_POINT('',#522042); #167808=VERTEX_POINT('',#522043); #167809=VERTEX_POINT('',#522045); #167810=VERTEX_POINT('',#522055); #167811=VERTEX_POINT('',#522056); #167812=VERTEX_POINT('',#522058); #167813=VERTEX_POINT('',#522095); #167814=VERTEX_POINT('',#522096); #167815=VERTEX_POINT('',#522098); #167816=VERTEX_POINT('',#522100); #167817=VERTEX_POINT('',#522104); #167818=VERTEX_POINT('',#522106); #167819=VERTEX_POINT('',#522110); #167820=VERTEX_POINT('',#522112); #167821=VERTEX_POINT('',#522124); #167822=VERTEX_POINT('',#522125); #167823=VERTEX_POINT('',#522127); #167824=VERTEX_POINT('',#522131); #167825=VERTEX_POINT('',#522132); #167826=VERTEX_POINT('',#522134); #167827=VERTEX_POINT('',#522138); #167828=VERTEX_POINT('',#522139); #167829=VERTEX_POINT('',#522141); #167830=VERTEX_POINT('',#522145); #167831=VERTEX_POINT('',#522146); #167832=VERTEX_POINT('',#522148); #167833=VERTEX_POINT('',#522152); #167834=VERTEX_POINT('',#522153); #167835=VERTEX_POINT('',#522155); #167836=VERTEX_POINT('',#522162); #167837=VERTEX_POINT('',#522163); #167838=VERTEX_POINT('',#522165); #167839=VERTEX_POINT('',#522169); #167840=VERTEX_POINT('',#522170); #167841=VERTEX_POINT('',#522172); #167842=VERTEX_POINT('',#522182); #167843=VERTEX_POINT('',#522183); #167844=VERTEX_POINT('',#522185); #167845=VERTEX_POINT('',#522222); #167846=VERTEX_POINT('',#522223); #167847=VERTEX_POINT('',#522225); #167848=VERTEX_POINT('',#522229); #167849=VERTEX_POINT('',#522230); #167850=VERTEX_POINT('',#522232); #167851=VERTEX_POINT('',#522236); #167852=VERTEX_POINT('',#522237); #167853=VERTEX_POINT('',#522239); #167854=VERTEX_POINT('',#522243); #167855=VERTEX_POINT('',#522244); #167856=VERTEX_POINT('',#522246); #167857=VERTEX_POINT('',#522250); #167858=VERTEX_POINT('',#522251); #167859=VERTEX_POINT('',#522253); #167860=VERTEX_POINT('',#522260); #167861=VERTEX_POINT('',#522261); #167862=VERTEX_POINT('',#522263); #167863=VERTEX_POINT('',#522267); #167864=VERTEX_POINT('',#522268); #167865=VERTEX_POINT('',#522270); #167866=VERTEX_POINT('',#522280); #167867=VERTEX_POINT('',#522281); #167868=VERTEX_POINT('',#522283); #167869=VERTEX_POINT('',#522320); #167870=VERTEX_POINT('',#522321); #167871=VERTEX_POINT('',#522323); #167872=VERTEX_POINT('',#522325); #167873=VERTEX_POINT('',#522329); #167874=VERTEX_POINT('',#522331); #167875=VERTEX_POINT('',#522335); #167876=VERTEX_POINT('',#522337); #167877=VERTEX_POINT('',#522349); #167878=VERTEX_POINT('',#522351); #167879=VERTEX_POINT('',#522356); #167880=VERTEX_POINT('',#522359); #167881=VERTEX_POINT('',#522363); #167882=VERTEX_POINT('',#522367); #167883=VERTEX_POINT('',#522371); #167884=VERTEX_POINT('',#522375); #167885=VERTEX_POINT('',#522379); #167886=VERTEX_POINT('',#522383); #167887=VERTEX_POINT('',#522388); #167888=VERTEX_POINT('',#522389); #167889=VERTEX_POINT('',#522391); #167890=VERTEX_POINT('',#522393); #167891=VERTEX_POINT('',#522395); #167892=VERTEX_POINT('',#522397); #167893=VERTEX_POINT('',#522399); #167894=VERTEX_POINT('',#522401); #167895=VERTEX_POINT('',#522403); #167896=VERTEX_POINT('',#522405); #167897=VERTEX_POINT('',#522407); #167898=VERTEX_POINT('',#522409); #167899=VERTEX_POINT('',#522411); #167900=VERTEX_POINT('',#522413); #167901=VERTEX_POINT('',#522415); #167902=VERTEX_POINT('',#522417); #167903=VERTEX_POINT('',#522421); #167904=VERTEX_POINT('',#522423); #167905=VERTEX_POINT('',#522427); #167906=VERTEX_POINT('',#522431); #167907=VERTEX_POINT('',#522435); #167908=VERTEX_POINT('',#522439); #167909=VERTEX_POINT('',#522443); #167910=VERTEX_POINT('',#522447); #167911=VERTEX_POINT('',#522451); #167912=VERTEX_POINT('',#522455); #167913=VERTEX_POINT('',#522459); #167914=VERTEX_POINT('',#522463); #167915=VERTEX_POINT('',#522467); #167916=VERTEX_POINT('',#522471); #167917=VERTEX_POINT('',#522475); #167918=VERTEX_POINT('',#522479); #167919=VERTEX_POINT('',#522486); #167920=VERTEX_POINT('',#522488); #167921=VERTEX_POINT('',#522494); #167922=VERTEX_POINT('',#522496); #167923=VERTEX_POINT('',#522502); #167924=VERTEX_POINT('',#522503); #167925=VERTEX_POINT('',#522505); #167926=VERTEX_POINT('',#522507); #167927=VERTEX_POINT('',#522511); #167928=VERTEX_POINT('',#522513); #167929=VERTEX_POINT('',#522517); #167930=VERTEX_POINT('',#522521); #167931=VERTEX_POINT('',#522528); #167932=VERTEX_POINT('',#522529); #167933=VERTEX_POINT('',#522531); #167934=VERTEX_POINT('',#522533); #167935=VERTEX_POINT('',#522537); #167936=VERTEX_POINT('',#522539); #167937=VERTEX_POINT('',#522543); #167938=VERTEX_POINT('',#522547); #167939=VERTEX_POINT('',#522554); #167940=VERTEX_POINT('',#522555); #167941=VERTEX_POINT('',#522557); #167942=VERTEX_POINT('',#522559); #167943=VERTEX_POINT('',#522563); #167944=VERTEX_POINT('',#522565); #167945=VERTEX_POINT('',#522569); #167946=VERTEX_POINT('',#522573); #167947=VERTEX_POINT('',#522580); #167948=VERTEX_POINT('',#522581); #167949=VERTEX_POINT('',#522583); #167950=VERTEX_POINT('',#522585); #167951=VERTEX_POINT('',#522589); #167952=VERTEX_POINT('',#522591); #167953=VERTEX_POINT('',#522595); #167954=VERTEX_POINT('',#522599); #167955=VERTEX_POINT('',#522606); #167956=VERTEX_POINT('',#522607); #167957=VERTEX_POINT('',#522609); #167958=VERTEX_POINT('',#522611); #167959=VERTEX_POINT('',#522615); #167960=VERTEX_POINT('',#522617); #167961=VERTEX_POINT('',#522621); #167962=VERTEX_POINT('',#522625); #167963=VERTEX_POINT('',#522632); #167964=VERTEX_POINT('',#522633); #167965=VERTEX_POINT('',#522635); #167966=VERTEX_POINT('',#522637); #167967=VERTEX_POINT('',#522641); #167968=VERTEX_POINT('',#522643); #167969=VERTEX_POINT('',#522647); #167970=VERTEX_POINT('',#522651); #167971=VERTEX_POINT('',#522658); #167972=VERTEX_POINT('',#522659); #167973=VERTEX_POINT('',#522661); #167974=VERTEX_POINT('',#522663); #167975=VERTEX_POINT('',#522667); #167976=VERTEX_POINT('',#522669); #167977=VERTEX_POINT('',#522673); #167978=VERTEX_POINT('',#522677); #167979=VERTEX_POINT('',#522684); #167980=VERTEX_POINT('',#522685); #167981=VERTEX_POINT('',#522687); #167982=VERTEX_POINT('',#522689); #167983=VERTEX_POINT('',#522693); #167984=VERTEX_POINT('',#522695); #167985=VERTEX_POINT('',#522699); #167986=VERTEX_POINT('',#522703); #167987=VERTEX_POINT('',#522710); #167988=VERTEX_POINT('',#522711); #167989=VERTEX_POINT('',#522713); #167990=VERTEX_POINT('',#522715); #167991=VERTEX_POINT('',#522719); #167992=VERTEX_POINT('',#522721); #167993=VERTEX_POINT('',#522725); #167994=VERTEX_POINT('',#522729); #167995=VERTEX_POINT('',#522739); #167996=VERTEX_POINT('',#522741); #167997=VERTEX_POINT('',#522746); #167998=VERTEX_POINT('',#522747); #167999=VERTEX_POINT('',#522749); #168000=VERTEX_POINT('',#522751); #168001=VERTEX_POINT('',#522755); #168002=VERTEX_POINT('',#522757); #168003=VERTEX_POINT('',#522761); #168004=VERTEX_POINT('',#522763); #168005=VERTEX_POINT('',#522770); #168006=VERTEX_POINT('',#522772); #168007=VERTEX_POINT('',#522776); #168008=VERTEX_POINT('',#522781); #168009=VERTEX_POINT('',#522788); #168010=VERTEX_POINT('',#522789); #168011=VERTEX_POINT('',#522791); #168012=VERTEX_POINT('',#522793); #168013=VERTEX_POINT('',#522797); #168014=VERTEX_POINT('',#522799); #168015=VERTEX_POINT('',#522803); #168016=VERTEX_POINT('',#522805); #168017=VERTEX_POINT('',#522814); #168018=VERTEX_POINT('',#522815); #168019=VERTEX_POINT('',#522817); #168020=VERTEX_POINT('',#522819); #168021=VERTEX_POINT('',#522823); #168022=VERTEX_POINT('',#522825); #168023=VERTEX_POINT('',#522829); #168024=VERTEX_POINT('',#522831); #168025=VERTEX_POINT('',#522835); #168026=VERTEX_POINT('',#522837); #168027=VERTEX_POINT('',#522841); #168028=VERTEX_POINT('',#522843); #168029=VERTEX_POINT('',#522852); #168030=VERTEX_POINT('',#522853); #168031=VERTEX_POINT('',#522855); #168032=VERTEX_POINT('',#522857); #168033=VERTEX_POINT('',#522861); #168034=VERTEX_POINT('',#522863); #168035=VERTEX_POINT('',#522867); #168036=VERTEX_POINT('',#522869); #168037=VERTEX_POINT('',#522873); #168038=VERTEX_POINT('',#522875); #168039=VERTEX_POINT('',#522879); #168040=VERTEX_POINT('',#522881); #168041=VERTEX_POINT('',#522890); #168042=VERTEX_POINT('',#522891); #168043=VERTEX_POINT('',#522893); #168044=VERTEX_POINT('',#522895); #168045=VERTEX_POINT('',#522899); #168046=VERTEX_POINT('',#522901); #168047=VERTEX_POINT('',#522905); #168048=VERTEX_POINT('',#522907); #168049=VERTEX_POINT('',#522911); #168050=VERTEX_POINT('',#522913); #168051=VERTEX_POINT('',#522917); #168052=VERTEX_POINT('',#522919); #168053=VERTEX_POINT('',#522928); #168054=VERTEX_POINT('',#522929); #168055=VERTEX_POINT('',#522931); #168056=VERTEX_POINT('',#522933); #168057=VERTEX_POINT('',#522937); #168058=VERTEX_POINT('',#522939); #168059=VERTEX_POINT('',#522943); #168060=VERTEX_POINT('',#522945); #168061=VERTEX_POINT('',#522949); #168062=VERTEX_POINT('',#522951); #168063=VERTEX_POINT('',#522955); #168064=VERTEX_POINT('',#522957); #168065=VERTEX_POINT('',#522966); #168066=VERTEX_POINT('',#522967); #168067=VERTEX_POINT('',#522969); #168068=VERTEX_POINT('',#522971); #168069=VERTEX_POINT('',#522975); #168070=VERTEX_POINT('',#522977); #168071=VERTEX_POINT('',#522981); #168072=VERTEX_POINT('',#522983); #168073=VERTEX_POINT('',#522987); #168074=VERTEX_POINT('',#522989); #168075=VERTEX_POINT('',#522993); #168076=VERTEX_POINT('',#522995); #168077=VERTEX_POINT('',#523004); #168078=VERTEX_POINT('',#523005); #168079=VERTEX_POINT('',#523007); #168080=VERTEX_POINT('',#523009); #168081=VERTEX_POINT('',#523013); #168082=VERTEX_POINT('',#523015); #168083=VERTEX_POINT('',#523019); #168084=VERTEX_POINT('',#523021); #168085=VERTEX_POINT('',#523025); #168086=VERTEX_POINT('',#523027); #168087=VERTEX_POINT('',#523031); #168088=VERTEX_POINT('',#523033); #168089=VERTEX_POINT('',#523042); #168090=VERTEX_POINT('',#523043); #168091=VERTEX_POINT('',#523045); #168092=VERTEX_POINT('',#523047); #168093=VERTEX_POINT('',#523051); #168094=VERTEX_POINT('',#523053); #168095=VERTEX_POINT('',#523057); #168096=VERTEX_POINT('',#523059); #168097=VERTEX_POINT('',#523063); #168098=VERTEX_POINT('',#523065); #168099=VERTEX_POINT('',#523069); #168100=VERTEX_POINT('',#523071); #168101=VERTEX_POINT('',#523080); #168102=VERTEX_POINT('',#523081); #168103=VERTEX_POINT('',#523083); #168104=VERTEX_POINT('',#523085); #168105=VERTEX_POINT('',#523089); #168106=VERTEX_POINT('',#523091); #168107=VERTEX_POINT('',#523095); #168108=VERTEX_POINT('',#523097); #168109=VERTEX_POINT('',#523101); #168110=VERTEX_POINT('',#523103); #168111=VERTEX_POINT('',#523107); #168112=VERTEX_POINT('',#523109); #168113=VERTEX_POINT('',#523118); #168114=VERTEX_POINT('',#523119); #168115=VERTEX_POINT('',#523121); #168116=VERTEX_POINT('',#523123); #168117=VERTEX_POINT('',#523127); #168118=VERTEX_POINT('',#523129); #168119=VERTEX_POINT('',#523133); #168120=VERTEX_POINT('',#523135); #168121=VERTEX_POINT('',#523144); #168122=VERTEX_POINT('',#523145); #168123=VERTEX_POINT('',#523147); #168124=VERTEX_POINT('',#523149); #168125=VERTEX_POINT('',#523153); #168126=VERTEX_POINT('',#523155); #168127=VERTEX_POINT('',#523159); #168128=VERTEX_POINT('',#523161); #168129=VERTEX_POINT('',#523170); #168130=VERTEX_POINT('',#523171); #168131=VERTEX_POINT('',#523173); #168132=VERTEX_POINT('',#523175); #168133=VERTEX_POINT('',#523179); #168134=VERTEX_POINT('',#523181); #168135=VERTEX_POINT('',#523185); #168136=VERTEX_POINT('',#523187); #168137=VERTEX_POINT('',#523196); #168138=VERTEX_POINT('',#523197); #168139=VERTEX_POINT('',#523199); #168140=VERTEX_POINT('',#523201); #168141=VERTEX_POINT('',#523205); #168142=VERTEX_POINT('',#523207); #168143=VERTEX_POINT('',#523211); #168144=VERTEX_POINT('',#523213); #168145=VERTEX_POINT('',#523222); #168146=VERTEX_POINT('',#523223); #168147=VERTEX_POINT('',#523225); #168148=VERTEX_POINT('',#523227); #168149=VERTEX_POINT('',#523231); #168150=VERTEX_POINT('',#523233); #168151=VERTEX_POINT('',#523237); #168152=VERTEX_POINT('',#523239); #168153=VERTEX_POINT('',#523248); #168154=VERTEX_POINT('',#523249); #168155=VERTEX_POINT('',#523251); #168156=VERTEX_POINT('',#523253); #168157=VERTEX_POINT('',#523257); #168158=VERTEX_POINT('',#523259); #168159=VERTEX_POINT('',#523263); #168160=VERTEX_POINT('',#523265); #168161=VERTEX_POINT('',#523274); #168162=VERTEX_POINT('',#523275); #168163=VERTEX_POINT('',#523277); #168164=VERTEX_POINT('',#523279); #168165=VERTEX_POINT('',#523283); #168166=VERTEX_POINT('',#523285); #168167=VERTEX_POINT('',#523289); #168168=VERTEX_POINT('',#523291); #168169=VERTEX_POINT('',#523300); #168170=VERTEX_POINT('',#523301); #168171=VERTEX_POINT('',#523303); #168172=VERTEX_POINT('',#523305); #168173=VERTEX_POINT('',#523309); #168174=VERTEX_POINT('',#523311); #168175=VERTEX_POINT('',#523315); #168176=VERTEX_POINT('',#523317); #168177=VERTEX_POINT('',#523329); #168178=VERTEX_POINT('',#523331); #168179=VERTEX_POINT('',#523336); #168180=VERTEX_POINT('',#523337); #168181=VERTEX_POINT('',#523339); #168182=VERTEX_POINT('',#523341); #168183=VERTEX_POINT('',#523345); #168184=VERTEX_POINT('',#523347); #168185=VERTEX_POINT('',#523351); #168186=VERTEX_POINT('',#523353); #168187=VERTEX_POINT('',#523360); #168188=VERTEX_POINT('',#523362); #168189=VERTEX_POINT('',#523366); #168190=VERTEX_POINT('',#523371); #168191=VERTEX_POINT('',#523378); #168192=VERTEX_POINT('',#523379); #168193=VERTEX_POINT('',#523381); #168194=VERTEX_POINT('',#523383); #168195=VERTEX_POINT('',#523387); #168196=VERTEX_POINT('',#523389); #168197=VERTEX_POINT('',#523393); #168198=VERTEX_POINT('',#523395); #168199=VERTEX_POINT('',#523404); #168200=VERTEX_POINT('',#523405); #168201=VERTEX_POINT('',#523407); #168202=VERTEX_POINT('',#523409); #168203=VERTEX_POINT('',#523413); #168204=VERTEX_POINT('',#523415); #168205=VERTEX_POINT('',#523419); #168206=VERTEX_POINT('',#523421); #168207=VERTEX_POINT('',#523425); #168208=VERTEX_POINT('',#523427); #168209=VERTEX_POINT('',#523431); #168210=VERTEX_POINT('',#523433); #168211=VERTEX_POINT('',#523442); #168212=VERTEX_POINT('',#523443); #168213=VERTEX_POINT('',#523445); #168214=VERTEX_POINT('',#523447); #168215=VERTEX_POINT('',#523451); #168216=VERTEX_POINT('',#523453); #168217=VERTEX_POINT('',#523457); #168218=VERTEX_POINT('',#523459); #168219=VERTEX_POINT('',#523463); #168220=VERTEX_POINT('',#523465); #168221=VERTEX_POINT('',#523469); #168222=VERTEX_POINT('',#523471); #168223=VERTEX_POINT('',#523480); #168224=VERTEX_POINT('',#523481); #168225=VERTEX_POINT('',#523483); #168226=VERTEX_POINT('',#523485); #168227=VERTEX_POINT('',#523489); #168228=VERTEX_POINT('',#523491); #168229=VERTEX_POINT('',#523495); #168230=VERTEX_POINT('',#523497); #168231=VERTEX_POINT('',#523501); #168232=VERTEX_POINT('',#523503); #168233=VERTEX_POINT('',#523507); #168234=VERTEX_POINT('',#523509); #168235=VERTEX_POINT('',#523518); #168236=VERTEX_POINT('',#523519); #168237=VERTEX_POINT('',#523521); #168238=VERTEX_POINT('',#523523); #168239=VERTEX_POINT('',#523527); #168240=VERTEX_POINT('',#523529); #168241=VERTEX_POINT('',#523533); #168242=VERTEX_POINT('',#523535); #168243=VERTEX_POINT('',#523539); #168244=VERTEX_POINT('',#523541); #168245=VERTEX_POINT('',#523545); #168246=VERTEX_POINT('',#523547); #168247=VERTEX_POINT('',#523556); #168248=VERTEX_POINT('',#523557); #168249=VERTEX_POINT('',#523559); #168250=VERTEX_POINT('',#523561); #168251=VERTEX_POINT('',#523565); #168252=VERTEX_POINT('',#523567); #168253=VERTEX_POINT('',#523571); #168254=VERTEX_POINT('',#523573); #168255=VERTEX_POINT('',#523577); #168256=VERTEX_POINT('',#523579); #168257=VERTEX_POINT('',#523583); #168258=VERTEX_POINT('',#523585); #168259=VERTEX_POINT('',#523594); #168260=VERTEX_POINT('',#523595); #168261=VERTEX_POINT('',#523597); #168262=VERTEX_POINT('',#523599); #168263=VERTEX_POINT('',#523603); #168264=VERTEX_POINT('',#523605); #168265=VERTEX_POINT('',#523609); #168266=VERTEX_POINT('',#523611); #168267=VERTEX_POINT('',#523615); #168268=VERTEX_POINT('',#523617); #168269=VERTEX_POINT('',#523621); #168270=VERTEX_POINT('',#523623); #168271=VERTEX_POINT('',#523632); #168272=VERTEX_POINT('',#523633); #168273=VERTEX_POINT('',#523635); #168274=VERTEX_POINT('',#523637); #168275=VERTEX_POINT('',#523641); #168276=VERTEX_POINT('',#523643); #168277=VERTEX_POINT('',#523647); #168278=VERTEX_POINT('',#523649); #168279=VERTEX_POINT('',#523653); #168280=VERTEX_POINT('',#523655); #168281=VERTEX_POINT('',#523659); #168282=VERTEX_POINT('',#523661); #168283=VERTEX_POINT('',#523670); #168284=VERTEX_POINT('',#523671); #168285=VERTEX_POINT('',#523673); #168286=VERTEX_POINT('',#523675); #168287=VERTEX_POINT('',#523679); #168288=VERTEX_POINT('',#523681); #168289=VERTEX_POINT('',#523685); #168290=VERTEX_POINT('',#523687); #168291=VERTEX_POINT('',#523691); #168292=VERTEX_POINT('',#523693); #168293=VERTEX_POINT('',#523697); #168294=VERTEX_POINT('',#523699); #168295=VERTEX_POINT('',#523708); #168296=VERTEX_POINT('',#523709); #168297=VERTEX_POINT('',#523711); #168298=VERTEX_POINT('',#523713); #168299=VERTEX_POINT('',#523717); #168300=VERTEX_POINT('',#523719); #168301=VERTEX_POINT('',#523723); #168302=VERTEX_POINT('',#523725); #168303=VERTEX_POINT('',#523734); #168304=VERTEX_POINT('',#523735); #168305=VERTEX_POINT('',#523737); #168306=VERTEX_POINT('',#523739); #168307=VERTEX_POINT('',#523743); #168308=VERTEX_POINT('',#523745); #168309=VERTEX_POINT('',#523749); #168310=VERTEX_POINT('',#523751); #168311=VERTEX_POINT('',#523760); #168312=VERTEX_POINT('',#523761); #168313=VERTEX_POINT('',#523763); #168314=VERTEX_POINT('',#523765); #168315=VERTEX_POINT('',#523769); #168316=VERTEX_POINT('',#523771); #168317=VERTEX_POINT('',#523775); #168318=VERTEX_POINT('',#523777); #168319=VERTEX_POINT('',#523786); #168320=VERTEX_POINT('',#523787); #168321=VERTEX_POINT('',#523789); #168322=VERTEX_POINT('',#523791); #168323=VERTEX_POINT('',#523795); #168324=VERTEX_POINT('',#523797); #168325=VERTEX_POINT('',#523801); #168326=VERTEX_POINT('',#523803); #168327=VERTEX_POINT('',#523812); #168328=VERTEX_POINT('',#523813); #168329=VERTEX_POINT('',#523815); #168330=VERTEX_POINT('',#523817); #168331=VERTEX_POINT('',#523821); #168332=VERTEX_POINT('',#523823); #168333=VERTEX_POINT('',#523827); #168334=VERTEX_POINT('',#523829); #168335=VERTEX_POINT('',#523838); #168336=VERTEX_POINT('',#523839); #168337=VERTEX_POINT('',#523841); #168338=VERTEX_POINT('',#523843); #168339=VERTEX_POINT('',#523847); #168340=VERTEX_POINT('',#523849); #168341=VERTEX_POINT('',#523853); #168342=VERTEX_POINT('',#523855); #168343=VERTEX_POINT('',#523864); #168344=VERTEX_POINT('',#523865); #168345=VERTEX_POINT('',#523867); #168346=VERTEX_POINT('',#523869); #168347=VERTEX_POINT('',#523873); #168348=VERTEX_POINT('',#523875); #168349=VERTEX_POINT('',#523879); #168350=VERTEX_POINT('',#523881); #168351=VERTEX_POINT('',#523890); #168352=VERTEX_POINT('',#523891); #168353=VERTEX_POINT('',#523893); #168354=VERTEX_POINT('',#523895); #168355=VERTEX_POINT('',#523899); #168356=VERTEX_POINT('',#523901); #168357=VERTEX_POINT('',#523905); #168358=VERTEX_POINT('',#523907); #168359=VERTEX_POINT('',#523919); #168360=VERTEX_POINT('',#523920); #168361=VERTEX_POINT('',#523922); #168362=VERTEX_POINT('',#523924); #168363=VERTEX_POINT('',#523928); #168364=VERTEX_POINT('',#523930); #168365=VERTEX_POINT('',#523934); #168366=VERTEX_POINT('',#523936); #168367=VERTEX_POINT('',#523943); #168368=VERTEX_POINT('',#523945); #168369=VERTEX_POINT('',#523949); #168370=VERTEX_POINT('',#523954); #168371=VERTEX_POINT('',#523961); #168372=VERTEX_POINT('',#523962); #168373=VERTEX_POINT('',#523964); #168374=VERTEX_POINT('',#523966); #168375=VERTEX_POINT('',#523970); #168376=VERTEX_POINT('',#523972); #168377=VERTEX_POINT('',#523976); #168378=VERTEX_POINT('',#523978); #168379=VERTEX_POINT('',#523987); #168380=VERTEX_POINT('',#523988); #168381=VERTEX_POINT('',#523990); #168382=VERTEX_POINT('',#523992); #168383=VERTEX_POINT('',#523996); #168384=VERTEX_POINT('',#523998); #168385=VERTEX_POINT('',#524002); #168386=VERTEX_POINT('',#524004); #168387=VERTEX_POINT('',#524013); #168388=VERTEX_POINT('',#524014); #168389=VERTEX_POINT('',#524016); #168390=VERTEX_POINT('',#524018); #168391=VERTEX_POINT('',#524022); #168392=VERTEX_POINT('',#524024); #168393=VERTEX_POINT('',#524028); #168394=VERTEX_POINT('',#524030); #168395=VERTEX_POINT('',#524039); #168396=VERTEX_POINT('',#524040); #168397=VERTEX_POINT('',#524042); #168398=VERTEX_POINT('',#524044); #168399=VERTEX_POINT('',#524048); #168400=VERTEX_POINT('',#524050); #168401=VERTEX_POINT('',#524054); #168402=VERTEX_POINT('',#524056); #168403=VERTEX_POINT('',#524068); #168404=VERTEX_POINT('',#524070); #168405=VERTEX_POINT('',#524074); #168406=VERTEX_POINT('',#524076); #168407=VERTEX_POINT('',#524082); #168408=VERTEX_POINT('',#524083); #168409=VERTEX_POINT('',#524085); #168410=VERTEX_POINT('',#524099); #168411=VERTEX_POINT('',#524108); #168412=VERTEX_POINT('',#524135); #168413=VERTEX_POINT('',#524136); #168414=VERTEX_POINT('',#524189); #168415=VERTEX_POINT('',#524190); #168416=VERTEX_POINT('',#524192); #168417=VERTEX_POINT('',#524200); #168418=VERTEX_POINT('',#524216); #168419=VERTEX_POINT('',#524217); #168420=VERTEX_POINT('',#524219); #168421=VERTEX_POINT('',#524236); #168422=VERTEX_POINT('',#524261); #168423=VERTEX_POINT('',#524262); #168424=VERTEX_POINT('',#524312); #168425=VERTEX_POINT('',#524313); #168426=VERTEX_POINT('',#524315); #168427=VERTEX_POINT('',#524320); #168428=VERTEX_POINT('',#524329); #168429=VERTEX_POINT('',#524944); #168430=VERTEX_POINT('',#524949); #168431=VERTEX_POINT('',#524970); #168432=VERTEX_POINT('',#524993); #168433=VERTEX_POINT('',#525046); #168434=VERTEX_POINT('',#525067); #168435=VERTEX_POINT('',#525113); #168436=VERTEX_POINT('',#525114); #168437=VERTEX_POINT('',#525116); #168438=VERTEX_POINT('',#525118); #168439=VERTEX_POINT('',#525120); #168440=VERTEX_POINT('',#525122); #168441=VERTEX_POINT('',#525138); #168442=VERTEX_POINT('',#525139); #168443=VERTEX_POINT('',#525141); #168444=VERTEX_POINT('',#525152); #168445=VERTEX_POINT('',#525162); #168446=VERTEX_POINT('',#525163); #168447=VERTEX_POINT('',#525210); #168448=VERTEX_POINT('',#525211); #168449=VERTEX_POINT('',#525258); #168450=VERTEX_POINT('',#525259); #168451=VERTEX_POINT('',#525306); #168452=VERTEX_POINT('',#525307); #168453=VERTEX_POINT('',#525360); #168454=VERTEX_POINT('',#525361); #168455=VERTEX_POINT('',#525408); #168456=VERTEX_POINT('',#525409); #168457=VERTEX_POINT('',#525456); #168458=VERTEX_POINT('',#525457); #168459=VERTEX_POINT('',#525459); #168460=VERTEX_POINT('',#525466); #168461=VERTEX_POINT('',#525467); #168462=VERTEX_POINT('',#525479); #168463=VERTEX_POINT('',#525491); #168464=VERTEX_POINT('',#525492); #168465=VERTEX_POINT('',#525515); #168466=VERTEX_POINT('',#525516); #168467=VERTEX_POINT('',#525540); #168468=VERTEX_POINT('',#525541); #168469=VERTEX_POINT('',#525565); #168470=VERTEX_POINT('',#525566); #168471=VERTEX_POINT('',#525589); #168472=VERTEX_POINT('',#525590); #168473=VERTEX_POINT('',#525613); #168474=VERTEX_POINT('',#525614); #168475=VERTEX_POINT('',#525616); #168476=VERTEX_POINT('',#525618); #168477=VERTEX_POINT('',#525622); #168478=VERTEX_POINT('',#525623); #168479=VERTEX_POINT('',#525625); #168480=VERTEX_POINT('',#525627); #168481=VERTEX_POINT('',#525631); #168482=VERTEX_POINT('',#525632); #168483=VERTEX_POINT('',#525634); #168484=VERTEX_POINT('',#525636); #168485=VERTEX_POINT('',#525640); #168486=VERTEX_POINT('',#525641); #168487=VERTEX_POINT('',#525643); #168488=VERTEX_POINT('',#525645); #168489=VERTEX_POINT('',#525649); #168490=VERTEX_POINT('',#525650); #168491=VERTEX_POINT('',#525652); #168492=VERTEX_POINT('',#525654); #168493=VERTEX_POINT('',#525658); #168494=VERTEX_POINT('',#525659); #168495=VERTEX_POINT('',#525661); #168496=VERTEX_POINT('',#525663); #168497=VERTEX_POINT('',#525667); #168498=VERTEX_POINT('',#525668); #168499=VERTEX_POINT('',#525670); #168500=VERTEX_POINT('',#525672); #168501=VERTEX_POINT('',#525683); #168502=VERTEX_POINT('',#525688); #168503=VERTEX_POINT('',#525702); #168504=VERTEX_POINT('',#525703); #168505=VERTEX_POINT('',#525708); #168506=VERTEX_POINT('',#525710); #168507=VERTEX_POINT('',#525717); #168508=VERTEX_POINT('',#525719); #168509=VERTEX_POINT('',#525726); #168510=VERTEX_POINT('',#525728); #168511=VERTEX_POINT('',#525730); #168512=VERTEX_POINT('',#525732); #168513=VERTEX_POINT('',#525734); #168514=VERTEX_POINT('',#525736); #168515=VERTEX_POINT('',#525738); #168516=VERTEX_POINT('',#525740); #168517=VERTEX_POINT('',#525742); #168518=VERTEX_POINT('',#525746); #168519=VERTEX_POINT('',#525748); #168520=VERTEX_POINT('',#525759); #168521=VERTEX_POINT('',#525764); #168522=VERTEX_POINT('',#525778); #168523=VERTEX_POINT('',#525779); #168524=VERTEX_POINT('',#525784); #168525=VERTEX_POINT('',#525786); #168526=VERTEX_POINT('',#525798); #168527=VERTEX_POINT('',#525799); #168528=VERTEX_POINT('',#525801); #168529=VERTEX_POINT('',#525803); #168530=VERTEX_POINT('',#525809); #168531=VERTEX_POINT('',#525811); #168532=VERTEX_POINT('',#525817); #168533=VERTEX_POINT('',#525818); #168534=VERTEX_POINT('',#525820); #168535=VERTEX_POINT('',#525822); #168536=VERTEX_POINT('',#525828); #168537=VERTEX_POINT('',#525830); #168538=VERTEX_POINT('',#525836); #168539=VERTEX_POINT('',#525837); #168540=VERTEX_POINT('',#525839); #168541=VERTEX_POINT('',#525841); #168542=VERTEX_POINT('',#525847); #168543=VERTEX_POINT('',#525849); #168544=VERTEX_POINT('',#525855); #168545=VERTEX_POINT('',#525861); #168546=VERTEX_POINT('',#525867); #168547=VERTEX_POINT('',#525868); #168548=VERTEX_POINT('',#525870); #168549=VERTEX_POINT('',#525872); #168550=VERTEX_POINT('',#525878); #168551=VERTEX_POINT('',#525880); #168552=VERTEX_POINT('',#525886); #168553=VERTEX_POINT('',#525887); #168554=VERTEX_POINT('',#525889); #168555=VERTEX_POINT('',#525891); #168556=VERTEX_POINT('',#525897); #168557=VERTEX_POINT('',#525899); #168558=VERTEX_POINT('',#525905); #168559=VERTEX_POINT('',#525906); #168560=VERTEX_POINT('',#525908); #168561=VERTEX_POINT('',#525910); #168562=VERTEX_POINT('',#525916); #168563=VERTEX_POINT('',#525918); #168564=VERTEX_POINT('',#525924); #168565=VERTEX_POINT('',#525925); #168566=VERTEX_POINT('',#525927); #168567=VERTEX_POINT('',#525929); #168568=VERTEX_POINT('',#525935); #168569=VERTEX_POINT('',#525937); #168570=VERTEX_POINT('',#525980); #168571=VERTEX_POINT('',#525981); #168572=VERTEX_POINT('',#525983); #168573=VERTEX_POINT('',#525985); #168574=VERTEX_POINT('',#525991); #168575=VERTEX_POINT('',#525993); #168576=VERTEX_POINT('',#525999); #168577=VERTEX_POINT('',#526000); #168578=VERTEX_POINT('',#526002); #168579=VERTEX_POINT('',#526004); #168580=VERTEX_POINT('',#526010); #168581=VERTEX_POINT('',#526012); #168582=VERTEX_POINT('',#526018); #168583=VERTEX_POINT('',#526019); #168584=VERTEX_POINT('',#526021); #168585=VERTEX_POINT('',#526023); #168586=VERTEX_POINT('',#526029); #168587=VERTEX_POINT('',#526031); #168588=VERTEX_POINT('',#526037); #168589=VERTEX_POINT('',#526038); #168590=VERTEX_POINT('',#526040); #168591=VERTEX_POINT('',#526042); #168592=VERTEX_POINT('',#526048); #168593=VERTEX_POINT('',#526050); #168594=VERTEX_POINT('',#526056); #168595=VERTEX_POINT('',#526069); #168596=VERTEX_POINT('',#526070); #168597=VERTEX_POINT('',#526077); #168598=VERTEX_POINT('',#526081); #168599=VERTEX_POINT('',#526083); #168600=VERTEX_POINT('',#526089); #168601=VERTEX_POINT('',#526093); #168602=VERTEX_POINT('',#526095); #168603=VERTEX_POINT('',#526106); #168604=VERTEX_POINT('',#526110); #168605=VERTEX_POINT('',#526120); #168606=VERTEX_POINT('',#526121); #168607=VERTEX_POINT('',#526126); #168608=VERTEX_POINT('',#526130); #168609=VERTEX_POINT('',#526131); #168610=VERTEX_POINT('',#526136); #168611=VERTEX_POINT('',#526140); #168612=VERTEX_POINT('',#526141); #168613=VERTEX_POINT('',#526146); #168614=VERTEX_POINT('',#526166); #168615=VERTEX_POINT('',#526170); #168616=VERTEX_POINT('',#526171); #168617=VERTEX_POINT('',#526173); #168618=VERTEX_POINT('',#526175); #168619=VERTEX_POINT('',#526179); #168620=VERTEX_POINT('',#526180); #168621=VERTEX_POINT('',#526182); #168622=VERTEX_POINT('',#526184); #168623=VERTEX_POINT('',#526188); #168624=VERTEX_POINT('',#526190); #168625=VERTEX_POINT('',#526194); #168626=VERTEX_POINT('',#526196); #168627=VERTEX_POINT('',#526200); #168628=VERTEX_POINT('',#526202); #168629=VERTEX_POINT('',#526204); #168630=VERTEX_POINT('',#526206); #168631=VERTEX_POINT('',#526208); #168632=VERTEX_POINT('',#526210); #168633=VERTEX_POINT('',#526212); #168634=VERTEX_POINT('',#526214); #168635=VERTEX_POINT('',#526216); #168636=VERTEX_POINT('',#526218); #168637=VERTEX_POINT('',#526222); #168638=VERTEX_POINT('',#526227); #168639=VERTEX_POINT('',#526229); #168640=VERTEX_POINT('',#526233); #168641=VERTEX_POINT('',#526235); #168642=VERTEX_POINT('',#526239); #168643=VERTEX_POINT('',#526243); #168644=VERTEX_POINT('',#526247); #168645=VERTEX_POINT('',#526253); #168646=VERTEX_POINT('',#526257); #168647=VERTEX_POINT('',#526267); #168648=VERTEX_POINT('',#526268); #168649=VERTEX_POINT('',#526270); #168650=VERTEX_POINT('',#526272); #168651=VERTEX_POINT('',#526274); #168652=VERTEX_POINT('',#526276); #168653=VERTEX_POINT('',#526278); #168654=VERTEX_POINT('',#526280); #168655=VERTEX_POINT('',#526282); #168656=VERTEX_POINT('',#526284); #168657=VERTEX_POINT('',#526286); #168658=VERTEX_POINT('',#526288); #168659=VERTEX_POINT('',#526292); #168660=VERTEX_POINT('',#526294); #168661=VERTEX_POINT('',#526298); #168662=VERTEX_POINT('',#526300); #168663=VERTEX_POINT('',#526302); #168664=VERTEX_POINT('',#526304); #168665=VERTEX_POINT('',#526306); #168666=VERTEX_POINT('',#526308); #168667=VERTEX_POINT('',#526310); #168668=VERTEX_POINT('',#526312); #168669=VERTEX_POINT('',#526314); #168670=VERTEX_POINT('',#526316); #168671=VERTEX_POINT('',#526340); #168672=VERTEX_POINT('',#526341); #168673=VERTEX_POINT('',#526343); #168674=VERTEX_POINT('',#526345); #168675=VERTEX_POINT('',#526349); #168676=VERTEX_POINT('',#526351); #168677=VERTEX_POINT('',#526355); #168678=VERTEX_POINT('',#526356); #168679=VERTEX_POINT('',#526358); #168680=VERTEX_POINT('',#526360); #168681=VERTEX_POINT('',#526364); #168682=VERTEX_POINT('',#526366); #168683=VERTEX_POINT('',#526370); #168684=VERTEX_POINT('',#526372); #168685=VERTEX_POINT('',#526374); #168686=VERTEX_POINT('',#526376); #168687=VERTEX_POINT('',#526378); #168688=VERTEX_POINT('',#526380); #168689=VERTEX_POINT('',#526382); #168690=VERTEX_POINT('',#526384); #168691=VERTEX_POINT('',#526386); #168692=VERTEX_POINT('',#526388); #168693=VERTEX_POINT('',#526392); #168694=VERTEX_POINT('',#526397); #168695=VERTEX_POINT('',#526398); #168696=VERTEX_POINT('',#526403); #168697=VERTEX_POINT('',#526405); #168698=VERTEX_POINT('',#526407); #168699=VERTEX_POINT('',#526410); #168700=VERTEX_POINT('',#526412); #168701=VERTEX_POINT('',#526414); #168702=VERTEX_POINT('',#526416); #168703=VERTEX_POINT('',#526437); #168704=VERTEX_POINT('',#526438); #168705=VERTEX_POINT('',#526440); #168706=VERTEX_POINT('',#526442); #168707=VERTEX_POINT('',#526446); #168708=VERTEX_POINT('',#526447); #168709=VERTEX_POINT('',#526449); #168710=VERTEX_POINT('',#526451); #168711=VERTEX_POINT('',#526455); #168712=VERTEX_POINT('',#526457); #168713=VERTEX_POINT('',#526461); #168714=VERTEX_POINT('',#526463); #168715=VERTEX_POINT('',#526467); #168716=VERTEX_POINT('',#526469); #168717=VERTEX_POINT('',#526471); #168718=VERTEX_POINT('',#526473); #168719=VERTEX_POINT('',#526475); #168720=VERTEX_POINT('',#526477); #168721=VERTEX_POINT('',#526479); #168722=VERTEX_POINT('',#526481); #168723=VERTEX_POINT('',#526483); #168724=VERTEX_POINT('',#526485); #168725=VERTEX_POINT('',#526489); #168726=VERTEX_POINT('',#526494); #168727=VERTEX_POINT('',#526496); #168728=VERTEX_POINT('',#526500); #168729=VERTEX_POINT('',#526502); #168730=VERTEX_POINT('',#526506); #168731=VERTEX_POINT('',#526510); #168732=VERTEX_POINT('',#526514); #168733=VERTEX_POINT('',#526520); #168734=VERTEX_POINT('',#526524); #168735=VERTEX_POINT('',#526534); #168736=VERTEX_POINT('',#526535); #168737=VERTEX_POINT('',#526537); #168738=VERTEX_POINT('',#526539); #168739=VERTEX_POINT('',#526541); #168740=VERTEX_POINT('',#526543); #168741=VERTEX_POINT('',#526545); #168742=VERTEX_POINT('',#526547); #168743=VERTEX_POINT('',#526549); #168744=VERTEX_POINT('',#526551); #168745=VERTEX_POINT('',#526553); #168746=VERTEX_POINT('',#526555); #168747=VERTEX_POINT('',#526559); #168748=VERTEX_POINT('',#526561); #168749=VERTEX_POINT('',#526565); #168750=VERTEX_POINT('',#526567); #168751=VERTEX_POINT('',#526569); #168752=VERTEX_POINT('',#526571); #168753=VERTEX_POINT('',#526573); #168754=VERTEX_POINT('',#526575); #168755=VERTEX_POINT('',#526577); #168756=VERTEX_POINT('',#526579); #168757=VERTEX_POINT('',#526581); #168758=VERTEX_POINT('',#526583); #168759=VERTEX_POINT('',#526607); #168760=VERTEX_POINT('',#526609); #168761=VERTEX_POINT('',#526620); #168762=VERTEX_POINT('',#526622); #168763=VERTEX_POINT('',#526624); #168764=VERTEX_POINT('',#526626); #168765=VERTEX_POINT('',#526630); #168766=VERTEX_POINT('',#526632); #168767=VERTEX_POINT('',#526634); #168768=VERTEX_POINT('',#526636); #168769=VERTEX_POINT('',#526638); #168770=VERTEX_POINT('',#526640); #168771=VERTEX_POINT('',#526645); #168772=VERTEX_POINT('',#526647); #168773=VERTEX_POINT('',#526649); #168774=VERTEX_POINT('',#526651); #168775=VERTEX_POINT('',#526653); #168776=VERTEX_POINT('',#526655); #168777=VERTEX_POINT('',#526668); #168778=VERTEX_POINT('',#526669); #168779=VERTEX_POINT('',#526671); #168780=VERTEX_POINT('',#526673); #168781=VERTEX_POINT('',#526675); #168782=VERTEX_POINT('',#526680); #168783=VERTEX_POINT('',#526682); #168784=VERTEX_POINT('',#526684); #168785=VERTEX_POINT('',#526686); #168786=VERTEX_POINT('',#526688); #168787=VERTEX_POINT('',#526691); #168788=VERTEX_POINT('',#526693); #168789=VERTEX_POINT('',#526695); #168790=VERTEX_POINT('',#526697); #168791=VERTEX_POINT('',#526699); #168792=VERTEX_POINT('',#526701); #168793=VERTEX_POINT('',#526703); #168794=VERTEX_POINT('',#526705); #168795=VERTEX_POINT('',#526707); #168796=VERTEX_POINT('',#526898); #168797=VERTEX_POINT('',#527003); #168798=VERTEX_POINT('',#527108); #168799=VERTEX_POINT('',#527306); #168800=VERTEX_POINT('',#527505); #168801=VERTEX_POINT('',#527506); #168802=VERTEX_POINT('',#527508); #168803=VERTEX_POINT('',#527510); #168804=VERTEX_POINT('',#527512); #168805=VERTEX_POINT('',#527514); #168806=VERTEX_POINT('',#527518); #168807=VERTEX_POINT('',#527520); #168808=VERTEX_POINT('',#527524); #168809=VERTEX_POINT('',#527526); #168810=VERTEX_POINT('',#527530); #168811=VERTEX_POINT('',#527534); #168812=VERTEX_POINT('',#527540); #168813=VERTEX_POINT('',#527542); #168814=VERTEX_POINT('',#527544); #168815=VERTEX_POINT('',#527546); #168816=VERTEX_POINT('',#527548); #168817=VERTEX_POINT('',#527550); #168818=VERTEX_POINT('',#527552); #168819=VERTEX_POINT('',#527554); #168820=VERTEX_POINT('',#527559); #168821=VERTEX_POINT('',#527560); #168822=VERTEX_POINT('',#527562); #168823=VERTEX_POINT('',#527564); #168824=VERTEX_POINT('',#527568); #168825=VERTEX_POINT('',#527570); #168826=VERTEX_POINT('',#527574); #168827=VERTEX_POINT('',#527575); #168828=VERTEX_POINT('',#527577); #168829=VERTEX_POINT('',#527579); #168830=VERTEX_POINT('',#527583); #168831=VERTEX_POINT('',#527584); #168832=VERTEX_POINT('',#527586); #168833=VERTEX_POINT('',#527588); #168834=VERTEX_POINT('',#527592); #168835=VERTEX_POINT('',#527594); #168836=VERTEX_POINT('',#527598); #168837=VERTEX_POINT('',#527599); #168838=VERTEX_POINT('',#527601); #168839=VERTEX_POINT('',#527603); #168840=VERTEX_POINT('',#527607); #168841=VERTEX_POINT('',#527609); #168842=VERTEX_POINT('',#527613); #168843=VERTEX_POINT('',#527614); #168844=VERTEX_POINT('',#527619); #168845=VERTEX_POINT('',#527621); #168846=VERTEX_POINT('',#527623); #168847=VERTEX_POINT('',#527625); #168848=VERTEX_POINT('',#527627); #168849=VERTEX_POINT('',#527631); #168850=VERTEX_POINT('',#527633); #168851=VERTEX_POINT('',#527637); #168852=VERTEX_POINT('',#527641); #168853=VERTEX_POINT('',#527647); #168854=VERTEX_POINT('',#527648); #168855=VERTEX_POINT('',#527650); #168856=VERTEX_POINT('',#527652); #168857=VERTEX_POINT('',#527656); #168858=VERTEX_POINT('',#527658); #168859=VERTEX_POINT('',#527662); #168860=VERTEX_POINT('',#527663); #168861=VERTEX_POINT('',#527668); #168862=VERTEX_POINT('',#527669); #168863=VERTEX_POINT('',#527671); #168864=VERTEX_POINT('',#527673); #168865=VERTEX_POINT('',#527680); #168866=VERTEX_POINT('',#527681); #168867=VERTEX_POINT('',#527683); #168868=VERTEX_POINT('',#527685); #168869=VERTEX_POINT('',#527696); #168870=VERTEX_POINT('',#527697); #168871=VERTEX_POINT('',#527699); #168872=VERTEX_POINT('',#527701); #168873=VERTEX_POINT('',#527705); #168874=VERTEX_POINT('',#527706); #168875=VERTEX_POINT('',#527709); #168876=VERTEX_POINT('',#527711); #168877=VERTEX_POINT('',#527713); #168878=VERTEX_POINT('',#527715); #168879=VERTEX_POINT('',#527717); #168880=VERTEX_POINT('',#527719); #168881=VERTEX_POINT('',#527721); #168882=VERTEX_POINT('',#527724); #168883=VERTEX_POINT('',#527728); #168884=VERTEX_POINT('',#527729); #168885=VERTEX_POINT('',#527734); #168886=VERTEX_POINT('',#527739); #168887=VERTEX_POINT('',#527740); #168888=VERTEX_POINT('',#527742); #168889=VERTEX_POINT('',#527744); #168890=VERTEX_POINT('',#527747); #168891=VERTEX_POINT('',#527749); #168892=VERTEX_POINT('',#527756); #168893=VERTEX_POINT('',#527757); #168894=VERTEX_POINT('',#527760); #168895=VERTEX_POINT('',#527763); #168896=VERTEX_POINT('',#527765); #168897=VERTEX_POINT('',#527767); #168898=VERTEX_POINT('',#527773); #168899=VERTEX_POINT('',#527774); #168900=VERTEX_POINT('',#527776); #168901=VERTEX_POINT('',#527778); #168902=VERTEX_POINT('',#527782); #168903=VERTEX_POINT('',#527784); #168904=VERTEX_POINT('',#527788); #168905=VERTEX_POINT('',#527790); #168906=VERTEX_POINT('',#527794); #168907=VERTEX_POINT('',#527796); #168908=VERTEX_POINT('',#527800); #168909=VERTEX_POINT('',#527802); #168910=VERTEX_POINT('',#527806); #168911=VERTEX_POINT('',#527811); #168912=VERTEX_POINT('',#527815); #168913=VERTEX_POINT('',#527816); #168914=VERTEX_POINT('',#527821); #168915=VERTEX_POINT('',#527825); #168916=VERTEX_POINT('',#527829); #168917=VERTEX_POINT('',#527833); #168918=VERTEX_POINT('',#527839); #168919=VERTEX_POINT('',#527845); #168920=VERTEX_POINT('',#527846); #168921=VERTEX_POINT('',#527854); #168922=VERTEX_POINT('',#527855); #168923=VERTEX_POINT('',#527858); #168924=VERTEX_POINT('',#527860); #168925=VERTEX_POINT('',#527869); #168926=VERTEX_POINT('',#527873); #168927=VERTEX_POINT('',#527885); #168928=VERTEX_POINT('',#527888); #168929=VERTEX_POINT('',#527895); #168930=VERTEX_POINT('',#527902); #168931=VERTEX_POINT('',#527903); #168932=VERTEX_POINT('',#527906); #168933=VERTEX_POINT('',#527908); #168934=VERTEX_POINT('',#527910); #168935=VERTEX_POINT('',#527919); #168936=VERTEX_POINT('',#527921); #168937=VERTEX_POINT('',#527927); #168938=VERTEX_POINT('',#527929); #168939=VERTEX_POINT('',#527931); #168940=VERTEX_POINT('',#527933); #168941=VERTEX_POINT('',#527935); #168942=VERTEX_POINT('',#527937); #168943=VERTEX_POINT('',#527939); #168944=VERTEX_POINT('',#527941); #168945=VERTEX_POINT('',#527943); #168946=VERTEX_POINT('',#527945); #168947=VERTEX_POINT('',#527948); #168948=VERTEX_POINT('',#527950); #168949=VERTEX_POINT('',#527952); #168950=VERTEX_POINT('',#527963); #168951=VERTEX_POINT('',#527965); #168952=VERTEX_POINT('',#527967); #168953=VERTEX_POINT('',#527969); #168954=VERTEX_POINT('',#527971); #168955=VERTEX_POINT('',#527973); #168956=VERTEX_POINT('',#527975); #168957=VERTEX_POINT('',#527977); #168958=VERTEX_POINT('',#527979); #168959=VERTEX_POINT('',#527991); #168960=VERTEX_POINT('',#527993); #168961=VERTEX_POINT('',#527995); #168962=VERTEX_POINT('',#527997); #168963=VERTEX_POINT('',#527999); #168964=VERTEX_POINT('',#528001); #168965=VERTEX_POINT('',#528005); #168966=VERTEX_POINT('',#528007); #168967=VERTEX_POINT('',#528009); #168968=VERTEX_POINT('',#528011); #168969=VERTEX_POINT('',#528013); #168970=VERTEX_POINT('',#528015); #168971=VERTEX_POINT('',#528017); #168972=VERTEX_POINT('',#528019); #168973=VERTEX_POINT('',#528021); #168974=VERTEX_POINT('',#528023); #168975=VERTEX_POINT('',#528051); #168976=VERTEX_POINT('',#528052); #168977=VERTEX_POINT('',#528054); #168978=VERTEX_POINT('',#528056); #168979=VERTEX_POINT('',#528060); #168980=VERTEX_POINT('',#528061); #168981=VERTEX_POINT('',#528063); #168982=VERTEX_POINT('',#528065); #168983=VERTEX_POINT('',#528082); #168984=VERTEX_POINT('',#528084); #168985=VERTEX_POINT('',#528088); #168986=VERTEX_POINT('',#528092); #168987=VERTEX_POINT('',#528104); #168988=VERTEX_POINT('',#528105); #168989=VERTEX_POINT('',#528107); #168990=VERTEX_POINT('',#528109); #168991=VERTEX_POINT('',#528113); #168992=VERTEX_POINT('',#528114); #168993=VERTEX_POINT('',#528116); #168994=VERTEX_POINT('',#528118); #168995=VERTEX_POINT('',#528134); #168996=VERTEX_POINT('',#528136); #168997=VERTEX_POINT('',#528140); #168998=VERTEX_POINT('',#528144); #168999=VERTEX_POINT('',#528161); #169000=VERTEX_POINT('',#528163); #169001=VERTEX_POINT('',#528165); #169002=VERTEX_POINT('',#528167); #169003=VERTEX_POINT('',#528171); #169004=VERTEX_POINT('',#528173); #169005=VERTEX_POINT('',#528177); #169006=VERTEX_POINT('',#528179); #169007=VERTEX_POINT('',#528183); #169008=VERTEX_POINT('',#528185); #169009=VERTEX_POINT('',#528187); #169010=VERTEX_POINT('',#528189); #169011=VERTEX_POINT('',#528196); #169012=VERTEX_POINT('',#528198); #169013=VERTEX_POINT('',#528203); #169014=VERTEX_POINT('',#528207); #169015=VERTEX_POINT('',#528212); #169016=VERTEX_POINT('',#528213); #169017=VERTEX_POINT('',#528215); #169018=VERTEX_POINT('',#528217); #169019=VERTEX_POINT('',#528221); #169020=VERTEX_POINT('',#528223); #169021=VERTEX_POINT('',#528225); #169022=VERTEX_POINT('',#528227); #169023=VERTEX_POINT('',#528229); #169024=VERTEX_POINT('',#528231); #169025=VERTEX_POINT('',#528235); #169026=VERTEX_POINT('',#528237); #169027=VERTEX_POINT('',#528245); #169028=VERTEX_POINT('',#528246); #169029=VERTEX_POINT('',#528251); #169030=VERTEX_POINT('',#528253); #169031=VERTEX_POINT('',#528256); #169032=VERTEX_POINT('',#528262); #169033=VERTEX_POINT('',#528322); #169034=VERTEX_POINT('',#528323); #169035=VERTEX_POINT('',#528325); #169036=VERTEX_POINT('',#528327); #169037=VERTEX_POINT('',#528331); #169038=VERTEX_POINT('',#528332); #169039=VERTEX_POINT('',#528334); #169040=VERTEX_POINT('',#528336); #169041=VERTEX_POINT('',#528340); #169042=VERTEX_POINT('',#528341); #169043=VERTEX_POINT('',#528343); #169044=VERTEX_POINT('',#528345); #169045=VERTEX_POINT('',#528349); #169046=VERTEX_POINT('',#528350); #169047=VERTEX_POINT('',#528352); #169048=VERTEX_POINT('',#528354); #169049=VERTEX_POINT('',#528358); #169050=VERTEX_POINT('',#528359); #169051=VERTEX_POINT('',#528364); #169052=VERTEX_POINT('',#528366); #169053=VERTEX_POINT('',#528377); #169054=VERTEX_POINT('',#528379); #169055=VERTEX_POINT('',#528383); #169056=VERTEX_POINT('',#528385); #169057=VERTEX_POINT('',#528402); #169058=VERTEX_POINT('',#528403); #169059=VERTEX_POINT('',#528408); #169060=VERTEX_POINT('',#528409); #169061=VERTEX_POINT('',#528431); #169062=VERTEX_POINT('',#528432); #169063=VERTEX_POINT('',#528434); #169064=VERTEX_POINT('',#528436); #169065=VERTEX_POINT('',#528440); #169066=VERTEX_POINT('',#528441); #169067=VERTEX_POINT('',#528443); #169068=VERTEX_POINT('',#528445); #169069=VERTEX_POINT('',#528449); #169070=VERTEX_POINT('',#528450); #169071=VERTEX_POINT('',#528452); #169072=VERTEX_POINT('',#528454); #169073=VERTEX_POINT('',#528458); #169074=VERTEX_POINT('',#528459); #169075=VERTEX_POINT('',#528461); #169076=VERTEX_POINT('',#528463); #169077=VERTEX_POINT('',#528467); #169078=VERTEX_POINT('',#528469); #169079=VERTEX_POINT('',#528473); #169080=VERTEX_POINT('',#528475); #169081=VERTEX_POINT('',#528486); #169082=VERTEX_POINT('',#528487); #169083=VERTEX_POINT('',#528492); #169084=VERTEX_POINT('',#528494); #169085=VERTEX_POINT('',#528508); #169086=VERTEX_POINT('',#528510); #169087=VERTEX_POINT('',#528514); #169088=VERTEX_POINT('',#528515); #169089=VERTEX_POINT('',#528543); #169090=VERTEX_POINT('',#528545); #169091=VERTEX_POINT('',#528550); #169092=VERTEX_POINT('',#528551); #169093=VERTEX_POINT('',#528553); #169094=VERTEX_POINT('',#528555); #169095=VERTEX_POINT('',#528559); #169096=VERTEX_POINT('',#528561); #169097=VERTEX_POINT('',#528565); #169098=VERTEX_POINT('',#528567); #169099=VERTEX_POINT('',#528574); #169100=VERTEX_POINT('',#528576); #169101=VERTEX_POINT('',#528580); #169102=VERTEX_POINT('',#528585); #169103=VERTEX_POINT('',#528592); #169104=VERTEX_POINT('',#528593); #169105=VERTEX_POINT('',#528595); #169106=VERTEX_POINT('',#528597); #169107=VERTEX_POINT('',#528601); #169108=VERTEX_POINT('',#528603); #169109=VERTEX_POINT('',#528607); #169110=VERTEX_POINT('',#528608); #169111=VERTEX_POINT('',#528610); #169112=VERTEX_POINT('',#528612); #169113=VERTEX_POINT('',#528619); #169114=VERTEX_POINT('',#528621); #169115=VERTEX_POINT('',#528630); #169116=VERTEX_POINT('',#528631); #169117=VERTEX_POINT('',#528633); #169118=VERTEX_POINT('',#528635); #169119=VERTEX_POINT('',#528639); #169120=VERTEX_POINT('',#528641); #169121=VERTEX_POINT('',#528645); #169122=VERTEX_POINT('',#528646); #169123=VERTEX_POINT('',#528648); #169124=VERTEX_POINT('',#528650); #169125=VERTEX_POINT('',#528657); #169126=VERTEX_POINT('',#528659); #169127=VERTEX_POINT('',#528668); #169128=VERTEX_POINT('',#528669); #169129=VERTEX_POINT('',#528671); #169130=VERTEX_POINT('',#528673); #169131=VERTEX_POINT('',#528677); #169132=VERTEX_POINT('',#528679); #169133=VERTEX_POINT('',#528683); #169134=VERTEX_POINT('',#528684); #169135=VERTEX_POINT('',#528686); #169136=VERTEX_POINT('',#528688); #169137=VERTEX_POINT('',#528695); #169138=VERTEX_POINT('',#528697); #169139=VERTEX_POINT('',#528706); #169140=VERTEX_POINT('',#528707); #169141=VERTEX_POINT('',#528709); #169142=VERTEX_POINT('',#528711); #169143=VERTEX_POINT('',#528715); #169144=VERTEX_POINT('',#528717); #169145=VERTEX_POINT('',#528721); #169146=VERTEX_POINT('',#528722); #169147=VERTEX_POINT('',#528724); #169148=VERTEX_POINT('',#528726); #169149=VERTEX_POINT('',#528733); #169150=VERTEX_POINT('',#528735); #169151=VERTEX_POINT('',#528744); #169152=VERTEX_POINT('',#528745); #169153=VERTEX_POINT('',#528747); #169154=VERTEX_POINT('',#528749); #169155=VERTEX_POINT('',#528753); #169156=VERTEX_POINT('',#528755); #169157=VERTEX_POINT('',#528759); #169158=VERTEX_POINT('',#528760); #169159=VERTEX_POINT('',#528762); #169160=VERTEX_POINT('',#528764); #169161=VERTEX_POINT('',#528771); #169162=VERTEX_POINT('',#528773); #169163=VERTEX_POINT('',#528782); #169164=VERTEX_POINT('',#528783); #169165=VERTEX_POINT('',#528785); #169166=VERTEX_POINT('',#528787); #169167=VERTEX_POINT('',#528791); #169168=VERTEX_POINT('',#528793); #169169=VERTEX_POINT('',#528797); #169170=VERTEX_POINT('',#528798); #169171=VERTEX_POINT('',#528800); #169172=VERTEX_POINT('',#528802); #169173=VERTEX_POINT('',#528809); #169174=VERTEX_POINT('',#528811); #169175=VERTEX_POINT('',#528820); #169176=VERTEX_POINT('',#528821); #169177=VERTEX_POINT('',#528823); #169178=VERTEX_POINT('',#528825); #169179=VERTEX_POINT('',#528829); #169180=VERTEX_POINT('',#528831); #169181=VERTEX_POINT('',#528835); #169182=VERTEX_POINT('',#528836); #169183=VERTEX_POINT('',#528838); #169184=VERTEX_POINT('',#528840); #169185=VERTEX_POINT('',#528847); #169186=VERTEX_POINT('',#528849); #169187=VERTEX_POINT('',#528858); #169188=VERTEX_POINT('',#528859); #169189=VERTEX_POINT('',#528861); #169190=VERTEX_POINT('',#528863); #169191=VERTEX_POINT('',#528867); #169192=VERTEX_POINT('',#528869); #169193=VERTEX_POINT('',#528873); #169194=VERTEX_POINT('',#528874); #169195=VERTEX_POINT('',#528876); #169196=VERTEX_POINT('',#528878); #169197=VERTEX_POINT('',#528885); #169198=VERTEX_POINT('',#528887); #169199=VERTEX_POINT('',#528896); #169200=VERTEX_POINT('',#528897); #169201=VERTEX_POINT('',#528899); #169202=VERTEX_POINT('',#528901); #169203=VERTEX_POINT('',#528905); #169204=VERTEX_POINT('',#528907); #169205=VERTEX_POINT('',#528911); #169206=VERTEX_POINT('',#528912); #169207=VERTEX_POINT('',#528914); #169208=VERTEX_POINT('',#528916); #169209=VERTEX_POINT('',#528923); #169210=VERTEX_POINT('',#528925); #169211=VERTEX_POINT('',#528934); #169212=VERTEX_POINT('',#528935); #169213=VERTEX_POINT('',#528937); #169214=VERTEX_POINT('',#528939); #169215=VERTEX_POINT('',#528943); #169216=VERTEX_POINT('',#528945); #169217=VERTEX_POINT('',#528949); #169218=VERTEX_POINT('',#528950); #169219=VERTEX_POINT('',#528952); #169220=VERTEX_POINT('',#528954); #169221=VERTEX_POINT('',#528961); #169222=VERTEX_POINT('',#528963); #169223=VERTEX_POINT('',#528972); #169224=VERTEX_POINT('',#528973); #169225=VERTEX_POINT('',#528975); #169226=VERTEX_POINT('',#528977); #169227=VERTEX_POINT('',#528981); #169228=VERTEX_POINT('',#528983); #169229=VERTEX_POINT('',#528987); #169230=VERTEX_POINT('',#528988); #169231=VERTEX_POINT('',#528990); #169232=VERTEX_POINT('',#528992); #169233=VERTEX_POINT('',#528999); #169234=VERTEX_POINT('',#529001); #169235=VERTEX_POINT('',#529010); #169236=VERTEX_POINT('',#529011); #169237=VERTEX_POINT('',#529013); #169238=VERTEX_POINT('',#529015); #169239=VERTEX_POINT('',#529019); #169240=VERTEX_POINT('',#529021); #169241=VERTEX_POINT('',#529025); #169242=VERTEX_POINT('',#529026); #169243=VERTEX_POINT('',#529028); #169244=VERTEX_POINT('',#529030); #169245=VERTEX_POINT('',#529037); #169246=VERTEX_POINT('',#529039); #169247=VERTEX_POINT('',#529048); #169248=VERTEX_POINT('',#529049); #169249=VERTEX_POINT('',#529051); #169250=VERTEX_POINT('',#529053); #169251=VERTEX_POINT('',#529057); #169252=VERTEX_POINT('',#529059); #169253=VERTEX_POINT('',#529063); #169254=VERTEX_POINT('',#529064); #169255=VERTEX_POINT('',#529066); #169256=VERTEX_POINT('',#529068); #169257=VERTEX_POINT('',#529075); #169258=VERTEX_POINT('',#529077); #169259=VERTEX_POINT('',#529086); #169260=VERTEX_POINT('',#529087); #169261=VERTEX_POINT('',#529089); #169262=VERTEX_POINT('',#529091); #169263=VERTEX_POINT('',#529095); #169264=VERTEX_POINT('',#529097); #169265=VERTEX_POINT('',#529101); #169266=VERTEX_POINT('',#529102); #169267=VERTEX_POINT('',#529104); #169268=VERTEX_POINT('',#529106); #169269=VERTEX_POINT('',#529113); #169270=VERTEX_POINT('',#529115); #169271=VERTEX_POINT('',#529124); #169272=VERTEX_POINT('',#529125); #169273=VERTEX_POINT('',#529127); #169274=VERTEX_POINT('',#529129); #169275=VERTEX_POINT('',#529133); #169276=VERTEX_POINT('',#529135); #169277=VERTEX_POINT('',#529139); #169278=VERTEX_POINT('',#529140); #169279=VERTEX_POINT('',#529142); #169280=VERTEX_POINT('',#529144); #169281=VERTEX_POINT('',#529151); #169282=VERTEX_POINT('',#529153); #169283=VERTEX_POINT('',#529162); #169284=VERTEX_POINT('',#529163); #169285=VERTEX_POINT('',#529165); #169286=VERTEX_POINT('',#529167); #169287=VERTEX_POINT('',#529171); #169288=VERTEX_POINT('',#529173); #169289=VERTEX_POINT('',#529177); #169290=VERTEX_POINT('',#529178); #169291=VERTEX_POINT('',#529180); #169292=VERTEX_POINT('',#529182); #169293=VERTEX_POINT('',#529189); #169294=VERTEX_POINT('',#529191); #169295=VERTEX_POINT('',#529200); #169296=VERTEX_POINT('',#529201); #169297=VERTEX_POINT('',#529203); #169298=VERTEX_POINT('',#529205); #169299=VERTEX_POINT('',#529209); #169300=VERTEX_POINT('',#529211); #169301=VERTEX_POINT('',#529215); #169302=VERTEX_POINT('',#529216); #169303=VERTEX_POINT('',#529218); #169304=VERTEX_POINT('',#529220); #169305=VERTEX_POINT('',#529227); #169306=VERTEX_POINT('',#529229); #169307=VERTEX_POINT('',#529238); #169308=VERTEX_POINT('',#529239); #169309=VERTEX_POINT('',#529241); #169310=VERTEX_POINT('',#529243); #169311=VERTEX_POINT('',#529247); #169312=VERTEX_POINT('',#529249); #169313=VERTEX_POINT('',#529253); #169314=VERTEX_POINT('',#529254); #169315=VERTEX_POINT('',#529256); #169316=VERTEX_POINT('',#529258); #169317=VERTEX_POINT('',#529265); #169318=VERTEX_POINT('',#529267); #169319=VERTEX_POINT('',#529276); #169320=VERTEX_POINT('',#529277); #169321=VERTEX_POINT('',#529279); #169322=VERTEX_POINT('',#529281); #169323=VERTEX_POINT('',#529285); #169324=VERTEX_POINT('',#529287); #169325=VERTEX_POINT('',#529291); #169326=VERTEX_POINT('',#529292); #169327=VERTEX_POINT('',#529294); #169328=VERTEX_POINT('',#529296); #169329=VERTEX_POINT('',#529303); #169330=VERTEX_POINT('',#529305); #169331=VERTEX_POINT('',#529314); #169332=VERTEX_POINT('',#529315); #169333=VERTEX_POINT('',#529317); #169334=VERTEX_POINT('',#529319); #169335=VERTEX_POINT('',#529323); #169336=VERTEX_POINT('',#529325); #169337=VERTEX_POINT('',#529329); #169338=VERTEX_POINT('',#529330); #169339=VERTEX_POINT('',#529332); #169340=VERTEX_POINT('',#529334); #169341=VERTEX_POINT('',#529341); #169342=VERTEX_POINT('',#529343); #169343=VERTEX_POINT('',#529352); #169344=VERTEX_POINT('',#529353); #169345=VERTEX_POINT('',#529355); #169346=VERTEX_POINT('',#529357); #169347=VERTEX_POINT('',#529361); #169348=VERTEX_POINT('',#529363); #169349=VERTEX_POINT('',#529367); #169350=VERTEX_POINT('',#529368); #169351=VERTEX_POINT('',#529370); #169352=VERTEX_POINT('',#529372); #169353=VERTEX_POINT('',#529379); #169354=VERTEX_POINT('',#529381); #169355=VERTEX_POINT('',#529390); #169356=VERTEX_POINT('',#529391); #169357=VERTEX_POINT('',#529393); #169358=VERTEX_POINT('',#529395); #169359=VERTEX_POINT('',#529399); #169360=VERTEX_POINT('',#529401); #169361=VERTEX_POINT('',#529405); #169362=VERTEX_POINT('',#529406); #169363=VERTEX_POINT('',#529408); #169364=VERTEX_POINT('',#529410); #169365=VERTEX_POINT('',#529417); #169366=VERTEX_POINT('',#529419); #169367=VERTEX_POINT('',#529428); #169368=VERTEX_POINT('',#529429); #169369=VERTEX_POINT('',#529431); #169370=VERTEX_POINT('',#529433); #169371=VERTEX_POINT('',#529437); #169372=VERTEX_POINT('',#529439); #169373=VERTEX_POINT('',#529443); #169374=VERTEX_POINT('',#529444); #169375=VERTEX_POINT('',#529446); #169376=VERTEX_POINT('',#529448); #169377=VERTEX_POINT('',#529455); #169378=VERTEX_POINT('',#529457); #169379=VERTEX_POINT('',#529466); #169380=VERTEX_POINT('',#529467); #169381=VERTEX_POINT('',#529469); #169382=VERTEX_POINT('',#529471); #169383=VERTEX_POINT('',#529475); #169384=VERTEX_POINT('',#529477); #169385=VERTEX_POINT('',#529481); #169386=VERTEX_POINT('',#529482); #169387=VERTEX_POINT('',#529484); #169388=VERTEX_POINT('',#529486); #169389=VERTEX_POINT('',#529493); #169390=VERTEX_POINT('',#529495); #169391=VERTEX_POINT('',#529504); #169392=VERTEX_POINT('',#529505); #169393=VERTEX_POINT('',#529507); #169394=VERTEX_POINT('',#529509); #169395=VERTEX_POINT('',#529513); #169396=VERTEX_POINT('',#529514); #169397=VERTEX_POINT('',#529519); #169398=VERTEX_POINT('',#529521); #169399=VERTEX_POINT('',#529525); #169400=VERTEX_POINT('',#529527); #169401=VERTEX_POINT('',#529531); #169402=VERTEX_POINT('',#529533); #169403=VERTEX_POINT('',#529542); #169404=VERTEX_POINT('',#529543); #169405=VERTEX_POINT('',#529545); #169406=VERTEX_POINT('',#529547); #169407=VERTEX_POINT('',#529551); #169408=VERTEX_POINT('',#529552); #169409=VERTEX_POINT('',#529557); #169410=VERTEX_POINT('',#529559); #169411=VERTEX_POINT('',#529563); #169412=VERTEX_POINT('',#529565); #169413=VERTEX_POINT('',#529569); #169414=VERTEX_POINT('',#529571); #169415=VERTEX_POINT('',#529580); #169416=VERTEX_POINT('',#529581); #169417=VERTEX_POINT('',#529583); #169418=VERTEX_POINT('',#529585); #169419=VERTEX_POINT('',#529589); #169420=VERTEX_POINT('',#529590); #169421=VERTEX_POINT('',#529595); #169422=VERTEX_POINT('',#529597); #169423=VERTEX_POINT('',#529601); #169424=VERTEX_POINT('',#529603); #169425=VERTEX_POINT('',#529607); #169426=VERTEX_POINT('',#529609); #169427=VERTEX_POINT('',#529618); #169428=VERTEX_POINT('',#529619); #169429=VERTEX_POINT('',#529621); #169430=VERTEX_POINT('',#529623); #169431=VERTEX_POINT('',#529627); #169432=VERTEX_POINT('',#529628); #169433=VERTEX_POINT('',#529633); #169434=VERTEX_POINT('',#529635); #169435=VERTEX_POINT('',#529639); #169436=VERTEX_POINT('',#529641); #169437=VERTEX_POINT('',#529645); #169438=VERTEX_POINT('',#529647); #169439=VERTEX_POINT('',#529656); #169440=VERTEX_POINT('',#529657); #169441=VERTEX_POINT('',#529659); #169442=VERTEX_POINT('',#529661); #169443=VERTEX_POINT('',#529665); #169444=VERTEX_POINT('',#529666); #169445=VERTEX_POINT('',#529671); #169446=VERTEX_POINT('',#529673); #169447=VERTEX_POINT('',#529677); #169448=VERTEX_POINT('',#529679); #169449=VERTEX_POINT('',#529683); #169450=VERTEX_POINT('',#529685); #169451=VERTEX_POINT('',#529694); #169452=VERTEX_POINT('',#529695); #169453=VERTEX_POINT('',#529697); #169454=VERTEX_POINT('',#529699); #169455=VERTEX_POINT('',#529703); #169456=VERTEX_POINT('',#529704); #169457=VERTEX_POINT('',#529709); #169458=VERTEX_POINT('',#529711); #169459=VERTEX_POINT('',#529715); #169460=VERTEX_POINT('',#529717); #169461=VERTEX_POINT('',#529721); #169462=VERTEX_POINT('',#529723); #169463=VERTEX_POINT('',#529732); #169464=VERTEX_POINT('',#529733); #169465=VERTEX_POINT('',#529735); #169466=VERTEX_POINT('',#529737); #169467=VERTEX_POINT('',#529741); #169468=VERTEX_POINT('',#529742); #169469=VERTEX_POINT('',#529747); #169470=VERTEX_POINT('',#529749); #169471=VERTEX_POINT('',#529753); #169472=VERTEX_POINT('',#529755); #169473=VERTEX_POINT('',#529759); #169474=VERTEX_POINT('',#529761); #169475=VERTEX_POINT('',#529770); #169476=VERTEX_POINT('',#529771); #169477=VERTEX_POINT('',#529773); #169478=VERTEX_POINT('',#529775); #169479=VERTEX_POINT('',#529779); #169480=VERTEX_POINT('',#529780); #169481=VERTEX_POINT('',#529785); #169482=VERTEX_POINT('',#529787); #169483=VERTEX_POINT('',#529791); #169484=VERTEX_POINT('',#529793); #169485=VERTEX_POINT('',#529797); #169486=VERTEX_POINT('',#529799); #169487=VERTEX_POINT('',#529808); #169488=VERTEX_POINT('',#529809); #169489=VERTEX_POINT('',#529811); #169490=VERTEX_POINT('',#529813); #169491=VERTEX_POINT('',#529817); #169492=VERTEX_POINT('',#529818); #169493=VERTEX_POINT('',#529823); #169494=VERTEX_POINT('',#529825); #169495=VERTEX_POINT('',#529829); #169496=VERTEX_POINT('',#529831); #169497=VERTEX_POINT('',#529835); #169498=VERTEX_POINT('',#529837); #169499=VERTEX_POINT('',#529846); #169500=VERTEX_POINT('',#529847); #169501=VERTEX_POINT('',#529849); #169502=VERTEX_POINT('',#529851); #169503=VERTEX_POINT('',#529855); #169504=VERTEX_POINT('',#529856); #169505=VERTEX_POINT('',#529861); #169506=VERTEX_POINT('',#529863); #169507=VERTEX_POINT('',#529867); #169508=VERTEX_POINT('',#529869); #169509=VERTEX_POINT('',#529873); #169510=VERTEX_POINT('',#529875); #169511=VERTEX_POINT('',#529884); #169512=VERTEX_POINT('',#529885); #169513=VERTEX_POINT('',#529887); #169514=VERTEX_POINT('',#529889); #169515=VERTEX_POINT('',#529893); #169516=VERTEX_POINT('',#529894); #169517=VERTEX_POINT('',#529899); #169518=VERTEX_POINT('',#529901); #169519=VERTEX_POINT('',#529905); #169520=VERTEX_POINT('',#529907); #169521=VERTEX_POINT('',#529911); #169522=VERTEX_POINT('',#529913); #169523=VERTEX_POINT('',#529922); #169524=VERTEX_POINT('',#529923); #169525=VERTEX_POINT('',#529925); #169526=VERTEX_POINT('',#529927); #169527=VERTEX_POINT('',#529931); #169528=VERTEX_POINT('',#529932); #169529=VERTEX_POINT('',#529937); #169530=VERTEX_POINT('',#529939); #169531=VERTEX_POINT('',#529943); #169532=VERTEX_POINT('',#529945); #169533=VERTEX_POINT('',#529949); #169534=VERTEX_POINT('',#529951); #169535=VERTEX_POINT('',#529960); #169536=VERTEX_POINT('',#529961); #169537=VERTEX_POINT('',#529963); #169538=VERTEX_POINT('',#529965); #169539=VERTEX_POINT('',#529969); #169540=VERTEX_POINT('',#529970); #169541=VERTEX_POINT('',#529975); #169542=VERTEX_POINT('',#529977); #169543=VERTEX_POINT('',#529981); #169544=VERTEX_POINT('',#529983); #169545=VERTEX_POINT('',#529987); #169546=VERTEX_POINT('',#529989); #169547=VERTEX_POINT('',#529998); #169548=VERTEX_POINT('',#529999); #169549=VERTEX_POINT('',#530001); #169550=VERTEX_POINT('',#530003); #169551=VERTEX_POINT('',#530007); #169552=VERTEX_POINT('',#530008); #169553=VERTEX_POINT('',#530013); #169554=VERTEX_POINT('',#530015); #169555=VERTEX_POINT('',#530019); #169556=VERTEX_POINT('',#530021); #169557=VERTEX_POINT('',#530025); #169558=VERTEX_POINT('',#530027); #169559=VERTEX_POINT('',#530036); #169560=VERTEX_POINT('',#530037); #169561=VERTEX_POINT('',#530039); #169562=VERTEX_POINT('',#530041); #169563=VERTEX_POINT('',#530045); #169564=VERTEX_POINT('',#530046); #169565=VERTEX_POINT('',#530051); #169566=VERTEX_POINT('',#530053); #169567=VERTEX_POINT('',#530057); #169568=VERTEX_POINT('',#530059); #169569=VERTEX_POINT('',#530063); #169570=VERTEX_POINT('',#530065); #169571=VERTEX_POINT('',#530074); #169572=VERTEX_POINT('',#530075); #169573=VERTEX_POINT('',#530077); #169574=VERTEX_POINT('',#530079); #169575=VERTEX_POINT('',#530083); #169576=VERTEX_POINT('',#530084); #169577=VERTEX_POINT('',#530089); #169578=VERTEX_POINT('',#530091); #169579=VERTEX_POINT('',#530095); #169580=VERTEX_POINT('',#530097); #169581=VERTEX_POINT('',#530101); #169582=VERTEX_POINT('',#530103); #169583=VERTEX_POINT('',#530112); #169584=VERTEX_POINT('',#530113); #169585=VERTEX_POINT('',#530115); #169586=VERTEX_POINT('',#530117); #169587=VERTEX_POINT('',#530121); #169588=VERTEX_POINT('',#530122); #169589=VERTEX_POINT('',#530127); #169590=VERTEX_POINT('',#530129); #169591=VERTEX_POINT('',#530133); #169592=VERTEX_POINT('',#530135); #169593=VERTEX_POINT('',#530139); #169594=VERTEX_POINT('',#530141); #169595=VERTEX_POINT('',#530150); #169596=VERTEX_POINT('',#530151); #169597=VERTEX_POINT('',#530153); #169598=VERTEX_POINT('',#530155); #169599=VERTEX_POINT('',#530159); #169600=VERTEX_POINT('',#530160); #169601=VERTEX_POINT('',#530165); #169602=VERTEX_POINT('',#530167); #169603=VERTEX_POINT('',#530171); #169604=VERTEX_POINT('',#530173); #169605=VERTEX_POINT('',#530177); #169606=VERTEX_POINT('',#530179); #169607=VERTEX_POINT('',#530188); #169608=VERTEX_POINT('',#530189); #169609=VERTEX_POINT('',#530191); #169610=VERTEX_POINT('',#530193); #169611=VERTEX_POINT('',#530197); #169612=VERTEX_POINT('',#530198); #169613=VERTEX_POINT('',#530203); #169614=VERTEX_POINT('',#530205); #169615=VERTEX_POINT('',#530209); #169616=VERTEX_POINT('',#530211); #169617=VERTEX_POINT('',#530215); #169618=VERTEX_POINT('',#530217); #169619=VERTEX_POINT('',#530226); #169620=VERTEX_POINT('',#530227); #169621=VERTEX_POINT('',#530229); #169622=VERTEX_POINT('',#530231); #169623=VERTEX_POINT('',#530235); #169624=VERTEX_POINT('',#530236); #169625=VERTEX_POINT('',#530241); #169626=VERTEX_POINT('',#530243); #169627=VERTEX_POINT('',#530247); #169628=VERTEX_POINT('',#530249); #169629=VERTEX_POINT('',#530253); #169630=VERTEX_POINT('',#530255); #169631=VERTEX_POINT('',#530264); #169632=VERTEX_POINT('',#530265); #169633=VERTEX_POINT('',#530267); #169634=VERTEX_POINT('',#530269); #169635=VERTEX_POINT('',#530273); #169636=VERTEX_POINT('',#530274); #169637=VERTEX_POINT('',#530279); #169638=VERTEX_POINT('',#530281); #169639=VERTEX_POINT('',#530285); #169640=VERTEX_POINT('',#530287); #169641=VERTEX_POINT('',#530291); #169642=VERTEX_POINT('',#530293); #169643=VERTEX_POINT('',#530302); #169644=VERTEX_POINT('',#530303); #169645=VERTEX_POINT('',#530305); #169646=VERTEX_POINT('',#530307); #169647=VERTEX_POINT('',#530311); #169648=VERTEX_POINT('',#530312); #169649=VERTEX_POINT('',#530317); #169650=VERTEX_POINT('',#530319); #169651=VERTEX_POINT('',#530323); #169652=VERTEX_POINT('',#530325); #169653=VERTEX_POINT('',#530329); #169654=VERTEX_POINT('',#530331); #169655=VERTEX_POINT('',#530340); #169656=VERTEX_POINT('',#530341); #169657=VERTEX_POINT('',#530343); #169658=VERTEX_POINT('',#530345); #169659=VERTEX_POINT('',#530349); #169660=VERTEX_POINT('',#530350); #169661=VERTEX_POINT('',#530355); #169662=VERTEX_POINT('',#530357); #169663=VERTEX_POINT('',#530361); #169664=VERTEX_POINT('',#530363); #169665=VERTEX_POINT('',#530367); #169666=VERTEX_POINT('',#530369); #169667=VERTEX_POINT('',#530378); #169668=VERTEX_POINT('',#530379); #169669=VERTEX_POINT('',#530381); #169670=VERTEX_POINT('',#530383); #169671=VERTEX_POINT('',#530387); #169672=VERTEX_POINT('',#530388); #169673=VERTEX_POINT('',#530393); #169674=VERTEX_POINT('',#530395); #169675=VERTEX_POINT('',#530399); #169676=VERTEX_POINT('',#530401); #169677=VERTEX_POINT('',#530405); #169678=VERTEX_POINT('',#530407); #169679=VERTEX_POINT('',#530416); #169680=VERTEX_POINT('',#530417); #169681=VERTEX_POINT('',#530419); #169682=VERTEX_POINT('',#530421); #169683=VERTEX_POINT('',#530425); #169684=VERTEX_POINT('',#530427); #169685=VERTEX_POINT('',#530431); #169686=VERTEX_POINT('',#530433); #169687=VERTEX_POINT('',#530445); #169688=VERTEX_POINT('',#530446); #169689=VERTEX_POINT('',#530448); #169690=VERTEX_POINT('',#530452); #169691=VERTEX_POINT('',#530453); #169692=VERTEX_POINT('',#530455); #169693=VERTEX_POINT('',#530459); #169694=VERTEX_POINT('',#530460); #169695=VERTEX_POINT('',#530462); #169696=VERTEX_POINT('',#530466); #169697=VERTEX_POINT('',#530467); #169698=VERTEX_POINT('',#530469); #169699=VERTEX_POINT('',#530473); #169700=VERTEX_POINT('',#530474); #169701=VERTEX_POINT('',#530476); #169702=VERTEX_POINT('',#530483); #169703=VERTEX_POINT('',#530484); #169704=VERTEX_POINT('',#530486); #169705=VERTEX_POINT('',#530490); #169706=VERTEX_POINT('',#530491); #169707=VERTEX_POINT('',#530493); #169708=VERTEX_POINT('',#530503); #169709=VERTEX_POINT('',#530504); #169710=VERTEX_POINT('',#530506); #169711=VERTEX_POINT('',#530543); #169712=VERTEX_POINT('',#530544); #169713=VERTEX_POINT('',#530546); #169714=VERTEX_POINT('',#530550); #169715=VERTEX_POINT('',#530551); #169716=VERTEX_POINT('',#530553); #169717=VERTEX_POINT('',#530557); #169718=VERTEX_POINT('',#530558); #169719=VERTEX_POINT('',#530560); #169720=VERTEX_POINT('',#530564); #169721=VERTEX_POINT('',#530565); #169722=VERTEX_POINT('',#530567); #169723=VERTEX_POINT('',#530571); #169724=VERTEX_POINT('',#530572); #169725=VERTEX_POINT('',#530574); #169726=VERTEX_POINT('',#530581); #169727=VERTEX_POINT('',#530582); #169728=VERTEX_POINT('',#530584); #169729=VERTEX_POINT('',#530588); #169730=VERTEX_POINT('',#530589); #169731=VERTEX_POINT('',#530591); #169732=VERTEX_POINT('',#530601); #169733=VERTEX_POINT('',#530602); #169734=VERTEX_POINT('',#530604); #169735=VERTEX_POINT('',#530641); #169736=VERTEX_POINT('',#530642); #169737=VERTEX_POINT('',#530644); #169738=VERTEX_POINT('',#530646); #169739=VERTEX_POINT('',#530650); #169740=VERTEX_POINT('',#530652); #169741=VERTEX_POINT('',#530656); #169742=VERTEX_POINT('',#530658); #169743=VERTEX_POINT('',#530670); #169744=VERTEX_POINT('',#530671); #169745=VERTEX_POINT('',#530673); #169746=VERTEX_POINT('',#530675); #169747=VERTEX_POINT('',#530679); #169748=VERTEX_POINT('',#530681); #169749=VERTEX_POINT('',#530685); #169750=VERTEX_POINT('',#530687); #169751=VERTEX_POINT('',#530694); #169752=VERTEX_POINT('',#530696); #169753=VERTEX_POINT('',#530700); #169754=VERTEX_POINT('',#530705); #169755=VERTEX_POINT('',#530712); #169756=VERTEX_POINT('',#530713); #169757=VERTEX_POINT('',#530715); #169758=VERTEX_POINT('',#530717); #169759=VERTEX_POINT('',#530721); #169760=VERTEX_POINT('',#530723); #169761=VERTEX_POINT('',#530727); #169762=VERTEX_POINT('',#530729); #169763=VERTEX_POINT('',#530738); #169764=VERTEX_POINT('',#530739); #169765=VERTEX_POINT('',#530741); #169766=VERTEX_POINT('',#530743); #169767=VERTEX_POINT('',#530747); #169768=VERTEX_POINT('',#530749); #169769=VERTEX_POINT('',#530753); #169770=VERTEX_POINT('',#530755); #169771=VERTEX_POINT('',#530764); #169772=VERTEX_POINT('',#530765); #169773=VERTEX_POINT('',#530767); #169774=VERTEX_POINT('',#530769); #169775=VERTEX_POINT('',#530773); #169776=VERTEX_POINT('',#530775); #169777=VERTEX_POINT('',#530779); #169778=VERTEX_POINT('',#530781); #169779=VERTEX_POINT('',#530790); #169780=VERTEX_POINT('',#530791); #169781=VERTEX_POINT('',#530793); #169782=VERTEX_POINT('',#530795); #169783=VERTEX_POINT('',#530799); #169784=VERTEX_POINT('',#530801); #169785=VERTEX_POINT('',#530805); #169786=VERTEX_POINT('',#530807); #169787=VERTEX_POINT('',#530816); #169788=VERTEX_POINT('',#530817); #169789=VERTEX_POINT('',#530819); #169790=VERTEX_POINT('',#530821); #169791=VERTEX_POINT('',#530825); #169792=VERTEX_POINT('',#530827); #169793=VERTEX_POINT('',#530831); #169794=VERTEX_POINT('',#530833); #169795=VERTEX_POINT('',#530845); #169796=VERTEX_POINT('',#530847); #169797=VERTEX_POINT('',#530852); #169798=VERTEX_POINT('',#530853); #169799=VERTEX_POINT('',#530855); #169800=VERTEX_POINT('',#530857); #169801=VERTEX_POINT('',#530861); #169802=VERTEX_POINT('',#530863); #169803=VERTEX_POINT('',#530867); #169804=VERTEX_POINT('',#530869); #169805=VERTEX_POINT('',#530876); #169806=VERTEX_POINT('',#530878); #169807=VERTEX_POINT('',#530882); #169808=VERTEX_POINT('',#530887); #169809=VERTEX_POINT('',#530889); #169810=VERTEX_POINT('',#530891); #169811=VERTEX_POINT('',#530895); #169812=VERTEX_POINT('',#530900); #169813=VERTEX_POINT('',#530910); #169814=VERTEX_POINT('',#530911); #169815=VERTEX_POINT('',#530913); #169816=VERTEX_POINT('',#530915); #169817=VERTEX_POINT('',#530917); #169818=VERTEX_POINT('',#530919); #169819=VERTEX_POINT('',#530921); #169820=VERTEX_POINT('',#530923); #169821=VERTEX_POINT('',#530925); #169822=VERTEX_POINT('',#530927); #169823=VERTEX_POINT('',#530929); #169824=VERTEX_POINT('',#530931); #169825=VERTEX_POINT('',#530935); #169826=VERTEX_POINT('',#530937); #169827=VERTEX_POINT('',#530941); #169828=VERTEX_POINT('',#530945); #169829=VERTEX_POINT('',#530949); #169830=VERTEX_POINT('',#530953); #169831=VERTEX_POINT('',#530957); #169832=VERTEX_POINT('',#530961); #169833=VERTEX_POINT('',#530965); #169834=VERTEX_POINT('',#530969); #169835=VERTEX_POINT('',#530973); #169836=VERTEX_POINT('',#530977); #169837=VERTEX_POINT('',#530984); #169838=VERTEX_POINT('',#530985); #169839=VERTEX_POINT('',#530987); #169840=VERTEX_POINT('',#530989); #169841=VERTEX_POINT('',#530991); #169842=VERTEX_POINT('',#530993); #169843=VERTEX_POINT('',#530995); #169844=VERTEX_POINT('',#530997); #169845=VERTEX_POINT('',#530999); #169846=VERTEX_POINT('',#531001); #169847=VERTEX_POINT('',#531003); #169848=VERTEX_POINT('',#531005); #169849=VERTEX_POINT('',#531009); #169850=VERTEX_POINT('',#531011); #169851=VERTEX_POINT('',#531015); #169852=VERTEX_POINT('',#531019); #169853=VERTEX_POINT('',#531023); #169854=VERTEX_POINT('',#531027); #169855=VERTEX_POINT('',#531031); #169856=VERTEX_POINT('',#531035); #169857=VERTEX_POINT('',#531039); #169858=VERTEX_POINT('',#531043); #169859=VERTEX_POINT('',#531047); #169860=VERTEX_POINT('',#531051); #169861=VERTEX_POINT('',#531058); #169862=VERTEX_POINT('',#531059); #169863=VERTEX_POINT('',#531061); #169864=VERTEX_POINT('',#531063); #169865=VERTEX_POINT('',#531065); #169866=VERTEX_POINT('',#531067); #169867=VERTEX_POINT('',#531069); #169868=VERTEX_POINT('',#531071); #169869=VERTEX_POINT('',#531073); #169870=VERTEX_POINT('',#531075); #169871=VERTEX_POINT('',#531077); #169872=VERTEX_POINT('',#531079); #169873=VERTEX_POINT('',#531083); #169874=VERTEX_POINT('',#531085); #169875=VERTEX_POINT('',#531089); #169876=VERTEX_POINT('',#531093); #169877=VERTEX_POINT('',#531097); #169878=VERTEX_POINT('',#531101); #169879=VERTEX_POINT('',#531105); #169880=VERTEX_POINT('',#531109); #169881=VERTEX_POINT('',#531113); #169882=VERTEX_POINT('',#531117); #169883=VERTEX_POINT('',#531121); #169884=VERTEX_POINT('',#531125); #169885=VERTEX_POINT('',#531132); #169886=VERTEX_POINT('',#531133); #169887=VERTEX_POINT('',#531135); #169888=VERTEX_POINT('',#531137); #169889=VERTEX_POINT('',#531139); #169890=VERTEX_POINT('',#531141); #169891=VERTEX_POINT('',#531143); #169892=VERTEX_POINT('',#531145); #169893=VERTEX_POINT('',#531147); #169894=VERTEX_POINT('',#531149); #169895=VERTEX_POINT('',#531151); #169896=VERTEX_POINT('',#531153); #169897=VERTEX_POINT('',#531157); #169898=VERTEX_POINT('',#531159); #169899=VERTEX_POINT('',#531163); #169900=VERTEX_POINT('',#531167); #169901=VERTEX_POINT('',#531171); #169902=VERTEX_POINT('',#531175); #169903=VERTEX_POINT('',#531179); #169904=VERTEX_POINT('',#531183); #169905=VERTEX_POINT('',#531187); #169906=VERTEX_POINT('',#531191); #169907=VERTEX_POINT('',#531195); #169908=VERTEX_POINT('',#531199); #169909=VERTEX_POINT('',#531206); #169910=VERTEX_POINT('',#531207); #169911=VERTEX_POINT('',#531209); #169912=VERTEX_POINT('',#531211); #169913=VERTEX_POINT('',#531213); #169914=VERTEX_POINT('',#531215); #169915=VERTEX_POINT('',#531217); #169916=VERTEX_POINT('',#531219); #169917=VERTEX_POINT('',#531221); #169918=VERTEX_POINT('',#531223); #169919=VERTEX_POINT('',#531225); #169920=VERTEX_POINT('',#531227); #169921=VERTEX_POINT('',#531231); #169922=VERTEX_POINT('',#531233); #169923=VERTEX_POINT('',#531237); #169924=VERTEX_POINT('',#531241); #169925=VERTEX_POINT('',#531245); #169926=VERTEX_POINT('',#531249); #169927=VERTEX_POINT('',#531253); #169928=VERTEX_POINT('',#531257); #169929=VERTEX_POINT('',#531261); #169930=VERTEX_POINT('',#531265); #169931=VERTEX_POINT('',#531269); #169932=VERTEX_POINT('',#531273); #169933=VERTEX_POINT('',#531280); #169934=VERTEX_POINT('',#531281); #169935=VERTEX_POINT('',#531283); #169936=VERTEX_POINT('',#531285); #169937=VERTEX_POINT('',#531287); #169938=VERTEX_POINT('',#531289); #169939=VERTEX_POINT('',#531291); #169940=VERTEX_POINT('',#531293); #169941=VERTEX_POINT('',#531295); #169942=VERTEX_POINT('',#531297); #169943=VERTEX_POINT('',#531299); #169944=VERTEX_POINT('',#531301); #169945=VERTEX_POINT('',#531305); #169946=VERTEX_POINT('',#531307); #169947=VERTEX_POINT('',#531311); #169948=VERTEX_POINT('',#531315); #169949=VERTEX_POINT('',#531319); #169950=VERTEX_POINT('',#531323); #169951=VERTEX_POINT('',#531327); #169952=VERTEX_POINT('',#531331); #169953=VERTEX_POINT('',#531335); #169954=VERTEX_POINT('',#531339); #169955=VERTEX_POINT('',#531343); #169956=VERTEX_POINT('',#531347); #169957=VERTEX_POINT('',#531354); #169958=VERTEX_POINT('',#531355); #169959=VERTEX_POINT('',#531357); #169960=VERTEX_POINT('',#531359); #169961=VERTEX_POINT('',#531361); #169962=VERTEX_POINT('',#531363); #169963=VERTEX_POINT('',#531365); #169964=VERTEX_POINT('',#531367); #169965=VERTEX_POINT('',#531369); #169966=VERTEX_POINT('',#531371); #169967=VERTEX_POINT('',#531373); #169968=VERTEX_POINT('',#531375); #169969=VERTEX_POINT('',#531379); #169970=VERTEX_POINT('',#531381); #169971=VERTEX_POINT('',#531385); #169972=VERTEX_POINT('',#531389); #169973=VERTEX_POINT('',#531393); #169974=VERTEX_POINT('',#531397); #169975=VERTEX_POINT('',#531401); #169976=VERTEX_POINT('',#531405); #169977=VERTEX_POINT('',#531409); #169978=VERTEX_POINT('',#531413); #169979=VERTEX_POINT('',#531417); #169980=VERTEX_POINT('',#531421); #169981=VERTEX_POINT('',#531428); #169982=VERTEX_POINT('',#531429); #169983=VERTEX_POINT('',#531431); #169984=VERTEX_POINT('',#531433); #169985=VERTEX_POINT('',#531435); #169986=VERTEX_POINT('',#531437); #169987=VERTEX_POINT('',#531439); #169988=VERTEX_POINT('',#531441); #169989=VERTEX_POINT('',#531443); #169990=VERTEX_POINT('',#531445); #169991=VERTEX_POINT('',#531447); #169992=VERTEX_POINT('',#531449); #169993=VERTEX_POINT('',#531453); #169994=VERTEX_POINT('',#531455); #169995=VERTEX_POINT('',#531459); #169996=VERTEX_POINT('',#531463); #169997=VERTEX_POINT('',#531467); #169998=VERTEX_POINT('',#531471); #169999=VERTEX_POINT('',#531475); #170000=VERTEX_POINT('',#531479); #170001=VERTEX_POINT('',#531483); #170002=VERTEX_POINT('',#531487); #170003=VERTEX_POINT('',#531491); #170004=VERTEX_POINT('',#531495); #170005=VERTEX_POINT('',#531505); #170006=VERTEX_POINT('',#531507); #170007=VERTEX_POINT('',#531512); #170008=VERTEX_POINT('',#531513); #170009=VERTEX_POINT('',#531515); #170010=VERTEX_POINT('',#531517); #170011=VERTEX_POINT('',#531521); #170012=VERTEX_POINT('',#531523); #170013=VERTEX_POINT('',#531527); #170014=VERTEX_POINT('',#531529); #170015=VERTEX_POINT('',#531536); #170016=VERTEX_POINT('',#531538); #170017=VERTEX_POINT('',#531542); #170018=VERTEX_POINT('',#531547); #170019=VERTEX_POINT('',#531549); #170020=VERTEX_POINT('',#531551); #170021=VERTEX_POINT('',#531555); #170022=VERTEX_POINT('',#531560); #170023=VERTEX_POINT('',#531570); #170024=VERTEX_POINT('',#531571); #170025=VERTEX_POINT('',#531573); #170026=VERTEX_POINT('',#531575); #170027=VERTEX_POINT('',#531577); #170028=VERTEX_POINT('',#531579); #170029=VERTEX_POINT('',#531581); #170030=VERTEX_POINT('',#531583); #170031=VERTEX_POINT('',#531585); #170032=VERTEX_POINT('',#531587); #170033=VERTEX_POINT('',#531589); #170034=VERTEX_POINT('',#531591); #170035=VERTEX_POINT('',#531595); #170036=VERTEX_POINT('',#531597); #170037=VERTEX_POINT('',#531601); #170038=VERTEX_POINT('',#531605); #170039=VERTEX_POINT('',#531609); #170040=VERTEX_POINT('',#531613); #170041=VERTEX_POINT('',#531617); #170042=VERTEX_POINT('',#531621); #170043=VERTEX_POINT('',#531625); #170044=VERTEX_POINT('',#531629); #170045=VERTEX_POINT('',#531633); #170046=VERTEX_POINT('',#531637); #170047=VERTEX_POINT('',#531644); #170048=VERTEX_POINT('',#531645); #170049=VERTEX_POINT('',#531647); #170050=VERTEX_POINT('',#531649); #170051=VERTEX_POINT('',#531651); #170052=VERTEX_POINT('',#531653); #170053=VERTEX_POINT('',#531655); #170054=VERTEX_POINT('',#531657); #170055=VERTEX_POINT('',#531659); #170056=VERTEX_POINT('',#531661); #170057=VERTEX_POINT('',#531663); #170058=VERTEX_POINT('',#531665); #170059=VERTEX_POINT('',#531669); #170060=VERTEX_POINT('',#531671); #170061=VERTEX_POINT('',#531675); #170062=VERTEX_POINT('',#531679); #170063=VERTEX_POINT('',#531683); #170064=VERTEX_POINT('',#531687); #170065=VERTEX_POINT('',#531691); #170066=VERTEX_POINT('',#531695); #170067=VERTEX_POINT('',#531699); #170068=VERTEX_POINT('',#531703); #170069=VERTEX_POINT('',#531707); #170070=VERTEX_POINT('',#531711); #170071=VERTEX_POINT('',#531718); #170072=VERTEX_POINT('',#531719); #170073=VERTEX_POINT('',#531721); #170074=VERTEX_POINT('',#531723); #170075=VERTEX_POINT('',#531725); #170076=VERTEX_POINT('',#531727); #170077=VERTEX_POINT('',#531729); #170078=VERTEX_POINT('',#531731); #170079=VERTEX_POINT('',#531733); #170080=VERTEX_POINT('',#531735); #170081=VERTEX_POINT('',#531737); #170082=VERTEX_POINT('',#531739); #170083=VERTEX_POINT('',#531743); #170084=VERTEX_POINT('',#531745); #170085=VERTEX_POINT('',#531749); #170086=VERTEX_POINT('',#531753); #170087=VERTEX_POINT('',#531757); #170088=VERTEX_POINT('',#531761); #170089=VERTEX_POINT('',#531765); #170090=VERTEX_POINT('',#531769); #170091=VERTEX_POINT('',#531773); #170092=VERTEX_POINT('',#531777); #170093=VERTEX_POINT('',#531781); #170094=VERTEX_POINT('',#531785); #170095=VERTEX_POINT('',#531792); #170096=VERTEX_POINT('',#531793); #170097=VERTEX_POINT('',#531795); #170098=VERTEX_POINT('',#531797); #170099=VERTEX_POINT('',#531799); #170100=VERTEX_POINT('',#531801); #170101=VERTEX_POINT('',#531803); #170102=VERTEX_POINT('',#531805); #170103=VERTEX_POINT('',#531807); #170104=VERTEX_POINT('',#531809); #170105=VERTEX_POINT('',#531811); #170106=VERTEX_POINT('',#531813); #170107=VERTEX_POINT('',#531817); #170108=VERTEX_POINT('',#531819); #170109=VERTEX_POINT('',#531823); #170110=VERTEX_POINT('',#531827); #170111=VERTEX_POINT('',#531831); #170112=VERTEX_POINT('',#531835); #170113=VERTEX_POINT('',#531839); #170114=VERTEX_POINT('',#531843); #170115=VERTEX_POINT('',#531847); #170116=VERTEX_POINT('',#531851); #170117=VERTEX_POINT('',#531855); #170118=VERTEX_POINT('',#531859); #170119=VERTEX_POINT('',#531866); #170120=VERTEX_POINT('',#531867); #170121=VERTEX_POINT('',#531869); #170122=VERTEX_POINT('',#531871); #170123=VERTEX_POINT('',#531873); #170124=VERTEX_POINT('',#531875); #170125=VERTEX_POINT('',#531877); #170126=VERTEX_POINT('',#531879); #170127=VERTEX_POINT('',#531881); #170128=VERTEX_POINT('',#531883); #170129=VERTEX_POINT('',#531885); #170130=VERTEX_POINT('',#531887); #170131=VERTEX_POINT('',#531891); #170132=VERTEX_POINT('',#531893); #170133=VERTEX_POINT('',#531897); #170134=VERTEX_POINT('',#531901); #170135=VERTEX_POINT('',#531905); #170136=VERTEX_POINT('',#531909); #170137=VERTEX_POINT('',#531913); #170138=VERTEX_POINT('',#531917); #170139=VERTEX_POINT('',#531921); #170140=VERTEX_POINT('',#531925); #170141=VERTEX_POINT('',#531929); #170142=VERTEX_POINT('',#531933); #170143=VERTEX_POINT('',#531943); #170144=VERTEX_POINT('',#531944); #170145=VERTEX_POINT('',#531946); #170146=VERTEX_POINT('',#531950); #170147=VERTEX_POINT('',#531951); #170148=VERTEX_POINT('',#531953); #170149=VERTEX_POINT('',#531957); #170150=VERTEX_POINT('',#531958); #170151=VERTEX_POINT('',#531960); #170152=VERTEX_POINT('',#531964); #170153=VERTEX_POINT('',#531965); #170154=VERTEX_POINT('',#531967); #170155=VERTEX_POINT('',#531971); #170156=VERTEX_POINT('',#531972); #170157=VERTEX_POINT('',#531974); #170158=VERTEX_POINT('',#531981); #170159=VERTEX_POINT('',#531982); #170160=VERTEX_POINT('',#531984); #170161=VERTEX_POINT('',#531988); #170162=VERTEX_POINT('',#531989); #170163=VERTEX_POINT('',#531991); #170164=VERTEX_POINT('',#532001); #170165=VERTEX_POINT('',#532002); #170166=VERTEX_POINT('',#532004); #170167=VERTEX_POINT('',#532041); #170168=VERTEX_POINT('',#532042); #170169=VERTEX_POINT('',#532044); #170170=VERTEX_POINT('',#532048); #170171=VERTEX_POINT('',#532049); #170172=VERTEX_POINT('',#532051); #170173=VERTEX_POINT('',#532055); #170174=VERTEX_POINT('',#532056); #170175=VERTEX_POINT('',#532058); #170176=VERTEX_POINT('',#532062); #170177=VERTEX_POINT('',#532063); #170178=VERTEX_POINT('',#532065); #170179=VERTEX_POINT('',#532069); #170180=VERTEX_POINT('',#532070); #170181=VERTEX_POINT('',#532072); #170182=VERTEX_POINT('',#532079); #170183=VERTEX_POINT('',#532080); #170184=VERTEX_POINT('',#532082); #170185=VERTEX_POINT('',#532086); #170186=VERTEX_POINT('',#532087); #170187=VERTEX_POINT('',#532089); #170188=VERTEX_POINT('',#532099); #170189=VERTEX_POINT('',#532100); #170190=VERTEX_POINT('',#532102); #170191=VERTEX_POINT('',#532139); #170192=VERTEX_POINT('',#532140); #170193=VERTEX_POINT('',#532142); #170194=VERTEX_POINT('',#532144); #170195=VERTEX_POINT('',#532148); #170196=VERTEX_POINT('',#532150); #170197=VERTEX_POINT('',#532154); #170198=VERTEX_POINT('',#532156); #170199=EDGE_CURVE('',#140587,#140587,#133021,.T.); #170200=EDGE_CURVE('',#140587,#140588,#59039,.T.); #170201=EDGE_CURVE('',#140588,#140588,#133022,.T.); #170202=EDGE_CURVE('',#140589,#140589,#133023,.T.); #170203=EDGE_CURVE('',#140589,#140590,#59040,.T.); #170204=EDGE_CURVE('',#140590,#140590,#133024,.T.); #170205=EDGE_CURVE('',#140591,#140591,#133025,.T.); #170206=EDGE_CURVE('',#140591,#140592,#59041,.T.); #170207=EDGE_CURVE('',#140592,#140592,#133026,.T.); #170208=EDGE_CURVE('',#140593,#140593,#133027,.T.); #170209=EDGE_CURVE('',#140593,#140594,#59042,.T.); #170210=EDGE_CURVE('',#140594,#140594,#133028,.T.); #170211=EDGE_CURVE('',#140595,#140595,#133029,.T.); #170212=EDGE_CURVE('',#140595,#140596,#59043,.T.); #170213=EDGE_CURVE('',#140596,#140596,#133030,.T.); #170214=EDGE_CURVE('',#140597,#140597,#133031,.T.); #170215=EDGE_CURVE('',#140597,#140598,#59044,.T.); #170216=EDGE_CURVE('',#140598,#140598,#133032,.T.); #170217=EDGE_CURVE('',#140599,#140599,#133033,.T.); #170218=EDGE_CURVE('',#140599,#140600,#59045,.T.); #170219=EDGE_CURVE('',#140600,#140600,#133034,.T.); #170220=EDGE_CURVE('',#140601,#140601,#133035,.T.); #170221=EDGE_CURVE('',#140601,#140602,#59046,.T.); #170222=EDGE_CURVE('',#140602,#140602,#133036,.T.); #170223=EDGE_CURVE('',#140603,#140603,#133037,.T.); #170224=EDGE_CURVE('',#140603,#140604,#59047,.T.); #170225=EDGE_CURVE('',#140604,#140604,#133038,.T.); #170226=EDGE_CURVE('',#140605,#140605,#133039,.T.); #170227=EDGE_CURVE('',#140605,#140606,#59048,.T.); #170228=EDGE_CURVE('',#140606,#140606,#133040,.T.); #170229=EDGE_CURVE('',#140607,#140607,#133041,.T.); #170230=EDGE_CURVE('',#140607,#140608,#59049,.T.); #170231=EDGE_CURVE('',#140608,#140608,#133042,.T.); #170232=EDGE_CURVE('',#140609,#140609,#133043,.T.); #170233=EDGE_CURVE('',#140609,#140610,#59050,.T.); #170234=EDGE_CURVE('',#140610,#140610,#133044,.T.); #170235=EDGE_CURVE('',#140611,#140611,#133045,.T.); #170236=EDGE_CURVE('',#140611,#140612,#59051,.T.); #170237=EDGE_CURVE('',#140612,#140612,#133046,.T.); #170238=EDGE_CURVE('',#140613,#140613,#133047,.T.); #170239=EDGE_CURVE('',#140613,#140614,#59052,.T.); #170240=EDGE_CURVE('',#140614,#140614,#133048,.T.); #170241=EDGE_CURVE('',#140615,#140615,#133049,.T.); #170242=EDGE_CURVE('',#140615,#140616,#59053,.T.); #170243=EDGE_CURVE('',#140616,#140616,#133050,.T.); #170244=EDGE_CURVE('',#140617,#140617,#133051,.T.); #170245=EDGE_CURVE('',#140617,#140618,#59054,.T.); #170246=EDGE_CURVE('',#140618,#140618,#133052,.T.); #170247=EDGE_CURVE('',#140619,#140619,#133053,.T.); #170248=EDGE_CURVE('',#140619,#140620,#59055,.T.); #170249=EDGE_CURVE('',#140620,#140620,#133054,.T.); #170250=EDGE_CURVE('',#140621,#140621,#133055,.T.); #170251=EDGE_CURVE('',#140621,#140622,#59056,.T.); #170252=EDGE_CURVE('',#140622,#140622,#133056,.T.); #170253=EDGE_CURVE('',#140623,#140623,#133057,.T.); #170254=EDGE_CURVE('',#140623,#140624,#59057,.T.); #170255=EDGE_CURVE('',#140624,#140624,#133058,.T.); #170256=EDGE_CURVE('',#140625,#140625,#133059,.T.); #170257=EDGE_CURVE('',#140625,#140626,#59058,.T.); #170258=EDGE_CURVE('',#140626,#140626,#133060,.T.); #170259=EDGE_CURVE('',#140627,#140627,#133061,.T.); #170260=EDGE_CURVE('',#140627,#140628,#59059,.T.); #170261=EDGE_CURVE('',#140628,#140628,#133062,.T.); #170262=EDGE_CURVE('',#140629,#140629,#133063,.T.); #170263=EDGE_CURVE('',#140629,#140630,#59060,.T.); #170264=EDGE_CURVE('',#140630,#140630,#133064,.T.); #170265=EDGE_CURVE('',#140631,#140631,#133065,.T.); #170266=EDGE_CURVE('',#140631,#140632,#59061,.T.); #170267=EDGE_CURVE('',#140632,#140632,#133066,.T.); #170268=EDGE_CURVE('',#140633,#140633,#133067,.T.); #170269=EDGE_CURVE('',#140633,#140634,#59062,.T.); #170270=EDGE_CURVE('',#140634,#140634,#133068,.T.); #170271=EDGE_CURVE('',#140635,#140635,#133069,.T.); #170272=EDGE_CURVE('',#140635,#140636,#59063,.T.); #170273=EDGE_CURVE('',#140636,#140636,#133070,.T.); #170274=EDGE_CURVE('',#140637,#140637,#133071,.T.); #170275=EDGE_CURVE('',#140637,#140638,#59064,.T.); #170276=EDGE_CURVE('',#140638,#140638,#133072,.T.); #170277=EDGE_CURVE('',#140639,#140639,#133073,.T.); #170278=EDGE_CURVE('',#140639,#140640,#59065,.T.); #170279=EDGE_CURVE('',#140640,#140640,#133074,.T.); #170280=EDGE_CURVE('',#140641,#140641,#133075,.T.); #170281=EDGE_CURVE('',#140641,#140642,#59066,.T.); #170282=EDGE_CURVE('',#140642,#140642,#133076,.T.); #170283=EDGE_CURVE('',#140643,#140643,#133077,.T.); #170284=EDGE_CURVE('',#140643,#140644,#59067,.T.); #170285=EDGE_CURVE('',#140644,#140644,#133078,.T.); #170286=EDGE_CURVE('',#140645,#140645,#133079,.T.); #170287=EDGE_CURVE('',#140645,#140646,#59068,.T.); #170288=EDGE_CURVE('',#140646,#140646,#133080,.T.); #170289=EDGE_CURVE('',#140647,#140647,#133081,.T.); #170290=EDGE_CURVE('',#140647,#140648,#59069,.T.); #170291=EDGE_CURVE('',#140648,#140648,#133082,.T.); #170292=EDGE_CURVE('',#140649,#140649,#133083,.T.); #170293=EDGE_CURVE('',#140649,#140650,#59070,.T.); #170294=EDGE_CURVE('',#140650,#140650,#133084,.T.); #170295=EDGE_CURVE('',#140651,#140651,#133085,.T.); #170296=EDGE_CURVE('',#140651,#140652,#59071,.T.); #170297=EDGE_CURVE('',#140652,#140652,#133086,.T.); #170298=EDGE_CURVE('',#140653,#140653,#133087,.T.); #170299=EDGE_CURVE('',#140653,#140654,#59072,.T.); #170300=EDGE_CURVE('',#140654,#140654,#133088,.T.); #170301=EDGE_CURVE('',#140655,#140655,#133089,.T.); #170302=EDGE_CURVE('',#140655,#140656,#59073,.T.); #170303=EDGE_CURVE('',#140656,#140656,#133090,.T.); #170304=EDGE_CURVE('',#140657,#140657,#133091,.T.); #170305=EDGE_CURVE('',#140657,#140658,#59074,.T.); #170306=EDGE_CURVE('',#140658,#140658,#133092,.T.); #170307=EDGE_CURVE('',#140659,#140659,#133093,.T.); #170308=EDGE_CURVE('',#140659,#140660,#59075,.T.); #170309=EDGE_CURVE('',#140660,#140660,#133094,.T.); #170310=EDGE_CURVE('',#140661,#140661,#133095,.T.); #170311=EDGE_CURVE('',#140661,#140662,#59076,.T.); #170312=EDGE_CURVE('',#140662,#140662,#133096,.T.); #170313=EDGE_CURVE('',#140663,#140663,#133097,.T.); #170314=EDGE_CURVE('',#140663,#140664,#59077,.T.); #170315=EDGE_CURVE('',#140664,#140664,#133098,.T.); #170316=EDGE_CURVE('',#140665,#140665,#133099,.T.); #170317=EDGE_CURVE('',#140665,#140666,#59078,.T.); #170318=EDGE_CURVE('',#140666,#140666,#133100,.T.); #170319=EDGE_CURVE('',#140667,#140667,#133101,.T.); #170320=EDGE_CURVE('',#140667,#140668,#59079,.T.); #170321=EDGE_CURVE('',#140668,#140668,#133102,.T.); #170322=EDGE_CURVE('',#140669,#140669,#133103,.T.); #170323=EDGE_CURVE('',#140669,#140670,#59080,.T.); #170324=EDGE_CURVE('',#140670,#140670,#133104,.T.); #170325=EDGE_CURVE('',#140671,#140671,#133105,.T.); #170326=EDGE_CURVE('',#140671,#140672,#59081,.T.); #170327=EDGE_CURVE('',#140672,#140672,#133106,.T.); #170328=EDGE_CURVE('',#140673,#140673,#133107,.T.); #170329=EDGE_CURVE('',#140673,#140674,#59082,.T.); #170330=EDGE_CURVE('',#140674,#140674,#133108,.T.); #170331=EDGE_CURVE('',#140675,#140675,#133109,.T.); #170332=EDGE_CURVE('',#140675,#140676,#59083,.T.); #170333=EDGE_CURVE('',#140676,#140676,#133110,.T.); #170334=EDGE_CURVE('',#140677,#140677,#133111,.T.); #170335=EDGE_CURVE('',#140677,#140678,#59084,.T.); #170336=EDGE_CURVE('',#140678,#140678,#133112,.T.); #170337=EDGE_CURVE('',#140679,#140679,#133113,.T.); #170338=EDGE_CURVE('',#140679,#140680,#59085,.T.); #170339=EDGE_CURVE('',#140680,#140680,#133114,.T.); #170340=EDGE_CURVE('',#140681,#140681,#133115,.T.); #170341=EDGE_CURVE('',#140681,#140682,#59086,.T.); #170342=EDGE_CURVE('',#140682,#140682,#133116,.T.); #170343=EDGE_CURVE('',#140683,#140683,#133117,.T.); #170344=EDGE_CURVE('',#140683,#140684,#59087,.T.); #170345=EDGE_CURVE('',#140684,#140684,#133118,.T.); #170346=EDGE_CURVE('',#140685,#140685,#133119,.T.); #170347=EDGE_CURVE('',#140685,#140686,#59088,.T.); #170348=EDGE_CURVE('',#140686,#140686,#133120,.T.); #170349=EDGE_CURVE('',#140687,#140687,#133121,.T.); #170350=EDGE_CURVE('',#140687,#140688,#59089,.T.); #170351=EDGE_CURVE('',#140688,#140688,#133122,.T.); #170352=EDGE_CURVE('',#140689,#140689,#133123,.T.); #170353=EDGE_CURVE('',#140689,#140690,#59090,.T.); #170354=EDGE_CURVE('',#140690,#140690,#133124,.T.); #170355=EDGE_CURVE('',#140691,#140691,#133125,.T.); #170356=EDGE_CURVE('',#140691,#140692,#59091,.T.); #170357=EDGE_CURVE('',#140692,#140692,#133126,.T.); #170358=EDGE_CURVE('',#140693,#140693,#133127,.T.); #170359=EDGE_CURVE('',#140693,#140694,#59092,.T.); #170360=EDGE_CURVE('',#140694,#140694,#133128,.T.); #170361=EDGE_CURVE('',#140695,#140695,#133129,.T.); #170362=EDGE_CURVE('',#140695,#140696,#59093,.T.); #170363=EDGE_CURVE('',#140696,#140696,#133130,.T.); #170364=EDGE_CURVE('',#140697,#140697,#133131,.T.); #170365=EDGE_CURVE('',#140697,#140698,#59094,.T.); #170366=EDGE_CURVE('',#140698,#140698,#133132,.T.); #170367=EDGE_CURVE('',#140699,#140699,#133133,.T.); #170368=EDGE_CURVE('',#140699,#140700,#59095,.T.); #170369=EDGE_CURVE('',#140700,#140700,#133134,.T.); #170370=EDGE_CURVE('',#140701,#140701,#133135,.T.); #170371=EDGE_CURVE('',#140701,#140702,#59096,.T.); #170372=EDGE_CURVE('',#140702,#140702,#133136,.T.); #170373=EDGE_CURVE('',#140703,#140703,#133137,.T.); #170374=EDGE_CURVE('',#140703,#140704,#59097,.T.); #170375=EDGE_CURVE('',#140704,#140704,#133138,.T.); #170376=EDGE_CURVE('',#140705,#140705,#133139,.T.); #170377=EDGE_CURVE('',#140705,#140706,#59098,.T.); #170378=EDGE_CURVE('',#140706,#140706,#133140,.T.); #170379=EDGE_CURVE('',#140707,#140707,#133141,.T.); #170380=EDGE_CURVE('',#140707,#140708,#59099,.T.); #170381=EDGE_CURVE('',#140708,#140708,#133142,.T.); #170382=EDGE_CURVE('',#140709,#140709,#133143,.T.); #170383=EDGE_CURVE('',#140709,#140710,#59100,.T.); #170384=EDGE_CURVE('',#140710,#140710,#133144,.T.); #170385=EDGE_CURVE('',#140711,#140711,#133145,.T.); #170386=EDGE_CURVE('',#140711,#140712,#59101,.T.); #170387=EDGE_CURVE('',#140712,#140712,#133146,.T.); #170388=EDGE_CURVE('',#140713,#140713,#133147,.T.); #170389=EDGE_CURVE('',#140713,#140714,#59102,.T.); #170390=EDGE_CURVE('',#140714,#140714,#133148,.T.); #170391=EDGE_CURVE('',#140715,#140715,#133149,.T.); #170392=EDGE_CURVE('',#140715,#140716,#59103,.T.); #170393=EDGE_CURVE('',#140716,#140716,#133150,.T.); #170394=EDGE_CURVE('',#140717,#140717,#133151,.T.); #170395=EDGE_CURVE('',#140717,#140718,#59104,.T.); #170396=EDGE_CURVE('',#140718,#140718,#133152,.T.); #170397=EDGE_CURVE('',#140719,#140719,#133153,.T.); #170398=EDGE_CURVE('',#140719,#140720,#59105,.T.); #170399=EDGE_CURVE('',#140720,#140720,#133154,.T.); #170400=EDGE_CURVE('',#140721,#140721,#133155,.T.); #170401=EDGE_CURVE('',#140721,#140722,#59106,.T.); #170402=EDGE_CURVE('',#140722,#140722,#133156,.T.); #170403=EDGE_CURVE('',#140723,#140723,#133157,.T.); #170404=EDGE_CURVE('',#140723,#140724,#59107,.T.); #170405=EDGE_CURVE('',#140724,#140724,#133158,.T.); #170406=EDGE_CURVE('',#140725,#140725,#133159,.T.); #170407=EDGE_CURVE('',#140725,#140726,#59108,.T.); #170408=EDGE_CURVE('',#140726,#140726,#133160,.T.); #170409=EDGE_CURVE('',#140727,#140727,#133161,.T.); #170410=EDGE_CURVE('',#140727,#140728,#59109,.T.); #170411=EDGE_CURVE('',#140728,#140728,#133162,.T.); #170412=EDGE_CURVE('',#140729,#140729,#133163,.T.); #170413=EDGE_CURVE('',#140729,#140730,#59110,.T.); #170414=EDGE_CURVE('',#140730,#140730,#133164,.T.); #170415=EDGE_CURVE('',#140731,#140731,#133165,.T.); #170416=EDGE_CURVE('',#140731,#140732,#59111,.T.); #170417=EDGE_CURVE('',#140732,#140732,#133166,.T.); #170418=EDGE_CURVE('',#140733,#140733,#133167,.T.); #170419=EDGE_CURVE('',#140733,#140734,#59112,.T.); #170420=EDGE_CURVE('',#140734,#140734,#133168,.T.); #170421=EDGE_CURVE('',#140735,#140735,#133169,.T.); #170422=EDGE_CURVE('',#140735,#140736,#59113,.T.); #170423=EDGE_CURVE('',#140736,#140736,#133170,.T.); #170424=EDGE_CURVE('',#140737,#140737,#133171,.T.); #170425=EDGE_CURVE('',#140737,#140738,#59114,.T.); #170426=EDGE_CURVE('',#140738,#140738,#133172,.T.); #170427=EDGE_CURVE('',#140739,#140739,#133173,.T.); #170428=EDGE_CURVE('',#140739,#140740,#59115,.T.); #170429=EDGE_CURVE('',#140740,#140740,#133174,.T.); #170430=EDGE_CURVE('',#140741,#140741,#133175,.T.); #170431=EDGE_CURVE('',#140741,#140742,#59116,.T.); #170432=EDGE_CURVE('',#140742,#140742,#133176,.T.); #170433=EDGE_CURVE('',#140743,#140743,#133177,.T.); #170434=EDGE_CURVE('',#140743,#140744,#59117,.T.); #170435=EDGE_CURVE('',#140744,#140744,#133178,.T.); #170436=EDGE_CURVE('',#140745,#140745,#133179,.T.); #170437=EDGE_CURVE('',#140745,#140746,#59118,.T.); #170438=EDGE_CURVE('',#140746,#140746,#133180,.T.); #170439=EDGE_CURVE('',#140747,#140747,#133181,.T.); #170440=EDGE_CURVE('',#140747,#140748,#59119,.T.); #170441=EDGE_CURVE('',#140748,#140748,#133182,.T.); #170442=EDGE_CURVE('',#140749,#140749,#133183,.T.); #170443=EDGE_CURVE('',#140749,#140750,#59120,.T.); #170444=EDGE_CURVE('',#140750,#140750,#133184,.T.); #170445=EDGE_CURVE('',#140751,#140751,#133185,.T.); #170446=EDGE_CURVE('',#140751,#140752,#59121,.T.); #170447=EDGE_CURVE('',#140752,#140752,#133186,.T.); #170448=EDGE_CURVE('',#140753,#140753,#133187,.T.); #170449=EDGE_CURVE('',#140753,#140754,#59122,.T.); #170450=EDGE_CURVE('',#140754,#140754,#133188,.T.); #170451=EDGE_CURVE('',#140755,#140755,#133189,.T.); #170452=EDGE_CURVE('',#140755,#140756,#59123,.T.); #170453=EDGE_CURVE('',#140756,#140756,#133190,.T.); #170454=EDGE_CURVE('',#140757,#140757,#133191,.T.); #170455=EDGE_CURVE('',#140757,#140758,#59124,.T.); #170456=EDGE_CURVE('',#140758,#140758,#133192,.T.); #170457=EDGE_CURVE('',#140759,#140759,#133193,.T.); #170458=EDGE_CURVE('',#140759,#140760,#59125,.T.); #170459=EDGE_CURVE('',#140760,#140760,#133194,.T.); #170460=EDGE_CURVE('',#140761,#140761,#133195,.T.); #170461=EDGE_CURVE('',#140761,#140762,#59126,.T.); #170462=EDGE_CURVE('',#140762,#140762,#133196,.T.); #170463=EDGE_CURVE('',#140763,#140763,#133197,.T.); #170464=EDGE_CURVE('',#140763,#140764,#59127,.T.); #170465=EDGE_CURVE('',#140764,#140764,#133198,.T.); #170466=EDGE_CURVE('',#140765,#140765,#133199,.T.); #170467=EDGE_CURVE('',#140765,#140766,#59128,.T.); #170468=EDGE_CURVE('',#140766,#140766,#133200,.T.); #170469=EDGE_CURVE('',#140767,#140767,#133201,.T.); #170470=EDGE_CURVE('',#140767,#140768,#59129,.T.); #170471=EDGE_CURVE('',#140768,#140768,#133202,.T.); #170472=EDGE_CURVE('',#140769,#140769,#133203,.T.); #170473=EDGE_CURVE('',#140769,#140770,#59130,.T.); #170474=EDGE_CURVE('',#140770,#140770,#133204,.T.); #170475=EDGE_CURVE('',#140771,#140771,#133205,.T.); #170476=EDGE_CURVE('',#140771,#140772,#59131,.T.); #170477=EDGE_CURVE('',#140772,#140772,#133206,.T.); #170478=EDGE_CURVE('',#140773,#140773,#133207,.T.); #170479=EDGE_CURVE('',#140773,#140774,#59132,.T.); #170480=EDGE_CURVE('',#140774,#140774,#133208,.T.); #170481=EDGE_CURVE('',#140775,#140775,#133209,.T.); #170482=EDGE_CURVE('',#140775,#140776,#59133,.T.); #170483=EDGE_CURVE('',#140776,#140776,#133210,.T.); #170484=EDGE_CURVE('',#140777,#140777,#133211,.T.); #170485=EDGE_CURVE('',#140777,#140778,#59134,.T.); #170486=EDGE_CURVE('',#140778,#140778,#133212,.T.); #170487=EDGE_CURVE('',#140779,#140779,#133213,.T.); #170488=EDGE_CURVE('',#140779,#140780,#59135,.T.); #170489=EDGE_CURVE('',#140780,#140780,#133214,.T.); #170490=EDGE_CURVE('',#140781,#140781,#133215,.T.); #170491=EDGE_CURVE('',#140781,#140782,#59136,.T.); #170492=EDGE_CURVE('',#140782,#140782,#133216,.T.); #170493=EDGE_CURVE('',#140783,#140783,#133217,.T.); #170494=EDGE_CURVE('',#140783,#140784,#59137,.T.); #170495=EDGE_CURVE('',#140784,#140784,#133218,.T.); #170496=EDGE_CURVE('',#140785,#140785,#133219,.T.); #170497=EDGE_CURVE('',#140785,#140786,#59138,.T.); #170498=EDGE_CURVE('',#140786,#140786,#133220,.T.); #170499=EDGE_CURVE('',#140787,#140787,#133221,.T.); #170500=EDGE_CURVE('',#140787,#140788,#59139,.T.); #170501=EDGE_CURVE('',#140788,#140788,#133222,.T.); #170502=EDGE_CURVE('',#140789,#140789,#133223,.T.); #170503=EDGE_CURVE('',#140789,#140790,#59140,.T.); #170504=EDGE_CURVE('',#140790,#140790,#133224,.T.); #170505=EDGE_CURVE('',#140791,#140791,#133225,.T.); #170506=EDGE_CURVE('',#140791,#140792,#59141,.T.); #170507=EDGE_CURVE('',#140792,#140792,#133226,.T.); #170508=EDGE_CURVE('',#140793,#140793,#133227,.T.); #170509=EDGE_CURVE('',#140793,#140794,#59142,.T.); #170510=EDGE_CURVE('',#140794,#140794,#133228,.T.); #170511=EDGE_CURVE('',#140795,#140795,#133229,.T.); #170512=EDGE_CURVE('',#140795,#140796,#59143,.T.); #170513=EDGE_CURVE('',#140796,#140796,#133230,.T.); #170514=EDGE_CURVE('',#140797,#140797,#133231,.T.); #170515=EDGE_CURVE('',#140797,#140798,#59144,.T.); #170516=EDGE_CURVE('',#140798,#140798,#133232,.T.); #170517=EDGE_CURVE('',#140799,#140799,#133233,.T.); #170518=EDGE_CURVE('',#140799,#140800,#59145,.T.); #170519=EDGE_CURVE('',#140800,#140800,#133234,.T.); #170520=EDGE_CURVE('',#140801,#140801,#133235,.T.); #170521=EDGE_CURVE('',#140801,#140802,#59146,.T.); #170522=EDGE_CURVE('',#140802,#140802,#133236,.T.); #170523=EDGE_CURVE('',#140803,#140803,#133237,.T.); #170524=EDGE_CURVE('',#140803,#140804,#59147,.T.); #170525=EDGE_CURVE('',#140804,#140804,#133238,.T.); #170526=EDGE_CURVE('',#140805,#140805,#133239,.T.); #170527=EDGE_CURVE('',#140805,#140806,#59148,.T.); #170528=EDGE_CURVE('',#140806,#140806,#133240,.T.); #170529=EDGE_CURVE('',#140807,#140807,#133241,.T.); #170530=EDGE_CURVE('',#140807,#140808,#59149,.T.); #170531=EDGE_CURVE('',#140808,#140808,#133242,.T.); #170532=EDGE_CURVE('',#140809,#140809,#133243,.T.); #170533=EDGE_CURVE('',#140809,#140810,#59150,.T.); #170534=EDGE_CURVE('',#140810,#140810,#133244,.T.); #170535=EDGE_CURVE('',#140811,#140811,#133245,.T.); #170536=EDGE_CURVE('',#140811,#140812,#59151,.T.); #170537=EDGE_CURVE('',#140812,#140812,#133246,.T.); #170538=EDGE_CURVE('',#140813,#140813,#133247,.T.); #170539=EDGE_CURVE('',#140813,#140814,#59152,.T.); #170540=EDGE_CURVE('',#140814,#140814,#133248,.T.); #170541=EDGE_CURVE('',#140815,#140815,#133249,.T.); #170542=EDGE_CURVE('',#140815,#140816,#59153,.T.); #170543=EDGE_CURVE('',#140816,#140816,#133250,.T.); #170544=EDGE_CURVE('',#140817,#140817,#133251,.T.); #170545=EDGE_CURVE('',#140817,#140818,#59154,.T.); #170546=EDGE_CURVE('',#140818,#140818,#133252,.T.); #170547=EDGE_CURVE('',#140819,#140819,#133253,.T.); #170548=EDGE_CURVE('',#140819,#140820,#59155,.T.); #170549=EDGE_CURVE('',#140820,#140820,#133254,.T.); #170550=EDGE_CURVE('',#140821,#140821,#133255,.T.); #170551=EDGE_CURVE('',#140821,#140822,#59156,.T.); #170552=EDGE_CURVE('',#140822,#140822,#133256,.T.); #170553=EDGE_CURVE('',#140823,#140823,#133257,.T.); #170554=EDGE_CURVE('',#140823,#140824,#59157,.T.); #170555=EDGE_CURVE('',#140824,#140824,#133258,.T.); #170556=EDGE_CURVE('',#140825,#140825,#133259,.T.); #170557=EDGE_CURVE('',#140825,#140826,#59158,.T.); #170558=EDGE_CURVE('',#140826,#140826,#133260,.T.); #170559=EDGE_CURVE('',#140827,#140827,#133261,.T.); #170560=EDGE_CURVE('',#140827,#140828,#59159,.T.); #170561=EDGE_CURVE('',#140828,#140828,#133262,.T.); #170562=EDGE_CURVE('',#140829,#140829,#133263,.T.); #170563=EDGE_CURVE('',#140829,#140830,#59160,.T.); #170564=EDGE_CURVE('',#140830,#140830,#133264,.T.); #170565=EDGE_CURVE('',#140831,#140831,#133265,.T.); #170566=EDGE_CURVE('',#140831,#140832,#59161,.T.); #170567=EDGE_CURVE('',#140832,#140832,#133266,.T.); #170568=EDGE_CURVE('',#140833,#140833,#133267,.T.); #170569=EDGE_CURVE('',#140833,#140834,#59162,.T.); #170570=EDGE_CURVE('',#140834,#140834,#133268,.T.); #170571=EDGE_CURVE('',#140835,#140835,#133269,.T.); #170572=EDGE_CURVE('',#140835,#140836,#59163,.T.); #170573=EDGE_CURVE('',#140836,#140836,#133270,.T.); #170574=EDGE_CURVE('',#140837,#140837,#133271,.T.); #170575=EDGE_CURVE('',#140837,#140838,#59164,.T.); #170576=EDGE_CURVE('',#140838,#140838,#133272,.T.); #170577=EDGE_CURVE('',#140839,#140839,#133273,.T.); #170578=EDGE_CURVE('',#140839,#140840,#59165,.T.); #170579=EDGE_CURVE('',#140840,#140840,#133274,.T.); #170580=EDGE_CURVE('',#140841,#140841,#133275,.T.); #170581=EDGE_CURVE('',#140841,#140842,#59166,.T.); #170582=EDGE_CURVE('',#140842,#140842,#133276,.T.); #170583=EDGE_CURVE('',#140843,#140843,#133277,.T.); #170584=EDGE_CURVE('',#140843,#140844,#59167,.T.); #170585=EDGE_CURVE('',#140844,#140844,#133278,.T.); #170586=EDGE_CURVE('',#140845,#140845,#133279,.T.); #170587=EDGE_CURVE('',#140845,#140846,#59168,.T.); #170588=EDGE_CURVE('',#140846,#140846,#133280,.T.); #170589=EDGE_CURVE('',#140847,#140847,#133281,.T.); #170590=EDGE_CURVE('',#140847,#140848,#59169,.T.); #170591=EDGE_CURVE('',#140848,#140848,#133282,.T.); #170592=EDGE_CURVE('',#140849,#140849,#133283,.T.); #170593=EDGE_CURVE('',#140849,#140850,#59170,.T.); #170594=EDGE_CURVE('',#140850,#140850,#133284,.T.); #170595=EDGE_CURVE('',#140851,#140851,#133285,.T.); #170596=EDGE_CURVE('',#140851,#140852,#59171,.T.); #170597=EDGE_CURVE('',#140852,#140852,#133286,.T.); #170598=EDGE_CURVE('',#140853,#140853,#133287,.T.); #170599=EDGE_CURVE('',#140853,#140854,#59172,.T.); #170600=EDGE_CURVE('',#140854,#140854,#133288,.T.); #170601=EDGE_CURVE('',#140855,#140855,#133289,.T.); #170602=EDGE_CURVE('',#140855,#140856,#59173,.T.); #170603=EDGE_CURVE('',#140856,#140856,#133290,.T.); #170604=EDGE_CURVE('',#140857,#140857,#133291,.T.); #170605=EDGE_CURVE('',#140857,#140858,#59174,.T.); #170606=EDGE_CURVE('',#140858,#140858,#133292,.T.); #170607=EDGE_CURVE('',#140859,#140859,#133293,.T.); #170608=EDGE_CURVE('',#140859,#140860,#59175,.T.); #170609=EDGE_CURVE('',#140860,#140860,#133294,.T.); #170610=EDGE_CURVE('',#140861,#140861,#133295,.T.); #170611=EDGE_CURVE('',#140861,#140862,#59176,.T.); #170612=EDGE_CURVE('',#140862,#140862,#133296,.T.); #170613=EDGE_CURVE('',#140863,#140863,#133297,.T.); #170614=EDGE_CURVE('',#140863,#140864,#59177,.T.); #170615=EDGE_CURVE('',#140864,#140864,#133298,.T.); #170616=EDGE_CURVE('',#140865,#140865,#133299,.T.); #170617=EDGE_CURVE('',#140865,#140866,#59178,.T.); #170618=EDGE_CURVE('',#140866,#140866,#133300,.T.); #170619=EDGE_CURVE('',#140867,#140867,#133301,.T.); #170620=EDGE_CURVE('',#140867,#140868,#59179,.T.); #170621=EDGE_CURVE('',#140868,#140868,#133302,.T.); #170622=EDGE_CURVE('',#140869,#140869,#133303,.T.); #170623=EDGE_CURVE('',#140869,#140870,#59180,.T.); #170624=EDGE_CURVE('',#140870,#140870,#133304,.T.); #170625=EDGE_CURVE('',#140871,#140871,#133305,.T.); #170626=EDGE_CURVE('',#140871,#140872,#59181,.T.); #170627=EDGE_CURVE('',#140872,#140872,#133306,.T.); #170628=EDGE_CURVE('',#140873,#140873,#133307,.T.); #170629=EDGE_CURVE('',#140873,#140874,#59182,.T.); #170630=EDGE_CURVE('',#140874,#140874,#133308,.T.); #170631=EDGE_CURVE('',#140875,#140875,#133309,.T.); #170632=EDGE_CURVE('',#140875,#140876,#59183,.T.); #170633=EDGE_CURVE('',#140876,#140876,#133310,.T.); #170634=EDGE_CURVE('',#140877,#140877,#133311,.T.); #170635=EDGE_CURVE('',#140877,#140878,#59184,.T.); #170636=EDGE_CURVE('',#140878,#140878,#133312,.T.); #170637=EDGE_CURVE('',#140879,#140879,#133313,.T.); #170638=EDGE_CURVE('',#140879,#140880,#59185,.T.); #170639=EDGE_CURVE('',#140880,#140880,#133314,.T.); #170640=EDGE_CURVE('',#140881,#140881,#133315,.T.); #170641=EDGE_CURVE('',#140881,#140882,#59186,.T.); #170642=EDGE_CURVE('',#140882,#140882,#133316,.T.); #170643=EDGE_CURVE('',#140883,#140883,#133317,.T.); #170644=EDGE_CURVE('',#140883,#140884,#59187,.T.); #170645=EDGE_CURVE('',#140884,#140884,#133318,.T.); #170646=EDGE_CURVE('',#140885,#140885,#133319,.T.); #170647=EDGE_CURVE('',#140885,#140886,#59188,.T.); #170648=EDGE_CURVE('',#140886,#140886,#133320,.T.); #170649=EDGE_CURVE('',#140887,#140887,#133321,.T.); #170650=EDGE_CURVE('',#140887,#140888,#59189,.T.); #170651=EDGE_CURVE('',#140888,#140888,#133322,.T.); #170652=EDGE_CURVE('',#140889,#140889,#133323,.T.); #170653=EDGE_CURVE('',#140889,#140890,#59190,.T.); #170654=EDGE_CURVE('',#140890,#140890,#133324,.T.); #170655=EDGE_CURVE('',#140891,#140891,#133325,.T.); #170656=EDGE_CURVE('',#140891,#140892,#59191,.T.); #170657=EDGE_CURVE('',#140892,#140892,#133326,.T.); #170658=EDGE_CURVE('',#140893,#140893,#133327,.T.); #170659=EDGE_CURVE('',#140893,#140894,#59192,.T.); #170660=EDGE_CURVE('',#140894,#140894,#133328,.T.); #170661=EDGE_CURVE('',#140895,#140895,#133329,.T.); #170662=EDGE_CURVE('',#140895,#140896,#59193,.T.); #170663=EDGE_CURVE('',#140896,#140896,#133330,.T.); #170664=EDGE_CURVE('',#140897,#140897,#133331,.T.); #170665=EDGE_CURVE('',#140897,#140898,#59194,.T.); #170666=EDGE_CURVE('',#140898,#140898,#133332,.T.); #170667=EDGE_CURVE('',#140899,#140899,#133333,.T.); #170668=EDGE_CURVE('',#140899,#140900,#59195,.T.); #170669=EDGE_CURVE('',#140900,#140900,#133334,.T.); #170670=EDGE_CURVE('',#140901,#140901,#133335,.T.); #170671=EDGE_CURVE('',#140901,#140902,#59196,.T.); #170672=EDGE_CURVE('',#140902,#140902,#133336,.T.); #170673=EDGE_CURVE('',#140903,#140903,#133337,.T.); #170674=EDGE_CURVE('',#140903,#140904,#59197,.T.); #170675=EDGE_CURVE('',#140904,#140904,#133338,.T.); #170676=EDGE_CURVE('',#140905,#140905,#133339,.T.); #170677=EDGE_CURVE('',#140905,#140906,#59198,.T.); #170678=EDGE_CURVE('',#140906,#140906,#133340,.T.); #170679=EDGE_CURVE('',#140907,#140907,#133341,.T.); #170680=EDGE_CURVE('',#140907,#140908,#59199,.T.); #170681=EDGE_CURVE('',#140908,#140908,#133342,.T.); #170682=EDGE_CURVE('',#140909,#140909,#133343,.T.); #170683=EDGE_CURVE('',#140909,#140910,#59200,.T.); #170684=EDGE_CURVE('',#140910,#140910,#133344,.T.); #170685=EDGE_CURVE('',#140911,#140911,#133345,.T.); #170686=EDGE_CURVE('',#140911,#140912,#59201,.T.); #170687=EDGE_CURVE('',#140912,#140912,#133346,.T.); #170688=EDGE_CURVE('',#140913,#140914,#59202,.T.); #170689=EDGE_CURVE('',#140915,#140913,#59203,.T.); #170690=EDGE_CURVE('',#140916,#140915,#59204,.T.); #170691=EDGE_CURVE('',#140916,#140914,#59205,.T.); #170692=EDGE_CURVE('',#140914,#140917,#133347,.T.); #170693=EDGE_CURVE('',#140918,#140916,#133348,.T.); #170694=EDGE_CURVE('',#140918,#140917,#59206,.T.); #170695=EDGE_CURVE('',#140917,#140919,#59207,.T.); #170696=EDGE_CURVE('',#140920,#140918,#59208,.T.); #170697=EDGE_CURVE('',#140920,#140919,#59209,.T.); #170698=EDGE_CURVE('',#140919,#140913,#133349,.T.); #170699=EDGE_CURVE('',#140915,#140920,#133350,.T.); #170700=EDGE_CURVE('',#140921,#140921,#133351,.T.); #170701=EDGE_CURVE('',#140921,#140922,#59210,.T.); #170702=EDGE_CURVE('',#140922,#140922,#133352,.T.); #170703=EDGE_CURVE('',#140923,#140924,#59211,.T.); #170704=EDGE_CURVE('',#140925,#140923,#59212,.T.); #170705=EDGE_CURVE('',#140926,#140925,#59213,.T.); #170706=EDGE_CURVE('',#140926,#140924,#59214,.T.); #170707=EDGE_CURVE('',#140924,#140927,#133353,.T.); #170708=EDGE_CURVE('',#140928,#140926,#133354,.T.); #170709=EDGE_CURVE('',#140928,#140927,#59215,.T.); #170710=EDGE_CURVE('',#140927,#140929,#59216,.T.); #170711=EDGE_CURVE('',#140930,#140928,#59217,.T.); #170712=EDGE_CURVE('',#140930,#140929,#59218,.T.); #170713=EDGE_CURVE('',#140929,#140923,#133355,.T.); #170714=EDGE_CURVE('',#140925,#140930,#133356,.T.); #170715=EDGE_CURVE('',#140931,#140931,#133357,.T.); #170716=EDGE_CURVE('',#140931,#140932,#59219,.T.); #170717=EDGE_CURVE('',#140932,#140932,#133358,.T.); #170718=EDGE_CURVE('',#140933,#140933,#133359,.T.); #170719=EDGE_CURVE('',#140933,#140934,#59220,.T.); #170720=EDGE_CURVE('',#140934,#140934,#133360,.T.); #170721=EDGE_CURVE('',#140935,#140935,#133361,.T.); #170722=EDGE_CURVE('',#140935,#140936,#59221,.T.); #170723=EDGE_CURVE('',#140936,#140936,#133362,.T.); #170724=EDGE_CURVE('',#140937,#140938,#59222,.T.); #170725=EDGE_CURVE('',#140939,#140937,#59223,.T.); #170726=EDGE_CURVE('',#140940,#140939,#59224,.T.); #170727=EDGE_CURVE('',#140940,#140938,#59225,.T.); #170728=EDGE_CURVE('',#140938,#140941,#133363,.T.); #170729=EDGE_CURVE('',#140942,#140940,#133364,.T.); #170730=EDGE_CURVE('',#140942,#140941,#59226,.T.); #170731=EDGE_CURVE('',#140941,#140943,#59227,.T.); #170732=EDGE_CURVE('',#140944,#140942,#59228,.T.); #170733=EDGE_CURVE('',#140944,#140943,#59229,.T.); #170734=EDGE_CURVE('',#140943,#140937,#133365,.T.); #170735=EDGE_CURVE('',#140939,#140944,#133366,.T.); #170736=EDGE_CURVE('',#140945,#140945,#133367,.T.); #170737=EDGE_CURVE('',#140945,#140946,#59230,.T.); #170738=EDGE_CURVE('',#140946,#140946,#133368,.T.); #170739=EDGE_CURVE('',#140947,#140947,#133369,.T.); #170740=EDGE_CURVE('',#140947,#140948,#59231,.T.); #170741=EDGE_CURVE('',#140948,#140948,#133370,.T.); #170742=EDGE_CURVE('',#140949,#140949,#133371,.T.); #170743=EDGE_CURVE('',#140949,#140950,#59232,.T.); #170744=EDGE_CURVE('',#140950,#140950,#133372,.T.); #170745=EDGE_CURVE('',#140951,#140951,#133373,.T.); #170746=EDGE_CURVE('',#140951,#140952,#59233,.T.); #170747=EDGE_CURVE('',#140952,#140952,#133374,.T.); #170748=EDGE_CURVE('',#140953,#140953,#133375,.T.); #170749=EDGE_CURVE('',#140953,#140954,#59234,.T.); #170750=EDGE_CURVE('',#140954,#140954,#133376,.T.); #170751=EDGE_CURVE('',#140955,#140955,#133377,.T.); #170752=EDGE_CURVE('',#140955,#140956,#59235,.T.); #170753=EDGE_CURVE('',#140956,#140956,#133378,.T.); #170754=EDGE_CURVE('',#140957,#140957,#133379,.T.); #170755=EDGE_CURVE('',#140957,#140958,#59236,.T.); #170756=EDGE_CURVE('',#140958,#140958,#133380,.T.); #170757=EDGE_CURVE('',#140959,#140959,#133381,.T.); #170758=EDGE_CURVE('',#140959,#140960,#59237,.T.); #170759=EDGE_CURVE('',#140960,#140960,#133382,.T.); #170760=EDGE_CURVE('',#140961,#140961,#133383,.T.); #170761=EDGE_CURVE('',#140961,#140962,#59238,.T.); #170762=EDGE_CURVE('',#140962,#140962,#133384,.T.); #170763=EDGE_CURVE('',#140963,#140963,#133385,.T.); #170764=EDGE_CURVE('',#140963,#140964,#59239,.T.); #170765=EDGE_CURVE('',#140964,#140964,#133386,.T.); #170766=EDGE_CURVE('',#140965,#140966,#59240,.T.); #170767=EDGE_CURVE('',#140967,#140965,#59241,.T.); #170768=EDGE_CURVE('',#140968,#140967,#59242,.T.); #170769=EDGE_CURVE('',#140968,#140966,#59243,.T.); #170770=EDGE_CURVE('',#140966,#140969,#133387,.T.); #170771=EDGE_CURVE('',#140970,#140968,#133388,.T.); #170772=EDGE_CURVE('',#140970,#140969,#59244,.T.); #170773=EDGE_CURVE('',#140969,#140971,#59245,.T.); #170774=EDGE_CURVE('',#140972,#140970,#59246,.T.); #170775=EDGE_CURVE('',#140972,#140971,#59247,.T.); #170776=EDGE_CURVE('',#140971,#140965,#133389,.T.); #170777=EDGE_CURVE('',#140967,#140972,#133390,.T.); #170778=EDGE_CURVE('',#140973,#140973,#133391,.T.); #170779=EDGE_CURVE('',#140973,#140974,#59248,.T.); #170780=EDGE_CURVE('',#140974,#140974,#133392,.T.); #170781=EDGE_CURVE('',#140975,#140976,#59249,.T.); #170782=EDGE_CURVE('',#140977,#140975,#59250,.T.); #170783=EDGE_CURVE('',#140978,#140977,#59251,.T.); #170784=EDGE_CURVE('',#140978,#140976,#59252,.T.); #170785=EDGE_CURVE('',#140976,#140979,#133393,.T.); #170786=EDGE_CURVE('',#140980,#140978,#133394,.T.); #170787=EDGE_CURVE('',#140980,#140979,#59253,.T.); #170788=EDGE_CURVE('',#140979,#140981,#59254,.T.); #170789=EDGE_CURVE('',#140982,#140980,#59255,.T.); #170790=EDGE_CURVE('',#140982,#140981,#59256,.T.); #170791=EDGE_CURVE('',#140981,#140975,#133395,.T.); #170792=EDGE_CURVE('',#140977,#140982,#133396,.T.); #170793=EDGE_CURVE('',#140983,#140983,#133397,.T.); #170794=EDGE_CURVE('',#140983,#140984,#59257,.T.); #170795=EDGE_CURVE('',#140984,#140984,#133398,.T.); #170796=EDGE_CURVE('',#140985,#140986,#59258,.T.); #170797=EDGE_CURVE('',#140987,#140985,#59259,.T.); #170798=EDGE_CURVE('',#140988,#140987,#59260,.T.); #170799=EDGE_CURVE('',#140988,#140986,#59261,.T.); #170800=EDGE_CURVE('',#140986,#140989,#133399,.T.); #170801=EDGE_CURVE('',#140990,#140988,#133400,.T.); #170802=EDGE_CURVE('',#140990,#140989,#59262,.T.); #170803=EDGE_CURVE('',#140989,#140991,#59263,.T.); #170804=EDGE_CURVE('',#140992,#140990,#59264,.T.); #170805=EDGE_CURVE('',#140992,#140991,#59265,.T.); #170806=EDGE_CURVE('',#140991,#140985,#133401,.T.); #170807=EDGE_CURVE('',#140987,#140992,#133402,.T.); #170808=EDGE_CURVE('',#140993,#140993,#133403,.T.); #170809=EDGE_CURVE('',#140993,#140994,#59266,.T.); #170810=EDGE_CURVE('',#140994,#140994,#133404,.T.); #170811=EDGE_CURVE('',#140995,#140995,#133405,.T.); #170812=EDGE_CURVE('',#140995,#140996,#59267,.T.); #170813=EDGE_CURVE('',#140996,#140996,#133406,.T.); #170814=EDGE_CURVE('',#140997,#140997,#133407,.T.); #170815=EDGE_CURVE('',#140997,#140998,#59268,.T.); #170816=EDGE_CURVE('',#140998,#140998,#133408,.T.); #170817=EDGE_CURVE('',#140999,#140999,#133409,.T.); #170818=EDGE_CURVE('',#140999,#141000,#59269,.T.); #170819=EDGE_CURVE('',#141000,#141000,#133410,.T.); #170820=EDGE_CURVE('',#141001,#141001,#133411,.T.); #170821=EDGE_CURVE('',#141001,#141002,#59270,.T.); #170822=EDGE_CURVE('',#141002,#141002,#133412,.T.); #170823=EDGE_CURVE('',#141003,#141003,#133413,.T.); #170824=EDGE_CURVE('',#141003,#141004,#59271,.T.); #170825=EDGE_CURVE('',#141004,#141004,#133414,.T.); #170826=EDGE_CURVE('',#141005,#141005,#133415,.T.); #170827=EDGE_CURVE('',#141005,#141006,#59272,.T.); #170828=EDGE_CURVE('',#141006,#141006,#133416,.T.); #170829=EDGE_CURVE('',#141007,#141007,#133417,.T.); #170830=EDGE_CURVE('',#141007,#141008,#59273,.T.); #170831=EDGE_CURVE('',#141008,#141008,#133418,.T.); #170832=EDGE_CURVE('',#141009,#141009,#133419,.T.); #170833=EDGE_CURVE('',#141009,#141010,#59274,.T.); #170834=EDGE_CURVE('',#141010,#141010,#133420,.T.); #170835=EDGE_CURVE('',#141011,#141011,#133421,.T.); #170836=EDGE_CURVE('',#141011,#141012,#59275,.T.); #170837=EDGE_CURVE('',#141012,#141012,#133422,.T.); #170838=EDGE_CURVE('',#141013,#141013,#133423,.T.); #170839=EDGE_CURVE('',#141013,#141014,#59276,.T.); #170840=EDGE_CURVE('',#141014,#141014,#133424,.T.); #170841=EDGE_CURVE('',#141015,#141015,#133425,.T.); #170842=EDGE_CURVE('',#141015,#141016,#59277,.T.); #170843=EDGE_CURVE('',#141016,#141016,#133426,.T.); #170844=EDGE_CURVE('',#141017,#141017,#133427,.T.); #170845=EDGE_CURVE('',#141017,#141018,#59278,.T.); #170846=EDGE_CURVE('',#141018,#141018,#133428,.T.); #170847=EDGE_CURVE('',#141019,#141019,#133429,.T.); #170848=EDGE_CURVE('',#141019,#141020,#59279,.T.); #170849=EDGE_CURVE('',#141020,#141020,#133430,.T.); #170850=EDGE_CURVE('',#141021,#141021,#133431,.T.); #170851=EDGE_CURVE('',#141021,#141022,#59280,.T.); #170852=EDGE_CURVE('',#141022,#141022,#133432,.T.); #170853=EDGE_CURVE('',#141023,#141023,#133433,.T.); #170854=EDGE_CURVE('',#141023,#141024,#59281,.T.); #170855=EDGE_CURVE('',#141024,#141024,#133434,.T.); #170856=EDGE_CURVE('',#141025,#141025,#133435,.T.); #170857=EDGE_CURVE('',#141025,#141026,#59282,.T.); #170858=EDGE_CURVE('',#141026,#141026,#133436,.T.); #170859=EDGE_CURVE('',#141027,#141027,#133437,.T.); #170860=EDGE_CURVE('',#141027,#141028,#59283,.T.); #170861=EDGE_CURVE('',#141028,#141028,#133438,.T.); #170862=EDGE_CURVE('',#141029,#141029,#133439,.T.); #170863=EDGE_CURVE('',#141029,#141030,#59284,.T.); #170864=EDGE_CURVE('',#141030,#141030,#133440,.T.); #170865=EDGE_CURVE('',#141031,#141031,#133441,.T.); #170866=EDGE_CURVE('',#141031,#141032,#59285,.T.); #170867=EDGE_CURVE('',#141032,#141032,#133442,.T.); #170868=EDGE_CURVE('',#141033,#141033,#133443,.T.); #170869=EDGE_CURVE('',#141033,#141034,#59286,.T.); #170870=EDGE_CURVE('',#141034,#141034,#133444,.T.); #170871=EDGE_CURVE('',#141035,#141035,#133445,.T.); #170872=EDGE_CURVE('',#141035,#141036,#59287,.T.); #170873=EDGE_CURVE('',#141036,#141036,#133446,.T.); #170874=EDGE_CURVE('',#141037,#141037,#133447,.T.); #170875=EDGE_CURVE('',#141037,#141038,#59288,.T.); #170876=EDGE_CURVE('',#141038,#141038,#133448,.T.); #170877=EDGE_CURVE('',#141039,#141039,#133449,.T.); #170878=EDGE_CURVE('',#141039,#141040,#59289,.T.); #170879=EDGE_CURVE('',#141040,#141040,#133450,.T.); #170880=EDGE_CURVE('',#141041,#141041,#133451,.T.); #170881=EDGE_CURVE('',#141041,#141042,#59290,.T.); #170882=EDGE_CURVE('',#141042,#141042,#133452,.T.); #170883=EDGE_CURVE('',#141043,#141043,#133453,.T.); #170884=EDGE_CURVE('',#141043,#141044,#59291,.T.); #170885=EDGE_CURVE('',#141044,#141044,#133454,.T.); #170886=EDGE_CURVE('',#141045,#141045,#133455,.T.); #170887=EDGE_CURVE('',#141045,#141046,#59292,.T.); #170888=EDGE_CURVE('',#141046,#141046,#133456,.T.); #170889=EDGE_CURVE('',#141047,#141047,#133457,.T.); #170890=EDGE_CURVE('',#141047,#141048,#59293,.T.); #170891=EDGE_CURVE('',#141048,#141048,#133458,.T.); #170892=EDGE_CURVE('',#141049,#141049,#133459,.T.); #170893=EDGE_CURVE('',#141049,#141050,#59294,.T.); #170894=EDGE_CURVE('',#141050,#141050,#133460,.T.); #170895=EDGE_CURVE('',#141051,#141051,#133461,.T.); #170896=EDGE_CURVE('',#141051,#141052,#59295,.T.); #170897=EDGE_CURVE('',#141052,#141052,#133462,.T.); #170898=EDGE_CURVE('',#141053,#141053,#133463,.T.); #170899=EDGE_CURVE('',#141053,#141054,#59296,.T.); #170900=EDGE_CURVE('',#141054,#141054,#133464,.T.); #170901=EDGE_CURVE('',#141055,#141055,#133465,.T.); #170902=EDGE_CURVE('',#141055,#141056,#59297,.T.); #170903=EDGE_CURVE('',#141056,#141056,#133466,.T.); #170904=EDGE_CURVE('',#141057,#141057,#133467,.T.); #170905=EDGE_CURVE('',#141057,#141058,#59298,.T.); #170906=EDGE_CURVE('',#141058,#141058,#133468,.T.); #170907=EDGE_CURVE('',#141059,#141059,#133469,.T.); #170908=EDGE_CURVE('',#141059,#141060,#59299,.T.); #170909=EDGE_CURVE('',#141060,#141060,#133470,.T.); #170910=EDGE_CURVE('',#141061,#141061,#133471,.T.); #170911=EDGE_CURVE('',#141061,#141062,#59300,.T.); #170912=EDGE_CURVE('',#141062,#141062,#133472,.T.); #170913=EDGE_CURVE('',#141063,#141063,#133473,.T.); #170914=EDGE_CURVE('',#141063,#141064,#59301,.T.); #170915=EDGE_CURVE('',#141064,#141064,#133474,.T.); #170916=EDGE_CURVE('',#141065,#141065,#133475,.T.); #170917=EDGE_CURVE('',#141065,#141066,#59302,.T.); #170918=EDGE_CURVE('',#141066,#141066,#133476,.T.); #170919=EDGE_CURVE('',#141067,#141067,#133477,.T.); #170920=EDGE_CURVE('',#141067,#141068,#59303,.T.); #170921=EDGE_CURVE('',#141068,#141068,#133478,.T.); #170922=EDGE_CURVE('',#141069,#141069,#133479,.T.); #170923=EDGE_CURVE('',#141069,#141070,#59304,.T.); #170924=EDGE_CURVE('',#141070,#141070,#133480,.T.); #170925=EDGE_CURVE('',#141071,#141071,#133481,.T.); #170926=EDGE_CURVE('',#141071,#141072,#59305,.T.); #170927=EDGE_CURVE('',#141072,#141072,#133482,.T.); #170928=EDGE_CURVE('',#141073,#141073,#133483,.T.); #170929=EDGE_CURVE('',#141073,#141074,#59306,.T.); #170930=EDGE_CURVE('',#141074,#141074,#133484,.T.); #170931=EDGE_CURVE('',#141075,#141075,#133485,.T.); #170932=EDGE_CURVE('',#141075,#141076,#59307,.T.); #170933=EDGE_CURVE('',#141076,#141076,#133486,.T.); #170934=EDGE_CURVE('',#141077,#141077,#133487,.T.); #170935=EDGE_CURVE('',#141077,#141078,#59308,.T.); #170936=EDGE_CURVE('',#141078,#141078,#133488,.T.); #170937=EDGE_CURVE('',#141079,#141079,#133489,.T.); #170938=EDGE_CURVE('',#141079,#141080,#59309,.T.); #170939=EDGE_CURVE('',#141080,#141080,#133490,.T.); #170940=EDGE_CURVE('',#141081,#141081,#133491,.T.); #170941=EDGE_CURVE('',#141081,#141082,#59310,.T.); #170942=EDGE_CURVE('',#141082,#141082,#133492,.T.); #170943=EDGE_CURVE('',#141083,#141083,#133493,.T.); #170944=EDGE_CURVE('',#141083,#141084,#59311,.T.); #170945=EDGE_CURVE('',#141084,#141084,#133494,.T.); #170946=EDGE_CURVE('',#141085,#141085,#133495,.T.); #170947=EDGE_CURVE('',#141085,#141086,#59312,.T.); #170948=EDGE_CURVE('',#141086,#141086,#133496,.T.); #170949=EDGE_CURVE('',#141087,#141087,#133497,.T.); #170950=EDGE_CURVE('',#141087,#141088,#59313,.T.); #170951=EDGE_CURVE('',#141088,#141088,#133498,.T.); #170952=EDGE_CURVE('',#141089,#141089,#133499,.T.); #170953=EDGE_CURVE('',#141089,#141090,#59314,.T.); #170954=EDGE_CURVE('',#141090,#141090,#133500,.T.); #170955=EDGE_CURVE('',#141091,#141091,#133501,.T.); #170956=EDGE_CURVE('',#141091,#141092,#59315,.T.); #170957=EDGE_CURVE('',#141092,#141092,#133502,.T.); #170958=EDGE_CURVE('',#141093,#141093,#133503,.T.); #170959=EDGE_CURVE('',#141093,#141094,#59316,.T.); #170960=EDGE_CURVE('',#141094,#141094,#133504,.T.); #170961=EDGE_CURVE('',#141095,#141095,#133505,.T.); #170962=EDGE_CURVE('',#141095,#141096,#59317,.T.); #170963=EDGE_CURVE('',#141096,#141096,#133506,.T.); #170964=EDGE_CURVE('',#141097,#141097,#133507,.T.); #170965=EDGE_CURVE('',#141097,#141098,#59318,.T.); #170966=EDGE_CURVE('',#141098,#141098,#133508,.T.); #170967=EDGE_CURVE('',#141099,#141099,#133509,.T.); #170968=EDGE_CURVE('',#141099,#141100,#59319,.T.); #170969=EDGE_CURVE('',#141100,#141100,#133510,.T.); #170970=EDGE_CURVE('',#141101,#141101,#133511,.T.); #170971=EDGE_CURVE('',#141101,#141102,#59320,.T.); #170972=EDGE_CURVE('',#141102,#141102,#133512,.T.); #170973=EDGE_CURVE('',#141103,#141103,#133513,.T.); #170974=EDGE_CURVE('',#141103,#141104,#59321,.T.); #170975=EDGE_CURVE('',#141104,#141104,#133514,.T.); #170976=EDGE_CURVE('',#141105,#141105,#133515,.T.); #170977=EDGE_CURVE('',#141105,#141106,#59322,.T.); #170978=EDGE_CURVE('',#141106,#141106,#133516,.T.); #170979=EDGE_CURVE('',#141107,#141107,#133517,.T.); #170980=EDGE_CURVE('',#141107,#141108,#59323,.T.); #170981=EDGE_CURVE('',#141108,#141108,#133518,.T.); #170982=EDGE_CURVE('',#141109,#141109,#133519,.T.); #170983=EDGE_CURVE('',#141109,#141110,#59324,.T.); #170984=EDGE_CURVE('',#141110,#141110,#133520,.T.); #170985=EDGE_CURVE('',#141111,#141111,#133521,.T.); #170986=EDGE_CURVE('',#141111,#141112,#59325,.T.); #170987=EDGE_CURVE('',#141112,#141112,#133522,.T.); #170988=EDGE_CURVE('',#141113,#141113,#133523,.T.); #170989=EDGE_CURVE('',#141113,#141114,#59326,.T.); #170990=EDGE_CURVE('',#141114,#141114,#133524,.T.); #170991=EDGE_CURVE('',#141115,#141115,#133525,.T.); #170992=EDGE_CURVE('',#141115,#141116,#59327,.T.); #170993=EDGE_CURVE('',#141116,#141116,#133526,.T.); #170994=EDGE_CURVE('',#141117,#141117,#133527,.T.); #170995=EDGE_CURVE('',#141117,#141118,#59328,.T.); #170996=EDGE_CURVE('',#141118,#141118,#133528,.T.); #170997=EDGE_CURVE('',#141119,#141119,#133529,.T.); #170998=EDGE_CURVE('',#141119,#141120,#59329,.T.); #170999=EDGE_CURVE('',#141120,#141120,#133530,.T.); #171000=EDGE_CURVE('',#141121,#141121,#133531,.T.); #171001=EDGE_CURVE('',#141121,#141122,#59330,.T.); #171002=EDGE_CURVE('',#141122,#141122,#133532,.T.); #171003=EDGE_CURVE('',#141123,#141123,#133533,.T.); #171004=EDGE_CURVE('',#141123,#141124,#59331,.T.); #171005=EDGE_CURVE('',#141124,#141124,#133534,.T.); #171006=EDGE_CURVE('',#141125,#141125,#133535,.T.); #171007=EDGE_CURVE('',#141125,#141126,#59332,.T.); #171008=EDGE_CURVE('',#141126,#141126,#133536,.T.); #171009=EDGE_CURVE('',#141127,#141127,#133537,.T.); #171010=EDGE_CURVE('',#141127,#141128,#59333,.T.); #171011=EDGE_CURVE('',#141128,#141128,#133538,.T.); #171012=EDGE_CURVE('',#141129,#141129,#133539,.T.); #171013=EDGE_CURVE('',#141129,#141130,#59334,.T.); #171014=EDGE_CURVE('',#141130,#141130,#133540,.T.); #171015=EDGE_CURVE('',#141131,#141131,#133541,.T.); #171016=EDGE_CURVE('',#141131,#141132,#59335,.T.); #171017=EDGE_CURVE('',#141132,#141132,#133542,.T.); #171018=EDGE_CURVE('',#141133,#141133,#133543,.T.); #171019=EDGE_CURVE('',#141133,#141134,#59336,.T.); #171020=EDGE_CURVE('',#141134,#141134,#133544,.T.); #171021=EDGE_CURVE('',#141135,#141135,#133545,.T.); #171022=EDGE_CURVE('',#141135,#141136,#59337,.T.); #171023=EDGE_CURVE('',#141136,#141136,#133546,.T.); #171024=EDGE_CURVE('',#141137,#141137,#133547,.T.); #171025=EDGE_CURVE('',#141137,#141138,#59338,.T.); #171026=EDGE_CURVE('',#141138,#141138,#133548,.T.); #171027=EDGE_CURVE('',#141139,#141139,#133549,.T.); #171028=EDGE_CURVE('',#141139,#141140,#59339,.T.); #171029=EDGE_CURVE('',#141140,#141140,#133550,.T.); #171030=EDGE_CURVE('',#141141,#141141,#133551,.T.); #171031=EDGE_CURVE('',#141141,#141142,#59340,.T.); #171032=EDGE_CURVE('',#141142,#141142,#133552,.T.); #171033=EDGE_CURVE('',#141143,#141143,#133553,.T.); #171034=EDGE_CURVE('',#141143,#141144,#59341,.T.); #171035=EDGE_CURVE('',#141144,#141144,#133554,.T.); #171036=EDGE_CURVE('',#141145,#141145,#133555,.T.); #171037=EDGE_CURVE('',#141145,#141146,#59342,.T.); #171038=EDGE_CURVE('',#141146,#141146,#133556,.T.); #171039=EDGE_CURVE('',#141147,#141147,#133557,.T.); #171040=EDGE_CURVE('',#141147,#141148,#59343,.T.); #171041=EDGE_CURVE('',#141148,#141148,#133558,.T.); #171042=EDGE_CURVE('',#141149,#141149,#133559,.T.); #171043=EDGE_CURVE('',#141149,#141150,#59344,.T.); #171044=EDGE_CURVE('',#141150,#141150,#133560,.T.); #171045=EDGE_CURVE('',#141151,#141151,#133561,.T.); #171046=EDGE_CURVE('',#141151,#141152,#59345,.T.); #171047=EDGE_CURVE('',#141152,#141152,#133562,.T.); #171048=EDGE_CURVE('',#141153,#141153,#133563,.T.); #171049=EDGE_CURVE('',#141153,#141154,#59346,.T.); #171050=EDGE_CURVE('',#141154,#141154,#133564,.T.); #171051=EDGE_CURVE('',#141155,#141155,#133565,.T.); #171052=EDGE_CURVE('',#141155,#141156,#59347,.T.); #171053=EDGE_CURVE('',#141156,#141156,#133566,.T.); #171054=EDGE_CURVE('',#141157,#141157,#133567,.T.); #171055=EDGE_CURVE('',#141157,#141158,#59348,.T.); #171056=EDGE_CURVE('',#141158,#141158,#133568,.T.); #171057=EDGE_CURVE('',#141159,#141159,#133569,.T.); #171058=EDGE_CURVE('',#141159,#141160,#59349,.T.); #171059=EDGE_CURVE('',#141160,#141160,#133570,.T.); #171060=EDGE_CURVE('',#141161,#141161,#133571,.T.); #171061=EDGE_CURVE('',#141161,#141162,#59350,.T.); #171062=EDGE_CURVE('',#141162,#141162,#133572,.T.); #171063=EDGE_CURVE('',#141163,#141163,#133573,.T.); #171064=EDGE_CURVE('',#141163,#141164,#59351,.T.); #171065=EDGE_CURVE('',#141164,#141164,#133574,.T.); #171066=EDGE_CURVE('',#141165,#141165,#133575,.T.); #171067=EDGE_CURVE('',#141165,#141166,#59352,.T.); #171068=EDGE_CURVE('',#141166,#141166,#133576,.T.); #171069=EDGE_CURVE('',#141167,#141167,#133577,.T.); #171070=EDGE_CURVE('',#141167,#141168,#59353,.T.); #171071=EDGE_CURVE('',#141168,#141168,#133578,.T.); #171072=EDGE_CURVE('',#141169,#141169,#133579,.T.); #171073=EDGE_CURVE('',#141169,#141170,#59354,.T.); #171074=EDGE_CURVE('',#141170,#141170,#133580,.T.); #171075=EDGE_CURVE('',#141171,#141171,#133581,.T.); #171076=EDGE_CURVE('',#141171,#141172,#59355,.T.); #171077=EDGE_CURVE('',#141172,#141172,#133582,.T.); #171078=EDGE_CURVE('',#141173,#141173,#133583,.T.); #171079=EDGE_CURVE('',#141173,#141174,#59356,.T.); #171080=EDGE_CURVE('',#141174,#141174,#133584,.T.); #171081=EDGE_CURVE('',#141175,#141175,#133585,.T.); #171082=EDGE_CURVE('',#141175,#141176,#59357,.T.); #171083=EDGE_CURVE('',#141176,#141176,#133586,.T.); #171084=EDGE_CURVE('',#141177,#141177,#133587,.T.); #171085=EDGE_CURVE('',#141177,#141178,#59358,.T.); #171086=EDGE_CURVE('',#141178,#141178,#133588,.T.); #171087=EDGE_CURVE('',#141179,#141179,#133589,.T.); #171088=EDGE_CURVE('',#141179,#141180,#59359,.T.); #171089=EDGE_CURVE('',#141180,#141180,#133590,.T.); #171090=EDGE_CURVE('',#141181,#141181,#133591,.T.); #171091=EDGE_CURVE('',#141181,#141182,#59360,.T.); #171092=EDGE_CURVE('',#141182,#141182,#133592,.T.); #171093=EDGE_CURVE('',#141183,#141183,#133593,.T.); #171094=EDGE_CURVE('',#141183,#141184,#59361,.T.); #171095=EDGE_CURVE('',#141184,#141184,#133594,.T.); #171096=EDGE_CURVE('',#141185,#141185,#133595,.T.); #171097=EDGE_CURVE('',#141185,#141186,#59362,.T.); #171098=EDGE_CURVE('',#141186,#141186,#133596,.T.); #171099=EDGE_CURVE('',#141187,#141187,#133597,.T.); #171100=EDGE_CURVE('',#141187,#141188,#59363,.T.); #171101=EDGE_CURVE('',#141188,#141188,#133598,.T.); #171102=EDGE_CURVE('',#141189,#141189,#133599,.T.); #171103=EDGE_CURVE('',#141189,#141190,#59364,.T.); #171104=EDGE_CURVE('',#141190,#141190,#133600,.T.); #171105=EDGE_CURVE('',#141191,#141191,#133601,.T.); #171106=EDGE_CURVE('',#141191,#141192,#59365,.T.); #171107=EDGE_CURVE('',#141192,#141192,#133602,.T.); #171108=EDGE_CURVE('',#141193,#141193,#133603,.T.); #171109=EDGE_CURVE('',#141193,#141194,#59366,.T.); #171110=EDGE_CURVE('',#141194,#141194,#133604,.T.); #171111=EDGE_CURVE('',#141195,#141195,#133605,.T.); #171112=EDGE_CURVE('',#141195,#141196,#59367,.T.); #171113=EDGE_CURVE('',#141196,#141196,#133606,.T.); #171114=EDGE_CURVE('',#141197,#141197,#133607,.T.); #171115=EDGE_CURVE('',#141197,#141198,#59368,.T.); #171116=EDGE_CURVE('',#141198,#141198,#133608,.T.); #171117=EDGE_CURVE('',#141199,#141199,#133609,.T.); #171118=EDGE_CURVE('',#141199,#141200,#59369,.T.); #171119=EDGE_CURVE('',#141200,#141200,#133610,.T.); #171120=EDGE_CURVE('',#141201,#141201,#133611,.T.); #171121=EDGE_CURVE('',#141201,#141202,#59370,.T.); #171122=EDGE_CURVE('',#141202,#141202,#133612,.T.); #171123=EDGE_CURVE('',#141203,#141203,#133613,.T.); #171124=EDGE_CURVE('',#141203,#141204,#59371,.T.); #171125=EDGE_CURVE('',#141204,#141204,#133614,.T.); #171126=EDGE_CURVE('',#141205,#141205,#133615,.T.); #171127=EDGE_CURVE('',#141205,#141206,#59372,.T.); #171128=EDGE_CURVE('',#141206,#141206,#133616,.T.); #171129=EDGE_CURVE('',#141207,#141207,#133617,.T.); #171130=EDGE_CURVE('',#141207,#141208,#59373,.T.); #171131=EDGE_CURVE('',#141208,#141208,#133618,.T.); #171132=EDGE_CURVE('',#141209,#141209,#133619,.T.); #171133=EDGE_CURVE('',#141209,#141210,#59374,.T.); #171134=EDGE_CURVE('',#141210,#141210,#133620,.T.); #171135=EDGE_CURVE('',#141211,#141211,#133621,.T.); #171136=EDGE_CURVE('',#141211,#141212,#59375,.T.); #171137=EDGE_CURVE('',#141212,#141212,#133622,.T.); #171138=EDGE_CURVE('',#141213,#141213,#133623,.T.); #171139=EDGE_CURVE('',#141213,#141214,#59376,.T.); #171140=EDGE_CURVE('',#141214,#141214,#133624,.T.); #171141=EDGE_CURVE('',#141215,#141215,#133625,.T.); #171142=EDGE_CURVE('',#141215,#141216,#59377,.T.); #171143=EDGE_CURVE('',#141216,#141216,#133626,.T.); #171144=EDGE_CURVE('',#141217,#141217,#133627,.T.); #171145=EDGE_CURVE('',#141217,#141218,#59378,.T.); #171146=EDGE_CURVE('',#141218,#141218,#133628,.T.); #171147=EDGE_CURVE('',#141219,#141219,#133629,.T.); #171148=EDGE_CURVE('',#141219,#141220,#59379,.T.); #171149=EDGE_CURVE('',#141220,#141220,#133630,.T.); #171150=EDGE_CURVE('',#141221,#141221,#133631,.T.); #171151=EDGE_CURVE('',#141221,#141222,#59380,.T.); #171152=EDGE_CURVE('',#141222,#141222,#133632,.T.); #171153=EDGE_CURVE('',#141223,#141223,#133633,.T.); #171154=EDGE_CURVE('',#141223,#141224,#59381,.T.); #171155=EDGE_CURVE('',#141224,#141224,#133634,.T.); #171156=EDGE_CURVE('',#141225,#141225,#133635,.T.); #171157=EDGE_CURVE('',#141225,#141226,#59382,.T.); #171158=EDGE_CURVE('',#141226,#141226,#133636,.T.); #171159=EDGE_CURVE('',#141227,#141227,#133637,.T.); #171160=EDGE_CURVE('',#141227,#141228,#59383,.T.); #171161=EDGE_CURVE('',#141228,#141228,#133638,.T.); #171162=EDGE_CURVE('',#141229,#141229,#133639,.T.); #171163=EDGE_CURVE('',#141229,#141230,#59384,.T.); #171164=EDGE_CURVE('',#141230,#141230,#133640,.T.); #171165=EDGE_CURVE('',#141231,#141231,#133641,.T.); #171166=EDGE_CURVE('',#141231,#141232,#59385,.T.); #171167=EDGE_CURVE('',#141232,#141232,#133642,.T.); #171168=EDGE_CURVE('',#141233,#141233,#133643,.T.); #171169=EDGE_CURVE('',#141233,#141234,#59386,.T.); #171170=EDGE_CURVE('',#141234,#141234,#133644,.T.); #171171=EDGE_CURVE('',#141235,#141235,#133645,.T.); #171172=EDGE_CURVE('',#141235,#141236,#59387,.T.); #171173=EDGE_CURVE('',#141236,#141236,#133646,.T.); #171174=EDGE_CURVE('',#141237,#141237,#133647,.T.); #171175=EDGE_CURVE('',#141237,#141238,#59388,.T.); #171176=EDGE_CURVE('',#141238,#141238,#133648,.T.); #171177=EDGE_CURVE('',#141239,#141239,#133649,.T.); #171178=EDGE_CURVE('',#141239,#141240,#59389,.T.); #171179=EDGE_CURVE('',#141240,#141240,#133650,.T.); #171180=EDGE_CURVE('',#141241,#141241,#133651,.T.); #171181=EDGE_CURVE('',#141241,#141242,#59390,.T.); #171182=EDGE_CURVE('',#141242,#141242,#133652,.T.); #171183=EDGE_CURVE('',#141243,#141243,#133653,.T.); #171184=EDGE_CURVE('',#141243,#141244,#59391,.T.); #171185=EDGE_CURVE('',#141244,#141244,#133654,.T.); #171186=EDGE_CURVE('',#141245,#141245,#133655,.T.); #171187=EDGE_CURVE('',#141245,#141246,#59392,.T.); #171188=EDGE_CURVE('',#141246,#141246,#133656,.T.); #171189=EDGE_CURVE('',#141247,#141247,#133657,.T.); #171190=EDGE_CURVE('',#141247,#141248,#59393,.T.); #171191=EDGE_CURVE('',#141248,#141248,#133658,.T.); #171192=EDGE_CURVE('',#141249,#141249,#133659,.T.); #171193=EDGE_CURVE('',#141249,#141250,#59394,.T.); #171194=EDGE_CURVE('',#141250,#141250,#133660,.T.); #171195=EDGE_CURVE('',#141251,#141251,#133661,.T.); #171196=EDGE_CURVE('',#141251,#141252,#59395,.T.); #171197=EDGE_CURVE('',#141252,#141252,#133662,.T.); #171198=EDGE_CURVE('',#141253,#141253,#133663,.T.); #171199=EDGE_CURVE('',#141253,#141254,#59396,.T.); #171200=EDGE_CURVE('',#141254,#141254,#133664,.T.); #171201=EDGE_CURVE('',#141255,#141255,#133665,.T.); #171202=EDGE_CURVE('',#141255,#141256,#59397,.T.); #171203=EDGE_CURVE('',#141256,#141256,#133666,.T.); #171204=EDGE_CURVE('',#141257,#141257,#133667,.T.); #171205=EDGE_CURVE('',#141257,#141258,#59398,.T.); #171206=EDGE_CURVE('',#141258,#141258,#133668,.T.); #171207=EDGE_CURVE('',#141259,#141259,#133669,.T.); #171208=EDGE_CURVE('',#141259,#141260,#59399,.T.); #171209=EDGE_CURVE('',#141260,#141260,#133670,.T.); #171210=EDGE_CURVE('',#141261,#141261,#133671,.T.); #171211=EDGE_CURVE('',#141261,#141262,#59400,.T.); #171212=EDGE_CURVE('',#141262,#141262,#133672,.T.); #171213=EDGE_CURVE('',#141263,#141263,#133673,.T.); #171214=EDGE_CURVE('',#141263,#141264,#59401,.T.); #171215=EDGE_CURVE('',#141264,#141264,#133674,.T.); #171216=EDGE_CURVE('',#141265,#141265,#133675,.T.); #171217=EDGE_CURVE('',#141265,#141266,#59402,.T.); #171218=EDGE_CURVE('',#141266,#141266,#133676,.T.); #171219=EDGE_CURVE('',#141267,#141267,#133677,.T.); #171220=EDGE_CURVE('',#141267,#141268,#59403,.T.); #171221=EDGE_CURVE('',#141268,#141268,#133678,.T.); #171222=EDGE_CURVE('',#141269,#141269,#133679,.T.); #171223=EDGE_CURVE('',#141269,#141270,#59404,.T.); #171224=EDGE_CURVE('',#141270,#141270,#133680,.T.); #171225=EDGE_CURVE('',#141271,#141271,#133681,.T.); #171226=EDGE_CURVE('',#141271,#141272,#59405,.T.); #171227=EDGE_CURVE('',#141272,#141272,#133682,.T.); #171228=EDGE_CURVE('',#141273,#141273,#133683,.T.); #171229=EDGE_CURVE('',#141273,#141274,#59406,.T.); #171230=EDGE_CURVE('',#141274,#141274,#133684,.T.); #171231=EDGE_CURVE('',#141275,#141275,#133685,.T.); #171232=EDGE_CURVE('',#141275,#141276,#59407,.T.); #171233=EDGE_CURVE('',#141276,#141276,#133686,.T.); #171234=EDGE_CURVE('',#141277,#141277,#133687,.T.); #171235=EDGE_CURVE('',#141277,#141278,#59408,.T.); #171236=EDGE_CURVE('',#141278,#141278,#133688,.T.); #171237=EDGE_CURVE('',#141279,#141279,#133689,.T.); #171238=EDGE_CURVE('',#141279,#141280,#59409,.T.); #171239=EDGE_CURVE('',#141280,#141280,#133690,.T.); #171240=EDGE_CURVE('',#141281,#141281,#133691,.T.); #171241=EDGE_CURVE('',#141281,#141282,#59410,.T.); #171242=EDGE_CURVE('',#141282,#141282,#133692,.T.); #171243=EDGE_CURVE('',#141283,#141283,#133693,.T.); #171244=EDGE_CURVE('',#141283,#141284,#59411,.T.); #171245=EDGE_CURVE('',#141284,#141284,#133694,.T.); #171246=EDGE_CURVE('',#141285,#141285,#133695,.T.); #171247=EDGE_CURVE('',#141285,#141286,#59412,.T.); #171248=EDGE_CURVE('',#141286,#141286,#133696,.T.); #171249=EDGE_CURVE('',#141287,#141287,#133697,.T.); #171250=EDGE_CURVE('',#141287,#141288,#59413,.T.); #171251=EDGE_CURVE('',#141288,#141288,#133698,.T.); #171252=EDGE_CURVE('',#141289,#141289,#133699,.T.); #171253=EDGE_CURVE('',#141289,#141290,#59414,.T.); #171254=EDGE_CURVE('',#141290,#141290,#133700,.T.); #171255=EDGE_CURVE('',#141291,#141291,#133701,.T.); #171256=EDGE_CURVE('',#141291,#141292,#59415,.T.); #171257=EDGE_CURVE('',#141292,#141292,#133702,.T.); #171258=EDGE_CURVE('',#141293,#141293,#133703,.T.); #171259=EDGE_CURVE('',#141293,#141294,#59416,.T.); #171260=EDGE_CURVE('',#141294,#141294,#133704,.T.); #171261=EDGE_CURVE('',#141295,#141295,#133705,.T.); #171262=EDGE_CURVE('',#141295,#141296,#59417,.T.); #171263=EDGE_CURVE('',#141296,#141296,#133706,.T.); #171264=EDGE_CURVE('',#141297,#141297,#133707,.T.); #171265=EDGE_CURVE('',#141297,#141298,#59418,.T.); #171266=EDGE_CURVE('',#141298,#141298,#133708,.T.); #171267=EDGE_CURVE('',#141299,#141299,#133709,.T.); #171268=EDGE_CURVE('',#141299,#141300,#59419,.T.); #171269=EDGE_CURVE('',#141300,#141300,#133710,.T.); #171270=EDGE_CURVE('',#141301,#141301,#133711,.T.); #171271=EDGE_CURVE('',#141301,#141302,#59420,.T.); #171272=EDGE_CURVE('',#141302,#141302,#133712,.T.); #171273=EDGE_CURVE('',#141303,#141303,#133713,.T.); #171274=EDGE_CURVE('',#141303,#141304,#59421,.T.); #171275=EDGE_CURVE('',#141304,#141304,#133714,.T.); #171276=EDGE_CURVE('',#141305,#141305,#133715,.T.); #171277=EDGE_CURVE('',#141305,#141306,#59422,.T.); #171278=EDGE_CURVE('',#141306,#141306,#133716,.T.); #171279=EDGE_CURVE('',#141307,#141307,#133717,.T.); #171280=EDGE_CURVE('',#141307,#141308,#59423,.T.); #171281=EDGE_CURVE('',#141308,#141308,#133718,.T.); #171282=EDGE_CURVE('',#141309,#141309,#133719,.T.); #171283=EDGE_CURVE('',#141309,#141310,#59424,.T.); #171284=EDGE_CURVE('',#141310,#141310,#133720,.T.); #171285=EDGE_CURVE('',#141311,#141311,#133721,.T.); #171286=EDGE_CURVE('',#141311,#141312,#59425,.T.); #171287=EDGE_CURVE('',#141312,#141312,#133722,.T.); #171288=EDGE_CURVE('',#141313,#141313,#133723,.T.); #171289=EDGE_CURVE('',#141313,#141314,#59426,.T.); #171290=EDGE_CURVE('',#141314,#141314,#133724,.T.); #171291=EDGE_CURVE('',#141315,#141315,#133725,.T.); #171292=EDGE_CURVE('',#141315,#141316,#59427,.T.); #171293=EDGE_CURVE('',#141316,#141316,#133726,.T.); #171294=EDGE_CURVE('',#141317,#141317,#133727,.T.); #171295=EDGE_CURVE('',#141317,#141318,#59428,.T.); #171296=EDGE_CURVE('',#141318,#141318,#133728,.T.); #171297=EDGE_CURVE('',#141319,#141319,#133729,.T.); #171298=EDGE_CURVE('',#141319,#141320,#59429,.T.); #171299=EDGE_CURVE('',#141320,#141320,#133730,.T.); #171300=EDGE_CURVE('',#141321,#141321,#133731,.T.); #171301=EDGE_CURVE('',#141321,#141322,#59430,.T.); #171302=EDGE_CURVE('',#141322,#141322,#133732,.T.); #171303=EDGE_CURVE('',#141323,#141323,#133733,.T.); #171304=EDGE_CURVE('',#141323,#141324,#59431,.T.); #171305=EDGE_CURVE('',#141324,#141324,#133734,.T.); #171306=EDGE_CURVE('',#141325,#141325,#133735,.T.); #171307=EDGE_CURVE('',#141325,#141326,#59432,.T.); #171308=EDGE_CURVE('',#141326,#141326,#133736,.T.); #171309=EDGE_CURVE('',#141327,#141327,#133737,.T.); #171310=EDGE_CURVE('',#141327,#141328,#59433,.T.); #171311=EDGE_CURVE('',#141328,#141328,#133738,.T.); #171312=EDGE_CURVE('',#141329,#141329,#133739,.T.); #171313=EDGE_CURVE('',#141329,#141330,#59434,.T.); #171314=EDGE_CURVE('',#141330,#141330,#133740,.T.); #171315=EDGE_CURVE('',#141331,#141331,#133741,.T.); #171316=EDGE_CURVE('',#141331,#141332,#59435,.T.); #171317=EDGE_CURVE('',#141332,#141332,#133742,.T.); #171318=EDGE_CURVE('',#141333,#141333,#133743,.T.); #171319=EDGE_CURVE('',#141333,#141334,#59436,.T.); #171320=EDGE_CURVE('',#141334,#141334,#133744,.T.); #171321=EDGE_CURVE('',#141335,#141335,#133745,.T.); #171322=EDGE_CURVE('',#141335,#141336,#59437,.T.); #171323=EDGE_CURVE('',#141336,#141336,#133746,.T.); #171324=EDGE_CURVE('',#141337,#141337,#133747,.T.); #171325=EDGE_CURVE('',#141337,#141338,#59438,.T.); #171326=EDGE_CURVE('',#141338,#141338,#133748,.T.); #171327=EDGE_CURVE('',#141339,#141339,#133749,.T.); #171328=EDGE_CURVE('',#141339,#141340,#59439,.T.); #171329=EDGE_CURVE('',#141340,#141340,#133750,.T.); #171330=EDGE_CURVE('',#141341,#141341,#133751,.T.); #171331=EDGE_CURVE('',#141341,#141342,#59440,.T.); #171332=EDGE_CURVE('',#141342,#141342,#133752,.T.); #171333=EDGE_CURVE('',#141343,#141343,#133753,.T.); #171334=EDGE_CURVE('',#141343,#141344,#59441,.T.); #171335=EDGE_CURVE('',#141344,#141344,#133754,.T.); #171336=EDGE_CURVE('',#141345,#141345,#133755,.T.); #171337=EDGE_CURVE('',#141345,#141346,#59442,.T.); #171338=EDGE_CURVE('',#141346,#141346,#133756,.T.); #171339=EDGE_CURVE('',#141347,#141347,#133757,.T.); #171340=EDGE_CURVE('',#141347,#141348,#59443,.T.); #171341=EDGE_CURVE('',#141348,#141348,#133758,.T.); #171342=EDGE_CURVE('',#141349,#141349,#133759,.T.); #171343=EDGE_CURVE('',#141349,#141350,#59444,.T.); #171344=EDGE_CURVE('',#141350,#141350,#133760,.T.); #171345=EDGE_CURVE('',#141351,#141351,#133761,.T.); #171346=EDGE_CURVE('',#141351,#141352,#59445,.T.); #171347=EDGE_CURVE('',#141352,#141352,#133762,.T.); #171348=EDGE_CURVE('',#141353,#141353,#133763,.T.); #171349=EDGE_CURVE('',#141353,#141354,#59446,.T.); #171350=EDGE_CURVE('',#141354,#141354,#133764,.T.); #171351=EDGE_CURVE('',#141355,#141355,#133765,.T.); #171352=EDGE_CURVE('',#141355,#141356,#59447,.T.); #171353=EDGE_CURVE('',#141356,#141356,#133766,.T.); #171354=EDGE_CURVE('',#141357,#141357,#133767,.T.); #171355=EDGE_CURVE('',#141357,#141358,#59448,.T.); #171356=EDGE_CURVE('',#141358,#141358,#133768,.T.); #171357=EDGE_CURVE('',#141359,#141359,#133769,.T.); #171358=EDGE_CURVE('',#141359,#141360,#59449,.T.); #171359=EDGE_CURVE('',#141360,#141360,#133770,.T.); #171360=EDGE_CURVE('',#141361,#141361,#133771,.T.); #171361=EDGE_CURVE('',#141361,#141362,#59450,.T.); #171362=EDGE_CURVE('',#141362,#141362,#133772,.T.); #171363=EDGE_CURVE('',#141363,#141363,#133773,.T.); #171364=EDGE_CURVE('',#141363,#141364,#59451,.T.); #171365=EDGE_CURVE('',#141364,#141364,#133774,.T.); #171366=EDGE_CURVE('',#141365,#141365,#133775,.T.); #171367=EDGE_CURVE('',#141365,#141366,#59452,.T.); #171368=EDGE_CURVE('',#141366,#141366,#133776,.T.); #171369=EDGE_CURVE('',#141367,#141367,#133777,.T.); #171370=EDGE_CURVE('',#141367,#141368,#59453,.T.); #171371=EDGE_CURVE('',#141368,#141368,#133778,.T.); #171372=EDGE_CURVE('',#141369,#141369,#133779,.T.); #171373=EDGE_CURVE('',#141369,#141370,#59454,.T.); #171374=EDGE_CURVE('',#141370,#141370,#133780,.T.); #171375=EDGE_CURVE('',#141371,#141371,#133781,.T.); #171376=EDGE_CURVE('',#141371,#141372,#59455,.T.); #171377=EDGE_CURVE('',#141372,#141372,#133782,.T.); #171378=EDGE_CURVE('',#141373,#141373,#133783,.T.); #171379=EDGE_CURVE('',#141373,#141374,#59456,.T.); #171380=EDGE_CURVE('',#141374,#141374,#133784,.T.); #171381=EDGE_CURVE('',#141375,#141375,#133785,.T.); #171382=EDGE_CURVE('',#141375,#141376,#59457,.T.); #171383=EDGE_CURVE('',#141376,#141376,#133786,.T.); #171384=EDGE_CURVE('',#141377,#141377,#133787,.T.); #171385=EDGE_CURVE('',#141377,#141378,#59458,.T.); #171386=EDGE_CURVE('',#141378,#141378,#133788,.T.); #171387=EDGE_CURVE('',#141379,#141379,#133789,.T.); #171388=EDGE_CURVE('',#141379,#141380,#59459,.T.); #171389=EDGE_CURVE('',#141380,#141380,#133790,.T.); #171390=EDGE_CURVE('',#141381,#141381,#133791,.T.); #171391=EDGE_CURVE('',#141381,#141382,#59460,.T.); #171392=EDGE_CURVE('',#141382,#141382,#133792,.T.); #171393=EDGE_CURVE('',#141383,#141383,#133793,.T.); #171394=EDGE_CURVE('',#141383,#141384,#59461,.T.); #171395=EDGE_CURVE('',#141384,#141384,#133794,.T.); #171396=EDGE_CURVE('',#141385,#141385,#133795,.T.); #171397=EDGE_CURVE('',#141385,#141386,#59462,.T.); #171398=EDGE_CURVE('',#141386,#141386,#133796,.T.); #171399=EDGE_CURVE('',#141387,#141387,#133797,.T.); #171400=EDGE_CURVE('',#141387,#141388,#59463,.T.); #171401=EDGE_CURVE('',#141388,#141388,#133798,.T.); #171402=EDGE_CURVE('',#141389,#141389,#133799,.T.); #171403=EDGE_CURVE('',#141389,#141390,#59464,.T.); #171404=EDGE_CURVE('',#141390,#141390,#133800,.T.); #171405=EDGE_CURVE('',#141391,#141391,#133801,.T.); #171406=EDGE_CURVE('',#141391,#141392,#59465,.T.); #171407=EDGE_CURVE('',#141392,#141392,#133802,.T.); #171408=EDGE_CURVE('',#141393,#141393,#133803,.T.); #171409=EDGE_CURVE('',#141393,#141394,#59466,.T.); #171410=EDGE_CURVE('',#141394,#141394,#133804,.T.); #171411=EDGE_CURVE('',#141395,#141395,#133805,.T.); #171412=EDGE_CURVE('',#141395,#141396,#59467,.T.); #171413=EDGE_CURVE('',#141396,#141396,#133806,.T.); #171414=EDGE_CURVE('',#141397,#141397,#133807,.T.); #171415=EDGE_CURVE('',#141397,#141398,#59468,.T.); #171416=EDGE_CURVE('',#141398,#141398,#133808,.T.); #171417=EDGE_CURVE('',#141399,#141399,#133809,.T.); #171418=EDGE_CURVE('',#141399,#141400,#59469,.T.); #171419=EDGE_CURVE('',#141400,#141400,#133810,.T.); #171420=EDGE_CURVE('',#141401,#141401,#133811,.T.); #171421=EDGE_CURVE('',#141401,#141402,#59470,.T.); #171422=EDGE_CURVE('',#141402,#141402,#133812,.T.); #171423=EDGE_CURVE('',#141403,#141403,#133813,.T.); #171424=EDGE_CURVE('',#141403,#141404,#59471,.T.); #171425=EDGE_CURVE('',#141404,#141404,#133814,.T.); #171426=EDGE_CURVE('',#141405,#141405,#133815,.T.); #171427=EDGE_CURVE('',#141405,#141406,#59472,.T.); #171428=EDGE_CURVE('',#141406,#141406,#133816,.T.); #171429=EDGE_CURVE('',#141407,#141407,#133817,.T.); #171430=EDGE_CURVE('',#141407,#141408,#59473,.T.); #171431=EDGE_CURVE('',#141408,#141408,#133818,.T.); #171432=EDGE_CURVE('',#141409,#141409,#133819,.T.); #171433=EDGE_CURVE('',#141409,#141410,#59474,.T.); #171434=EDGE_CURVE('',#141410,#141410,#133820,.T.); #171435=EDGE_CURVE('',#141411,#141411,#133821,.T.); #171436=EDGE_CURVE('',#141411,#141412,#59475,.T.); #171437=EDGE_CURVE('',#141412,#141412,#133822,.T.); #171438=EDGE_CURVE('',#141413,#141413,#133823,.T.); #171439=EDGE_CURVE('',#141413,#141414,#59476,.T.); #171440=EDGE_CURVE('',#141414,#141414,#133824,.T.); #171441=EDGE_CURVE('',#141415,#141415,#133825,.T.); #171442=EDGE_CURVE('',#141415,#141416,#59477,.T.); #171443=EDGE_CURVE('',#141416,#141416,#133826,.T.); #171444=EDGE_CURVE('',#141417,#141417,#133827,.T.); #171445=EDGE_CURVE('',#141417,#141418,#59478,.T.); #171446=EDGE_CURVE('',#141418,#141418,#133828,.T.); #171447=EDGE_CURVE('',#141419,#141419,#133829,.T.); #171448=EDGE_CURVE('',#141419,#141420,#59479,.T.); #171449=EDGE_CURVE('',#141420,#141420,#133830,.T.); #171450=EDGE_CURVE('',#141421,#141421,#133831,.T.); #171451=EDGE_CURVE('',#141421,#141422,#59480,.T.); #171452=EDGE_CURVE('',#141422,#141422,#133832,.T.); #171453=EDGE_CURVE('',#141423,#141423,#133833,.T.); #171454=EDGE_CURVE('',#141423,#141424,#59481,.T.); #171455=EDGE_CURVE('',#141424,#141424,#133834,.T.); #171456=EDGE_CURVE('',#141425,#141425,#133835,.T.); #171457=EDGE_CURVE('',#141425,#141426,#59482,.T.); #171458=EDGE_CURVE('',#141426,#141426,#133836,.T.); #171459=EDGE_CURVE('',#141427,#141427,#133837,.T.); #171460=EDGE_CURVE('',#141427,#141428,#59483,.T.); #171461=EDGE_CURVE('',#141428,#141428,#133838,.T.); #171462=EDGE_CURVE('',#141429,#141429,#133839,.T.); #171463=EDGE_CURVE('',#141429,#141430,#59484,.T.); #171464=EDGE_CURVE('',#141430,#141430,#133840,.T.); #171465=EDGE_CURVE('',#141431,#141431,#133841,.T.); #171466=EDGE_CURVE('',#141431,#141432,#59485,.T.); #171467=EDGE_CURVE('',#141432,#141432,#133842,.T.); #171468=EDGE_CURVE('',#141433,#141433,#133843,.T.); #171469=EDGE_CURVE('',#141433,#141434,#59486,.T.); #171470=EDGE_CURVE('',#141434,#141434,#133844,.T.); #171471=EDGE_CURVE('',#141435,#141435,#133845,.T.); #171472=EDGE_CURVE('',#141435,#141436,#59487,.T.); #171473=EDGE_CURVE('',#141436,#141436,#133846,.T.); #171474=EDGE_CURVE('',#141437,#141437,#133847,.T.); #171475=EDGE_CURVE('',#141437,#141438,#59488,.T.); #171476=EDGE_CURVE('',#141438,#141438,#133848,.T.); #171477=EDGE_CURVE('',#141439,#141439,#133849,.T.); #171478=EDGE_CURVE('',#141439,#141440,#59489,.T.); #171479=EDGE_CURVE('',#141440,#141440,#133850,.T.); #171480=EDGE_CURVE('',#141441,#141441,#133851,.T.); #171481=EDGE_CURVE('',#141441,#141442,#59490,.T.); #171482=EDGE_CURVE('',#141442,#141442,#133852,.T.); #171483=EDGE_CURVE('',#141443,#141443,#133853,.T.); #171484=EDGE_CURVE('',#141443,#141444,#59491,.T.); #171485=EDGE_CURVE('',#141444,#141444,#133854,.T.); #171486=EDGE_CURVE('',#141445,#141445,#133855,.T.); #171487=EDGE_CURVE('',#141445,#141446,#59492,.T.); #171488=EDGE_CURVE('',#141446,#141446,#133856,.T.); #171489=EDGE_CURVE('',#141447,#141447,#133857,.T.); #171490=EDGE_CURVE('',#141447,#141448,#59493,.T.); #171491=EDGE_CURVE('',#141448,#141448,#133858,.T.); #171492=EDGE_CURVE('',#141449,#141449,#133859,.T.); #171493=EDGE_CURVE('',#141449,#141450,#59494,.T.); #171494=EDGE_CURVE('',#141450,#141450,#133860,.T.); #171495=EDGE_CURVE('',#141451,#141451,#133861,.T.); #171496=EDGE_CURVE('',#141451,#141452,#59495,.T.); #171497=EDGE_CURVE('',#141452,#141452,#133862,.T.); #171498=EDGE_CURVE('',#141453,#141453,#133863,.T.); #171499=EDGE_CURVE('',#141453,#141454,#59496,.T.); #171500=EDGE_CURVE('',#141454,#141454,#133864,.T.); #171501=EDGE_CURVE('',#141455,#141455,#133865,.T.); #171502=EDGE_CURVE('',#141455,#141456,#59497,.T.); #171503=EDGE_CURVE('',#141456,#141456,#133866,.T.); #171504=EDGE_CURVE('',#141457,#141457,#133867,.T.); #171505=EDGE_CURVE('',#141457,#141458,#59498,.T.); #171506=EDGE_CURVE('',#141458,#141458,#133868,.T.); #171507=EDGE_CURVE('',#141459,#141459,#133869,.T.); #171508=EDGE_CURVE('',#141459,#141460,#59499,.T.); #171509=EDGE_CURVE('',#141460,#141460,#133870,.T.); #171510=EDGE_CURVE('',#141461,#141461,#133871,.T.); #171511=EDGE_CURVE('',#141461,#141462,#59500,.T.); #171512=EDGE_CURVE('',#141462,#141462,#133872,.T.); #171513=EDGE_CURVE('',#141463,#141463,#133873,.T.); #171514=EDGE_CURVE('',#141463,#141464,#59501,.T.); #171515=EDGE_CURVE('',#141464,#141464,#133874,.T.); #171516=EDGE_CURVE('',#141465,#141465,#133875,.T.); #171517=EDGE_CURVE('',#141465,#141466,#59502,.T.); #171518=EDGE_CURVE('',#141466,#141466,#133876,.T.); #171519=EDGE_CURVE('',#141467,#141467,#133877,.T.); #171520=EDGE_CURVE('',#141467,#141468,#59503,.T.); #171521=EDGE_CURVE('',#141468,#141468,#133878,.T.); #171522=EDGE_CURVE('',#141469,#141469,#133879,.T.); #171523=EDGE_CURVE('',#141469,#141470,#59504,.T.); #171524=EDGE_CURVE('',#141470,#141470,#133880,.T.); #171525=EDGE_CURVE('',#141471,#141471,#133881,.T.); #171526=EDGE_CURVE('',#141471,#141472,#59505,.T.); #171527=EDGE_CURVE('',#141472,#141472,#133882,.T.); #171528=EDGE_CURVE('',#141473,#141473,#133883,.T.); #171529=EDGE_CURVE('',#141473,#141474,#59506,.T.); #171530=EDGE_CURVE('',#141474,#141474,#133884,.T.); #171531=EDGE_CURVE('',#141475,#141475,#133885,.T.); #171532=EDGE_CURVE('',#141475,#141476,#59507,.T.); #171533=EDGE_CURVE('',#141476,#141476,#133886,.T.); #171534=EDGE_CURVE('',#141477,#141477,#133887,.T.); #171535=EDGE_CURVE('',#141477,#141478,#59508,.T.); #171536=EDGE_CURVE('',#141478,#141478,#133888,.T.); #171537=EDGE_CURVE('',#141479,#141479,#133889,.T.); #171538=EDGE_CURVE('',#141479,#141480,#59509,.T.); #171539=EDGE_CURVE('',#141480,#141480,#133890,.T.); #171540=EDGE_CURVE('',#141481,#141481,#133891,.T.); #171541=EDGE_CURVE('',#141481,#141482,#59510,.T.); #171542=EDGE_CURVE('',#141482,#141482,#133892,.T.); #171543=EDGE_CURVE('',#141483,#141483,#133893,.T.); #171544=EDGE_CURVE('',#141483,#141484,#59511,.T.); #171545=EDGE_CURVE('',#141484,#141484,#133894,.T.); #171546=EDGE_CURVE('',#141485,#141485,#133895,.T.); #171547=EDGE_CURVE('',#141485,#141486,#59512,.T.); #171548=EDGE_CURVE('',#141486,#141486,#133896,.T.); #171549=EDGE_CURVE('',#141487,#141487,#133897,.T.); #171550=EDGE_CURVE('',#141487,#141488,#59513,.T.); #171551=EDGE_CURVE('',#141488,#141488,#133898,.T.); #171552=EDGE_CURVE('',#141489,#141489,#133899,.T.); #171553=EDGE_CURVE('',#141489,#141490,#59514,.T.); #171554=EDGE_CURVE('',#141490,#141490,#133900,.T.); #171555=EDGE_CURVE('',#141491,#141491,#133901,.T.); #171556=EDGE_CURVE('',#141491,#141492,#59515,.T.); #171557=EDGE_CURVE('',#141492,#141492,#133902,.T.); #171558=EDGE_CURVE('',#141493,#141493,#133903,.T.); #171559=EDGE_CURVE('',#141493,#141494,#59516,.T.); #171560=EDGE_CURVE('',#141494,#141494,#133904,.T.); #171561=EDGE_CURVE('',#141495,#141495,#133905,.T.); #171562=EDGE_CURVE('',#141495,#141496,#59517,.T.); #171563=EDGE_CURVE('',#141496,#141496,#133906,.T.); #171564=EDGE_CURVE('',#141497,#141497,#133907,.T.); #171565=EDGE_CURVE('',#141497,#141498,#59518,.T.); #171566=EDGE_CURVE('',#141498,#141498,#133908,.T.); #171567=EDGE_CURVE('',#141499,#141499,#133909,.T.); #171568=EDGE_CURVE('',#141499,#141500,#59519,.T.); #171569=EDGE_CURVE('',#141500,#141500,#133910,.T.); #171570=EDGE_CURVE('',#141501,#141501,#133911,.T.); #171571=EDGE_CURVE('',#141501,#141502,#59520,.T.); #171572=EDGE_CURVE('',#141502,#141502,#133912,.T.); #171573=EDGE_CURVE('',#141503,#141503,#133913,.T.); #171574=EDGE_CURVE('',#141503,#141504,#59521,.T.); #171575=EDGE_CURVE('',#141504,#141504,#133914,.T.); #171576=EDGE_CURVE('',#141505,#141505,#133915,.T.); #171577=EDGE_CURVE('',#141505,#141506,#59522,.T.); #171578=EDGE_CURVE('',#141506,#141506,#133916,.T.); #171579=EDGE_CURVE('',#141507,#141507,#133917,.T.); #171580=EDGE_CURVE('',#141507,#141508,#59523,.T.); #171581=EDGE_CURVE('',#141508,#141508,#133918,.T.); #171582=EDGE_CURVE('',#141509,#141509,#133919,.T.); #171583=EDGE_CURVE('',#141509,#141510,#59524,.T.); #171584=EDGE_CURVE('',#141510,#141510,#133920,.T.); #171585=EDGE_CURVE('',#141511,#141511,#133921,.T.); #171586=EDGE_CURVE('',#141511,#141512,#59525,.T.); #171587=EDGE_CURVE('',#141512,#141512,#133922,.T.); #171588=EDGE_CURVE('',#141513,#141513,#133923,.T.); #171589=EDGE_CURVE('',#141513,#141514,#59526,.T.); #171590=EDGE_CURVE('',#141514,#141514,#133924,.T.); #171591=EDGE_CURVE('',#141515,#141515,#133925,.T.); #171592=EDGE_CURVE('',#141515,#141516,#59527,.T.); #171593=EDGE_CURVE('',#141516,#141516,#133926,.T.); #171594=EDGE_CURVE('',#141517,#141517,#133927,.T.); #171595=EDGE_CURVE('',#141517,#141518,#59528,.T.); #171596=EDGE_CURVE('',#141518,#141518,#133928,.T.); #171597=EDGE_CURVE('',#141519,#141519,#133929,.T.); #171598=EDGE_CURVE('',#141519,#141520,#59529,.T.); #171599=EDGE_CURVE('',#141520,#141520,#133930,.T.); #171600=EDGE_CURVE('',#141521,#141521,#133931,.T.); #171601=EDGE_CURVE('',#141521,#141522,#59530,.T.); #171602=EDGE_CURVE('',#141522,#141522,#133932,.T.); #171603=EDGE_CURVE('',#141523,#141523,#133933,.T.); #171604=EDGE_CURVE('',#141523,#141524,#59531,.T.); #171605=EDGE_CURVE('',#141524,#141524,#133934,.T.); #171606=EDGE_CURVE('',#141525,#141525,#133935,.T.); #171607=EDGE_CURVE('',#141525,#141526,#59532,.T.); #171608=EDGE_CURVE('',#141526,#141526,#133936,.T.); #171609=EDGE_CURVE('',#141527,#141527,#133937,.T.); #171610=EDGE_CURVE('',#141527,#141528,#59533,.T.); #171611=EDGE_CURVE('',#141528,#141528,#133938,.T.); #171612=EDGE_CURVE('',#141529,#141529,#133939,.T.); #171613=EDGE_CURVE('',#141529,#141530,#59534,.T.); #171614=EDGE_CURVE('',#141530,#141530,#133940,.T.); #171615=EDGE_CURVE('',#141531,#141531,#133941,.T.); #171616=EDGE_CURVE('',#141531,#141532,#59535,.T.); #171617=EDGE_CURVE('',#141532,#141532,#133942,.T.); #171618=EDGE_CURVE('',#141533,#141533,#133943,.T.); #171619=EDGE_CURVE('',#141533,#141534,#59536,.T.); #171620=EDGE_CURVE('',#141534,#141534,#133944,.T.); #171621=EDGE_CURVE('',#141535,#141535,#133945,.T.); #171622=EDGE_CURVE('',#141535,#141536,#59537,.T.); #171623=EDGE_CURVE('',#141536,#141536,#133946,.T.); #171624=EDGE_CURVE('',#141537,#141537,#133947,.T.); #171625=EDGE_CURVE('',#141537,#141538,#59538,.T.); #171626=EDGE_CURVE('',#141538,#141538,#133948,.T.); #171627=EDGE_CURVE('',#141539,#141539,#133949,.T.); #171628=EDGE_CURVE('',#141539,#141540,#59539,.T.); #171629=EDGE_CURVE('',#141540,#141540,#133950,.T.); #171630=EDGE_CURVE('',#141541,#141541,#133951,.T.); #171631=EDGE_CURVE('',#141541,#141542,#59540,.T.); #171632=EDGE_CURVE('',#141542,#141542,#133952,.T.); #171633=EDGE_CURVE('',#141543,#141543,#133953,.T.); #171634=EDGE_CURVE('',#141543,#141544,#59541,.T.); #171635=EDGE_CURVE('',#141544,#141544,#133954,.T.); #171636=EDGE_CURVE('',#141545,#141545,#133955,.T.); #171637=EDGE_CURVE('',#141545,#141546,#59542,.T.); #171638=EDGE_CURVE('',#141546,#141546,#133956,.T.); #171639=EDGE_CURVE('',#141547,#141547,#133957,.T.); #171640=EDGE_CURVE('',#141547,#141548,#59543,.T.); #171641=EDGE_CURVE('',#141548,#141548,#133958,.T.); #171642=EDGE_CURVE('',#141549,#141549,#133959,.T.); #171643=EDGE_CURVE('',#141549,#141550,#59544,.T.); #171644=EDGE_CURVE('',#141550,#141550,#133960,.T.); #171645=EDGE_CURVE('',#141551,#141551,#133961,.T.); #171646=EDGE_CURVE('',#141551,#141552,#59545,.T.); #171647=EDGE_CURVE('',#141552,#141552,#133962,.T.); #171648=EDGE_CURVE('',#141553,#141553,#133963,.T.); #171649=EDGE_CURVE('',#141553,#141554,#59546,.T.); #171650=EDGE_CURVE('',#141554,#141554,#133964,.T.); #171651=EDGE_CURVE('',#141555,#141555,#133965,.T.); #171652=EDGE_CURVE('',#141555,#141556,#59547,.T.); #171653=EDGE_CURVE('',#141556,#141556,#133966,.T.); #171654=EDGE_CURVE('',#141557,#141557,#133967,.T.); #171655=EDGE_CURVE('',#141557,#141558,#59548,.T.); #171656=EDGE_CURVE('',#141558,#141558,#133968,.T.); #171657=EDGE_CURVE('',#141559,#141559,#133969,.T.); #171658=EDGE_CURVE('',#141559,#141560,#59549,.T.); #171659=EDGE_CURVE('',#141560,#141560,#133970,.T.); #171660=EDGE_CURVE('',#141561,#141561,#133971,.T.); #171661=EDGE_CURVE('',#141561,#141562,#59550,.T.); #171662=EDGE_CURVE('',#141562,#141562,#133972,.T.); #171663=EDGE_CURVE('',#141563,#141563,#133973,.T.); #171664=EDGE_CURVE('',#141563,#141564,#59551,.T.); #171665=EDGE_CURVE('',#141564,#141564,#133974,.T.); #171666=EDGE_CURVE('',#141565,#141565,#133975,.T.); #171667=EDGE_CURVE('',#141565,#141566,#59552,.T.); #171668=EDGE_CURVE('',#141566,#141566,#133976,.T.); #171669=EDGE_CURVE('',#141567,#141567,#133977,.T.); #171670=EDGE_CURVE('',#141567,#141568,#59553,.T.); #171671=EDGE_CURVE('',#141568,#141568,#133978,.T.); #171672=EDGE_CURVE('',#141569,#141569,#133979,.T.); #171673=EDGE_CURVE('',#141569,#141570,#59554,.T.); #171674=EDGE_CURVE('',#141570,#141570,#133980,.T.); #171675=EDGE_CURVE('',#141571,#141571,#133981,.T.); #171676=EDGE_CURVE('',#141571,#141572,#59555,.T.); #171677=EDGE_CURVE('',#141572,#141572,#133982,.T.); #171678=EDGE_CURVE('',#141573,#141573,#133983,.T.); #171679=EDGE_CURVE('',#141573,#141574,#59556,.T.); #171680=EDGE_CURVE('',#141574,#141574,#133984,.T.); #171681=EDGE_CURVE('',#141575,#141575,#133985,.T.); #171682=EDGE_CURVE('',#141575,#141576,#59557,.T.); #171683=EDGE_CURVE('',#141576,#141576,#133986,.T.); #171684=EDGE_CURVE('',#141577,#141577,#133987,.T.); #171685=EDGE_CURVE('',#141577,#141578,#59558,.T.); #171686=EDGE_CURVE('',#141578,#141578,#133988,.T.); #171687=EDGE_CURVE('',#141579,#141579,#133989,.T.); #171688=EDGE_CURVE('',#141579,#141580,#59559,.T.); #171689=EDGE_CURVE('',#141580,#141580,#133990,.T.); #171690=EDGE_CURVE('',#141581,#141581,#133991,.T.); #171691=EDGE_CURVE('',#141581,#141582,#59560,.T.); #171692=EDGE_CURVE('',#141582,#141582,#133992,.T.); #171693=EDGE_CURVE('',#141583,#141583,#133993,.T.); #171694=EDGE_CURVE('',#141583,#141584,#59561,.T.); #171695=EDGE_CURVE('',#141584,#141584,#133994,.T.); #171696=EDGE_CURVE('',#141585,#141585,#133995,.T.); #171697=EDGE_CURVE('',#141585,#141586,#59562,.T.); #171698=EDGE_CURVE('',#141586,#141586,#133996,.T.); #171699=EDGE_CURVE('',#141587,#141587,#133997,.T.); #171700=EDGE_CURVE('',#141587,#141588,#59563,.T.); #171701=EDGE_CURVE('',#141588,#141588,#133998,.T.); #171702=EDGE_CURVE('',#141589,#141589,#133999,.T.); #171703=EDGE_CURVE('',#141589,#141590,#59564,.T.); #171704=EDGE_CURVE('',#141590,#141590,#134000,.T.); #171705=EDGE_CURVE('',#141591,#141591,#134001,.T.); #171706=EDGE_CURVE('',#141591,#141592,#59565,.T.); #171707=EDGE_CURVE('',#141592,#141592,#134002,.T.); #171708=EDGE_CURVE('',#141593,#141593,#134003,.T.); #171709=EDGE_CURVE('',#141593,#141594,#59566,.T.); #171710=EDGE_CURVE('',#141594,#141594,#134004,.T.); #171711=EDGE_CURVE('',#141595,#141595,#134005,.T.); #171712=EDGE_CURVE('',#141595,#141596,#59567,.T.); #171713=EDGE_CURVE('',#141596,#141596,#134006,.T.); #171714=EDGE_CURVE('',#141597,#141597,#134007,.T.); #171715=EDGE_CURVE('',#141597,#141598,#59568,.T.); #171716=EDGE_CURVE('',#141598,#141598,#134008,.T.); #171717=EDGE_CURVE('',#141599,#141599,#134009,.T.); #171718=EDGE_CURVE('',#141599,#141600,#59569,.T.); #171719=EDGE_CURVE('',#141600,#141600,#134010,.T.); #171720=EDGE_CURVE('',#141601,#141601,#134011,.T.); #171721=EDGE_CURVE('',#141601,#141602,#59570,.T.); #171722=EDGE_CURVE('',#141602,#141602,#134012,.T.); #171723=EDGE_CURVE('',#141603,#141603,#134013,.T.); #171724=EDGE_CURVE('',#141603,#141604,#59571,.T.); #171725=EDGE_CURVE('',#141604,#141604,#134014,.T.); #171726=EDGE_CURVE('',#141605,#141605,#134015,.T.); #171727=EDGE_CURVE('',#141605,#141606,#59572,.T.); #171728=EDGE_CURVE('',#141606,#141606,#134016,.T.); #171729=EDGE_CURVE('',#141607,#141607,#134017,.T.); #171730=EDGE_CURVE('',#141607,#141608,#59573,.T.); #171731=EDGE_CURVE('',#141608,#141608,#134018,.T.); #171732=EDGE_CURVE('',#141609,#141609,#134019,.T.); #171733=EDGE_CURVE('',#141609,#141610,#59574,.T.); #171734=EDGE_CURVE('',#141610,#141610,#134020,.T.); #171735=EDGE_CURVE('',#141611,#141611,#134021,.T.); #171736=EDGE_CURVE('',#141611,#141612,#59575,.T.); #171737=EDGE_CURVE('',#141612,#141612,#134022,.T.); #171738=EDGE_CURVE('',#141613,#141613,#134023,.T.); #171739=EDGE_CURVE('',#141613,#141614,#59576,.T.); #171740=EDGE_CURVE('',#141614,#141614,#134024,.T.); #171741=EDGE_CURVE('',#141615,#141615,#134025,.T.); #171742=EDGE_CURVE('',#141615,#141616,#59577,.T.); #171743=EDGE_CURVE('',#141616,#141616,#134026,.T.); #171744=EDGE_CURVE('',#141617,#141617,#134027,.T.); #171745=EDGE_CURVE('',#141617,#141618,#59578,.T.); #171746=EDGE_CURVE('',#141618,#141618,#134028,.T.); #171747=EDGE_CURVE('',#141619,#141619,#134029,.T.); #171748=EDGE_CURVE('',#141619,#141620,#59579,.T.); #171749=EDGE_CURVE('',#141620,#141620,#134030,.T.); #171750=EDGE_CURVE('',#141621,#141621,#134031,.T.); #171751=EDGE_CURVE('',#141621,#141622,#59580,.T.); #171752=EDGE_CURVE('',#141622,#141622,#134032,.T.); #171753=EDGE_CURVE('',#141623,#141623,#134033,.T.); #171754=EDGE_CURVE('',#141623,#141624,#59581,.T.); #171755=EDGE_CURVE('',#141624,#141624,#134034,.T.); #171756=EDGE_CURVE('',#141625,#141625,#134035,.T.); #171757=EDGE_CURVE('',#141625,#141626,#59582,.T.); #171758=EDGE_CURVE('',#141626,#141626,#134036,.T.); #171759=EDGE_CURVE('',#141627,#141627,#134037,.T.); #171760=EDGE_CURVE('',#141627,#141628,#59583,.T.); #171761=EDGE_CURVE('',#141628,#141628,#134038,.T.); #171762=EDGE_CURVE('',#141629,#141629,#134039,.T.); #171763=EDGE_CURVE('',#141629,#141630,#59584,.T.); #171764=EDGE_CURVE('',#141630,#141630,#134040,.T.); #171765=EDGE_CURVE('',#141631,#141631,#134041,.T.); #171766=EDGE_CURVE('',#141631,#141632,#59585,.T.); #171767=EDGE_CURVE('',#141632,#141632,#134042,.T.); #171768=EDGE_CURVE('',#141633,#141633,#134043,.T.); #171769=EDGE_CURVE('',#141633,#141634,#59586,.T.); #171770=EDGE_CURVE('',#141634,#141634,#134044,.T.); #171771=EDGE_CURVE('',#141635,#141635,#134045,.T.); #171772=EDGE_CURVE('',#141635,#141636,#59587,.T.); #171773=EDGE_CURVE('',#141636,#141636,#134046,.T.); #171774=EDGE_CURVE('',#141637,#141637,#134047,.T.); #171775=EDGE_CURVE('',#141637,#141638,#59588,.T.); #171776=EDGE_CURVE('',#141638,#141638,#134048,.T.); #171777=EDGE_CURVE('',#141639,#141639,#134049,.T.); #171778=EDGE_CURVE('',#141639,#141640,#59589,.T.); #171779=EDGE_CURVE('',#141640,#141640,#134050,.T.); #171780=EDGE_CURVE('',#141641,#141641,#134051,.T.); #171781=EDGE_CURVE('',#141641,#141642,#59590,.T.); #171782=EDGE_CURVE('',#141642,#141642,#134052,.T.); #171783=EDGE_CURVE('',#141643,#141643,#134053,.T.); #171784=EDGE_CURVE('',#141643,#141644,#59591,.T.); #171785=EDGE_CURVE('',#141644,#141644,#134054,.T.); #171786=EDGE_CURVE('',#141645,#141645,#134055,.T.); #171787=EDGE_CURVE('',#141645,#141646,#59592,.T.); #171788=EDGE_CURVE('',#141646,#141646,#134056,.T.); #171789=EDGE_CURVE('',#141647,#141647,#134057,.T.); #171790=EDGE_CURVE('',#141647,#141648,#59593,.T.); #171791=EDGE_CURVE('',#141648,#141648,#134058,.T.); #171792=EDGE_CURVE('',#141649,#141649,#134059,.T.); #171793=EDGE_CURVE('',#141649,#141650,#59594,.T.); #171794=EDGE_CURVE('',#141650,#141650,#134060,.T.); #171795=EDGE_CURVE('',#141651,#141651,#134061,.T.); #171796=EDGE_CURVE('',#141651,#141652,#59595,.T.); #171797=EDGE_CURVE('',#141652,#141652,#134062,.T.); #171798=EDGE_CURVE('',#141653,#141653,#134063,.T.); #171799=EDGE_CURVE('',#141653,#141654,#59596,.T.); #171800=EDGE_CURVE('',#141654,#141654,#134064,.T.); #171801=EDGE_CURVE('',#141655,#141655,#134065,.T.); #171802=EDGE_CURVE('',#141655,#141656,#59597,.T.); #171803=EDGE_CURVE('',#141656,#141656,#134066,.T.); #171804=EDGE_CURVE('',#141657,#141657,#134067,.T.); #171805=EDGE_CURVE('',#141657,#141658,#59598,.T.); #171806=EDGE_CURVE('',#141658,#141658,#134068,.T.); #171807=EDGE_CURVE('',#141659,#141659,#134069,.T.); #171808=EDGE_CURVE('',#141659,#141660,#59599,.T.); #171809=EDGE_CURVE('',#141660,#141660,#134070,.T.); #171810=EDGE_CURVE('',#141661,#141661,#134071,.T.); #171811=EDGE_CURVE('',#141661,#141662,#59600,.T.); #171812=EDGE_CURVE('',#141662,#141662,#134072,.T.); #171813=EDGE_CURVE('',#141663,#141663,#134073,.T.); #171814=EDGE_CURVE('',#141663,#141664,#59601,.T.); #171815=EDGE_CURVE('',#141664,#141664,#134074,.T.); #171816=EDGE_CURVE('',#141665,#141665,#134075,.T.); #171817=EDGE_CURVE('',#141665,#141666,#59602,.T.); #171818=EDGE_CURVE('',#141666,#141666,#134076,.T.); #171819=EDGE_CURVE('',#141667,#141667,#134077,.T.); #171820=EDGE_CURVE('',#141667,#141668,#59603,.T.); #171821=EDGE_CURVE('',#141668,#141668,#134078,.T.); #171822=EDGE_CURVE('',#141669,#141669,#134079,.T.); #171823=EDGE_CURVE('',#141669,#141670,#59604,.T.); #171824=EDGE_CURVE('',#141670,#141670,#134080,.T.); #171825=EDGE_CURVE('',#141671,#141671,#134081,.T.); #171826=EDGE_CURVE('',#141671,#141672,#59605,.T.); #171827=EDGE_CURVE('',#141672,#141672,#134082,.T.); #171828=EDGE_CURVE('',#141673,#141673,#134083,.T.); #171829=EDGE_CURVE('',#141673,#141674,#59606,.T.); #171830=EDGE_CURVE('',#141674,#141674,#134084,.T.); #171831=EDGE_CURVE('',#141675,#141675,#134085,.T.); #171832=EDGE_CURVE('',#141675,#141676,#59607,.T.); #171833=EDGE_CURVE('',#141676,#141676,#134086,.T.); #171834=EDGE_CURVE('',#141677,#141677,#134087,.T.); #171835=EDGE_CURVE('',#141677,#141678,#59608,.T.); #171836=EDGE_CURVE('',#141678,#141678,#134088,.T.); #171837=EDGE_CURVE('',#141679,#141679,#134089,.T.); #171838=EDGE_CURVE('',#141679,#141680,#59609,.T.); #171839=EDGE_CURVE('',#141680,#141680,#134090,.T.); #171840=EDGE_CURVE('',#141681,#141681,#134091,.T.); #171841=EDGE_CURVE('',#141681,#141682,#59610,.T.); #171842=EDGE_CURVE('',#141682,#141682,#134092,.T.); #171843=EDGE_CURVE('',#141683,#141683,#134093,.T.); #171844=EDGE_CURVE('',#141683,#141684,#59611,.T.); #171845=EDGE_CURVE('',#141684,#141684,#134094,.T.); #171846=EDGE_CURVE('',#141685,#141685,#134095,.T.); #171847=EDGE_CURVE('',#141685,#141686,#59612,.T.); #171848=EDGE_CURVE('',#141686,#141686,#134096,.T.); #171849=EDGE_CURVE('',#141687,#141687,#134097,.T.); #171850=EDGE_CURVE('',#141687,#141688,#59613,.T.); #171851=EDGE_CURVE('',#141688,#141688,#134098,.T.); #171852=EDGE_CURVE('',#141689,#141689,#134099,.T.); #171853=EDGE_CURVE('',#141689,#141690,#59614,.T.); #171854=EDGE_CURVE('',#141690,#141690,#134100,.T.); #171855=EDGE_CURVE('',#141691,#141691,#134101,.T.); #171856=EDGE_CURVE('',#141691,#141692,#59615,.T.); #171857=EDGE_CURVE('',#141692,#141692,#134102,.T.); #171858=EDGE_CURVE('',#141693,#141693,#134103,.T.); #171859=EDGE_CURVE('',#141693,#141694,#59616,.T.); #171860=EDGE_CURVE('',#141694,#141694,#134104,.T.); #171861=EDGE_CURVE('',#141695,#141695,#134105,.T.); #171862=EDGE_CURVE('',#141695,#141696,#59617,.T.); #171863=EDGE_CURVE('',#141696,#141696,#134106,.T.); #171864=EDGE_CURVE('',#141697,#141697,#134107,.T.); #171865=EDGE_CURVE('',#141697,#141698,#59618,.T.); #171866=EDGE_CURVE('',#141698,#141698,#134108,.T.); #171867=EDGE_CURVE('',#141699,#141699,#134109,.T.); #171868=EDGE_CURVE('',#141699,#141700,#59619,.T.); #171869=EDGE_CURVE('',#141700,#141700,#134110,.T.); #171870=EDGE_CURVE('',#141701,#141701,#134111,.T.); #171871=EDGE_CURVE('',#141701,#141702,#59620,.T.); #171872=EDGE_CURVE('',#141702,#141702,#134112,.T.); #171873=EDGE_CURVE('',#141703,#141703,#134113,.T.); #171874=EDGE_CURVE('',#141703,#141704,#59621,.T.); #171875=EDGE_CURVE('',#141704,#141704,#134114,.T.); #171876=EDGE_CURVE('',#141705,#141705,#134115,.T.); #171877=EDGE_CURVE('',#141705,#141706,#59622,.T.); #171878=EDGE_CURVE('',#141706,#141706,#134116,.T.); #171879=EDGE_CURVE('',#141707,#141707,#134117,.T.); #171880=EDGE_CURVE('',#141707,#141708,#59623,.T.); #171881=EDGE_CURVE('',#141708,#141708,#134118,.T.); #171882=EDGE_CURVE('',#141709,#141709,#134119,.T.); #171883=EDGE_CURVE('',#141709,#141710,#59624,.T.); #171884=EDGE_CURVE('',#141710,#141710,#134120,.T.); #171885=EDGE_CURVE('',#141711,#141711,#134121,.T.); #171886=EDGE_CURVE('',#141711,#141712,#59625,.T.); #171887=EDGE_CURVE('',#141712,#141712,#134122,.T.); #171888=EDGE_CURVE('',#141713,#141713,#134123,.T.); #171889=EDGE_CURVE('',#141713,#141714,#59626,.T.); #171890=EDGE_CURVE('',#141714,#141714,#134124,.T.); #171891=EDGE_CURVE('',#141715,#141715,#134125,.T.); #171892=EDGE_CURVE('',#141715,#141716,#59627,.T.); #171893=EDGE_CURVE('',#141716,#141716,#134126,.T.); #171894=EDGE_CURVE('',#141717,#141717,#134127,.T.); #171895=EDGE_CURVE('',#141717,#141718,#59628,.T.); #171896=EDGE_CURVE('',#141718,#141718,#134128,.T.); #171897=EDGE_CURVE('',#141719,#141719,#134129,.T.); #171898=EDGE_CURVE('',#141719,#141720,#59629,.T.); #171899=EDGE_CURVE('',#141720,#141720,#134130,.T.); #171900=EDGE_CURVE('',#141721,#141721,#134131,.T.); #171901=EDGE_CURVE('',#141721,#141722,#59630,.T.); #171902=EDGE_CURVE('',#141722,#141722,#134132,.T.); #171903=EDGE_CURVE('',#141723,#141723,#134133,.T.); #171904=EDGE_CURVE('',#141723,#141724,#59631,.T.); #171905=EDGE_CURVE('',#141724,#141724,#134134,.T.); #171906=EDGE_CURVE('',#141725,#141725,#134135,.T.); #171907=EDGE_CURVE('',#141725,#141726,#59632,.T.); #171908=EDGE_CURVE('',#141726,#141726,#134136,.T.); #171909=EDGE_CURVE('',#141727,#141727,#134137,.T.); #171910=EDGE_CURVE('',#141727,#141728,#59633,.T.); #171911=EDGE_CURVE('',#141728,#141728,#134138,.T.); #171912=EDGE_CURVE('',#141729,#141729,#134139,.T.); #171913=EDGE_CURVE('',#141729,#141730,#59634,.T.); #171914=EDGE_CURVE('',#141730,#141730,#134140,.T.); #171915=EDGE_CURVE('',#141731,#141731,#134141,.T.); #171916=EDGE_CURVE('',#141731,#141732,#59635,.T.); #171917=EDGE_CURVE('',#141732,#141732,#134142,.T.); #171918=EDGE_CURVE('',#141733,#141733,#134143,.T.); #171919=EDGE_CURVE('',#141733,#141734,#59636,.T.); #171920=EDGE_CURVE('',#141734,#141734,#134144,.T.); #171921=EDGE_CURVE('',#141735,#141735,#134145,.T.); #171922=EDGE_CURVE('',#141735,#141736,#59637,.T.); #171923=EDGE_CURVE('',#141736,#141736,#134146,.T.); #171924=EDGE_CURVE('',#141737,#141737,#134147,.T.); #171925=EDGE_CURVE('',#141737,#141738,#59638,.T.); #171926=EDGE_CURVE('',#141738,#141738,#134148,.T.); #171927=EDGE_CURVE('',#141739,#141739,#134149,.T.); #171928=EDGE_CURVE('',#141739,#141740,#59639,.T.); #171929=EDGE_CURVE('',#141740,#141740,#134150,.T.); #171930=EDGE_CURVE('',#141741,#141741,#134151,.T.); #171931=EDGE_CURVE('',#141741,#141742,#59640,.T.); #171932=EDGE_CURVE('',#141742,#141742,#134152,.T.); #171933=EDGE_CURVE('',#141743,#141743,#134153,.T.); #171934=EDGE_CURVE('',#141743,#141744,#59641,.T.); #171935=EDGE_CURVE('',#141744,#141744,#134154,.T.); #171936=EDGE_CURVE('',#141745,#141745,#134155,.T.); #171937=EDGE_CURVE('',#141745,#141746,#59642,.T.); #171938=EDGE_CURVE('',#141746,#141746,#134156,.T.); #171939=EDGE_CURVE('',#141747,#141747,#134157,.T.); #171940=EDGE_CURVE('',#141747,#141748,#59643,.T.); #171941=EDGE_CURVE('',#141748,#141748,#134158,.T.); #171942=EDGE_CURVE('',#141749,#141749,#134159,.T.); #171943=EDGE_CURVE('',#141749,#141750,#59644,.T.); #171944=EDGE_CURVE('',#141750,#141750,#134160,.T.); #171945=EDGE_CURVE('',#141751,#141751,#134161,.T.); #171946=EDGE_CURVE('',#141751,#141752,#59645,.T.); #171947=EDGE_CURVE('',#141752,#141752,#134162,.T.); #171948=EDGE_CURVE('',#141753,#141753,#134163,.T.); #171949=EDGE_CURVE('',#141753,#141754,#59646,.T.); #171950=EDGE_CURVE('',#141754,#141754,#134164,.T.); #171951=EDGE_CURVE('',#141755,#141755,#134165,.T.); #171952=EDGE_CURVE('',#141755,#141756,#59647,.T.); #171953=EDGE_CURVE('',#141756,#141756,#134166,.T.); #171954=EDGE_CURVE('',#141757,#141757,#134167,.T.); #171955=EDGE_CURVE('',#141757,#141758,#59648,.T.); #171956=EDGE_CURVE('',#141758,#141758,#134168,.T.); #171957=EDGE_CURVE('',#141759,#141759,#134169,.T.); #171958=EDGE_CURVE('',#141759,#141760,#59649,.T.); #171959=EDGE_CURVE('',#141760,#141760,#134170,.T.); #171960=EDGE_CURVE('',#141761,#141761,#134171,.T.); #171961=EDGE_CURVE('',#141761,#141762,#59650,.T.); #171962=EDGE_CURVE('',#141762,#141762,#134172,.T.); #171963=EDGE_CURVE('',#141763,#141763,#134173,.T.); #171964=EDGE_CURVE('',#141763,#141764,#59651,.T.); #171965=EDGE_CURVE('',#141764,#141764,#134174,.T.); #171966=EDGE_CURVE('',#141765,#141765,#134175,.T.); #171967=EDGE_CURVE('',#141765,#141766,#59652,.T.); #171968=EDGE_CURVE('',#141766,#141766,#134176,.T.); #171969=EDGE_CURVE('',#141767,#141767,#134177,.T.); #171970=EDGE_CURVE('',#141767,#141768,#59653,.T.); #171971=EDGE_CURVE('',#141768,#141768,#134178,.T.); #171972=EDGE_CURVE('',#141769,#141769,#134179,.T.); #171973=EDGE_CURVE('',#141769,#141770,#59654,.T.); #171974=EDGE_CURVE('',#141770,#141770,#134180,.T.); #171975=EDGE_CURVE('',#141771,#141771,#134181,.T.); #171976=EDGE_CURVE('',#141771,#141772,#59655,.T.); #171977=EDGE_CURVE('',#141772,#141772,#134182,.T.); #171978=EDGE_CURVE('',#141773,#141773,#134183,.T.); #171979=EDGE_CURVE('',#141773,#141774,#59656,.T.); #171980=EDGE_CURVE('',#141774,#141774,#134184,.T.); #171981=EDGE_CURVE('',#141775,#141775,#134185,.T.); #171982=EDGE_CURVE('',#141775,#141776,#59657,.T.); #171983=EDGE_CURVE('',#141776,#141776,#134186,.T.); #171984=EDGE_CURVE('',#141777,#141777,#134187,.T.); #171985=EDGE_CURVE('',#141777,#141778,#59658,.T.); #171986=EDGE_CURVE('',#141778,#141778,#134188,.T.); #171987=EDGE_CURVE('',#141779,#141779,#134189,.T.); #171988=EDGE_CURVE('',#141779,#141780,#59659,.T.); #171989=EDGE_CURVE('',#141780,#141780,#134190,.T.); #171990=EDGE_CURVE('',#141781,#141781,#134191,.T.); #171991=EDGE_CURVE('',#141781,#141782,#59660,.T.); #171992=EDGE_CURVE('',#141782,#141782,#134192,.T.); #171993=EDGE_CURVE('',#141783,#141783,#134193,.T.); #171994=EDGE_CURVE('',#141783,#141784,#59661,.T.); #171995=EDGE_CURVE('',#141784,#141784,#134194,.T.); #171996=EDGE_CURVE('',#141785,#141785,#134195,.T.); #171997=EDGE_CURVE('',#141785,#141786,#59662,.T.); #171998=EDGE_CURVE('',#141786,#141786,#134196,.T.); #171999=EDGE_CURVE('',#141787,#141788,#59663,.T.); #172000=EDGE_CURVE('',#141788,#141789,#59664,.T.); #172001=EDGE_CURVE('',#141790,#141789,#59665,.T.); #172002=EDGE_CURVE('',#141787,#141790,#59666,.T.); #172003=EDGE_CURVE('',#141787,#141791,#134197,.T.); #172004=EDGE_CURVE('',#141792,#141790,#134198,.T.); #172005=EDGE_CURVE('',#141791,#141792,#59667,.T.); #172006=EDGE_CURVE('',#141793,#141791,#59668,.T.); #172007=EDGE_CURVE('',#141794,#141792,#59669,.T.); #172008=EDGE_CURVE('',#141793,#141794,#59670,.T.); #172009=EDGE_CURVE('',#141793,#141788,#134199,.T.); #172010=EDGE_CURVE('',#141789,#141794,#134200,.T.); #172011=EDGE_CURVE('',#141795,#141796,#59671,.T.); #172012=EDGE_CURVE('',#141795,#141797,#59672,.T.); #172013=EDGE_CURVE('',#141798,#141797,#59673,.T.); #172014=EDGE_CURVE('',#141796,#141798,#59674,.T.); #172015=EDGE_CURVE('',#141799,#141796,#134201,.T.); #172016=EDGE_CURVE('',#141800,#141798,#134202,.T.); #172017=EDGE_CURVE('',#141799,#141800,#59675,.T.); #172018=EDGE_CURVE('',#141799,#141801,#59676,.T.); #172019=EDGE_CURVE('',#141802,#141800,#59677,.T.); #172020=EDGE_CURVE('',#141801,#141802,#59678,.T.); #172021=EDGE_CURVE('',#141795,#141801,#134203,.T.); #172022=EDGE_CURVE('',#141797,#141802,#134204,.T.); #172023=EDGE_CURVE('',#141803,#141803,#134205,.T.); #172024=EDGE_CURVE('',#141803,#141804,#59679,.T.); #172025=EDGE_CURVE('',#141804,#141804,#134206,.T.); #172026=EDGE_CURVE('',#141805,#141805,#134207,.T.); #172027=EDGE_CURVE('',#141805,#141806,#59680,.T.); #172028=EDGE_CURVE('',#141806,#141806,#134208,.T.); #172029=EDGE_CURVE('',#141807,#141808,#59681,.T.); #172030=EDGE_CURVE('',#141808,#141809,#59682,.T.); #172031=EDGE_CURVE('',#141810,#141809,#59683,.T.); #172032=EDGE_CURVE('',#141807,#141810,#59684,.T.); #172033=EDGE_CURVE('',#141807,#141811,#134209,.T.); #172034=EDGE_CURVE('',#141812,#141810,#134210,.T.); #172035=EDGE_CURVE('',#141811,#141812,#59685,.T.); #172036=EDGE_CURVE('',#141813,#141811,#59686,.T.); #172037=EDGE_CURVE('',#141814,#141812,#59687,.T.); #172038=EDGE_CURVE('',#141813,#141814,#59688,.T.); #172039=EDGE_CURVE('',#141813,#141808,#134211,.T.); #172040=EDGE_CURVE('',#141809,#141814,#134212,.T.); #172041=EDGE_CURVE('',#141815,#141816,#59689,.T.); #172042=EDGE_CURVE('',#141815,#141817,#59690,.T.); #172043=EDGE_CURVE('',#141818,#141817,#59691,.T.); #172044=EDGE_CURVE('',#141816,#141818,#59692,.T.); #172045=EDGE_CURVE('',#141819,#141816,#134213,.T.); #172046=EDGE_CURVE('',#141820,#141818,#134214,.T.); #172047=EDGE_CURVE('',#141819,#141820,#59693,.T.); #172048=EDGE_CURVE('',#141819,#141821,#59694,.T.); #172049=EDGE_CURVE('',#141822,#141820,#59695,.T.); #172050=EDGE_CURVE('',#141821,#141822,#59696,.T.); #172051=EDGE_CURVE('',#141815,#141821,#134215,.T.); #172052=EDGE_CURVE('',#141817,#141822,#134216,.T.); #172053=EDGE_CURVE('',#141823,#141823,#134217,.T.); #172054=EDGE_CURVE('',#141823,#141824,#59697,.T.); #172055=EDGE_CURVE('',#141824,#141824,#134218,.T.); #172056=EDGE_CURVE('',#141825,#141825,#134219,.T.); #172057=EDGE_CURVE('',#141825,#141826,#59698,.T.); #172058=EDGE_CURVE('',#141826,#141826,#134220,.T.); #172059=EDGE_CURVE('',#141827,#141828,#59699,.T.); #172060=EDGE_CURVE('',#141828,#141829,#59700,.T.); #172061=EDGE_CURVE('',#141830,#141829,#59701,.T.); #172062=EDGE_CURVE('',#141827,#141830,#59702,.T.); #172063=EDGE_CURVE('',#141827,#141831,#134221,.T.); #172064=EDGE_CURVE('',#141832,#141830,#134222,.T.); #172065=EDGE_CURVE('',#141831,#141832,#59703,.T.); #172066=EDGE_CURVE('',#141833,#141831,#59704,.T.); #172067=EDGE_CURVE('',#141834,#141832,#59705,.T.); #172068=EDGE_CURVE('',#141833,#141834,#59706,.T.); #172069=EDGE_CURVE('',#141833,#141828,#134223,.T.); #172070=EDGE_CURVE('',#141829,#141834,#134224,.T.); #172071=EDGE_CURVE('',#141835,#141836,#59707,.T.); #172072=EDGE_CURVE('',#141835,#141837,#59708,.T.); #172073=EDGE_CURVE('',#141838,#141837,#59709,.T.); #172074=EDGE_CURVE('',#141836,#141838,#59710,.T.); #172075=EDGE_CURVE('',#141839,#141836,#134225,.T.); #172076=EDGE_CURVE('',#141840,#141838,#134226,.T.); #172077=EDGE_CURVE('',#141839,#141840,#59711,.T.); #172078=EDGE_CURVE('',#141839,#141841,#59712,.T.); #172079=EDGE_CURVE('',#141842,#141840,#59713,.T.); #172080=EDGE_CURVE('',#141841,#141842,#59714,.T.); #172081=EDGE_CURVE('',#141835,#141841,#134227,.T.); #172082=EDGE_CURVE('',#141837,#141842,#134228,.T.); #172083=EDGE_CURVE('',#141843,#141843,#134229,.T.); #172084=EDGE_CURVE('',#141843,#141844,#59715,.T.); #172085=EDGE_CURVE('',#141844,#141844,#134230,.T.); #172086=EDGE_CURVE('',#141845,#141845,#134231,.T.); #172087=EDGE_CURVE('',#141845,#141846,#59716,.T.); #172088=EDGE_CURVE('',#141846,#141846,#134232,.T.); #172089=EDGE_CURVE('',#141847,#141847,#134233,.T.); #172090=EDGE_CURVE('',#141847,#141848,#59717,.T.); #172091=EDGE_CURVE('',#141848,#141848,#134234,.T.); #172092=EDGE_CURVE('',#141849,#141849,#134235,.T.); #172093=EDGE_CURVE('',#141849,#141850,#59718,.T.); #172094=EDGE_CURVE('',#141850,#141850,#134236,.T.); #172095=EDGE_CURVE('',#141851,#141851,#134237,.T.); #172096=EDGE_CURVE('',#141851,#141852,#59719,.T.); #172097=EDGE_CURVE('',#141852,#141852,#134238,.T.); #172098=EDGE_CURVE('',#141853,#141853,#134239,.T.); #172099=EDGE_CURVE('',#141853,#141854,#59720,.T.); #172100=EDGE_CURVE('',#141854,#141854,#134240,.T.); #172101=EDGE_CURVE('',#141855,#141855,#134241,.T.); #172102=EDGE_CURVE('',#141855,#141856,#59721,.T.); #172103=EDGE_CURVE('',#141856,#141856,#134242,.T.); #172104=EDGE_CURVE('',#141857,#141857,#134243,.T.); #172105=EDGE_CURVE('',#141857,#141858,#59722,.T.); #172106=EDGE_CURVE('',#141858,#141858,#134244,.T.); #172107=EDGE_CURVE('',#141859,#141859,#134245,.T.); #172108=EDGE_CURVE('',#141859,#141860,#59723,.T.); #172109=EDGE_CURVE('',#141860,#141860,#134246,.T.); #172110=EDGE_CURVE('',#141861,#141861,#134247,.T.); #172111=EDGE_CURVE('',#141861,#141862,#59724,.T.); #172112=EDGE_CURVE('',#141862,#141862,#134248,.T.); #172113=EDGE_CURVE('',#141863,#141863,#134249,.T.); #172114=EDGE_CURVE('',#141863,#141864,#59725,.T.); #172115=EDGE_CURVE('',#141864,#141864,#134250,.T.); #172116=EDGE_CURVE('',#141865,#141865,#134251,.T.); #172117=EDGE_CURVE('',#141865,#141866,#59726,.T.); #172118=EDGE_CURVE('',#141866,#141866,#134252,.T.); #172119=EDGE_CURVE('',#141867,#141867,#134253,.T.); #172120=EDGE_CURVE('',#141867,#141868,#59727,.T.); #172121=EDGE_CURVE('',#141868,#141868,#134254,.T.); #172122=EDGE_CURVE('',#141869,#141869,#134255,.T.); #172123=EDGE_CURVE('',#141869,#141870,#59728,.T.); #172124=EDGE_CURVE('',#141870,#141870,#134256,.T.); #172125=EDGE_CURVE('',#141871,#141871,#134257,.T.); #172126=EDGE_CURVE('',#141871,#141872,#59729,.T.); #172127=EDGE_CURVE('',#141872,#141872,#134258,.T.); #172128=EDGE_CURVE('',#141873,#141873,#134259,.T.); #172129=EDGE_CURVE('',#141873,#141874,#59730,.T.); #172130=EDGE_CURVE('',#141874,#141874,#134260,.T.); #172131=EDGE_CURVE('',#141875,#141875,#134261,.T.); #172132=EDGE_CURVE('',#141875,#141876,#59731,.T.); #172133=EDGE_CURVE('',#141876,#141876,#134262,.T.); #172134=EDGE_CURVE('',#141877,#141877,#134263,.T.); #172135=EDGE_CURVE('',#141877,#141878,#59732,.T.); #172136=EDGE_CURVE('',#141878,#141878,#134264,.T.); #172137=EDGE_CURVE('',#141879,#141879,#134265,.T.); #172138=EDGE_CURVE('',#141879,#141880,#59733,.T.); #172139=EDGE_CURVE('',#141880,#141880,#134266,.T.); #172140=EDGE_CURVE('',#141881,#141881,#134267,.T.); #172141=EDGE_CURVE('',#141881,#141882,#59734,.T.); #172142=EDGE_CURVE('',#141882,#141882,#134268,.T.); #172143=EDGE_CURVE('',#141883,#141884,#59735,.T.); #172144=EDGE_CURVE('',#141884,#141885,#59736,.T.); #172145=EDGE_CURVE('',#141886,#141885,#59737,.T.); #172146=EDGE_CURVE('',#141883,#141886,#59738,.T.); #172147=EDGE_CURVE('',#141883,#141887,#134269,.T.); #172148=EDGE_CURVE('',#141888,#141886,#134270,.T.); #172149=EDGE_CURVE('',#141887,#141888,#59739,.T.); #172150=EDGE_CURVE('',#141889,#141887,#59740,.T.); #172151=EDGE_CURVE('',#141890,#141888,#59741,.T.); #172152=EDGE_CURVE('',#141889,#141890,#59742,.T.); #172153=EDGE_CURVE('',#141889,#141884,#134271,.T.); #172154=EDGE_CURVE('',#141885,#141890,#134272,.T.); #172155=EDGE_CURVE('',#141891,#141892,#59743,.T.); #172156=EDGE_CURVE('',#141891,#141893,#59744,.T.); #172157=EDGE_CURVE('',#141894,#141893,#59745,.T.); #172158=EDGE_CURVE('',#141892,#141894,#59746,.T.); #172159=EDGE_CURVE('',#141895,#141892,#134273,.T.); #172160=EDGE_CURVE('',#141896,#141894,#134274,.T.); #172161=EDGE_CURVE('',#141895,#141896,#59747,.T.); #172162=EDGE_CURVE('',#141895,#141897,#59748,.T.); #172163=EDGE_CURVE('',#141898,#141896,#59749,.T.); #172164=EDGE_CURVE('',#141897,#141898,#59750,.T.); #172165=EDGE_CURVE('',#141891,#141897,#134275,.T.); #172166=EDGE_CURVE('',#141893,#141898,#134276,.T.); #172167=EDGE_CURVE('',#141899,#141899,#134277,.T.); #172168=EDGE_CURVE('',#141899,#141900,#59751,.T.); #172169=EDGE_CURVE('',#141900,#141900,#134278,.T.); #172170=EDGE_CURVE('',#141901,#141901,#134279,.T.); #172171=EDGE_CURVE('',#141901,#141902,#59752,.T.); #172172=EDGE_CURVE('',#141902,#141902,#134280,.T.); #172173=EDGE_CURVE('',#141903,#141903,#134281,.T.); #172174=EDGE_CURVE('',#141903,#141904,#59753,.T.); #172175=EDGE_CURVE('',#141904,#141904,#134282,.T.); #172176=EDGE_CURVE('',#141905,#141905,#134283,.T.); #172177=EDGE_CURVE('',#141905,#141906,#59754,.T.); #172178=EDGE_CURVE('',#141906,#141906,#134284,.T.); #172179=EDGE_CURVE('',#141907,#141907,#134285,.T.); #172180=EDGE_CURVE('',#141907,#141908,#59755,.T.); #172181=EDGE_CURVE('',#141908,#141908,#134286,.T.); #172182=EDGE_CURVE('',#141909,#141909,#134287,.T.); #172183=EDGE_CURVE('',#141909,#141910,#59756,.T.); #172184=EDGE_CURVE('',#141910,#141910,#134288,.T.); #172185=EDGE_CURVE('',#141911,#141912,#59757,.T.); #172186=EDGE_CURVE('',#141912,#141913,#59758,.T.); #172187=EDGE_CURVE('',#141914,#141913,#59759,.T.); #172188=EDGE_CURVE('',#141911,#141914,#59760,.T.); #172189=EDGE_CURVE('',#141911,#141915,#134289,.T.); #172190=EDGE_CURVE('',#141916,#141914,#134290,.T.); #172191=EDGE_CURVE('',#141915,#141916,#59761,.T.); #172192=EDGE_CURVE('',#141917,#141915,#59762,.T.); #172193=EDGE_CURVE('',#141918,#141916,#59763,.T.); #172194=EDGE_CURVE('',#141917,#141918,#59764,.T.); #172195=EDGE_CURVE('',#141917,#141912,#134291,.T.); #172196=EDGE_CURVE('',#141913,#141918,#134292,.T.); #172197=EDGE_CURVE('',#141919,#141920,#59765,.T.); #172198=EDGE_CURVE('',#141919,#141921,#59766,.T.); #172199=EDGE_CURVE('',#141922,#141921,#59767,.T.); #172200=EDGE_CURVE('',#141920,#141922,#59768,.T.); #172201=EDGE_CURVE('',#141923,#141920,#134293,.T.); #172202=EDGE_CURVE('',#141924,#141922,#134294,.T.); #172203=EDGE_CURVE('',#141923,#141924,#59769,.T.); #172204=EDGE_CURVE('',#141923,#141925,#59770,.T.); #172205=EDGE_CURVE('',#141926,#141924,#59771,.T.); #172206=EDGE_CURVE('',#141925,#141926,#59772,.T.); #172207=EDGE_CURVE('',#141919,#141925,#134295,.T.); #172208=EDGE_CURVE('',#141921,#141926,#134296,.T.); #172209=EDGE_CURVE('',#141927,#141927,#134297,.T.); #172210=EDGE_CURVE('',#141927,#141928,#59773,.T.); #172211=EDGE_CURVE('',#141928,#141928,#134298,.T.); #172212=EDGE_CURVE('',#141929,#141929,#134299,.T.); #172213=EDGE_CURVE('',#141929,#141930,#59774,.T.); #172214=EDGE_CURVE('',#141930,#141930,#134300,.T.); #172215=EDGE_CURVE('',#141931,#141932,#59775,.T.); #172216=EDGE_CURVE('',#141932,#141933,#59776,.T.); #172217=EDGE_CURVE('',#141934,#141933,#59777,.T.); #172218=EDGE_CURVE('',#141931,#141934,#59778,.T.); #172219=EDGE_CURVE('',#141931,#141935,#134301,.T.); #172220=EDGE_CURVE('',#141936,#141934,#134302,.T.); #172221=EDGE_CURVE('',#141935,#141936,#59779,.T.); #172222=EDGE_CURVE('',#141937,#141935,#59780,.T.); #172223=EDGE_CURVE('',#141938,#141936,#59781,.T.); #172224=EDGE_CURVE('',#141937,#141938,#59782,.T.); #172225=EDGE_CURVE('',#141937,#141932,#134303,.T.); #172226=EDGE_CURVE('',#141933,#141938,#134304,.T.); #172227=EDGE_CURVE('',#141939,#141940,#59783,.T.); #172228=EDGE_CURVE('',#141939,#141941,#59784,.T.); #172229=EDGE_CURVE('',#141942,#141941,#59785,.T.); #172230=EDGE_CURVE('',#141940,#141942,#59786,.T.); #172231=EDGE_CURVE('',#141943,#141940,#134305,.T.); #172232=EDGE_CURVE('',#141944,#141942,#134306,.T.); #172233=EDGE_CURVE('',#141943,#141944,#59787,.T.); #172234=EDGE_CURVE('',#141943,#141945,#59788,.T.); #172235=EDGE_CURVE('',#141946,#141944,#59789,.T.); #172236=EDGE_CURVE('',#141945,#141946,#59790,.T.); #172237=EDGE_CURVE('',#141939,#141945,#134307,.T.); #172238=EDGE_CURVE('',#141941,#141946,#134308,.T.); #172239=EDGE_CURVE('',#141947,#141947,#134309,.T.); #172240=EDGE_CURVE('',#141947,#141948,#59791,.T.); #172241=EDGE_CURVE('',#141948,#141948,#134310,.T.); #172242=EDGE_CURVE('',#141949,#141949,#134311,.T.); #172243=EDGE_CURVE('',#141949,#141950,#59792,.T.); #172244=EDGE_CURVE('',#141950,#141950,#134312,.T.); #172245=EDGE_CURVE('',#141951,#141951,#134313,.T.); #172246=EDGE_CURVE('',#141951,#141952,#59793,.T.); #172247=EDGE_CURVE('',#141952,#141952,#134314,.T.); #172248=EDGE_CURVE('',#141953,#141953,#134315,.T.); #172249=EDGE_CURVE('',#141953,#141954,#59794,.T.); #172250=EDGE_CURVE('',#141954,#141954,#134316,.T.); #172251=EDGE_CURVE('',#141955,#141955,#134317,.T.); #172252=EDGE_CURVE('',#141955,#141956,#59795,.T.); #172253=EDGE_CURVE('',#141956,#141956,#134318,.T.); #172254=EDGE_CURVE('',#141957,#141957,#134319,.T.); #172255=EDGE_CURVE('',#141957,#141958,#59796,.T.); #172256=EDGE_CURVE('',#141958,#141958,#134320,.T.); #172257=EDGE_CURVE('',#141959,#141959,#134321,.T.); #172258=EDGE_CURVE('',#141959,#141960,#59797,.T.); #172259=EDGE_CURVE('',#141960,#141960,#134322,.T.); #172260=EDGE_CURVE('',#141961,#141961,#134323,.T.); #172261=EDGE_CURVE('',#141961,#141962,#59798,.T.); #172262=EDGE_CURVE('',#141962,#141962,#134324,.T.); #172263=EDGE_CURVE('',#141963,#141963,#134325,.T.); #172264=EDGE_CURVE('',#141963,#141964,#59799,.T.); #172265=EDGE_CURVE('',#141964,#141964,#134326,.T.); #172266=EDGE_CURVE('',#141965,#141965,#134327,.T.); #172267=EDGE_CURVE('',#141965,#141966,#59800,.T.); #172268=EDGE_CURVE('',#141966,#141966,#134328,.T.); #172269=EDGE_CURVE('',#141967,#141967,#134329,.T.); #172270=EDGE_CURVE('',#141967,#141968,#59801,.T.); #172271=EDGE_CURVE('',#141968,#141968,#134330,.T.); #172272=EDGE_CURVE('',#141969,#141969,#134331,.T.); #172273=EDGE_CURVE('',#141969,#141970,#59802,.T.); #172274=EDGE_CURVE('',#141970,#141970,#134332,.T.); #172275=EDGE_CURVE('',#141971,#141971,#134333,.T.); #172276=EDGE_CURVE('',#141971,#141972,#59803,.T.); #172277=EDGE_CURVE('',#141972,#141972,#134334,.T.); #172278=EDGE_CURVE('',#141973,#141973,#134335,.T.); #172279=EDGE_CURVE('',#141973,#141974,#59804,.T.); #172280=EDGE_CURVE('',#141974,#141974,#134336,.T.); #172281=EDGE_CURVE('',#141975,#141975,#134337,.T.); #172282=EDGE_CURVE('',#141975,#141976,#59805,.T.); #172283=EDGE_CURVE('',#141976,#141976,#134338,.T.); #172284=EDGE_CURVE('',#141977,#141977,#134339,.T.); #172285=EDGE_CURVE('',#141977,#141978,#59806,.T.); #172286=EDGE_CURVE('',#141978,#141978,#134340,.T.); #172287=EDGE_CURVE('',#141979,#141979,#134341,.T.); #172288=EDGE_CURVE('',#141979,#141980,#59807,.T.); #172289=EDGE_CURVE('',#141980,#141980,#134342,.T.); #172290=EDGE_CURVE('',#141981,#141981,#134343,.T.); #172291=EDGE_CURVE('',#141981,#141982,#59808,.T.); #172292=EDGE_CURVE('',#141982,#141982,#134344,.T.); #172293=EDGE_CURVE('',#141983,#141983,#134345,.T.); #172294=EDGE_CURVE('',#141983,#141984,#59809,.T.); #172295=EDGE_CURVE('',#141984,#141984,#134346,.T.); #172296=EDGE_CURVE('',#141985,#141985,#134347,.T.); #172297=EDGE_CURVE('',#141985,#141986,#59810,.T.); #172298=EDGE_CURVE('',#141986,#141986,#134348,.T.); #172299=EDGE_CURVE('',#141987,#141987,#134349,.T.); #172300=EDGE_CURVE('',#141987,#141988,#59811,.T.); #172301=EDGE_CURVE('',#141988,#141988,#134350,.T.); #172302=EDGE_CURVE('',#141989,#141989,#134351,.T.); #172303=EDGE_CURVE('',#141989,#141990,#59812,.T.); #172304=EDGE_CURVE('',#141990,#141990,#134352,.T.); #172305=EDGE_CURVE('',#141991,#141991,#134353,.T.); #172306=EDGE_CURVE('',#141991,#141992,#59813,.T.); #172307=EDGE_CURVE('',#141992,#141992,#134354,.T.); #172308=EDGE_CURVE('',#141993,#141993,#134355,.T.); #172309=EDGE_CURVE('',#141993,#141994,#59814,.T.); #172310=EDGE_CURVE('',#141994,#141994,#134356,.T.); #172311=EDGE_CURVE('',#141995,#141995,#134357,.T.); #172312=EDGE_CURVE('',#141995,#141996,#59815,.T.); #172313=EDGE_CURVE('',#141996,#141996,#134358,.T.); #172314=EDGE_CURVE('',#141997,#141997,#134359,.T.); #172315=EDGE_CURVE('',#141997,#141998,#59816,.T.); #172316=EDGE_CURVE('',#141998,#141998,#134360,.T.); #172317=EDGE_CURVE('',#141999,#141999,#134361,.T.); #172318=EDGE_CURVE('',#141999,#142000,#59817,.T.); #172319=EDGE_CURVE('',#142000,#142000,#134362,.T.); #172320=EDGE_CURVE('',#142001,#142001,#134363,.T.); #172321=EDGE_CURVE('',#142001,#142002,#59818,.T.); #172322=EDGE_CURVE('',#142002,#142002,#134364,.T.); #172323=EDGE_CURVE('',#142003,#142003,#134365,.T.); #172324=EDGE_CURVE('',#142003,#142004,#59819,.T.); #172325=EDGE_CURVE('',#142004,#142004,#134366,.T.); #172326=EDGE_CURVE('',#142005,#142005,#134367,.T.); #172327=EDGE_CURVE('',#142005,#142006,#59820,.T.); #172328=EDGE_CURVE('',#142006,#142006,#134368,.T.); #172329=EDGE_CURVE('',#142007,#142007,#134369,.T.); #172330=EDGE_CURVE('',#142007,#142008,#59821,.T.); #172331=EDGE_CURVE('',#142008,#142008,#134370,.T.); #172332=EDGE_CURVE('',#142009,#142009,#134371,.T.); #172333=EDGE_CURVE('',#142009,#142010,#59822,.T.); #172334=EDGE_CURVE('',#142010,#142010,#134372,.T.); #172335=EDGE_CURVE('',#142011,#142011,#134373,.T.); #172336=EDGE_CURVE('',#142011,#142012,#59823,.T.); #172337=EDGE_CURVE('',#142012,#142012,#134374,.T.); #172338=EDGE_CURVE('',#142013,#142013,#134375,.T.); #172339=EDGE_CURVE('',#142013,#142014,#59824,.T.); #172340=EDGE_CURVE('',#142014,#142014,#134376,.T.); #172341=EDGE_CURVE('',#142015,#142015,#134377,.T.); #172342=EDGE_CURVE('',#142015,#142016,#59825,.T.); #172343=EDGE_CURVE('',#142016,#142016,#134378,.T.); #172344=EDGE_CURVE('',#142017,#142017,#134379,.T.); #172345=EDGE_CURVE('',#142017,#142018,#59826,.T.); #172346=EDGE_CURVE('',#142018,#142018,#134380,.T.); #172347=EDGE_CURVE('',#142019,#142019,#134381,.T.); #172348=EDGE_CURVE('',#142019,#142020,#59827,.T.); #172349=EDGE_CURVE('',#142020,#142020,#134382,.T.); #172350=EDGE_CURVE('',#142021,#142021,#134383,.T.); #172351=EDGE_CURVE('',#142021,#142022,#59828,.T.); #172352=EDGE_CURVE('',#142022,#142022,#134384,.T.); #172353=EDGE_CURVE('',#142023,#142023,#134385,.T.); #172354=EDGE_CURVE('',#142023,#142024,#59829,.T.); #172355=EDGE_CURVE('',#142024,#142024,#134386,.T.); #172356=EDGE_CURVE('',#142025,#142025,#134387,.T.); #172357=EDGE_CURVE('',#142025,#142026,#59830,.T.); #172358=EDGE_CURVE('',#142026,#142026,#134388,.T.); #172359=EDGE_CURVE('',#142027,#142027,#134389,.T.); #172360=EDGE_CURVE('',#142027,#142028,#59831,.T.); #172361=EDGE_CURVE('',#142028,#142028,#134390,.T.); #172362=EDGE_CURVE('',#142029,#142029,#134391,.T.); #172363=EDGE_CURVE('',#142029,#142030,#59832,.T.); #172364=EDGE_CURVE('',#142030,#142030,#134392,.T.); #172365=EDGE_CURVE('',#142031,#142031,#134393,.T.); #172366=EDGE_CURVE('',#142031,#142032,#59833,.T.); #172367=EDGE_CURVE('',#142032,#142032,#134394,.T.); #172368=EDGE_CURVE('',#142033,#142033,#134395,.T.); #172369=EDGE_CURVE('',#142033,#142034,#59834,.T.); #172370=EDGE_CURVE('',#142034,#142034,#134396,.T.); #172371=EDGE_CURVE('',#142035,#142035,#134397,.T.); #172372=EDGE_CURVE('',#142035,#142036,#59835,.T.); #172373=EDGE_CURVE('',#142036,#142036,#134398,.T.); #172374=EDGE_CURVE('',#142037,#142037,#134399,.T.); #172375=EDGE_CURVE('',#142037,#142038,#59836,.T.); #172376=EDGE_CURVE('',#142038,#142038,#134400,.T.); #172377=EDGE_CURVE('',#142039,#142039,#134401,.T.); #172378=EDGE_CURVE('',#142039,#142040,#59837,.T.); #172379=EDGE_CURVE('',#142040,#142040,#134402,.T.); #172380=EDGE_CURVE('',#142041,#142041,#134403,.T.); #172381=EDGE_CURVE('',#142041,#142042,#59838,.T.); #172382=EDGE_CURVE('',#142042,#142042,#134404,.T.); #172383=EDGE_CURVE('',#142043,#142043,#134405,.T.); #172384=EDGE_CURVE('',#142043,#142044,#59839,.T.); #172385=EDGE_CURVE('',#142044,#142044,#134406,.T.); #172386=EDGE_CURVE('',#142045,#142045,#134407,.T.); #172387=EDGE_CURVE('',#142045,#142046,#59840,.T.); #172388=EDGE_CURVE('',#142046,#142046,#134408,.T.); #172389=EDGE_CURVE('',#142047,#142047,#134409,.T.); #172390=EDGE_CURVE('',#142047,#142048,#59841,.T.); #172391=EDGE_CURVE('',#142048,#142048,#134410,.T.); #172392=EDGE_CURVE('',#142049,#142049,#134411,.T.); #172393=EDGE_CURVE('',#142049,#142050,#59842,.T.); #172394=EDGE_CURVE('',#142050,#142050,#134412,.T.); #172395=EDGE_CURVE('',#142051,#142051,#134413,.T.); #172396=EDGE_CURVE('',#142051,#142052,#59843,.T.); #172397=EDGE_CURVE('',#142052,#142052,#134414,.T.); #172398=EDGE_CURVE('',#142053,#142053,#134415,.T.); #172399=EDGE_CURVE('',#142053,#142054,#59844,.T.); #172400=EDGE_CURVE('',#142054,#142054,#134416,.T.); #172401=EDGE_CURVE('',#142055,#142055,#134417,.T.); #172402=EDGE_CURVE('',#142055,#142056,#59845,.T.); #172403=EDGE_CURVE('',#142056,#142056,#134418,.T.); #172404=EDGE_CURVE('',#142057,#142057,#134419,.T.); #172405=EDGE_CURVE('',#142057,#142058,#59846,.T.); #172406=EDGE_CURVE('',#142058,#142058,#134420,.T.); #172407=EDGE_CURVE('',#142059,#142059,#134421,.T.); #172408=EDGE_CURVE('',#142059,#142060,#59847,.T.); #172409=EDGE_CURVE('',#142060,#142060,#134422,.T.); #172410=EDGE_CURVE('',#142061,#142061,#134423,.T.); #172411=EDGE_CURVE('',#142061,#142062,#59848,.T.); #172412=EDGE_CURVE('',#142062,#142062,#134424,.T.); #172413=EDGE_CURVE('',#142063,#142063,#134425,.T.); #172414=EDGE_CURVE('',#142063,#142064,#59849,.T.); #172415=EDGE_CURVE('',#142064,#142064,#134426,.T.); #172416=EDGE_CURVE('',#142065,#142065,#134427,.T.); #172417=EDGE_CURVE('',#142065,#142066,#59850,.T.); #172418=EDGE_CURVE('',#142066,#142066,#134428,.T.); #172419=EDGE_CURVE('',#142067,#142067,#134429,.T.); #172420=EDGE_CURVE('',#142067,#142068,#59851,.T.); #172421=EDGE_CURVE('',#142068,#142068,#134430,.T.); #172422=EDGE_CURVE('',#142069,#142069,#134431,.T.); #172423=EDGE_CURVE('',#142069,#142070,#59852,.T.); #172424=EDGE_CURVE('',#142070,#142070,#134432,.T.); #172425=EDGE_CURVE('',#142071,#142071,#134433,.T.); #172426=EDGE_CURVE('',#142071,#142072,#59853,.T.); #172427=EDGE_CURVE('',#142072,#142072,#134434,.T.); #172428=EDGE_CURVE('',#142073,#142073,#134435,.T.); #172429=EDGE_CURVE('',#142073,#142074,#59854,.T.); #172430=EDGE_CURVE('',#142074,#142074,#134436,.T.); #172431=EDGE_CURVE('',#142075,#142075,#134437,.T.); #172432=EDGE_CURVE('',#142075,#142076,#59855,.T.); #172433=EDGE_CURVE('',#142076,#142076,#134438,.T.); #172434=EDGE_CURVE('',#142077,#142077,#134439,.T.); #172435=EDGE_CURVE('',#142077,#142078,#59856,.T.); #172436=EDGE_CURVE('',#142078,#142078,#134440,.T.); #172437=EDGE_CURVE('',#142079,#142079,#134441,.T.); #172438=EDGE_CURVE('',#142079,#142080,#59857,.T.); #172439=EDGE_CURVE('',#142080,#142080,#134442,.T.); #172440=EDGE_CURVE('',#142081,#142081,#134443,.T.); #172441=EDGE_CURVE('',#142081,#142082,#59858,.T.); #172442=EDGE_CURVE('',#142082,#142082,#134444,.T.); #172443=EDGE_CURVE('',#142083,#142083,#134445,.T.); #172444=EDGE_CURVE('',#142083,#142084,#59859,.T.); #172445=EDGE_CURVE('',#142084,#142084,#134446,.T.); #172446=EDGE_CURVE('',#142085,#142085,#134447,.T.); #172447=EDGE_CURVE('',#142085,#142086,#59860,.T.); #172448=EDGE_CURVE('',#142086,#142086,#134448,.T.); #172449=EDGE_CURVE('',#142087,#142087,#134449,.T.); #172450=EDGE_CURVE('',#142087,#142088,#59861,.T.); #172451=EDGE_CURVE('',#142088,#142088,#134450,.T.); #172452=EDGE_CURVE('',#142089,#142089,#134451,.T.); #172453=EDGE_CURVE('',#142089,#142090,#59862,.T.); #172454=EDGE_CURVE('',#142090,#142090,#134452,.T.); #172455=EDGE_CURVE('',#142091,#142091,#134453,.T.); #172456=EDGE_CURVE('',#142091,#142092,#59863,.T.); #172457=EDGE_CURVE('',#142092,#142092,#134454,.T.); #172458=EDGE_CURVE('',#142093,#142093,#134455,.T.); #172459=EDGE_CURVE('',#142093,#142094,#59864,.T.); #172460=EDGE_CURVE('',#142094,#142094,#134456,.T.); #172461=EDGE_CURVE('',#142095,#142095,#134457,.T.); #172462=EDGE_CURVE('',#142095,#142096,#59865,.T.); #172463=EDGE_CURVE('',#142096,#142096,#134458,.T.); #172464=EDGE_CURVE('',#142097,#142097,#134459,.T.); #172465=EDGE_CURVE('',#142097,#142098,#59866,.T.); #172466=EDGE_CURVE('',#142098,#142098,#134460,.T.); #172467=EDGE_CURVE('',#142099,#142099,#134461,.T.); #172468=EDGE_CURVE('',#142099,#142100,#59867,.T.); #172469=EDGE_CURVE('',#142100,#142100,#134462,.T.); #172470=EDGE_CURVE('',#142101,#142101,#134463,.T.); #172471=EDGE_CURVE('',#142101,#142102,#59868,.T.); #172472=EDGE_CURVE('',#142102,#142102,#134464,.T.); #172473=EDGE_CURVE('',#142103,#142103,#134465,.T.); #172474=EDGE_CURVE('',#142103,#142104,#59869,.T.); #172475=EDGE_CURVE('',#142104,#142104,#134466,.T.); #172476=EDGE_CURVE('',#142105,#142105,#134467,.T.); #172477=EDGE_CURVE('',#142105,#142106,#59870,.T.); #172478=EDGE_CURVE('',#142106,#142106,#134468,.T.); #172479=EDGE_CURVE('',#142107,#142107,#134469,.T.); #172480=EDGE_CURVE('',#142107,#142108,#59871,.T.); #172481=EDGE_CURVE('',#142108,#142108,#134470,.T.); #172482=EDGE_CURVE('',#142109,#142109,#134471,.T.); #172483=EDGE_CURVE('',#142109,#142110,#59872,.T.); #172484=EDGE_CURVE('',#142110,#142110,#134472,.T.); #172485=EDGE_CURVE('',#142111,#142111,#134473,.T.); #172486=EDGE_CURVE('',#142111,#142112,#59873,.T.); #172487=EDGE_CURVE('',#142112,#142112,#134474,.T.); #172488=EDGE_CURVE('',#142113,#142113,#134475,.T.); #172489=EDGE_CURVE('',#142113,#142114,#59874,.T.); #172490=EDGE_CURVE('',#142114,#142114,#134476,.T.); #172491=EDGE_CURVE('',#142115,#142115,#134477,.T.); #172492=EDGE_CURVE('',#142115,#142116,#59875,.T.); #172493=EDGE_CURVE('',#142116,#142116,#134478,.T.); #172494=EDGE_CURVE('',#142117,#142117,#134479,.T.); #172495=EDGE_CURVE('',#142117,#142118,#59876,.T.); #172496=EDGE_CURVE('',#142118,#142118,#134480,.T.); #172497=EDGE_CURVE('',#142119,#142119,#134481,.T.); #172498=EDGE_CURVE('',#142119,#142120,#59877,.T.); #172499=EDGE_CURVE('',#142120,#142120,#134482,.T.); #172500=EDGE_CURVE('',#142121,#142121,#134483,.T.); #172501=EDGE_CURVE('',#142121,#142122,#59878,.T.); #172502=EDGE_CURVE('',#142122,#142122,#134484,.T.); #172503=EDGE_CURVE('',#142123,#142123,#134485,.T.); #172504=EDGE_CURVE('',#142123,#142124,#59879,.T.); #172505=EDGE_CURVE('',#142124,#142124,#134486,.T.); #172506=EDGE_CURVE('',#142125,#142125,#134487,.T.); #172507=EDGE_CURVE('',#142125,#142126,#59880,.T.); #172508=EDGE_CURVE('',#142126,#142126,#134488,.T.); #172509=EDGE_CURVE('',#142127,#142127,#134489,.T.); #172510=EDGE_CURVE('',#142127,#142128,#59881,.T.); #172511=EDGE_CURVE('',#142128,#142128,#134490,.T.); #172512=EDGE_CURVE('',#142129,#142129,#134491,.T.); #172513=EDGE_CURVE('',#142129,#142130,#59882,.T.); #172514=EDGE_CURVE('',#142130,#142130,#134492,.T.); #172515=EDGE_CURVE('',#142131,#142131,#134493,.T.); #172516=EDGE_CURVE('',#142131,#142132,#59883,.T.); #172517=EDGE_CURVE('',#142132,#142132,#134494,.T.); #172518=EDGE_CURVE('',#142133,#142133,#134495,.T.); #172519=EDGE_CURVE('',#142133,#142134,#59884,.T.); #172520=EDGE_CURVE('',#142134,#142134,#134496,.T.); #172521=EDGE_CURVE('',#142135,#142135,#134497,.T.); #172522=EDGE_CURVE('',#142135,#142136,#59885,.T.); #172523=EDGE_CURVE('',#142136,#142136,#134498,.T.); #172524=EDGE_CURVE('',#142137,#142137,#134499,.T.); #172525=EDGE_CURVE('',#142137,#142138,#59886,.T.); #172526=EDGE_CURVE('',#142138,#142138,#134500,.T.); #172527=EDGE_CURVE('',#142139,#142139,#134501,.T.); #172528=EDGE_CURVE('',#142139,#142140,#59887,.T.); #172529=EDGE_CURVE('',#142140,#142140,#134502,.T.); #172530=EDGE_CURVE('',#142141,#142141,#134503,.T.); #172531=EDGE_CURVE('',#142141,#142142,#59888,.T.); #172532=EDGE_CURVE('',#142142,#142142,#134504,.T.); #172533=EDGE_CURVE('',#142143,#142143,#134505,.T.); #172534=EDGE_CURVE('',#142143,#142144,#59889,.T.); #172535=EDGE_CURVE('',#142144,#142144,#134506,.T.); #172536=EDGE_CURVE('',#142145,#142145,#134507,.T.); #172537=EDGE_CURVE('',#142145,#142146,#59890,.T.); #172538=EDGE_CURVE('',#142146,#142146,#134508,.T.); #172539=EDGE_CURVE('',#142147,#142147,#134509,.T.); #172540=EDGE_CURVE('',#142147,#142148,#59891,.T.); #172541=EDGE_CURVE('',#142148,#142148,#134510,.T.); #172542=EDGE_CURVE('',#142149,#142149,#134511,.T.); #172543=EDGE_CURVE('',#142149,#142150,#59892,.T.); #172544=EDGE_CURVE('',#142150,#142150,#134512,.T.); #172545=EDGE_CURVE('',#142151,#142151,#134513,.T.); #172546=EDGE_CURVE('',#142151,#142152,#59893,.T.); #172547=EDGE_CURVE('',#142152,#142152,#134514,.T.); #172548=EDGE_CURVE('',#142153,#142153,#134515,.T.); #172549=EDGE_CURVE('',#142153,#142154,#59894,.T.); #172550=EDGE_CURVE('',#142154,#142154,#134516,.T.); #172551=EDGE_CURVE('',#142155,#142155,#134517,.T.); #172552=EDGE_CURVE('',#142155,#142156,#59895,.T.); #172553=EDGE_CURVE('',#142156,#142156,#134518,.T.); #172554=EDGE_CURVE('',#142157,#142157,#134519,.T.); #172555=EDGE_CURVE('',#142157,#142158,#59896,.T.); #172556=EDGE_CURVE('',#142158,#142158,#134520,.T.); #172557=EDGE_CURVE('',#142159,#142159,#134521,.T.); #172558=EDGE_CURVE('',#142159,#142160,#59897,.T.); #172559=EDGE_CURVE('',#142160,#142160,#134522,.T.); #172560=EDGE_CURVE('',#142161,#142161,#134523,.T.); #172561=EDGE_CURVE('',#142161,#142162,#59898,.T.); #172562=EDGE_CURVE('',#142162,#142162,#134524,.T.); #172563=EDGE_CURVE('',#142163,#142163,#134525,.T.); #172564=EDGE_CURVE('',#142163,#142164,#59899,.T.); #172565=EDGE_CURVE('',#142164,#142164,#134526,.T.); #172566=EDGE_CURVE('',#142165,#142165,#134527,.T.); #172567=EDGE_CURVE('',#142165,#142166,#59900,.T.); #172568=EDGE_CURVE('',#142166,#142166,#134528,.T.); #172569=EDGE_CURVE('',#142167,#142167,#134529,.T.); #172570=EDGE_CURVE('',#142167,#142168,#59901,.T.); #172571=EDGE_CURVE('',#142168,#142168,#134530,.T.); #172572=EDGE_CURVE('',#142169,#142169,#134531,.T.); #172573=EDGE_CURVE('',#142169,#142170,#59902,.T.); #172574=EDGE_CURVE('',#142170,#142170,#134532,.T.); #172575=EDGE_CURVE('',#142171,#142171,#134533,.T.); #172576=EDGE_CURVE('',#142171,#142172,#59903,.T.); #172577=EDGE_CURVE('',#142172,#142172,#134534,.T.); #172578=EDGE_CURVE('',#142173,#142173,#134535,.T.); #172579=EDGE_CURVE('',#142173,#142174,#59904,.T.); #172580=EDGE_CURVE('',#142174,#142174,#134536,.T.); #172581=EDGE_CURVE('',#142175,#142175,#134537,.T.); #172582=EDGE_CURVE('',#142175,#142176,#59905,.T.); #172583=EDGE_CURVE('',#142176,#142176,#134538,.T.); #172584=EDGE_CURVE('',#142177,#142177,#134539,.T.); #172585=EDGE_CURVE('',#142177,#142178,#59906,.T.); #172586=EDGE_CURVE('',#142178,#142178,#134540,.T.); #172587=EDGE_CURVE('',#142179,#142179,#134541,.T.); #172588=EDGE_CURVE('',#142179,#142180,#59907,.T.); #172589=EDGE_CURVE('',#142180,#142180,#134542,.T.); #172590=EDGE_CURVE('',#142181,#142181,#134543,.T.); #172591=EDGE_CURVE('',#142181,#142182,#59908,.T.); #172592=EDGE_CURVE('',#142182,#142182,#134544,.T.); #172593=EDGE_CURVE('',#142183,#142183,#134545,.T.); #172594=EDGE_CURVE('',#142183,#142184,#59909,.T.); #172595=EDGE_CURVE('',#142184,#142184,#134546,.T.); #172596=EDGE_CURVE('',#142185,#142185,#134547,.T.); #172597=EDGE_CURVE('',#142185,#142186,#59910,.T.); #172598=EDGE_CURVE('',#142186,#142186,#134548,.T.); #172599=EDGE_CURVE('',#142187,#142187,#134549,.T.); #172600=EDGE_CURVE('',#142187,#142188,#59911,.T.); #172601=EDGE_CURVE('',#142188,#142188,#134550,.T.); #172602=EDGE_CURVE('',#142189,#142189,#134551,.T.); #172603=EDGE_CURVE('',#142189,#142190,#59912,.T.); #172604=EDGE_CURVE('',#142190,#142190,#134552,.T.); #172605=EDGE_CURVE('',#142191,#142191,#134553,.T.); #172606=EDGE_CURVE('',#142191,#142192,#59913,.T.); #172607=EDGE_CURVE('',#142192,#142192,#134554,.T.); #172608=EDGE_CURVE('',#142193,#142193,#134555,.T.); #172609=EDGE_CURVE('',#142193,#142194,#59914,.T.); #172610=EDGE_CURVE('',#142194,#142194,#134556,.T.); #172611=EDGE_CURVE('',#142195,#142195,#134557,.T.); #172612=EDGE_CURVE('',#142195,#142196,#59915,.T.); #172613=EDGE_CURVE('',#142196,#142196,#134558,.T.); #172614=EDGE_CURVE('',#142197,#142197,#134559,.T.); #172615=EDGE_CURVE('',#142197,#142198,#59916,.T.); #172616=EDGE_CURVE('',#142198,#142198,#134560,.T.); #172617=EDGE_CURVE('',#142199,#142199,#134561,.T.); #172618=EDGE_CURVE('',#142199,#142200,#59917,.T.); #172619=EDGE_CURVE('',#142200,#142200,#134562,.T.); #172620=EDGE_CURVE('',#142201,#142201,#134563,.T.); #172621=EDGE_CURVE('',#142201,#142202,#59918,.T.); #172622=EDGE_CURVE('',#142202,#142202,#134564,.T.); #172623=EDGE_CURVE('',#142203,#142203,#134565,.T.); #172624=EDGE_CURVE('',#142203,#142204,#59919,.T.); #172625=EDGE_CURVE('',#142204,#142204,#134566,.T.); #172626=EDGE_CURVE('',#142205,#142205,#134567,.T.); #172627=EDGE_CURVE('',#142205,#142206,#59920,.T.); #172628=EDGE_CURVE('',#142206,#142206,#134568,.T.); #172629=EDGE_CURVE('',#142207,#142207,#134569,.T.); #172630=EDGE_CURVE('',#142207,#142208,#59921,.T.); #172631=EDGE_CURVE('',#142208,#142208,#134570,.T.); #172632=EDGE_CURVE('',#142209,#142209,#134571,.T.); #172633=EDGE_CURVE('',#142209,#142210,#59922,.T.); #172634=EDGE_CURVE('',#142210,#142210,#134572,.T.); #172635=EDGE_CURVE('',#142211,#142211,#134573,.T.); #172636=EDGE_CURVE('',#142211,#142212,#59923,.T.); #172637=EDGE_CURVE('',#142212,#142212,#134574,.T.); #172638=EDGE_CURVE('',#142213,#142213,#134575,.T.); #172639=EDGE_CURVE('',#142213,#142214,#59924,.T.); #172640=EDGE_CURVE('',#142214,#142214,#134576,.T.); #172641=EDGE_CURVE('',#142215,#142215,#134577,.T.); #172642=EDGE_CURVE('',#142215,#142216,#59925,.T.); #172643=EDGE_CURVE('',#142216,#142216,#134578,.T.); #172644=EDGE_CURVE('',#142217,#142217,#134579,.T.); #172645=EDGE_CURVE('',#142217,#142218,#59926,.T.); #172646=EDGE_CURVE('',#142218,#142218,#134580,.T.); #172647=EDGE_CURVE('',#142219,#142219,#134581,.T.); #172648=EDGE_CURVE('',#142219,#142220,#59927,.T.); #172649=EDGE_CURVE('',#142220,#142220,#134582,.T.); #172650=EDGE_CURVE('',#142221,#142221,#134583,.T.); #172651=EDGE_CURVE('',#142221,#142222,#59928,.T.); #172652=EDGE_CURVE('',#142222,#142222,#134584,.T.); #172653=EDGE_CURVE('',#142223,#142223,#134585,.T.); #172654=EDGE_CURVE('',#142223,#142224,#59929,.T.); #172655=EDGE_CURVE('',#142224,#142224,#134586,.T.); #172656=EDGE_CURVE('',#142225,#142225,#134587,.T.); #172657=EDGE_CURVE('',#142225,#142226,#59930,.T.); #172658=EDGE_CURVE('',#142226,#142226,#134588,.T.); #172659=EDGE_CURVE('',#142227,#142227,#134589,.T.); #172660=EDGE_CURVE('',#142227,#142228,#59931,.T.); #172661=EDGE_CURVE('',#142228,#142228,#134590,.T.); #172662=EDGE_CURVE('',#142229,#142229,#134591,.T.); #172663=EDGE_CURVE('',#142229,#142230,#59932,.T.); #172664=EDGE_CURVE('',#142230,#142230,#134592,.T.); #172665=EDGE_CURVE('',#142231,#142231,#134593,.T.); #172666=EDGE_CURVE('',#142231,#142232,#59933,.T.); #172667=EDGE_CURVE('',#142232,#142232,#134594,.T.); #172668=EDGE_CURVE('',#142233,#142233,#134595,.T.); #172669=EDGE_CURVE('',#142233,#142234,#59934,.T.); #172670=EDGE_CURVE('',#142234,#142234,#134596,.T.); #172671=EDGE_CURVE('',#142235,#142235,#134597,.T.); #172672=EDGE_CURVE('',#142235,#142236,#59935,.T.); #172673=EDGE_CURVE('',#142236,#142236,#134598,.T.); #172674=EDGE_CURVE('',#142237,#142237,#134599,.T.); #172675=EDGE_CURVE('',#142237,#142238,#59936,.T.); #172676=EDGE_CURVE('',#142238,#142238,#134600,.T.); #172677=EDGE_CURVE('',#142239,#142239,#134601,.T.); #172678=EDGE_CURVE('',#142239,#142240,#59937,.T.); #172679=EDGE_CURVE('',#142240,#142240,#134602,.T.); #172680=EDGE_CURVE('',#142241,#142241,#134603,.T.); #172681=EDGE_CURVE('',#142241,#142242,#59938,.T.); #172682=EDGE_CURVE('',#142242,#142242,#134604,.T.); #172683=EDGE_CURVE('',#142243,#142243,#134605,.T.); #172684=EDGE_CURVE('',#142243,#142244,#59939,.T.); #172685=EDGE_CURVE('',#142244,#142244,#134606,.T.); #172686=EDGE_CURVE('',#142245,#142245,#134607,.T.); #172687=EDGE_CURVE('',#142245,#142246,#59940,.T.); #172688=EDGE_CURVE('',#142246,#142246,#134608,.T.); #172689=EDGE_CURVE('',#142247,#142247,#134609,.T.); #172690=EDGE_CURVE('',#142247,#142248,#59941,.T.); #172691=EDGE_CURVE('',#142248,#142248,#134610,.T.); #172692=EDGE_CURVE('',#142249,#142249,#134611,.T.); #172693=EDGE_CURVE('',#142249,#142250,#59942,.T.); #172694=EDGE_CURVE('',#142250,#142250,#134612,.T.); #172695=EDGE_CURVE('',#142251,#142251,#134613,.T.); #172696=EDGE_CURVE('',#142251,#142252,#59943,.T.); #172697=EDGE_CURVE('',#142252,#142252,#134614,.T.); #172698=EDGE_CURVE('',#142253,#142253,#134615,.T.); #172699=EDGE_CURVE('',#142253,#142254,#59944,.T.); #172700=EDGE_CURVE('',#142254,#142254,#134616,.T.); #172701=EDGE_CURVE('',#142255,#142255,#134617,.T.); #172702=EDGE_CURVE('',#142255,#142256,#59945,.T.); #172703=EDGE_CURVE('',#142256,#142256,#134618,.T.); #172704=EDGE_CURVE('',#142257,#142257,#134619,.T.); #172705=EDGE_CURVE('',#142257,#142258,#59946,.T.); #172706=EDGE_CURVE('',#142258,#142258,#134620,.T.); #172707=EDGE_CURVE('',#142259,#142259,#134621,.T.); #172708=EDGE_CURVE('',#142259,#142260,#59947,.T.); #172709=EDGE_CURVE('',#142260,#142260,#134622,.T.); #172710=EDGE_CURVE('',#142261,#142261,#134623,.T.); #172711=EDGE_CURVE('',#142261,#142262,#59948,.T.); #172712=EDGE_CURVE('',#142262,#142262,#134624,.T.); #172713=EDGE_CURVE('',#142263,#142263,#134625,.T.); #172714=EDGE_CURVE('',#142263,#142264,#59949,.T.); #172715=EDGE_CURVE('',#142264,#142264,#134626,.T.); #172716=EDGE_CURVE('',#142265,#142265,#134627,.T.); #172717=EDGE_CURVE('',#142265,#142266,#59950,.T.); #172718=EDGE_CURVE('',#142266,#142266,#134628,.T.); #172719=EDGE_CURVE('',#142267,#142267,#134629,.T.); #172720=EDGE_CURVE('',#142267,#142268,#59951,.T.); #172721=EDGE_CURVE('',#142268,#142268,#134630,.T.); #172722=EDGE_CURVE('',#142269,#142269,#134631,.T.); #172723=EDGE_CURVE('',#142269,#142270,#59952,.T.); #172724=EDGE_CURVE('',#142270,#142270,#134632,.T.); #172725=EDGE_CURVE('',#142271,#142271,#134633,.T.); #172726=EDGE_CURVE('',#142271,#142272,#59953,.T.); #172727=EDGE_CURVE('',#142272,#142272,#134634,.T.); #172728=EDGE_CURVE('',#142273,#142273,#134635,.T.); #172729=EDGE_CURVE('',#142273,#142274,#59954,.T.); #172730=EDGE_CURVE('',#142274,#142274,#134636,.T.); #172731=EDGE_CURVE('',#142275,#142275,#134637,.T.); #172732=EDGE_CURVE('',#142275,#142276,#59955,.T.); #172733=EDGE_CURVE('',#142276,#142276,#134638,.T.); #172734=EDGE_CURVE('',#142277,#142277,#134639,.T.); #172735=EDGE_CURVE('',#142277,#142278,#59956,.T.); #172736=EDGE_CURVE('',#142278,#142278,#134640,.T.); #172737=EDGE_CURVE('',#142279,#142279,#134641,.T.); #172738=EDGE_CURVE('',#142279,#142280,#59957,.T.); #172739=EDGE_CURVE('',#142280,#142280,#134642,.T.); #172740=EDGE_CURVE('',#142281,#142281,#134643,.T.); #172741=EDGE_CURVE('',#142281,#142282,#59958,.T.); #172742=EDGE_CURVE('',#142282,#142282,#134644,.T.); #172743=EDGE_CURVE('',#142283,#142283,#134645,.T.); #172744=EDGE_CURVE('',#142283,#142284,#59959,.T.); #172745=EDGE_CURVE('',#142284,#142284,#134646,.T.); #172746=EDGE_CURVE('',#142285,#142285,#134647,.T.); #172747=EDGE_CURVE('',#142285,#142286,#59960,.T.); #172748=EDGE_CURVE('',#142286,#142286,#134648,.T.); #172749=EDGE_CURVE('',#142287,#142287,#134649,.T.); #172750=EDGE_CURVE('',#142287,#142288,#59961,.T.); #172751=EDGE_CURVE('',#142288,#142288,#134650,.T.); #172752=EDGE_CURVE('',#142289,#142289,#134651,.T.); #172753=EDGE_CURVE('',#142289,#142290,#59962,.T.); #172754=EDGE_CURVE('',#142290,#142290,#134652,.T.); #172755=EDGE_CURVE('',#142291,#142291,#134653,.T.); #172756=EDGE_CURVE('',#142291,#142292,#59963,.T.); #172757=EDGE_CURVE('',#142292,#142292,#134654,.T.); #172758=EDGE_CURVE('',#142293,#142293,#134655,.T.); #172759=EDGE_CURVE('',#142293,#142294,#59964,.T.); #172760=EDGE_CURVE('',#142294,#142294,#134656,.T.); #172761=EDGE_CURVE('',#142295,#142295,#134657,.T.); #172762=EDGE_CURVE('',#142295,#142296,#59965,.T.); #172763=EDGE_CURVE('',#142296,#142296,#134658,.T.); #172764=EDGE_CURVE('',#142297,#142297,#134659,.T.); #172765=EDGE_CURVE('',#142297,#142298,#59966,.T.); #172766=EDGE_CURVE('',#142298,#142298,#134660,.T.); #172767=EDGE_CURVE('',#142299,#142299,#134661,.T.); #172768=EDGE_CURVE('',#142299,#142300,#59967,.T.); #172769=EDGE_CURVE('',#142300,#142300,#134662,.T.); #172770=EDGE_CURVE('',#142301,#142301,#134663,.T.); #172771=EDGE_CURVE('',#142301,#142302,#59968,.T.); #172772=EDGE_CURVE('',#142302,#142302,#134664,.T.); #172773=EDGE_CURVE('',#142303,#142303,#134665,.T.); #172774=EDGE_CURVE('',#142303,#142304,#59969,.T.); #172775=EDGE_CURVE('',#142304,#142304,#134666,.T.); #172776=EDGE_CURVE('',#142305,#142305,#134667,.T.); #172777=EDGE_CURVE('',#142305,#142306,#59970,.T.); #172778=EDGE_CURVE('',#142306,#142306,#134668,.T.); #172779=EDGE_CURVE('',#142307,#142307,#134669,.T.); #172780=EDGE_CURVE('',#142307,#142308,#59971,.T.); #172781=EDGE_CURVE('',#142308,#142308,#134670,.T.); #172782=EDGE_CURVE('',#142309,#142309,#134671,.T.); #172783=EDGE_CURVE('',#142309,#142310,#59972,.T.); #172784=EDGE_CURVE('',#142310,#142310,#134672,.T.); #172785=EDGE_CURVE('',#142311,#142311,#134673,.T.); #172786=EDGE_CURVE('',#142311,#142312,#59973,.T.); #172787=EDGE_CURVE('',#142312,#142312,#134674,.T.); #172788=EDGE_CURVE('',#142313,#142313,#134675,.T.); #172789=EDGE_CURVE('',#142313,#142314,#59974,.T.); #172790=EDGE_CURVE('',#142314,#142314,#134676,.T.); #172791=EDGE_CURVE('',#142315,#142315,#134677,.T.); #172792=EDGE_CURVE('',#142315,#142316,#59975,.T.); #172793=EDGE_CURVE('',#142316,#142316,#134678,.T.); #172794=EDGE_CURVE('',#142317,#142317,#134679,.T.); #172795=EDGE_CURVE('',#142317,#142318,#59976,.T.); #172796=EDGE_CURVE('',#142318,#142318,#134680,.T.); #172797=EDGE_CURVE('',#142319,#142319,#134681,.T.); #172798=EDGE_CURVE('',#142319,#142320,#59977,.T.); #172799=EDGE_CURVE('',#142320,#142320,#134682,.T.); #172800=EDGE_CURVE('',#142321,#142321,#134683,.T.); #172801=EDGE_CURVE('',#142321,#142322,#59978,.T.); #172802=EDGE_CURVE('',#142322,#142322,#134684,.T.); #172803=EDGE_CURVE('',#142323,#142323,#134685,.T.); #172804=EDGE_CURVE('',#142323,#142324,#59979,.T.); #172805=EDGE_CURVE('',#142324,#142324,#134686,.T.); #172806=EDGE_CURVE('',#142325,#142325,#134687,.T.); #172807=EDGE_CURVE('',#142325,#142326,#59980,.T.); #172808=EDGE_CURVE('',#142326,#142326,#134688,.T.); #172809=EDGE_CURVE('',#142327,#142327,#134689,.T.); #172810=EDGE_CURVE('',#142327,#142328,#59981,.T.); #172811=EDGE_CURVE('',#142328,#142328,#134690,.T.); #172812=EDGE_CURVE('',#142329,#142329,#134691,.T.); #172813=EDGE_CURVE('',#142329,#142330,#59982,.T.); #172814=EDGE_CURVE('',#142330,#142330,#134692,.T.); #172815=EDGE_CURVE('',#142331,#142331,#134693,.T.); #172816=EDGE_CURVE('',#142331,#142332,#59983,.T.); #172817=EDGE_CURVE('',#142332,#142332,#134694,.T.); #172818=EDGE_CURVE('',#142333,#142333,#134695,.T.); #172819=EDGE_CURVE('',#142333,#142334,#59984,.T.); #172820=EDGE_CURVE('',#142334,#142334,#134696,.T.); #172821=EDGE_CURVE('',#142335,#142335,#134697,.T.); #172822=EDGE_CURVE('',#142335,#142336,#59985,.T.); #172823=EDGE_CURVE('',#142336,#142336,#134698,.T.); #172824=EDGE_CURVE('',#142337,#142337,#134699,.T.); #172825=EDGE_CURVE('',#142337,#142338,#59986,.T.); #172826=EDGE_CURVE('',#142338,#142338,#134700,.T.); #172827=EDGE_CURVE('',#142339,#142339,#134701,.T.); #172828=EDGE_CURVE('',#142339,#142340,#59987,.T.); #172829=EDGE_CURVE('',#142340,#142340,#134702,.T.); #172830=EDGE_CURVE('',#142341,#142341,#134703,.T.); #172831=EDGE_CURVE('',#142341,#142342,#59988,.T.); #172832=EDGE_CURVE('',#142342,#142342,#134704,.T.); #172833=EDGE_CURVE('',#142343,#142343,#134705,.T.); #172834=EDGE_CURVE('',#142343,#142344,#59989,.T.); #172835=EDGE_CURVE('',#142344,#142344,#134706,.T.); #172836=EDGE_CURVE('',#142345,#142345,#134707,.T.); #172837=EDGE_CURVE('',#142345,#142346,#59990,.T.); #172838=EDGE_CURVE('',#142346,#142346,#134708,.T.); #172839=EDGE_CURVE('',#142347,#142347,#134709,.T.); #172840=EDGE_CURVE('',#142347,#142348,#59991,.T.); #172841=EDGE_CURVE('',#142348,#142348,#134710,.T.); #172842=EDGE_CURVE('',#142349,#142349,#134711,.T.); #172843=EDGE_CURVE('',#142349,#142350,#59992,.T.); #172844=EDGE_CURVE('',#142350,#142350,#134712,.T.); #172845=EDGE_CURVE('',#142351,#142351,#134713,.T.); #172846=EDGE_CURVE('',#142351,#142352,#59993,.T.); #172847=EDGE_CURVE('',#142352,#142352,#134714,.T.); #172848=EDGE_CURVE('',#142353,#142353,#134715,.T.); #172849=EDGE_CURVE('',#142353,#142354,#59994,.T.); #172850=EDGE_CURVE('',#142354,#142354,#134716,.T.); #172851=EDGE_CURVE('',#142355,#142355,#134717,.T.); #172852=EDGE_CURVE('',#142355,#142356,#59995,.T.); #172853=EDGE_CURVE('',#142356,#142356,#134718,.T.); #172854=EDGE_CURVE('',#142357,#142357,#134719,.T.); #172855=EDGE_CURVE('',#142357,#142358,#59996,.T.); #172856=EDGE_CURVE('',#142358,#142358,#134720,.T.); #172857=EDGE_CURVE('',#142359,#142359,#134721,.T.); #172858=EDGE_CURVE('',#142359,#142360,#59997,.T.); #172859=EDGE_CURVE('',#142360,#142360,#134722,.T.); #172860=EDGE_CURVE('',#142361,#142361,#134723,.T.); #172861=EDGE_CURVE('',#142361,#142362,#59998,.T.); #172862=EDGE_CURVE('',#142362,#142362,#134724,.T.); #172863=EDGE_CURVE('',#142363,#142363,#134725,.T.); #172864=EDGE_CURVE('',#142363,#142364,#59999,.T.); #172865=EDGE_CURVE('',#142364,#142364,#134726,.T.); #172866=EDGE_CURVE('',#142365,#142365,#134727,.T.); #172867=EDGE_CURVE('',#142365,#142366,#60000,.T.); #172868=EDGE_CURVE('',#142366,#142366,#134728,.T.); #172869=EDGE_CURVE('',#142367,#142367,#134729,.T.); #172870=EDGE_CURVE('',#142367,#142368,#60001,.T.); #172871=EDGE_CURVE('',#142368,#142368,#134730,.T.); #172872=EDGE_CURVE('',#142369,#142369,#134731,.T.); #172873=EDGE_CURVE('',#142369,#142370,#60002,.T.); #172874=EDGE_CURVE('',#142370,#142370,#134732,.T.); #172875=EDGE_CURVE('',#142371,#142371,#134733,.T.); #172876=EDGE_CURVE('',#142371,#142372,#60003,.T.); #172877=EDGE_CURVE('',#142372,#142372,#134734,.T.); #172878=EDGE_CURVE('',#142373,#142373,#134735,.T.); #172879=EDGE_CURVE('',#142373,#142374,#60004,.T.); #172880=EDGE_CURVE('',#142374,#142374,#134736,.T.); #172881=EDGE_CURVE('',#142375,#142375,#134737,.T.); #172882=EDGE_CURVE('',#142375,#142376,#60005,.T.); #172883=EDGE_CURVE('',#142376,#142376,#134738,.T.); #172884=EDGE_CURVE('',#142377,#142377,#134739,.T.); #172885=EDGE_CURVE('',#142377,#142378,#60006,.T.); #172886=EDGE_CURVE('',#142378,#142378,#134740,.T.); #172887=EDGE_CURVE('',#142379,#142379,#134741,.T.); #172888=EDGE_CURVE('',#142379,#142380,#60007,.T.); #172889=EDGE_CURVE('',#142380,#142380,#134742,.T.); #172890=EDGE_CURVE('',#142381,#142381,#134743,.T.); #172891=EDGE_CURVE('',#142381,#142382,#60008,.T.); #172892=EDGE_CURVE('',#142382,#142382,#134744,.T.); #172893=EDGE_CURVE('',#142383,#142383,#134745,.T.); #172894=EDGE_CURVE('',#142383,#142384,#60009,.T.); #172895=EDGE_CURVE('',#142384,#142384,#134746,.T.); #172896=EDGE_CURVE('',#142385,#142385,#134747,.T.); #172897=EDGE_CURVE('',#142385,#142386,#60010,.T.); #172898=EDGE_CURVE('',#142386,#142386,#134748,.T.); #172899=EDGE_CURVE('',#142387,#142387,#134749,.T.); #172900=EDGE_CURVE('',#142387,#142388,#60011,.T.); #172901=EDGE_CURVE('',#142388,#142388,#134750,.T.); #172902=EDGE_CURVE('',#142389,#142389,#134751,.T.); #172903=EDGE_CURVE('',#142389,#142390,#60012,.T.); #172904=EDGE_CURVE('',#142390,#142390,#134752,.T.); #172905=EDGE_CURVE('',#142391,#142391,#134753,.T.); #172906=EDGE_CURVE('',#142391,#142392,#60013,.T.); #172907=EDGE_CURVE('',#142392,#142392,#134754,.T.); #172908=EDGE_CURVE('',#142393,#142393,#134755,.T.); #172909=EDGE_CURVE('',#142393,#142394,#60014,.T.); #172910=EDGE_CURVE('',#142394,#142394,#134756,.T.); #172911=EDGE_CURVE('',#142395,#142395,#134757,.T.); #172912=EDGE_CURVE('',#142395,#142396,#60015,.T.); #172913=EDGE_CURVE('',#142396,#142396,#134758,.T.); #172914=EDGE_CURVE('',#142397,#142397,#134759,.T.); #172915=EDGE_CURVE('',#142397,#142398,#60016,.T.); #172916=EDGE_CURVE('',#142398,#142398,#134760,.T.); #172917=EDGE_CURVE('',#142399,#142399,#134761,.T.); #172918=EDGE_CURVE('',#142399,#142400,#60017,.T.); #172919=EDGE_CURVE('',#142400,#142400,#134762,.T.); #172920=EDGE_CURVE('',#142401,#142401,#134763,.T.); #172921=EDGE_CURVE('',#142401,#142402,#60018,.T.); #172922=EDGE_CURVE('',#142402,#142402,#134764,.T.); #172923=EDGE_CURVE('',#142403,#142403,#134765,.T.); #172924=EDGE_CURVE('',#142403,#142404,#60019,.T.); #172925=EDGE_CURVE('',#142404,#142404,#134766,.T.); #172926=EDGE_CURVE('',#142405,#142405,#134767,.T.); #172927=EDGE_CURVE('',#142405,#142406,#60020,.T.); #172928=EDGE_CURVE('',#142406,#142406,#134768,.T.); #172929=EDGE_CURVE('',#142407,#142407,#134769,.T.); #172930=EDGE_CURVE('',#142407,#142408,#60021,.T.); #172931=EDGE_CURVE('',#142408,#142408,#134770,.T.); #172932=EDGE_CURVE('',#142409,#142409,#134771,.T.); #172933=EDGE_CURVE('',#142409,#142410,#60022,.T.); #172934=EDGE_CURVE('',#142410,#142410,#134772,.T.); #172935=EDGE_CURVE('',#142411,#142411,#134773,.T.); #172936=EDGE_CURVE('',#142411,#142412,#60023,.T.); #172937=EDGE_CURVE('',#142412,#142412,#134774,.T.); #172938=EDGE_CURVE('',#142413,#142413,#134775,.T.); #172939=EDGE_CURVE('',#142413,#142414,#60024,.T.); #172940=EDGE_CURVE('',#142414,#142414,#134776,.T.); #172941=EDGE_CURVE('',#142415,#142415,#134777,.T.); #172942=EDGE_CURVE('',#142415,#142416,#60025,.T.); #172943=EDGE_CURVE('',#142416,#142416,#134778,.T.); #172944=EDGE_CURVE('',#142417,#142417,#134779,.T.); #172945=EDGE_CURVE('',#142417,#142418,#60026,.T.); #172946=EDGE_CURVE('',#142418,#142418,#134780,.T.); #172947=EDGE_CURVE('',#142419,#142419,#134781,.T.); #172948=EDGE_CURVE('',#142419,#142420,#60027,.T.); #172949=EDGE_CURVE('',#142420,#142420,#134782,.T.); #172950=EDGE_CURVE('',#142421,#142421,#134783,.T.); #172951=EDGE_CURVE('',#142421,#142422,#60028,.T.); #172952=EDGE_CURVE('',#142422,#142422,#134784,.T.); #172953=EDGE_CURVE('',#142423,#142423,#134785,.T.); #172954=EDGE_CURVE('',#142423,#142424,#60029,.T.); #172955=EDGE_CURVE('',#142424,#142424,#134786,.T.); #172956=EDGE_CURVE('',#142425,#142425,#134787,.T.); #172957=EDGE_CURVE('',#142425,#142426,#60030,.T.); #172958=EDGE_CURVE('',#142426,#142426,#134788,.T.); #172959=EDGE_CURVE('',#142427,#142427,#134789,.T.); #172960=EDGE_CURVE('',#142427,#142428,#60031,.T.); #172961=EDGE_CURVE('',#142428,#142428,#134790,.T.); #172962=EDGE_CURVE('',#142429,#142429,#134791,.T.); #172963=EDGE_CURVE('',#142429,#142430,#60032,.T.); #172964=EDGE_CURVE('',#142430,#142430,#134792,.T.); #172965=EDGE_CURVE('',#142431,#142431,#134793,.T.); #172966=EDGE_CURVE('',#142431,#142432,#60033,.T.); #172967=EDGE_CURVE('',#142432,#142432,#134794,.T.); #172968=EDGE_CURVE('',#142433,#142433,#134795,.T.); #172969=EDGE_CURVE('',#142433,#142434,#60034,.T.); #172970=EDGE_CURVE('',#142434,#142434,#134796,.T.); #172971=EDGE_CURVE('',#142435,#142435,#134797,.T.); #172972=EDGE_CURVE('',#142435,#142436,#60035,.T.); #172973=EDGE_CURVE('',#142436,#142436,#134798,.T.); #172974=EDGE_CURVE('',#142437,#142437,#134799,.T.); #172975=EDGE_CURVE('',#142437,#142438,#60036,.T.); #172976=EDGE_CURVE('',#142438,#142438,#134800,.T.); #172977=EDGE_CURVE('',#142439,#142439,#134801,.T.); #172978=EDGE_CURVE('',#142439,#142440,#60037,.T.); #172979=EDGE_CURVE('',#142440,#142440,#134802,.T.); #172980=EDGE_CURVE('',#142441,#142441,#134803,.T.); #172981=EDGE_CURVE('',#142441,#142442,#60038,.T.); #172982=EDGE_CURVE('',#142442,#142442,#134804,.T.); #172983=EDGE_CURVE('',#142443,#142443,#134805,.T.); #172984=EDGE_CURVE('',#142443,#142444,#60039,.T.); #172985=EDGE_CURVE('',#142444,#142444,#134806,.T.); #172986=EDGE_CURVE('',#142445,#142445,#134807,.T.); #172987=EDGE_CURVE('',#142445,#142446,#60040,.T.); #172988=EDGE_CURVE('',#142446,#142446,#134808,.T.); #172989=EDGE_CURVE('',#142447,#142447,#134809,.T.); #172990=EDGE_CURVE('',#142447,#142448,#60041,.T.); #172991=EDGE_CURVE('',#142448,#142448,#134810,.T.); #172992=EDGE_CURVE('',#142449,#142449,#134811,.T.); #172993=EDGE_CURVE('',#142449,#142450,#60042,.T.); #172994=EDGE_CURVE('',#142450,#142450,#134812,.T.); #172995=EDGE_CURVE('',#142451,#142451,#134813,.T.); #172996=EDGE_CURVE('',#142451,#142452,#60043,.T.); #172997=EDGE_CURVE('',#142452,#142452,#134814,.T.); #172998=EDGE_CURVE('',#142453,#142453,#134815,.T.); #172999=EDGE_CURVE('',#142453,#142454,#60044,.T.); #173000=EDGE_CURVE('',#142454,#142454,#134816,.T.); #173001=EDGE_CURVE('',#142455,#142455,#134817,.T.); #173002=EDGE_CURVE('',#142455,#142456,#60045,.T.); #173003=EDGE_CURVE('',#142456,#142456,#134818,.T.); #173004=EDGE_CURVE('',#142457,#142457,#134819,.T.); #173005=EDGE_CURVE('',#142457,#142458,#60046,.T.); #173006=EDGE_CURVE('',#142458,#142458,#134820,.T.); #173007=EDGE_CURVE('',#142459,#142459,#134821,.T.); #173008=EDGE_CURVE('',#142459,#142460,#60047,.T.); #173009=EDGE_CURVE('',#142460,#142460,#134822,.T.); #173010=EDGE_CURVE('',#142461,#142461,#134823,.T.); #173011=EDGE_CURVE('',#142461,#142462,#60048,.T.); #173012=EDGE_CURVE('',#142462,#142462,#134824,.T.); #173013=EDGE_CURVE('',#142463,#142463,#134825,.T.); #173014=EDGE_CURVE('',#142463,#142464,#60049,.T.); #173015=EDGE_CURVE('',#142464,#142464,#134826,.T.); #173016=EDGE_CURVE('',#142465,#142465,#134827,.T.); #173017=EDGE_CURVE('',#142465,#142466,#60050,.T.); #173018=EDGE_CURVE('',#142466,#142466,#134828,.T.); #173019=EDGE_CURVE('',#142467,#142467,#134829,.T.); #173020=EDGE_CURVE('',#142467,#142468,#60051,.T.); #173021=EDGE_CURVE('',#142468,#142468,#134830,.T.); #173022=EDGE_CURVE('',#142469,#142469,#134831,.T.); #173023=EDGE_CURVE('',#142469,#142470,#60052,.T.); #173024=EDGE_CURVE('',#142470,#142470,#134832,.T.); #173025=EDGE_CURVE('',#142471,#142471,#134833,.T.); #173026=EDGE_CURVE('',#142471,#142472,#60053,.T.); #173027=EDGE_CURVE('',#142472,#142472,#134834,.T.); #173028=EDGE_CURVE('',#142473,#142473,#134835,.T.); #173029=EDGE_CURVE('',#142473,#142474,#60054,.T.); #173030=EDGE_CURVE('',#142474,#142474,#134836,.T.); #173031=EDGE_CURVE('',#142475,#142475,#134837,.T.); #173032=EDGE_CURVE('',#142475,#142476,#60055,.T.); #173033=EDGE_CURVE('',#142476,#142476,#134838,.T.); #173034=EDGE_CURVE('',#142477,#142477,#134839,.T.); #173035=EDGE_CURVE('',#142477,#142478,#60056,.T.); #173036=EDGE_CURVE('',#142478,#142478,#134840,.T.); #173037=EDGE_CURVE('',#142479,#142479,#134841,.T.); #173038=EDGE_CURVE('',#142479,#142480,#60057,.T.); #173039=EDGE_CURVE('',#142480,#142480,#134842,.T.); #173040=EDGE_CURVE('',#142481,#142481,#134843,.T.); #173041=EDGE_CURVE('',#142481,#142482,#60058,.T.); #173042=EDGE_CURVE('',#142482,#142482,#134844,.T.); #173043=EDGE_CURVE('',#142483,#142483,#134845,.T.); #173044=EDGE_CURVE('',#142483,#142484,#60059,.T.); #173045=EDGE_CURVE('',#142484,#142484,#134846,.T.); #173046=EDGE_CURVE('',#142485,#142485,#134847,.T.); #173047=EDGE_CURVE('',#142485,#142486,#60060,.T.); #173048=EDGE_CURVE('',#142486,#142486,#134848,.T.); #173049=EDGE_CURVE('',#142487,#142487,#134849,.T.); #173050=EDGE_CURVE('',#142487,#142488,#60061,.T.); #173051=EDGE_CURVE('',#142488,#142488,#134850,.T.); #173052=EDGE_CURVE('',#142489,#142489,#134851,.T.); #173053=EDGE_CURVE('',#142489,#142490,#60062,.T.); #173054=EDGE_CURVE('',#142490,#142490,#134852,.T.); #173055=EDGE_CURVE('',#142491,#142491,#134853,.T.); #173056=EDGE_CURVE('',#142491,#142492,#60063,.T.); #173057=EDGE_CURVE('',#142492,#142492,#134854,.T.); #173058=EDGE_CURVE('',#142493,#142493,#134855,.T.); #173059=EDGE_CURVE('',#142493,#142494,#60064,.T.); #173060=EDGE_CURVE('',#142494,#142494,#134856,.T.); #173061=EDGE_CURVE('',#142495,#142495,#134857,.T.); #173062=EDGE_CURVE('',#142495,#142496,#60065,.T.); #173063=EDGE_CURVE('',#142496,#142496,#134858,.T.); #173064=EDGE_CURVE('',#142497,#142497,#134859,.T.); #173065=EDGE_CURVE('',#142497,#142498,#60066,.T.); #173066=EDGE_CURVE('',#142498,#142498,#134860,.T.); #173067=EDGE_CURVE('',#142499,#142499,#134861,.T.); #173068=EDGE_CURVE('',#142499,#142500,#60067,.T.); #173069=EDGE_CURVE('',#142500,#142500,#134862,.T.); #173070=EDGE_CURVE('',#142501,#142501,#134863,.T.); #173071=EDGE_CURVE('',#142501,#142502,#60068,.T.); #173072=EDGE_CURVE('',#142502,#142502,#134864,.T.); #173073=EDGE_CURVE('',#142503,#142503,#134865,.T.); #173074=EDGE_CURVE('',#142503,#142504,#60069,.T.); #173075=EDGE_CURVE('',#142504,#142504,#134866,.T.); #173076=EDGE_CURVE('',#142505,#142505,#134867,.T.); #173077=EDGE_CURVE('',#142505,#142506,#60070,.T.); #173078=EDGE_CURVE('',#142506,#142506,#134868,.T.); #173079=EDGE_CURVE('',#142507,#142507,#134869,.T.); #173080=EDGE_CURVE('',#142507,#142508,#60071,.T.); #173081=EDGE_CURVE('',#142508,#142508,#134870,.T.); #173082=EDGE_CURVE('',#142509,#142509,#134871,.T.); #173083=EDGE_CURVE('',#142509,#142510,#60072,.T.); #173084=EDGE_CURVE('',#142510,#142510,#134872,.T.); #173085=EDGE_CURVE('',#142511,#142511,#134873,.T.); #173086=EDGE_CURVE('',#142511,#142512,#60073,.T.); #173087=EDGE_CURVE('',#142512,#142512,#134874,.T.); #173088=EDGE_CURVE('',#142513,#142513,#134875,.T.); #173089=EDGE_CURVE('',#142513,#142514,#60074,.T.); #173090=EDGE_CURVE('',#142514,#142514,#134876,.T.); #173091=EDGE_CURVE('',#142515,#142515,#134877,.T.); #173092=EDGE_CURVE('',#142515,#142516,#60075,.T.); #173093=EDGE_CURVE('',#142516,#142516,#134878,.T.); #173094=EDGE_CURVE('',#142517,#142517,#134879,.T.); #173095=EDGE_CURVE('',#142517,#142518,#60076,.T.); #173096=EDGE_CURVE('',#142518,#142518,#134880,.T.); #173097=EDGE_CURVE('',#142519,#142519,#134881,.T.); #173098=EDGE_CURVE('',#142519,#142520,#60077,.T.); #173099=EDGE_CURVE('',#142520,#142520,#134882,.T.); #173100=EDGE_CURVE('',#142521,#142521,#134883,.T.); #173101=EDGE_CURVE('',#142521,#142522,#60078,.T.); #173102=EDGE_CURVE('',#142522,#142522,#134884,.T.); #173103=EDGE_CURVE('',#142523,#142523,#134885,.T.); #173104=EDGE_CURVE('',#142523,#142524,#60079,.T.); #173105=EDGE_CURVE('',#142524,#142524,#134886,.T.); #173106=EDGE_CURVE('',#142525,#142525,#134887,.T.); #173107=EDGE_CURVE('',#142525,#142526,#60080,.T.); #173108=EDGE_CURVE('',#142526,#142526,#134888,.T.); #173109=EDGE_CURVE('',#142527,#142527,#134889,.T.); #173110=EDGE_CURVE('',#142527,#142528,#60081,.T.); #173111=EDGE_CURVE('',#142528,#142528,#134890,.T.); #173112=EDGE_CURVE('',#142529,#142529,#134891,.T.); #173113=EDGE_CURVE('',#142529,#142530,#60082,.T.); #173114=EDGE_CURVE('',#142530,#142530,#134892,.T.); #173115=EDGE_CURVE('',#142531,#142531,#134893,.T.); #173116=EDGE_CURVE('',#142531,#142532,#60083,.T.); #173117=EDGE_CURVE('',#142532,#142532,#134894,.T.); #173118=EDGE_CURVE('',#142533,#142533,#134895,.T.); #173119=EDGE_CURVE('',#142533,#142534,#60084,.T.); #173120=EDGE_CURVE('',#142534,#142534,#134896,.T.); #173121=EDGE_CURVE('',#142535,#142535,#134897,.T.); #173122=EDGE_CURVE('',#142535,#142536,#60085,.T.); #173123=EDGE_CURVE('',#142536,#142536,#134898,.T.); #173124=EDGE_CURVE('',#142537,#142537,#134899,.T.); #173125=EDGE_CURVE('',#142537,#142538,#60086,.T.); #173126=EDGE_CURVE('',#142538,#142538,#134900,.T.); #173127=EDGE_CURVE('',#142539,#142539,#134901,.T.); #173128=EDGE_CURVE('',#142539,#142540,#60087,.T.); #173129=EDGE_CURVE('',#142540,#142540,#134902,.T.); #173130=EDGE_CURVE('',#142541,#142541,#134903,.T.); #173131=EDGE_CURVE('',#142541,#142542,#60088,.T.); #173132=EDGE_CURVE('',#142542,#142542,#134904,.T.); #173133=EDGE_CURVE('',#142543,#142543,#134905,.T.); #173134=EDGE_CURVE('',#142543,#142544,#60089,.T.); #173135=EDGE_CURVE('',#142544,#142544,#134906,.T.); #173136=EDGE_CURVE('',#142545,#142545,#134907,.T.); #173137=EDGE_CURVE('',#142545,#142546,#60090,.T.); #173138=EDGE_CURVE('',#142546,#142546,#134908,.T.); #173139=EDGE_CURVE('',#142547,#142547,#134909,.T.); #173140=EDGE_CURVE('',#142547,#142548,#60091,.T.); #173141=EDGE_CURVE('',#142548,#142548,#134910,.T.); #173142=EDGE_CURVE('',#142549,#142549,#134911,.T.); #173143=EDGE_CURVE('',#142549,#142550,#60092,.T.); #173144=EDGE_CURVE('',#142550,#142550,#134912,.T.); #173145=EDGE_CURVE('',#142551,#142551,#134913,.T.); #173146=EDGE_CURVE('',#142551,#142552,#60093,.T.); #173147=EDGE_CURVE('',#142552,#142552,#134914,.T.); #173148=EDGE_CURVE('',#142553,#142553,#134915,.T.); #173149=EDGE_CURVE('',#142553,#142554,#60094,.T.); #173150=EDGE_CURVE('',#142554,#142554,#134916,.T.); #173151=EDGE_CURVE('',#142555,#142555,#134917,.T.); #173152=EDGE_CURVE('',#142555,#142556,#60095,.T.); #173153=EDGE_CURVE('',#142556,#142556,#134918,.T.); #173154=EDGE_CURVE('',#142557,#142557,#134919,.T.); #173155=EDGE_CURVE('',#142557,#142558,#60096,.T.); #173156=EDGE_CURVE('',#142558,#142558,#134920,.T.); #173157=EDGE_CURVE('',#142559,#142559,#134921,.T.); #173158=EDGE_CURVE('',#142559,#142560,#60097,.T.); #173159=EDGE_CURVE('',#142560,#142560,#134922,.T.); #173160=EDGE_CURVE('',#142561,#142561,#134923,.T.); #173161=EDGE_CURVE('',#142561,#142562,#60098,.T.); #173162=EDGE_CURVE('',#142562,#142562,#134924,.T.); #173163=EDGE_CURVE('',#142563,#142563,#134925,.T.); #173164=EDGE_CURVE('',#142563,#142564,#60099,.T.); #173165=EDGE_CURVE('',#142564,#142564,#134926,.T.); #173166=EDGE_CURVE('',#142565,#142565,#134927,.T.); #173167=EDGE_CURVE('',#142565,#142566,#60100,.T.); #173168=EDGE_CURVE('',#142566,#142566,#134928,.T.); #173169=EDGE_CURVE('',#142567,#142567,#134929,.T.); #173170=EDGE_CURVE('',#142567,#142568,#60101,.T.); #173171=EDGE_CURVE('',#142568,#142568,#134930,.T.); #173172=EDGE_CURVE('',#142569,#142569,#134931,.T.); #173173=EDGE_CURVE('',#142569,#142570,#60102,.T.); #173174=EDGE_CURVE('',#142570,#142570,#134932,.T.); #173175=EDGE_CURVE('',#142571,#142571,#134933,.T.); #173176=EDGE_CURVE('',#142571,#142572,#60103,.T.); #173177=EDGE_CURVE('',#142572,#142572,#134934,.T.); #173178=EDGE_CURVE('',#142573,#142573,#134935,.T.); #173179=EDGE_CURVE('',#142573,#142574,#60104,.T.); #173180=EDGE_CURVE('',#142574,#142574,#134936,.T.); #173181=EDGE_CURVE('',#142575,#142575,#134937,.T.); #173182=EDGE_CURVE('',#142575,#142576,#60105,.T.); #173183=EDGE_CURVE('',#142576,#142576,#134938,.T.); #173184=EDGE_CURVE('',#142577,#142577,#134939,.T.); #173185=EDGE_CURVE('',#142577,#142578,#60106,.T.); #173186=EDGE_CURVE('',#142578,#142578,#134940,.T.); #173187=EDGE_CURVE('',#142579,#142579,#134941,.T.); #173188=EDGE_CURVE('',#142579,#142580,#60107,.T.); #173189=EDGE_CURVE('',#142580,#142580,#134942,.T.); #173190=EDGE_CURVE('',#142581,#142581,#134943,.T.); #173191=EDGE_CURVE('',#142581,#142582,#60108,.T.); #173192=EDGE_CURVE('',#142582,#142582,#134944,.T.); #173193=EDGE_CURVE('',#142583,#142583,#134945,.T.); #173194=EDGE_CURVE('',#142583,#142584,#60109,.T.); #173195=EDGE_CURVE('',#142584,#142584,#134946,.T.); #173196=EDGE_CURVE('',#142585,#142585,#134947,.T.); #173197=EDGE_CURVE('',#142585,#142586,#60110,.T.); #173198=EDGE_CURVE('',#142586,#142586,#134948,.T.); #173199=EDGE_CURVE('',#142587,#142587,#134949,.T.); #173200=EDGE_CURVE('',#142587,#142588,#60111,.T.); #173201=EDGE_CURVE('',#142588,#142588,#134950,.T.); #173202=EDGE_CURVE('',#142589,#142589,#134951,.T.); #173203=EDGE_CURVE('',#142589,#142590,#60112,.T.); #173204=EDGE_CURVE('',#142590,#142590,#134952,.T.); #173205=EDGE_CURVE('',#142591,#142591,#134953,.T.); #173206=EDGE_CURVE('',#142591,#142592,#60113,.T.); #173207=EDGE_CURVE('',#142592,#142592,#134954,.T.); #173208=EDGE_CURVE('',#142593,#142594,#60114,.T.); #173209=EDGE_CURVE('',#142594,#142595,#60115,.T.); #173210=EDGE_CURVE('',#142596,#142595,#60116,.T.); #173211=EDGE_CURVE('',#142593,#142596,#60117,.T.); #173212=EDGE_CURVE('',#142597,#142593,#134955,.T.); #173213=EDGE_CURVE('',#142598,#142596,#134956,.T.); #173214=EDGE_CURVE('',#142597,#142598,#60118,.T.); #173215=EDGE_CURVE('',#142594,#142597,#134957,.T.); #173216=EDGE_CURVE('',#142595,#142598,#134958,.T.); #173217=EDGE_CURVE('',#142599,#142600,#60119,.T.); #173218=EDGE_CURVE('',#142600,#142601,#60120,.T.); #173219=EDGE_CURVE('',#142602,#142601,#60121,.T.); #173220=EDGE_CURVE('',#142599,#142602,#60122,.T.); #173221=EDGE_CURVE('',#142603,#142599,#60123,.T.); #173222=EDGE_CURVE('',#142604,#142602,#60124,.T.); #173223=EDGE_CURVE('',#142603,#142604,#60125,.T.); #173224=EDGE_CURVE('',#142605,#142603,#60126,.T.); #173225=EDGE_CURVE('',#142606,#142604,#60127,.T.); #173226=EDGE_CURVE('',#142605,#142606,#60128,.T.); #173227=EDGE_CURVE('',#142600,#142605,#60129,.T.); #173228=EDGE_CURVE('',#142601,#142606,#60130,.T.); #173229=EDGE_CURVE('',#142607,#142608,#60131,.T.); #173230=EDGE_CURVE('',#142608,#142609,#60132,.T.); #173231=EDGE_CURVE('',#142610,#142609,#60133,.T.); #173232=EDGE_CURVE('',#142607,#142610,#60134,.T.); #173233=EDGE_CURVE('',#142611,#142607,#60135,.T.); #173234=EDGE_CURVE('',#142612,#142610,#60136,.T.); #173235=EDGE_CURVE('',#142611,#142612,#60137,.T.); #173236=EDGE_CURVE('',#142613,#142611,#60138,.T.); #173237=EDGE_CURVE('',#142614,#142612,#60139,.T.); #173238=EDGE_CURVE('',#142613,#142614,#60140,.T.); #173239=EDGE_CURVE('',#142608,#142613,#60141,.T.); #173240=EDGE_CURVE('',#142609,#142614,#60142,.T.); #173241=EDGE_CURVE('',#142615,#142616,#60143,.T.); #173242=EDGE_CURVE('',#142616,#142617,#60144,.T.); #173243=EDGE_CURVE('',#142618,#142617,#60145,.T.); #173244=EDGE_CURVE('',#142615,#142618,#60146,.T.); #173245=EDGE_CURVE('',#142619,#142615,#60147,.T.); #173246=EDGE_CURVE('',#142620,#142618,#60148,.T.); #173247=EDGE_CURVE('',#142619,#142620,#60149,.T.); #173248=EDGE_CURVE('',#142621,#142619,#60150,.T.); #173249=EDGE_CURVE('',#142622,#142620,#60151,.T.); #173250=EDGE_CURVE('',#142621,#142622,#60152,.T.); #173251=EDGE_CURVE('',#142616,#142621,#60153,.T.); #173252=EDGE_CURVE('',#142617,#142622,#60154,.T.); #173253=EDGE_CURVE('',#142623,#142624,#60155,.T.); #173254=EDGE_CURVE('',#142624,#142625,#60156,.T.); #173255=EDGE_CURVE('',#142626,#142625,#60157,.T.); #173256=EDGE_CURVE('',#142623,#142626,#60158,.T.); #173257=EDGE_CURVE('',#142627,#142623,#60159,.T.); #173258=EDGE_CURVE('',#142628,#142626,#60160,.T.); #173259=EDGE_CURVE('',#142627,#142628,#60161,.T.); #173260=EDGE_CURVE('',#142629,#142627,#60162,.T.); #173261=EDGE_CURVE('',#142630,#142628,#60163,.T.); #173262=EDGE_CURVE('',#142629,#142630,#60164,.T.); #173263=EDGE_CURVE('',#142624,#142629,#60165,.T.); #173264=EDGE_CURVE('',#142625,#142630,#60166,.T.); #173265=EDGE_CURVE('',#142631,#142632,#60167,.T.); #173266=EDGE_CURVE('',#142632,#142633,#60168,.T.); #173267=EDGE_CURVE('',#142634,#142633,#60169,.T.); #173268=EDGE_CURVE('',#142631,#142634,#60170,.T.); #173269=EDGE_CURVE('',#142635,#142631,#60171,.T.); #173270=EDGE_CURVE('',#142636,#142634,#60172,.T.); #173271=EDGE_CURVE('',#142635,#142636,#60173,.T.); #173272=EDGE_CURVE('',#142637,#142635,#60174,.T.); #173273=EDGE_CURVE('',#142638,#142636,#60175,.T.); #173274=EDGE_CURVE('',#142637,#142638,#60176,.T.); #173275=EDGE_CURVE('',#142632,#142637,#60177,.T.); #173276=EDGE_CURVE('',#142633,#142638,#60178,.T.); #173277=EDGE_CURVE('',#142639,#142640,#60179,.T.); #173278=EDGE_CURVE('',#142640,#142641,#60180,.T.); #173279=EDGE_CURVE('',#142642,#142641,#60181,.T.); #173280=EDGE_CURVE('',#142639,#142642,#60182,.T.); #173281=EDGE_CURVE('',#142643,#142639,#60183,.T.); #173282=EDGE_CURVE('',#142644,#142642,#60184,.T.); #173283=EDGE_CURVE('',#142643,#142644,#60185,.T.); #173284=EDGE_CURVE('',#142645,#142643,#60186,.T.); #173285=EDGE_CURVE('',#142646,#142644,#60187,.T.); #173286=EDGE_CURVE('',#142645,#142646,#60188,.T.); #173287=EDGE_CURVE('',#142640,#142645,#60189,.T.); #173288=EDGE_CURVE('',#142641,#142646,#60190,.T.); #173289=EDGE_CURVE('',#142647,#142648,#60191,.T.); #173290=EDGE_CURVE('',#142648,#142649,#60192,.T.); #173291=EDGE_CURVE('',#142650,#142649,#60193,.T.); #173292=EDGE_CURVE('',#142647,#142650,#60194,.T.); #173293=EDGE_CURVE('',#142651,#142647,#60195,.T.); #173294=EDGE_CURVE('',#142652,#142650,#60196,.T.); #173295=EDGE_CURVE('',#142651,#142652,#60197,.T.); #173296=EDGE_CURVE('',#142653,#142651,#60198,.T.); #173297=EDGE_CURVE('',#142654,#142652,#60199,.T.); #173298=EDGE_CURVE('',#142653,#142654,#60200,.T.); #173299=EDGE_CURVE('',#142648,#142653,#60201,.T.); #173300=EDGE_CURVE('',#142649,#142654,#60202,.T.); #173301=EDGE_CURVE('',#142655,#142656,#60203,.T.); #173302=EDGE_CURVE('',#142656,#142657,#60204,.T.); #173303=EDGE_CURVE('',#142658,#142657,#60205,.T.); #173304=EDGE_CURVE('',#142655,#142658,#60206,.T.); #173305=EDGE_CURVE('',#142659,#142655,#60207,.T.); #173306=EDGE_CURVE('',#142660,#142658,#60208,.T.); #173307=EDGE_CURVE('',#142659,#142660,#60209,.T.); #173308=EDGE_CURVE('',#142661,#142659,#60210,.T.); #173309=EDGE_CURVE('',#142662,#142660,#60211,.T.); #173310=EDGE_CURVE('',#142661,#142662,#60212,.T.); #173311=EDGE_CURVE('',#142656,#142661,#60213,.T.); #173312=EDGE_CURVE('',#142657,#142662,#60214,.T.); #173313=EDGE_CURVE('',#142663,#142664,#60215,.T.); #173314=EDGE_CURVE('',#142664,#142665,#60216,.T.); #173315=EDGE_CURVE('',#142666,#142665,#60217,.T.); #173316=EDGE_CURVE('',#142663,#142666,#60218,.T.); #173317=EDGE_CURVE('',#142667,#142663,#60219,.T.); #173318=EDGE_CURVE('',#142668,#142666,#60220,.T.); #173319=EDGE_CURVE('',#142667,#142668,#60221,.T.); #173320=EDGE_CURVE('',#142669,#142667,#60222,.T.); #173321=EDGE_CURVE('',#142670,#142668,#60223,.T.); #173322=EDGE_CURVE('',#142669,#142670,#60224,.T.); #173323=EDGE_CURVE('',#142664,#142669,#60225,.T.); #173324=EDGE_CURVE('',#142665,#142670,#60226,.T.); #173325=EDGE_CURVE('',#142671,#142672,#60227,.T.); #173326=EDGE_CURVE('',#142672,#142673,#60228,.T.); #173327=EDGE_CURVE('',#142674,#142673,#60229,.T.); #173328=EDGE_CURVE('',#142671,#142674,#60230,.T.); #173329=EDGE_CURVE('',#142675,#142671,#60231,.T.); #173330=EDGE_CURVE('',#142676,#142674,#60232,.T.); #173331=EDGE_CURVE('',#142675,#142676,#60233,.T.); #173332=EDGE_CURVE('',#142677,#142675,#60234,.T.); #173333=EDGE_CURVE('',#142678,#142676,#60235,.T.); #173334=EDGE_CURVE('',#142677,#142678,#60236,.T.); #173335=EDGE_CURVE('',#142672,#142677,#60237,.T.); #173336=EDGE_CURVE('',#142673,#142678,#60238,.T.); #173337=EDGE_CURVE('',#142679,#142679,#134959,.T.); #173338=EDGE_CURVE('',#142679,#142680,#60239,.T.); #173339=EDGE_CURVE('',#142680,#142680,#134960,.T.); #173340=EDGE_CURVE('',#142681,#142682,#60240,.T.); #173341=EDGE_CURVE('',#142682,#142683,#60241,.T.); #173342=EDGE_CURVE('',#142684,#142683,#60242,.T.); #173343=EDGE_CURVE('',#142681,#142684,#60243,.T.); #173344=EDGE_CURVE('',#142685,#142681,#134961,.T.); #173345=EDGE_CURVE('',#142686,#142684,#134962,.T.); #173346=EDGE_CURVE('',#142685,#142686,#60244,.T.); #173347=EDGE_CURVE('',#142682,#142685,#134963,.T.); #173348=EDGE_CURVE('',#142683,#142686,#134964,.T.); #173349=EDGE_CURVE('',#142687,#142687,#134965,.T.); #173350=EDGE_CURVE('',#142687,#142688,#60245,.T.); #173351=EDGE_CURVE('',#142688,#142688,#134966,.T.); #173352=EDGE_CURVE('',#142689,#142689,#134967,.T.); #173353=EDGE_CURVE('',#142689,#142690,#60246,.T.); #173354=EDGE_CURVE('',#142690,#142690,#134968,.T.); #173355=EDGE_CURVE('',#142691,#142692,#60247,.T.); #173356=EDGE_CURVE('',#142692,#142693,#60248,.T.); #173357=EDGE_CURVE('',#142694,#142693,#60249,.T.); #173358=EDGE_CURVE('',#142691,#142694,#60250,.T.); #173359=EDGE_CURVE('',#142695,#142691,#134969,.T.); #173360=EDGE_CURVE('',#142696,#142694,#134970,.T.); #173361=EDGE_CURVE('',#142695,#142696,#60251,.T.); #173362=EDGE_CURVE('',#142697,#142695,#134971,.T.); #173363=EDGE_CURVE('',#142698,#142696,#134972,.T.); #173364=EDGE_CURVE('',#142697,#142698,#60252,.T.); #173365=EDGE_CURVE('',#142699,#142697,#60253,.T.); #173366=EDGE_CURVE('',#142700,#142698,#60254,.T.); #173367=EDGE_CURVE('',#142699,#142700,#60255,.T.); #173368=EDGE_CURVE('',#142701,#142699,#134973,.T.); #173369=EDGE_CURVE('',#142702,#142700,#134974,.T.); #173370=EDGE_CURVE('',#142701,#142702,#60256,.T.); #173371=EDGE_CURVE('',#142703,#142701,#134975,.T.); #173372=EDGE_CURVE('',#142704,#142702,#134976,.T.); #173373=EDGE_CURVE('',#142703,#142704,#60257,.T.); #173374=EDGE_CURVE('',#142705,#142703,#60258,.T.); #173375=EDGE_CURVE('',#142706,#142704,#60259,.T.); #173376=EDGE_CURVE('',#142705,#142706,#60260,.T.); #173377=EDGE_CURVE('',#142692,#142705,#134977,.T.); #173378=EDGE_CURVE('',#142693,#142706,#134978,.T.); #173379=EDGE_CURVE('',#142707,#142707,#134979,.T.); #173380=EDGE_CURVE('',#142707,#142708,#60261,.T.); #173381=EDGE_CURVE('',#142708,#142708,#134980,.T.); #173382=EDGE_CURVE('',#142709,#142710,#60262,.T.); #173383=EDGE_CURVE('',#142710,#142711,#60263,.T.); #173384=EDGE_CURVE('',#142712,#142711,#60264,.T.); #173385=EDGE_CURVE('',#142709,#142712,#60265,.T.); #173386=EDGE_CURVE('',#142713,#142709,#134981,.T.); #173387=EDGE_CURVE('',#142714,#142712,#134982,.T.); #173388=EDGE_CURVE('',#142713,#142714,#60266,.T.); #173389=EDGE_CURVE('',#142710,#142713,#134983,.T.); #173390=EDGE_CURVE('',#142711,#142714,#134984,.T.); #173391=EDGE_CURVE('',#142715,#142715,#134985,.T.); #173392=EDGE_CURVE('',#142715,#142716,#60267,.T.); #173393=EDGE_CURVE('',#142716,#142716,#134986,.T.); #173394=EDGE_CURVE('',#142717,#142718,#60268,.T.); #173395=EDGE_CURVE('',#142718,#142719,#60269,.T.); #173396=EDGE_CURVE('',#142720,#142719,#60270,.T.); #173397=EDGE_CURVE('',#142717,#142720,#60271,.T.); #173398=EDGE_CURVE('',#142721,#142717,#134987,.T.); #173399=EDGE_CURVE('',#142722,#142720,#134988,.T.); #173400=EDGE_CURVE('',#142721,#142722,#60272,.T.); #173401=EDGE_CURVE('',#142718,#142721,#134989,.T.); #173402=EDGE_CURVE('',#142719,#142722,#134990,.T.); #173403=EDGE_CURVE('',#142723,#142723,#134991,.T.); #173404=EDGE_CURVE('',#142723,#142724,#60273,.T.); #173405=EDGE_CURVE('',#142724,#142724,#134992,.T.); #173406=EDGE_CURVE('',#142725,#142726,#60274,.T.); #173407=EDGE_CURVE('',#142726,#142727,#60275,.T.); #173408=EDGE_CURVE('',#142728,#142727,#60276,.T.); #173409=EDGE_CURVE('',#142725,#142728,#60277,.T.); #173410=EDGE_CURVE('',#142729,#142725,#134993,.T.); #173411=EDGE_CURVE('',#142730,#142728,#134994,.T.); #173412=EDGE_CURVE('',#142729,#142730,#60278,.T.); #173413=EDGE_CURVE('',#142731,#142729,#60279,.T.); #173414=EDGE_CURVE('',#142732,#142730,#60280,.T.); #173415=EDGE_CURVE('',#142731,#142732,#60281,.T.); #173416=EDGE_CURVE('',#142733,#142731,#134995,.T.); #173417=EDGE_CURVE('',#142734,#142732,#134996,.T.); #173418=EDGE_CURVE('',#142733,#142734,#60282,.T.); #173419=EDGE_CURVE('',#142735,#142733,#60283,.T.); #173420=EDGE_CURVE('',#142736,#142734,#60284,.T.); #173421=EDGE_CURVE('',#142735,#142736,#60285,.T.); #173422=EDGE_CURVE('',#142737,#142735,#60286,.T.); #173423=EDGE_CURVE('',#142738,#142736,#60287,.T.); #173424=EDGE_CURVE('',#142737,#142738,#60288,.T.); #173425=EDGE_CURVE('',#142739,#142737,#60289,.T.); #173426=EDGE_CURVE('',#142740,#142738,#60290,.T.); #173427=EDGE_CURVE('',#142739,#142740,#60291,.T.); #173428=EDGE_CURVE('',#142741,#142739,#60292,.T.); #173429=EDGE_CURVE('',#142742,#142740,#60293,.T.); #173430=EDGE_CURVE('',#142741,#142742,#60294,.T.); #173431=EDGE_CURVE('',#142743,#142741,#60295,.T.); #173432=EDGE_CURVE('',#142744,#142742,#60296,.T.); #173433=EDGE_CURVE('',#142743,#142744,#60297,.T.); #173434=EDGE_CURVE('',#142745,#142743,#60298,.T.); #173435=EDGE_CURVE('',#142746,#142744,#60299,.T.); #173436=EDGE_CURVE('',#142745,#142746,#60300,.T.); #173437=EDGE_CURVE('',#142747,#142745,#60301,.T.); #173438=EDGE_CURVE('',#142748,#142746,#60302,.T.); #173439=EDGE_CURVE('',#142747,#142748,#60303,.T.); #173440=EDGE_CURVE('',#142749,#142747,#134997,.T.); #173441=EDGE_CURVE('',#142750,#142748,#134998,.T.); #173442=EDGE_CURVE('',#142749,#142750,#60304,.T.); #173443=EDGE_CURVE('',#142726,#142749,#134999,.T.); #173444=EDGE_CURVE('',#142727,#142750,#135000,.T.); #173445=EDGE_CURVE('',#142751,#142751,#135001,.T.); #173446=EDGE_CURVE('',#142751,#142752,#60305,.T.); #173447=EDGE_CURVE('',#142752,#142752,#135002,.T.); #173448=EDGE_CURVE('',#142753,#142754,#60306,.T.); #173449=EDGE_CURVE('',#142754,#142755,#60307,.T.); #173450=EDGE_CURVE('',#142756,#142755,#60308,.T.); #173451=EDGE_CURVE('',#142753,#142756,#60309,.T.); #173452=EDGE_CURVE('',#142757,#142753,#135003,.T.); #173453=EDGE_CURVE('',#142758,#142756,#135004,.T.); #173454=EDGE_CURVE('',#142757,#142758,#60310,.T.); #173455=EDGE_CURVE('',#142759,#142757,#60311,.T.); #173456=EDGE_CURVE('',#142760,#142758,#60312,.T.); #173457=EDGE_CURVE('',#142759,#142760,#60313,.T.); #173458=EDGE_CURVE('',#142761,#142759,#60314,.T.); #173459=EDGE_CURVE('',#142762,#142760,#60315,.T.); #173460=EDGE_CURVE('',#142761,#142762,#60316,.T.); #173461=EDGE_CURVE('',#142763,#142761,#60317,.T.); #173462=EDGE_CURVE('',#142764,#142762,#60318,.T.); #173463=EDGE_CURVE('',#142763,#142764,#60319,.T.); #173464=EDGE_CURVE('',#142765,#142763,#60320,.T.); #173465=EDGE_CURVE('',#142766,#142764,#60321,.T.); #173466=EDGE_CURVE('',#142765,#142766,#60322,.T.); #173467=EDGE_CURVE('',#142767,#142765,#60323,.T.); #173468=EDGE_CURVE('',#142768,#142766,#60324,.T.); #173469=EDGE_CURVE('',#142767,#142768,#60325,.T.); #173470=EDGE_CURVE('',#142769,#142767,#60326,.T.); #173471=EDGE_CURVE('',#142770,#142768,#60327,.T.); #173472=EDGE_CURVE('',#142769,#142770,#60328,.T.); #173473=EDGE_CURVE('',#142771,#142769,#60329,.T.); #173474=EDGE_CURVE('',#142772,#142770,#60330,.T.); #173475=EDGE_CURVE('',#142771,#142772,#60331,.T.); #173476=EDGE_CURVE('',#142754,#142771,#135005,.T.); #173477=EDGE_CURVE('',#142755,#142772,#135006,.T.); #173478=EDGE_CURVE('',#142773,#142774,#60332,.T.); #173479=EDGE_CURVE('',#142774,#142775,#60333,.T.); #173480=EDGE_CURVE('',#142776,#142775,#60334,.T.); #173481=EDGE_CURVE('',#142773,#142776,#60335,.T.); #173482=EDGE_CURVE('',#142777,#142773,#60336,.T.); #173483=EDGE_CURVE('',#142778,#142776,#60337,.T.); #173484=EDGE_CURVE('',#142777,#142778,#60338,.T.); #173485=EDGE_CURVE('',#142779,#142777,#60339,.T.); #173486=EDGE_CURVE('',#142780,#142778,#60340,.T.); #173487=EDGE_CURVE('',#142779,#142780,#60341,.T.); #173488=EDGE_CURVE('',#142781,#142779,#60342,.T.); #173489=EDGE_CURVE('',#142782,#142780,#60343,.T.); #173490=EDGE_CURVE('',#142781,#142782,#60344,.T.); #173491=EDGE_CURVE('',#142783,#142781,#60345,.T.); #173492=EDGE_CURVE('',#142784,#142782,#60346,.T.); #173493=EDGE_CURVE('',#142783,#142784,#60347,.T.); #173494=EDGE_CURVE('',#142785,#142783,#60348,.T.); #173495=EDGE_CURVE('',#142786,#142784,#60349,.T.); #173496=EDGE_CURVE('',#142785,#142786,#60350,.T.); #173497=EDGE_CURVE('',#142787,#142785,#60351,.T.); #173498=EDGE_CURVE('',#142788,#142786,#60352,.T.); #173499=EDGE_CURVE('',#142787,#142788,#60353,.T.); #173500=EDGE_CURVE('',#142789,#142787,#60354,.T.); #173501=EDGE_CURVE('',#142790,#142788,#60355,.T.); #173502=EDGE_CURVE('',#142789,#142790,#60356,.T.); #173503=EDGE_CURVE('',#142791,#142789,#135007,.T.); #173504=EDGE_CURVE('',#142792,#142790,#135008,.T.); #173505=EDGE_CURVE('',#142791,#142792,#60357,.T.); #173506=EDGE_CURVE('',#142793,#142791,#60358,.T.); #173507=EDGE_CURVE('',#142794,#142792,#60359,.T.); #173508=EDGE_CURVE('',#142793,#142794,#60360,.T.); #173509=EDGE_CURVE('',#142795,#142793,#135009,.T.); #173510=EDGE_CURVE('',#142796,#142794,#135010,.T.); #173511=EDGE_CURVE('',#142795,#142796,#60361,.T.); #173512=EDGE_CURVE('',#142797,#142795,#60362,.T.); #173513=EDGE_CURVE('',#142798,#142796,#60363,.T.); #173514=EDGE_CURVE('',#142797,#142798,#60364,.T.); #173515=EDGE_CURVE('',#142799,#142797,#60365,.T.); #173516=EDGE_CURVE('',#142800,#142798,#60366,.T.); #173517=EDGE_CURVE('',#142799,#142800,#60367,.T.); #173518=EDGE_CURVE('',#142801,#142799,#60368,.T.); #173519=EDGE_CURVE('',#142802,#142800,#60369,.T.); #173520=EDGE_CURVE('',#142801,#142802,#60370,.T.); #173521=EDGE_CURVE('',#142803,#142801,#60371,.T.); #173522=EDGE_CURVE('',#142804,#142802,#60372,.T.); #173523=EDGE_CURVE('',#142803,#142804,#60373,.T.); #173524=EDGE_CURVE('',#142805,#142803,#60374,.T.); #173525=EDGE_CURVE('',#142806,#142804,#60375,.T.); #173526=EDGE_CURVE('',#142805,#142806,#60376,.T.); #173527=EDGE_CURVE('',#142807,#142805,#60377,.T.); #173528=EDGE_CURVE('',#142808,#142806,#60378,.T.); #173529=EDGE_CURVE('',#142807,#142808,#60379,.T.); #173530=EDGE_CURVE('',#142809,#142807,#60380,.T.); #173531=EDGE_CURVE('',#142810,#142808,#60381,.T.); #173532=EDGE_CURVE('',#142809,#142810,#60382,.T.); #173533=EDGE_CURVE('',#142811,#142809,#135011,.T.); #173534=EDGE_CURVE('',#142812,#142810,#135012,.T.); #173535=EDGE_CURVE('',#142811,#142812,#60383,.T.); #173536=EDGE_CURVE('',#142774,#142811,#60384,.T.); #173537=EDGE_CURVE('',#142775,#142812,#60385,.T.); #173538=EDGE_CURVE('',#142813,#142814,#60386,.T.); #173539=EDGE_CURVE('',#142814,#142815,#60387,.T.); #173540=EDGE_CURVE('',#142816,#142815,#60388,.T.); #173541=EDGE_CURVE('',#142813,#142816,#60389,.T.); #173542=EDGE_CURVE('',#142817,#142813,#60390,.T.); #173543=EDGE_CURVE('',#142818,#142816,#60391,.T.); #173544=EDGE_CURVE('',#142817,#142818,#60392,.T.); #173545=EDGE_CURVE('',#142819,#142817,#60393,.T.); #173546=EDGE_CURVE('',#142820,#142818,#60394,.T.); #173547=EDGE_CURVE('',#142819,#142820,#60395,.T.); #173548=EDGE_CURVE('',#142821,#142819,#60396,.T.); #173549=EDGE_CURVE('',#142822,#142820,#60397,.T.); #173550=EDGE_CURVE('',#142821,#142822,#60398,.T.); #173551=EDGE_CURVE('',#142823,#142821,#60399,.T.); #173552=EDGE_CURVE('',#142824,#142822,#60400,.T.); #173553=EDGE_CURVE('',#142823,#142824,#60401,.T.); #173554=EDGE_CURVE('',#142825,#142823,#135013,.T.); #173555=EDGE_CURVE('',#142826,#142824,#135014,.T.); #173556=EDGE_CURVE('',#142825,#142826,#60402,.T.); #173557=EDGE_CURVE('',#142827,#142825,#60403,.T.); #173558=EDGE_CURVE('',#142828,#142826,#60404,.T.); #173559=EDGE_CURVE('',#142827,#142828,#60405,.T.); #173560=EDGE_CURVE('',#142829,#142827,#60406,.T.); #173561=EDGE_CURVE('',#142830,#142828,#60407,.T.); #173562=EDGE_CURVE('',#142829,#142830,#60408,.T.); #173563=EDGE_CURVE('',#142831,#142829,#60409,.T.); #173564=EDGE_CURVE('',#142832,#142830,#60410,.T.); #173565=EDGE_CURVE('',#142831,#142832,#60411,.T.); #173566=EDGE_CURVE('',#142833,#142831,#60412,.T.); #173567=EDGE_CURVE('',#142834,#142832,#60413,.T.); #173568=EDGE_CURVE('',#142833,#142834,#60414,.T.); #173569=EDGE_CURVE('',#142835,#142833,#60415,.T.); #173570=EDGE_CURVE('',#142836,#142834,#60416,.T.); #173571=EDGE_CURVE('',#142835,#142836,#60417,.T.); #173572=EDGE_CURVE('',#142837,#142835,#60418,.T.); #173573=EDGE_CURVE('',#142838,#142836,#60419,.T.); #173574=EDGE_CURVE('',#142837,#142838,#60420,.T.); #173575=EDGE_CURVE('',#142839,#142837,#60421,.T.); #173576=EDGE_CURVE('',#142840,#142838,#60422,.T.); #173577=EDGE_CURVE('',#142839,#142840,#60423,.T.); #173578=EDGE_CURVE('',#142841,#142839,#135015,.T.); #173579=EDGE_CURVE('',#142842,#142840,#135016,.T.); #173580=EDGE_CURVE('',#142841,#142842,#60424,.T.); #173581=EDGE_CURVE('',#142843,#142841,#60425,.T.); #173582=EDGE_CURVE('',#142844,#142842,#60426,.T.); #173583=EDGE_CURVE('',#142843,#142844,#60427,.T.); #173584=EDGE_CURVE('',#142845,#142843,#60428,.T.); #173585=EDGE_CURVE('',#142846,#142844,#60429,.T.); #173586=EDGE_CURVE('',#142845,#142846,#60430,.T.); #173587=EDGE_CURVE('',#142847,#142845,#135017,.T.); #173588=EDGE_CURVE('',#142848,#142846,#135018,.T.); #173589=EDGE_CURVE('',#142847,#142848,#60431,.T.); #173590=EDGE_CURVE('',#142849,#142847,#60432,.T.); #173591=EDGE_CURVE('',#142850,#142848,#60433,.T.); #173592=EDGE_CURVE('',#142849,#142850,#60434,.T.); #173593=EDGE_CURVE('',#142851,#142849,#60435,.T.); #173594=EDGE_CURVE('',#142852,#142850,#60436,.T.); #173595=EDGE_CURVE('',#142851,#142852,#60437,.T.); #173596=EDGE_CURVE('',#142853,#142851,#60438,.T.); #173597=EDGE_CURVE('',#142854,#142852,#60439,.T.); #173598=EDGE_CURVE('',#142853,#142854,#60440,.T.); #173599=EDGE_CURVE('',#142855,#142853,#60441,.T.); #173600=EDGE_CURVE('',#142856,#142854,#60442,.T.); #173601=EDGE_CURVE('',#142855,#142856,#60443,.T.); #173602=EDGE_CURVE('',#142857,#142855,#60444,.T.); #173603=EDGE_CURVE('',#142858,#142856,#60445,.T.); #173604=EDGE_CURVE('',#142857,#142858,#60446,.T.); #173605=EDGE_CURVE('',#142859,#142857,#60447,.T.); #173606=EDGE_CURVE('',#142860,#142858,#60448,.T.); #173607=EDGE_CURVE('',#142859,#142860,#60449,.T.); #173608=EDGE_CURVE('',#142861,#142859,#60450,.T.); #173609=EDGE_CURVE('',#142862,#142860,#60451,.T.); #173610=EDGE_CURVE('',#142861,#142862,#60452,.T.); #173611=EDGE_CURVE('',#142863,#142861,#135019,.T.); #173612=EDGE_CURVE('',#142864,#142862,#135020,.T.); #173613=EDGE_CURVE('',#142863,#142864,#60453,.T.); #173614=EDGE_CURVE('',#142865,#142863,#60454,.T.); #173615=EDGE_CURVE('',#142866,#142864,#60455,.T.); #173616=EDGE_CURVE('',#142865,#142866,#60456,.T.); #173617=EDGE_CURVE('',#142867,#142865,#60457,.T.); #173618=EDGE_CURVE('',#142868,#142866,#60458,.T.); #173619=EDGE_CURVE('',#142867,#142868,#60459,.T.); #173620=EDGE_CURVE('',#142869,#142867,#60460,.T.); #173621=EDGE_CURVE('',#142870,#142868,#60461,.T.); #173622=EDGE_CURVE('',#142869,#142870,#60462,.T.); #173623=EDGE_CURVE('',#142871,#142869,#60463,.T.); #173624=EDGE_CURVE('',#142872,#142870,#60464,.T.); #173625=EDGE_CURVE('',#142871,#142872,#60465,.T.); #173626=EDGE_CURVE('',#142873,#142871,#60466,.T.); #173627=EDGE_CURVE('',#142874,#142872,#60467,.T.); #173628=EDGE_CURVE('',#142873,#142874,#60468,.T.); #173629=EDGE_CURVE('',#142875,#142873,#135021,.T.); #173630=EDGE_CURVE('',#142876,#142874,#135022,.T.); #173631=EDGE_CURVE('',#142875,#142876,#60469,.T.); #173632=EDGE_CURVE('',#142877,#142875,#60470,.T.); #173633=EDGE_CURVE('',#142878,#142876,#60471,.T.); #173634=EDGE_CURVE('',#142877,#142878,#60472,.T.); #173635=EDGE_CURVE('',#142879,#142877,#135023,.T.); #173636=EDGE_CURVE('',#142880,#142878,#135024,.T.); #173637=EDGE_CURVE('',#142879,#142880,#60473,.T.); #173638=EDGE_CURVE('',#142881,#142879,#60474,.T.); #173639=EDGE_CURVE('',#142882,#142880,#60475,.T.); #173640=EDGE_CURVE('',#142881,#142882,#60476,.T.); #173641=EDGE_CURVE('',#142883,#142881,#60477,.T.); #173642=EDGE_CURVE('',#142884,#142882,#60478,.T.); #173643=EDGE_CURVE('',#142883,#142884,#60479,.T.); #173644=EDGE_CURVE('',#142814,#142883,#60480,.T.); #173645=EDGE_CURVE('',#142815,#142884,#60481,.T.); #173646=EDGE_CURVE('',#142885,#142886,#60482,.T.); #173647=EDGE_CURVE('',#142886,#142887,#60483,.T.); #173648=EDGE_CURVE('',#142888,#142887,#60484,.T.); #173649=EDGE_CURVE('',#142885,#142888,#60485,.T.); #173650=EDGE_CURVE('',#142889,#142885,#60486,.T.); #173651=EDGE_CURVE('',#142890,#142888,#60487,.T.); #173652=EDGE_CURVE('',#142889,#142890,#60488,.T.); #173653=EDGE_CURVE('',#142891,#142889,#60489,.T.); #173654=EDGE_CURVE('',#142892,#142890,#60490,.T.); #173655=EDGE_CURVE('',#142891,#142892,#60491,.T.); #173656=EDGE_CURVE('',#142893,#142891,#60492,.T.); #173657=EDGE_CURVE('',#142894,#142892,#60493,.T.); #173658=EDGE_CURVE('',#142893,#142894,#60494,.T.); #173659=EDGE_CURVE('',#142895,#142893,#60495,.T.); #173660=EDGE_CURVE('',#142896,#142894,#60496,.T.); #173661=EDGE_CURVE('',#142895,#142896,#60497,.T.); #173662=EDGE_CURVE('',#142897,#142895,#60498,.T.); #173663=EDGE_CURVE('',#142898,#142896,#60499,.T.); #173664=EDGE_CURVE('',#142897,#142898,#60500,.T.); #173665=EDGE_CURVE('',#142899,#142897,#135025,.T.); #173666=EDGE_CURVE('',#142900,#142898,#135026,.T.); #173667=EDGE_CURVE('',#142899,#142900,#60501,.T.); #173668=EDGE_CURVE('',#142901,#142899,#60502,.T.); #173669=EDGE_CURVE('',#142902,#142900,#60503,.T.); #173670=EDGE_CURVE('',#142901,#142902,#60504,.T.); #173671=EDGE_CURVE('',#142903,#142901,#135027,.T.); #173672=EDGE_CURVE('',#142904,#142902,#135028,.T.); #173673=EDGE_CURVE('',#142903,#142904,#60505,.T.); #173674=EDGE_CURVE('',#142905,#142903,#60506,.T.); #173675=EDGE_CURVE('',#142906,#142904,#60507,.T.); #173676=EDGE_CURVE('',#142905,#142906,#60508,.T.); #173677=EDGE_CURVE('',#142907,#142905,#60509,.T.); #173678=EDGE_CURVE('',#142908,#142906,#60510,.T.); #173679=EDGE_CURVE('',#142907,#142908,#60511,.T.); #173680=EDGE_CURVE('',#142909,#142907,#60512,.T.); #173681=EDGE_CURVE('',#142910,#142908,#60513,.T.); #173682=EDGE_CURVE('',#142909,#142910,#60514,.T.); #173683=EDGE_CURVE('',#142911,#142909,#60515,.T.); #173684=EDGE_CURVE('',#142912,#142910,#60516,.T.); #173685=EDGE_CURVE('',#142911,#142912,#60517,.T.); #173686=EDGE_CURVE('',#142913,#142911,#60518,.T.); #173687=EDGE_CURVE('',#142914,#142912,#60519,.T.); #173688=EDGE_CURVE('',#142913,#142914,#60520,.T.); #173689=EDGE_CURVE('',#142915,#142913,#135029,.T.); #173690=EDGE_CURVE('',#142916,#142914,#135030,.T.); #173691=EDGE_CURVE('',#142915,#142916,#60521,.T.); #173692=EDGE_CURVE('',#142917,#142915,#60522,.T.); #173693=EDGE_CURVE('',#142918,#142916,#60523,.T.); #173694=EDGE_CURVE('',#142917,#142918,#60524,.T.); #173695=EDGE_CURVE('',#142919,#142917,#60525,.T.); #173696=EDGE_CURVE('',#142920,#142918,#60526,.T.); #173697=EDGE_CURVE('',#142919,#142920,#60527,.T.); #173698=EDGE_CURVE('',#142921,#142919,#60528,.T.); #173699=EDGE_CURVE('',#142922,#142920,#60529,.T.); #173700=EDGE_CURVE('',#142921,#142922,#60530,.T.); #173701=EDGE_CURVE('',#142923,#142921,#60531,.T.); #173702=EDGE_CURVE('',#142924,#142922,#60532,.T.); #173703=EDGE_CURVE('',#142923,#142924,#60533,.T.); #173704=EDGE_CURVE('',#142925,#142923,#60534,.T.); #173705=EDGE_CURVE('',#142926,#142924,#60535,.T.); #173706=EDGE_CURVE('',#142925,#142926,#60536,.T.); #173707=EDGE_CURVE('',#142927,#142925,#60537,.T.); #173708=EDGE_CURVE('',#142928,#142926,#60538,.T.); #173709=EDGE_CURVE('',#142927,#142928,#60539,.T.); #173710=EDGE_CURVE('',#142929,#142927,#60540,.T.); #173711=EDGE_CURVE('',#142930,#142928,#60541,.T.); #173712=EDGE_CURVE('',#142929,#142930,#60542,.T.); #173713=EDGE_CURVE('',#142931,#142929,#135031,.T.); #173714=EDGE_CURVE('',#142932,#142930,#135032,.T.); #173715=EDGE_CURVE('',#142931,#142932,#60543,.T.); #173716=EDGE_CURVE('',#142933,#142931,#60544,.T.); #173717=EDGE_CURVE('',#142934,#142932,#60545,.T.); #173718=EDGE_CURVE('',#142933,#142934,#60546,.T.); #173719=EDGE_CURVE('',#142935,#142933,#60547,.T.); #173720=EDGE_CURVE('',#142936,#142934,#60548,.T.); #173721=EDGE_CURVE('',#142935,#142936,#60549,.T.); #173722=EDGE_CURVE('',#142937,#142935,#135033,.T.); #173723=EDGE_CURVE('',#142938,#142936,#135034,.T.); #173724=EDGE_CURVE('',#142937,#142938,#60550,.T.); #173725=EDGE_CURVE('',#142939,#142937,#60551,.T.); #173726=EDGE_CURVE('',#142940,#142938,#60552,.T.); #173727=EDGE_CURVE('',#142939,#142940,#60553,.T.); #173728=EDGE_CURVE('',#142941,#142939,#60554,.T.); #173729=EDGE_CURVE('',#142942,#142940,#60555,.T.); #173730=EDGE_CURVE('',#142941,#142942,#60556,.T.); #173731=EDGE_CURVE('',#142943,#142941,#60557,.T.); #173732=EDGE_CURVE('',#142944,#142942,#60558,.T.); #173733=EDGE_CURVE('',#142943,#142944,#60559,.T.); #173734=EDGE_CURVE('',#142945,#142943,#60560,.T.); #173735=EDGE_CURVE('',#142946,#142944,#60561,.T.); #173736=EDGE_CURVE('',#142945,#142946,#60562,.T.); #173737=EDGE_CURVE('',#142947,#142945,#60563,.T.); #173738=EDGE_CURVE('',#142948,#142946,#60564,.T.); #173739=EDGE_CURVE('',#142947,#142948,#60565,.T.); #173740=EDGE_CURVE('',#142949,#142947,#60566,.T.); #173741=EDGE_CURVE('',#142950,#142948,#60567,.T.); #173742=EDGE_CURVE('',#142949,#142950,#60568,.T.); #173743=EDGE_CURVE('',#142951,#142949,#60569,.T.); #173744=EDGE_CURVE('',#142952,#142950,#60570,.T.); #173745=EDGE_CURVE('',#142951,#142952,#60571,.T.); #173746=EDGE_CURVE('',#142953,#142951,#135035,.T.); #173747=EDGE_CURVE('',#142954,#142952,#135036,.T.); #173748=EDGE_CURVE('',#142953,#142954,#60572,.T.); #173749=EDGE_CURVE('',#142955,#142953,#60573,.T.); #173750=EDGE_CURVE('',#142956,#142954,#60574,.T.); #173751=EDGE_CURVE('',#142955,#142956,#60575,.T.); #173752=EDGE_CURVE('',#142886,#142955,#60576,.T.); #173753=EDGE_CURVE('',#142887,#142956,#60577,.T.); #173754=EDGE_CURVE('',#142957,#142957,#135037,.T.); #173755=EDGE_CURVE('',#142957,#142958,#60578,.T.); #173756=EDGE_CURVE('',#142958,#142958,#135038,.T.); #173757=EDGE_CURVE('',#142959,#142960,#60579,.T.); #173758=EDGE_CURVE('',#142960,#142961,#60580,.T.); #173759=EDGE_CURVE('',#142962,#142961,#60581,.T.); #173760=EDGE_CURVE('',#142959,#142962,#60582,.T.); #173761=EDGE_CURVE('',#142963,#142959,#135039,.T.); #173762=EDGE_CURVE('',#142964,#142962,#135040,.T.); #173763=EDGE_CURVE('',#142963,#142964,#60583,.T.); #173764=EDGE_CURVE('',#142965,#142963,#60584,.T.); #173765=EDGE_CURVE('',#142966,#142964,#60585,.T.); #173766=EDGE_CURVE('',#142965,#142966,#60586,.T.); #173767=EDGE_CURVE('',#142967,#142965,#60587,.T.); #173768=EDGE_CURVE('',#142968,#142966,#60588,.T.); #173769=EDGE_CURVE('',#142967,#142968,#60589,.T.); #173770=EDGE_CURVE('',#142969,#142967,#135041,.T.); #173771=EDGE_CURVE('',#142970,#142968,#135042,.T.); #173772=EDGE_CURVE('',#142969,#142970,#60590,.T.); #173773=EDGE_CURVE('',#142971,#142969,#60591,.T.); #173774=EDGE_CURVE('',#142972,#142970,#60592,.T.); #173775=EDGE_CURVE('',#142971,#142972,#60593,.T.); #173776=EDGE_CURVE('',#142973,#142971,#60594,.T.); #173777=EDGE_CURVE('',#142974,#142972,#60595,.T.); #173778=EDGE_CURVE('',#142973,#142974,#60596,.T.); #173779=EDGE_CURVE('',#142975,#142973,#135043,.T.); #173780=EDGE_CURVE('',#142976,#142974,#135044,.T.); #173781=EDGE_CURVE('',#142975,#142976,#60597,.T.); #173782=EDGE_CURVE('',#142977,#142975,#60598,.T.); #173783=EDGE_CURVE('',#142978,#142976,#60599,.T.); #173784=EDGE_CURVE('',#142977,#142978,#60600,.T.); #173785=EDGE_CURVE('',#142979,#142977,#60601,.T.); #173786=EDGE_CURVE('',#142980,#142978,#60602,.T.); #173787=EDGE_CURVE('',#142979,#142980,#60603,.T.); #173788=EDGE_CURVE('',#142981,#142979,#60604,.T.); #173789=EDGE_CURVE('',#142982,#142980,#60605,.T.); #173790=EDGE_CURVE('',#142981,#142982,#60606,.T.); #173791=EDGE_CURVE('',#142983,#142981,#60607,.T.); #173792=EDGE_CURVE('',#142984,#142982,#60608,.T.); #173793=EDGE_CURVE('',#142983,#142984,#60609,.T.); #173794=EDGE_CURVE('',#142985,#142983,#60610,.T.); #173795=EDGE_CURVE('',#142986,#142984,#60611,.T.); #173796=EDGE_CURVE('',#142985,#142986,#60612,.T.); #173797=EDGE_CURVE('',#142987,#142985,#60613,.T.); #173798=EDGE_CURVE('',#142988,#142986,#60614,.T.); #173799=EDGE_CURVE('',#142987,#142988,#60615,.T.); #173800=EDGE_CURVE('',#142989,#142987,#60616,.T.); #173801=EDGE_CURVE('',#142990,#142988,#60617,.T.); #173802=EDGE_CURVE('',#142989,#142990,#60618,.T.); #173803=EDGE_CURVE('',#142991,#142989,#60619,.T.); #173804=EDGE_CURVE('',#142992,#142990,#60620,.T.); #173805=EDGE_CURVE('',#142991,#142992,#60621,.T.); #173806=EDGE_CURVE('',#142993,#142991,#135045,.T.); #173807=EDGE_CURVE('',#142994,#142992,#135046,.T.); #173808=EDGE_CURVE('',#142993,#142994,#60622,.T.); #173809=EDGE_CURVE('',#142995,#142993,#60623,.T.); #173810=EDGE_CURVE('',#142996,#142994,#60624,.T.); #173811=EDGE_CURVE('',#142995,#142996,#60625,.T.); #173812=EDGE_CURVE('',#142997,#142995,#60626,.T.); #173813=EDGE_CURVE('',#142998,#142996,#60627,.T.); #173814=EDGE_CURVE('',#142997,#142998,#60628,.T.); #173815=EDGE_CURVE('',#142999,#142997,#135047,.T.); #173816=EDGE_CURVE('',#143000,#142998,#135048,.T.); #173817=EDGE_CURVE('',#142999,#143000,#60629,.T.); #173818=EDGE_CURVE('',#143001,#142999,#60630,.T.); #173819=EDGE_CURVE('',#143002,#143000,#60631,.T.); #173820=EDGE_CURVE('',#143001,#143002,#60632,.T.); #173821=EDGE_CURVE('',#142960,#143001,#135049,.T.); #173822=EDGE_CURVE('',#142961,#143002,#135050,.T.); #173823=EDGE_CURVE('',#143003,#143003,#135051,.T.); #173824=EDGE_CURVE('',#143003,#143004,#60633,.T.); #173825=EDGE_CURVE('',#143004,#143004,#135052,.T.); #173826=EDGE_CURVE('',#143005,#143006,#60634,.T.); #173827=EDGE_CURVE('',#143006,#143007,#60635,.T.); #173828=EDGE_CURVE('',#143008,#143007,#60636,.T.); #173829=EDGE_CURVE('',#143005,#143008,#60637,.T.); #173830=EDGE_CURVE('',#143009,#143005,#135053,.T.); #173831=EDGE_CURVE('',#143010,#143008,#135054,.T.); #173832=EDGE_CURVE('',#143009,#143010,#60638,.T.); #173833=EDGE_CURVE('',#143011,#143009,#60639,.T.); #173834=EDGE_CURVE('',#143012,#143010,#60640,.T.); #173835=EDGE_CURVE('',#143011,#143012,#60641,.T.); #173836=EDGE_CURVE('',#143013,#143011,#60642,.T.); #173837=EDGE_CURVE('',#143014,#143012,#60643,.T.); #173838=EDGE_CURVE('',#143013,#143014,#60644,.T.); #173839=EDGE_CURVE('',#143015,#143013,#135055,.T.); #173840=EDGE_CURVE('',#143016,#143014,#135056,.T.); #173841=EDGE_CURVE('',#143015,#143016,#60645,.T.); #173842=EDGE_CURVE('',#143017,#143015,#60646,.T.); #173843=EDGE_CURVE('',#143018,#143016,#60647,.T.); #173844=EDGE_CURVE('',#143017,#143018,#60648,.T.); #173845=EDGE_CURVE('',#143019,#143017,#60649,.T.); #173846=EDGE_CURVE('',#143020,#143018,#60650,.T.); #173847=EDGE_CURVE('',#143019,#143020,#60651,.T.); #173848=EDGE_CURVE('',#143021,#143019,#60652,.T.); #173849=EDGE_CURVE('',#143022,#143020,#60653,.T.); #173850=EDGE_CURVE('',#143021,#143022,#60654,.T.); #173851=EDGE_CURVE('',#143023,#143021,#60655,.T.); #173852=EDGE_CURVE('',#143024,#143022,#60656,.T.); #173853=EDGE_CURVE('',#143023,#143024,#60657,.T.); #173854=EDGE_CURVE('',#143025,#143023,#60658,.T.); #173855=EDGE_CURVE('',#143026,#143024,#60659,.T.); #173856=EDGE_CURVE('',#143025,#143026,#60660,.T.); #173857=EDGE_CURVE('',#143027,#143025,#60661,.T.); #173858=EDGE_CURVE('',#143028,#143026,#60662,.T.); #173859=EDGE_CURVE('',#143027,#143028,#60663,.T.); #173860=EDGE_CURVE('',#143029,#143027,#60664,.T.); #173861=EDGE_CURVE('',#143030,#143028,#60665,.T.); #173862=EDGE_CURVE('',#143029,#143030,#60666,.T.); #173863=EDGE_CURVE('',#143031,#143029,#60667,.T.); #173864=EDGE_CURVE('',#143032,#143030,#60668,.T.); #173865=EDGE_CURVE('',#143031,#143032,#60669,.T.); #173866=EDGE_CURVE('',#143033,#143031,#135057,.T.); #173867=EDGE_CURVE('',#143034,#143032,#135058,.T.); #173868=EDGE_CURVE('',#143033,#143034,#60670,.T.); #173869=EDGE_CURVE('',#143035,#143033,#60671,.T.); #173870=EDGE_CURVE('',#143036,#143034,#60672,.T.); #173871=EDGE_CURVE('',#143035,#143036,#60673,.T.); #173872=EDGE_CURVE('',#143006,#143035,#135059,.T.); #173873=EDGE_CURVE('',#143007,#143036,#135060,.T.); #173874=EDGE_CURVE('',#143037,#143037,#135061,.T.); #173875=EDGE_CURVE('',#143037,#143038,#60674,.T.); #173876=EDGE_CURVE('',#143038,#143038,#135062,.T.); #173877=EDGE_CURVE('',#143039,#143040,#60675,.T.); #173878=EDGE_CURVE('',#143040,#143041,#60676,.T.); #173879=EDGE_CURVE('',#143042,#143041,#60677,.T.); #173880=EDGE_CURVE('',#143039,#143042,#60678,.T.); #173881=EDGE_CURVE('',#143043,#143039,#135063,.T.); #173882=EDGE_CURVE('',#143044,#143042,#135064,.T.); #173883=EDGE_CURVE('',#143043,#143044,#60679,.T.); #173884=EDGE_CURVE('',#143045,#143043,#60680,.T.); #173885=EDGE_CURVE('',#143046,#143044,#60681,.T.); #173886=EDGE_CURVE('',#143045,#143046,#60682,.T.); #173887=EDGE_CURVE('',#143047,#143045,#60683,.T.); #173888=EDGE_CURVE('',#143048,#143046,#60684,.T.); #173889=EDGE_CURVE('',#143047,#143048,#60685,.T.); #173890=EDGE_CURVE('',#143049,#143047,#60686,.T.); #173891=EDGE_CURVE('',#143050,#143048,#60687,.T.); #173892=EDGE_CURVE('',#143049,#143050,#60688,.T.); #173893=EDGE_CURVE('',#143051,#143049,#60689,.T.); #173894=EDGE_CURVE('',#143052,#143050,#60690,.T.); #173895=EDGE_CURVE('',#143051,#143052,#60691,.T.); #173896=EDGE_CURVE('',#143053,#143051,#60692,.T.); #173897=EDGE_CURVE('',#143054,#143052,#60693,.T.); #173898=EDGE_CURVE('',#143053,#143054,#60694,.T.); #173899=EDGE_CURVE('',#143055,#143053,#60695,.T.); #173900=EDGE_CURVE('',#143056,#143054,#60696,.T.); #173901=EDGE_CURVE('',#143055,#143056,#60697,.T.); #173902=EDGE_CURVE('',#143057,#143055,#60698,.T.); #173903=EDGE_CURVE('',#143058,#143056,#60699,.T.); #173904=EDGE_CURVE('',#143057,#143058,#60700,.T.); #173905=EDGE_CURVE('',#143059,#143057,#135065,.T.); #173906=EDGE_CURVE('',#143060,#143058,#135066,.T.); #173907=EDGE_CURVE('',#143059,#143060,#60701,.T.); #173908=EDGE_CURVE('',#143061,#143059,#60702,.T.); #173909=EDGE_CURVE('',#143062,#143060,#60703,.T.); #173910=EDGE_CURVE('',#143061,#143062,#60704,.T.); #173911=EDGE_CURVE('',#143040,#143061,#135067,.T.); #173912=EDGE_CURVE('',#143041,#143062,#135068,.T.); #173913=EDGE_CURVE('',#143063,#143063,#135069,.T.); #173914=EDGE_CURVE('',#143063,#143064,#60705,.T.); #173915=EDGE_CURVE('',#143064,#143064,#135070,.T.); #173916=EDGE_CURVE('',#143065,#143066,#60706,.T.); #173917=EDGE_CURVE('',#143066,#143067,#60707,.T.); #173918=EDGE_CURVE('',#143068,#143067,#60708,.T.); #173919=EDGE_CURVE('',#143065,#143068,#60709,.T.); #173920=EDGE_CURVE('',#143069,#143065,#135071,.T.); #173921=EDGE_CURVE('',#143070,#143068,#135072,.T.); #173922=EDGE_CURVE('',#143069,#143070,#60710,.T.); #173923=EDGE_CURVE('',#143071,#143069,#60711,.T.); #173924=EDGE_CURVE('',#143072,#143070,#60712,.T.); #173925=EDGE_CURVE('',#143071,#143072,#60713,.T.); #173926=EDGE_CURVE('',#143073,#143071,#135073,.T.); #173927=EDGE_CURVE('',#143074,#143072,#135074,.T.); #173928=EDGE_CURVE('',#143073,#143074,#60714,.T.); #173929=EDGE_CURVE('',#143075,#143073,#60715,.T.); #173930=EDGE_CURVE('',#143076,#143074,#60716,.T.); #173931=EDGE_CURVE('',#143075,#143076,#60717,.T.); #173932=EDGE_CURVE('',#143077,#143075,#60718,.T.); #173933=EDGE_CURVE('',#143078,#143076,#60719,.T.); #173934=EDGE_CURVE('',#143077,#143078,#60720,.T.); #173935=EDGE_CURVE('',#143079,#143077,#60721,.T.); #173936=EDGE_CURVE('',#143080,#143078,#60722,.T.); #173937=EDGE_CURVE('',#143079,#143080,#60723,.T.); #173938=EDGE_CURVE('',#143081,#143079,#60724,.T.); #173939=EDGE_CURVE('',#143082,#143080,#60725,.T.); #173940=EDGE_CURVE('',#143081,#143082,#60726,.T.); #173941=EDGE_CURVE('',#143083,#143081,#60727,.T.); #173942=EDGE_CURVE('',#143084,#143082,#60728,.T.); #173943=EDGE_CURVE('',#143083,#143084,#60729,.T.); #173944=EDGE_CURVE('',#143085,#143083,#60730,.T.); #173945=EDGE_CURVE('',#143086,#143084,#60731,.T.); #173946=EDGE_CURVE('',#143085,#143086,#60732,.T.); #173947=EDGE_CURVE('',#143087,#143085,#60733,.T.); #173948=EDGE_CURVE('',#143088,#143086,#60734,.T.); #173949=EDGE_CURVE('',#143087,#143088,#60735,.T.); #173950=EDGE_CURVE('',#143089,#143087,#60736,.T.); #173951=EDGE_CURVE('',#143090,#143088,#60737,.T.); #173952=EDGE_CURVE('',#143089,#143090,#60738,.T.); #173953=EDGE_CURVE('',#143091,#143089,#60739,.T.); #173954=EDGE_CURVE('',#143092,#143090,#60740,.T.); #173955=EDGE_CURVE('',#143091,#143092,#60741,.T.); #173956=EDGE_CURVE('',#143066,#143091,#135075,.T.); #173957=EDGE_CURVE('',#143067,#143092,#135076,.T.); #173958=EDGE_CURVE('',#143093,#143093,#135077,.T.); #173959=EDGE_CURVE('',#143093,#143094,#60742,.T.); #173960=EDGE_CURVE('',#143094,#143094,#135078,.T.); #173961=EDGE_CURVE('',#143095,#143095,#135079,.T.); #173962=EDGE_CURVE('',#143095,#143096,#60743,.T.); #173963=EDGE_CURVE('',#143096,#143096,#135080,.T.); #173964=EDGE_CURVE('',#143097,#143098,#60744,.T.); #173965=EDGE_CURVE('',#143098,#143099,#60745,.T.); #173966=EDGE_CURVE('',#143100,#143099,#60746,.T.); #173967=EDGE_CURVE('',#143097,#143100,#60747,.T.); #173968=EDGE_CURVE('',#143101,#143097,#135081,.T.); #173969=EDGE_CURVE('',#143102,#143100,#135082,.T.); #173970=EDGE_CURVE('',#143101,#143102,#60748,.T.); #173971=EDGE_CURVE('',#143103,#143101,#135083,.T.); #173972=EDGE_CURVE('',#143104,#143102,#135084,.T.); #173973=EDGE_CURVE('',#143103,#143104,#60749,.T.); #173974=EDGE_CURVE('',#143105,#143103,#60750,.T.); #173975=EDGE_CURVE('',#143106,#143104,#60751,.T.); #173976=EDGE_CURVE('',#143105,#143106,#60752,.T.); #173977=EDGE_CURVE('',#143107,#143105,#135085,.T.); #173978=EDGE_CURVE('',#143108,#143106,#135086,.T.); #173979=EDGE_CURVE('',#143107,#143108,#60753,.T.); #173980=EDGE_CURVE('',#143109,#143107,#135087,.T.); #173981=EDGE_CURVE('',#143110,#143108,#135088,.T.); #173982=EDGE_CURVE('',#143109,#143110,#60754,.T.); #173983=EDGE_CURVE('',#143111,#143109,#60755,.T.); #173984=EDGE_CURVE('',#143112,#143110,#60756,.T.); #173985=EDGE_CURVE('',#143111,#143112,#60757,.T.); #173986=EDGE_CURVE('',#143098,#143111,#135089,.T.); #173987=EDGE_CURVE('',#143099,#143112,#135090,.T.); #173988=EDGE_CURVE('',#143113,#143113,#135091,.T.); #173989=EDGE_CURVE('',#143113,#143114,#60758,.T.); #173990=EDGE_CURVE('',#143114,#143114,#135092,.T.); #173991=EDGE_CURVE('',#143115,#143116,#60759,.T.); #173992=EDGE_CURVE('',#143116,#143117,#60760,.T.); #173993=EDGE_CURVE('',#143118,#143117,#60761,.T.); #173994=EDGE_CURVE('',#143115,#143118,#60762,.T.); #173995=EDGE_CURVE('',#143119,#143115,#135093,.T.); #173996=EDGE_CURVE('',#143120,#143118,#135094,.T.); #173997=EDGE_CURVE('',#143119,#143120,#60763,.T.); #173998=EDGE_CURVE('',#143121,#143119,#60764,.T.); #173999=EDGE_CURVE('',#143122,#143120,#60765,.T.); #174000=EDGE_CURVE('',#143121,#143122,#60766,.T.); #174001=EDGE_CURVE('',#143123,#143121,#60767,.T.); #174002=EDGE_CURVE('',#143124,#143122,#60768,.T.); #174003=EDGE_CURVE('',#143123,#143124,#60769,.T.); #174004=EDGE_CURVE('',#143125,#143123,#135095,.T.); #174005=EDGE_CURVE('',#143126,#143124,#135096,.T.); #174006=EDGE_CURVE('',#143125,#143126,#60770,.T.); #174007=EDGE_CURVE('',#143127,#143125,#60771,.T.); #174008=EDGE_CURVE('',#143128,#143126,#60772,.T.); #174009=EDGE_CURVE('',#143127,#143128,#60773,.T.); #174010=EDGE_CURVE('',#143129,#143127,#60774,.T.); #174011=EDGE_CURVE('',#143130,#143128,#60775,.T.); #174012=EDGE_CURVE('',#143129,#143130,#60776,.T.); #174013=EDGE_CURVE('',#143131,#143129,#60777,.T.); #174014=EDGE_CURVE('',#143132,#143130,#60778,.T.); #174015=EDGE_CURVE('',#143131,#143132,#60779,.T.); #174016=EDGE_CURVE('',#143133,#143131,#60780,.T.); #174017=EDGE_CURVE('',#143134,#143132,#60781,.T.); #174018=EDGE_CURVE('',#143133,#143134,#60782,.T.); #174019=EDGE_CURVE('',#143135,#143133,#60783,.T.); #174020=EDGE_CURVE('',#143136,#143134,#60784,.T.); #174021=EDGE_CURVE('',#143135,#143136,#60785,.T.); #174022=EDGE_CURVE('',#143137,#143135,#60786,.T.); #174023=EDGE_CURVE('',#143138,#143136,#60787,.T.); #174024=EDGE_CURVE('',#143137,#143138,#60788,.T.); #174025=EDGE_CURVE('',#143139,#143137,#60789,.T.); #174026=EDGE_CURVE('',#143140,#143138,#60790,.T.); #174027=EDGE_CURVE('',#143139,#143140,#60791,.T.); #174028=EDGE_CURVE('',#143141,#143139,#60792,.T.); #174029=EDGE_CURVE('',#143142,#143140,#60793,.T.); #174030=EDGE_CURVE('',#143141,#143142,#60794,.T.); #174031=EDGE_CURVE('',#143143,#143141,#135097,.T.); #174032=EDGE_CURVE('',#143144,#143142,#135098,.T.); #174033=EDGE_CURVE('',#143143,#143144,#60795,.T.); #174034=EDGE_CURVE('',#143145,#143143,#60796,.T.); #174035=EDGE_CURVE('',#143146,#143144,#60797,.T.); #174036=EDGE_CURVE('',#143145,#143146,#60798,.T.); #174037=EDGE_CURVE('',#143116,#143145,#135099,.T.); #174038=EDGE_CURVE('',#143117,#143146,#135100,.T.); #174039=EDGE_CURVE('',#143147,#143147,#135101,.T.); #174040=EDGE_CURVE('',#143147,#143148,#60799,.T.); #174041=EDGE_CURVE('',#143148,#143148,#135102,.T.); #174042=EDGE_CURVE('',#143149,#143150,#60800,.T.); #174043=EDGE_CURVE('',#143150,#143151,#60801,.T.); #174044=EDGE_CURVE('',#143152,#143151,#60802,.T.); #174045=EDGE_CURVE('',#143149,#143152,#60803,.T.); #174046=EDGE_CURVE('',#143153,#143149,#135103,.T.); #174047=EDGE_CURVE('',#143154,#143152,#135104,.T.); #174048=EDGE_CURVE('',#143153,#143154,#60804,.T.); #174049=EDGE_CURVE('',#143155,#143153,#60805,.T.); #174050=EDGE_CURVE('',#143156,#143154,#60806,.T.); #174051=EDGE_CURVE('',#143155,#143156,#60807,.T.); #174052=EDGE_CURVE('',#143157,#143155,#60808,.T.); #174053=EDGE_CURVE('',#143158,#143156,#60809,.T.); #174054=EDGE_CURVE('',#143157,#143158,#60810,.T.); #174055=EDGE_CURVE('',#143159,#143157,#135105,.T.); #174056=EDGE_CURVE('',#143160,#143158,#135106,.T.); #174057=EDGE_CURVE('',#143159,#143160,#60811,.T.); #174058=EDGE_CURVE('',#143161,#143159,#60812,.T.); #174059=EDGE_CURVE('',#143162,#143160,#60813,.T.); #174060=EDGE_CURVE('',#143161,#143162,#60814,.T.); #174061=EDGE_CURVE('',#143163,#143161,#60815,.T.); #174062=EDGE_CURVE('',#143164,#143162,#60816,.T.); #174063=EDGE_CURVE('',#143163,#143164,#60817,.T.); #174064=EDGE_CURVE('',#143165,#143163,#135107,.T.); #174065=EDGE_CURVE('',#143166,#143164,#135108,.T.); #174066=EDGE_CURVE('',#143165,#143166,#60818,.T.); #174067=EDGE_CURVE('',#143167,#143165,#60819,.T.); #174068=EDGE_CURVE('',#143168,#143166,#60820,.T.); #174069=EDGE_CURVE('',#143167,#143168,#60821,.T.); #174070=EDGE_CURVE('',#143169,#143167,#60822,.T.); #174071=EDGE_CURVE('',#143170,#143168,#60823,.T.); #174072=EDGE_CURVE('',#143169,#143170,#60824,.T.); #174073=EDGE_CURVE('',#143171,#143169,#60825,.T.); #174074=EDGE_CURVE('',#143172,#143170,#60826,.T.); #174075=EDGE_CURVE('',#143171,#143172,#60827,.T.); #174076=EDGE_CURVE('',#143173,#143171,#60828,.T.); #174077=EDGE_CURVE('',#143174,#143172,#60829,.T.); #174078=EDGE_CURVE('',#143173,#143174,#60830,.T.); #174079=EDGE_CURVE('',#143175,#143173,#60831,.T.); #174080=EDGE_CURVE('',#143176,#143174,#60832,.T.); #174081=EDGE_CURVE('',#143175,#143176,#60833,.T.); #174082=EDGE_CURVE('',#143177,#143175,#60834,.T.); #174083=EDGE_CURVE('',#143178,#143176,#60835,.T.); #174084=EDGE_CURVE('',#143177,#143178,#60836,.T.); #174085=EDGE_CURVE('',#143179,#143177,#60837,.T.); #174086=EDGE_CURVE('',#143180,#143178,#60838,.T.); #174087=EDGE_CURVE('',#143179,#143180,#60839,.T.); #174088=EDGE_CURVE('',#143181,#143179,#60840,.T.); #174089=EDGE_CURVE('',#143182,#143180,#60841,.T.); #174090=EDGE_CURVE('',#143181,#143182,#60842,.T.); #174091=EDGE_CURVE('',#143183,#143181,#135109,.T.); #174092=EDGE_CURVE('',#143184,#143182,#135110,.T.); #174093=EDGE_CURVE('',#143183,#143184,#60843,.T.); #174094=EDGE_CURVE('',#143185,#143183,#60844,.T.); #174095=EDGE_CURVE('',#143186,#143184,#60845,.T.); #174096=EDGE_CURVE('',#143185,#143186,#60846,.T.); #174097=EDGE_CURVE('',#143187,#143185,#60847,.T.); #174098=EDGE_CURVE('',#143188,#143186,#60848,.T.); #174099=EDGE_CURVE('',#143187,#143188,#60849,.T.); #174100=EDGE_CURVE('',#143189,#143187,#135111,.T.); #174101=EDGE_CURVE('',#143190,#143188,#135112,.T.); #174102=EDGE_CURVE('',#143189,#143190,#60850,.T.); #174103=EDGE_CURVE('',#143191,#143189,#60851,.T.); #174104=EDGE_CURVE('',#143192,#143190,#60852,.T.); #174105=EDGE_CURVE('',#143191,#143192,#60853,.T.); #174106=EDGE_CURVE('',#143150,#143191,#135113,.T.); #174107=EDGE_CURVE('',#143151,#143192,#135114,.T.); #174108=EDGE_CURVE('',#143193,#143194,#60854,.T.); #174109=EDGE_CURVE('',#143194,#143195,#60855,.T.); #174110=EDGE_CURVE('',#143196,#143195,#60856,.T.); #174111=EDGE_CURVE('',#143193,#143196,#60857,.T.); #174112=EDGE_CURVE('',#143197,#143193,#60858,.T.); #174113=EDGE_CURVE('',#143198,#143196,#60859,.T.); #174114=EDGE_CURVE('',#143197,#143198,#60860,.T.); #174115=EDGE_CURVE('',#143199,#143197,#60861,.T.); #174116=EDGE_CURVE('',#143200,#143198,#60862,.T.); #174117=EDGE_CURVE('',#143199,#143200,#60863,.T.); #174118=EDGE_CURVE('',#143201,#143199,#60864,.T.); #174119=EDGE_CURVE('',#143202,#143200,#60865,.T.); #174120=EDGE_CURVE('',#143201,#143202,#60866,.T.); #174121=EDGE_CURVE('',#143203,#143201,#135115,.T.); #174122=EDGE_CURVE('',#143204,#143202,#135116,.T.); #174123=EDGE_CURVE('',#143203,#143204,#60867,.T.); #174124=EDGE_CURVE('',#143205,#143203,#60868,.T.); #174125=EDGE_CURVE('',#143206,#143204,#60869,.T.); #174126=EDGE_CURVE('',#143205,#143206,#60870,.T.); #174127=EDGE_CURVE('',#143207,#143205,#135117,.T.); #174128=EDGE_CURVE('',#143208,#143206,#135118,.T.); #174129=EDGE_CURVE('',#143207,#143208,#60871,.T.); #174130=EDGE_CURVE('',#143209,#143207,#60872,.T.); #174131=EDGE_CURVE('',#143210,#143208,#60873,.T.); #174132=EDGE_CURVE('',#143209,#143210,#60874,.T.); #174133=EDGE_CURVE('',#143211,#143209,#60875,.T.); #174134=EDGE_CURVE('',#143212,#143210,#60876,.T.); #174135=EDGE_CURVE('',#143211,#143212,#60877,.T.); #174136=EDGE_CURVE('',#143213,#143211,#60878,.T.); #174137=EDGE_CURVE('',#143214,#143212,#60879,.T.); #174138=EDGE_CURVE('',#143213,#143214,#60880,.T.); #174139=EDGE_CURVE('',#143215,#143213,#135119,.T.); #174140=EDGE_CURVE('',#143216,#143214,#135120,.T.); #174141=EDGE_CURVE('',#143215,#143216,#60881,.T.); #174142=EDGE_CURVE('',#143217,#143215,#60882,.T.); #174143=EDGE_CURVE('',#143218,#143216,#60883,.T.); #174144=EDGE_CURVE('',#143217,#143218,#60884,.T.); #174145=EDGE_CURVE('',#143219,#143217,#60885,.T.); #174146=EDGE_CURVE('',#143220,#143218,#60886,.T.); #174147=EDGE_CURVE('',#143219,#143220,#60887,.T.); #174148=EDGE_CURVE('',#143221,#143219,#60888,.T.); #174149=EDGE_CURVE('',#143222,#143220,#60889,.T.); #174150=EDGE_CURVE('',#143221,#143222,#60890,.T.); #174151=EDGE_CURVE('',#143223,#143221,#60891,.T.); #174152=EDGE_CURVE('',#143224,#143222,#60892,.T.); #174153=EDGE_CURVE('',#143223,#143224,#60893,.T.); #174154=EDGE_CURVE('',#143225,#143223,#60894,.T.); #174155=EDGE_CURVE('',#143226,#143224,#60895,.T.); #174156=EDGE_CURVE('',#143225,#143226,#60896,.T.); #174157=EDGE_CURVE('',#143227,#143225,#60897,.T.); #174158=EDGE_CURVE('',#143228,#143226,#60898,.T.); #174159=EDGE_CURVE('',#143227,#143228,#60899,.T.); #174160=EDGE_CURVE('',#143229,#143227,#60900,.T.); #174161=EDGE_CURVE('',#143230,#143228,#60901,.T.); #174162=EDGE_CURVE('',#143229,#143230,#60902,.T.); #174163=EDGE_CURVE('',#143231,#143229,#135121,.T.); #174164=EDGE_CURVE('',#143232,#143230,#135122,.T.); #174165=EDGE_CURVE('',#143231,#143232,#60903,.T.); #174166=EDGE_CURVE('',#143233,#143231,#60904,.T.); #174167=EDGE_CURVE('',#143234,#143232,#60905,.T.); #174168=EDGE_CURVE('',#143233,#143234,#60906,.T.); #174169=EDGE_CURVE('',#143235,#143233,#135123,.T.); #174170=EDGE_CURVE('',#143236,#143234,#135124,.T.); #174171=EDGE_CURVE('',#143235,#143236,#60907,.T.); #174172=EDGE_CURVE('',#143237,#143235,#60908,.T.); #174173=EDGE_CURVE('',#143238,#143236,#60909,.T.); #174174=EDGE_CURVE('',#143237,#143238,#60910,.T.); #174175=EDGE_CURVE('',#143239,#143237,#60911,.T.); #174176=EDGE_CURVE('',#143240,#143238,#60912,.T.); #174177=EDGE_CURVE('',#143239,#143240,#60913,.T.); #174178=EDGE_CURVE('',#143241,#143239,#60914,.T.); #174179=EDGE_CURVE('',#143242,#143240,#60915,.T.); #174180=EDGE_CURVE('',#143241,#143242,#60916,.T.); #174181=EDGE_CURVE('',#143243,#143241,#60917,.T.); #174182=EDGE_CURVE('',#143244,#143242,#60918,.T.); #174183=EDGE_CURVE('',#143243,#143244,#60919,.T.); #174184=EDGE_CURVE('',#143194,#143243,#60920,.T.); #174185=EDGE_CURVE('',#143195,#143244,#60921,.T.); #174186=EDGE_CURVE('',#143245,#143245,#135125,.T.); #174187=EDGE_CURVE('',#143245,#143246,#60922,.T.); #174188=EDGE_CURVE('',#143246,#143246,#135126,.T.); #174189=EDGE_CURVE('',#143247,#143248,#60923,.T.); #174190=EDGE_CURVE('',#143248,#143249,#60924,.T.); #174191=EDGE_CURVE('',#143250,#143249,#60925,.T.); #174192=EDGE_CURVE('',#143247,#143250,#60926,.T.); #174193=EDGE_CURVE('',#143251,#143247,#135127,.T.); #174194=EDGE_CURVE('',#143252,#143250,#135128,.T.); #174195=EDGE_CURVE('',#143251,#143252,#60927,.T.); #174196=EDGE_CURVE('',#143253,#143251,#60928,.T.); #174197=EDGE_CURVE('',#143254,#143252,#60929,.T.); #174198=EDGE_CURVE('',#143253,#143254,#60930,.T.); #174199=EDGE_CURVE('',#143255,#143253,#60931,.T.); #174200=EDGE_CURVE('',#143256,#143254,#60932,.T.); #174201=EDGE_CURVE('',#143255,#143256,#60933,.T.); #174202=EDGE_CURVE('',#143257,#143255,#135129,.T.); #174203=EDGE_CURVE('',#143258,#143256,#135130,.T.); #174204=EDGE_CURVE('',#143257,#143258,#60934,.T.); #174205=EDGE_CURVE('',#143259,#143257,#60935,.T.); #174206=EDGE_CURVE('',#143260,#143258,#60936,.T.); #174207=EDGE_CURVE('',#143259,#143260,#60937,.T.); #174208=EDGE_CURVE('',#143261,#143259,#135131,.T.); #174209=EDGE_CURVE('',#143262,#143260,#135132,.T.); #174210=EDGE_CURVE('',#143261,#143262,#60938,.T.); #174211=EDGE_CURVE('',#143263,#143261,#60939,.T.); #174212=EDGE_CURVE('',#143264,#143262,#60940,.T.); #174213=EDGE_CURVE('',#143263,#143264,#60941,.T.); #174214=EDGE_CURVE('',#143265,#143263,#135133,.T.); #174215=EDGE_CURVE('',#143266,#143264,#135134,.T.); #174216=EDGE_CURVE('',#143265,#143266,#60942,.T.); #174217=EDGE_CURVE('',#143267,#143265,#60943,.T.); #174218=EDGE_CURVE('',#143268,#143266,#60944,.T.); #174219=EDGE_CURVE('',#143267,#143268,#60945,.T.); #174220=EDGE_CURVE('',#143269,#143267,#60946,.T.); #174221=EDGE_CURVE('',#143270,#143268,#60947,.T.); #174222=EDGE_CURVE('',#143269,#143270,#60948,.T.); #174223=EDGE_CURVE('',#143271,#143269,#60949,.T.); #174224=EDGE_CURVE('',#143272,#143270,#60950,.T.); #174225=EDGE_CURVE('',#143271,#143272,#60951,.T.); #174226=EDGE_CURVE('',#143273,#143271,#60952,.T.); #174227=EDGE_CURVE('',#143274,#143272,#60953,.T.); #174228=EDGE_CURVE('',#143273,#143274,#60954,.T.); #174229=EDGE_CURVE('',#143275,#143273,#60955,.T.); #174230=EDGE_CURVE('',#143276,#143274,#60956,.T.); #174231=EDGE_CURVE('',#143275,#143276,#60957,.T.); #174232=EDGE_CURVE('',#143277,#143275,#60958,.T.); #174233=EDGE_CURVE('',#143278,#143276,#60959,.T.); #174234=EDGE_CURVE('',#143277,#143278,#60960,.T.); #174235=EDGE_CURVE('',#143279,#143277,#60961,.T.); #174236=EDGE_CURVE('',#143280,#143278,#60962,.T.); #174237=EDGE_CURVE('',#143279,#143280,#60963,.T.); #174238=EDGE_CURVE('',#143281,#143279,#135135,.T.); #174239=EDGE_CURVE('',#143282,#143280,#135136,.T.); #174240=EDGE_CURVE('',#143281,#143282,#60964,.T.); #174241=EDGE_CURVE('',#143283,#143281,#60965,.T.); #174242=EDGE_CURVE('',#143284,#143282,#60966,.T.); #174243=EDGE_CURVE('',#143283,#143284,#60967,.T.); #174244=EDGE_CURVE('',#143285,#143283,#60968,.T.); #174245=EDGE_CURVE('',#143286,#143284,#60969,.T.); #174246=EDGE_CURVE('',#143285,#143286,#60970,.T.); #174247=EDGE_CURVE('',#143287,#143285,#60971,.T.); #174248=EDGE_CURVE('',#143288,#143286,#60972,.T.); #174249=EDGE_CURVE('',#143287,#143288,#60973,.T.); #174250=EDGE_CURVE('',#143289,#143287,#60974,.T.); #174251=EDGE_CURVE('',#143290,#143288,#60975,.T.); #174252=EDGE_CURVE('',#143289,#143290,#60976,.T.); #174253=EDGE_CURVE('',#143291,#143289,#135137,.T.); #174254=EDGE_CURVE('',#143292,#143290,#135138,.T.); #174255=EDGE_CURVE('',#143291,#143292,#60977,.T.); #174256=EDGE_CURVE('',#143293,#143291,#60978,.T.); #174257=EDGE_CURVE('',#143294,#143292,#60979,.T.); #174258=EDGE_CURVE('',#143293,#143294,#60980,.T.); #174259=EDGE_CURVE('',#143248,#143293,#135139,.T.); #174260=EDGE_CURVE('',#143249,#143294,#135140,.T.); #174261=EDGE_CURVE('',#143295,#143295,#135141,.T.); #174262=EDGE_CURVE('',#143295,#143296,#60981,.T.); #174263=EDGE_CURVE('',#143296,#143296,#135142,.T.); #174264=EDGE_CURVE('',#143297,#143298,#60982,.T.); #174265=EDGE_CURVE('',#143298,#143299,#60983,.T.); #174266=EDGE_CURVE('',#143300,#143299,#60984,.T.); #174267=EDGE_CURVE('',#143297,#143300,#60985,.T.); #174268=EDGE_CURVE('',#143301,#143297,#135143,.T.); #174269=EDGE_CURVE('',#143302,#143300,#135144,.T.); #174270=EDGE_CURVE('',#143301,#143302,#60986,.T.); #174271=EDGE_CURVE('',#143303,#143301,#60987,.T.); #174272=EDGE_CURVE('',#143304,#143302,#60988,.T.); #174273=EDGE_CURVE('',#143303,#143304,#60989,.T.); #174274=EDGE_CURVE('',#143305,#143303,#60990,.T.); #174275=EDGE_CURVE('',#143306,#143304,#60991,.T.); #174276=EDGE_CURVE('',#143305,#143306,#60992,.T.); #174277=EDGE_CURVE('',#143307,#143305,#60993,.T.); #174278=EDGE_CURVE('',#143308,#143306,#60994,.T.); #174279=EDGE_CURVE('',#143307,#143308,#60995,.T.); #174280=EDGE_CURVE('',#143309,#143307,#60996,.T.); #174281=EDGE_CURVE('',#143310,#143308,#60997,.T.); #174282=EDGE_CURVE('',#143309,#143310,#60998,.T.); #174283=EDGE_CURVE('',#143311,#143309,#60999,.T.); #174284=EDGE_CURVE('',#143312,#143310,#61000,.T.); #174285=EDGE_CURVE('',#143311,#143312,#61001,.T.); #174286=EDGE_CURVE('',#143313,#143311,#61002,.T.); #174287=EDGE_CURVE('',#143314,#143312,#61003,.T.); #174288=EDGE_CURVE('',#143313,#143314,#61004,.T.); #174289=EDGE_CURVE('',#143315,#143313,#61005,.T.); #174290=EDGE_CURVE('',#143316,#143314,#61006,.T.); #174291=EDGE_CURVE('',#143315,#143316,#61007,.T.); #174292=EDGE_CURVE('',#143317,#143315,#135145,.T.); #174293=EDGE_CURVE('',#143318,#143316,#135146,.T.); #174294=EDGE_CURVE('',#143317,#143318,#61008,.T.); #174295=EDGE_CURVE('',#143298,#143317,#135147,.T.); #174296=EDGE_CURVE('',#143299,#143318,#135148,.T.); #174297=EDGE_CURVE('',#143319,#143320,#61009,.T.); #174298=EDGE_CURVE('',#143320,#143321,#61010,.T.); #174299=EDGE_CURVE('',#143322,#143321,#61011,.T.); #174300=EDGE_CURVE('',#143319,#143322,#61012,.T.); #174301=EDGE_CURVE('',#143323,#143319,#61013,.T.); #174302=EDGE_CURVE('',#143324,#143322,#61014,.T.); #174303=EDGE_CURVE('',#143323,#143324,#61015,.T.); #174304=EDGE_CURVE('',#143325,#143323,#61016,.T.); #174305=EDGE_CURVE('',#143326,#143324,#61017,.T.); #174306=EDGE_CURVE('',#143325,#143326,#61018,.T.); #174307=EDGE_CURVE('',#143327,#143325,#61019,.T.); #174308=EDGE_CURVE('',#143328,#143326,#61020,.T.); #174309=EDGE_CURVE('',#143327,#143328,#61021,.T.); #174310=EDGE_CURVE('',#143329,#143327,#61022,.T.); #174311=EDGE_CURVE('',#143330,#143328,#61023,.T.); #174312=EDGE_CURVE('',#143329,#143330,#61024,.T.); #174313=EDGE_CURVE('',#143331,#143329,#135149,.T.); #174314=EDGE_CURVE('',#143332,#143330,#135150,.T.); #174315=EDGE_CURVE('',#143331,#143332,#61025,.T.); #174316=EDGE_CURVE('',#143333,#143331,#61026,.T.); #174317=EDGE_CURVE('',#143334,#143332,#61027,.T.); #174318=EDGE_CURVE('',#143333,#143334,#61028,.T.); #174319=EDGE_CURVE('',#143335,#143333,#61029,.T.); #174320=EDGE_CURVE('',#143336,#143334,#61030,.T.); #174321=EDGE_CURVE('',#143335,#143336,#61031,.T.); #174322=EDGE_CURVE('',#143337,#143335,#61032,.T.); #174323=EDGE_CURVE('',#143338,#143336,#61033,.T.); #174324=EDGE_CURVE('',#143337,#143338,#61034,.T.); #174325=EDGE_CURVE('',#143339,#143337,#135151,.T.); #174326=EDGE_CURVE('',#143340,#143338,#135152,.T.); #174327=EDGE_CURVE('',#143339,#143340,#61035,.T.); #174328=EDGE_CURVE('',#143341,#143339,#61036,.T.); #174329=EDGE_CURVE('',#143342,#143340,#61037,.T.); #174330=EDGE_CURVE('',#143341,#143342,#61038,.T.); #174331=EDGE_CURVE('',#143343,#143341,#135153,.T.); #174332=EDGE_CURVE('',#143344,#143342,#135154,.T.); #174333=EDGE_CURVE('',#143343,#143344,#61039,.T.); #174334=EDGE_CURVE('',#143345,#143343,#61040,.T.); #174335=EDGE_CURVE('',#143346,#143344,#61041,.T.); #174336=EDGE_CURVE('',#143345,#143346,#61042,.T.); #174337=EDGE_CURVE('',#143347,#143345,#135155,.T.); #174338=EDGE_CURVE('',#143348,#143346,#135156,.T.); #174339=EDGE_CURVE('',#143347,#143348,#61043,.T.); #174340=EDGE_CURVE('',#143349,#143347,#61044,.T.); #174341=EDGE_CURVE('',#143350,#143348,#61045,.T.); #174342=EDGE_CURVE('',#143349,#143350,#61046,.T.); #174343=EDGE_CURVE('',#143351,#143349,#61047,.T.); #174344=EDGE_CURVE('',#143352,#143350,#61048,.T.); #174345=EDGE_CURVE('',#143351,#143352,#61049,.T.); #174346=EDGE_CURVE('',#143353,#143351,#135157,.T.); #174347=EDGE_CURVE('',#143354,#143352,#135158,.T.); #174348=EDGE_CURVE('',#143353,#143354,#61050,.T.); #174349=EDGE_CURVE('',#143355,#143353,#61051,.T.); #174350=EDGE_CURVE('',#143356,#143354,#61052,.T.); #174351=EDGE_CURVE('',#143355,#143356,#61053,.T.); #174352=EDGE_CURVE('',#143357,#143355,#61054,.T.); #174353=EDGE_CURVE('',#143358,#143356,#61055,.T.); #174354=EDGE_CURVE('',#143357,#143358,#61056,.T.); #174355=EDGE_CURVE('',#143359,#143357,#61057,.T.); #174356=EDGE_CURVE('',#143360,#143358,#61058,.T.); #174357=EDGE_CURVE('',#143359,#143360,#61059,.T.); #174358=EDGE_CURVE('',#143361,#143359,#61060,.T.); #174359=EDGE_CURVE('',#143362,#143360,#61061,.T.); #174360=EDGE_CURVE('',#143361,#143362,#61062,.T.); #174361=EDGE_CURVE('',#143363,#143361,#61063,.T.); #174362=EDGE_CURVE('',#143364,#143362,#61064,.T.); #174363=EDGE_CURVE('',#143363,#143364,#61065,.T.); #174364=EDGE_CURVE('',#143365,#143363,#61066,.T.); #174365=EDGE_CURVE('',#143366,#143364,#61067,.T.); #174366=EDGE_CURVE('',#143365,#143366,#61068,.T.); #174367=EDGE_CURVE('',#143367,#143365,#61069,.T.); #174368=EDGE_CURVE('',#143368,#143366,#61070,.T.); #174369=EDGE_CURVE('',#143367,#143368,#61071,.T.); #174370=EDGE_CURVE('',#143369,#143367,#135159,.T.); #174371=EDGE_CURVE('',#143370,#143368,#135160,.T.); #174372=EDGE_CURVE('',#143369,#143370,#61072,.T.); #174373=EDGE_CURVE('',#143371,#143369,#61073,.T.); #174374=EDGE_CURVE('',#143372,#143370,#61074,.T.); #174375=EDGE_CURVE('',#143371,#143372,#61075,.T.); #174376=EDGE_CURVE('',#143373,#143371,#61076,.T.); #174377=EDGE_CURVE('',#143374,#143372,#61077,.T.); #174378=EDGE_CURVE('',#143373,#143374,#61078,.T.); #174379=EDGE_CURVE('',#143375,#143373,#135161,.T.); #174380=EDGE_CURVE('',#143376,#143374,#135162,.T.); #174381=EDGE_CURVE('',#143375,#143376,#61079,.T.); #174382=EDGE_CURVE('',#143377,#143375,#61080,.T.); #174383=EDGE_CURVE('',#143378,#143376,#61081,.T.); #174384=EDGE_CURVE('',#143377,#143378,#61082,.T.); #174385=EDGE_CURVE('',#143379,#143377,#61083,.T.); #174386=EDGE_CURVE('',#143380,#143378,#61084,.T.); #174387=EDGE_CURVE('',#143379,#143380,#61085,.T.); #174388=EDGE_CURVE('',#143381,#143379,#61086,.T.); #174389=EDGE_CURVE('',#143382,#143380,#61087,.T.); #174390=EDGE_CURVE('',#143381,#143382,#61088,.T.); #174391=EDGE_CURVE('',#143383,#143381,#61089,.T.); #174392=EDGE_CURVE('',#143384,#143382,#61090,.T.); #174393=EDGE_CURVE('',#143383,#143384,#61091,.T.); #174394=EDGE_CURVE('',#143385,#143383,#135163,.T.); #174395=EDGE_CURVE('',#143386,#143384,#135164,.T.); #174396=EDGE_CURVE('',#143385,#143386,#61092,.T.); #174397=EDGE_CURVE('',#143387,#143385,#61093,.T.); #174398=EDGE_CURVE('',#143388,#143386,#61094,.T.); #174399=EDGE_CURVE('',#143387,#143388,#61095,.T.); #174400=EDGE_CURVE('',#143389,#143387,#135165,.T.); #174401=EDGE_CURVE('',#143390,#143388,#135166,.T.); #174402=EDGE_CURVE('',#143389,#143390,#61096,.T.); #174403=EDGE_CURVE('',#143391,#143389,#61097,.T.); #174404=EDGE_CURVE('',#143392,#143390,#61098,.T.); #174405=EDGE_CURVE('',#143391,#143392,#61099,.T.); #174406=EDGE_CURVE('',#143393,#143391,#61100,.T.); #174407=EDGE_CURVE('',#143394,#143392,#61101,.T.); #174408=EDGE_CURVE('',#143393,#143394,#61102,.T.); #174409=EDGE_CURVE('',#143320,#143393,#61103,.T.); #174410=EDGE_CURVE('',#143321,#143394,#61104,.T.); #174411=EDGE_CURVE('',#143395,#143396,#61105,.T.); #174412=EDGE_CURVE('',#143396,#143397,#61106,.T.); #174413=EDGE_CURVE('',#143398,#143397,#61107,.T.); #174414=EDGE_CURVE('',#143395,#143398,#61108,.T.); #174415=EDGE_CURVE('',#143399,#143395,#61109,.T.); #174416=EDGE_CURVE('',#143400,#143398,#61110,.T.); #174417=EDGE_CURVE('',#143399,#143400,#61111,.T.); #174418=EDGE_CURVE('',#143401,#143399,#61112,.T.); #174419=EDGE_CURVE('',#143402,#143400,#61113,.T.); #174420=EDGE_CURVE('',#143401,#143402,#61114,.T.); #174421=EDGE_CURVE('',#143403,#143401,#61115,.T.); #174422=EDGE_CURVE('',#143404,#143402,#61116,.T.); #174423=EDGE_CURVE('',#143403,#143404,#61117,.T.); #174424=EDGE_CURVE('',#143405,#143403,#61118,.T.); #174425=EDGE_CURVE('',#143406,#143404,#61119,.T.); #174426=EDGE_CURVE('',#143405,#143406,#61120,.T.); #174427=EDGE_CURVE('',#143407,#143405,#61121,.T.); #174428=EDGE_CURVE('',#143408,#143406,#61122,.T.); #174429=EDGE_CURVE('',#143407,#143408,#61123,.T.); #174430=EDGE_CURVE('',#143409,#143407,#135167,.T.); #174431=EDGE_CURVE('',#143410,#143408,#135168,.T.); #174432=EDGE_CURVE('',#143409,#143410,#61124,.T.); #174433=EDGE_CURVE('',#143411,#143409,#61125,.T.); #174434=EDGE_CURVE('',#143412,#143410,#61126,.T.); #174435=EDGE_CURVE('',#143411,#143412,#61127,.T.); #174436=EDGE_CURVE('',#143413,#143411,#135169,.T.); #174437=EDGE_CURVE('',#143414,#143412,#135170,.T.); #174438=EDGE_CURVE('',#143413,#143414,#61128,.T.); #174439=EDGE_CURVE('',#143415,#143413,#61129,.T.); #174440=EDGE_CURVE('',#143416,#143414,#61130,.T.); #174441=EDGE_CURVE('',#143415,#143416,#61131,.T.); #174442=EDGE_CURVE('',#143417,#143415,#61132,.T.); #174443=EDGE_CURVE('',#143418,#143416,#61133,.T.); #174444=EDGE_CURVE('',#143417,#143418,#61134,.T.); #174445=EDGE_CURVE('',#143419,#143417,#61135,.T.); #174446=EDGE_CURVE('',#143420,#143418,#61136,.T.); #174447=EDGE_CURVE('',#143419,#143420,#61137,.T.); #174448=EDGE_CURVE('',#143421,#143419,#61138,.T.); #174449=EDGE_CURVE('',#143422,#143420,#61139,.T.); #174450=EDGE_CURVE('',#143421,#143422,#61140,.T.); #174451=EDGE_CURVE('',#143423,#143421,#135171,.T.); #174452=EDGE_CURVE('',#143424,#143422,#135172,.T.); #174453=EDGE_CURVE('',#143423,#143424,#61141,.T.); #174454=EDGE_CURVE('',#143425,#143423,#61142,.T.); #174455=EDGE_CURVE('',#143426,#143424,#61143,.T.); #174456=EDGE_CURVE('',#143425,#143426,#61144,.T.); #174457=EDGE_CURVE('',#143427,#143425,#61145,.T.); #174458=EDGE_CURVE('',#143428,#143426,#61146,.T.); #174459=EDGE_CURVE('',#143427,#143428,#61147,.T.); #174460=EDGE_CURVE('',#143429,#143427,#135173,.T.); #174461=EDGE_CURVE('',#143430,#143428,#135174,.T.); #174462=EDGE_CURVE('',#143429,#143430,#61148,.T.); #174463=EDGE_CURVE('',#143431,#143429,#61149,.T.); #174464=EDGE_CURVE('',#143432,#143430,#61150,.T.); #174465=EDGE_CURVE('',#143431,#143432,#61151,.T.); #174466=EDGE_CURVE('',#143433,#143431,#61152,.T.); #174467=EDGE_CURVE('',#143434,#143432,#61153,.T.); #174468=EDGE_CURVE('',#143433,#143434,#61154,.T.); #174469=EDGE_CURVE('',#143435,#143433,#61155,.T.); #174470=EDGE_CURVE('',#143436,#143434,#61156,.T.); #174471=EDGE_CURVE('',#143435,#143436,#61157,.T.); #174472=EDGE_CURVE('',#143437,#143435,#61158,.T.); #174473=EDGE_CURVE('',#143438,#143436,#61159,.T.); #174474=EDGE_CURVE('',#143437,#143438,#61160,.T.); #174475=EDGE_CURVE('',#143439,#143437,#61161,.T.); #174476=EDGE_CURVE('',#143440,#143438,#61162,.T.); #174477=EDGE_CURVE('',#143439,#143440,#61163,.T.); #174478=EDGE_CURVE('',#143441,#143439,#61164,.T.); #174479=EDGE_CURVE('',#143442,#143440,#61165,.T.); #174480=EDGE_CURVE('',#143441,#143442,#61166,.T.); #174481=EDGE_CURVE('',#143443,#143441,#61167,.T.); #174482=EDGE_CURVE('',#143444,#143442,#61168,.T.); #174483=EDGE_CURVE('',#143443,#143444,#61169,.T.); #174484=EDGE_CURVE('',#143445,#143443,#135175,.T.); #174485=EDGE_CURVE('',#143446,#143444,#135176,.T.); #174486=EDGE_CURVE('',#143445,#143446,#61170,.T.); #174487=EDGE_CURVE('',#143447,#143445,#61171,.T.); #174488=EDGE_CURVE('',#143448,#143446,#61172,.T.); #174489=EDGE_CURVE('',#143447,#143448,#61173,.T.); #174490=EDGE_CURVE('',#143449,#143447,#61174,.T.); #174491=EDGE_CURVE('',#143450,#143448,#61175,.T.); #174492=EDGE_CURVE('',#143449,#143450,#61176,.T.); #174493=EDGE_CURVE('',#143451,#143449,#135177,.T.); #174494=EDGE_CURVE('',#143452,#143450,#135178,.T.); #174495=EDGE_CURVE('',#143451,#143452,#61177,.T.); #174496=EDGE_CURVE('',#143453,#143451,#61178,.T.); #174497=EDGE_CURVE('',#143454,#143452,#61179,.T.); #174498=EDGE_CURVE('',#143453,#143454,#61180,.T.); #174499=EDGE_CURVE('',#143455,#143453,#135179,.T.); #174500=EDGE_CURVE('',#143456,#143454,#135180,.T.); #174501=EDGE_CURVE('',#143455,#143456,#61181,.T.); #174502=EDGE_CURVE('',#143457,#143455,#61182,.T.); #174503=EDGE_CURVE('',#143458,#143456,#61183,.T.); #174504=EDGE_CURVE('',#143457,#143458,#61184,.T.); #174505=EDGE_CURVE('',#143459,#143457,#135181,.T.); #174506=EDGE_CURVE('',#143460,#143458,#135182,.T.); #174507=EDGE_CURVE('',#143459,#143460,#61185,.T.); #174508=EDGE_CURVE('',#143461,#143459,#61186,.T.); #174509=EDGE_CURVE('',#143462,#143460,#61187,.T.); #174510=EDGE_CURVE('',#143461,#143462,#61188,.T.); #174511=EDGE_CURVE('',#143463,#143461,#61189,.T.); #174512=EDGE_CURVE('',#143464,#143462,#61190,.T.); #174513=EDGE_CURVE('',#143463,#143464,#61191,.T.); #174514=EDGE_CURVE('',#143465,#143463,#61192,.T.); #174515=EDGE_CURVE('',#143466,#143464,#61193,.T.); #174516=EDGE_CURVE('',#143465,#143466,#61194,.T.); #174517=EDGE_CURVE('',#143467,#143465,#135183,.T.); #174518=EDGE_CURVE('',#143468,#143466,#135184,.T.); #174519=EDGE_CURVE('',#143467,#143468,#61195,.T.); #174520=EDGE_CURVE('',#143469,#143467,#61196,.T.); #174521=EDGE_CURVE('',#143470,#143468,#61197,.T.); #174522=EDGE_CURVE('',#143469,#143470,#61198,.T.); #174523=EDGE_CURVE('',#143396,#143469,#61199,.T.); #174524=EDGE_CURVE('',#143397,#143470,#61200,.T.); #174525=EDGE_CURVE('',#143471,#143472,#61201,.T.); #174526=EDGE_CURVE('',#143472,#143473,#61202,.T.); #174527=EDGE_CURVE('',#143474,#143473,#61203,.T.); #174528=EDGE_CURVE('',#143471,#143474,#61204,.T.); #174529=EDGE_CURVE('',#143475,#143471,#61205,.T.); #174530=EDGE_CURVE('',#143476,#143474,#61206,.T.); #174531=EDGE_CURVE('',#143475,#143476,#61207,.T.); #174532=EDGE_CURVE('',#143477,#143475,#61208,.T.); #174533=EDGE_CURVE('',#143478,#143476,#61209,.T.); #174534=EDGE_CURVE('',#143477,#143478,#61210,.T.); #174535=EDGE_CURVE('',#143479,#143477,#61211,.T.); #174536=EDGE_CURVE('',#143480,#143478,#61212,.T.); #174537=EDGE_CURVE('',#143479,#143480,#61213,.T.); #174538=EDGE_CURVE('',#143481,#143479,#135185,.T.); #174539=EDGE_CURVE('',#143482,#143480,#135186,.T.); #174540=EDGE_CURVE('',#143481,#143482,#61214,.T.); #174541=EDGE_CURVE('',#143483,#143481,#61215,.T.); #174542=EDGE_CURVE('',#143484,#143482,#61216,.T.); #174543=EDGE_CURVE('',#143483,#143484,#61217,.T.); #174544=EDGE_CURVE('',#143485,#143483,#61218,.T.); #174545=EDGE_CURVE('',#143486,#143484,#61219,.T.); #174546=EDGE_CURVE('',#143485,#143486,#61220,.T.); #174547=EDGE_CURVE('',#143487,#143485,#135187,.T.); #174548=EDGE_CURVE('',#143488,#143486,#135188,.T.); #174549=EDGE_CURVE('',#143487,#143488,#61221,.T.); #174550=EDGE_CURVE('',#143489,#143487,#61222,.T.); #174551=EDGE_CURVE('',#143490,#143488,#61223,.T.); #174552=EDGE_CURVE('',#143489,#143490,#61224,.T.); #174553=EDGE_CURVE('',#143491,#143489,#61225,.T.); #174554=EDGE_CURVE('',#143492,#143490,#61226,.T.); #174555=EDGE_CURVE('',#143491,#143492,#61227,.T.); #174556=EDGE_CURVE('',#143493,#143491,#61228,.T.); #174557=EDGE_CURVE('',#143494,#143492,#61229,.T.); #174558=EDGE_CURVE('',#143493,#143494,#61230,.T.); #174559=EDGE_CURVE('',#143495,#143493,#61231,.T.); #174560=EDGE_CURVE('',#143496,#143494,#61232,.T.); #174561=EDGE_CURVE('',#143495,#143496,#61233,.T.); #174562=EDGE_CURVE('',#143497,#143495,#61234,.T.); #174563=EDGE_CURVE('',#143498,#143496,#61235,.T.); #174564=EDGE_CURVE('',#143497,#143498,#61236,.T.); #174565=EDGE_CURVE('',#143499,#143497,#61237,.T.); #174566=EDGE_CURVE('',#143500,#143498,#61238,.T.); #174567=EDGE_CURVE('',#143499,#143500,#61239,.T.); #174568=EDGE_CURVE('',#143501,#143499,#61240,.T.); #174569=EDGE_CURVE('',#143502,#143500,#61241,.T.); #174570=EDGE_CURVE('',#143501,#143502,#61242,.T.); #174571=EDGE_CURVE('',#143503,#143501,#135189,.T.); #174572=EDGE_CURVE('',#143504,#143502,#135190,.T.); #174573=EDGE_CURVE('',#143503,#143504,#61243,.T.); #174574=EDGE_CURVE('',#143505,#143503,#61244,.T.); #174575=EDGE_CURVE('',#143506,#143504,#61245,.T.); #174576=EDGE_CURVE('',#143505,#143506,#61246,.T.); #174577=EDGE_CURVE('',#143507,#143505,#61247,.T.); #174578=EDGE_CURVE('',#143508,#143506,#61248,.T.); #174579=EDGE_CURVE('',#143507,#143508,#61249,.T.); #174580=EDGE_CURVE('',#143509,#143507,#61250,.T.); #174581=EDGE_CURVE('',#143510,#143508,#61251,.T.); #174582=EDGE_CURVE('',#143509,#143510,#61252,.T.); #174583=EDGE_CURVE('',#143472,#143509,#61253,.T.); #174584=EDGE_CURVE('',#143473,#143510,#61254,.T.); #174585=EDGE_CURVE('',#143511,#143511,#135191,.T.); #174586=EDGE_CURVE('',#143511,#143512,#61255,.T.); #174587=EDGE_CURVE('',#143512,#143512,#135192,.T.); #174588=EDGE_CURVE('',#143513,#143514,#61256,.T.); #174589=EDGE_CURVE('',#143514,#143515,#61257,.T.); #174590=EDGE_CURVE('',#143516,#143515,#61258,.T.); #174591=EDGE_CURVE('',#143513,#143516,#61259,.T.); #174592=EDGE_CURVE('',#143517,#143513,#61260,.T.); #174593=EDGE_CURVE('',#143518,#143516,#61261,.T.); #174594=EDGE_CURVE('',#143517,#143518,#61262,.T.); #174595=EDGE_CURVE('',#143519,#143517,#135193,.T.); #174596=EDGE_CURVE('',#143520,#143518,#135194,.T.); #174597=EDGE_CURVE('',#143519,#143520,#61263,.T.); #174598=EDGE_CURVE('',#143521,#143519,#61264,.T.); #174599=EDGE_CURVE('',#143522,#143520,#61265,.T.); #174600=EDGE_CURVE('',#143521,#143522,#61266,.T.); #174601=EDGE_CURVE('',#143523,#143521,#61267,.T.); #174602=EDGE_CURVE('',#143524,#143522,#61268,.T.); #174603=EDGE_CURVE('',#143523,#143524,#61269,.T.); #174604=EDGE_CURVE('',#143525,#143523,#61270,.T.); #174605=EDGE_CURVE('',#143526,#143524,#61271,.T.); #174606=EDGE_CURVE('',#143525,#143526,#61272,.T.); #174607=EDGE_CURVE('',#143527,#143525,#135195,.T.); #174608=EDGE_CURVE('',#143528,#143526,#135196,.T.); #174609=EDGE_CURVE('',#143527,#143528,#61273,.T.); #174610=EDGE_CURVE('',#143529,#143527,#61274,.T.); #174611=EDGE_CURVE('',#143530,#143528,#61275,.T.); #174612=EDGE_CURVE('',#143529,#143530,#61276,.T.); #174613=EDGE_CURVE('',#143531,#143529,#61277,.T.); #174614=EDGE_CURVE('',#143532,#143530,#61278,.T.); #174615=EDGE_CURVE('',#143531,#143532,#61279,.T.); #174616=EDGE_CURVE('',#143533,#143531,#135197,.T.); #174617=EDGE_CURVE('',#143534,#143532,#135198,.T.); #174618=EDGE_CURVE('',#143533,#143534,#61280,.T.); #174619=EDGE_CURVE('',#143535,#143533,#135199,.T.); #174620=EDGE_CURVE('',#143536,#143534,#135200,.T.); #174621=EDGE_CURVE('',#143535,#143536,#61281,.T.); #174622=EDGE_CURVE('',#143537,#143535,#61282,.T.); #174623=EDGE_CURVE('',#143538,#143536,#61283,.T.); #174624=EDGE_CURVE('',#143537,#143538,#61284,.T.); #174625=EDGE_CURVE('',#143539,#143537,#135201,.T.); #174626=EDGE_CURVE('',#143540,#143538,#135202,.T.); #174627=EDGE_CURVE('',#143539,#143540,#61285,.T.); #174628=EDGE_CURVE('',#143541,#143539,#61286,.T.); #174629=EDGE_CURVE('',#143542,#143540,#61287,.T.); #174630=EDGE_CURVE('',#143541,#143542,#61288,.T.); #174631=EDGE_CURVE('',#143543,#143541,#61289,.T.); #174632=EDGE_CURVE('',#143544,#143542,#61290,.T.); #174633=EDGE_CURVE('',#143543,#143544,#61291,.T.); #174634=EDGE_CURVE('',#143545,#143543,#135203,.T.); #174635=EDGE_CURVE('',#143546,#143544,#135204,.T.); #174636=EDGE_CURVE('',#143545,#143546,#61292,.T.); #174637=EDGE_CURVE('',#143547,#143545,#61293,.T.); #174638=EDGE_CURVE('',#143548,#143546,#61294,.T.); #174639=EDGE_CURVE('',#143547,#143548,#61295,.T.); #174640=EDGE_CURVE('',#143549,#143547,#61296,.T.); #174641=EDGE_CURVE('',#143550,#143548,#61297,.T.); #174642=EDGE_CURVE('',#143549,#143550,#61298,.T.); #174643=EDGE_CURVE('',#143551,#143549,#61299,.T.); #174644=EDGE_CURVE('',#143552,#143550,#61300,.T.); #174645=EDGE_CURVE('',#143551,#143552,#61301,.T.); #174646=EDGE_CURVE('',#143553,#143551,#61302,.T.); #174647=EDGE_CURVE('',#143554,#143552,#61303,.T.); #174648=EDGE_CURVE('',#143553,#143554,#61304,.T.); #174649=EDGE_CURVE('',#143514,#143553,#61305,.T.); #174650=EDGE_CURVE('',#143515,#143554,#61306,.T.); #174651=EDGE_CURVE('',#143555,#143555,#135205,.T.); #174652=EDGE_CURVE('',#143555,#143556,#61307,.T.); #174653=EDGE_CURVE('',#143556,#143556,#135206,.T.); #174654=EDGE_CURVE('',#143557,#143558,#61308,.T.); #174655=EDGE_CURVE('',#143558,#143559,#61309,.T.); #174656=EDGE_CURVE('',#143560,#143559,#61310,.T.); #174657=EDGE_CURVE('',#143557,#143560,#61311,.T.); #174658=EDGE_CURVE('',#143561,#143557,#135207,.T.); #174659=EDGE_CURVE('',#143562,#143560,#135208,.T.); #174660=EDGE_CURVE('',#143561,#143562,#61312,.T.); #174661=EDGE_CURVE('',#143563,#143561,#61313,.T.); #174662=EDGE_CURVE('',#143564,#143562,#61314,.T.); #174663=EDGE_CURVE('',#143563,#143564,#61315,.T.); #174664=EDGE_CURVE('',#143565,#143563,#61316,.T.); #174665=EDGE_CURVE('',#143566,#143564,#61317,.T.); #174666=EDGE_CURVE('',#143565,#143566,#61318,.T.); #174667=EDGE_CURVE('',#143567,#143565,#135209,.T.); #174668=EDGE_CURVE('',#143568,#143566,#135210,.T.); #174669=EDGE_CURVE('',#143567,#143568,#61319,.T.); #174670=EDGE_CURVE('',#143569,#143567,#61320,.T.); #174671=EDGE_CURVE('',#143570,#143568,#61321,.T.); #174672=EDGE_CURVE('',#143569,#143570,#61322,.T.); #174673=EDGE_CURVE('',#143571,#143569,#61323,.T.); #174674=EDGE_CURVE('',#143572,#143570,#61324,.T.); #174675=EDGE_CURVE('',#143571,#143572,#61325,.T.); #174676=EDGE_CURVE('',#143573,#143571,#61326,.T.); #174677=EDGE_CURVE('',#143574,#143572,#61327,.T.); #174678=EDGE_CURVE('',#143573,#143574,#61328,.T.); #174679=EDGE_CURVE('',#143575,#143573,#61329,.T.); #174680=EDGE_CURVE('',#143576,#143574,#61330,.T.); #174681=EDGE_CURVE('',#143575,#143576,#61331,.T.); #174682=EDGE_CURVE('',#143577,#143575,#61332,.T.); #174683=EDGE_CURVE('',#143578,#143576,#61333,.T.); #174684=EDGE_CURVE('',#143577,#143578,#61334,.T.); #174685=EDGE_CURVE('',#143579,#143577,#61335,.T.); #174686=EDGE_CURVE('',#143580,#143578,#61336,.T.); #174687=EDGE_CURVE('',#143579,#143580,#61337,.T.); #174688=EDGE_CURVE('',#143581,#143579,#61338,.T.); #174689=EDGE_CURVE('',#143582,#143580,#61339,.T.); #174690=EDGE_CURVE('',#143581,#143582,#61340,.T.); #174691=EDGE_CURVE('',#143583,#143581,#61341,.T.); #174692=EDGE_CURVE('',#143584,#143582,#61342,.T.); #174693=EDGE_CURVE('',#143583,#143584,#61343,.T.); #174694=EDGE_CURVE('',#143585,#143583,#135211,.T.); #174695=EDGE_CURVE('',#143586,#143584,#135212,.T.); #174696=EDGE_CURVE('',#143585,#143586,#61344,.T.); #174697=EDGE_CURVE('',#143587,#143585,#61345,.T.); #174698=EDGE_CURVE('',#143588,#143586,#61346,.T.); #174699=EDGE_CURVE('',#143587,#143588,#61347,.T.); #174700=EDGE_CURVE('',#143558,#143587,#135213,.T.); #174701=EDGE_CURVE('',#143559,#143588,#135214,.T.); #174702=EDGE_CURVE('',#143589,#143589,#135215,.T.); #174703=EDGE_CURVE('',#143589,#143590,#61348,.T.); #174704=EDGE_CURVE('',#143590,#143590,#135216,.T.); #174705=EDGE_CURVE('',#143591,#143592,#61349,.T.); #174706=EDGE_CURVE('',#143592,#143593,#61350,.T.); #174707=EDGE_CURVE('',#143594,#143593,#61351,.T.); #174708=EDGE_CURVE('',#143591,#143594,#61352,.T.); #174709=EDGE_CURVE('',#143595,#143591,#135217,.T.); #174710=EDGE_CURVE('',#143596,#143594,#135218,.T.); #174711=EDGE_CURVE('',#143595,#143596,#61353,.T.); #174712=EDGE_CURVE('',#143597,#143595,#135219,.T.); #174713=EDGE_CURVE('',#143598,#143596,#135220,.T.); #174714=EDGE_CURVE('',#143597,#143598,#61354,.T.); #174715=EDGE_CURVE('',#143599,#143597,#61355,.T.); #174716=EDGE_CURVE('',#143600,#143598,#61356,.T.); #174717=EDGE_CURVE('',#143599,#143600,#61357,.T.); #174718=EDGE_CURVE('',#143601,#143599,#61358,.T.); #174719=EDGE_CURVE('',#143602,#143600,#61359,.T.); #174720=EDGE_CURVE('',#143601,#143602,#61360,.T.); #174721=EDGE_CURVE('',#143603,#143601,#61361,.T.); #174722=EDGE_CURVE('',#143604,#143602,#61362,.T.); #174723=EDGE_CURVE('',#143603,#143604,#61363,.T.); #174724=EDGE_CURVE('',#143605,#143603,#61364,.T.); #174725=EDGE_CURVE('',#143606,#143604,#61365,.T.); #174726=EDGE_CURVE('',#143605,#143606,#61366,.T.); #174727=EDGE_CURVE('',#143607,#143605,#61367,.T.); #174728=EDGE_CURVE('',#143608,#143606,#61368,.T.); #174729=EDGE_CURVE('',#143607,#143608,#61369,.T.); #174730=EDGE_CURVE('',#143609,#143607,#61370,.T.); #174731=EDGE_CURVE('',#143610,#143608,#61371,.T.); #174732=EDGE_CURVE('',#143609,#143610,#61372,.T.); #174733=EDGE_CURVE('',#143611,#143609,#61373,.T.); #174734=EDGE_CURVE('',#143612,#143610,#61374,.T.); #174735=EDGE_CURVE('',#143611,#143612,#61375,.T.); #174736=EDGE_CURVE('',#143613,#143611,#135221,.T.); #174737=EDGE_CURVE('',#143614,#143612,#135222,.T.); #174738=EDGE_CURVE('',#143613,#143614,#61376,.T.); #174739=EDGE_CURVE('',#143615,#143613,#61377,.T.); #174740=EDGE_CURVE('',#143616,#143614,#61378,.T.); #174741=EDGE_CURVE('',#143615,#143616,#61379,.T.); #174742=EDGE_CURVE('',#143592,#143615,#135223,.T.); #174743=EDGE_CURVE('',#143593,#143616,#135224,.T.); #174744=EDGE_CURVE('',#143617,#143617,#135225,.T.); #174745=EDGE_CURVE('',#143617,#143618,#61380,.T.); #174746=EDGE_CURVE('',#143618,#143618,#135226,.T.); #174747=EDGE_CURVE('',#143619,#143620,#61381,.T.); #174748=EDGE_CURVE('',#143620,#143621,#61382,.T.); #174749=EDGE_CURVE('',#143622,#143621,#61383,.T.); #174750=EDGE_CURVE('',#143619,#143622,#61384,.T.); #174751=EDGE_CURVE('',#143623,#143619,#61385,.T.); #174752=EDGE_CURVE('',#143624,#143622,#61386,.T.); #174753=EDGE_CURVE('',#143623,#143624,#61387,.T.); #174754=EDGE_CURVE('',#143625,#143623,#61388,.T.); #174755=EDGE_CURVE('',#143626,#143624,#61389,.T.); #174756=EDGE_CURVE('',#143625,#143626,#61390,.T.); #174757=EDGE_CURVE('',#143627,#143625,#61391,.T.); #174758=EDGE_CURVE('',#143628,#143626,#61392,.T.); #174759=EDGE_CURVE('',#143627,#143628,#61393,.T.); #174760=EDGE_CURVE('',#143629,#143627,#61394,.T.); #174761=EDGE_CURVE('',#143630,#143628,#61395,.T.); #174762=EDGE_CURVE('',#143629,#143630,#61396,.T.); #174763=EDGE_CURVE('',#143631,#143629,#135227,.T.); #174764=EDGE_CURVE('',#143632,#143630,#135228,.T.); #174765=EDGE_CURVE('',#143631,#143632,#61397,.T.); #174766=EDGE_CURVE('',#143633,#143631,#61398,.T.); #174767=EDGE_CURVE('',#143634,#143632,#61399,.T.); #174768=EDGE_CURVE('',#143633,#143634,#61400,.T.); #174769=EDGE_CURVE('',#143635,#143633,#61401,.T.); #174770=EDGE_CURVE('',#143636,#143634,#61402,.T.); #174771=EDGE_CURVE('',#143635,#143636,#61403,.T.); #174772=EDGE_CURVE('',#143637,#143635,#135229,.T.); #174773=EDGE_CURVE('',#143638,#143636,#135230,.T.); #174774=EDGE_CURVE('',#143637,#143638,#61404,.T.); #174775=EDGE_CURVE('',#143639,#143637,#61405,.T.); #174776=EDGE_CURVE('',#143640,#143638,#61406,.T.); #174777=EDGE_CURVE('',#143639,#143640,#61407,.T.); #174778=EDGE_CURVE('',#143641,#143639,#135231,.T.); #174779=EDGE_CURVE('',#143642,#143640,#135232,.T.); #174780=EDGE_CURVE('',#143641,#143642,#61408,.T.); #174781=EDGE_CURVE('',#143643,#143641,#135233,.T.); #174782=EDGE_CURVE('',#143644,#143642,#135234,.T.); #174783=EDGE_CURVE('',#143643,#143644,#61409,.T.); #174784=EDGE_CURVE('',#143645,#143643,#61410,.T.); #174785=EDGE_CURVE('',#143646,#143644,#61411,.T.); #174786=EDGE_CURVE('',#143645,#143646,#61412,.T.); #174787=EDGE_CURVE('',#143647,#143645,#61413,.T.); #174788=EDGE_CURVE('',#143648,#143646,#61414,.T.); #174789=EDGE_CURVE('',#143647,#143648,#61415,.T.); #174790=EDGE_CURVE('',#143649,#143647,#135235,.T.); #174791=EDGE_CURVE('',#143650,#143648,#135236,.T.); #174792=EDGE_CURVE('',#143649,#143650,#61416,.T.); #174793=EDGE_CURVE('',#143651,#143649,#61417,.T.); #174794=EDGE_CURVE('',#143652,#143650,#61418,.T.); #174795=EDGE_CURVE('',#143651,#143652,#61419,.T.); #174796=EDGE_CURVE('',#143653,#143651,#61420,.T.); #174797=EDGE_CURVE('',#143654,#143652,#61421,.T.); #174798=EDGE_CURVE('',#143653,#143654,#61422,.T.); #174799=EDGE_CURVE('',#143620,#143653,#61423,.T.); #174800=EDGE_CURVE('',#143621,#143654,#61424,.T.); #174801=EDGE_CURVE('',#143655,#143655,#135237,.T.); #174802=EDGE_CURVE('',#143655,#143656,#61425,.T.); #174803=EDGE_CURVE('',#143656,#143656,#135238,.T.); #174804=EDGE_CURVE('',#143657,#143658,#61426,.T.); #174805=EDGE_CURVE('',#143658,#143659,#61427,.T.); #174806=EDGE_CURVE('',#143660,#143659,#61428,.T.); #174807=EDGE_CURVE('',#143657,#143660,#61429,.T.); #174808=EDGE_CURVE('',#143661,#143657,#135239,.T.); #174809=EDGE_CURVE('',#143662,#143660,#135240,.T.); #174810=EDGE_CURVE('',#143661,#143662,#61430,.T.); #174811=EDGE_CURVE('',#143663,#143661,#61431,.T.); #174812=EDGE_CURVE('',#143664,#143662,#61432,.T.); #174813=EDGE_CURVE('',#143663,#143664,#61433,.T.); #174814=EDGE_CURVE('',#143665,#143663,#135241,.T.); #174815=EDGE_CURVE('',#143666,#143664,#135242,.T.); #174816=EDGE_CURVE('',#143665,#143666,#61434,.T.); #174817=EDGE_CURVE('',#143667,#143665,#61435,.T.); #174818=EDGE_CURVE('',#143668,#143666,#61436,.T.); #174819=EDGE_CURVE('',#143667,#143668,#61437,.T.); #174820=EDGE_CURVE('',#143669,#143667,#61438,.T.); #174821=EDGE_CURVE('',#143670,#143668,#61439,.T.); #174822=EDGE_CURVE('',#143669,#143670,#61440,.T.); #174823=EDGE_CURVE('',#143671,#143669,#61441,.T.); #174824=EDGE_CURVE('',#143672,#143670,#61442,.T.); #174825=EDGE_CURVE('',#143671,#143672,#61443,.T.); #174826=EDGE_CURVE('',#143673,#143671,#61444,.T.); #174827=EDGE_CURVE('',#143674,#143672,#61445,.T.); #174828=EDGE_CURVE('',#143673,#143674,#61446,.T.); #174829=EDGE_CURVE('',#143675,#143673,#61447,.T.); #174830=EDGE_CURVE('',#143676,#143674,#61448,.T.); #174831=EDGE_CURVE('',#143675,#143676,#61449,.T.); #174832=EDGE_CURVE('',#143677,#143675,#61450,.T.); #174833=EDGE_CURVE('',#143678,#143676,#61451,.T.); #174834=EDGE_CURVE('',#143677,#143678,#61452,.T.); #174835=EDGE_CURVE('',#143679,#143677,#61453,.T.); #174836=EDGE_CURVE('',#143680,#143678,#61454,.T.); #174837=EDGE_CURVE('',#143679,#143680,#61455,.T.); #174838=EDGE_CURVE('',#143681,#143679,#135243,.T.); #174839=EDGE_CURVE('',#143682,#143680,#135244,.T.); #174840=EDGE_CURVE('',#143681,#143682,#61456,.T.); #174841=EDGE_CURVE('',#143658,#143681,#135245,.T.); #174842=EDGE_CURVE('',#143659,#143682,#135246,.T.); #174843=EDGE_CURVE('',#143683,#143683,#135247,.T.); #174844=EDGE_CURVE('',#143683,#143684,#61457,.T.); #174845=EDGE_CURVE('',#143684,#143684,#135248,.T.); #174846=EDGE_CURVE('',#143685,#143686,#61458,.T.); #174847=EDGE_CURVE('',#143686,#143687,#61459,.T.); #174848=EDGE_CURVE('',#143688,#143687,#61460,.T.); #174849=EDGE_CURVE('',#143685,#143688,#61461,.T.); #174850=EDGE_CURVE('',#143689,#143685,#61462,.T.); #174851=EDGE_CURVE('',#143690,#143688,#61463,.T.); #174852=EDGE_CURVE('',#143689,#143690,#61464,.T.); #174853=EDGE_CURVE('',#143691,#143689,#61465,.T.); #174854=EDGE_CURVE('',#143692,#143690,#61466,.T.); #174855=EDGE_CURVE('',#143691,#143692,#61467,.T.); #174856=EDGE_CURVE('',#143693,#143691,#61468,.T.); #174857=EDGE_CURVE('',#143694,#143692,#61469,.T.); #174858=EDGE_CURVE('',#143693,#143694,#61470,.T.); #174859=EDGE_CURVE('',#143695,#143693,#61471,.T.); #174860=EDGE_CURVE('',#143696,#143694,#61472,.T.); #174861=EDGE_CURVE('',#143695,#143696,#61473,.T.); #174862=EDGE_CURVE('',#143697,#143695,#61474,.T.); #174863=EDGE_CURVE('',#143698,#143696,#61475,.T.); #174864=EDGE_CURVE('',#143697,#143698,#61476,.T.); #174865=EDGE_CURVE('',#143699,#143697,#135249,.T.); #174866=EDGE_CURVE('',#143700,#143698,#135250,.T.); #174867=EDGE_CURVE('',#143699,#143700,#61477,.T.); #174868=EDGE_CURVE('',#143701,#143699,#61478,.T.); #174869=EDGE_CURVE('',#143702,#143700,#61479,.T.); #174870=EDGE_CURVE('',#143701,#143702,#61480,.T.); #174871=EDGE_CURVE('',#143703,#143701,#61481,.T.); #174872=EDGE_CURVE('',#143704,#143702,#61482,.T.); #174873=EDGE_CURVE('',#143703,#143704,#61483,.T.); #174874=EDGE_CURVE('',#143705,#143703,#135251,.T.); #174875=EDGE_CURVE('',#143706,#143704,#135252,.T.); #174876=EDGE_CURVE('',#143705,#143706,#61484,.T.); #174877=EDGE_CURVE('',#143707,#143705,#135253,.T.); #174878=EDGE_CURVE('',#143708,#143706,#135254,.T.); #174879=EDGE_CURVE('',#143707,#143708,#61485,.T.); #174880=EDGE_CURVE('',#143709,#143707,#61486,.T.); #174881=EDGE_CURVE('',#143710,#143708,#61487,.T.); #174882=EDGE_CURVE('',#143709,#143710,#61488,.T.); #174883=EDGE_CURVE('',#143711,#143709,#135255,.T.); #174884=EDGE_CURVE('',#143712,#143710,#135256,.T.); #174885=EDGE_CURVE('',#143711,#143712,#61489,.T.); #174886=EDGE_CURVE('',#143713,#143711,#61490,.T.); #174887=EDGE_CURVE('',#143714,#143712,#61491,.T.); #174888=EDGE_CURVE('',#143713,#143714,#61492,.T.); #174889=EDGE_CURVE('',#143715,#143713,#61493,.T.); #174890=EDGE_CURVE('',#143716,#143714,#61494,.T.); #174891=EDGE_CURVE('',#143715,#143716,#61495,.T.); #174892=EDGE_CURVE('',#143717,#143715,#135257,.T.); #174893=EDGE_CURVE('',#143718,#143716,#135258,.T.); #174894=EDGE_CURVE('',#143717,#143718,#61496,.T.); #174895=EDGE_CURVE('',#143719,#143717,#61497,.T.); #174896=EDGE_CURVE('',#143720,#143718,#61498,.T.); #174897=EDGE_CURVE('',#143719,#143720,#61499,.T.); #174898=EDGE_CURVE('',#143686,#143719,#61500,.T.); #174899=EDGE_CURVE('',#143687,#143720,#61501,.T.); #174900=EDGE_CURVE('',#143721,#143721,#135259,.T.); #174901=EDGE_CURVE('',#143721,#143722,#61502,.T.); #174902=EDGE_CURVE('',#143722,#143722,#135260,.T.); #174903=EDGE_CURVE('',#143723,#143724,#61503,.T.); #174904=EDGE_CURVE('',#143724,#143725,#61504,.T.); #174905=EDGE_CURVE('',#143726,#143725,#61505,.T.); #174906=EDGE_CURVE('',#143723,#143726,#61506,.T.); #174907=EDGE_CURVE('',#143727,#143723,#135261,.T.); #174908=EDGE_CURVE('',#143728,#143726,#135262,.T.); #174909=EDGE_CURVE('',#143727,#143728,#61507,.T.); #174910=EDGE_CURVE('',#143729,#143727,#61508,.T.); #174911=EDGE_CURVE('',#143730,#143728,#61509,.T.); #174912=EDGE_CURVE('',#143729,#143730,#61510,.T.); #174913=EDGE_CURVE('',#143731,#143729,#61511,.T.); #174914=EDGE_CURVE('',#143732,#143730,#61512,.T.); #174915=EDGE_CURVE('',#143731,#143732,#61513,.T.); #174916=EDGE_CURVE('',#143733,#143731,#61514,.T.); #174917=EDGE_CURVE('',#143734,#143732,#61515,.T.); #174918=EDGE_CURVE('',#143733,#143734,#61516,.T.); #174919=EDGE_CURVE('',#143735,#143733,#61517,.T.); #174920=EDGE_CURVE('',#143736,#143734,#61518,.T.); #174921=EDGE_CURVE('',#143735,#143736,#61519,.T.); #174922=EDGE_CURVE('',#143737,#143735,#61520,.T.); #174923=EDGE_CURVE('',#143738,#143736,#61521,.T.); #174924=EDGE_CURVE('',#143737,#143738,#61522,.T.); #174925=EDGE_CURVE('',#143739,#143737,#61523,.T.); #174926=EDGE_CURVE('',#143740,#143738,#61524,.T.); #174927=EDGE_CURVE('',#143739,#143740,#61525,.T.); #174928=EDGE_CURVE('',#143741,#143739,#61526,.T.); #174929=EDGE_CURVE('',#143742,#143740,#61527,.T.); #174930=EDGE_CURVE('',#143741,#143742,#61528,.T.); #174931=EDGE_CURVE('',#143743,#143741,#135263,.T.); #174932=EDGE_CURVE('',#143744,#143742,#135264,.T.); #174933=EDGE_CURVE('',#143743,#143744,#61529,.T.); #174934=EDGE_CURVE('',#143724,#143743,#135265,.T.); #174935=EDGE_CURVE('',#143725,#143744,#135266,.T.); #174936=EDGE_CURVE('',#143745,#143745,#135267,.T.); #174937=EDGE_CURVE('',#143745,#143746,#61530,.T.); #174938=EDGE_CURVE('',#143746,#143746,#135268,.T.); #174939=EDGE_CURVE('',#143747,#143748,#61531,.T.); #174940=EDGE_CURVE('',#143748,#143749,#61532,.T.); #174941=EDGE_CURVE('',#143750,#143749,#61533,.T.); #174942=EDGE_CURVE('',#143747,#143750,#61534,.T.); #174943=EDGE_CURVE('',#143751,#143747,#135269,.T.); #174944=EDGE_CURVE('',#143752,#143750,#135270,.T.); #174945=EDGE_CURVE('',#143751,#143752,#61535,.T.); #174946=EDGE_CURVE('',#143753,#143751,#135271,.T.); #174947=EDGE_CURVE('',#143754,#143752,#135272,.T.); #174948=EDGE_CURVE('',#143753,#143754,#61536,.T.); #174949=EDGE_CURVE('',#143755,#143753,#61537,.T.); #174950=EDGE_CURVE('',#143756,#143754,#61538,.T.); #174951=EDGE_CURVE('',#143755,#143756,#61539,.T.); #174952=EDGE_CURVE('',#143757,#143755,#61540,.T.); #174953=EDGE_CURVE('',#143758,#143756,#61541,.T.); #174954=EDGE_CURVE('',#143757,#143758,#61542,.T.); #174955=EDGE_CURVE('',#143759,#143757,#61543,.T.); #174956=EDGE_CURVE('',#143760,#143758,#61544,.T.); #174957=EDGE_CURVE('',#143759,#143760,#61545,.T.); #174958=EDGE_CURVE('',#143761,#143759,#61546,.T.); #174959=EDGE_CURVE('',#143762,#143760,#61547,.T.); #174960=EDGE_CURVE('',#143761,#143762,#61548,.T.); #174961=EDGE_CURVE('',#143763,#143761,#61549,.T.); #174962=EDGE_CURVE('',#143764,#143762,#61550,.T.); #174963=EDGE_CURVE('',#143763,#143764,#61551,.T.); #174964=EDGE_CURVE('',#143765,#143763,#61552,.T.); #174965=EDGE_CURVE('',#143766,#143764,#61553,.T.); #174966=EDGE_CURVE('',#143765,#143766,#61554,.T.); #174967=EDGE_CURVE('',#143767,#143765,#61555,.T.); #174968=EDGE_CURVE('',#143768,#143766,#61556,.T.); #174969=EDGE_CURVE('',#143767,#143768,#61557,.T.); #174970=EDGE_CURVE('',#143748,#143767,#135273,.T.); #174971=EDGE_CURVE('',#143749,#143768,#135274,.T.); #174972=EDGE_CURVE('',#143769,#143769,#135275,.T.); #174973=EDGE_CURVE('',#143769,#143770,#61558,.T.); #174974=EDGE_CURVE('',#143770,#143770,#135276,.T.); #174975=EDGE_CURVE('',#143771,#143772,#61559,.T.); #174976=EDGE_CURVE('',#143772,#143773,#61560,.T.); #174977=EDGE_CURVE('',#143774,#143773,#61561,.T.); #174978=EDGE_CURVE('',#143771,#143774,#61562,.T.); #174979=EDGE_CURVE('',#143775,#143771,#61563,.T.); #174980=EDGE_CURVE('',#143776,#143774,#61564,.T.); #174981=EDGE_CURVE('',#143775,#143776,#61565,.T.); #174982=EDGE_CURVE('',#143777,#143775,#61566,.T.); #174983=EDGE_CURVE('',#143778,#143776,#61567,.T.); #174984=EDGE_CURVE('',#143777,#143778,#61568,.T.); #174985=EDGE_CURVE('',#143779,#143777,#61569,.T.); #174986=EDGE_CURVE('',#143780,#143778,#61570,.T.); #174987=EDGE_CURVE('',#143779,#143780,#61571,.T.); #174988=EDGE_CURVE('',#143781,#143779,#61572,.T.); #174989=EDGE_CURVE('',#143782,#143780,#61573,.T.); #174990=EDGE_CURVE('',#143781,#143782,#61574,.T.); #174991=EDGE_CURVE('',#143783,#143781,#135277,.T.); #174992=EDGE_CURVE('',#143784,#143782,#135278,.T.); #174993=EDGE_CURVE('',#143783,#143784,#61575,.T.); #174994=EDGE_CURVE('',#143785,#143783,#61576,.T.); #174995=EDGE_CURVE('',#143786,#143784,#61577,.T.); #174996=EDGE_CURVE('',#143785,#143786,#61578,.T.); #174997=EDGE_CURVE('',#143787,#143785,#61579,.T.); #174998=EDGE_CURVE('',#143788,#143786,#61580,.T.); #174999=EDGE_CURVE('',#143787,#143788,#61581,.T.); #175000=EDGE_CURVE('',#143789,#143787,#61582,.T.); #175001=EDGE_CURVE('',#143790,#143788,#61583,.T.); #175002=EDGE_CURVE('',#143789,#143790,#61584,.T.); #175003=EDGE_CURVE('',#143791,#143789,#61585,.T.); #175004=EDGE_CURVE('',#143792,#143790,#61586,.T.); #175005=EDGE_CURVE('',#143791,#143792,#61587,.T.); #175006=EDGE_CURVE('',#143793,#143791,#61588,.T.); #175007=EDGE_CURVE('',#143794,#143792,#61589,.T.); #175008=EDGE_CURVE('',#143793,#143794,#61590,.T.); #175009=EDGE_CURVE('',#143795,#143793,#135279,.T.); #175010=EDGE_CURVE('',#143796,#143794,#135280,.T.); #175011=EDGE_CURVE('',#143795,#143796,#61591,.T.); #175012=EDGE_CURVE('',#143797,#143795,#135281,.T.); #175013=EDGE_CURVE('',#143798,#143796,#135282,.T.); #175014=EDGE_CURVE('',#143797,#143798,#61592,.T.); #175015=EDGE_CURVE('',#143799,#143797,#61593,.T.); #175016=EDGE_CURVE('',#143800,#143798,#61594,.T.); #175017=EDGE_CURVE('',#143799,#143800,#61595,.T.); #175018=EDGE_CURVE('',#143801,#143799,#135283,.T.); #175019=EDGE_CURVE('',#143802,#143800,#135284,.T.); #175020=EDGE_CURVE('',#143801,#143802,#61596,.T.); #175021=EDGE_CURVE('',#143803,#143801,#61597,.T.); #175022=EDGE_CURVE('',#143804,#143802,#61598,.T.); #175023=EDGE_CURVE('',#143803,#143804,#61599,.T.); #175024=EDGE_CURVE('',#143805,#143803,#135285,.T.); #175025=EDGE_CURVE('',#143806,#143804,#135286,.T.); #175026=EDGE_CURVE('',#143805,#143806,#61600,.T.); #175027=EDGE_CURVE('',#143807,#143805,#61601,.T.); #175028=EDGE_CURVE('',#143808,#143806,#61602,.T.); #175029=EDGE_CURVE('',#143807,#143808,#61603,.T.); #175030=EDGE_CURVE('',#143809,#143807,#135287,.T.); #175031=EDGE_CURVE('',#143810,#143808,#135288,.T.); #175032=EDGE_CURVE('',#143809,#143810,#61604,.T.); #175033=EDGE_CURVE('',#143811,#143809,#61605,.T.); #175034=EDGE_CURVE('',#143812,#143810,#61606,.T.); #175035=EDGE_CURVE('',#143811,#143812,#61607,.T.); #175036=EDGE_CURVE('',#143813,#143811,#135289,.T.); #175037=EDGE_CURVE('',#143814,#143812,#135290,.T.); #175038=EDGE_CURVE('',#143813,#143814,#61608,.T.); #175039=EDGE_CURVE('',#143815,#143813,#61609,.T.); #175040=EDGE_CURVE('',#143816,#143814,#61610,.T.); #175041=EDGE_CURVE('',#143815,#143816,#61611,.T.); #175042=EDGE_CURVE('',#143772,#143815,#61612,.T.); #175043=EDGE_CURVE('',#143773,#143816,#61613,.T.); #175044=EDGE_CURVE('',#143817,#143817,#135291,.T.); #175045=EDGE_CURVE('',#143817,#143818,#61614,.T.); #175046=EDGE_CURVE('',#143818,#143818,#135292,.T.); #175047=EDGE_CURVE('',#143819,#143820,#61615,.T.); #175048=EDGE_CURVE('',#143820,#143821,#61616,.T.); #175049=EDGE_CURVE('',#143822,#143821,#61617,.T.); #175050=EDGE_CURVE('',#143819,#143822,#61618,.T.); #175051=EDGE_CURVE('',#143823,#143819,#61619,.T.); #175052=EDGE_CURVE('',#143824,#143822,#61620,.T.); #175053=EDGE_CURVE('',#143823,#143824,#61621,.T.); #175054=EDGE_CURVE('',#143825,#143823,#61622,.T.); #175055=EDGE_CURVE('',#143826,#143824,#61623,.T.); #175056=EDGE_CURVE('',#143825,#143826,#61624,.T.); #175057=EDGE_CURVE('',#143827,#143825,#61625,.T.); #175058=EDGE_CURVE('',#143828,#143826,#61626,.T.); #175059=EDGE_CURVE('',#143827,#143828,#61627,.T.); #175060=EDGE_CURVE('',#143829,#143827,#61628,.T.); #175061=EDGE_CURVE('',#143830,#143828,#61629,.T.); #175062=EDGE_CURVE('',#143829,#143830,#61630,.T.); #175063=EDGE_CURVE('',#143831,#143829,#61631,.T.); #175064=EDGE_CURVE('',#143832,#143830,#61632,.T.); #175065=EDGE_CURVE('',#143831,#143832,#61633,.T.); #175066=EDGE_CURVE('',#143833,#143831,#61634,.T.); #175067=EDGE_CURVE('',#143834,#143832,#61635,.T.); #175068=EDGE_CURVE('',#143833,#143834,#61636,.T.); #175069=EDGE_CURVE('',#143835,#143833,#61637,.T.); #175070=EDGE_CURVE('',#143836,#143834,#61638,.T.); #175071=EDGE_CURVE('',#143835,#143836,#61639,.T.); #175072=EDGE_CURVE('',#143837,#143835,#135293,.T.); #175073=EDGE_CURVE('',#143838,#143836,#135294,.T.); #175074=EDGE_CURVE('',#143837,#143838,#61640,.T.); #175075=EDGE_CURVE('',#143839,#143837,#135295,.T.); #175076=EDGE_CURVE('',#143840,#143838,#135296,.T.); #175077=EDGE_CURVE('',#143839,#143840,#61641,.T.); #175078=EDGE_CURVE('',#143841,#143839,#61642,.T.); #175079=EDGE_CURVE('',#143842,#143840,#61643,.T.); #175080=EDGE_CURVE('',#143841,#143842,#61644,.T.); #175081=EDGE_CURVE('',#143843,#143841,#135297,.T.); #175082=EDGE_CURVE('',#143844,#143842,#135298,.T.); #175083=EDGE_CURVE('',#143843,#143844,#61645,.T.); #175084=EDGE_CURVE('',#143845,#143843,#61646,.T.); #175085=EDGE_CURVE('',#143846,#143844,#61647,.T.); #175086=EDGE_CURVE('',#143845,#143846,#61648,.T.); #175087=EDGE_CURVE('',#143847,#143845,#135299,.T.); #175088=EDGE_CURVE('',#143848,#143846,#135300,.T.); #175089=EDGE_CURVE('',#143847,#143848,#61649,.T.); #175090=EDGE_CURVE('',#143849,#143847,#61650,.T.); #175091=EDGE_CURVE('',#143850,#143848,#61651,.T.); #175092=EDGE_CURVE('',#143849,#143850,#61652,.T.); #175093=EDGE_CURVE('',#143851,#143849,#135301,.T.); #175094=EDGE_CURVE('',#143852,#143850,#135302,.T.); #175095=EDGE_CURVE('',#143851,#143852,#61653,.T.); #175096=EDGE_CURVE('',#143820,#143851,#61654,.T.); #175097=EDGE_CURVE('',#143821,#143852,#61655,.T.); #175098=EDGE_CURVE('',#143853,#143853,#135303,.T.); #175099=EDGE_CURVE('',#143853,#143854,#61656,.T.); #175100=EDGE_CURVE('',#143854,#143854,#135304,.T.); #175101=EDGE_CURVE('',#143855,#143856,#61657,.T.); #175102=EDGE_CURVE('',#143856,#143857,#61658,.T.); #175103=EDGE_CURVE('',#143858,#143857,#61659,.T.); #175104=EDGE_CURVE('',#143855,#143858,#61660,.T.); #175105=EDGE_CURVE('',#143859,#143855,#61661,.T.); #175106=EDGE_CURVE('',#143860,#143858,#61662,.T.); #175107=EDGE_CURVE('',#143859,#143860,#61663,.T.); #175108=EDGE_CURVE('',#143861,#143859,#61664,.T.); #175109=EDGE_CURVE('',#143862,#143860,#61665,.T.); #175110=EDGE_CURVE('',#143861,#143862,#61666,.T.); #175111=EDGE_CURVE('',#143863,#143861,#61667,.T.); #175112=EDGE_CURVE('',#143864,#143862,#61668,.T.); #175113=EDGE_CURVE('',#143863,#143864,#61669,.T.); #175114=EDGE_CURVE('',#143865,#143863,#61670,.T.); #175115=EDGE_CURVE('',#143866,#143864,#61671,.T.); #175116=EDGE_CURVE('',#143865,#143866,#61672,.T.); #175117=EDGE_CURVE('',#143867,#143865,#135305,.T.); #175118=EDGE_CURVE('',#143868,#143866,#135306,.T.); #175119=EDGE_CURVE('',#143867,#143868,#61673,.T.); #175120=EDGE_CURVE('',#143869,#143867,#61674,.T.); #175121=EDGE_CURVE('',#143870,#143868,#61675,.T.); #175122=EDGE_CURVE('',#143869,#143870,#61676,.T.); #175123=EDGE_CURVE('',#143871,#143869,#135307,.T.); #175124=EDGE_CURVE('',#143872,#143870,#135308,.T.); #175125=EDGE_CURVE('',#143871,#143872,#61677,.T.); #175126=EDGE_CURVE('',#143873,#143871,#61678,.T.); #175127=EDGE_CURVE('',#143874,#143872,#61679,.T.); #175128=EDGE_CURVE('',#143873,#143874,#61680,.T.); #175129=EDGE_CURVE('',#143875,#143873,#135309,.T.); #175130=EDGE_CURVE('',#143876,#143874,#135310,.T.); #175131=EDGE_CURVE('',#143875,#143876,#61681,.T.); #175132=EDGE_CURVE('',#143877,#143875,#61682,.T.); #175133=EDGE_CURVE('',#143878,#143876,#61683,.T.); #175134=EDGE_CURVE('',#143877,#143878,#61684,.T.); #175135=EDGE_CURVE('',#143879,#143877,#135311,.T.); #175136=EDGE_CURVE('',#143880,#143878,#135312,.T.); #175137=EDGE_CURVE('',#143879,#143880,#61685,.T.); #175138=EDGE_CURVE('',#143881,#143879,#61686,.T.); #175139=EDGE_CURVE('',#143882,#143880,#61687,.T.); #175140=EDGE_CURVE('',#143881,#143882,#61688,.T.); #175141=EDGE_CURVE('',#143883,#143881,#135313,.T.); #175142=EDGE_CURVE('',#143884,#143882,#135314,.T.); #175143=EDGE_CURVE('',#143883,#143884,#61689,.T.); #175144=EDGE_CURVE('',#143885,#143883,#135315,.T.); #175145=EDGE_CURVE('',#143886,#143884,#135316,.T.); #175146=EDGE_CURVE('',#143885,#143886,#61690,.T.); #175147=EDGE_CURVE('',#143887,#143885,#61691,.T.); #175148=EDGE_CURVE('',#143888,#143886,#61692,.T.); #175149=EDGE_CURVE('',#143887,#143888,#61693,.T.); #175150=EDGE_CURVE('',#143889,#143887,#61694,.T.); #175151=EDGE_CURVE('',#143890,#143888,#61695,.T.); #175152=EDGE_CURVE('',#143889,#143890,#61696,.T.); #175153=EDGE_CURVE('',#143891,#143889,#61697,.T.); #175154=EDGE_CURVE('',#143892,#143890,#61698,.T.); #175155=EDGE_CURVE('',#143891,#143892,#61699,.T.); #175156=EDGE_CURVE('',#143893,#143891,#61700,.T.); #175157=EDGE_CURVE('',#143894,#143892,#61701,.T.); #175158=EDGE_CURVE('',#143893,#143894,#61702,.T.); #175159=EDGE_CURVE('',#143895,#143893,#61703,.T.); #175160=EDGE_CURVE('',#143896,#143894,#61704,.T.); #175161=EDGE_CURVE('',#143895,#143896,#61705,.T.); #175162=EDGE_CURVE('',#143897,#143895,#135317,.T.); #175163=EDGE_CURVE('',#143898,#143896,#135318,.T.); #175164=EDGE_CURVE('',#143897,#143898,#61706,.T.); #175165=EDGE_CURVE('',#143899,#143897,#61707,.T.); #175166=EDGE_CURVE('',#143900,#143898,#61708,.T.); #175167=EDGE_CURVE('',#143899,#143900,#61709,.T.); #175168=EDGE_CURVE('',#143856,#143899,#61710,.T.); #175169=EDGE_CURVE('',#143857,#143900,#61711,.T.); #175170=EDGE_CURVE('',#143901,#143902,#61712,.T.); #175171=EDGE_CURVE('',#143902,#143903,#61713,.T.); #175172=EDGE_CURVE('',#143904,#143903,#61714,.T.); #175173=EDGE_CURVE('',#143901,#143904,#61715,.T.); #175174=EDGE_CURVE('',#143905,#143901,#61716,.T.); #175175=EDGE_CURVE('',#143906,#143904,#61717,.T.); #175176=EDGE_CURVE('',#143905,#143906,#61718,.T.); #175177=EDGE_CURVE('',#143907,#143905,#61719,.T.); #175178=EDGE_CURVE('',#143908,#143906,#61720,.T.); #175179=EDGE_CURVE('',#143907,#143908,#61721,.T.); #175180=EDGE_CURVE('',#143909,#143907,#61722,.T.); #175181=EDGE_CURVE('',#143910,#143908,#61723,.T.); #175182=EDGE_CURVE('',#143909,#143910,#61724,.T.); #175183=EDGE_CURVE('',#143911,#143909,#135319,.T.); #175184=EDGE_CURVE('',#143912,#143910,#135320,.T.); #175185=EDGE_CURVE('',#143911,#143912,#61725,.T.); #175186=EDGE_CURVE('',#143913,#143911,#61726,.T.); #175187=EDGE_CURVE('',#143914,#143912,#61727,.T.); #175188=EDGE_CURVE('',#143913,#143914,#61728,.T.); #175189=EDGE_CURVE('',#143915,#143913,#135321,.T.); #175190=EDGE_CURVE('',#143916,#143914,#135322,.T.); #175191=EDGE_CURVE('',#143915,#143916,#61729,.T.); #175192=EDGE_CURVE('',#143917,#143915,#61730,.T.); #175193=EDGE_CURVE('',#143918,#143916,#61731,.T.); #175194=EDGE_CURVE('',#143917,#143918,#61732,.T.); #175195=EDGE_CURVE('',#143919,#143917,#61733,.T.); #175196=EDGE_CURVE('',#143920,#143918,#61734,.T.); #175197=EDGE_CURVE('',#143919,#143920,#61735,.T.); #175198=EDGE_CURVE('',#143921,#143919,#61736,.T.); #175199=EDGE_CURVE('',#143922,#143920,#61737,.T.); #175200=EDGE_CURVE('',#143921,#143922,#61738,.T.); #175201=EDGE_CURVE('',#143923,#143921,#61739,.T.); #175202=EDGE_CURVE('',#143924,#143922,#61740,.T.); #175203=EDGE_CURVE('',#143923,#143924,#61741,.T.); #175204=EDGE_CURVE('',#143925,#143923,#61742,.T.); #175205=EDGE_CURVE('',#143926,#143924,#61743,.T.); #175206=EDGE_CURVE('',#143925,#143926,#61744,.T.); #175207=EDGE_CURVE('',#143927,#143925,#61745,.T.); #175208=EDGE_CURVE('',#143928,#143926,#61746,.T.); #175209=EDGE_CURVE('',#143927,#143928,#61747,.T.); #175210=EDGE_CURVE('',#143929,#143927,#61748,.T.); #175211=EDGE_CURVE('',#143930,#143928,#61749,.T.); #175212=EDGE_CURVE('',#143929,#143930,#61750,.T.); #175213=EDGE_CURVE('',#143931,#143929,#61751,.T.); #175214=EDGE_CURVE('',#143932,#143930,#61752,.T.); #175215=EDGE_CURVE('',#143931,#143932,#61753,.T.); #175216=EDGE_CURVE('',#143933,#143931,#61754,.T.); #175217=EDGE_CURVE('',#143934,#143932,#61755,.T.); #175218=EDGE_CURVE('',#143933,#143934,#61756,.T.); #175219=EDGE_CURVE('',#143935,#143933,#135323,.T.); #175220=EDGE_CURVE('',#143936,#143934,#135324,.T.); #175221=EDGE_CURVE('',#143935,#143936,#61757,.T.); #175222=EDGE_CURVE('',#143937,#143935,#61758,.T.); #175223=EDGE_CURVE('',#143938,#143936,#61759,.T.); #175224=EDGE_CURVE('',#143937,#143938,#61760,.T.); #175225=EDGE_CURVE('',#143939,#143937,#135325,.T.); #175226=EDGE_CURVE('',#143940,#143938,#135326,.T.); #175227=EDGE_CURVE('',#143939,#143940,#61761,.T.); #175228=EDGE_CURVE('',#143941,#143939,#61762,.T.); #175229=EDGE_CURVE('',#143942,#143940,#61763,.T.); #175230=EDGE_CURVE('',#143941,#143942,#61764,.T.); #175231=EDGE_CURVE('',#143943,#143941,#61765,.T.); #175232=EDGE_CURVE('',#143944,#143942,#61766,.T.); #175233=EDGE_CURVE('',#143943,#143944,#61767,.T.); #175234=EDGE_CURVE('',#143945,#143943,#61768,.T.); #175235=EDGE_CURVE('',#143946,#143944,#61769,.T.); #175236=EDGE_CURVE('',#143945,#143946,#61770,.T.); #175237=EDGE_CURVE('',#143947,#143945,#61771,.T.); #175238=EDGE_CURVE('',#143948,#143946,#61772,.T.); #175239=EDGE_CURVE('',#143947,#143948,#61773,.T.); #175240=EDGE_CURVE('',#143902,#143947,#61774,.T.); #175241=EDGE_CURVE('',#143903,#143948,#61775,.T.); #175242=EDGE_CURVE('',#143949,#143949,#135327,.T.); #175243=EDGE_CURVE('',#143949,#143950,#61776,.T.); #175244=EDGE_CURVE('',#143950,#143950,#135328,.T.); #175245=EDGE_CURVE('',#143951,#143952,#61777,.T.); #175246=EDGE_CURVE('',#143952,#143953,#61778,.T.); #175247=EDGE_CURVE('',#143954,#143953,#61779,.T.); #175248=EDGE_CURVE('',#143951,#143954,#61780,.T.); #175249=EDGE_CURVE('',#143955,#143951,#61781,.T.); #175250=EDGE_CURVE('',#143956,#143954,#61782,.T.); #175251=EDGE_CURVE('',#143955,#143956,#61783,.T.); #175252=EDGE_CURVE('',#143957,#143955,#61784,.T.); #175253=EDGE_CURVE('',#143958,#143956,#61785,.T.); #175254=EDGE_CURVE('',#143957,#143958,#61786,.T.); #175255=EDGE_CURVE('',#143952,#143957,#61787,.T.); #175256=EDGE_CURVE('',#143953,#143958,#61788,.T.); #175257=EDGE_CURVE('',#143959,#143959,#135329,.T.); #175258=EDGE_CURVE('',#143959,#143960,#61789,.T.); #175259=EDGE_CURVE('',#143960,#143960,#135330,.T.); #175260=EDGE_CURVE('',#143961,#143962,#61790,.T.); #175261=EDGE_CURVE('',#143962,#143963,#61791,.T.); #175262=EDGE_CURVE('',#143964,#143963,#61792,.T.); #175263=EDGE_CURVE('',#143961,#143964,#61793,.T.); #175264=EDGE_CURVE('',#143965,#143961,#135331,.T.); #175265=EDGE_CURVE('',#143966,#143964,#135332,.T.); #175266=EDGE_CURVE('',#143965,#143966,#61794,.T.); #175267=EDGE_CURVE('',#143967,#143965,#61795,.T.); #175268=EDGE_CURVE('',#143968,#143966,#61796,.T.); #175269=EDGE_CURVE('',#143967,#143968,#61797,.T.); #175270=EDGE_CURVE('',#143969,#143967,#135333,.T.); #175271=EDGE_CURVE('',#143970,#143968,#135334,.T.); #175272=EDGE_CURVE('',#143969,#143970,#61798,.T.); #175273=EDGE_CURVE('',#143971,#143969,#61799,.T.); #175274=EDGE_CURVE('',#143972,#143970,#61800,.T.); #175275=EDGE_CURVE('',#143971,#143972,#61801,.T.); #175276=EDGE_CURVE('',#143973,#143971,#61802,.T.); #175277=EDGE_CURVE('',#143974,#143972,#61803,.T.); #175278=EDGE_CURVE('',#143973,#143974,#61804,.T.); #175279=EDGE_CURVE('',#143975,#143973,#61805,.T.); #175280=EDGE_CURVE('',#143976,#143974,#61806,.T.); #175281=EDGE_CURVE('',#143975,#143976,#61807,.T.); #175282=EDGE_CURVE('',#143977,#143975,#61808,.T.); #175283=EDGE_CURVE('',#143978,#143976,#61809,.T.); #175284=EDGE_CURVE('',#143977,#143978,#61810,.T.); #175285=EDGE_CURVE('',#143979,#143977,#135335,.T.); #175286=EDGE_CURVE('',#143980,#143978,#135336,.T.); #175287=EDGE_CURVE('',#143979,#143980,#61811,.T.); #175288=EDGE_CURVE('',#143981,#143979,#61812,.T.); #175289=EDGE_CURVE('',#143982,#143980,#61813,.T.); #175290=EDGE_CURVE('',#143981,#143982,#61814,.T.); #175291=EDGE_CURVE('',#143983,#143981,#61815,.T.); #175292=EDGE_CURVE('',#143984,#143982,#61816,.T.); #175293=EDGE_CURVE('',#143983,#143984,#61817,.T.); #175294=EDGE_CURVE('',#143985,#143983,#61818,.T.); #175295=EDGE_CURVE('',#143986,#143984,#61819,.T.); #175296=EDGE_CURVE('',#143985,#143986,#61820,.T.); #175297=EDGE_CURVE('',#143987,#143985,#61821,.T.); #175298=EDGE_CURVE('',#143988,#143986,#61822,.T.); #175299=EDGE_CURVE('',#143987,#143988,#61823,.T.); #175300=EDGE_CURVE('',#143989,#143987,#61824,.T.); #175301=EDGE_CURVE('',#143990,#143988,#61825,.T.); #175302=EDGE_CURVE('',#143989,#143990,#61826,.T.); #175303=EDGE_CURVE('',#143991,#143989,#61827,.T.); #175304=EDGE_CURVE('',#143992,#143990,#61828,.T.); #175305=EDGE_CURVE('',#143991,#143992,#61829,.T.); #175306=EDGE_CURVE('',#143993,#143991,#61830,.T.); #175307=EDGE_CURVE('',#143994,#143992,#61831,.T.); #175308=EDGE_CURVE('',#143993,#143994,#61832,.T.); #175309=EDGE_CURVE('',#143995,#143993,#135337,.T.); #175310=EDGE_CURVE('',#143996,#143994,#135338,.T.); #175311=EDGE_CURVE('',#143995,#143996,#61833,.T.); #175312=EDGE_CURVE('',#143997,#143995,#61834,.T.); #175313=EDGE_CURVE('',#143998,#143996,#61835,.T.); #175314=EDGE_CURVE('',#143997,#143998,#61836,.T.); #175315=EDGE_CURVE('',#143999,#143997,#135339,.T.); #175316=EDGE_CURVE('',#144000,#143998,#135340,.T.); #175317=EDGE_CURVE('',#143999,#144000,#61837,.T.); #175318=EDGE_CURVE('',#144001,#143999,#61838,.T.); #175319=EDGE_CURVE('',#144002,#144000,#61839,.T.); #175320=EDGE_CURVE('',#144001,#144002,#61840,.T.); #175321=EDGE_CURVE('',#144003,#144001,#135341,.T.); #175322=EDGE_CURVE('',#144004,#144002,#135342,.T.); #175323=EDGE_CURVE('',#144003,#144004,#61841,.T.); #175324=EDGE_CURVE('',#144005,#144003,#61842,.T.); #175325=EDGE_CURVE('',#144006,#144004,#61843,.T.); #175326=EDGE_CURVE('',#144005,#144006,#61844,.T.); #175327=EDGE_CURVE('',#144007,#144005,#61845,.T.); #175328=EDGE_CURVE('',#144008,#144006,#61846,.T.); #175329=EDGE_CURVE('',#144007,#144008,#61847,.T.); #175330=EDGE_CURVE('',#143962,#144007,#135343,.T.); #175331=EDGE_CURVE('',#143963,#144008,#135344,.T.); #175332=EDGE_CURVE('',#144009,#144009,#135345,.T.); #175333=EDGE_CURVE('',#144009,#144010,#61848,.T.); #175334=EDGE_CURVE('',#144010,#144010,#135346,.T.); #175335=EDGE_CURVE('',#144011,#144012,#61849,.T.); #175336=EDGE_CURVE('',#144012,#144013,#61850,.T.); #175337=EDGE_CURVE('',#144014,#144013,#61851,.T.); #175338=EDGE_CURVE('',#144011,#144014,#61852,.T.); #175339=EDGE_CURVE('',#144015,#144011,#61853,.T.); #175340=EDGE_CURVE('',#144016,#144014,#61854,.T.); #175341=EDGE_CURVE('',#144015,#144016,#61855,.T.); #175342=EDGE_CURVE('',#144017,#144015,#61856,.T.); #175343=EDGE_CURVE('',#144018,#144016,#61857,.T.); #175344=EDGE_CURVE('',#144017,#144018,#61858,.T.); #175345=EDGE_CURVE('',#144019,#144017,#61859,.T.); #175346=EDGE_CURVE('',#144020,#144018,#61860,.T.); #175347=EDGE_CURVE('',#144019,#144020,#61861,.T.); #175348=EDGE_CURVE('',#144021,#144019,#61862,.T.); #175349=EDGE_CURVE('',#144022,#144020,#61863,.T.); #175350=EDGE_CURVE('',#144021,#144022,#61864,.T.); #175351=EDGE_CURVE('',#144023,#144021,#61865,.T.); #175352=EDGE_CURVE('',#144024,#144022,#61866,.T.); #175353=EDGE_CURVE('',#144023,#144024,#61867,.T.); #175354=EDGE_CURVE('',#144025,#144023,#61868,.T.); #175355=EDGE_CURVE('',#144026,#144024,#61869,.T.); #175356=EDGE_CURVE('',#144025,#144026,#61870,.T.); #175357=EDGE_CURVE('',#144027,#144025,#135347,.T.); #175358=EDGE_CURVE('',#144028,#144026,#135348,.T.); #175359=EDGE_CURVE('',#144027,#144028,#61871,.T.); #175360=EDGE_CURVE('',#144029,#144027,#61872,.T.); #175361=EDGE_CURVE('',#144030,#144028,#61873,.T.); #175362=EDGE_CURVE('',#144029,#144030,#61874,.T.); #175363=EDGE_CURVE('',#144031,#144029,#135349,.T.); #175364=EDGE_CURVE('',#144032,#144030,#135350,.T.); #175365=EDGE_CURVE('',#144031,#144032,#61875,.T.); #175366=EDGE_CURVE('',#144033,#144031,#135351,.T.); #175367=EDGE_CURVE('',#144034,#144032,#135352,.T.); #175368=EDGE_CURVE('',#144033,#144034,#61876,.T.); #175369=EDGE_CURVE('',#144035,#144033,#61877,.T.); #175370=EDGE_CURVE('',#144036,#144034,#61878,.T.); #175371=EDGE_CURVE('',#144035,#144036,#61879,.T.); #175372=EDGE_CURVE('',#144037,#144035,#61880,.T.); #175373=EDGE_CURVE('',#144038,#144036,#61881,.T.); #175374=EDGE_CURVE('',#144037,#144038,#61882,.T.); #175375=EDGE_CURVE('',#144039,#144037,#135353,.T.); #175376=EDGE_CURVE('',#144040,#144038,#135354,.T.); #175377=EDGE_CURVE('',#144039,#144040,#61883,.T.); #175378=EDGE_CURVE('',#144012,#144039,#61884,.T.); #175379=EDGE_CURVE('',#144013,#144040,#61885,.T.); #175380=EDGE_CURVE('',#144041,#144041,#135355,.T.); #175381=EDGE_CURVE('',#144041,#144042,#61886,.T.); #175382=EDGE_CURVE('',#144042,#144042,#135356,.T.); #175383=EDGE_CURVE('',#144043,#144044,#61887,.T.); #175384=EDGE_CURVE('',#144044,#144045,#61888,.T.); #175385=EDGE_CURVE('',#144046,#144045,#61889,.T.); #175386=EDGE_CURVE('',#144043,#144046,#61890,.T.); #175387=EDGE_CURVE('',#144047,#144043,#61891,.T.); #175388=EDGE_CURVE('',#144048,#144046,#61892,.T.); #175389=EDGE_CURVE('',#144047,#144048,#61893,.T.); #175390=EDGE_CURVE('',#144049,#144047,#61894,.T.); #175391=EDGE_CURVE('',#144050,#144048,#61895,.T.); #175392=EDGE_CURVE('',#144049,#144050,#61896,.T.); #175393=EDGE_CURVE('',#144051,#144049,#61897,.T.); #175394=EDGE_CURVE('',#144052,#144050,#61898,.T.); #175395=EDGE_CURVE('',#144051,#144052,#61899,.T.); #175396=EDGE_CURVE('',#144053,#144051,#61900,.T.); #175397=EDGE_CURVE('',#144054,#144052,#61901,.T.); #175398=EDGE_CURVE('',#144053,#144054,#61902,.T.); #175399=EDGE_CURVE('',#144055,#144053,#61903,.T.); #175400=EDGE_CURVE('',#144056,#144054,#61904,.T.); #175401=EDGE_CURVE('',#144055,#144056,#61905,.T.); #175402=EDGE_CURVE('',#144057,#144055,#61906,.T.); #175403=EDGE_CURVE('',#144058,#144056,#61907,.T.); #175404=EDGE_CURVE('',#144057,#144058,#61908,.T.); #175405=EDGE_CURVE('',#144059,#144057,#61909,.T.); #175406=EDGE_CURVE('',#144060,#144058,#61910,.T.); #175407=EDGE_CURVE('',#144059,#144060,#61911,.T.); #175408=EDGE_CURVE('',#144061,#144059,#61912,.T.); #175409=EDGE_CURVE('',#144062,#144060,#61913,.T.); #175410=EDGE_CURVE('',#144061,#144062,#61914,.T.); #175411=EDGE_CURVE('',#144063,#144061,#61915,.T.); #175412=EDGE_CURVE('',#144064,#144062,#61916,.T.); #175413=EDGE_CURVE('',#144063,#144064,#61917,.T.); #175414=EDGE_CURVE('',#144065,#144063,#135357,.T.); #175415=EDGE_CURVE('',#144066,#144064,#135358,.T.); #175416=EDGE_CURVE('',#144065,#144066,#61918,.T.); #175417=EDGE_CURVE('',#144067,#144065,#61919,.T.); #175418=EDGE_CURVE('',#144068,#144066,#61920,.T.); #175419=EDGE_CURVE('',#144067,#144068,#61921,.T.); #175420=EDGE_CURVE('',#144069,#144067,#135359,.T.); #175421=EDGE_CURVE('',#144070,#144068,#135360,.T.); #175422=EDGE_CURVE('',#144069,#144070,#61922,.T.); #175423=EDGE_CURVE('',#144071,#144069,#61923,.T.); #175424=EDGE_CURVE('',#144072,#144070,#61924,.T.); #175425=EDGE_CURVE('',#144071,#144072,#61925,.T.); #175426=EDGE_CURVE('',#144073,#144071,#61926,.T.); #175427=EDGE_CURVE('',#144074,#144072,#61927,.T.); #175428=EDGE_CURVE('',#144073,#144074,#61928,.T.); #175429=EDGE_CURVE('',#144075,#144073,#61929,.T.); #175430=EDGE_CURVE('',#144076,#144074,#61930,.T.); #175431=EDGE_CURVE('',#144075,#144076,#61931,.T.); #175432=EDGE_CURVE('',#144077,#144075,#135361,.T.); #175433=EDGE_CURVE('',#144078,#144076,#135362,.T.); #175434=EDGE_CURVE('',#144077,#144078,#61932,.T.); #175435=EDGE_CURVE('',#144079,#144077,#61933,.T.); #175436=EDGE_CURVE('',#144080,#144078,#61934,.T.); #175437=EDGE_CURVE('',#144079,#144080,#61935,.T.); #175438=EDGE_CURVE('',#144081,#144079,#135363,.T.); #175439=EDGE_CURVE('',#144082,#144080,#135364,.T.); #175440=EDGE_CURVE('',#144081,#144082,#61936,.T.); #175441=EDGE_CURVE('',#144083,#144081,#61937,.T.); #175442=EDGE_CURVE('',#144084,#144082,#61938,.T.); #175443=EDGE_CURVE('',#144083,#144084,#61939,.T.); #175444=EDGE_CURVE('',#144085,#144083,#61940,.T.); #175445=EDGE_CURVE('',#144086,#144084,#61941,.T.); #175446=EDGE_CURVE('',#144085,#144086,#61942,.T.); #175447=EDGE_CURVE('',#144087,#144085,#61943,.T.); #175448=EDGE_CURVE('',#144088,#144086,#61944,.T.); #175449=EDGE_CURVE('',#144087,#144088,#61945,.T.); #175450=EDGE_CURVE('',#144089,#144087,#135365,.T.); #175451=EDGE_CURVE('',#144090,#144088,#135366,.T.); #175452=EDGE_CURVE('',#144089,#144090,#61946,.T.); #175453=EDGE_CURVE('',#144091,#144089,#135367,.T.); #175454=EDGE_CURVE('',#144092,#144090,#135368,.T.); #175455=EDGE_CURVE('',#144091,#144092,#61947,.T.); #175456=EDGE_CURVE('',#144093,#144091,#61948,.T.); #175457=EDGE_CURVE('',#144094,#144092,#61949,.T.); #175458=EDGE_CURVE('',#144093,#144094,#61950,.T.); #175459=EDGE_CURVE('',#144095,#144093,#135369,.T.); #175460=EDGE_CURVE('',#144096,#144094,#135370,.T.); #175461=EDGE_CURVE('',#144095,#144096,#61951,.T.); #175462=EDGE_CURVE('',#144097,#144095,#61952,.T.); #175463=EDGE_CURVE('',#144098,#144096,#61953,.T.); #175464=EDGE_CURVE('',#144097,#144098,#61954,.T.); #175465=EDGE_CURVE('',#144099,#144097,#135371,.T.); #175466=EDGE_CURVE('',#144100,#144098,#135372,.T.); #175467=EDGE_CURVE('',#144099,#144100,#61955,.T.); #175468=EDGE_CURVE('',#144101,#144099,#61956,.T.); #175469=EDGE_CURVE('',#144102,#144100,#61957,.T.); #175470=EDGE_CURVE('',#144101,#144102,#61958,.T.); #175471=EDGE_CURVE('',#144103,#144101,#61959,.T.); #175472=EDGE_CURVE('',#144104,#144102,#61960,.T.); #175473=EDGE_CURVE('',#144103,#144104,#61961,.T.); #175474=EDGE_CURVE('',#144105,#144103,#61962,.T.); #175475=EDGE_CURVE('',#144106,#144104,#61963,.T.); #175476=EDGE_CURVE('',#144105,#144106,#61964,.T.); #175477=EDGE_CURVE('',#144107,#144105,#135373,.T.); #175478=EDGE_CURVE('',#144108,#144106,#135374,.T.); #175479=EDGE_CURVE('',#144107,#144108,#61965,.T.); #175480=EDGE_CURVE('',#144109,#144107,#61966,.T.); #175481=EDGE_CURVE('',#144110,#144108,#61967,.T.); #175482=EDGE_CURVE('',#144109,#144110,#61968,.T.); #175483=EDGE_CURVE('',#144111,#144109,#135375,.T.); #175484=EDGE_CURVE('',#144112,#144110,#135376,.T.); #175485=EDGE_CURVE('',#144111,#144112,#61969,.T.); #175486=EDGE_CURVE('',#144113,#144111,#61970,.T.); #175487=EDGE_CURVE('',#144114,#144112,#61971,.T.); #175488=EDGE_CURVE('',#144113,#144114,#61972,.T.); #175489=EDGE_CURVE('',#144115,#144113,#61973,.T.); #175490=EDGE_CURVE('',#144116,#144114,#61974,.T.); #175491=EDGE_CURVE('',#144115,#144116,#61975,.T.); #175492=EDGE_CURVE('',#144117,#144115,#61976,.T.); #175493=EDGE_CURVE('',#144118,#144116,#61977,.T.); #175494=EDGE_CURVE('',#144117,#144118,#61978,.T.); #175495=EDGE_CURVE('',#144119,#144117,#61979,.T.); #175496=EDGE_CURVE('',#144120,#144118,#61980,.T.); #175497=EDGE_CURVE('',#144119,#144120,#61981,.T.); #175498=EDGE_CURVE('',#144121,#144119,#61982,.T.); #175499=EDGE_CURVE('',#144122,#144120,#61983,.T.); #175500=EDGE_CURVE('',#144121,#144122,#61984,.T.); #175501=EDGE_CURVE('',#144123,#144121,#61985,.T.); #175502=EDGE_CURVE('',#144124,#144122,#61986,.T.); #175503=EDGE_CURVE('',#144123,#144124,#61987,.T.); #175504=EDGE_CURVE('',#144125,#144123,#61988,.T.); #175505=EDGE_CURVE('',#144126,#144124,#61989,.T.); #175506=EDGE_CURVE('',#144125,#144126,#61990,.T.); #175507=EDGE_CURVE('',#144127,#144125,#61991,.T.); #175508=EDGE_CURVE('',#144128,#144126,#61992,.T.); #175509=EDGE_CURVE('',#144127,#144128,#61993,.T.); #175510=EDGE_CURVE('',#144044,#144127,#61994,.T.); #175511=EDGE_CURVE('',#144045,#144128,#61995,.T.); #175512=EDGE_CURVE('',#144129,#144129,#135377,.T.); #175513=EDGE_CURVE('',#144129,#144130,#61996,.T.); #175514=EDGE_CURVE('',#144130,#144130,#135378,.T.); #175515=EDGE_CURVE('',#144131,#144132,#61997,.T.); #175516=EDGE_CURVE('',#144132,#144133,#61998,.T.); #175517=EDGE_CURVE('',#144134,#144133,#61999,.T.); #175518=EDGE_CURVE('',#144131,#144134,#62000,.T.); #175519=EDGE_CURVE('',#144135,#144131,#62001,.T.); #175520=EDGE_CURVE('',#144136,#144134,#62002,.T.); #175521=EDGE_CURVE('',#144135,#144136,#62003,.T.); #175522=EDGE_CURVE('',#144137,#144135,#62004,.T.); #175523=EDGE_CURVE('',#144138,#144136,#62005,.T.); #175524=EDGE_CURVE('',#144137,#144138,#62006,.T.); #175525=EDGE_CURVE('',#144139,#144137,#62007,.T.); #175526=EDGE_CURVE('',#144140,#144138,#62008,.T.); #175527=EDGE_CURVE('',#144139,#144140,#62009,.T.); #175528=EDGE_CURVE('',#144141,#144139,#62010,.T.); #175529=EDGE_CURVE('',#144142,#144140,#62011,.T.); #175530=EDGE_CURVE('',#144141,#144142,#62012,.T.); #175531=EDGE_CURVE('',#144143,#144141,#135379,.T.); #175532=EDGE_CURVE('',#144144,#144142,#135380,.T.); #175533=EDGE_CURVE('',#144143,#144144,#62013,.T.); #175534=EDGE_CURVE('',#144145,#144143,#62014,.T.); #175535=EDGE_CURVE('',#144146,#144144,#62015,.T.); #175536=EDGE_CURVE('',#144145,#144146,#62016,.T.); #175537=EDGE_CURVE('',#144147,#144145,#62017,.T.); #175538=EDGE_CURVE('',#144148,#144146,#62018,.T.); #175539=EDGE_CURVE('',#144147,#144148,#62019,.T.); #175540=EDGE_CURVE('',#144149,#144147,#135381,.T.); #175541=EDGE_CURVE('',#144150,#144148,#135382,.T.); #175542=EDGE_CURVE('',#144149,#144150,#62020,.T.); #175543=EDGE_CURVE('',#144151,#144149,#62021,.T.); #175544=EDGE_CURVE('',#144152,#144150,#62022,.T.); #175545=EDGE_CURVE('',#144151,#144152,#62023,.T.); #175546=EDGE_CURVE('',#144153,#144151,#62024,.T.); #175547=EDGE_CURVE('',#144154,#144152,#62025,.T.); #175548=EDGE_CURVE('',#144153,#144154,#62026,.T.); #175549=EDGE_CURVE('',#144155,#144153,#135383,.T.); #175550=EDGE_CURVE('',#144156,#144154,#135384,.T.); #175551=EDGE_CURVE('',#144155,#144156,#62027,.T.); #175552=EDGE_CURVE('',#144157,#144155,#135385,.T.); #175553=EDGE_CURVE('',#144158,#144156,#135386,.T.); #175554=EDGE_CURVE('',#144157,#144158,#62028,.T.); #175555=EDGE_CURVE('',#144159,#144157,#62029,.T.); #175556=EDGE_CURVE('',#144160,#144158,#62030,.T.); #175557=EDGE_CURVE('',#144159,#144160,#62031,.T.); #175558=EDGE_CURVE('',#144161,#144159,#135387,.T.); #175559=EDGE_CURVE('',#144162,#144160,#135388,.T.); #175560=EDGE_CURVE('',#144161,#144162,#62032,.T.); #175561=EDGE_CURVE('',#144163,#144161,#62033,.T.); #175562=EDGE_CURVE('',#144164,#144162,#62034,.T.); #175563=EDGE_CURVE('',#144163,#144164,#62035,.T.); #175564=EDGE_CURVE('',#144165,#144163,#62036,.T.); #175565=EDGE_CURVE('',#144166,#144164,#62037,.T.); #175566=EDGE_CURVE('',#144165,#144166,#62038,.T.); #175567=EDGE_CURVE('',#144167,#144165,#135389,.T.); #175568=EDGE_CURVE('',#144168,#144166,#135390,.T.); #175569=EDGE_CURVE('',#144167,#144168,#62039,.T.); #175570=EDGE_CURVE('',#144169,#144167,#62040,.T.); #175571=EDGE_CURVE('',#144170,#144168,#62041,.T.); #175572=EDGE_CURVE('',#144169,#144170,#62042,.T.); #175573=EDGE_CURVE('',#144171,#144169,#62043,.T.); #175574=EDGE_CURVE('',#144172,#144170,#62044,.T.); #175575=EDGE_CURVE('',#144171,#144172,#62045,.T.); #175576=EDGE_CURVE('',#144132,#144171,#62046,.T.); #175577=EDGE_CURVE('',#144133,#144172,#62047,.T.); #175578=EDGE_CURVE('',#144173,#144173,#135391,.T.); #175579=EDGE_CURVE('',#144173,#144174,#62048,.T.); #175580=EDGE_CURVE('',#144174,#144174,#135392,.T.); #175581=EDGE_CURVE('',#144175,#144176,#62049,.T.); #175582=EDGE_CURVE('',#144176,#144177,#62050,.T.); #175583=EDGE_CURVE('',#144178,#144177,#62051,.T.); #175584=EDGE_CURVE('',#144175,#144178,#62052,.T.); #175585=EDGE_CURVE('',#144179,#144175,#62053,.T.); #175586=EDGE_CURVE('',#144180,#144178,#62054,.T.); #175587=EDGE_CURVE('',#144179,#144180,#62055,.T.); #175588=EDGE_CURVE('',#144181,#144179,#62056,.T.); #175589=EDGE_CURVE('',#144182,#144180,#62057,.T.); #175590=EDGE_CURVE('',#144181,#144182,#62058,.T.); #175591=EDGE_CURVE('',#144176,#144181,#62059,.T.); #175592=EDGE_CURVE('',#144177,#144182,#62060,.T.); #175593=EDGE_CURVE('',#144183,#144183,#135393,.T.); #175594=EDGE_CURVE('',#144183,#144184,#62061,.T.); #175595=EDGE_CURVE('',#144184,#144184,#135394,.T.); #175596=EDGE_CURVE('',#144185,#144186,#62062,.T.); #175597=EDGE_CURVE('',#144186,#144187,#62063,.T.); #175598=EDGE_CURVE('',#144188,#144187,#62064,.T.); #175599=EDGE_CURVE('',#144185,#144188,#62065,.T.); #175600=EDGE_CURVE('',#144189,#144185,#62066,.T.); #175601=EDGE_CURVE('',#144190,#144188,#62067,.T.); #175602=EDGE_CURVE('',#144189,#144190,#62068,.T.); #175603=EDGE_CURVE('',#144191,#144189,#62069,.T.); #175604=EDGE_CURVE('',#144192,#144190,#62070,.T.); #175605=EDGE_CURVE('',#144191,#144192,#62071,.T.); #175606=EDGE_CURVE('',#144186,#144191,#62072,.T.); #175607=EDGE_CURVE('',#144187,#144192,#62073,.T.); #175608=EDGE_CURVE('',#144193,#144193,#135395,.T.); #175609=EDGE_CURVE('',#144193,#144194,#62074,.T.); #175610=EDGE_CURVE('',#144194,#144194,#135396,.T.); #175611=EDGE_CURVE('',#144195,#144196,#62075,.T.); #175612=EDGE_CURVE('',#144196,#144197,#62076,.T.); #175613=EDGE_CURVE('',#144198,#144197,#62077,.T.); #175614=EDGE_CURVE('',#144195,#144198,#62078,.T.); #175615=EDGE_CURVE('',#144199,#144195,#135397,.T.); #175616=EDGE_CURVE('',#144200,#144198,#135398,.T.); #175617=EDGE_CURVE('',#144199,#144200,#62079,.T.); #175618=EDGE_CURVE('',#144201,#144199,#62080,.T.); #175619=EDGE_CURVE('',#144202,#144200,#62081,.T.); #175620=EDGE_CURVE('',#144201,#144202,#62082,.T.); #175621=EDGE_CURVE('',#144203,#144201,#135399,.T.); #175622=EDGE_CURVE('',#144204,#144202,#135400,.T.); #175623=EDGE_CURVE('',#144203,#144204,#62083,.T.); #175624=EDGE_CURVE('',#144205,#144203,#62084,.T.); #175625=EDGE_CURVE('',#144206,#144204,#62085,.T.); #175626=EDGE_CURVE('',#144205,#144206,#62086,.T.); #175627=EDGE_CURVE('',#144207,#144205,#62087,.T.); #175628=EDGE_CURVE('',#144208,#144206,#62088,.T.); #175629=EDGE_CURVE('',#144207,#144208,#62089,.T.); #175630=EDGE_CURVE('',#144209,#144207,#62090,.T.); #175631=EDGE_CURVE('',#144210,#144208,#62091,.T.); #175632=EDGE_CURVE('',#144209,#144210,#62092,.T.); #175633=EDGE_CURVE('',#144211,#144209,#62093,.T.); #175634=EDGE_CURVE('',#144212,#144210,#62094,.T.); #175635=EDGE_CURVE('',#144211,#144212,#62095,.T.); #175636=EDGE_CURVE('',#144213,#144211,#62096,.T.); #175637=EDGE_CURVE('',#144214,#144212,#62097,.T.); #175638=EDGE_CURVE('',#144213,#144214,#62098,.T.); #175639=EDGE_CURVE('',#144215,#144213,#62099,.T.); #175640=EDGE_CURVE('',#144216,#144214,#62100,.T.); #175641=EDGE_CURVE('',#144215,#144216,#62101,.T.); #175642=EDGE_CURVE('',#144217,#144215,#62102,.T.); #175643=EDGE_CURVE('',#144218,#144216,#62103,.T.); #175644=EDGE_CURVE('',#144217,#144218,#62104,.T.); #175645=EDGE_CURVE('',#144219,#144217,#62105,.T.); #175646=EDGE_CURVE('',#144220,#144218,#62106,.T.); #175647=EDGE_CURVE('',#144219,#144220,#62107,.T.); #175648=EDGE_CURVE('',#144221,#144219,#62108,.T.); #175649=EDGE_CURVE('',#144222,#144220,#62109,.T.); #175650=EDGE_CURVE('',#144221,#144222,#62110,.T.); #175651=EDGE_CURVE('',#144196,#144221,#135401,.T.); #175652=EDGE_CURVE('',#144197,#144222,#135402,.T.); #175653=EDGE_CURVE('',#144223,#144223,#135403,.T.); #175654=EDGE_CURVE('',#144223,#144224,#62111,.T.); #175655=EDGE_CURVE('',#144224,#144224,#135404,.T.); #175656=EDGE_CURVE('',#144225,#144226,#62112,.T.); #175657=EDGE_CURVE('',#144226,#144227,#62113,.T.); #175658=EDGE_CURVE('',#144228,#144227,#62114,.T.); #175659=EDGE_CURVE('',#144225,#144228,#62115,.T.); #175660=EDGE_CURVE('',#144229,#144225,#135405,.T.); #175661=EDGE_CURVE('',#144230,#144228,#135406,.T.); #175662=EDGE_CURVE('',#144229,#144230,#62116,.T.); #175663=EDGE_CURVE('',#144226,#144229,#135407,.T.); #175664=EDGE_CURVE('',#144227,#144230,#135408,.T.); #175665=EDGE_CURVE('',#144231,#144231,#135409,.T.); #175666=EDGE_CURVE('',#144231,#144232,#62117,.T.); #175667=EDGE_CURVE('',#144232,#144232,#135410,.T.); #175668=EDGE_CURVE('',#144233,#144233,#135411,.T.); #175669=EDGE_CURVE('',#144233,#144234,#62118,.T.); #175670=EDGE_CURVE('',#144234,#144234,#135412,.T.); #175671=EDGE_CURVE('',#144235,#144236,#62119,.T.); #175672=EDGE_CURVE('',#144236,#144237,#62120,.T.); #175673=EDGE_CURVE('',#144238,#144237,#62121,.T.); #175674=EDGE_CURVE('',#144235,#144238,#62122,.T.); #175675=EDGE_CURVE('',#144239,#144235,#135413,.T.); #175676=EDGE_CURVE('',#144240,#144238,#135414,.T.); #175677=EDGE_CURVE('',#144239,#144240,#62123,.T.); #175678=EDGE_CURVE('',#144241,#144239,#62124,.T.); #175679=EDGE_CURVE('',#144242,#144240,#62125,.T.); #175680=EDGE_CURVE('',#144241,#144242,#62126,.T.); #175681=EDGE_CURVE('',#144243,#144241,#135415,.T.); #175682=EDGE_CURVE('',#144244,#144242,#135416,.T.); #175683=EDGE_CURVE('',#144243,#144244,#62127,.T.); #175684=EDGE_CURVE('',#144245,#144243,#135417,.T.); #175685=EDGE_CURVE('',#144246,#144244,#135418,.T.); #175686=EDGE_CURVE('',#144245,#144246,#62128,.T.); #175687=EDGE_CURVE('',#144247,#144245,#62129,.T.); #175688=EDGE_CURVE('',#144248,#144246,#62130,.T.); #175689=EDGE_CURVE('',#144247,#144248,#62131,.T.); #175690=EDGE_CURVE('',#144236,#144247,#135419,.T.); #175691=EDGE_CURVE('',#144237,#144248,#135420,.T.); #175692=EDGE_CURVE('',#144249,#144249,#135421,.T.); #175693=EDGE_CURVE('',#144249,#144250,#62132,.T.); #175694=EDGE_CURVE('',#144250,#144250,#135422,.T.); #175695=EDGE_CURVE('',#144251,#144252,#62133,.T.); #175696=EDGE_CURVE('',#144252,#144253,#62134,.T.); #175697=EDGE_CURVE('',#144254,#144253,#62135,.T.); #175698=EDGE_CURVE('',#144251,#144254,#62136,.T.); #175699=EDGE_CURVE('',#144255,#144251,#135423,.T.); #175700=EDGE_CURVE('',#144256,#144254,#135424,.T.); #175701=EDGE_CURVE('',#144255,#144256,#62137,.T.); #175702=EDGE_CURVE('',#144252,#144255,#135425,.T.); #175703=EDGE_CURVE('',#144253,#144256,#135426,.T.); #175704=EDGE_CURVE('',#144257,#144257,#135427,.T.); #175705=EDGE_CURVE('',#144257,#144258,#62138,.T.); #175706=EDGE_CURVE('',#144258,#144258,#135428,.T.); #175707=EDGE_CURVE('',#144259,#144260,#62139,.T.); #175708=EDGE_CURVE('',#144260,#144261,#62140,.T.); #175709=EDGE_CURVE('',#144262,#144261,#62141,.T.); #175710=EDGE_CURVE('',#144259,#144262,#62142,.T.); #175711=EDGE_CURVE('',#144263,#144259,#62143,.T.); #175712=EDGE_CURVE('',#144264,#144262,#62144,.T.); #175713=EDGE_CURVE('',#144263,#144264,#62145,.T.); #175714=EDGE_CURVE('',#144265,#144263,#62146,.T.); #175715=EDGE_CURVE('',#144266,#144264,#62147,.T.); #175716=EDGE_CURVE('',#144265,#144266,#62148,.T.); #175717=EDGE_CURVE('',#144260,#144265,#62149,.T.); #175718=EDGE_CURVE('',#144261,#144266,#62150,.T.); #175719=EDGE_CURVE('',#144267,#144267,#135429,.T.); #175720=EDGE_CURVE('',#144267,#144268,#62151,.T.); #175721=EDGE_CURVE('',#144268,#144268,#135430,.T.); #175722=EDGE_CURVE('',#144269,#144270,#62152,.T.); #175723=EDGE_CURVE('',#144270,#144271,#62153,.T.); #175724=EDGE_CURVE('',#144272,#144271,#62154,.T.); #175725=EDGE_CURVE('',#144269,#144272,#62155,.T.); #175726=EDGE_CURVE('',#144273,#144269,#62156,.T.); #175727=EDGE_CURVE('',#144274,#144272,#62157,.T.); #175728=EDGE_CURVE('',#144273,#144274,#62158,.T.); #175729=EDGE_CURVE('',#144275,#144273,#62159,.T.); #175730=EDGE_CURVE('',#144276,#144274,#62160,.T.); #175731=EDGE_CURVE('',#144275,#144276,#62161,.T.); #175732=EDGE_CURVE('',#144277,#144275,#62162,.T.); #175733=EDGE_CURVE('',#144278,#144276,#62163,.T.); #175734=EDGE_CURVE('',#144277,#144278,#62164,.T.); #175735=EDGE_CURVE('',#144279,#144277,#62165,.T.); #175736=EDGE_CURVE('',#144280,#144278,#62166,.T.); #175737=EDGE_CURVE('',#144279,#144280,#62167,.T.); #175738=EDGE_CURVE('',#144281,#144279,#62168,.T.); #175739=EDGE_CURVE('',#144282,#144280,#62169,.T.); #175740=EDGE_CURVE('',#144281,#144282,#62170,.T.); #175741=EDGE_CURVE('',#144283,#144281,#62171,.T.); #175742=EDGE_CURVE('',#144284,#144282,#62172,.T.); #175743=EDGE_CURVE('',#144283,#144284,#62173,.T.); #175744=EDGE_CURVE('',#144285,#144283,#62174,.T.); #175745=EDGE_CURVE('',#144286,#144284,#62175,.T.); #175746=EDGE_CURVE('',#144285,#144286,#62176,.T.); #175747=EDGE_CURVE('',#144287,#144285,#62177,.T.); #175748=EDGE_CURVE('',#144288,#144286,#62178,.T.); #175749=EDGE_CURVE('',#144287,#144288,#62179,.T.); #175750=EDGE_CURVE('',#144289,#144287,#62180,.T.); #175751=EDGE_CURVE('',#144290,#144288,#62181,.T.); #175752=EDGE_CURVE('',#144289,#144290,#62182,.T.); #175753=EDGE_CURVE('',#144291,#144289,#62183,.T.); #175754=EDGE_CURVE('',#144292,#144290,#62184,.T.); #175755=EDGE_CURVE('',#144291,#144292,#62185,.T.); #175756=EDGE_CURVE('',#144293,#144291,#62186,.T.); #175757=EDGE_CURVE('',#144294,#144292,#62187,.T.); #175758=EDGE_CURVE('',#144293,#144294,#62188,.T.); #175759=EDGE_CURVE('',#144295,#144293,#135431,.T.); #175760=EDGE_CURVE('',#144296,#144294,#135432,.T.); #175761=EDGE_CURVE('',#144295,#144296,#62189,.T.); #175762=EDGE_CURVE('',#144297,#144295,#62190,.T.); #175763=EDGE_CURVE('',#144298,#144296,#62191,.T.); #175764=EDGE_CURVE('',#144297,#144298,#62192,.T.); #175765=EDGE_CURVE('',#144299,#144297,#135433,.T.); #175766=EDGE_CURVE('',#144300,#144298,#135434,.T.); #175767=EDGE_CURVE('',#144299,#144300,#62193,.T.); #175768=EDGE_CURVE('',#144301,#144299,#62194,.T.); #175769=EDGE_CURVE('',#144302,#144300,#62195,.T.); #175770=EDGE_CURVE('',#144301,#144302,#62196,.T.); #175771=EDGE_CURVE('',#144303,#144301,#62197,.T.); #175772=EDGE_CURVE('',#144304,#144302,#62198,.T.); #175773=EDGE_CURVE('',#144303,#144304,#62199,.T.); #175774=EDGE_CURVE('',#144305,#144303,#62200,.T.); #175775=EDGE_CURVE('',#144306,#144304,#62201,.T.); #175776=EDGE_CURVE('',#144305,#144306,#62202,.T.); #175777=EDGE_CURVE('',#144307,#144305,#135435,.T.); #175778=EDGE_CURVE('',#144308,#144306,#135436,.T.); #175779=EDGE_CURVE('',#144307,#144308,#62203,.T.); #175780=EDGE_CURVE('',#144309,#144307,#62204,.T.); #175781=EDGE_CURVE('',#144310,#144308,#62205,.T.); #175782=EDGE_CURVE('',#144309,#144310,#62206,.T.); #175783=EDGE_CURVE('',#144311,#144309,#135437,.T.); #175784=EDGE_CURVE('',#144312,#144310,#135438,.T.); #175785=EDGE_CURVE('',#144311,#144312,#62207,.T.); #175786=EDGE_CURVE('',#144313,#144311,#62208,.T.); #175787=EDGE_CURVE('',#144314,#144312,#62209,.T.); #175788=EDGE_CURVE('',#144313,#144314,#62210,.T.); #175789=EDGE_CURVE('',#144315,#144313,#135439,.T.); #175790=EDGE_CURVE('',#144316,#144314,#135440,.T.); #175791=EDGE_CURVE('',#144315,#144316,#62211,.T.); #175792=EDGE_CURVE('',#144317,#144315,#135441,.T.); #175793=EDGE_CURVE('',#144318,#144316,#135442,.T.); #175794=EDGE_CURVE('',#144317,#144318,#62212,.T.); #175795=EDGE_CURVE('',#144319,#144317,#62213,.T.); #175796=EDGE_CURVE('',#144320,#144318,#62214,.T.); #175797=EDGE_CURVE('',#144319,#144320,#62215,.T.); #175798=EDGE_CURVE('',#144321,#144319,#62216,.T.); #175799=EDGE_CURVE('',#144322,#144320,#62217,.T.); #175800=EDGE_CURVE('',#144321,#144322,#62218,.T.); #175801=EDGE_CURVE('',#144323,#144321,#62219,.T.); #175802=EDGE_CURVE('',#144324,#144322,#62220,.T.); #175803=EDGE_CURVE('',#144323,#144324,#62221,.T.); #175804=EDGE_CURVE('',#144325,#144323,#135443,.T.); #175805=EDGE_CURVE('',#144326,#144324,#135444,.T.); #175806=EDGE_CURVE('',#144325,#144326,#62222,.T.); #175807=EDGE_CURVE('',#144327,#144325,#62223,.T.); #175808=EDGE_CURVE('',#144328,#144326,#62224,.T.); #175809=EDGE_CURVE('',#144327,#144328,#62225,.T.); #175810=EDGE_CURVE('',#144329,#144327,#135445,.T.); #175811=EDGE_CURVE('',#144330,#144328,#135446,.T.); #175812=EDGE_CURVE('',#144329,#144330,#62226,.T.); #175813=EDGE_CURVE('',#144331,#144329,#62227,.T.); #175814=EDGE_CURVE('',#144332,#144330,#62228,.T.); #175815=EDGE_CURVE('',#144331,#144332,#62229,.T.); #175816=EDGE_CURVE('',#144333,#144331,#62230,.T.); #175817=EDGE_CURVE('',#144334,#144332,#62231,.T.); #175818=EDGE_CURVE('',#144333,#144334,#62232,.T.); #175819=EDGE_CURVE('',#144335,#144333,#62233,.T.); #175820=EDGE_CURVE('',#144336,#144334,#62234,.T.); #175821=EDGE_CURVE('',#144335,#144336,#62235,.T.); #175822=EDGE_CURVE('',#144337,#144335,#135447,.T.); #175823=EDGE_CURVE('',#144338,#144336,#135448,.T.); #175824=EDGE_CURVE('',#144337,#144338,#62236,.T.); #175825=EDGE_CURVE('',#144339,#144337,#62237,.T.); #175826=EDGE_CURVE('',#144340,#144338,#62238,.T.); #175827=EDGE_CURVE('',#144339,#144340,#62239,.T.); #175828=EDGE_CURVE('',#144341,#144339,#135449,.T.); #175829=EDGE_CURVE('',#144342,#144340,#135450,.T.); #175830=EDGE_CURVE('',#144341,#144342,#62240,.T.); #175831=EDGE_CURVE('',#144343,#144341,#62241,.T.); #175832=EDGE_CURVE('',#144344,#144342,#62242,.T.); #175833=EDGE_CURVE('',#144343,#144344,#62243,.T.); #175834=EDGE_CURVE('',#144345,#144343,#62244,.T.); #175835=EDGE_CURVE('',#144346,#144344,#62245,.T.); #175836=EDGE_CURVE('',#144345,#144346,#62246,.T.); #175837=EDGE_CURVE('',#144347,#144345,#62247,.T.); #175838=EDGE_CURVE('',#144348,#144346,#62248,.T.); #175839=EDGE_CURVE('',#144347,#144348,#62249,.T.); #175840=EDGE_CURVE('',#144349,#144347,#62250,.T.); #175841=EDGE_CURVE('',#144350,#144348,#62251,.T.); #175842=EDGE_CURVE('',#144349,#144350,#62252,.T.); #175843=EDGE_CURVE('',#144351,#144349,#62253,.T.); #175844=EDGE_CURVE('',#144352,#144350,#62254,.T.); #175845=EDGE_CURVE('',#144351,#144352,#62255,.T.); #175846=EDGE_CURVE('',#144353,#144351,#62256,.T.); #175847=EDGE_CURVE('',#144354,#144352,#62257,.T.); #175848=EDGE_CURVE('',#144353,#144354,#62258,.T.); #175849=EDGE_CURVE('',#144270,#144353,#62259,.T.); #175850=EDGE_CURVE('',#144271,#144354,#62260,.T.); #175851=EDGE_CURVE('',#144355,#144355,#135451,.T.); #175852=EDGE_CURVE('',#144355,#144356,#62261,.T.); #175853=EDGE_CURVE('',#144356,#144356,#135452,.T.); #175854=EDGE_CURVE('',#144357,#144358,#62262,.T.); #175855=EDGE_CURVE('',#144358,#144359,#62263,.T.); #175856=EDGE_CURVE('',#144360,#144359,#62264,.T.); #175857=EDGE_CURVE('',#144357,#144360,#62265,.T.); #175858=EDGE_CURVE('',#144361,#144357,#62266,.T.); #175859=EDGE_CURVE('',#144362,#144360,#62267,.T.); #175860=EDGE_CURVE('',#144361,#144362,#62268,.T.); #175861=EDGE_CURVE('',#144363,#144361,#62269,.T.); #175862=EDGE_CURVE('',#144364,#144362,#62270,.T.); #175863=EDGE_CURVE('',#144363,#144364,#62271,.T.); #175864=EDGE_CURVE('',#144365,#144363,#62272,.T.); #175865=EDGE_CURVE('',#144366,#144364,#62273,.T.); #175866=EDGE_CURVE('',#144365,#144366,#62274,.T.); #175867=EDGE_CURVE('',#144367,#144365,#135453,.T.); #175868=EDGE_CURVE('',#144368,#144366,#135454,.T.); #175869=EDGE_CURVE('',#144367,#144368,#62275,.T.); #175870=EDGE_CURVE('',#144369,#144367,#62276,.T.); #175871=EDGE_CURVE('',#144370,#144368,#62277,.T.); #175872=EDGE_CURVE('',#144369,#144370,#62278,.T.); #175873=EDGE_CURVE('',#144371,#144369,#135455,.T.); #175874=EDGE_CURVE('',#144372,#144370,#135456,.T.); #175875=EDGE_CURVE('',#144371,#144372,#62279,.T.); #175876=EDGE_CURVE('',#144373,#144371,#62280,.T.); #175877=EDGE_CURVE('',#144374,#144372,#62281,.T.); #175878=EDGE_CURVE('',#144373,#144374,#62282,.T.); #175879=EDGE_CURVE('',#144375,#144373,#62283,.T.); #175880=EDGE_CURVE('',#144376,#144374,#62284,.T.); #175881=EDGE_CURVE('',#144375,#144376,#62285,.T.); #175882=EDGE_CURVE('',#144377,#144375,#135457,.T.); #175883=EDGE_CURVE('',#144378,#144376,#135458,.T.); #175884=EDGE_CURVE('',#144377,#144378,#62286,.T.); #175885=EDGE_CURVE('',#144379,#144377,#62287,.T.); #175886=EDGE_CURVE('',#144380,#144378,#62288,.T.); #175887=EDGE_CURVE('',#144379,#144380,#62289,.T.); #175888=EDGE_CURVE('',#144381,#144379,#135459,.T.); #175889=EDGE_CURVE('',#144382,#144380,#135460,.T.); #175890=EDGE_CURVE('',#144381,#144382,#62290,.T.); #175891=EDGE_CURVE('',#144383,#144381,#135461,.T.); #175892=EDGE_CURVE('',#144384,#144382,#135462,.T.); #175893=EDGE_CURVE('',#144383,#144384,#62291,.T.); #175894=EDGE_CURVE('',#144385,#144383,#62292,.T.); #175895=EDGE_CURVE('',#144386,#144384,#62293,.T.); #175896=EDGE_CURVE('',#144385,#144386,#62294,.T.); #175897=EDGE_CURVE('',#144387,#144385,#62295,.T.); #175898=EDGE_CURVE('',#144388,#144386,#62296,.T.); #175899=EDGE_CURVE('',#144387,#144388,#62297,.T.); #175900=EDGE_CURVE('',#144389,#144387,#135463,.T.); #175901=EDGE_CURVE('',#144390,#144388,#135464,.T.); #175902=EDGE_CURVE('',#144389,#144390,#62298,.T.); #175903=EDGE_CURVE('',#144391,#144389,#62299,.T.); #175904=EDGE_CURVE('',#144392,#144390,#62300,.T.); #175905=EDGE_CURVE('',#144391,#144392,#62301,.T.); #175906=EDGE_CURVE('',#144393,#144391,#62302,.T.); #175907=EDGE_CURVE('',#144394,#144392,#62303,.T.); #175908=EDGE_CURVE('',#144393,#144394,#62304,.T.); #175909=EDGE_CURVE('',#144395,#144393,#62305,.T.); #175910=EDGE_CURVE('',#144396,#144394,#62306,.T.); #175911=EDGE_CURVE('',#144395,#144396,#62307,.T.); #175912=EDGE_CURVE('',#144397,#144395,#62308,.T.); #175913=EDGE_CURVE('',#144398,#144396,#62309,.T.); #175914=EDGE_CURVE('',#144397,#144398,#62310,.T.); #175915=EDGE_CURVE('',#144358,#144397,#62311,.T.); #175916=EDGE_CURVE('',#144359,#144398,#62312,.T.); #175917=EDGE_CURVE('',#144399,#144400,#62313,.T.); #175918=EDGE_CURVE('',#144400,#144401,#62314,.T.); #175919=EDGE_CURVE('',#144402,#144401,#62315,.T.); #175920=EDGE_CURVE('',#144399,#144402,#62316,.T.); #175921=EDGE_CURVE('',#144403,#144399,#62317,.T.); #175922=EDGE_CURVE('',#144404,#144402,#62318,.T.); #175923=EDGE_CURVE('',#144403,#144404,#62319,.T.); #175924=EDGE_CURVE('',#144405,#144403,#62320,.T.); #175925=EDGE_CURVE('',#144406,#144404,#62321,.T.); #175926=EDGE_CURVE('',#144405,#144406,#62322,.T.); #175927=EDGE_CURVE('',#144407,#144405,#62323,.T.); #175928=EDGE_CURVE('',#144408,#144406,#62324,.T.); #175929=EDGE_CURVE('',#144407,#144408,#62325,.T.); #175930=EDGE_CURVE('',#144409,#144407,#62326,.T.); #175931=EDGE_CURVE('',#144410,#144408,#62327,.T.); #175932=EDGE_CURVE('',#144409,#144410,#62328,.T.); #175933=EDGE_CURVE('',#144411,#144409,#62329,.T.); #175934=EDGE_CURVE('',#144412,#144410,#62330,.T.); #175935=EDGE_CURVE('',#144411,#144412,#62331,.T.); #175936=EDGE_CURVE('',#144413,#144411,#62332,.T.); #175937=EDGE_CURVE('',#144414,#144412,#62333,.T.); #175938=EDGE_CURVE('',#144413,#144414,#62334,.T.); #175939=EDGE_CURVE('',#144415,#144413,#62335,.T.); #175940=EDGE_CURVE('',#144416,#144414,#62336,.T.); #175941=EDGE_CURVE('',#144415,#144416,#62337,.T.); #175942=EDGE_CURVE('',#144417,#144415,#62338,.T.); #175943=EDGE_CURVE('',#144418,#144416,#62339,.T.); #175944=EDGE_CURVE('',#144417,#144418,#62340,.T.); #175945=EDGE_CURVE('',#144419,#144417,#62341,.T.); #175946=EDGE_CURVE('',#144420,#144418,#62342,.T.); #175947=EDGE_CURVE('',#144419,#144420,#62343,.T.); #175948=EDGE_CURVE('',#144421,#144419,#62344,.T.); #175949=EDGE_CURVE('',#144422,#144420,#62345,.T.); #175950=EDGE_CURVE('',#144421,#144422,#62346,.T.); #175951=EDGE_CURVE('',#144400,#144421,#62347,.T.); #175952=EDGE_CURVE('',#144401,#144422,#62348,.T.); #175953=EDGE_CURVE('',#144423,#144424,#62349,.T.); #175954=EDGE_CURVE('',#144424,#144425,#62350,.T.); #175955=EDGE_CURVE('',#144426,#144425,#62351,.T.); #175956=EDGE_CURVE('',#144423,#144426,#62352,.T.); #175957=EDGE_CURVE('',#144427,#144423,#62353,.T.); #175958=EDGE_CURVE('',#144428,#144426,#62354,.T.); #175959=EDGE_CURVE('',#144427,#144428,#62355,.T.); #175960=EDGE_CURVE('',#144429,#144427,#62356,.T.); #175961=EDGE_CURVE('',#144430,#144428,#62357,.T.); #175962=EDGE_CURVE('',#144429,#144430,#62358,.T.); #175963=EDGE_CURVE('',#144431,#144429,#62359,.T.); #175964=EDGE_CURVE('',#144432,#144430,#62360,.T.); #175965=EDGE_CURVE('',#144431,#144432,#62361,.T.); #175966=EDGE_CURVE('',#144433,#144431,#62362,.T.); #175967=EDGE_CURVE('',#144434,#144432,#62363,.T.); #175968=EDGE_CURVE('',#144433,#144434,#62364,.T.); #175969=EDGE_CURVE('',#144435,#144433,#62365,.T.); #175970=EDGE_CURVE('',#144436,#144434,#62366,.T.); #175971=EDGE_CURVE('',#144435,#144436,#62367,.T.); #175972=EDGE_CURVE('',#144437,#144435,#62368,.T.); #175973=EDGE_CURVE('',#144438,#144436,#62369,.T.); #175974=EDGE_CURVE('',#144437,#144438,#62370,.T.); #175975=EDGE_CURVE('',#144439,#144437,#62371,.T.); #175976=EDGE_CURVE('',#144440,#144438,#62372,.T.); #175977=EDGE_CURVE('',#144439,#144440,#62373,.T.); #175978=EDGE_CURVE('',#144441,#144439,#62374,.T.); #175979=EDGE_CURVE('',#144442,#144440,#62375,.T.); #175980=EDGE_CURVE('',#144441,#144442,#62376,.T.); #175981=EDGE_CURVE('',#144443,#144441,#62377,.T.); #175982=EDGE_CURVE('',#144444,#144442,#62378,.T.); #175983=EDGE_CURVE('',#144443,#144444,#62379,.T.); #175984=EDGE_CURVE('',#144445,#144443,#62380,.T.); #175985=EDGE_CURVE('',#144446,#144444,#62381,.T.); #175986=EDGE_CURVE('',#144445,#144446,#62382,.T.); #175987=EDGE_CURVE('',#144424,#144445,#62383,.T.); #175988=EDGE_CURVE('',#144425,#144446,#62384,.T.); #175989=EDGE_CURVE('',#144447,#144447,#135465,.T.); #175990=EDGE_CURVE('',#144447,#144448,#62385,.T.); #175991=EDGE_CURVE('',#144448,#144448,#135466,.T.); #175992=EDGE_CURVE('',#144449,#144450,#62386,.T.); #175993=EDGE_CURVE('',#144450,#144451,#62387,.T.); #175994=EDGE_CURVE('',#144452,#144451,#62388,.T.); #175995=EDGE_CURVE('',#144449,#144452,#62389,.T.); #175996=EDGE_CURVE('',#144453,#144449,#62390,.T.); #175997=EDGE_CURVE('',#144454,#144452,#62391,.T.); #175998=EDGE_CURVE('',#144453,#144454,#62392,.T.); #175999=EDGE_CURVE('',#144455,#144453,#62393,.T.); #176000=EDGE_CURVE('',#144456,#144454,#62394,.T.); #176001=EDGE_CURVE('',#144455,#144456,#62395,.T.); #176002=EDGE_CURVE('',#144457,#144455,#62396,.T.); #176003=EDGE_CURVE('',#144458,#144456,#62397,.T.); #176004=EDGE_CURVE('',#144457,#144458,#62398,.T.); #176005=EDGE_CURVE('',#144459,#144457,#135467,.T.); #176006=EDGE_CURVE('',#144460,#144458,#135468,.T.); #176007=EDGE_CURVE('',#144459,#144460,#62399,.T.); #176008=EDGE_CURVE('',#144461,#144459,#62400,.T.); #176009=EDGE_CURVE('',#144462,#144460,#62401,.T.); #176010=EDGE_CURVE('',#144461,#144462,#62402,.T.); #176011=EDGE_CURVE('',#144463,#144461,#135469,.T.); #176012=EDGE_CURVE('',#144464,#144462,#135470,.T.); #176013=EDGE_CURVE('',#144463,#144464,#62403,.T.); #176014=EDGE_CURVE('',#144465,#144463,#62404,.T.); #176015=EDGE_CURVE('',#144466,#144464,#62405,.T.); #176016=EDGE_CURVE('',#144465,#144466,#62406,.T.); #176017=EDGE_CURVE('',#144467,#144465,#135471,.T.); #176018=EDGE_CURVE('',#144468,#144466,#135472,.T.); #176019=EDGE_CURVE('',#144467,#144468,#62407,.T.); #176020=EDGE_CURVE('',#144469,#144467,#62408,.T.); #176021=EDGE_CURVE('',#144470,#144468,#62409,.T.); #176022=EDGE_CURVE('',#144469,#144470,#62410,.T.); #176023=EDGE_CURVE('',#144471,#144469,#135473,.T.); #176024=EDGE_CURVE('',#144472,#144470,#135474,.T.); #176025=EDGE_CURVE('',#144471,#144472,#62411,.T.); #176026=EDGE_CURVE('',#144473,#144471,#135475,.T.); #176027=EDGE_CURVE('',#144474,#144472,#135476,.T.); #176028=EDGE_CURVE('',#144473,#144474,#62412,.T.); #176029=EDGE_CURVE('',#144475,#144473,#62413,.T.); #176030=EDGE_CURVE('',#144476,#144474,#62414,.T.); #176031=EDGE_CURVE('',#144475,#144476,#62415,.T.); #176032=EDGE_CURVE('',#144477,#144475,#62416,.T.); #176033=EDGE_CURVE('',#144478,#144476,#62417,.T.); #176034=EDGE_CURVE('',#144477,#144478,#62418,.T.); #176035=EDGE_CURVE('',#144479,#144477,#62419,.T.); #176036=EDGE_CURVE('',#144480,#144478,#62420,.T.); #176037=EDGE_CURVE('',#144479,#144480,#62421,.T.); #176038=EDGE_CURVE('',#144481,#144479,#62422,.T.); #176039=EDGE_CURVE('',#144482,#144480,#62423,.T.); #176040=EDGE_CURVE('',#144481,#144482,#62424,.T.); #176041=EDGE_CURVE('',#144450,#144481,#62425,.T.); #176042=EDGE_CURVE('',#144451,#144482,#62426,.T.); #176043=EDGE_CURVE('',#144483,#144483,#135477,.T.); #176044=EDGE_CURVE('',#144483,#144484,#62427,.T.); #176045=EDGE_CURVE('',#144484,#144484,#135478,.T.); #176046=EDGE_CURVE('',#144485,#144486,#62428,.T.); #176047=EDGE_CURVE('',#144486,#144487,#62429,.T.); #176048=EDGE_CURVE('',#144488,#144487,#62430,.T.); #176049=EDGE_CURVE('',#144485,#144488,#62431,.T.); #176050=EDGE_CURVE('',#144489,#144485,#135479,.T.); #176051=EDGE_CURVE('',#144490,#144488,#135480,.T.); #176052=EDGE_CURVE('',#144489,#144490,#62432,.T.); #176053=EDGE_CURVE('',#144491,#144489,#62433,.T.); #176054=EDGE_CURVE('',#144492,#144490,#62434,.T.); #176055=EDGE_CURVE('',#144491,#144492,#62435,.T.); #176056=EDGE_CURVE('',#144493,#144491,#135481,.T.); #176057=EDGE_CURVE('',#144494,#144492,#135482,.T.); #176058=EDGE_CURVE('',#144493,#144494,#62436,.T.); #176059=EDGE_CURVE('',#144495,#144493,#62437,.T.); #176060=EDGE_CURVE('',#144496,#144494,#62438,.T.); #176061=EDGE_CURVE('',#144495,#144496,#62439,.T.); #176062=EDGE_CURVE('',#144497,#144495,#135483,.T.); #176063=EDGE_CURVE('',#144498,#144496,#135484,.T.); #176064=EDGE_CURVE('',#144497,#144498,#62440,.T.); #176065=EDGE_CURVE('',#144499,#144497,#62441,.T.); #176066=EDGE_CURVE('',#144500,#144498,#62442,.T.); #176067=EDGE_CURVE('',#144499,#144500,#62443,.T.); #176068=EDGE_CURVE('',#144501,#144499,#62444,.T.); #176069=EDGE_CURVE('',#144502,#144500,#62445,.T.); #176070=EDGE_CURVE('',#144501,#144502,#62446,.T.); #176071=EDGE_CURVE('',#144503,#144501,#62447,.T.); #176072=EDGE_CURVE('',#144504,#144502,#62448,.T.); #176073=EDGE_CURVE('',#144503,#144504,#62449,.T.); #176074=EDGE_CURVE('',#144505,#144503,#62450,.T.); #176075=EDGE_CURVE('',#144506,#144504,#62451,.T.); #176076=EDGE_CURVE('',#144505,#144506,#62452,.T.); #176077=EDGE_CURVE('',#144507,#144505,#62453,.T.); #176078=EDGE_CURVE('',#144508,#144506,#62454,.T.); #176079=EDGE_CURVE('',#144507,#144508,#62455,.T.); #176080=EDGE_CURVE('',#144509,#144507,#62456,.T.); #176081=EDGE_CURVE('',#144510,#144508,#62457,.T.); #176082=EDGE_CURVE('',#144509,#144510,#62458,.T.); #176083=EDGE_CURVE('',#144511,#144509,#62459,.T.); #176084=EDGE_CURVE('',#144512,#144510,#62460,.T.); #176085=EDGE_CURVE('',#144511,#144512,#62461,.T.); #176086=EDGE_CURVE('',#144513,#144511,#62462,.T.); #176087=EDGE_CURVE('',#144514,#144512,#62463,.T.); #176088=EDGE_CURVE('',#144513,#144514,#62464,.T.); #176089=EDGE_CURVE('',#144515,#144513,#62465,.T.); #176090=EDGE_CURVE('',#144516,#144514,#62466,.T.); #176091=EDGE_CURVE('',#144515,#144516,#62467,.T.); #176092=EDGE_CURVE('',#144517,#144515,#135485,.T.); #176093=EDGE_CURVE('',#144518,#144516,#135486,.T.); #176094=EDGE_CURVE('',#144517,#144518,#62468,.T.); #176095=EDGE_CURVE('',#144519,#144517,#62469,.T.); #176096=EDGE_CURVE('',#144520,#144518,#62470,.T.); #176097=EDGE_CURVE('',#144519,#144520,#62471,.T.); #176098=EDGE_CURVE('',#144521,#144519,#135487,.T.); #176099=EDGE_CURVE('',#144522,#144520,#135488,.T.); #176100=EDGE_CURVE('',#144521,#144522,#62472,.T.); #176101=EDGE_CURVE('',#144523,#144521,#62473,.T.); #176102=EDGE_CURVE('',#144524,#144522,#62474,.T.); #176103=EDGE_CURVE('',#144523,#144524,#62475,.T.); #176104=EDGE_CURVE('',#144525,#144523,#62476,.T.); #176105=EDGE_CURVE('',#144526,#144524,#62477,.T.); #176106=EDGE_CURVE('',#144525,#144526,#62478,.T.); #176107=EDGE_CURVE('',#144527,#144525,#62479,.T.); #176108=EDGE_CURVE('',#144528,#144526,#62480,.T.); #176109=EDGE_CURVE('',#144527,#144528,#62481,.T.); #176110=EDGE_CURVE('',#144486,#144527,#135489,.T.); #176111=EDGE_CURVE('',#144487,#144528,#135490,.T.); #176112=EDGE_CURVE('',#144529,#144529,#135491,.T.); #176113=EDGE_CURVE('',#144529,#144530,#62482,.T.); #176114=EDGE_CURVE('',#144530,#144530,#135492,.T.); #176115=EDGE_CURVE('',#144531,#144532,#62483,.T.); #176116=EDGE_CURVE('',#144532,#144533,#62484,.T.); #176117=EDGE_CURVE('',#144534,#144533,#62485,.T.); #176118=EDGE_CURVE('',#144531,#144534,#62486,.T.); #176119=EDGE_CURVE('',#144535,#144531,#135493,.T.); #176120=EDGE_CURVE('',#144536,#144534,#135494,.T.); #176121=EDGE_CURVE('',#144535,#144536,#62487,.T.); #176122=EDGE_CURVE('',#144537,#144535,#135495,.T.); #176123=EDGE_CURVE('',#144538,#144536,#135496,.T.); #176124=EDGE_CURVE('',#144537,#144538,#62488,.T.); #176125=EDGE_CURVE('',#144539,#144537,#62489,.T.); #176126=EDGE_CURVE('',#144540,#144538,#62490,.T.); #176127=EDGE_CURVE('',#144539,#144540,#62491,.T.); #176128=EDGE_CURVE('',#144541,#144539,#62492,.T.); #176129=EDGE_CURVE('',#144542,#144540,#62493,.T.); #176130=EDGE_CURVE('',#144541,#144542,#62494,.T.); #176131=EDGE_CURVE('',#144543,#144541,#62495,.T.); #176132=EDGE_CURVE('',#144544,#144542,#62496,.T.); #176133=EDGE_CURVE('',#144543,#144544,#62497,.T.); #176134=EDGE_CURVE('',#144545,#144543,#62498,.T.); #176135=EDGE_CURVE('',#144546,#144544,#62499,.T.); #176136=EDGE_CURVE('',#144545,#144546,#62500,.T.); #176137=EDGE_CURVE('',#144547,#144545,#62501,.T.); #176138=EDGE_CURVE('',#144548,#144546,#62502,.T.); #176139=EDGE_CURVE('',#144547,#144548,#62503,.T.); #176140=EDGE_CURVE('',#144549,#144547,#62504,.T.); #176141=EDGE_CURVE('',#144550,#144548,#62505,.T.); #176142=EDGE_CURVE('',#144549,#144550,#62506,.T.); #176143=EDGE_CURVE('',#144551,#144549,#135497,.T.); #176144=EDGE_CURVE('',#144552,#144550,#135498,.T.); #176145=EDGE_CURVE('',#144551,#144552,#62507,.T.); #176146=EDGE_CURVE('',#144553,#144551,#62508,.T.); #176147=EDGE_CURVE('',#144554,#144552,#62509,.T.); #176148=EDGE_CURVE('',#144553,#144554,#62510,.T.); #176149=EDGE_CURVE('',#144532,#144553,#135499,.T.); #176150=EDGE_CURVE('',#144533,#144554,#135500,.T.); #176151=EDGE_CURVE('',#144555,#144556,#62511,.T.); #176152=EDGE_CURVE('',#144556,#144557,#62512,.T.); #176153=EDGE_CURVE('',#144558,#144557,#62513,.T.); #176154=EDGE_CURVE('',#144555,#144558,#62514,.T.); #176155=EDGE_CURVE('',#144559,#144555,#62515,.T.); #176156=EDGE_CURVE('',#144560,#144558,#62516,.T.); #176157=EDGE_CURVE('',#144559,#144560,#62517,.T.); #176158=EDGE_CURVE('',#144561,#144559,#62518,.T.); #176159=EDGE_CURVE('',#144562,#144560,#62519,.T.); #176160=EDGE_CURVE('',#144561,#144562,#62520,.T.); #176161=EDGE_CURVE('',#144563,#144561,#62521,.T.); #176162=EDGE_CURVE('',#144564,#144562,#62522,.T.); #176163=EDGE_CURVE('',#144563,#144564,#62523,.T.); #176164=EDGE_CURVE('',#144565,#144563,#135501,.T.); #176165=EDGE_CURVE('',#144566,#144564,#135502,.T.); #176166=EDGE_CURVE('',#144565,#144566,#62524,.T.); #176167=EDGE_CURVE('',#144567,#144565,#62525,.T.); #176168=EDGE_CURVE('',#144568,#144566,#62526,.T.); #176169=EDGE_CURVE('',#144567,#144568,#62527,.T.); #176170=EDGE_CURVE('',#144569,#144567,#135503,.T.); #176171=EDGE_CURVE('',#144570,#144568,#135504,.T.); #176172=EDGE_CURVE('',#144569,#144570,#62528,.T.); #176173=EDGE_CURVE('',#144571,#144569,#62529,.T.); #176174=EDGE_CURVE('',#144572,#144570,#62530,.T.); #176175=EDGE_CURVE('',#144571,#144572,#62531,.T.); #176176=EDGE_CURVE('',#144573,#144571,#62532,.T.); #176177=EDGE_CURVE('',#144574,#144572,#62533,.T.); #176178=EDGE_CURVE('',#144573,#144574,#62534,.T.); #176179=EDGE_CURVE('',#144575,#144573,#62535,.T.); #176180=EDGE_CURVE('',#144576,#144574,#62536,.T.); #176181=EDGE_CURVE('',#144575,#144576,#62537,.T.); #176182=EDGE_CURVE('',#144577,#144575,#135505,.T.); #176183=EDGE_CURVE('',#144578,#144576,#135506,.T.); #176184=EDGE_CURVE('',#144577,#144578,#62538,.T.); #176185=EDGE_CURVE('',#144579,#144577,#62539,.T.); #176186=EDGE_CURVE('',#144580,#144578,#62540,.T.); #176187=EDGE_CURVE('',#144579,#144580,#62541,.T.); #176188=EDGE_CURVE('',#144581,#144579,#135507,.T.); #176189=EDGE_CURVE('',#144582,#144580,#135508,.T.); #176190=EDGE_CURVE('',#144581,#144582,#62542,.T.); #176191=EDGE_CURVE('',#144583,#144581,#62543,.T.); #176192=EDGE_CURVE('',#144584,#144582,#62544,.T.); #176193=EDGE_CURVE('',#144583,#144584,#62545,.T.); #176194=EDGE_CURVE('',#144585,#144583,#62546,.T.); #176195=EDGE_CURVE('',#144586,#144584,#62547,.T.); #176196=EDGE_CURVE('',#144585,#144586,#62548,.T.); #176197=EDGE_CURVE('',#144587,#144585,#62549,.T.); #176198=EDGE_CURVE('',#144588,#144586,#62550,.T.); #176199=EDGE_CURVE('',#144587,#144588,#62551,.T.); #176200=EDGE_CURVE('',#144589,#144587,#62552,.T.); #176201=EDGE_CURVE('',#144590,#144588,#62553,.T.); #176202=EDGE_CURVE('',#144589,#144590,#62554,.T.); #176203=EDGE_CURVE('',#144591,#144589,#62555,.T.); #176204=EDGE_CURVE('',#144592,#144590,#62556,.T.); #176205=EDGE_CURVE('',#144591,#144592,#62557,.T.); #176206=EDGE_CURVE('',#144593,#144591,#62558,.T.); #176207=EDGE_CURVE('',#144594,#144592,#62559,.T.); #176208=EDGE_CURVE('',#144593,#144594,#62560,.T.); #176209=EDGE_CURVE('',#144595,#144593,#62561,.T.); #176210=EDGE_CURVE('',#144596,#144594,#62562,.T.); #176211=EDGE_CURVE('',#144595,#144596,#62563,.T.); #176212=EDGE_CURVE('',#144597,#144595,#62564,.T.); #176213=EDGE_CURVE('',#144598,#144596,#62565,.T.); #176214=EDGE_CURVE('',#144597,#144598,#62566,.T.); #176215=EDGE_CURVE('',#144599,#144597,#62567,.T.); #176216=EDGE_CURVE('',#144600,#144598,#62568,.T.); #176217=EDGE_CURVE('',#144599,#144600,#62569,.T.); #176218=EDGE_CURVE('',#144601,#144599,#135509,.T.); #176219=EDGE_CURVE('',#144602,#144600,#135510,.T.); #176220=EDGE_CURVE('',#144601,#144602,#62570,.T.); #176221=EDGE_CURVE('',#144603,#144601,#62571,.T.); #176222=EDGE_CURVE('',#144604,#144602,#62572,.T.); #176223=EDGE_CURVE('',#144603,#144604,#62573,.T.); #176224=EDGE_CURVE('',#144605,#144603,#135511,.T.); #176225=EDGE_CURVE('',#144606,#144604,#135512,.T.); #176226=EDGE_CURVE('',#144605,#144606,#62574,.T.); #176227=EDGE_CURVE('',#144607,#144605,#62575,.T.); #176228=EDGE_CURVE('',#144608,#144606,#62576,.T.); #176229=EDGE_CURVE('',#144607,#144608,#62577,.T.); #176230=EDGE_CURVE('',#144609,#144607,#62578,.T.); #176231=EDGE_CURVE('',#144610,#144608,#62579,.T.); #176232=EDGE_CURVE('',#144609,#144610,#62580,.T.); #176233=EDGE_CURVE('',#144611,#144609,#62581,.T.); #176234=EDGE_CURVE('',#144612,#144610,#62582,.T.); #176235=EDGE_CURVE('',#144611,#144612,#62583,.T.); #176236=EDGE_CURVE('',#144613,#144611,#135513,.T.); #176237=EDGE_CURVE('',#144614,#144612,#135514,.T.); #176238=EDGE_CURVE('',#144613,#144614,#62584,.T.); #176239=EDGE_CURVE('',#144615,#144613,#62585,.T.); #176240=EDGE_CURVE('',#144616,#144614,#62586,.T.); #176241=EDGE_CURVE('',#144615,#144616,#62587,.T.); #176242=EDGE_CURVE('',#144617,#144615,#135515,.T.); #176243=EDGE_CURVE('',#144618,#144616,#135516,.T.); #176244=EDGE_CURVE('',#144617,#144618,#62588,.T.); #176245=EDGE_CURVE('',#144619,#144617,#62589,.T.); #176246=EDGE_CURVE('',#144620,#144618,#62590,.T.); #176247=EDGE_CURVE('',#144619,#144620,#62591,.T.); #176248=EDGE_CURVE('',#144621,#144619,#62592,.T.); #176249=EDGE_CURVE('',#144622,#144620,#62593,.T.); #176250=EDGE_CURVE('',#144621,#144622,#62594,.T.); #176251=EDGE_CURVE('',#144623,#144621,#62595,.T.); #176252=EDGE_CURVE('',#144624,#144622,#62596,.T.); #176253=EDGE_CURVE('',#144623,#144624,#62597,.T.); #176254=EDGE_CURVE('',#144625,#144623,#62598,.T.); #176255=EDGE_CURVE('',#144626,#144624,#62599,.T.); #176256=EDGE_CURVE('',#144625,#144626,#62600,.T.); #176257=EDGE_CURVE('',#144556,#144625,#62601,.T.); #176258=EDGE_CURVE('',#144557,#144626,#62602,.T.); #176259=EDGE_CURVE('',#144627,#144627,#135517,.T.); #176260=EDGE_CURVE('',#144627,#144628,#62603,.T.); #176261=EDGE_CURVE('',#144628,#144628,#135518,.T.); #176262=EDGE_CURVE('',#144629,#144630,#62604,.T.); #176263=EDGE_CURVE('',#144630,#144631,#62605,.T.); #176264=EDGE_CURVE('',#144632,#144631,#62606,.T.); #176265=EDGE_CURVE('',#144629,#144632,#62607,.T.); #176266=EDGE_CURVE('',#144633,#144629,#135519,.T.); #176267=EDGE_CURVE('',#144634,#144632,#135520,.T.); #176268=EDGE_CURVE('',#144633,#144634,#62608,.T.); #176269=EDGE_CURVE('',#144635,#144633,#62609,.T.); #176270=EDGE_CURVE('',#144636,#144634,#62610,.T.); #176271=EDGE_CURVE('',#144635,#144636,#62611,.T.); #176272=EDGE_CURVE('',#144637,#144635,#62612,.T.); #176273=EDGE_CURVE('',#144638,#144636,#62613,.T.); #176274=EDGE_CURVE('',#144637,#144638,#62614,.T.); #176275=EDGE_CURVE('',#144639,#144637,#62615,.T.); #176276=EDGE_CURVE('',#144640,#144638,#62616,.T.); #176277=EDGE_CURVE('',#144639,#144640,#62617,.T.); #176278=EDGE_CURVE('',#144641,#144639,#62618,.T.); #176279=EDGE_CURVE('',#144642,#144640,#62619,.T.); #176280=EDGE_CURVE('',#144641,#144642,#62620,.T.); #176281=EDGE_CURVE('',#144643,#144641,#62621,.T.); #176282=EDGE_CURVE('',#144644,#144642,#62622,.T.); #176283=EDGE_CURVE('',#144643,#144644,#62623,.T.); #176284=EDGE_CURVE('',#144645,#144643,#62624,.T.); #176285=EDGE_CURVE('',#144646,#144644,#62625,.T.); #176286=EDGE_CURVE('',#144645,#144646,#62626,.T.); #176287=EDGE_CURVE('',#144647,#144645,#62627,.T.); #176288=EDGE_CURVE('',#144648,#144646,#62628,.T.); #176289=EDGE_CURVE('',#144647,#144648,#62629,.T.); #176290=EDGE_CURVE('',#144630,#144647,#135521,.T.); #176291=EDGE_CURVE('',#144631,#144648,#135522,.T.); #176292=EDGE_CURVE('',#144649,#144649,#135523,.T.); #176293=EDGE_CURVE('',#144649,#144650,#62630,.T.); #176294=EDGE_CURVE('',#144650,#144650,#135524,.T.); #176295=EDGE_CURVE('',#144651,#144651,#135525,.T.); #176296=EDGE_CURVE('',#144651,#144652,#62631,.T.); #176297=EDGE_CURVE('',#144652,#144652,#135526,.T.); #176298=EDGE_CURVE('',#144653,#144654,#62632,.T.); #176299=EDGE_CURVE('',#144654,#144655,#62633,.T.); #176300=EDGE_CURVE('',#144656,#144655,#62634,.T.); #176301=EDGE_CURVE('',#144653,#144656,#62635,.T.); #176302=EDGE_CURVE('',#144657,#144653,#62636,.T.); #176303=EDGE_CURVE('',#144658,#144656,#62637,.T.); #176304=EDGE_CURVE('',#144657,#144658,#62638,.T.); #176305=EDGE_CURVE('',#144659,#144657,#135527,.T.); #176306=EDGE_CURVE('',#144660,#144658,#135528,.T.); #176307=EDGE_CURVE('',#144659,#144660,#62639,.T.); #176308=EDGE_CURVE('',#144661,#144659,#135529,.T.); #176309=EDGE_CURVE('',#144662,#144660,#135530,.T.); #176310=EDGE_CURVE('',#144661,#144662,#62640,.T.); #176311=EDGE_CURVE('',#144663,#144661,#62641,.T.); #176312=EDGE_CURVE('',#144664,#144662,#62642,.T.); #176313=EDGE_CURVE('',#144663,#144664,#62643,.T.); #176314=EDGE_CURVE('',#144665,#144663,#62644,.T.); #176315=EDGE_CURVE('',#144666,#144664,#62645,.T.); #176316=EDGE_CURVE('',#144665,#144666,#62646,.T.); #176317=EDGE_CURVE('',#144667,#144665,#135531,.T.); #176318=EDGE_CURVE('',#144668,#144666,#135532,.T.); #176319=EDGE_CURVE('',#144667,#144668,#62647,.T.); #176320=EDGE_CURVE('',#144669,#144667,#135533,.T.); #176321=EDGE_CURVE('',#144670,#144668,#135534,.T.); #176322=EDGE_CURVE('',#144669,#144670,#62648,.T.); #176323=EDGE_CURVE('',#144671,#144669,#62649,.T.); #176324=EDGE_CURVE('',#144672,#144670,#62650,.T.); #176325=EDGE_CURVE('',#144671,#144672,#62651,.T.); #176326=EDGE_CURVE('',#144673,#144671,#62652,.T.); #176327=EDGE_CURVE('',#144674,#144672,#62653,.T.); #176328=EDGE_CURVE('',#144673,#144674,#62654,.T.); #176329=EDGE_CURVE('',#144675,#144673,#62655,.T.); #176330=EDGE_CURVE('',#144676,#144674,#62656,.T.); #176331=EDGE_CURVE('',#144675,#144676,#62657,.T.); #176332=EDGE_CURVE('',#144677,#144675,#62658,.T.); #176333=EDGE_CURVE('',#144678,#144676,#62659,.T.); #176334=EDGE_CURVE('',#144677,#144678,#62660,.T.); #176335=EDGE_CURVE('',#144679,#144677,#62661,.T.); #176336=EDGE_CURVE('',#144680,#144678,#62662,.T.); #176337=EDGE_CURVE('',#144679,#144680,#62663,.T.); #176338=EDGE_CURVE('',#144681,#144679,#62664,.T.); #176339=EDGE_CURVE('',#144682,#144680,#62665,.T.); #176340=EDGE_CURVE('',#144681,#144682,#62666,.T.); #176341=EDGE_CURVE('',#144683,#144681,#62667,.T.); #176342=EDGE_CURVE('',#144684,#144682,#62668,.T.); #176343=EDGE_CURVE('',#144683,#144684,#62669,.T.); #176344=EDGE_CURVE('',#144685,#144683,#62670,.T.); #176345=EDGE_CURVE('',#144686,#144684,#62671,.T.); #176346=EDGE_CURVE('',#144685,#144686,#62672,.T.); #176347=EDGE_CURVE('',#144687,#144685,#62673,.T.); #176348=EDGE_CURVE('',#144688,#144686,#62674,.T.); #176349=EDGE_CURVE('',#144687,#144688,#62675,.T.); #176350=EDGE_CURVE('',#144689,#144687,#62676,.T.); #176351=EDGE_CURVE('',#144690,#144688,#62677,.T.); #176352=EDGE_CURVE('',#144689,#144690,#62678,.T.); #176353=EDGE_CURVE('',#144691,#144689,#135535,.T.); #176354=EDGE_CURVE('',#144692,#144690,#135536,.T.); #176355=EDGE_CURVE('',#144691,#144692,#62679,.T.); #176356=EDGE_CURVE('',#144693,#144691,#62680,.T.); #176357=EDGE_CURVE('',#144694,#144692,#62681,.T.); #176358=EDGE_CURVE('',#144693,#144694,#62682,.T.); #176359=EDGE_CURVE('',#144654,#144693,#135537,.T.); #176360=EDGE_CURVE('',#144655,#144694,#135538,.T.); #176361=EDGE_CURVE('',#144695,#144696,#62683,.T.); #176362=EDGE_CURVE('',#144696,#144697,#62684,.T.); #176363=EDGE_CURVE('',#144698,#144697,#62685,.T.); #176364=EDGE_CURVE('',#144695,#144698,#62686,.T.); #176365=EDGE_CURVE('',#144699,#144695,#62687,.T.); #176366=EDGE_CURVE('',#144700,#144698,#62688,.T.); #176367=EDGE_CURVE('',#144699,#144700,#62689,.T.); #176368=EDGE_CURVE('',#144701,#144699,#62690,.T.); #176369=EDGE_CURVE('',#144702,#144700,#62691,.T.); #176370=EDGE_CURVE('',#144701,#144702,#62692,.T.); #176371=EDGE_CURVE('',#144703,#144701,#62693,.T.); #176372=EDGE_CURVE('',#144704,#144702,#62694,.T.); #176373=EDGE_CURVE('',#144703,#144704,#62695,.T.); #176374=EDGE_CURVE('',#144705,#144703,#135539,.T.); #176375=EDGE_CURVE('',#144706,#144704,#135540,.T.); #176376=EDGE_CURVE('',#144705,#144706,#62696,.T.); #176377=EDGE_CURVE('',#144707,#144705,#62697,.T.); #176378=EDGE_CURVE('',#144708,#144706,#62698,.T.); #176379=EDGE_CURVE('',#144707,#144708,#62699,.T.); #176380=EDGE_CURVE('',#144709,#144707,#62700,.T.); #176381=EDGE_CURVE('',#144710,#144708,#62701,.T.); #176382=EDGE_CURVE('',#144709,#144710,#62702,.T.); #176383=EDGE_CURVE('',#144711,#144709,#62703,.T.); #176384=EDGE_CURVE('',#144712,#144710,#62704,.T.); #176385=EDGE_CURVE('',#144711,#144712,#62705,.T.); #176386=EDGE_CURVE('',#144713,#144711,#62706,.T.); #176387=EDGE_CURVE('',#144714,#144712,#62707,.T.); #176388=EDGE_CURVE('',#144713,#144714,#62708,.T.); #176389=EDGE_CURVE('',#144715,#144713,#62709,.T.); #176390=EDGE_CURVE('',#144716,#144714,#62710,.T.); #176391=EDGE_CURVE('',#144715,#144716,#62711,.T.); #176392=EDGE_CURVE('',#144717,#144715,#62712,.T.); #176393=EDGE_CURVE('',#144718,#144716,#62713,.T.); #176394=EDGE_CURVE('',#144717,#144718,#62714,.T.); #176395=EDGE_CURVE('',#144719,#144717,#62715,.T.); #176396=EDGE_CURVE('',#144720,#144718,#62716,.T.); #176397=EDGE_CURVE('',#144719,#144720,#62717,.T.); #176398=EDGE_CURVE('',#144721,#144719,#62718,.T.); #176399=EDGE_CURVE('',#144722,#144720,#62719,.T.); #176400=EDGE_CURVE('',#144721,#144722,#62720,.T.); #176401=EDGE_CURVE('',#144696,#144721,#62721,.T.); #176402=EDGE_CURVE('',#144697,#144722,#62722,.T.); #176403=EDGE_CURVE('',#144723,#144723,#135541,.T.); #176404=EDGE_CURVE('',#144723,#144724,#62723,.T.); #176405=EDGE_CURVE('',#144724,#144724,#135542,.T.); #176406=EDGE_CURVE('',#144725,#144725,#135543,.T.); #176407=EDGE_CURVE('',#144725,#144726,#62724,.T.); #176408=EDGE_CURVE('',#144726,#144726,#135544,.T.); #176409=EDGE_CURVE('',#144727,#144727,#135545,.T.); #176410=EDGE_CURVE('',#144727,#144728,#62725,.T.); #176411=EDGE_CURVE('',#144728,#144728,#135546,.T.); #176412=EDGE_CURVE('',#144729,#144729,#135547,.T.); #176413=EDGE_CURVE('',#144729,#144730,#62726,.T.); #176414=EDGE_CURVE('',#144730,#144730,#135548,.T.); #176415=EDGE_CURVE('',#144731,#144731,#135549,.T.); #176416=EDGE_CURVE('',#144731,#144732,#62727,.T.); #176417=EDGE_CURVE('',#144732,#144732,#135550,.T.); #176418=EDGE_CURVE('',#144733,#144733,#135551,.T.); #176419=EDGE_CURVE('',#144733,#144734,#62728,.T.); #176420=EDGE_CURVE('',#144734,#144734,#135552,.T.); #176421=EDGE_CURVE('',#144735,#144735,#135553,.T.); #176422=EDGE_CURVE('',#144735,#144736,#62729,.T.); #176423=EDGE_CURVE('',#144736,#144736,#135554,.T.); #176424=EDGE_CURVE('',#144737,#144737,#135555,.T.); #176425=EDGE_CURVE('',#144737,#144738,#62730,.T.); #176426=EDGE_CURVE('',#144738,#144738,#135556,.T.); #176427=EDGE_CURVE('',#144739,#144739,#135557,.T.); #176428=EDGE_CURVE('',#144739,#144740,#62731,.T.); #176429=EDGE_CURVE('',#144740,#144740,#135558,.T.); #176430=EDGE_CURVE('',#144741,#144741,#135559,.T.); #176431=EDGE_CURVE('',#144741,#144742,#62732,.T.); #176432=EDGE_CURVE('',#144742,#144742,#135560,.T.); #176433=EDGE_CURVE('',#144743,#144743,#135561,.T.); #176434=EDGE_CURVE('',#144743,#144744,#62733,.T.); #176435=EDGE_CURVE('',#144744,#144744,#135562,.T.); #176436=EDGE_CURVE('',#144745,#144746,#62734,.T.); #176437=EDGE_CURVE('',#144746,#144747,#62735,.T.); #176438=EDGE_CURVE('',#144748,#144747,#62736,.T.); #176439=EDGE_CURVE('',#144745,#144748,#62737,.T.); #176440=EDGE_CURVE('',#144749,#144745,#62738,.T.); #176441=EDGE_CURVE('',#144750,#144748,#62739,.T.); #176442=EDGE_CURVE('',#144749,#144750,#62740,.T.); #176443=EDGE_CURVE('',#144751,#144749,#62741,.T.); #176444=EDGE_CURVE('',#144752,#144750,#62742,.T.); #176445=EDGE_CURVE('',#144751,#144752,#62743,.T.); #176446=EDGE_CURVE('',#144753,#144751,#62744,.T.); #176447=EDGE_CURVE('',#144754,#144752,#62745,.T.); #176448=EDGE_CURVE('',#144753,#144754,#62746,.T.); #176449=EDGE_CURVE('',#144755,#144753,#135563,.T.); #176450=EDGE_CURVE('',#144756,#144754,#135564,.T.); #176451=EDGE_CURVE('',#144755,#144756,#62747,.T.); #176452=EDGE_CURVE('',#144757,#144755,#62748,.T.); #176453=EDGE_CURVE('',#144758,#144756,#62749,.T.); #176454=EDGE_CURVE('',#144757,#144758,#62750,.T.); #176455=EDGE_CURVE('',#144759,#144757,#135565,.T.); #176456=EDGE_CURVE('',#144760,#144758,#135566,.T.); #176457=EDGE_CURVE('',#144759,#144760,#62751,.T.); #176458=EDGE_CURVE('',#144761,#144759,#62752,.T.); #176459=EDGE_CURVE('',#144762,#144760,#62753,.T.); #176460=EDGE_CURVE('',#144761,#144762,#62754,.T.); #176461=EDGE_CURVE('',#144763,#144761,#62755,.T.); #176462=EDGE_CURVE('',#144764,#144762,#62756,.T.); #176463=EDGE_CURVE('',#144763,#144764,#62757,.T.); #176464=EDGE_CURVE('',#144765,#144763,#62758,.T.); #176465=EDGE_CURVE('',#144766,#144764,#62759,.T.); #176466=EDGE_CURVE('',#144765,#144766,#62760,.T.); #176467=EDGE_CURVE('',#144767,#144765,#62761,.T.); #176468=EDGE_CURVE('',#144768,#144766,#62762,.T.); #176469=EDGE_CURVE('',#144767,#144768,#62763,.T.); #176470=EDGE_CURVE('',#144769,#144767,#62764,.T.); #176471=EDGE_CURVE('',#144770,#144768,#62765,.T.); #176472=EDGE_CURVE('',#144769,#144770,#62766,.T.); #176473=EDGE_CURVE('',#144771,#144769,#62767,.T.); #176474=EDGE_CURVE('',#144772,#144770,#62768,.T.); #176475=EDGE_CURVE('',#144771,#144772,#62769,.T.); #176476=EDGE_CURVE('',#144773,#144771,#62770,.T.); #176477=EDGE_CURVE('',#144774,#144772,#62771,.T.); #176478=EDGE_CURVE('',#144773,#144774,#62772,.T.); #176479=EDGE_CURVE('',#144775,#144773,#62773,.T.); #176480=EDGE_CURVE('',#144776,#144774,#62774,.T.); #176481=EDGE_CURVE('',#144775,#144776,#62775,.T.); #176482=EDGE_CURVE('',#144777,#144775,#62776,.T.); #176483=EDGE_CURVE('',#144778,#144776,#62777,.T.); #176484=EDGE_CURVE('',#144777,#144778,#62778,.T.); #176485=EDGE_CURVE('',#144779,#144777,#62779,.T.); #176486=EDGE_CURVE('',#144780,#144778,#62780,.T.); #176487=EDGE_CURVE('',#144779,#144780,#62781,.T.); #176488=EDGE_CURVE('',#144781,#144779,#62782,.T.); #176489=EDGE_CURVE('',#144782,#144780,#62783,.T.); #176490=EDGE_CURVE('',#144781,#144782,#62784,.T.); #176491=EDGE_CURVE('',#144783,#144781,#62785,.T.); #176492=EDGE_CURVE('',#144784,#144782,#62786,.T.); #176493=EDGE_CURVE('',#144783,#144784,#62787,.T.); #176494=EDGE_CURVE('',#144785,#144783,#62788,.T.); #176495=EDGE_CURVE('',#144786,#144784,#62789,.T.); #176496=EDGE_CURVE('',#144785,#144786,#62790,.T.); #176497=EDGE_CURVE('',#144787,#144785,#62791,.T.); #176498=EDGE_CURVE('',#144788,#144786,#62792,.T.); #176499=EDGE_CURVE('',#144787,#144788,#62793,.T.); #176500=EDGE_CURVE('',#144789,#144787,#62794,.T.); #176501=EDGE_CURVE('',#144790,#144788,#62795,.T.); #176502=EDGE_CURVE('',#144789,#144790,#62796,.T.); #176503=EDGE_CURVE('',#144791,#144789,#62797,.T.); #176504=EDGE_CURVE('',#144792,#144790,#62798,.T.); #176505=EDGE_CURVE('',#144791,#144792,#62799,.T.); #176506=EDGE_CURVE('',#144793,#144791,#62800,.T.); #176507=EDGE_CURVE('',#144794,#144792,#62801,.T.); #176508=EDGE_CURVE('',#144793,#144794,#62802,.T.); #176509=EDGE_CURVE('',#144795,#144793,#62803,.T.); #176510=EDGE_CURVE('',#144796,#144794,#62804,.T.); #176511=EDGE_CURVE('',#144795,#144796,#62805,.T.); #176512=EDGE_CURVE('',#144797,#144795,#62806,.T.); #176513=EDGE_CURVE('',#144798,#144796,#62807,.T.); #176514=EDGE_CURVE('',#144797,#144798,#62808,.T.); #176515=EDGE_CURVE('',#144799,#144797,#62809,.T.); #176516=EDGE_CURVE('',#144800,#144798,#62810,.T.); #176517=EDGE_CURVE('',#144799,#144800,#62811,.T.); #176518=EDGE_CURVE('',#144801,#144799,#62812,.T.); #176519=EDGE_CURVE('',#144802,#144800,#62813,.T.); #176520=EDGE_CURVE('',#144801,#144802,#62814,.T.); #176521=EDGE_CURVE('',#144803,#144801,#62815,.T.); #176522=EDGE_CURVE('',#144804,#144802,#62816,.T.); #176523=EDGE_CURVE('',#144803,#144804,#62817,.T.); #176524=EDGE_CURVE('',#144805,#144803,#62818,.T.); #176525=EDGE_CURVE('',#144806,#144804,#62819,.T.); #176526=EDGE_CURVE('',#144805,#144806,#62820,.T.); #176527=EDGE_CURVE('',#144807,#144805,#62821,.T.); #176528=EDGE_CURVE('',#144808,#144806,#62822,.T.); #176529=EDGE_CURVE('',#144807,#144808,#62823,.T.); #176530=EDGE_CURVE('',#144809,#144807,#62824,.T.); #176531=EDGE_CURVE('',#144810,#144808,#62825,.T.); #176532=EDGE_CURVE('',#144809,#144810,#62826,.T.); #176533=EDGE_CURVE('',#144811,#144809,#62827,.T.); #176534=EDGE_CURVE('',#144812,#144810,#62828,.T.); #176535=EDGE_CURVE('',#144811,#144812,#62829,.T.); #176536=EDGE_CURVE('',#144813,#144811,#62830,.T.); #176537=EDGE_CURVE('',#144814,#144812,#62831,.T.); #176538=EDGE_CURVE('',#144813,#144814,#62832,.T.); #176539=EDGE_CURVE('',#144815,#144813,#62833,.T.); #176540=EDGE_CURVE('',#144816,#144814,#62834,.T.); #176541=EDGE_CURVE('',#144815,#144816,#62835,.T.); #176542=EDGE_CURVE('',#144817,#144815,#62836,.T.); #176543=EDGE_CURVE('',#144818,#144816,#62837,.T.); #176544=EDGE_CURVE('',#144817,#144818,#62838,.T.); #176545=EDGE_CURVE('',#144819,#144817,#62839,.T.); #176546=EDGE_CURVE('',#144820,#144818,#62840,.T.); #176547=EDGE_CURVE('',#144819,#144820,#62841,.T.); #176548=EDGE_CURVE('',#144821,#144819,#62842,.T.); #176549=EDGE_CURVE('',#144822,#144820,#62843,.T.); #176550=EDGE_CURVE('',#144821,#144822,#62844,.T.); #176551=EDGE_CURVE('',#144823,#144821,#62845,.T.); #176552=EDGE_CURVE('',#144824,#144822,#62846,.T.); #176553=EDGE_CURVE('',#144823,#144824,#62847,.T.); #176554=EDGE_CURVE('',#144825,#144823,#62848,.T.); #176555=EDGE_CURVE('',#144826,#144824,#62849,.T.); #176556=EDGE_CURVE('',#144825,#144826,#62850,.T.); #176557=EDGE_CURVE('',#144827,#144825,#62851,.T.); #176558=EDGE_CURVE('',#144828,#144826,#62852,.T.); #176559=EDGE_CURVE('',#144827,#144828,#62853,.T.); #176560=EDGE_CURVE('',#144829,#144827,#62854,.T.); #176561=EDGE_CURVE('',#144830,#144828,#62855,.T.); #176562=EDGE_CURVE('',#144829,#144830,#62856,.T.); #176563=EDGE_CURVE('',#144831,#144829,#62857,.T.); #176564=EDGE_CURVE('',#144832,#144830,#62858,.T.); #176565=EDGE_CURVE('',#144831,#144832,#62859,.T.); #176566=EDGE_CURVE('',#144833,#144831,#62860,.T.); #176567=EDGE_CURVE('',#144834,#144832,#62861,.T.); #176568=EDGE_CURVE('',#144833,#144834,#62862,.T.); #176569=EDGE_CURVE('',#144835,#144833,#62863,.T.); #176570=EDGE_CURVE('',#144836,#144834,#62864,.T.); #176571=EDGE_CURVE('',#144835,#144836,#62865,.T.); #176572=EDGE_CURVE('',#144837,#144835,#62866,.T.); #176573=EDGE_CURVE('',#144838,#144836,#62867,.T.); #176574=EDGE_CURVE('',#144837,#144838,#62868,.T.); #176575=EDGE_CURVE('',#144839,#144837,#62869,.T.); #176576=EDGE_CURVE('',#144840,#144838,#62870,.T.); #176577=EDGE_CURVE('',#144839,#144840,#62871,.T.); #176578=EDGE_CURVE('',#144841,#144839,#62872,.T.); #176579=EDGE_CURVE('',#144842,#144840,#62873,.T.); #176580=EDGE_CURVE('',#144841,#144842,#62874,.T.); #176581=EDGE_CURVE('',#144843,#144841,#62875,.T.); #176582=EDGE_CURVE('',#144844,#144842,#62876,.T.); #176583=EDGE_CURVE('',#144843,#144844,#62877,.T.); #176584=EDGE_CURVE('',#144845,#144843,#62878,.T.); #176585=EDGE_CURVE('',#144846,#144844,#62879,.T.); #176586=EDGE_CURVE('',#144845,#144846,#62880,.T.); #176587=EDGE_CURVE('',#144847,#144845,#62881,.T.); #176588=EDGE_CURVE('',#144848,#144846,#62882,.T.); #176589=EDGE_CURVE('',#144847,#144848,#62883,.T.); #176590=EDGE_CURVE('',#144849,#144847,#62884,.T.); #176591=EDGE_CURVE('',#144850,#144848,#62885,.T.); #176592=EDGE_CURVE('',#144849,#144850,#62886,.T.); #176593=EDGE_CURVE('',#144851,#144849,#62887,.T.); #176594=EDGE_CURVE('',#144852,#144850,#62888,.T.); #176595=EDGE_CURVE('',#144851,#144852,#62889,.T.); #176596=EDGE_CURVE('',#144853,#144851,#62890,.T.); #176597=EDGE_CURVE('',#144854,#144852,#62891,.T.); #176598=EDGE_CURVE('',#144853,#144854,#62892,.T.); #176599=EDGE_CURVE('',#144855,#144853,#62893,.T.); #176600=EDGE_CURVE('',#144856,#144854,#62894,.T.); #176601=EDGE_CURVE('',#144855,#144856,#62895,.T.); #176602=EDGE_CURVE('',#144857,#144855,#62896,.T.); #176603=EDGE_CURVE('',#144858,#144856,#62897,.T.); #176604=EDGE_CURVE('',#144857,#144858,#62898,.T.); #176605=EDGE_CURVE('',#144859,#144857,#62899,.T.); #176606=EDGE_CURVE('',#144860,#144858,#62900,.T.); #176607=EDGE_CURVE('',#144859,#144860,#62901,.T.); #176608=EDGE_CURVE('',#144861,#144859,#62902,.T.); #176609=EDGE_CURVE('',#144862,#144860,#62903,.T.); #176610=EDGE_CURVE('',#144861,#144862,#62904,.T.); #176611=EDGE_CURVE('',#144863,#144861,#62905,.T.); #176612=EDGE_CURVE('',#144864,#144862,#62906,.T.); #176613=EDGE_CURVE('',#144863,#144864,#62907,.T.); #176614=EDGE_CURVE('',#144865,#144863,#62908,.T.); #176615=EDGE_CURVE('',#144866,#144864,#62909,.T.); #176616=EDGE_CURVE('',#144865,#144866,#62910,.T.); #176617=EDGE_CURVE('',#144867,#144865,#62911,.T.); #176618=EDGE_CURVE('',#144868,#144866,#62912,.T.); #176619=EDGE_CURVE('',#144867,#144868,#62913,.T.); #176620=EDGE_CURVE('',#144869,#144867,#62914,.T.); #176621=EDGE_CURVE('',#144870,#144868,#62915,.T.); #176622=EDGE_CURVE('',#144869,#144870,#62916,.T.); #176623=EDGE_CURVE('',#144871,#144869,#62917,.T.); #176624=EDGE_CURVE('',#144872,#144870,#62918,.T.); #176625=EDGE_CURVE('',#144871,#144872,#62919,.T.); #176626=EDGE_CURVE('',#144873,#144871,#62920,.T.); #176627=EDGE_CURVE('',#144874,#144872,#62921,.T.); #176628=EDGE_CURVE('',#144873,#144874,#62922,.T.); #176629=EDGE_CURVE('',#144875,#144873,#62923,.T.); #176630=EDGE_CURVE('',#144876,#144874,#62924,.T.); #176631=EDGE_CURVE('',#144875,#144876,#62925,.T.); #176632=EDGE_CURVE('',#144877,#144875,#62926,.T.); #176633=EDGE_CURVE('',#144878,#144876,#62927,.T.); #176634=EDGE_CURVE('',#144877,#144878,#62928,.T.); #176635=EDGE_CURVE('',#144879,#144877,#62929,.T.); #176636=EDGE_CURVE('',#144880,#144878,#62930,.T.); #176637=EDGE_CURVE('',#144879,#144880,#62931,.T.); #176638=EDGE_CURVE('',#144881,#144879,#62932,.T.); #176639=EDGE_CURVE('',#144882,#144880,#62933,.T.); #176640=EDGE_CURVE('',#144881,#144882,#62934,.T.); #176641=EDGE_CURVE('',#144883,#144881,#62935,.T.); #176642=EDGE_CURVE('',#144884,#144882,#62936,.T.); #176643=EDGE_CURVE('',#144883,#144884,#62937,.T.); #176644=EDGE_CURVE('',#144885,#144883,#62938,.T.); #176645=EDGE_CURVE('',#144886,#144884,#62939,.T.); #176646=EDGE_CURVE('',#144885,#144886,#62940,.T.); #176647=EDGE_CURVE('',#144887,#144885,#62941,.T.); #176648=EDGE_CURVE('',#144888,#144886,#62942,.T.); #176649=EDGE_CURVE('',#144887,#144888,#62943,.T.); #176650=EDGE_CURVE('',#144889,#144887,#62944,.T.); #176651=EDGE_CURVE('',#144890,#144888,#62945,.T.); #176652=EDGE_CURVE('',#144889,#144890,#62946,.T.); #176653=EDGE_CURVE('',#144891,#144889,#62947,.T.); #176654=EDGE_CURVE('',#144892,#144890,#62948,.T.); #176655=EDGE_CURVE('',#144891,#144892,#62949,.T.); #176656=EDGE_CURVE('',#144893,#144891,#62950,.T.); #176657=EDGE_CURVE('',#144894,#144892,#62951,.T.); #176658=EDGE_CURVE('',#144893,#144894,#62952,.T.); #176659=EDGE_CURVE('',#144895,#144893,#62953,.T.); #176660=EDGE_CURVE('',#144896,#144894,#62954,.T.); #176661=EDGE_CURVE('',#144895,#144896,#62955,.T.); #176662=EDGE_CURVE('',#144897,#144895,#62956,.T.); #176663=EDGE_CURVE('',#144898,#144896,#62957,.T.); #176664=EDGE_CURVE('',#144897,#144898,#62958,.T.); #176665=EDGE_CURVE('',#144899,#144897,#62959,.T.); #176666=EDGE_CURVE('',#144900,#144898,#62960,.T.); #176667=EDGE_CURVE('',#144899,#144900,#62961,.T.); #176668=EDGE_CURVE('',#144901,#144899,#62962,.T.); #176669=EDGE_CURVE('',#144902,#144900,#62963,.T.); #176670=EDGE_CURVE('',#144901,#144902,#62964,.T.); #176671=EDGE_CURVE('',#144903,#144901,#62965,.T.); #176672=EDGE_CURVE('',#144904,#144902,#62966,.T.); #176673=EDGE_CURVE('',#144903,#144904,#62967,.T.); #176674=EDGE_CURVE('',#144905,#144903,#62968,.T.); #176675=EDGE_CURVE('',#144906,#144904,#62969,.T.); #176676=EDGE_CURVE('',#144905,#144906,#62970,.T.); #176677=EDGE_CURVE('',#144907,#144905,#62971,.T.); #176678=EDGE_CURVE('',#144908,#144906,#62972,.T.); #176679=EDGE_CURVE('',#144907,#144908,#62973,.T.); #176680=EDGE_CURVE('',#144909,#144907,#62974,.T.); #176681=EDGE_CURVE('',#144910,#144908,#62975,.T.); #176682=EDGE_CURVE('',#144909,#144910,#62976,.T.); #176683=EDGE_CURVE('',#144911,#144909,#62977,.T.); #176684=EDGE_CURVE('',#144912,#144910,#62978,.T.); #176685=EDGE_CURVE('',#144911,#144912,#62979,.T.); #176686=EDGE_CURVE('',#144913,#144911,#62980,.T.); #176687=EDGE_CURVE('',#144914,#144912,#62981,.T.); #176688=EDGE_CURVE('',#144913,#144914,#62982,.T.); #176689=EDGE_CURVE('',#144915,#144913,#62983,.T.); #176690=EDGE_CURVE('',#144916,#144914,#62984,.T.); #176691=EDGE_CURVE('',#144915,#144916,#62985,.T.); #176692=EDGE_CURVE('',#144917,#144915,#62986,.T.); #176693=EDGE_CURVE('',#144918,#144916,#62987,.T.); #176694=EDGE_CURVE('',#144917,#144918,#62988,.T.); #176695=EDGE_CURVE('',#144919,#144917,#62989,.T.); #176696=EDGE_CURVE('',#144920,#144918,#62990,.T.); #176697=EDGE_CURVE('',#144919,#144920,#62991,.T.); #176698=EDGE_CURVE('',#144921,#144919,#62992,.T.); #176699=EDGE_CURVE('',#144922,#144920,#62993,.T.); #176700=EDGE_CURVE('',#144921,#144922,#62994,.T.); #176701=EDGE_CURVE('',#144923,#144921,#62995,.T.); #176702=EDGE_CURVE('',#144924,#144922,#62996,.T.); #176703=EDGE_CURVE('',#144923,#144924,#62997,.T.); #176704=EDGE_CURVE('',#144925,#144923,#62998,.T.); #176705=EDGE_CURVE('',#144926,#144924,#62999,.T.); #176706=EDGE_CURVE('',#144925,#144926,#63000,.T.); #176707=EDGE_CURVE('',#144927,#144925,#63001,.T.); #176708=EDGE_CURVE('',#144928,#144926,#63002,.T.); #176709=EDGE_CURVE('',#144927,#144928,#63003,.T.); #176710=EDGE_CURVE('',#144929,#144927,#63004,.T.); #176711=EDGE_CURVE('',#144930,#144928,#63005,.T.); #176712=EDGE_CURVE('',#144929,#144930,#63006,.T.); #176713=EDGE_CURVE('',#144931,#144929,#63007,.T.); #176714=EDGE_CURVE('',#144932,#144930,#63008,.T.); #176715=EDGE_CURVE('',#144931,#144932,#63009,.T.); #176716=EDGE_CURVE('',#144933,#144931,#63010,.T.); #176717=EDGE_CURVE('',#144934,#144932,#63011,.T.); #176718=EDGE_CURVE('',#144933,#144934,#63012,.T.); #176719=EDGE_CURVE('',#144935,#144933,#63013,.T.); #176720=EDGE_CURVE('',#144936,#144934,#63014,.T.); #176721=EDGE_CURVE('',#144935,#144936,#63015,.T.); #176722=EDGE_CURVE('',#144937,#144935,#63016,.T.); #176723=EDGE_CURVE('',#144938,#144936,#63017,.T.); #176724=EDGE_CURVE('',#144937,#144938,#63018,.T.); #176725=EDGE_CURVE('',#144939,#144937,#63019,.T.); #176726=EDGE_CURVE('',#144940,#144938,#63020,.T.); #176727=EDGE_CURVE('',#144939,#144940,#63021,.T.); #176728=EDGE_CURVE('',#144941,#144939,#63022,.T.); #176729=EDGE_CURVE('',#144942,#144940,#63023,.T.); #176730=EDGE_CURVE('',#144941,#144942,#63024,.T.); #176731=EDGE_CURVE('',#144943,#144941,#63025,.T.); #176732=EDGE_CURVE('',#144944,#144942,#63026,.T.); #176733=EDGE_CURVE('',#144943,#144944,#63027,.T.); #176734=EDGE_CURVE('',#144945,#144943,#63028,.T.); #176735=EDGE_CURVE('',#144946,#144944,#63029,.T.); #176736=EDGE_CURVE('',#144945,#144946,#63030,.T.); #176737=EDGE_CURVE('',#144947,#144945,#63031,.T.); #176738=EDGE_CURVE('',#144948,#144946,#63032,.T.); #176739=EDGE_CURVE('',#144947,#144948,#63033,.T.); #176740=EDGE_CURVE('',#144949,#144947,#63034,.T.); #176741=EDGE_CURVE('',#144950,#144948,#63035,.T.); #176742=EDGE_CURVE('',#144949,#144950,#63036,.T.); #176743=EDGE_CURVE('',#144951,#144949,#63037,.T.); #176744=EDGE_CURVE('',#144952,#144950,#63038,.T.); #176745=EDGE_CURVE('',#144951,#144952,#63039,.T.); #176746=EDGE_CURVE('',#144953,#144951,#63040,.T.); #176747=EDGE_CURVE('',#144954,#144952,#63041,.T.); #176748=EDGE_CURVE('',#144953,#144954,#63042,.T.); #176749=EDGE_CURVE('',#144955,#144953,#63043,.T.); #176750=EDGE_CURVE('',#144956,#144954,#63044,.T.); #176751=EDGE_CURVE('',#144955,#144956,#63045,.T.); #176752=EDGE_CURVE('',#144957,#144955,#63046,.T.); #176753=EDGE_CURVE('',#144958,#144956,#63047,.T.); #176754=EDGE_CURVE('',#144957,#144958,#63048,.T.); #176755=EDGE_CURVE('',#144959,#144957,#63049,.T.); #176756=EDGE_CURVE('',#144960,#144958,#63050,.T.); #176757=EDGE_CURVE('',#144959,#144960,#63051,.T.); #176758=EDGE_CURVE('',#144961,#144959,#63052,.T.); #176759=EDGE_CURVE('',#144962,#144960,#63053,.T.); #176760=EDGE_CURVE('',#144961,#144962,#63054,.T.); #176761=EDGE_CURVE('',#144963,#144961,#63055,.T.); #176762=EDGE_CURVE('',#144964,#144962,#63056,.T.); #176763=EDGE_CURVE('',#144963,#144964,#63057,.T.); #176764=EDGE_CURVE('',#144965,#144963,#63058,.T.); #176765=EDGE_CURVE('',#144966,#144964,#63059,.T.); #176766=EDGE_CURVE('',#144965,#144966,#63060,.T.); #176767=EDGE_CURVE('',#144967,#144965,#63061,.T.); #176768=EDGE_CURVE('',#144968,#144966,#63062,.T.); #176769=EDGE_CURVE('',#144967,#144968,#63063,.T.); #176770=EDGE_CURVE('',#144969,#144967,#63064,.T.); #176771=EDGE_CURVE('',#144970,#144968,#63065,.T.); #176772=EDGE_CURVE('',#144969,#144970,#63066,.T.); #176773=EDGE_CURVE('',#144971,#144969,#63067,.T.); #176774=EDGE_CURVE('',#144972,#144970,#63068,.T.); #176775=EDGE_CURVE('',#144971,#144972,#63069,.T.); #176776=EDGE_CURVE('',#144973,#144971,#63070,.T.); #176777=EDGE_CURVE('',#144974,#144972,#63071,.T.); #176778=EDGE_CURVE('',#144973,#144974,#63072,.T.); #176779=EDGE_CURVE('',#144975,#144973,#63073,.T.); #176780=EDGE_CURVE('',#144976,#144974,#63074,.T.); #176781=EDGE_CURVE('',#144975,#144976,#63075,.T.); #176782=EDGE_CURVE('',#144977,#144975,#63076,.T.); #176783=EDGE_CURVE('',#144978,#144976,#63077,.T.); #176784=EDGE_CURVE('',#144977,#144978,#63078,.T.); #176785=EDGE_CURVE('',#144979,#144977,#63079,.T.); #176786=EDGE_CURVE('',#144980,#144978,#63080,.T.); #176787=EDGE_CURVE('',#144979,#144980,#63081,.T.); #176788=EDGE_CURVE('',#144981,#144979,#63082,.T.); #176789=EDGE_CURVE('',#144982,#144980,#63083,.T.); #176790=EDGE_CURVE('',#144981,#144982,#63084,.T.); #176791=EDGE_CURVE('',#144983,#144981,#63085,.T.); #176792=EDGE_CURVE('',#144984,#144982,#63086,.T.); #176793=EDGE_CURVE('',#144983,#144984,#63087,.T.); #176794=EDGE_CURVE('',#144985,#144983,#63088,.T.); #176795=EDGE_CURVE('',#144986,#144984,#63089,.T.); #176796=EDGE_CURVE('',#144985,#144986,#63090,.T.); #176797=EDGE_CURVE('',#144987,#144985,#63091,.T.); #176798=EDGE_CURVE('',#144988,#144986,#63092,.T.); #176799=EDGE_CURVE('',#144987,#144988,#63093,.T.); #176800=EDGE_CURVE('',#144989,#144987,#63094,.T.); #176801=EDGE_CURVE('',#144990,#144988,#63095,.T.); #176802=EDGE_CURVE('',#144989,#144990,#63096,.T.); #176803=EDGE_CURVE('',#144991,#144989,#63097,.T.); #176804=EDGE_CURVE('',#144992,#144990,#63098,.T.); #176805=EDGE_CURVE('',#144991,#144992,#63099,.T.); #176806=EDGE_CURVE('',#144993,#144991,#63100,.T.); #176807=EDGE_CURVE('',#144994,#144992,#63101,.T.); #176808=EDGE_CURVE('',#144993,#144994,#63102,.T.); #176809=EDGE_CURVE('',#144995,#144993,#63103,.T.); #176810=EDGE_CURVE('',#144996,#144994,#63104,.T.); #176811=EDGE_CURVE('',#144995,#144996,#63105,.T.); #176812=EDGE_CURVE('',#144997,#144995,#135567,.T.); #176813=EDGE_CURVE('',#144998,#144996,#135568,.T.); #176814=EDGE_CURVE('',#144997,#144998,#63106,.T.); #176815=EDGE_CURVE('',#144999,#144997,#63107,.T.); #176816=EDGE_CURVE('',#145000,#144998,#63108,.T.); #176817=EDGE_CURVE('',#144999,#145000,#63109,.T.); #176818=EDGE_CURVE('',#145001,#144999,#135569,.T.); #176819=EDGE_CURVE('',#145002,#145000,#135570,.T.); #176820=EDGE_CURVE('',#145001,#145002,#63110,.T.); #176821=EDGE_CURVE('',#145003,#145001,#63111,.T.); #176822=EDGE_CURVE('',#145004,#145002,#63112,.T.); #176823=EDGE_CURVE('',#145003,#145004,#63113,.T.); #176824=EDGE_CURVE('',#145005,#145003,#63114,.T.); #176825=EDGE_CURVE('',#145006,#145004,#63115,.T.); #176826=EDGE_CURVE('',#145005,#145006,#63116,.T.); #176827=EDGE_CURVE('',#145007,#145005,#63117,.T.); #176828=EDGE_CURVE('',#145008,#145006,#63118,.T.); #176829=EDGE_CURVE('',#145007,#145008,#63119,.T.); #176830=EDGE_CURVE('',#145009,#145007,#63120,.T.); #176831=EDGE_CURVE('',#145010,#145008,#63121,.T.); #176832=EDGE_CURVE('',#145009,#145010,#63122,.T.); #176833=EDGE_CURVE('',#144746,#145009,#63123,.T.); #176834=EDGE_CURVE('',#144747,#145010,#63124,.T.); #176835=EDGE_CURVE('',#145011,#145011,#135571,.T.); #176836=EDGE_CURVE('',#145011,#145012,#63125,.T.); #176837=EDGE_CURVE('',#145012,#145012,#135572,.T.); #176838=EDGE_CURVE('',#145013,#145014,#63126,.T.); #176839=EDGE_CURVE('',#145014,#145015,#63127,.T.); #176840=EDGE_CURVE('',#145016,#145015,#63128,.T.); #176841=EDGE_CURVE('',#145013,#145016,#63129,.T.); #176842=EDGE_CURVE('',#145017,#145013,#135573,.T.); #176843=EDGE_CURVE('',#145018,#145016,#135574,.T.); #176844=EDGE_CURVE('',#145017,#145018,#63130,.T.); #176845=EDGE_CURVE('',#145014,#145017,#135575,.T.); #176846=EDGE_CURVE('',#145015,#145018,#135576,.T.); #176847=EDGE_CURVE('',#145019,#145020,#63131,.T.); #176848=EDGE_CURVE('',#145020,#145021,#63132,.T.); #176849=EDGE_CURVE('',#145022,#145021,#63133,.T.); #176850=EDGE_CURVE('',#145019,#145022,#63134,.T.); #176851=EDGE_CURVE('',#145023,#145019,#63135,.T.); #176852=EDGE_CURVE('',#145024,#145022,#63136,.T.); #176853=EDGE_CURVE('',#145023,#145024,#63137,.T.); #176854=EDGE_CURVE('',#145025,#145023,#63138,.T.); #176855=EDGE_CURVE('',#145026,#145024,#63139,.T.); #176856=EDGE_CURVE('',#145025,#145026,#63140,.T.); #176857=EDGE_CURVE('',#145027,#145025,#63141,.T.); #176858=EDGE_CURVE('',#145028,#145026,#63142,.T.); #176859=EDGE_CURVE('',#145027,#145028,#63143,.T.); #176860=EDGE_CURVE('',#145029,#145027,#63144,.T.); #176861=EDGE_CURVE('',#145030,#145028,#63145,.T.); #176862=EDGE_CURVE('',#145029,#145030,#63146,.T.); #176863=EDGE_CURVE('',#145031,#145029,#63147,.T.); #176864=EDGE_CURVE('',#145032,#145030,#63148,.T.); #176865=EDGE_CURVE('',#145031,#145032,#63149,.T.); #176866=EDGE_CURVE('',#145033,#145031,#63150,.T.); #176867=EDGE_CURVE('',#145034,#145032,#63151,.T.); #176868=EDGE_CURVE('',#145033,#145034,#63152,.T.); #176869=EDGE_CURVE('',#145035,#145033,#63153,.T.); #176870=EDGE_CURVE('',#145036,#145034,#63154,.T.); #176871=EDGE_CURVE('',#145035,#145036,#63155,.T.); #176872=EDGE_CURVE('',#145037,#145035,#63156,.T.); #176873=EDGE_CURVE('',#145038,#145036,#63157,.T.); #176874=EDGE_CURVE('',#145037,#145038,#63158,.T.); #176875=EDGE_CURVE('',#145039,#145037,#63159,.T.); #176876=EDGE_CURVE('',#145040,#145038,#63160,.T.); #176877=EDGE_CURVE('',#145039,#145040,#63161,.T.); #176878=EDGE_CURVE('',#145041,#145039,#63162,.T.); #176879=EDGE_CURVE('',#145042,#145040,#63163,.T.); #176880=EDGE_CURVE('',#145041,#145042,#63164,.T.); #176881=EDGE_CURVE('',#145043,#145041,#63165,.T.); #176882=EDGE_CURVE('',#145044,#145042,#63166,.T.); #176883=EDGE_CURVE('',#145043,#145044,#63167,.T.); #176884=EDGE_CURVE('',#145045,#145043,#63168,.T.); #176885=EDGE_CURVE('',#145046,#145044,#63169,.T.); #176886=EDGE_CURVE('',#145045,#145046,#63170,.T.); #176887=EDGE_CURVE('',#145047,#145045,#63171,.T.); #176888=EDGE_CURVE('',#145048,#145046,#63172,.T.); #176889=EDGE_CURVE('',#145047,#145048,#63173,.T.); #176890=EDGE_CURVE('',#145049,#145047,#63174,.T.); #176891=EDGE_CURVE('',#145050,#145048,#63175,.T.); #176892=EDGE_CURVE('',#145049,#145050,#63176,.T.); #176893=EDGE_CURVE('',#145051,#145049,#63177,.T.); #176894=EDGE_CURVE('',#145052,#145050,#63178,.T.); #176895=EDGE_CURVE('',#145051,#145052,#63179,.T.); #176896=EDGE_CURVE('',#145053,#145051,#63180,.T.); #176897=EDGE_CURVE('',#145054,#145052,#63181,.T.); #176898=EDGE_CURVE('',#145053,#145054,#63182,.T.); #176899=EDGE_CURVE('',#145055,#145053,#63183,.T.); #176900=EDGE_CURVE('',#145056,#145054,#63184,.T.); #176901=EDGE_CURVE('',#145055,#145056,#63185,.T.); #176902=EDGE_CURVE('',#145057,#145055,#63186,.T.); #176903=EDGE_CURVE('',#145058,#145056,#63187,.T.); #176904=EDGE_CURVE('',#145057,#145058,#63188,.T.); #176905=EDGE_CURVE('',#145020,#145057,#63189,.T.); #176906=EDGE_CURVE('',#145021,#145058,#63190,.T.); #176907=EDGE_CURVE('',#145059,#145060,#63191,.T.); #176908=EDGE_CURVE('',#145060,#145061,#63192,.T.); #176909=EDGE_CURVE('',#145062,#145061,#63193,.T.); #176910=EDGE_CURVE('',#145059,#145062,#63194,.T.); #176911=EDGE_CURVE('',#145063,#145059,#63195,.T.); #176912=EDGE_CURVE('',#145064,#145062,#63196,.T.); #176913=EDGE_CURVE('',#145063,#145064,#63197,.T.); #176914=EDGE_CURVE('',#145065,#145063,#63198,.T.); #176915=EDGE_CURVE('',#145066,#145064,#63199,.T.); #176916=EDGE_CURVE('',#145065,#145066,#63200,.T.); #176917=EDGE_CURVE('',#145067,#145065,#63201,.T.); #176918=EDGE_CURVE('',#145068,#145066,#63202,.T.); #176919=EDGE_CURVE('',#145067,#145068,#63203,.T.); #176920=EDGE_CURVE('',#145069,#145067,#63204,.T.); #176921=EDGE_CURVE('',#145070,#145068,#63205,.T.); #176922=EDGE_CURVE('',#145069,#145070,#63206,.T.); #176923=EDGE_CURVE('',#145071,#145069,#63207,.T.); #176924=EDGE_CURVE('',#145072,#145070,#63208,.T.); #176925=EDGE_CURVE('',#145071,#145072,#63209,.T.); #176926=EDGE_CURVE('',#145073,#145071,#63210,.T.); #176927=EDGE_CURVE('',#145074,#145072,#63211,.T.); #176928=EDGE_CURVE('',#145073,#145074,#63212,.T.); #176929=EDGE_CURVE('',#145075,#145073,#63213,.T.); #176930=EDGE_CURVE('',#145076,#145074,#63214,.T.); #176931=EDGE_CURVE('',#145075,#145076,#63215,.T.); #176932=EDGE_CURVE('',#145077,#145075,#63216,.T.); #176933=EDGE_CURVE('',#145078,#145076,#63217,.T.); #176934=EDGE_CURVE('',#145077,#145078,#63218,.T.); #176935=EDGE_CURVE('',#145079,#145077,#63219,.T.); #176936=EDGE_CURVE('',#145080,#145078,#63220,.T.); #176937=EDGE_CURVE('',#145079,#145080,#63221,.T.); #176938=EDGE_CURVE('',#145081,#145079,#63222,.T.); #176939=EDGE_CURVE('',#145082,#145080,#63223,.T.); #176940=EDGE_CURVE('',#145081,#145082,#63224,.T.); #176941=EDGE_CURVE('',#145083,#145081,#63225,.T.); #176942=EDGE_CURVE('',#145084,#145082,#63226,.T.); #176943=EDGE_CURVE('',#145083,#145084,#63227,.T.); #176944=EDGE_CURVE('',#145085,#145083,#63228,.T.); #176945=EDGE_CURVE('',#145086,#145084,#63229,.T.); #176946=EDGE_CURVE('',#145085,#145086,#63230,.T.); #176947=EDGE_CURVE('',#145087,#145085,#63231,.T.); #176948=EDGE_CURVE('',#145088,#145086,#63232,.T.); #176949=EDGE_CURVE('',#145087,#145088,#63233,.T.); #176950=EDGE_CURVE('',#145089,#145087,#63234,.T.); #176951=EDGE_CURVE('',#145090,#145088,#63235,.T.); #176952=EDGE_CURVE('',#145089,#145090,#63236,.T.); #176953=EDGE_CURVE('',#145091,#145089,#63237,.T.); #176954=EDGE_CURVE('',#145092,#145090,#63238,.T.); #176955=EDGE_CURVE('',#145091,#145092,#63239,.T.); #176956=EDGE_CURVE('',#145093,#145091,#63240,.T.); #176957=EDGE_CURVE('',#145094,#145092,#63241,.T.); #176958=EDGE_CURVE('',#145093,#145094,#63242,.T.); #176959=EDGE_CURVE('',#145095,#145093,#63243,.T.); #176960=EDGE_CURVE('',#145096,#145094,#63244,.T.); #176961=EDGE_CURVE('',#145095,#145096,#63245,.T.); #176962=EDGE_CURVE('',#145097,#145095,#63246,.T.); #176963=EDGE_CURVE('',#145098,#145096,#63247,.T.); #176964=EDGE_CURVE('',#145097,#145098,#63248,.T.); #176965=EDGE_CURVE('',#145099,#145097,#63249,.T.); #176966=EDGE_CURVE('',#145100,#145098,#63250,.T.); #176967=EDGE_CURVE('',#145099,#145100,#63251,.T.); #176968=EDGE_CURVE('',#145060,#145099,#63252,.T.); #176969=EDGE_CURVE('',#145061,#145100,#63253,.T.); #176970=EDGE_CURVE('',#145101,#145102,#63254,.T.); #176971=EDGE_CURVE('',#145102,#145103,#63255,.T.); #176972=EDGE_CURVE('',#145104,#145103,#63256,.T.); #176973=EDGE_CURVE('',#145101,#145104,#63257,.T.); #176974=EDGE_CURVE('',#145105,#145101,#63258,.T.); #176975=EDGE_CURVE('',#145106,#145104,#63259,.T.); #176976=EDGE_CURVE('',#145105,#145106,#63260,.T.); #176977=EDGE_CURVE('',#145107,#145105,#63261,.T.); #176978=EDGE_CURVE('',#145108,#145106,#63262,.T.); #176979=EDGE_CURVE('',#145107,#145108,#63263,.T.); #176980=EDGE_CURVE('',#145109,#145107,#63264,.T.); #176981=EDGE_CURVE('',#145110,#145108,#63265,.T.); #176982=EDGE_CURVE('',#145109,#145110,#63266,.T.); #176983=EDGE_CURVE('',#145111,#145109,#63267,.T.); #176984=EDGE_CURVE('',#145112,#145110,#63268,.T.); #176985=EDGE_CURVE('',#145111,#145112,#63269,.T.); #176986=EDGE_CURVE('',#145113,#145111,#63270,.T.); #176987=EDGE_CURVE('',#145114,#145112,#63271,.T.); #176988=EDGE_CURVE('',#145113,#145114,#63272,.T.); #176989=EDGE_CURVE('',#145115,#145113,#63273,.T.); #176990=EDGE_CURVE('',#145116,#145114,#63274,.T.); #176991=EDGE_CURVE('',#145115,#145116,#63275,.T.); #176992=EDGE_CURVE('',#145117,#145115,#63276,.T.); #176993=EDGE_CURVE('',#145118,#145116,#63277,.T.); #176994=EDGE_CURVE('',#145117,#145118,#63278,.T.); #176995=EDGE_CURVE('',#145119,#145117,#63279,.T.); #176996=EDGE_CURVE('',#145120,#145118,#63280,.T.); #176997=EDGE_CURVE('',#145119,#145120,#63281,.T.); #176998=EDGE_CURVE('',#145121,#145119,#63282,.T.); #176999=EDGE_CURVE('',#145122,#145120,#63283,.T.); #177000=EDGE_CURVE('',#145121,#145122,#63284,.T.); #177001=EDGE_CURVE('',#145123,#145121,#63285,.T.); #177002=EDGE_CURVE('',#145124,#145122,#63286,.T.); #177003=EDGE_CURVE('',#145123,#145124,#63287,.T.); #177004=EDGE_CURVE('',#145125,#145123,#63288,.T.); #177005=EDGE_CURVE('',#145126,#145124,#63289,.T.); #177006=EDGE_CURVE('',#145125,#145126,#63290,.T.); #177007=EDGE_CURVE('',#145127,#145125,#63291,.T.); #177008=EDGE_CURVE('',#145128,#145126,#63292,.T.); #177009=EDGE_CURVE('',#145127,#145128,#63293,.T.); #177010=EDGE_CURVE('',#145129,#145127,#63294,.T.); #177011=EDGE_CURVE('',#145130,#145128,#63295,.T.); #177012=EDGE_CURVE('',#145129,#145130,#63296,.T.); #177013=EDGE_CURVE('',#145131,#145129,#63297,.T.); #177014=EDGE_CURVE('',#145132,#145130,#63298,.T.); #177015=EDGE_CURVE('',#145131,#145132,#63299,.T.); #177016=EDGE_CURVE('',#145133,#145131,#63300,.T.); #177017=EDGE_CURVE('',#145134,#145132,#63301,.T.); #177018=EDGE_CURVE('',#145133,#145134,#63302,.T.); #177019=EDGE_CURVE('',#145135,#145133,#63303,.T.); #177020=EDGE_CURVE('',#145136,#145134,#63304,.T.); #177021=EDGE_CURVE('',#145135,#145136,#63305,.T.); #177022=EDGE_CURVE('',#145137,#145135,#63306,.T.); #177023=EDGE_CURVE('',#145138,#145136,#63307,.T.); #177024=EDGE_CURVE('',#145137,#145138,#63308,.T.); #177025=EDGE_CURVE('',#145139,#145137,#63309,.T.); #177026=EDGE_CURVE('',#145140,#145138,#63310,.T.); #177027=EDGE_CURVE('',#145139,#145140,#63311,.T.); #177028=EDGE_CURVE('',#145102,#145139,#63312,.T.); #177029=EDGE_CURVE('',#145103,#145140,#63313,.T.); #177030=EDGE_CURVE('',#145141,#145142,#63314,.T.); #177031=EDGE_CURVE('',#145142,#145143,#63315,.T.); #177032=EDGE_CURVE('',#145144,#145143,#63316,.T.); #177033=EDGE_CURVE('',#145141,#145144,#63317,.T.); #177034=EDGE_CURVE('',#145145,#145141,#63318,.T.); #177035=EDGE_CURVE('',#145146,#145144,#63319,.T.); #177036=EDGE_CURVE('',#145145,#145146,#63320,.T.); #177037=EDGE_CURVE('',#145147,#145145,#63321,.T.); #177038=EDGE_CURVE('',#145148,#145146,#63322,.T.); #177039=EDGE_CURVE('',#145147,#145148,#63323,.T.); #177040=EDGE_CURVE('',#145149,#145147,#63324,.T.); #177041=EDGE_CURVE('',#145150,#145148,#63325,.T.); #177042=EDGE_CURVE('',#145149,#145150,#63326,.T.); #177043=EDGE_CURVE('',#145151,#145149,#63327,.T.); #177044=EDGE_CURVE('',#145152,#145150,#63328,.T.); #177045=EDGE_CURVE('',#145151,#145152,#63329,.T.); #177046=EDGE_CURVE('',#145153,#145151,#63330,.T.); #177047=EDGE_CURVE('',#145154,#145152,#63331,.T.); #177048=EDGE_CURVE('',#145153,#145154,#63332,.T.); #177049=EDGE_CURVE('',#145155,#145153,#63333,.T.); #177050=EDGE_CURVE('',#145156,#145154,#63334,.T.); #177051=EDGE_CURVE('',#145155,#145156,#63335,.T.); #177052=EDGE_CURVE('',#145157,#145155,#63336,.T.); #177053=EDGE_CURVE('',#145158,#145156,#63337,.T.); #177054=EDGE_CURVE('',#145157,#145158,#63338,.T.); #177055=EDGE_CURVE('',#145159,#145157,#63339,.T.); #177056=EDGE_CURVE('',#145160,#145158,#63340,.T.); #177057=EDGE_CURVE('',#145159,#145160,#63341,.T.); #177058=EDGE_CURVE('',#145161,#145159,#63342,.T.); #177059=EDGE_CURVE('',#145162,#145160,#63343,.T.); #177060=EDGE_CURVE('',#145161,#145162,#63344,.T.); #177061=EDGE_CURVE('',#145163,#145161,#63345,.T.); #177062=EDGE_CURVE('',#145164,#145162,#63346,.T.); #177063=EDGE_CURVE('',#145163,#145164,#63347,.T.); #177064=EDGE_CURVE('',#145165,#145163,#63348,.T.); #177065=EDGE_CURVE('',#145166,#145164,#63349,.T.); #177066=EDGE_CURVE('',#145165,#145166,#63350,.T.); #177067=EDGE_CURVE('',#145167,#145165,#63351,.T.); #177068=EDGE_CURVE('',#145168,#145166,#63352,.T.); #177069=EDGE_CURVE('',#145167,#145168,#63353,.T.); #177070=EDGE_CURVE('',#145169,#145167,#63354,.T.); #177071=EDGE_CURVE('',#145170,#145168,#63355,.T.); #177072=EDGE_CURVE('',#145169,#145170,#63356,.T.); #177073=EDGE_CURVE('',#145171,#145169,#63357,.T.); #177074=EDGE_CURVE('',#145172,#145170,#63358,.T.); #177075=EDGE_CURVE('',#145171,#145172,#63359,.T.); #177076=EDGE_CURVE('',#145173,#145171,#63360,.T.); #177077=EDGE_CURVE('',#145174,#145172,#63361,.T.); #177078=EDGE_CURVE('',#145173,#145174,#63362,.T.); #177079=EDGE_CURVE('',#145175,#145173,#63363,.T.); #177080=EDGE_CURVE('',#145176,#145174,#63364,.T.); #177081=EDGE_CURVE('',#145175,#145176,#63365,.T.); #177082=EDGE_CURVE('',#145177,#145175,#63366,.T.); #177083=EDGE_CURVE('',#145178,#145176,#63367,.T.); #177084=EDGE_CURVE('',#145177,#145178,#63368,.T.); #177085=EDGE_CURVE('',#145179,#145177,#63369,.T.); #177086=EDGE_CURVE('',#145180,#145178,#63370,.T.); #177087=EDGE_CURVE('',#145179,#145180,#63371,.T.); #177088=EDGE_CURVE('',#145181,#145179,#63372,.T.); #177089=EDGE_CURVE('',#145182,#145180,#63373,.T.); #177090=EDGE_CURVE('',#145181,#145182,#63374,.T.); #177091=EDGE_CURVE('',#145142,#145181,#63375,.T.); #177092=EDGE_CURVE('',#145143,#145182,#63376,.T.); #177093=EDGE_CURVE('',#145183,#145183,#135577,.T.); #177094=EDGE_CURVE('',#145183,#145184,#63377,.T.); #177095=EDGE_CURVE('',#145184,#145184,#135578,.T.); #177096=EDGE_CURVE('',#145185,#145185,#135579,.T.); #177097=EDGE_CURVE('',#145185,#145186,#63378,.T.); #177098=EDGE_CURVE('',#145186,#145186,#135580,.T.); #177099=EDGE_CURVE('',#145187,#145187,#135581,.T.); #177100=EDGE_CURVE('',#145187,#145188,#63379,.T.); #177101=EDGE_CURVE('',#145188,#145188,#135582,.T.); #177102=EDGE_CURVE('',#145189,#145190,#63380,.T.); #177103=EDGE_CURVE('',#145190,#145191,#63381,.T.); #177104=EDGE_CURVE('',#145192,#145191,#63382,.T.); #177105=EDGE_CURVE('',#145189,#145192,#63383,.T.); #177106=EDGE_CURVE('',#145193,#145189,#63384,.T.); #177107=EDGE_CURVE('',#145194,#145192,#63385,.T.); #177108=EDGE_CURVE('',#145193,#145194,#63386,.T.); #177109=EDGE_CURVE('',#145195,#145193,#63387,.T.); #177110=EDGE_CURVE('',#145196,#145194,#63388,.T.); #177111=EDGE_CURVE('',#145195,#145196,#63389,.T.); #177112=EDGE_CURVE('',#145197,#145195,#63390,.T.); #177113=EDGE_CURVE('',#145198,#145196,#63391,.T.); #177114=EDGE_CURVE('',#145197,#145198,#63392,.T.); #177115=EDGE_CURVE('',#145199,#145197,#63393,.T.); #177116=EDGE_CURVE('',#145200,#145198,#63394,.T.); #177117=EDGE_CURVE('',#145199,#145200,#63395,.T.); #177118=EDGE_CURVE('',#145201,#145199,#63396,.T.); #177119=EDGE_CURVE('',#145202,#145200,#63397,.T.); #177120=EDGE_CURVE('',#145201,#145202,#63398,.T.); #177121=EDGE_CURVE('',#145203,#145201,#63399,.T.); #177122=EDGE_CURVE('',#145204,#145202,#63400,.T.); #177123=EDGE_CURVE('',#145203,#145204,#63401,.T.); #177124=EDGE_CURVE('',#145205,#145203,#63402,.T.); #177125=EDGE_CURVE('',#145206,#145204,#63403,.T.); #177126=EDGE_CURVE('',#145205,#145206,#63404,.T.); #177127=EDGE_CURVE('',#145207,#145205,#63405,.T.); #177128=EDGE_CURVE('',#145208,#145206,#63406,.T.); #177129=EDGE_CURVE('',#145207,#145208,#63407,.T.); #177130=EDGE_CURVE('',#145209,#145207,#63408,.T.); #177131=EDGE_CURVE('',#145210,#145208,#63409,.T.); #177132=EDGE_CURVE('',#145209,#145210,#63410,.T.); #177133=EDGE_CURVE('',#145211,#145209,#63411,.T.); #177134=EDGE_CURVE('',#145212,#145210,#63412,.T.); #177135=EDGE_CURVE('',#145211,#145212,#63413,.T.); #177136=EDGE_CURVE('',#145213,#145211,#63414,.T.); #177137=EDGE_CURVE('',#145214,#145212,#63415,.T.); #177138=EDGE_CURVE('',#145213,#145214,#63416,.T.); #177139=EDGE_CURVE('',#145215,#145213,#63417,.T.); #177140=EDGE_CURVE('',#145216,#145214,#63418,.T.); #177141=EDGE_CURVE('',#145215,#145216,#63419,.T.); #177142=EDGE_CURVE('',#145217,#145215,#63420,.T.); #177143=EDGE_CURVE('',#145218,#145216,#63421,.T.); #177144=EDGE_CURVE('',#145217,#145218,#63422,.T.); #177145=EDGE_CURVE('',#145219,#145217,#63423,.T.); #177146=EDGE_CURVE('',#145220,#145218,#63424,.T.); #177147=EDGE_CURVE('',#145219,#145220,#63425,.T.); #177148=EDGE_CURVE('',#145221,#145219,#63426,.T.); #177149=EDGE_CURVE('',#145222,#145220,#63427,.T.); #177150=EDGE_CURVE('',#145221,#145222,#63428,.T.); #177151=EDGE_CURVE('',#145223,#145221,#63429,.T.); #177152=EDGE_CURVE('',#145224,#145222,#63430,.T.); #177153=EDGE_CURVE('',#145223,#145224,#63431,.T.); #177154=EDGE_CURVE('',#145225,#145223,#63432,.T.); #177155=EDGE_CURVE('',#145226,#145224,#63433,.T.); #177156=EDGE_CURVE('',#145225,#145226,#63434,.T.); #177157=EDGE_CURVE('',#145227,#145225,#63435,.T.); #177158=EDGE_CURVE('',#145228,#145226,#63436,.T.); #177159=EDGE_CURVE('',#145227,#145228,#63437,.T.); #177160=EDGE_CURVE('',#145229,#145227,#63438,.T.); #177161=EDGE_CURVE('',#145230,#145228,#63439,.T.); #177162=EDGE_CURVE('',#145229,#145230,#63440,.T.); #177163=EDGE_CURVE('',#145231,#145229,#63441,.T.); #177164=EDGE_CURVE('',#145232,#145230,#63442,.T.); #177165=EDGE_CURVE('',#145231,#145232,#63443,.T.); #177166=EDGE_CURVE('',#145233,#145231,#63444,.T.); #177167=EDGE_CURVE('',#145234,#145232,#63445,.T.); #177168=EDGE_CURVE('',#145233,#145234,#63446,.T.); #177169=EDGE_CURVE('',#145235,#145233,#63447,.T.); #177170=EDGE_CURVE('',#145236,#145234,#63448,.T.); #177171=EDGE_CURVE('',#145235,#145236,#63449,.T.); #177172=EDGE_CURVE('',#145237,#145235,#63450,.T.); #177173=EDGE_CURVE('',#145238,#145236,#63451,.T.); #177174=EDGE_CURVE('',#145237,#145238,#63452,.T.); #177175=EDGE_CURVE('',#145239,#145237,#63453,.T.); #177176=EDGE_CURVE('',#145240,#145238,#63454,.T.); #177177=EDGE_CURVE('',#145239,#145240,#63455,.T.); #177178=EDGE_CURVE('',#145241,#145239,#63456,.T.); #177179=EDGE_CURVE('',#145242,#145240,#63457,.T.); #177180=EDGE_CURVE('',#145241,#145242,#63458,.T.); #177181=EDGE_CURVE('',#145243,#145241,#63459,.T.); #177182=EDGE_CURVE('',#145244,#145242,#63460,.T.); #177183=EDGE_CURVE('',#145243,#145244,#63461,.T.); #177184=EDGE_CURVE('',#145245,#145243,#63462,.T.); #177185=EDGE_CURVE('',#145246,#145244,#63463,.T.); #177186=EDGE_CURVE('',#145245,#145246,#63464,.T.); #177187=EDGE_CURVE('',#145247,#145245,#63465,.T.); #177188=EDGE_CURVE('',#145248,#145246,#63466,.T.); #177189=EDGE_CURVE('',#145247,#145248,#63467,.T.); #177190=EDGE_CURVE('',#145249,#145247,#63468,.T.); #177191=EDGE_CURVE('',#145250,#145248,#63469,.T.); #177192=EDGE_CURVE('',#145249,#145250,#63470,.T.); #177193=EDGE_CURVE('',#145251,#145249,#63471,.T.); #177194=EDGE_CURVE('',#145252,#145250,#63472,.T.); #177195=EDGE_CURVE('',#145251,#145252,#63473,.T.); #177196=EDGE_CURVE('',#145253,#145251,#63474,.T.); #177197=EDGE_CURVE('',#145254,#145252,#63475,.T.); #177198=EDGE_CURVE('',#145253,#145254,#63476,.T.); #177199=EDGE_CURVE('',#145255,#145253,#63477,.T.); #177200=EDGE_CURVE('',#145256,#145254,#63478,.T.); #177201=EDGE_CURVE('',#145255,#145256,#63479,.T.); #177202=EDGE_CURVE('',#145257,#145255,#63480,.T.); #177203=EDGE_CURVE('',#145258,#145256,#63481,.T.); #177204=EDGE_CURVE('',#145257,#145258,#63482,.T.); #177205=EDGE_CURVE('',#145259,#145257,#63483,.T.); #177206=EDGE_CURVE('',#145260,#145258,#63484,.T.); #177207=EDGE_CURVE('',#145259,#145260,#63485,.T.); #177208=EDGE_CURVE('',#145261,#145259,#63486,.T.); #177209=EDGE_CURVE('',#145262,#145260,#63487,.T.); #177210=EDGE_CURVE('',#145261,#145262,#63488,.T.); #177211=EDGE_CURVE('',#145263,#145261,#63489,.T.); #177212=EDGE_CURVE('',#145264,#145262,#63490,.T.); #177213=EDGE_CURVE('',#145263,#145264,#63491,.T.); #177214=EDGE_CURVE('',#145265,#145263,#63492,.T.); #177215=EDGE_CURVE('',#145266,#145264,#63493,.T.); #177216=EDGE_CURVE('',#145265,#145266,#63494,.T.); #177217=EDGE_CURVE('',#145267,#145265,#63495,.T.); #177218=EDGE_CURVE('',#145268,#145266,#63496,.T.); #177219=EDGE_CURVE('',#145267,#145268,#63497,.T.); #177220=EDGE_CURVE('',#145269,#145267,#63498,.T.); #177221=EDGE_CURVE('',#145270,#145268,#63499,.T.); #177222=EDGE_CURVE('',#145269,#145270,#63500,.T.); #177223=EDGE_CURVE('',#145271,#145269,#63501,.T.); #177224=EDGE_CURVE('',#145272,#145270,#63502,.T.); #177225=EDGE_CURVE('',#145271,#145272,#63503,.T.); #177226=EDGE_CURVE('',#145273,#145271,#63504,.T.); #177227=EDGE_CURVE('',#145274,#145272,#63505,.T.); #177228=EDGE_CURVE('',#145273,#145274,#63506,.T.); #177229=EDGE_CURVE('',#145275,#145273,#63507,.T.); #177230=EDGE_CURVE('',#145276,#145274,#63508,.T.); #177231=EDGE_CURVE('',#145275,#145276,#63509,.T.); #177232=EDGE_CURVE('',#145277,#145275,#63510,.T.); #177233=EDGE_CURVE('',#145278,#145276,#63511,.T.); #177234=EDGE_CURVE('',#145277,#145278,#63512,.T.); #177235=EDGE_CURVE('',#145279,#145277,#63513,.T.); #177236=EDGE_CURVE('',#145280,#145278,#63514,.T.); #177237=EDGE_CURVE('',#145279,#145280,#63515,.T.); #177238=EDGE_CURVE('',#145281,#145279,#63516,.T.); #177239=EDGE_CURVE('',#145282,#145280,#63517,.T.); #177240=EDGE_CURVE('',#145281,#145282,#63518,.T.); #177241=EDGE_CURVE('',#145283,#145281,#63519,.T.); #177242=EDGE_CURVE('',#145284,#145282,#63520,.T.); #177243=EDGE_CURVE('',#145283,#145284,#63521,.T.); #177244=EDGE_CURVE('',#145285,#145283,#63522,.T.); #177245=EDGE_CURVE('',#145286,#145284,#63523,.T.); #177246=EDGE_CURVE('',#145285,#145286,#63524,.T.); #177247=EDGE_CURVE('',#145287,#145285,#63525,.T.); #177248=EDGE_CURVE('',#145288,#145286,#63526,.T.); #177249=EDGE_CURVE('',#145287,#145288,#63527,.T.); #177250=EDGE_CURVE('',#145289,#145287,#63528,.T.); #177251=EDGE_CURVE('',#145290,#145288,#63529,.T.); #177252=EDGE_CURVE('',#145289,#145290,#63530,.T.); #177253=EDGE_CURVE('',#145291,#145289,#63531,.T.); #177254=EDGE_CURVE('',#145292,#145290,#63532,.T.); #177255=EDGE_CURVE('',#145291,#145292,#63533,.T.); #177256=EDGE_CURVE('',#145293,#145291,#63534,.T.); #177257=EDGE_CURVE('',#145294,#145292,#63535,.T.); #177258=EDGE_CURVE('',#145293,#145294,#63536,.T.); #177259=EDGE_CURVE('',#145295,#145293,#63537,.T.); #177260=EDGE_CURVE('',#145296,#145294,#63538,.T.); #177261=EDGE_CURVE('',#145295,#145296,#63539,.T.); #177262=EDGE_CURVE('',#145297,#145295,#63540,.T.); #177263=EDGE_CURVE('',#145298,#145296,#63541,.T.); #177264=EDGE_CURVE('',#145297,#145298,#63542,.T.); #177265=EDGE_CURVE('',#145299,#145297,#63543,.T.); #177266=EDGE_CURVE('',#145300,#145298,#63544,.T.); #177267=EDGE_CURVE('',#145299,#145300,#63545,.T.); #177268=EDGE_CURVE('',#145301,#145299,#63546,.T.); #177269=EDGE_CURVE('',#145302,#145300,#63547,.T.); #177270=EDGE_CURVE('',#145301,#145302,#63548,.T.); #177271=EDGE_CURVE('',#145303,#145301,#63549,.T.); #177272=EDGE_CURVE('',#145304,#145302,#63550,.T.); #177273=EDGE_CURVE('',#145303,#145304,#63551,.T.); #177274=EDGE_CURVE('',#145305,#145303,#63552,.T.); #177275=EDGE_CURVE('',#145306,#145304,#63553,.T.); #177276=EDGE_CURVE('',#145305,#145306,#63554,.T.); #177277=EDGE_CURVE('',#145307,#145305,#63555,.T.); #177278=EDGE_CURVE('',#145308,#145306,#63556,.T.); #177279=EDGE_CURVE('',#145307,#145308,#63557,.T.); #177280=EDGE_CURVE('',#145309,#145307,#63558,.T.); #177281=EDGE_CURVE('',#145310,#145308,#63559,.T.); #177282=EDGE_CURVE('',#145309,#145310,#63560,.T.); #177283=EDGE_CURVE('',#145311,#145309,#63561,.T.); #177284=EDGE_CURVE('',#145312,#145310,#63562,.T.); #177285=EDGE_CURVE('',#145311,#145312,#63563,.T.); #177286=EDGE_CURVE('',#145313,#145311,#63564,.T.); #177287=EDGE_CURVE('',#145314,#145312,#63565,.T.); #177288=EDGE_CURVE('',#145313,#145314,#63566,.T.); #177289=EDGE_CURVE('',#145315,#145313,#63567,.T.); #177290=EDGE_CURVE('',#145316,#145314,#63568,.T.); #177291=EDGE_CURVE('',#145315,#145316,#63569,.T.); #177292=EDGE_CURVE('',#145317,#145315,#63570,.T.); #177293=EDGE_CURVE('',#145318,#145316,#63571,.T.); #177294=EDGE_CURVE('',#145317,#145318,#63572,.T.); #177295=EDGE_CURVE('',#145319,#145317,#63573,.T.); #177296=EDGE_CURVE('',#145320,#145318,#63574,.T.); #177297=EDGE_CURVE('',#145319,#145320,#63575,.T.); #177298=EDGE_CURVE('',#145321,#145319,#63576,.T.); #177299=EDGE_CURVE('',#145322,#145320,#63577,.T.); #177300=EDGE_CURVE('',#145321,#145322,#63578,.T.); #177301=EDGE_CURVE('',#145323,#145321,#63579,.T.); #177302=EDGE_CURVE('',#145324,#145322,#63580,.T.); #177303=EDGE_CURVE('',#145323,#145324,#63581,.T.); #177304=EDGE_CURVE('',#145325,#145323,#63582,.T.); #177305=EDGE_CURVE('',#145326,#145324,#63583,.T.); #177306=EDGE_CURVE('',#145325,#145326,#63584,.T.); #177307=EDGE_CURVE('',#145327,#145325,#63585,.T.); #177308=EDGE_CURVE('',#145328,#145326,#63586,.T.); #177309=EDGE_CURVE('',#145327,#145328,#63587,.T.); #177310=EDGE_CURVE('',#145329,#145327,#63588,.T.); #177311=EDGE_CURVE('',#145330,#145328,#63589,.T.); #177312=EDGE_CURVE('',#145329,#145330,#63590,.T.); #177313=EDGE_CURVE('',#145331,#145329,#63591,.T.); #177314=EDGE_CURVE('',#145332,#145330,#63592,.T.); #177315=EDGE_CURVE('',#145331,#145332,#63593,.T.); #177316=EDGE_CURVE('',#145333,#145331,#63594,.T.); #177317=EDGE_CURVE('',#145334,#145332,#63595,.T.); #177318=EDGE_CURVE('',#145333,#145334,#63596,.T.); #177319=EDGE_CURVE('',#145335,#145333,#63597,.T.); #177320=EDGE_CURVE('',#145336,#145334,#63598,.T.); #177321=EDGE_CURVE('',#145335,#145336,#63599,.T.); #177322=EDGE_CURVE('',#145337,#145335,#63600,.T.); #177323=EDGE_CURVE('',#145338,#145336,#63601,.T.); #177324=EDGE_CURVE('',#145337,#145338,#63602,.T.); #177325=EDGE_CURVE('',#145339,#145337,#63603,.T.); #177326=EDGE_CURVE('',#145340,#145338,#63604,.T.); #177327=EDGE_CURVE('',#145339,#145340,#63605,.T.); #177328=EDGE_CURVE('',#145341,#145339,#63606,.T.); #177329=EDGE_CURVE('',#145342,#145340,#63607,.T.); #177330=EDGE_CURVE('',#145341,#145342,#63608,.T.); #177331=EDGE_CURVE('',#145343,#145341,#63609,.T.); #177332=EDGE_CURVE('',#145344,#145342,#63610,.T.); #177333=EDGE_CURVE('',#145343,#145344,#63611,.T.); #177334=EDGE_CURVE('',#145345,#145343,#63612,.T.); #177335=EDGE_CURVE('',#145346,#145344,#63613,.T.); #177336=EDGE_CURVE('',#145345,#145346,#63614,.T.); #177337=EDGE_CURVE('',#145347,#145345,#63615,.T.); #177338=EDGE_CURVE('',#145348,#145346,#63616,.T.); #177339=EDGE_CURVE('',#145347,#145348,#63617,.T.); #177340=EDGE_CURVE('',#145349,#145347,#63618,.T.); #177341=EDGE_CURVE('',#145350,#145348,#63619,.T.); #177342=EDGE_CURVE('',#145349,#145350,#63620,.T.); #177343=EDGE_CURVE('',#145351,#145349,#63621,.T.); #177344=EDGE_CURVE('',#145352,#145350,#63622,.T.); #177345=EDGE_CURVE('',#145351,#145352,#63623,.T.); #177346=EDGE_CURVE('',#145353,#145351,#63624,.T.); #177347=EDGE_CURVE('',#145354,#145352,#63625,.T.); #177348=EDGE_CURVE('',#145353,#145354,#63626,.T.); #177349=EDGE_CURVE('',#145355,#145353,#63627,.T.); #177350=EDGE_CURVE('',#145356,#145354,#63628,.T.); #177351=EDGE_CURVE('',#145355,#145356,#63629,.T.); #177352=EDGE_CURVE('',#145357,#145355,#63630,.T.); #177353=EDGE_CURVE('',#145358,#145356,#63631,.T.); #177354=EDGE_CURVE('',#145357,#145358,#63632,.T.); #177355=EDGE_CURVE('',#145359,#145357,#63633,.T.); #177356=EDGE_CURVE('',#145360,#145358,#63634,.T.); #177357=EDGE_CURVE('',#145359,#145360,#63635,.T.); #177358=EDGE_CURVE('',#145361,#145359,#63636,.T.); #177359=EDGE_CURVE('',#145362,#145360,#63637,.T.); #177360=EDGE_CURVE('',#145361,#145362,#63638,.T.); #177361=EDGE_CURVE('',#145363,#145361,#63639,.T.); #177362=EDGE_CURVE('',#145364,#145362,#63640,.T.); #177363=EDGE_CURVE('',#145363,#145364,#63641,.T.); #177364=EDGE_CURVE('',#145365,#145363,#63642,.T.); #177365=EDGE_CURVE('',#145366,#145364,#63643,.T.); #177366=EDGE_CURVE('',#145365,#145366,#63644,.T.); #177367=EDGE_CURVE('',#145367,#145365,#63645,.T.); #177368=EDGE_CURVE('',#145368,#145366,#63646,.T.); #177369=EDGE_CURVE('',#145367,#145368,#63647,.T.); #177370=EDGE_CURVE('',#145190,#145367,#63648,.T.); #177371=EDGE_CURVE('',#145191,#145368,#63649,.T.); #177372=EDGE_CURVE('',#145369,#145370,#63650,.T.); #177373=EDGE_CURVE('',#145370,#145371,#63651,.T.); #177374=EDGE_CURVE('',#145372,#145371,#63652,.T.); #177375=EDGE_CURVE('',#145369,#145372,#63653,.T.); #177376=EDGE_CURVE('',#145373,#145369,#63654,.T.); #177377=EDGE_CURVE('',#145374,#145372,#63655,.T.); #177378=EDGE_CURVE('',#145373,#145374,#63656,.T.); #177379=EDGE_CURVE('',#145375,#145373,#63657,.T.); #177380=EDGE_CURVE('',#145376,#145374,#63658,.T.); #177381=EDGE_CURVE('',#145375,#145376,#63659,.T.); #177382=EDGE_CURVE('',#145377,#145375,#63660,.T.); #177383=EDGE_CURVE('',#145378,#145376,#63661,.T.); #177384=EDGE_CURVE('',#145377,#145378,#63662,.T.); #177385=EDGE_CURVE('',#145379,#145377,#63663,.T.); #177386=EDGE_CURVE('',#145380,#145378,#63664,.T.); #177387=EDGE_CURVE('',#145379,#145380,#63665,.T.); #177388=EDGE_CURVE('',#145381,#145379,#63666,.T.); #177389=EDGE_CURVE('',#145382,#145380,#63667,.T.); #177390=EDGE_CURVE('',#145381,#145382,#63668,.T.); #177391=EDGE_CURVE('',#145383,#145381,#63669,.T.); #177392=EDGE_CURVE('',#145384,#145382,#63670,.T.); #177393=EDGE_CURVE('',#145383,#145384,#63671,.T.); #177394=EDGE_CURVE('',#145385,#145383,#63672,.T.); #177395=EDGE_CURVE('',#145386,#145384,#63673,.T.); #177396=EDGE_CURVE('',#145385,#145386,#63674,.T.); #177397=EDGE_CURVE('',#145387,#145385,#63675,.T.); #177398=EDGE_CURVE('',#145388,#145386,#63676,.T.); #177399=EDGE_CURVE('',#145387,#145388,#63677,.T.); #177400=EDGE_CURVE('',#145389,#145387,#63678,.T.); #177401=EDGE_CURVE('',#145390,#145388,#63679,.T.); #177402=EDGE_CURVE('',#145389,#145390,#63680,.T.); #177403=EDGE_CURVE('',#145391,#145389,#63681,.T.); #177404=EDGE_CURVE('',#145392,#145390,#63682,.T.); #177405=EDGE_CURVE('',#145391,#145392,#63683,.T.); #177406=EDGE_CURVE('',#145393,#145391,#63684,.T.); #177407=EDGE_CURVE('',#145394,#145392,#63685,.T.); #177408=EDGE_CURVE('',#145393,#145394,#63686,.T.); #177409=EDGE_CURVE('',#145395,#145393,#63687,.T.); #177410=EDGE_CURVE('',#145396,#145394,#63688,.T.); #177411=EDGE_CURVE('',#145395,#145396,#63689,.T.); #177412=EDGE_CURVE('',#145397,#145395,#63690,.T.); #177413=EDGE_CURVE('',#145398,#145396,#63691,.T.); #177414=EDGE_CURVE('',#145397,#145398,#63692,.T.); #177415=EDGE_CURVE('',#145399,#145397,#63693,.T.); #177416=EDGE_CURVE('',#145400,#145398,#63694,.T.); #177417=EDGE_CURVE('',#145399,#145400,#63695,.T.); #177418=EDGE_CURVE('',#145401,#145399,#63696,.T.); #177419=EDGE_CURVE('',#145402,#145400,#63697,.T.); #177420=EDGE_CURVE('',#145401,#145402,#63698,.T.); #177421=EDGE_CURVE('',#145403,#145401,#63699,.T.); #177422=EDGE_CURVE('',#145404,#145402,#63700,.T.); #177423=EDGE_CURVE('',#145403,#145404,#63701,.T.); #177424=EDGE_CURVE('',#145405,#145403,#63702,.T.); #177425=EDGE_CURVE('',#145406,#145404,#63703,.T.); #177426=EDGE_CURVE('',#145405,#145406,#63704,.T.); #177427=EDGE_CURVE('',#145407,#145405,#63705,.T.); #177428=EDGE_CURVE('',#145408,#145406,#63706,.T.); #177429=EDGE_CURVE('',#145407,#145408,#63707,.T.); #177430=EDGE_CURVE('',#145409,#145407,#63708,.T.); #177431=EDGE_CURVE('',#145410,#145408,#63709,.T.); #177432=EDGE_CURVE('',#145409,#145410,#63710,.T.); #177433=EDGE_CURVE('',#145411,#145409,#63711,.T.); #177434=EDGE_CURVE('',#145412,#145410,#63712,.T.); #177435=EDGE_CURVE('',#145411,#145412,#63713,.T.); #177436=EDGE_CURVE('',#145413,#145411,#63714,.T.); #177437=EDGE_CURVE('',#145414,#145412,#63715,.T.); #177438=EDGE_CURVE('',#145413,#145414,#63716,.T.); #177439=EDGE_CURVE('',#145415,#145413,#63717,.T.); #177440=EDGE_CURVE('',#145416,#145414,#63718,.T.); #177441=EDGE_CURVE('',#145415,#145416,#63719,.T.); #177442=EDGE_CURVE('',#145417,#145415,#63720,.T.); #177443=EDGE_CURVE('',#145418,#145416,#63721,.T.); #177444=EDGE_CURVE('',#145417,#145418,#63722,.T.); #177445=EDGE_CURVE('',#145419,#145417,#63723,.T.); #177446=EDGE_CURVE('',#145420,#145418,#63724,.T.); #177447=EDGE_CURVE('',#145419,#145420,#63725,.T.); #177448=EDGE_CURVE('',#145421,#145419,#63726,.T.); #177449=EDGE_CURVE('',#145422,#145420,#63727,.T.); #177450=EDGE_CURVE('',#145421,#145422,#63728,.T.); #177451=EDGE_CURVE('',#145423,#145421,#63729,.T.); #177452=EDGE_CURVE('',#145424,#145422,#63730,.T.); #177453=EDGE_CURVE('',#145423,#145424,#63731,.T.); #177454=EDGE_CURVE('',#145425,#145423,#63732,.T.); #177455=EDGE_CURVE('',#145426,#145424,#63733,.T.); #177456=EDGE_CURVE('',#145425,#145426,#63734,.T.); #177457=EDGE_CURVE('',#145427,#145425,#63735,.T.); #177458=EDGE_CURVE('',#145428,#145426,#63736,.T.); #177459=EDGE_CURVE('',#145427,#145428,#63737,.T.); #177460=EDGE_CURVE('',#145429,#145427,#63738,.T.); #177461=EDGE_CURVE('',#145430,#145428,#63739,.T.); #177462=EDGE_CURVE('',#145429,#145430,#63740,.T.); #177463=EDGE_CURVE('',#145431,#145429,#63741,.T.); #177464=EDGE_CURVE('',#145432,#145430,#63742,.T.); #177465=EDGE_CURVE('',#145431,#145432,#63743,.T.); #177466=EDGE_CURVE('',#145433,#145431,#63744,.T.); #177467=EDGE_CURVE('',#145434,#145432,#63745,.T.); #177468=EDGE_CURVE('',#145433,#145434,#63746,.T.); #177469=EDGE_CURVE('',#145435,#145433,#63747,.T.); #177470=EDGE_CURVE('',#145436,#145434,#63748,.T.); #177471=EDGE_CURVE('',#145435,#145436,#63749,.T.); #177472=EDGE_CURVE('',#145370,#145435,#63750,.T.); #177473=EDGE_CURVE('',#145371,#145436,#63751,.T.); #177474=EDGE_CURVE('',#145437,#145438,#63752,.T.); #177475=EDGE_CURVE('',#145438,#145439,#63753,.T.); #177476=EDGE_CURVE('',#145440,#145439,#63754,.T.); #177477=EDGE_CURVE('',#145437,#145440,#63755,.T.); #177478=EDGE_CURVE('',#145441,#145437,#63756,.T.); #177479=EDGE_CURVE('',#145442,#145440,#63757,.T.); #177480=EDGE_CURVE('',#145441,#145442,#63758,.T.); #177481=EDGE_CURVE('',#145443,#145441,#63759,.T.); #177482=EDGE_CURVE('',#145444,#145442,#63760,.T.); #177483=EDGE_CURVE('',#145443,#145444,#63761,.T.); #177484=EDGE_CURVE('',#145445,#145443,#63762,.T.); #177485=EDGE_CURVE('',#145446,#145444,#63763,.T.); #177486=EDGE_CURVE('',#145445,#145446,#63764,.T.); #177487=EDGE_CURVE('',#145447,#145445,#63765,.T.); #177488=EDGE_CURVE('',#145448,#145446,#63766,.T.); #177489=EDGE_CURVE('',#145447,#145448,#63767,.T.); #177490=EDGE_CURVE('',#145449,#145447,#63768,.T.); #177491=EDGE_CURVE('',#145450,#145448,#63769,.T.); #177492=EDGE_CURVE('',#145449,#145450,#63770,.T.); #177493=EDGE_CURVE('',#145451,#145449,#63771,.T.); #177494=EDGE_CURVE('',#145452,#145450,#63772,.T.); #177495=EDGE_CURVE('',#145451,#145452,#63773,.T.); #177496=EDGE_CURVE('',#145453,#145451,#63774,.T.); #177497=EDGE_CURVE('',#145454,#145452,#63775,.T.); #177498=EDGE_CURVE('',#145453,#145454,#63776,.T.); #177499=EDGE_CURVE('',#145455,#145453,#63777,.T.); #177500=EDGE_CURVE('',#145456,#145454,#63778,.T.); #177501=EDGE_CURVE('',#145455,#145456,#63779,.T.); #177502=EDGE_CURVE('',#145457,#145455,#63780,.T.); #177503=EDGE_CURVE('',#145458,#145456,#63781,.T.); #177504=EDGE_CURVE('',#145457,#145458,#63782,.T.); #177505=EDGE_CURVE('',#145459,#145457,#63783,.T.); #177506=EDGE_CURVE('',#145460,#145458,#63784,.T.); #177507=EDGE_CURVE('',#145459,#145460,#63785,.T.); #177508=EDGE_CURVE('',#145461,#145459,#63786,.T.); #177509=EDGE_CURVE('',#145462,#145460,#63787,.T.); #177510=EDGE_CURVE('',#145461,#145462,#63788,.T.); #177511=EDGE_CURVE('',#145463,#145461,#63789,.T.); #177512=EDGE_CURVE('',#145464,#145462,#63790,.T.); #177513=EDGE_CURVE('',#145463,#145464,#63791,.T.); #177514=EDGE_CURVE('',#145465,#145463,#63792,.T.); #177515=EDGE_CURVE('',#145466,#145464,#63793,.T.); #177516=EDGE_CURVE('',#145465,#145466,#63794,.T.); #177517=EDGE_CURVE('',#145467,#145465,#63795,.T.); #177518=EDGE_CURVE('',#145468,#145466,#63796,.T.); #177519=EDGE_CURVE('',#145467,#145468,#63797,.T.); #177520=EDGE_CURVE('',#145469,#145467,#63798,.T.); #177521=EDGE_CURVE('',#145470,#145468,#63799,.T.); #177522=EDGE_CURVE('',#145469,#145470,#63800,.T.); #177523=EDGE_CURVE('',#145471,#145469,#63801,.T.); #177524=EDGE_CURVE('',#145472,#145470,#63802,.T.); #177525=EDGE_CURVE('',#145471,#145472,#63803,.T.); #177526=EDGE_CURVE('',#145473,#145471,#63804,.T.); #177527=EDGE_CURVE('',#145474,#145472,#63805,.T.); #177528=EDGE_CURVE('',#145473,#145474,#63806,.T.); #177529=EDGE_CURVE('',#145475,#145473,#63807,.T.); #177530=EDGE_CURVE('',#145476,#145474,#63808,.T.); #177531=EDGE_CURVE('',#145475,#145476,#63809,.T.); #177532=EDGE_CURVE('',#145438,#145475,#63810,.T.); #177533=EDGE_CURVE('',#145439,#145476,#63811,.T.); #177534=EDGE_CURVE('',#145477,#145478,#63812,.T.); #177535=EDGE_CURVE('',#145478,#145479,#63813,.T.); #177536=EDGE_CURVE('',#145480,#145479,#63814,.T.); #177537=EDGE_CURVE('',#145477,#145480,#63815,.T.); #177538=EDGE_CURVE('',#145481,#145477,#63816,.T.); #177539=EDGE_CURVE('',#145482,#145480,#63817,.T.); #177540=EDGE_CURVE('',#145481,#145482,#63818,.T.); #177541=EDGE_CURVE('',#145483,#145481,#63819,.T.); #177542=EDGE_CURVE('',#145484,#145482,#63820,.T.); #177543=EDGE_CURVE('',#145483,#145484,#63821,.T.); #177544=EDGE_CURVE('',#145485,#145483,#63822,.T.); #177545=EDGE_CURVE('',#145486,#145484,#63823,.T.); #177546=EDGE_CURVE('',#145485,#145486,#63824,.T.); #177547=EDGE_CURVE('',#145487,#145485,#63825,.T.); #177548=EDGE_CURVE('',#145488,#145486,#63826,.T.); #177549=EDGE_CURVE('',#145487,#145488,#63827,.T.); #177550=EDGE_CURVE('',#145489,#145487,#63828,.T.); #177551=EDGE_CURVE('',#145490,#145488,#63829,.T.); #177552=EDGE_CURVE('',#145489,#145490,#63830,.T.); #177553=EDGE_CURVE('',#145491,#145489,#63831,.T.); #177554=EDGE_CURVE('',#145492,#145490,#63832,.T.); #177555=EDGE_CURVE('',#145491,#145492,#63833,.T.); #177556=EDGE_CURVE('',#145493,#145491,#63834,.T.); #177557=EDGE_CURVE('',#145494,#145492,#63835,.T.); #177558=EDGE_CURVE('',#145493,#145494,#63836,.T.); #177559=EDGE_CURVE('',#145495,#145493,#63837,.T.); #177560=EDGE_CURVE('',#145496,#145494,#63838,.T.); #177561=EDGE_CURVE('',#145495,#145496,#63839,.T.); #177562=EDGE_CURVE('',#145497,#145495,#63840,.T.); #177563=EDGE_CURVE('',#145498,#145496,#63841,.T.); #177564=EDGE_CURVE('',#145497,#145498,#63842,.T.); #177565=EDGE_CURVE('',#145499,#145497,#63843,.T.); #177566=EDGE_CURVE('',#145500,#145498,#63844,.T.); #177567=EDGE_CURVE('',#145499,#145500,#63845,.T.); #177568=EDGE_CURVE('',#145501,#145499,#63846,.T.); #177569=EDGE_CURVE('',#145502,#145500,#63847,.T.); #177570=EDGE_CURVE('',#145501,#145502,#63848,.T.); #177571=EDGE_CURVE('',#145503,#145501,#63849,.T.); #177572=EDGE_CURVE('',#145504,#145502,#63850,.T.); #177573=EDGE_CURVE('',#145503,#145504,#63851,.T.); #177574=EDGE_CURVE('',#145505,#145503,#63852,.T.); #177575=EDGE_CURVE('',#145506,#145504,#63853,.T.); #177576=EDGE_CURVE('',#145505,#145506,#63854,.T.); #177577=EDGE_CURVE('',#145507,#145505,#63855,.T.); #177578=EDGE_CURVE('',#145508,#145506,#63856,.T.); #177579=EDGE_CURVE('',#145507,#145508,#63857,.T.); #177580=EDGE_CURVE('',#145509,#145507,#63858,.T.); #177581=EDGE_CURVE('',#145510,#145508,#63859,.T.); #177582=EDGE_CURVE('',#145509,#145510,#63860,.T.); #177583=EDGE_CURVE('',#145511,#145509,#63861,.T.); #177584=EDGE_CURVE('',#145512,#145510,#63862,.T.); #177585=EDGE_CURVE('',#145511,#145512,#63863,.T.); #177586=EDGE_CURVE('',#145513,#145511,#63864,.T.); #177587=EDGE_CURVE('',#145514,#145512,#63865,.T.); #177588=EDGE_CURVE('',#145513,#145514,#63866,.T.); #177589=EDGE_CURVE('',#145515,#145513,#63867,.T.); #177590=EDGE_CURVE('',#145516,#145514,#63868,.T.); #177591=EDGE_CURVE('',#145515,#145516,#63869,.T.); #177592=EDGE_CURVE('',#145517,#145515,#63870,.T.); #177593=EDGE_CURVE('',#145518,#145516,#63871,.T.); #177594=EDGE_CURVE('',#145517,#145518,#63872,.T.); #177595=EDGE_CURVE('',#145478,#145517,#63873,.T.); #177596=EDGE_CURVE('',#145479,#145518,#63874,.T.); #177597=EDGE_CURVE('',#145519,#145519,#135583,.T.); #177598=EDGE_CURVE('',#145519,#145520,#63875,.T.); #177599=EDGE_CURVE('',#145520,#145520,#135584,.T.); #177600=EDGE_CURVE('',#145521,#145522,#63876,.T.); #177601=EDGE_CURVE('',#145523,#145521,#63877,.T.); #177602=EDGE_CURVE('',#145524,#145523,#63878,.T.); #177603=EDGE_CURVE('',#145524,#145522,#63879,.T.); #177604=EDGE_CURVE('',#145522,#145525,#135585,.T.); #177605=EDGE_CURVE('',#145526,#145524,#135586,.T.); #177606=EDGE_CURVE('',#145526,#145525,#63880,.T.); #177607=EDGE_CURVE('',#145525,#145527,#63881,.T.); #177608=EDGE_CURVE('',#145528,#145526,#63882,.T.); #177609=EDGE_CURVE('',#145528,#145527,#63883,.T.); #177610=EDGE_CURVE('',#145527,#145521,#135587,.T.); #177611=EDGE_CURVE('',#145523,#145528,#135588,.T.); #177612=EDGE_CURVE('',#145529,#145529,#135589,.T.); #177613=EDGE_CURVE('',#145529,#145530,#63884,.T.); #177614=EDGE_CURVE('',#145530,#145530,#135590,.T.); #177615=EDGE_CURVE('',#145531,#145531,#135591,.T.); #177616=EDGE_CURVE('',#145531,#145532,#63885,.T.); #177617=EDGE_CURVE('',#145532,#145532,#135592,.T.); #177618=EDGE_CURVE('',#145533,#145533,#135593,.T.); #177619=EDGE_CURVE('',#145533,#145534,#63886,.T.); #177620=EDGE_CURVE('',#145534,#145534,#135594,.T.); #177621=EDGE_CURVE('',#145535,#145535,#135595,.T.); #177622=EDGE_CURVE('',#145535,#145536,#63887,.T.); #177623=EDGE_CURVE('',#145536,#145536,#135596,.T.); #177624=EDGE_CURVE('',#145537,#145537,#135597,.T.); #177625=EDGE_CURVE('',#145537,#145538,#63888,.T.); #177626=EDGE_CURVE('',#145538,#145538,#135598,.T.); #177627=EDGE_CURVE('',#145539,#145539,#135599,.T.); #177628=EDGE_CURVE('',#145539,#145540,#63889,.T.); #177629=EDGE_CURVE('',#145540,#145540,#135600,.T.); #177630=EDGE_CURVE('',#145541,#145541,#135601,.T.); #177631=EDGE_CURVE('',#145541,#145542,#63890,.T.); #177632=EDGE_CURVE('',#145542,#145542,#135602,.T.); #177633=EDGE_CURVE('',#145543,#145543,#135603,.T.); #177634=EDGE_CURVE('',#145543,#145544,#63891,.T.); #177635=EDGE_CURVE('',#145544,#145544,#135604,.T.); #177636=EDGE_CURVE('',#145545,#145545,#135605,.T.); #177637=EDGE_CURVE('',#145545,#145546,#63892,.T.); #177638=EDGE_CURVE('',#145546,#145546,#135606,.T.); #177639=EDGE_CURVE('',#145547,#145548,#63893,.T.); #177640=EDGE_CURVE('',#145548,#145549,#63894,.T.); #177641=EDGE_CURVE('',#145550,#145549,#63895,.T.); #177642=EDGE_CURVE('',#145547,#145550,#63896,.T.); #177643=EDGE_CURVE('',#145551,#145547,#63897,.T.); #177644=EDGE_CURVE('',#145552,#145550,#63898,.T.); #177645=EDGE_CURVE('',#145551,#145552,#63899,.T.); #177646=EDGE_CURVE('',#145553,#145551,#63900,.T.); #177647=EDGE_CURVE('',#145554,#145552,#63901,.T.); #177648=EDGE_CURVE('',#145553,#145554,#63902,.T.); #177649=EDGE_CURVE('',#145555,#145553,#63903,.T.); #177650=EDGE_CURVE('',#145556,#145554,#63904,.T.); #177651=EDGE_CURVE('',#145555,#145556,#63905,.T.); #177652=EDGE_CURVE('',#145557,#145555,#63906,.T.); #177653=EDGE_CURVE('',#145558,#145556,#63907,.T.); #177654=EDGE_CURVE('',#145557,#145558,#63908,.T.); #177655=EDGE_CURVE('',#145559,#145557,#63909,.T.); #177656=EDGE_CURVE('',#145560,#145558,#63910,.T.); #177657=EDGE_CURVE('',#145559,#145560,#63911,.T.); #177658=EDGE_CURVE('',#145561,#145559,#63912,.T.); #177659=EDGE_CURVE('',#145562,#145560,#63913,.T.); #177660=EDGE_CURVE('',#145561,#145562,#63914,.T.); #177661=EDGE_CURVE('',#145563,#145561,#63915,.T.); #177662=EDGE_CURVE('',#145564,#145562,#63916,.T.); #177663=EDGE_CURVE('',#145563,#145564,#63917,.T.); #177664=EDGE_CURVE('',#145565,#145563,#63918,.T.); #177665=EDGE_CURVE('',#145566,#145564,#63919,.T.); #177666=EDGE_CURVE('',#145565,#145566,#63920,.T.); #177667=EDGE_CURVE('',#145567,#145565,#63921,.T.); #177668=EDGE_CURVE('',#145568,#145566,#63922,.T.); #177669=EDGE_CURVE('',#145567,#145568,#63923,.T.); #177670=EDGE_CURVE('',#145569,#145567,#63924,.T.); #177671=EDGE_CURVE('',#145570,#145568,#63925,.T.); #177672=EDGE_CURVE('',#145569,#145570,#63926,.T.); #177673=EDGE_CURVE('',#145571,#145569,#63927,.T.); #177674=EDGE_CURVE('',#145572,#145570,#63928,.T.); #177675=EDGE_CURVE('',#145571,#145572,#63929,.T.); #177676=EDGE_CURVE('',#145573,#145571,#63930,.T.); #177677=EDGE_CURVE('',#145574,#145572,#63931,.T.); #177678=EDGE_CURVE('',#145573,#145574,#63932,.T.); #177679=EDGE_CURVE('',#145575,#145573,#63933,.T.); #177680=EDGE_CURVE('',#145576,#145574,#63934,.T.); #177681=EDGE_CURVE('',#145575,#145576,#63935,.T.); #177682=EDGE_CURVE('',#145577,#145575,#63936,.T.); #177683=EDGE_CURVE('',#145578,#145576,#63937,.T.); #177684=EDGE_CURVE('',#145577,#145578,#63938,.T.); #177685=EDGE_CURVE('',#145548,#145577,#63939,.T.); #177686=EDGE_CURVE('',#145549,#145578,#63940,.T.); #177687=EDGE_CURVE('',#145579,#145580,#63941,.T.); #177688=EDGE_CURVE('',#145580,#145581,#63942,.T.); #177689=EDGE_CURVE('',#145582,#145581,#63943,.T.); #177690=EDGE_CURVE('',#145579,#145582,#63944,.T.); #177691=EDGE_CURVE('',#145583,#145579,#63945,.T.); #177692=EDGE_CURVE('',#145584,#145582,#63946,.T.); #177693=EDGE_CURVE('',#145583,#145584,#63947,.T.); #177694=EDGE_CURVE('',#145585,#145583,#63948,.T.); #177695=EDGE_CURVE('',#145586,#145584,#63949,.T.); #177696=EDGE_CURVE('',#145585,#145586,#63950,.T.); #177697=EDGE_CURVE('',#145587,#145585,#63951,.T.); #177698=EDGE_CURVE('',#145588,#145586,#63952,.T.); #177699=EDGE_CURVE('',#145587,#145588,#63953,.T.); #177700=EDGE_CURVE('',#145589,#145587,#63954,.T.); #177701=EDGE_CURVE('',#145590,#145588,#63955,.T.); #177702=EDGE_CURVE('',#145589,#145590,#63956,.T.); #177703=EDGE_CURVE('',#145591,#145589,#63957,.T.); #177704=EDGE_CURVE('',#145592,#145590,#63958,.T.); #177705=EDGE_CURVE('',#145591,#145592,#63959,.T.); #177706=EDGE_CURVE('',#145593,#145591,#63960,.T.); #177707=EDGE_CURVE('',#145594,#145592,#63961,.T.); #177708=EDGE_CURVE('',#145593,#145594,#63962,.T.); #177709=EDGE_CURVE('',#145595,#145593,#63963,.T.); #177710=EDGE_CURVE('',#145596,#145594,#63964,.T.); #177711=EDGE_CURVE('',#145595,#145596,#63965,.T.); #177712=EDGE_CURVE('',#145597,#145595,#63966,.T.); #177713=EDGE_CURVE('',#145598,#145596,#63967,.T.); #177714=EDGE_CURVE('',#145597,#145598,#63968,.T.); #177715=EDGE_CURVE('',#145599,#145597,#63969,.T.); #177716=EDGE_CURVE('',#145600,#145598,#63970,.T.); #177717=EDGE_CURVE('',#145599,#145600,#63971,.T.); #177718=EDGE_CURVE('',#145601,#145599,#63972,.T.); #177719=EDGE_CURVE('',#145602,#145600,#63973,.T.); #177720=EDGE_CURVE('',#145601,#145602,#63974,.T.); #177721=EDGE_CURVE('',#145603,#145601,#63975,.T.); #177722=EDGE_CURVE('',#145604,#145602,#63976,.T.); #177723=EDGE_CURVE('',#145603,#145604,#63977,.T.); #177724=EDGE_CURVE('',#145605,#145603,#63978,.T.); #177725=EDGE_CURVE('',#145606,#145604,#63979,.T.); #177726=EDGE_CURVE('',#145605,#145606,#63980,.T.); #177727=EDGE_CURVE('',#145607,#145605,#63981,.T.); #177728=EDGE_CURVE('',#145608,#145606,#63982,.T.); #177729=EDGE_CURVE('',#145607,#145608,#63983,.T.); #177730=EDGE_CURVE('',#145609,#145607,#63984,.T.); #177731=EDGE_CURVE('',#145610,#145608,#63985,.T.); #177732=EDGE_CURVE('',#145609,#145610,#63986,.T.); #177733=EDGE_CURVE('',#145611,#145609,#63987,.T.); #177734=EDGE_CURVE('',#145612,#145610,#63988,.T.); #177735=EDGE_CURVE('',#145611,#145612,#63989,.T.); #177736=EDGE_CURVE('',#145613,#145611,#63990,.T.); #177737=EDGE_CURVE('',#145614,#145612,#63991,.T.); #177738=EDGE_CURVE('',#145613,#145614,#63992,.T.); #177739=EDGE_CURVE('',#145615,#145613,#63993,.T.); #177740=EDGE_CURVE('',#145616,#145614,#63994,.T.); #177741=EDGE_CURVE('',#145615,#145616,#63995,.T.); #177742=EDGE_CURVE('',#145617,#145615,#63996,.T.); #177743=EDGE_CURVE('',#145618,#145616,#63997,.T.); #177744=EDGE_CURVE('',#145617,#145618,#63998,.T.); #177745=EDGE_CURVE('',#145619,#145617,#63999,.T.); #177746=EDGE_CURVE('',#145620,#145618,#64000,.T.); #177747=EDGE_CURVE('',#145619,#145620,#64001,.T.); #177748=EDGE_CURVE('',#145621,#145619,#64002,.T.); #177749=EDGE_CURVE('',#145622,#145620,#64003,.T.); #177750=EDGE_CURVE('',#145621,#145622,#64004,.T.); #177751=EDGE_CURVE('',#145623,#145621,#64005,.T.); #177752=EDGE_CURVE('',#145624,#145622,#64006,.T.); #177753=EDGE_CURVE('',#145623,#145624,#64007,.T.); #177754=EDGE_CURVE('',#145625,#145623,#64008,.T.); #177755=EDGE_CURVE('',#145626,#145624,#64009,.T.); #177756=EDGE_CURVE('',#145625,#145626,#64010,.T.); #177757=EDGE_CURVE('',#145627,#145625,#64011,.T.); #177758=EDGE_CURVE('',#145628,#145626,#64012,.T.); #177759=EDGE_CURVE('',#145627,#145628,#64013,.T.); #177760=EDGE_CURVE('',#145629,#145627,#64014,.T.); #177761=EDGE_CURVE('',#145630,#145628,#64015,.T.); #177762=EDGE_CURVE('',#145629,#145630,#64016,.T.); #177763=EDGE_CURVE('',#145631,#145629,#64017,.T.); #177764=EDGE_CURVE('',#145632,#145630,#64018,.T.); #177765=EDGE_CURVE('',#145631,#145632,#64019,.T.); #177766=EDGE_CURVE('',#145633,#145631,#64020,.T.); #177767=EDGE_CURVE('',#145634,#145632,#64021,.T.); #177768=EDGE_CURVE('',#145633,#145634,#64022,.T.); #177769=EDGE_CURVE('',#145635,#145633,#64023,.T.); #177770=EDGE_CURVE('',#145636,#145634,#64024,.T.); #177771=EDGE_CURVE('',#145635,#145636,#64025,.T.); #177772=EDGE_CURVE('',#145637,#145635,#64026,.T.); #177773=EDGE_CURVE('',#145638,#145636,#64027,.T.); #177774=EDGE_CURVE('',#145637,#145638,#64028,.T.); #177775=EDGE_CURVE('',#145639,#145637,#64029,.T.); #177776=EDGE_CURVE('',#145640,#145638,#64030,.T.); #177777=EDGE_CURVE('',#145639,#145640,#64031,.T.); #177778=EDGE_CURVE('',#145641,#145639,#64032,.T.); #177779=EDGE_CURVE('',#145642,#145640,#64033,.T.); #177780=EDGE_CURVE('',#145641,#145642,#64034,.T.); #177781=EDGE_CURVE('',#145643,#145641,#64035,.T.); #177782=EDGE_CURVE('',#145644,#145642,#64036,.T.); #177783=EDGE_CURVE('',#145643,#145644,#64037,.T.); #177784=EDGE_CURVE('',#145645,#145643,#64038,.T.); #177785=EDGE_CURVE('',#145646,#145644,#64039,.T.); #177786=EDGE_CURVE('',#145645,#145646,#64040,.T.); #177787=EDGE_CURVE('',#145647,#145645,#64041,.T.); #177788=EDGE_CURVE('',#145648,#145646,#64042,.T.); #177789=EDGE_CURVE('',#145647,#145648,#64043,.T.); #177790=EDGE_CURVE('',#145649,#145647,#64044,.T.); #177791=EDGE_CURVE('',#145650,#145648,#64045,.T.); #177792=EDGE_CURVE('',#145649,#145650,#64046,.T.); #177793=EDGE_CURVE('',#145651,#145649,#64047,.T.); #177794=EDGE_CURVE('',#145652,#145650,#64048,.T.); #177795=EDGE_CURVE('',#145651,#145652,#64049,.T.); #177796=EDGE_CURVE('',#145653,#145651,#64050,.T.); #177797=EDGE_CURVE('',#145654,#145652,#64051,.T.); #177798=EDGE_CURVE('',#145653,#145654,#64052,.T.); #177799=EDGE_CURVE('',#145655,#145653,#64053,.T.); #177800=EDGE_CURVE('',#145656,#145654,#64054,.T.); #177801=EDGE_CURVE('',#145655,#145656,#64055,.T.); #177802=EDGE_CURVE('',#145657,#145655,#64056,.T.); #177803=EDGE_CURVE('',#145658,#145656,#64057,.T.); #177804=EDGE_CURVE('',#145657,#145658,#64058,.T.); #177805=EDGE_CURVE('',#145659,#145657,#64059,.T.); #177806=EDGE_CURVE('',#145660,#145658,#64060,.T.); #177807=EDGE_CURVE('',#145659,#145660,#64061,.T.); #177808=EDGE_CURVE('',#145661,#145659,#64062,.T.); #177809=EDGE_CURVE('',#145662,#145660,#64063,.T.); #177810=EDGE_CURVE('',#145661,#145662,#64064,.T.); #177811=EDGE_CURVE('',#145663,#145661,#64065,.T.); #177812=EDGE_CURVE('',#145664,#145662,#64066,.T.); #177813=EDGE_CURVE('',#145663,#145664,#64067,.T.); #177814=EDGE_CURVE('',#145665,#145663,#64068,.T.); #177815=EDGE_CURVE('',#145666,#145664,#64069,.T.); #177816=EDGE_CURVE('',#145665,#145666,#64070,.T.); #177817=EDGE_CURVE('',#145667,#145665,#64071,.T.); #177818=EDGE_CURVE('',#145668,#145666,#64072,.T.); #177819=EDGE_CURVE('',#145667,#145668,#64073,.T.); #177820=EDGE_CURVE('',#145669,#145667,#64074,.T.); #177821=EDGE_CURVE('',#145670,#145668,#64075,.T.); #177822=EDGE_CURVE('',#145669,#145670,#64076,.T.); #177823=EDGE_CURVE('',#145671,#145669,#64077,.T.); #177824=EDGE_CURVE('',#145672,#145670,#64078,.T.); #177825=EDGE_CURVE('',#145671,#145672,#64079,.T.); #177826=EDGE_CURVE('',#145673,#145671,#64080,.T.); #177827=EDGE_CURVE('',#145674,#145672,#64081,.T.); #177828=EDGE_CURVE('',#145673,#145674,#64082,.T.); #177829=EDGE_CURVE('',#145675,#145673,#64083,.T.); #177830=EDGE_CURVE('',#145676,#145674,#64084,.T.); #177831=EDGE_CURVE('',#145675,#145676,#64085,.T.); #177832=EDGE_CURVE('',#145677,#145675,#64086,.T.); #177833=EDGE_CURVE('',#145678,#145676,#64087,.T.); #177834=EDGE_CURVE('',#145677,#145678,#64088,.T.); #177835=EDGE_CURVE('',#145679,#145677,#64089,.T.); #177836=EDGE_CURVE('',#145680,#145678,#64090,.T.); #177837=EDGE_CURVE('',#145679,#145680,#64091,.T.); #177838=EDGE_CURVE('',#145681,#145679,#64092,.T.); #177839=EDGE_CURVE('',#145682,#145680,#64093,.T.); #177840=EDGE_CURVE('',#145681,#145682,#64094,.T.); #177841=EDGE_CURVE('',#145683,#145681,#64095,.T.); #177842=EDGE_CURVE('',#145684,#145682,#64096,.T.); #177843=EDGE_CURVE('',#145683,#145684,#64097,.T.); #177844=EDGE_CURVE('',#145685,#145683,#64098,.T.); #177845=EDGE_CURVE('',#145686,#145684,#64099,.T.); #177846=EDGE_CURVE('',#145685,#145686,#64100,.T.); #177847=EDGE_CURVE('',#145687,#145685,#64101,.T.); #177848=EDGE_CURVE('',#145688,#145686,#64102,.T.); #177849=EDGE_CURVE('',#145687,#145688,#64103,.T.); #177850=EDGE_CURVE('',#145689,#145687,#64104,.T.); #177851=EDGE_CURVE('',#145690,#145688,#64105,.T.); #177852=EDGE_CURVE('',#145689,#145690,#64106,.T.); #177853=EDGE_CURVE('',#145691,#145689,#64107,.T.); #177854=EDGE_CURVE('',#145692,#145690,#64108,.T.); #177855=EDGE_CURVE('',#145691,#145692,#64109,.T.); #177856=EDGE_CURVE('',#145693,#145691,#64110,.T.); #177857=EDGE_CURVE('',#145694,#145692,#64111,.T.); #177858=EDGE_CURVE('',#145693,#145694,#64112,.T.); #177859=EDGE_CURVE('',#145695,#145693,#64113,.T.); #177860=EDGE_CURVE('',#145696,#145694,#64114,.T.); #177861=EDGE_CURVE('',#145695,#145696,#64115,.T.); #177862=EDGE_CURVE('',#145697,#145695,#64116,.T.); #177863=EDGE_CURVE('',#145698,#145696,#64117,.T.); #177864=EDGE_CURVE('',#145697,#145698,#64118,.T.); #177865=EDGE_CURVE('',#145699,#145697,#64119,.T.); #177866=EDGE_CURVE('',#145700,#145698,#64120,.T.); #177867=EDGE_CURVE('',#145699,#145700,#64121,.T.); #177868=EDGE_CURVE('',#145701,#145699,#64122,.T.); #177869=EDGE_CURVE('',#145702,#145700,#64123,.T.); #177870=EDGE_CURVE('',#145701,#145702,#64124,.T.); #177871=EDGE_CURVE('',#145703,#145701,#64125,.T.); #177872=EDGE_CURVE('',#145704,#145702,#64126,.T.); #177873=EDGE_CURVE('',#145703,#145704,#64127,.T.); #177874=EDGE_CURVE('',#145705,#145703,#64128,.T.); #177875=EDGE_CURVE('',#145706,#145704,#64129,.T.); #177876=EDGE_CURVE('',#145705,#145706,#64130,.T.); #177877=EDGE_CURVE('',#145707,#145705,#64131,.T.); #177878=EDGE_CURVE('',#145708,#145706,#64132,.T.); #177879=EDGE_CURVE('',#145707,#145708,#64133,.T.); #177880=EDGE_CURVE('',#145709,#145707,#64134,.T.); #177881=EDGE_CURVE('',#145710,#145708,#64135,.T.); #177882=EDGE_CURVE('',#145709,#145710,#64136,.T.); #177883=EDGE_CURVE('',#145711,#145709,#64137,.T.); #177884=EDGE_CURVE('',#145712,#145710,#64138,.T.); #177885=EDGE_CURVE('',#145711,#145712,#64139,.T.); #177886=EDGE_CURVE('',#145713,#145711,#64140,.T.); #177887=EDGE_CURVE('',#145714,#145712,#64141,.T.); #177888=EDGE_CURVE('',#145713,#145714,#64142,.T.); #177889=EDGE_CURVE('',#145715,#145713,#64143,.T.); #177890=EDGE_CURVE('',#145716,#145714,#64144,.T.); #177891=EDGE_CURVE('',#145715,#145716,#64145,.T.); #177892=EDGE_CURVE('',#145717,#145715,#64146,.T.); #177893=EDGE_CURVE('',#145718,#145716,#64147,.T.); #177894=EDGE_CURVE('',#145717,#145718,#64148,.T.); #177895=EDGE_CURVE('',#145719,#145717,#64149,.T.); #177896=EDGE_CURVE('',#145720,#145718,#64150,.T.); #177897=EDGE_CURVE('',#145719,#145720,#64151,.T.); #177898=EDGE_CURVE('',#145721,#145719,#64152,.T.); #177899=EDGE_CURVE('',#145722,#145720,#64153,.T.); #177900=EDGE_CURVE('',#145721,#145722,#64154,.T.); #177901=EDGE_CURVE('',#145723,#145721,#64155,.T.); #177902=EDGE_CURVE('',#145724,#145722,#64156,.T.); #177903=EDGE_CURVE('',#145723,#145724,#64157,.T.); #177904=EDGE_CURVE('',#145725,#145723,#64158,.T.); #177905=EDGE_CURVE('',#145726,#145724,#64159,.T.); #177906=EDGE_CURVE('',#145725,#145726,#64160,.T.); #177907=EDGE_CURVE('',#145727,#145725,#64161,.T.); #177908=EDGE_CURVE('',#145728,#145726,#64162,.T.); #177909=EDGE_CURVE('',#145727,#145728,#64163,.T.); #177910=EDGE_CURVE('',#145729,#145727,#64164,.T.); #177911=EDGE_CURVE('',#145730,#145728,#64165,.T.); #177912=EDGE_CURVE('',#145729,#145730,#64166,.T.); #177913=EDGE_CURVE('',#145731,#145729,#64167,.T.); #177914=EDGE_CURVE('',#145732,#145730,#64168,.T.); #177915=EDGE_CURVE('',#145731,#145732,#64169,.T.); #177916=EDGE_CURVE('',#145733,#145731,#64170,.T.); #177917=EDGE_CURVE('',#145734,#145732,#64171,.T.); #177918=EDGE_CURVE('',#145733,#145734,#64172,.T.); #177919=EDGE_CURVE('',#145735,#145733,#64173,.T.); #177920=EDGE_CURVE('',#145736,#145734,#64174,.T.); #177921=EDGE_CURVE('',#145735,#145736,#64175,.T.); #177922=EDGE_CURVE('',#145737,#145735,#64176,.T.); #177923=EDGE_CURVE('',#145738,#145736,#64177,.T.); #177924=EDGE_CURVE('',#145737,#145738,#64178,.T.); #177925=EDGE_CURVE('',#145739,#145737,#64179,.T.); #177926=EDGE_CURVE('',#145740,#145738,#64180,.T.); #177927=EDGE_CURVE('',#145739,#145740,#64181,.T.); #177928=EDGE_CURVE('',#145741,#145739,#64182,.T.); #177929=EDGE_CURVE('',#145742,#145740,#64183,.T.); #177930=EDGE_CURVE('',#145741,#145742,#64184,.T.); #177931=EDGE_CURVE('',#145743,#145741,#64185,.T.); #177932=EDGE_CURVE('',#145744,#145742,#64186,.T.); #177933=EDGE_CURVE('',#145743,#145744,#64187,.T.); #177934=EDGE_CURVE('',#145745,#145743,#64188,.T.); #177935=EDGE_CURVE('',#145746,#145744,#64189,.T.); #177936=EDGE_CURVE('',#145745,#145746,#64190,.T.); #177937=EDGE_CURVE('',#145747,#145745,#64191,.T.); #177938=EDGE_CURVE('',#145748,#145746,#64192,.T.); #177939=EDGE_CURVE('',#145747,#145748,#64193,.T.); #177940=EDGE_CURVE('',#145749,#145747,#64194,.T.); #177941=EDGE_CURVE('',#145750,#145748,#64195,.T.); #177942=EDGE_CURVE('',#145749,#145750,#64196,.T.); #177943=EDGE_CURVE('',#145751,#145749,#64197,.T.); #177944=EDGE_CURVE('',#145752,#145750,#64198,.T.); #177945=EDGE_CURVE('',#145751,#145752,#64199,.T.); #177946=EDGE_CURVE('',#145753,#145751,#64200,.T.); #177947=EDGE_CURVE('',#145754,#145752,#64201,.T.); #177948=EDGE_CURVE('',#145753,#145754,#64202,.T.); #177949=EDGE_CURVE('',#145755,#145753,#64203,.T.); #177950=EDGE_CURVE('',#145756,#145754,#64204,.T.); #177951=EDGE_CURVE('',#145755,#145756,#64205,.T.); #177952=EDGE_CURVE('',#145757,#145755,#64206,.T.); #177953=EDGE_CURVE('',#145758,#145756,#64207,.T.); #177954=EDGE_CURVE('',#145757,#145758,#64208,.T.); #177955=EDGE_CURVE('',#145759,#145757,#64209,.T.); #177956=EDGE_CURVE('',#145760,#145758,#64210,.T.); #177957=EDGE_CURVE('',#145759,#145760,#64211,.T.); #177958=EDGE_CURVE('',#145761,#145759,#64212,.T.); #177959=EDGE_CURVE('',#145762,#145760,#64213,.T.); #177960=EDGE_CURVE('',#145761,#145762,#64214,.T.); #177961=EDGE_CURVE('',#145763,#145761,#64215,.T.); #177962=EDGE_CURVE('',#145764,#145762,#64216,.T.); #177963=EDGE_CURVE('',#145763,#145764,#64217,.T.); #177964=EDGE_CURVE('',#145765,#145763,#64218,.T.); #177965=EDGE_CURVE('',#145766,#145764,#64219,.T.); #177966=EDGE_CURVE('',#145765,#145766,#64220,.T.); #177967=EDGE_CURVE('',#145767,#145765,#64221,.T.); #177968=EDGE_CURVE('',#145768,#145766,#64222,.T.); #177969=EDGE_CURVE('',#145767,#145768,#64223,.T.); #177970=EDGE_CURVE('',#145769,#145767,#64224,.T.); #177971=EDGE_CURVE('',#145770,#145768,#64225,.T.); #177972=EDGE_CURVE('',#145769,#145770,#64226,.T.); #177973=EDGE_CURVE('',#145771,#145769,#64227,.T.); #177974=EDGE_CURVE('',#145772,#145770,#64228,.T.); #177975=EDGE_CURVE('',#145771,#145772,#64229,.T.); #177976=EDGE_CURVE('',#145773,#145771,#64230,.T.); #177977=EDGE_CURVE('',#145774,#145772,#64231,.T.); #177978=EDGE_CURVE('',#145773,#145774,#64232,.T.); #177979=EDGE_CURVE('',#145775,#145773,#64233,.T.); #177980=EDGE_CURVE('',#145776,#145774,#64234,.T.); #177981=EDGE_CURVE('',#145775,#145776,#64235,.T.); #177982=EDGE_CURVE('',#145777,#145775,#64236,.T.); #177983=EDGE_CURVE('',#145778,#145776,#64237,.T.); #177984=EDGE_CURVE('',#145777,#145778,#64238,.T.); #177985=EDGE_CURVE('',#145779,#145777,#64239,.T.); #177986=EDGE_CURVE('',#145780,#145778,#64240,.T.); #177987=EDGE_CURVE('',#145779,#145780,#64241,.T.); #177988=EDGE_CURVE('',#145781,#145779,#64242,.T.); #177989=EDGE_CURVE('',#145782,#145780,#64243,.T.); #177990=EDGE_CURVE('',#145781,#145782,#64244,.T.); #177991=EDGE_CURVE('',#145783,#145781,#64245,.T.); #177992=EDGE_CURVE('',#145784,#145782,#64246,.T.); #177993=EDGE_CURVE('',#145783,#145784,#64247,.T.); #177994=EDGE_CURVE('',#145785,#145783,#64248,.T.); #177995=EDGE_CURVE('',#145786,#145784,#64249,.T.); #177996=EDGE_CURVE('',#145785,#145786,#64250,.T.); #177997=EDGE_CURVE('',#145787,#145785,#64251,.T.); #177998=EDGE_CURVE('',#145788,#145786,#64252,.T.); #177999=EDGE_CURVE('',#145787,#145788,#64253,.T.); #178000=EDGE_CURVE('',#145789,#145787,#64254,.T.); #178001=EDGE_CURVE('',#145790,#145788,#64255,.T.); #178002=EDGE_CURVE('',#145789,#145790,#64256,.T.); #178003=EDGE_CURVE('',#145791,#145789,#64257,.T.); #178004=EDGE_CURVE('',#145792,#145790,#64258,.T.); #178005=EDGE_CURVE('',#145791,#145792,#64259,.T.); #178006=EDGE_CURVE('',#145793,#145791,#64260,.T.); #178007=EDGE_CURVE('',#145794,#145792,#64261,.T.); #178008=EDGE_CURVE('',#145793,#145794,#64262,.T.); #178009=EDGE_CURVE('',#145795,#145793,#64263,.T.); #178010=EDGE_CURVE('',#145796,#145794,#64264,.T.); #178011=EDGE_CURVE('',#145795,#145796,#64265,.T.); #178012=EDGE_CURVE('',#145797,#145795,#64266,.T.); #178013=EDGE_CURVE('',#145798,#145796,#64267,.T.); #178014=EDGE_CURVE('',#145797,#145798,#64268,.T.); #178015=EDGE_CURVE('',#145799,#145797,#64269,.T.); #178016=EDGE_CURVE('',#145800,#145798,#64270,.T.); #178017=EDGE_CURVE('',#145799,#145800,#64271,.T.); #178018=EDGE_CURVE('',#145801,#145799,#64272,.T.); #178019=EDGE_CURVE('',#145802,#145800,#64273,.T.); #178020=EDGE_CURVE('',#145801,#145802,#64274,.T.); #178021=EDGE_CURVE('',#145803,#145801,#64275,.T.); #178022=EDGE_CURVE('',#145804,#145802,#64276,.T.); #178023=EDGE_CURVE('',#145803,#145804,#64277,.T.); #178024=EDGE_CURVE('',#145805,#145803,#64278,.T.); #178025=EDGE_CURVE('',#145806,#145804,#64279,.T.); #178026=EDGE_CURVE('',#145805,#145806,#64280,.T.); #178027=EDGE_CURVE('',#145807,#145805,#64281,.T.); #178028=EDGE_CURVE('',#145808,#145806,#64282,.T.); #178029=EDGE_CURVE('',#145807,#145808,#64283,.T.); #178030=EDGE_CURVE('',#145809,#145807,#64284,.T.); #178031=EDGE_CURVE('',#145810,#145808,#64285,.T.); #178032=EDGE_CURVE('',#145809,#145810,#64286,.T.); #178033=EDGE_CURVE('',#145811,#145809,#64287,.T.); #178034=EDGE_CURVE('',#145812,#145810,#64288,.T.); #178035=EDGE_CURVE('',#145811,#145812,#64289,.T.); #178036=EDGE_CURVE('',#145813,#145811,#64290,.T.); #178037=EDGE_CURVE('',#145814,#145812,#64291,.T.); #178038=EDGE_CURVE('',#145813,#145814,#64292,.T.); #178039=EDGE_CURVE('',#145815,#145813,#64293,.T.); #178040=EDGE_CURVE('',#145816,#145814,#64294,.T.); #178041=EDGE_CURVE('',#145815,#145816,#64295,.T.); #178042=EDGE_CURVE('',#145817,#145815,#64296,.T.); #178043=EDGE_CURVE('',#145818,#145816,#64297,.T.); #178044=EDGE_CURVE('',#145817,#145818,#64298,.T.); #178045=EDGE_CURVE('',#145819,#145817,#64299,.T.); #178046=EDGE_CURVE('',#145820,#145818,#64300,.T.); #178047=EDGE_CURVE('',#145819,#145820,#64301,.T.); #178048=EDGE_CURVE('',#145821,#145819,#64302,.T.); #178049=EDGE_CURVE('',#145822,#145820,#64303,.T.); #178050=EDGE_CURVE('',#145821,#145822,#64304,.T.); #178051=EDGE_CURVE('',#145823,#145821,#64305,.T.); #178052=EDGE_CURVE('',#145824,#145822,#64306,.T.); #178053=EDGE_CURVE('',#145823,#145824,#64307,.T.); #178054=EDGE_CURVE('',#145825,#145823,#64308,.T.); #178055=EDGE_CURVE('',#145826,#145824,#64309,.T.); #178056=EDGE_CURVE('',#145825,#145826,#64310,.T.); #178057=EDGE_CURVE('',#145827,#145825,#64311,.T.); #178058=EDGE_CURVE('',#145828,#145826,#64312,.T.); #178059=EDGE_CURVE('',#145827,#145828,#64313,.T.); #178060=EDGE_CURVE('',#145829,#145827,#64314,.T.); #178061=EDGE_CURVE('',#145830,#145828,#64315,.T.); #178062=EDGE_CURVE('',#145829,#145830,#64316,.T.); #178063=EDGE_CURVE('',#145831,#145829,#64317,.T.); #178064=EDGE_CURVE('',#145832,#145830,#64318,.T.); #178065=EDGE_CURVE('',#145831,#145832,#64319,.T.); #178066=EDGE_CURVE('',#145833,#145831,#64320,.T.); #178067=EDGE_CURVE('',#145834,#145832,#64321,.T.); #178068=EDGE_CURVE('',#145833,#145834,#64322,.T.); #178069=EDGE_CURVE('',#145835,#145833,#64323,.T.); #178070=EDGE_CURVE('',#145836,#145834,#64324,.T.); #178071=EDGE_CURVE('',#145835,#145836,#64325,.T.); #178072=EDGE_CURVE('',#145837,#145835,#64326,.T.); #178073=EDGE_CURVE('',#145838,#145836,#64327,.T.); #178074=EDGE_CURVE('',#145837,#145838,#64328,.T.); #178075=EDGE_CURVE('',#145839,#145837,#64329,.T.); #178076=EDGE_CURVE('',#145840,#145838,#64330,.T.); #178077=EDGE_CURVE('',#145839,#145840,#64331,.T.); #178078=EDGE_CURVE('',#145841,#145839,#64332,.T.); #178079=EDGE_CURVE('',#145842,#145840,#64333,.T.); #178080=EDGE_CURVE('',#145841,#145842,#64334,.T.); #178081=EDGE_CURVE('',#145843,#145841,#64335,.T.); #178082=EDGE_CURVE('',#145844,#145842,#64336,.T.); #178083=EDGE_CURVE('',#145843,#145844,#64337,.T.); #178084=EDGE_CURVE('',#145845,#145843,#64338,.T.); #178085=EDGE_CURVE('',#145846,#145844,#64339,.T.); #178086=EDGE_CURVE('',#145845,#145846,#64340,.T.); #178087=EDGE_CURVE('',#145847,#145845,#64341,.T.); #178088=EDGE_CURVE('',#145848,#145846,#64342,.T.); #178089=EDGE_CURVE('',#145847,#145848,#64343,.T.); #178090=EDGE_CURVE('',#145849,#145847,#64344,.T.); #178091=EDGE_CURVE('',#145850,#145848,#64345,.T.); #178092=EDGE_CURVE('',#145849,#145850,#64346,.T.); #178093=EDGE_CURVE('',#145851,#145849,#64347,.T.); #178094=EDGE_CURVE('',#145852,#145850,#64348,.T.); #178095=EDGE_CURVE('',#145851,#145852,#64349,.T.); #178096=EDGE_CURVE('',#145853,#145851,#64350,.T.); #178097=EDGE_CURVE('',#145854,#145852,#64351,.T.); #178098=EDGE_CURVE('',#145853,#145854,#64352,.T.); #178099=EDGE_CURVE('',#145855,#145853,#64353,.T.); #178100=EDGE_CURVE('',#145856,#145854,#64354,.T.); #178101=EDGE_CURVE('',#145855,#145856,#64355,.T.); #178102=EDGE_CURVE('',#145857,#145855,#64356,.T.); #178103=EDGE_CURVE('',#145858,#145856,#64357,.T.); #178104=EDGE_CURVE('',#145857,#145858,#64358,.T.); #178105=EDGE_CURVE('',#145859,#145857,#64359,.T.); #178106=EDGE_CURVE('',#145860,#145858,#64360,.T.); #178107=EDGE_CURVE('',#145859,#145860,#64361,.T.); #178108=EDGE_CURVE('',#145861,#145859,#64362,.T.); #178109=EDGE_CURVE('',#145862,#145860,#64363,.T.); #178110=EDGE_CURVE('',#145861,#145862,#64364,.T.); #178111=EDGE_CURVE('',#145863,#145861,#64365,.T.); #178112=EDGE_CURVE('',#145864,#145862,#64366,.T.); #178113=EDGE_CURVE('',#145863,#145864,#64367,.T.); #178114=EDGE_CURVE('',#145865,#145863,#64368,.T.); #178115=EDGE_CURVE('',#145866,#145864,#64369,.T.); #178116=EDGE_CURVE('',#145865,#145866,#64370,.T.); #178117=EDGE_CURVE('',#145867,#145865,#64371,.T.); #178118=EDGE_CURVE('',#145868,#145866,#64372,.T.); #178119=EDGE_CURVE('',#145867,#145868,#64373,.T.); #178120=EDGE_CURVE('',#145869,#145867,#64374,.T.); #178121=EDGE_CURVE('',#145870,#145868,#64375,.T.); #178122=EDGE_CURVE('',#145869,#145870,#64376,.T.); #178123=EDGE_CURVE('',#145871,#145869,#64377,.T.); #178124=EDGE_CURVE('',#145872,#145870,#64378,.T.); #178125=EDGE_CURVE('',#145871,#145872,#64379,.T.); #178126=EDGE_CURVE('',#145873,#145871,#64380,.T.); #178127=EDGE_CURVE('',#145874,#145872,#64381,.T.); #178128=EDGE_CURVE('',#145873,#145874,#64382,.T.); #178129=EDGE_CURVE('',#145875,#145873,#64383,.T.); #178130=EDGE_CURVE('',#145876,#145874,#64384,.T.); #178131=EDGE_CURVE('',#145875,#145876,#64385,.T.); #178132=EDGE_CURVE('',#145877,#145875,#64386,.T.); #178133=EDGE_CURVE('',#145878,#145876,#64387,.T.); #178134=EDGE_CURVE('',#145877,#145878,#64388,.T.); #178135=EDGE_CURVE('',#145879,#145877,#64389,.T.); #178136=EDGE_CURVE('',#145880,#145878,#64390,.T.); #178137=EDGE_CURVE('',#145879,#145880,#64391,.T.); #178138=EDGE_CURVE('',#145881,#145879,#64392,.T.); #178139=EDGE_CURVE('',#145882,#145880,#64393,.T.); #178140=EDGE_CURVE('',#145881,#145882,#64394,.T.); #178141=EDGE_CURVE('',#145883,#145881,#64395,.T.); #178142=EDGE_CURVE('',#145884,#145882,#64396,.T.); #178143=EDGE_CURVE('',#145883,#145884,#64397,.T.); #178144=EDGE_CURVE('',#145885,#145883,#64398,.T.); #178145=EDGE_CURVE('',#145886,#145884,#64399,.T.); #178146=EDGE_CURVE('',#145885,#145886,#64400,.T.); #178147=EDGE_CURVE('',#145887,#145885,#64401,.T.); #178148=EDGE_CURVE('',#145888,#145886,#64402,.T.); #178149=EDGE_CURVE('',#145887,#145888,#64403,.T.); #178150=EDGE_CURVE('',#145889,#145887,#64404,.T.); #178151=EDGE_CURVE('',#145890,#145888,#64405,.T.); #178152=EDGE_CURVE('',#145889,#145890,#64406,.T.); #178153=EDGE_CURVE('',#145891,#145889,#64407,.T.); #178154=EDGE_CURVE('',#145892,#145890,#64408,.T.); #178155=EDGE_CURVE('',#145891,#145892,#64409,.T.); #178156=EDGE_CURVE('',#145893,#145891,#64410,.T.); #178157=EDGE_CURVE('',#145894,#145892,#64411,.T.); #178158=EDGE_CURVE('',#145893,#145894,#64412,.T.); #178159=EDGE_CURVE('',#145895,#145893,#64413,.T.); #178160=EDGE_CURVE('',#145896,#145894,#64414,.T.); #178161=EDGE_CURVE('',#145895,#145896,#64415,.T.); #178162=EDGE_CURVE('',#145897,#145895,#64416,.T.); #178163=EDGE_CURVE('',#145898,#145896,#64417,.T.); #178164=EDGE_CURVE('',#145897,#145898,#64418,.T.); #178165=EDGE_CURVE('',#145899,#145897,#64419,.T.); #178166=EDGE_CURVE('',#145900,#145898,#64420,.T.); #178167=EDGE_CURVE('',#145899,#145900,#64421,.T.); #178168=EDGE_CURVE('',#145901,#145899,#64422,.T.); #178169=EDGE_CURVE('',#145902,#145900,#64423,.T.); #178170=EDGE_CURVE('',#145901,#145902,#64424,.T.); #178171=EDGE_CURVE('',#145903,#145901,#64425,.T.); #178172=EDGE_CURVE('',#145904,#145902,#64426,.T.); #178173=EDGE_CURVE('',#145903,#145904,#64427,.T.); #178174=EDGE_CURVE('',#145905,#145903,#64428,.T.); #178175=EDGE_CURVE('',#145906,#145904,#64429,.T.); #178176=EDGE_CURVE('',#145905,#145906,#64430,.T.); #178177=EDGE_CURVE('',#145907,#145905,#64431,.T.); #178178=EDGE_CURVE('',#145908,#145906,#64432,.T.); #178179=EDGE_CURVE('',#145907,#145908,#64433,.T.); #178180=EDGE_CURVE('',#145909,#145907,#64434,.T.); #178181=EDGE_CURVE('',#145910,#145908,#64435,.T.); #178182=EDGE_CURVE('',#145909,#145910,#64436,.T.); #178183=EDGE_CURVE('',#145911,#145909,#64437,.T.); #178184=EDGE_CURVE('',#145912,#145910,#64438,.T.); #178185=EDGE_CURVE('',#145911,#145912,#64439,.T.); #178186=EDGE_CURVE('',#145913,#145911,#64440,.T.); #178187=EDGE_CURVE('',#145914,#145912,#64441,.T.); #178188=EDGE_CURVE('',#145913,#145914,#64442,.T.); #178189=EDGE_CURVE('',#145915,#145913,#64443,.T.); #178190=EDGE_CURVE('',#145916,#145914,#64444,.T.); #178191=EDGE_CURVE('',#145915,#145916,#64445,.T.); #178192=EDGE_CURVE('',#145917,#145915,#64446,.T.); #178193=EDGE_CURVE('',#145918,#145916,#64447,.T.); #178194=EDGE_CURVE('',#145917,#145918,#64448,.T.); #178195=EDGE_CURVE('',#145919,#145917,#64449,.T.); #178196=EDGE_CURVE('',#145920,#145918,#64450,.T.); #178197=EDGE_CURVE('',#145919,#145920,#64451,.T.); #178198=EDGE_CURVE('',#145921,#145919,#64452,.T.); #178199=EDGE_CURVE('',#145922,#145920,#64453,.T.); #178200=EDGE_CURVE('',#145921,#145922,#64454,.T.); #178201=EDGE_CURVE('',#145923,#145921,#64455,.T.); #178202=EDGE_CURVE('',#145924,#145922,#64456,.T.); #178203=EDGE_CURVE('',#145923,#145924,#64457,.T.); #178204=EDGE_CURVE('',#145925,#145923,#64458,.T.); #178205=EDGE_CURVE('',#145926,#145924,#64459,.T.); #178206=EDGE_CURVE('',#145925,#145926,#64460,.T.); #178207=EDGE_CURVE('',#145927,#145925,#64461,.T.); #178208=EDGE_CURVE('',#145928,#145926,#64462,.T.); #178209=EDGE_CURVE('',#145927,#145928,#64463,.T.); #178210=EDGE_CURVE('',#145929,#145927,#64464,.T.); #178211=EDGE_CURVE('',#145930,#145928,#64465,.T.); #178212=EDGE_CURVE('',#145929,#145930,#64466,.T.); #178213=EDGE_CURVE('',#145931,#145929,#64467,.T.); #178214=EDGE_CURVE('',#145932,#145930,#64468,.T.); #178215=EDGE_CURVE('',#145931,#145932,#64469,.T.); #178216=EDGE_CURVE('',#145933,#145931,#64470,.T.); #178217=EDGE_CURVE('',#145934,#145932,#64471,.T.); #178218=EDGE_CURVE('',#145933,#145934,#64472,.T.); #178219=EDGE_CURVE('',#145935,#145933,#64473,.T.); #178220=EDGE_CURVE('',#145936,#145934,#64474,.T.); #178221=EDGE_CURVE('',#145935,#145936,#64475,.T.); #178222=EDGE_CURVE('',#145937,#145935,#64476,.T.); #178223=EDGE_CURVE('',#145938,#145936,#64477,.T.); #178224=EDGE_CURVE('',#145937,#145938,#64478,.T.); #178225=EDGE_CURVE('',#145939,#145937,#64479,.T.); #178226=EDGE_CURVE('',#145940,#145938,#64480,.T.); #178227=EDGE_CURVE('',#145939,#145940,#64481,.T.); #178228=EDGE_CURVE('',#145941,#145939,#64482,.T.); #178229=EDGE_CURVE('',#145942,#145940,#64483,.T.); #178230=EDGE_CURVE('',#145941,#145942,#64484,.T.); #178231=EDGE_CURVE('',#145943,#145941,#64485,.T.); #178232=EDGE_CURVE('',#145944,#145942,#64486,.T.); #178233=EDGE_CURVE('',#145943,#145944,#64487,.T.); #178234=EDGE_CURVE('',#145945,#145943,#64488,.T.); #178235=EDGE_CURVE('',#145946,#145944,#64489,.T.); #178236=EDGE_CURVE('',#145945,#145946,#64490,.T.); #178237=EDGE_CURVE('',#145947,#145945,#64491,.T.); #178238=EDGE_CURVE('',#145948,#145946,#64492,.T.); #178239=EDGE_CURVE('',#145947,#145948,#64493,.T.); #178240=EDGE_CURVE('',#145949,#145947,#64494,.T.); #178241=EDGE_CURVE('',#145950,#145948,#64495,.T.); #178242=EDGE_CURVE('',#145949,#145950,#64496,.T.); #178243=EDGE_CURVE('',#145951,#145949,#64497,.T.); #178244=EDGE_CURVE('',#145952,#145950,#64498,.T.); #178245=EDGE_CURVE('',#145951,#145952,#64499,.T.); #178246=EDGE_CURVE('',#145953,#145951,#64500,.T.); #178247=EDGE_CURVE('',#145954,#145952,#64501,.T.); #178248=EDGE_CURVE('',#145953,#145954,#64502,.T.); #178249=EDGE_CURVE('',#145955,#145953,#64503,.T.); #178250=EDGE_CURVE('',#145956,#145954,#64504,.T.); #178251=EDGE_CURVE('',#145955,#145956,#64505,.T.); #178252=EDGE_CURVE('',#145957,#145955,#64506,.T.); #178253=EDGE_CURVE('',#145958,#145956,#64507,.T.); #178254=EDGE_CURVE('',#145957,#145958,#64508,.T.); #178255=EDGE_CURVE('',#145959,#145957,#64509,.T.); #178256=EDGE_CURVE('',#145960,#145958,#64510,.T.); #178257=EDGE_CURVE('',#145959,#145960,#64511,.T.); #178258=EDGE_CURVE('',#145961,#145959,#64512,.T.); #178259=EDGE_CURVE('',#145962,#145960,#64513,.T.); #178260=EDGE_CURVE('',#145961,#145962,#64514,.T.); #178261=EDGE_CURVE('',#145963,#145961,#64515,.T.); #178262=EDGE_CURVE('',#145964,#145962,#64516,.T.); #178263=EDGE_CURVE('',#145963,#145964,#64517,.T.); #178264=EDGE_CURVE('',#145965,#145963,#64518,.T.); #178265=EDGE_CURVE('',#145966,#145964,#64519,.T.); #178266=EDGE_CURVE('',#145965,#145966,#64520,.T.); #178267=EDGE_CURVE('',#145967,#145965,#64521,.T.); #178268=EDGE_CURVE('',#145968,#145966,#64522,.T.); #178269=EDGE_CURVE('',#145967,#145968,#64523,.T.); #178270=EDGE_CURVE('',#145969,#145967,#64524,.T.); #178271=EDGE_CURVE('',#145970,#145968,#64525,.T.); #178272=EDGE_CURVE('',#145969,#145970,#64526,.T.); #178273=EDGE_CURVE('',#145971,#145969,#64527,.T.); #178274=EDGE_CURVE('',#145972,#145970,#64528,.T.); #178275=EDGE_CURVE('',#145971,#145972,#64529,.T.); #178276=EDGE_CURVE('',#145973,#145971,#64530,.T.); #178277=EDGE_CURVE('',#145974,#145972,#64531,.T.); #178278=EDGE_CURVE('',#145973,#145974,#64532,.T.); #178279=EDGE_CURVE('',#145975,#145973,#64533,.T.); #178280=EDGE_CURVE('',#145976,#145974,#64534,.T.); #178281=EDGE_CURVE('',#145975,#145976,#64535,.T.); #178282=EDGE_CURVE('',#145977,#145975,#64536,.T.); #178283=EDGE_CURVE('',#145978,#145976,#64537,.T.); #178284=EDGE_CURVE('',#145977,#145978,#64538,.T.); #178285=EDGE_CURVE('',#145979,#145977,#64539,.T.); #178286=EDGE_CURVE('',#145980,#145978,#64540,.T.); #178287=EDGE_CURVE('',#145979,#145980,#64541,.T.); #178288=EDGE_CURVE('',#145981,#145979,#64542,.T.); #178289=EDGE_CURVE('',#145982,#145980,#64543,.T.); #178290=EDGE_CURVE('',#145981,#145982,#64544,.T.); #178291=EDGE_CURVE('',#145983,#145981,#64545,.T.); #178292=EDGE_CURVE('',#145984,#145982,#64546,.T.); #178293=EDGE_CURVE('',#145983,#145984,#64547,.T.); #178294=EDGE_CURVE('',#145985,#145983,#64548,.T.); #178295=EDGE_CURVE('',#145986,#145984,#64549,.T.); #178296=EDGE_CURVE('',#145985,#145986,#64550,.T.); #178297=EDGE_CURVE('',#145987,#145985,#64551,.T.); #178298=EDGE_CURVE('',#145988,#145986,#64552,.T.); #178299=EDGE_CURVE('',#145987,#145988,#64553,.T.); #178300=EDGE_CURVE('',#145989,#145987,#64554,.T.); #178301=EDGE_CURVE('',#145990,#145988,#64555,.T.); #178302=EDGE_CURVE('',#145989,#145990,#64556,.T.); #178303=EDGE_CURVE('',#145991,#145989,#64557,.T.); #178304=EDGE_CURVE('',#145992,#145990,#64558,.T.); #178305=EDGE_CURVE('',#145991,#145992,#64559,.T.); #178306=EDGE_CURVE('',#145993,#145991,#64560,.T.); #178307=EDGE_CURVE('',#145994,#145992,#64561,.T.); #178308=EDGE_CURVE('',#145993,#145994,#64562,.T.); #178309=EDGE_CURVE('',#145995,#145993,#64563,.T.); #178310=EDGE_CURVE('',#145996,#145994,#64564,.T.); #178311=EDGE_CURVE('',#145995,#145996,#64565,.T.); #178312=EDGE_CURVE('',#145997,#145995,#64566,.T.); #178313=EDGE_CURVE('',#145998,#145996,#64567,.T.); #178314=EDGE_CURVE('',#145997,#145998,#64568,.T.); #178315=EDGE_CURVE('',#145999,#145997,#64569,.T.); #178316=EDGE_CURVE('',#146000,#145998,#64570,.T.); #178317=EDGE_CURVE('',#145999,#146000,#64571,.T.); #178318=EDGE_CURVE('',#145580,#145999,#64572,.T.); #178319=EDGE_CURVE('',#145581,#146000,#64573,.T.); #178320=EDGE_CURVE('',#146001,#146002,#64574,.T.); #178321=EDGE_CURVE('',#146002,#146003,#64575,.T.); #178322=EDGE_CURVE('',#146004,#146003,#64576,.T.); #178323=EDGE_CURVE('',#146001,#146004,#64577,.T.); #178324=EDGE_CURVE('',#146005,#146001,#64578,.T.); #178325=EDGE_CURVE('',#146006,#146004,#64579,.T.); #178326=EDGE_CURVE('',#146005,#146006,#64580,.T.); #178327=EDGE_CURVE('',#146007,#146005,#64581,.T.); #178328=EDGE_CURVE('',#146008,#146006,#64582,.T.); #178329=EDGE_CURVE('',#146007,#146008,#64583,.T.); #178330=EDGE_CURVE('',#146009,#146007,#64584,.T.); #178331=EDGE_CURVE('',#146010,#146008,#64585,.T.); #178332=EDGE_CURVE('',#146009,#146010,#64586,.T.); #178333=EDGE_CURVE('',#146011,#146009,#64587,.T.); #178334=EDGE_CURVE('',#146012,#146010,#64588,.T.); #178335=EDGE_CURVE('',#146011,#146012,#64589,.T.); #178336=EDGE_CURVE('',#146013,#146011,#64590,.T.); #178337=EDGE_CURVE('',#146014,#146012,#64591,.T.); #178338=EDGE_CURVE('',#146013,#146014,#64592,.T.); #178339=EDGE_CURVE('',#146015,#146013,#64593,.T.); #178340=EDGE_CURVE('',#146016,#146014,#64594,.T.); #178341=EDGE_CURVE('',#146015,#146016,#64595,.T.); #178342=EDGE_CURVE('',#146017,#146015,#64596,.T.); #178343=EDGE_CURVE('',#146018,#146016,#64597,.T.); #178344=EDGE_CURVE('',#146017,#146018,#64598,.T.); #178345=EDGE_CURVE('',#146019,#146017,#64599,.T.); #178346=EDGE_CURVE('',#146020,#146018,#64600,.T.); #178347=EDGE_CURVE('',#146019,#146020,#64601,.T.); #178348=EDGE_CURVE('',#146021,#146019,#64602,.T.); #178349=EDGE_CURVE('',#146022,#146020,#64603,.T.); #178350=EDGE_CURVE('',#146021,#146022,#64604,.T.); #178351=EDGE_CURVE('',#146023,#146021,#64605,.T.); #178352=EDGE_CURVE('',#146024,#146022,#64606,.T.); #178353=EDGE_CURVE('',#146023,#146024,#64607,.T.); #178354=EDGE_CURVE('',#146025,#146023,#64608,.T.); #178355=EDGE_CURVE('',#146026,#146024,#64609,.T.); #178356=EDGE_CURVE('',#146025,#146026,#64610,.T.); #178357=EDGE_CURVE('',#146027,#146025,#64611,.T.); #178358=EDGE_CURVE('',#146028,#146026,#64612,.T.); #178359=EDGE_CURVE('',#146027,#146028,#64613,.T.); #178360=EDGE_CURVE('',#146029,#146027,#64614,.T.); #178361=EDGE_CURVE('',#146030,#146028,#64615,.T.); #178362=EDGE_CURVE('',#146029,#146030,#64616,.T.); #178363=EDGE_CURVE('',#146031,#146029,#64617,.T.); #178364=EDGE_CURVE('',#146032,#146030,#64618,.T.); #178365=EDGE_CURVE('',#146031,#146032,#64619,.T.); #178366=EDGE_CURVE('',#146033,#146031,#64620,.T.); #178367=EDGE_CURVE('',#146034,#146032,#64621,.T.); #178368=EDGE_CURVE('',#146033,#146034,#64622,.T.); #178369=EDGE_CURVE('',#146035,#146033,#64623,.T.); #178370=EDGE_CURVE('',#146036,#146034,#64624,.T.); #178371=EDGE_CURVE('',#146035,#146036,#64625,.T.); #178372=EDGE_CURVE('',#146037,#146035,#64626,.T.); #178373=EDGE_CURVE('',#146038,#146036,#64627,.T.); #178374=EDGE_CURVE('',#146037,#146038,#64628,.T.); #178375=EDGE_CURVE('',#146039,#146037,#64629,.T.); #178376=EDGE_CURVE('',#146040,#146038,#64630,.T.); #178377=EDGE_CURVE('',#146039,#146040,#64631,.T.); #178378=EDGE_CURVE('',#146041,#146039,#64632,.T.); #178379=EDGE_CURVE('',#146042,#146040,#64633,.T.); #178380=EDGE_CURVE('',#146041,#146042,#64634,.T.); #178381=EDGE_CURVE('',#146002,#146041,#64635,.T.); #178382=EDGE_CURVE('',#146003,#146042,#64636,.T.); #178383=EDGE_CURVE('',#146043,#146044,#64637,.T.); #178384=EDGE_CURVE('',#146044,#146045,#64638,.T.); #178385=EDGE_CURVE('',#146046,#146045,#64639,.T.); #178386=EDGE_CURVE('',#146043,#146046,#64640,.T.); #178387=EDGE_CURVE('',#146047,#146043,#64641,.T.); #178388=EDGE_CURVE('',#146048,#146046,#64642,.T.); #178389=EDGE_CURVE('',#146047,#146048,#64643,.T.); #178390=EDGE_CURVE('',#146049,#146047,#64644,.T.); #178391=EDGE_CURVE('',#146050,#146048,#64645,.T.); #178392=EDGE_CURVE('',#146049,#146050,#64646,.T.); #178393=EDGE_CURVE('',#146051,#146049,#64647,.T.); #178394=EDGE_CURVE('',#146052,#146050,#64648,.T.); #178395=EDGE_CURVE('',#146051,#146052,#64649,.T.); #178396=EDGE_CURVE('',#146053,#146051,#64650,.T.); #178397=EDGE_CURVE('',#146054,#146052,#64651,.T.); #178398=EDGE_CURVE('',#146053,#146054,#64652,.T.); #178399=EDGE_CURVE('',#146055,#146053,#64653,.T.); #178400=EDGE_CURVE('',#146056,#146054,#64654,.T.); #178401=EDGE_CURVE('',#146055,#146056,#64655,.T.); #178402=EDGE_CURVE('',#146057,#146055,#64656,.T.); #178403=EDGE_CURVE('',#146058,#146056,#64657,.T.); #178404=EDGE_CURVE('',#146057,#146058,#64658,.T.); #178405=EDGE_CURVE('',#146059,#146057,#64659,.T.); #178406=EDGE_CURVE('',#146060,#146058,#64660,.T.); #178407=EDGE_CURVE('',#146059,#146060,#64661,.T.); #178408=EDGE_CURVE('',#146061,#146059,#64662,.T.); #178409=EDGE_CURVE('',#146062,#146060,#64663,.T.); #178410=EDGE_CURVE('',#146061,#146062,#64664,.T.); #178411=EDGE_CURVE('',#146063,#146061,#64665,.T.); #178412=EDGE_CURVE('',#146064,#146062,#64666,.T.); #178413=EDGE_CURVE('',#146063,#146064,#64667,.T.); #178414=EDGE_CURVE('',#146065,#146063,#64668,.T.); #178415=EDGE_CURVE('',#146066,#146064,#64669,.T.); #178416=EDGE_CURVE('',#146065,#146066,#64670,.T.); #178417=EDGE_CURVE('',#146067,#146065,#64671,.T.); #178418=EDGE_CURVE('',#146068,#146066,#64672,.T.); #178419=EDGE_CURVE('',#146067,#146068,#64673,.T.); #178420=EDGE_CURVE('',#146069,#146067,#64674,.T.); #178421=EDGE_CURVE('',#146070,#146068,#64675,.T.); #178422=EDGE_CURVE('',#146069,#146070,#64676,.T.); #178423=EDGE_CURVE('',#146071,#146069,#64677,.T.); #178424=EDGE_CURVE('',#146072,#146070,#64678,.T.); #178425=EDGE_CURVE('',#146071,#146072,#64679,.T.); #178426=EDGE_CURVE('',#146073,#146071,#64680,.T.); #178427=EDGE_CURVE('',#146074,#146072,#64681,.T.); #178428=EDGE_CURVE('',#146073,#146074,#64682,.T.); #178429=EDGE_CURVE('',#146075,#146073,#64683,.T.); #178430=EDGE_CURVE('',#146076,#146074,#64684,.T.); #178431=EDGE_CURVE('',#146075,#146076,#64685,.T.); #178432=EDGE_CURVE('',#146077,#146075,#64686,.T.); #178433=EDGE_CURVE('',#146078,#146076,#64687,.T.); #178434=EDGE_CURVE('',#146077,#146078,#64688,.T.); #178435=EDGE_CURVE('',#146079,#146077,#64689,.T.); #178436=EDGE_CURVE('',#146080,#146078,#64690,.T.); #178437=EDGE_CURVE('',#146079,#146080,#64691,.T.); #178438=EDGE_CURVE('',#146081,#146079,#64692,.T.); #178439=EDGE_CURVE('',#146082,#146080,#64693,.T.); #178440=EDGE_CURVE('',#146081,#146082,#64694,.T.); #178441=EDGE_CURVE('',#146044,#146081,#64695,.T.); #178442=EDGE_CURVE('',#146045,#146082,#64696,.T.); #178443=EDGE_CURVE('',#146083,#146083,#135607,.T.); #178444=EDGE_CURVE('',#146083,#146084,#64697,.T.); #178445=EDGE_CURVE('',#146084,#146084,#135608,.T.); #178446=EDGE_CURVE('',#146085,#146085,#135609,.T.); #178447=EDGE_CURVE('',#146085,#146086,#64698,.T.); #178448=EDGE_CURVE('',#146086,#146086,#135610,.T.); #178449=EDGE_CURVE('',#146087,#146087,#135611,.T.); #178450=EDGE_CURVE('',#146087,#146088,#64699,.T.); #178451=EDGE_CURVE('',#146088,#146088,#135612,.T.); #178452=EDGE_CURVE('',#146089,#146089,#135613,.T.); #178453=EDGE_CURVE('',#146089,#146090,#64700,.T.); #178454=EDGE_CURVE('',#146090,#146090,#135614,.T.); #178455=EDGE_CURVE('',#146091,#146091,#135615,.T.); #178456=EDGE_CURVE('',#146091,#146092,#64701,.T.); #178457=EDGE_CURVE('',#146092,#146092,#135616,.T.); #178458=EDGE_CURVE('',#146093,#146093,#135617,.T.); #178459=EDGE_CURVE('',#146093,#146094,#64702,.T.); #178460=EDGE_CURVE('',#146094,#146094,#135618,.T.); #178461=EDGE_CURVE('',#146095,#146095,#135619,.T.); #178462=EDGE_CURVE('',#146095,#146096,#64703,.T.); #178463=EDGE_CURVE('',#146096,#146096,#135620,.T.); #178464=EDGE_CURVE('',#146097,#146097,#135621,.T.); #178465=EDGE_CURVE('',#146097,#146098,#64704,.T.); #178466=EDGE_CURVE('',#146098,#146098,#135622,.T.); #178467=EDGE_CURVE('',#146099,#146099,#135623,.T.); #178468=EDGE_CURVE('',#146099,#146100,#64705,.T.); #178469=EDGE_CURVE('',#146100,#146100,#135624,.T.); #178470=EDGE_CURVE('',#146101,#146101,#135625,.T.); #178471=EDGE_CURVE('',#146101,#146102,#64706,.T.); #178472=EDGE_CURVE('',#146102,#146102,#135626,.T.); #178473=EDGE_CURVE('',#146103,#146103,#135627,.T.); #178474=EDGE_CURVE('',#146103,#146104,#64707,.T.); #178475=EDGE_CURVE('',#146104,#146104,#135628,.T.); #178476=EDGE_CURVE('',#146105,#146105,#135629,.T.); #178477=EDGE_CURVE('',#146105,#146106,#64708,.T.); #178478=EDGE_CURVE('',#146106,#146106,#135630,.T.); #178479=EDGE_CURVE('',#146107,#146107,#135631,.T.); #178480=EDGE_CURVE('',#146107,#146108,#64709,.T.); #178481=EDGE_CURVE('',#146108,#146108,#135632,.T.); #178482=EDGE_CURVE('',#146109,#146109,#135633,.T.); #178483=EDGE_CURVE('',#146109,#146110,#64710,.T.); #178484=EDGE_CURVE('',#146110,#146110,#135634,.T.); #178485=EDGE_CURVE('',#146111,#146111,#135635,.T.); #178486=EDGE_CURVE('',#146111,#146112,#64711,.T.); #178487=EDGE_CURVE('',#146112,#146112,#135636,.T.); #178488=EDGE_CURVE('',#146113,#146113,#135637,.T.); #178489=EDGE_CURVE('',#146113,#146114,#64712,.T.); #178490=EDGE_CURVE('',#146114,#146114,#135638,.T.); #178491=EDGE_CURVE('',#146115,#146115,#135639,.T.); #178492=EDGE_CURVE('',#146115,#146116,#64713,.T.); #178493=EDGE_CURVE('',#146116,#146116,#135640,.T.); #178494=EDGE_CURVE('',#146117,#146117,#135641,.T.); #178495=EDGE_CURVE('',#146117,#146118,#64714,.T.); #178496=EDGE_CURVE('',#146118,#146118,#135642,.T.); #178497=EDGE_CURVE('',#146119,#146119,#135643,.T.); #178498=EDGE_CURVE('',#146119,#146120,#64715,.T.); #178499=EDGE_CURVE('',#146120,#146120,#135644,.T.); #178500=EDGE_CURVE('',#146121,#146121,#135645,.T.); #178501=EDGE_CURVE('',#146121,#146122,#64716,.T.); #178502=EDGE_CURVE('',#146122,#146122,#135646,.T.); #178503=EDGE_CURVE('',#146123,#146123,#135647,.T.); #178504=EDGE_CURVE('',#146123,#146124,#64717,.T.); #178505=EDGE_CURVE('',#146124,#146124,#135648,.T.); #178506=EDGE_CURVE('',#146125,#146125,#135649,.T.); #178507=EDGE_CURVE('',#146125,#146126,#64718,.T.); #178508=EDGE_CURVE('',#146126,#146126,#135650,.T.); #178509=EDGE_CURVE('',#146127,#146127,#135651,.T.); #178510=EDGE_CURVE('',#146127,#146128,#64719,.T.); #178511=EDGE_CURVE('',#146128,#146128,#135652,.T.); #178512=EDGE_CURVE('',#146129,#146129,#135653,.T.); #178513=EDGE_CURVE('',#146129,#146130,#64720,.T.); #178514=EDGE_CURVE('',#146130,#146130,#135654,.T.); #178515=EDGE_CURVE('',#146131,#146131,#135655,.T.); #178516=EDGE_CURVE('',#146131,#146132,#64721,.T.); #178517=EDGE_CURVE('',#146132,#146132,#135656,.T.); #178518=EDGE_CURVE('',#146133,#146133,#135657,.T.); #178519=EDGE_CURVE('',#146133,#146134,#64722,.T.); #178520=EDGE_CURVE('',#146134,#146134,#135658,.T.); #178521=EDGE_CURVE('',#146135,#146135,#135659,.T.); #178522=EDGE_CURVE('',#146135,#146136,#64723,.T.); #178523=EDGE_CURVE('',#146136,#146136,#135660,.T.); #178524=EDGE_CURVE('',#146137,#146137,#135661,.T.); #178525=EDGE_CURVE('',#146137,#146138,#64724,.T.); #178526=EDGE_CURVE('',#146138,#146138,#135662,.T.); #178527=EDGE_CURVE('',#146139,#146139,#135663,.T.); #178528=EDGE_CURVE('',#146139,#146140,#64725,.T.); #178529=EDGE_CURVE('',#146140,#146140,#135664,.T.); #178530=EDGE_CURVE('',#146141,#146141,#135665,.T.); #178531=EDGE_CURVE('',#146141,#146142,#64726,.T.); #178532=EDGE_CURVE('',#146142,#146142,#135666,.T.); #178533=EDGE_CURVE('',#146143,#146143,#135667,.T.); #178534=EDGE_CURVE('',#146143,#146144,#64727,.T.); #178535=EDGE_CURVE('',#146144,#146144,#135668,.T.); #178536=EDGE_CURVE('',#146145,#146145,#135669,.T.); #178537=EDGE_CURVE('',#146145,#146146,#64728,.T.); #178538=EDGE_CURVE('',#146146,#146146,#135670,.T.); #178539=EDGE_CURVE('',#146147,#146147,#135671,.T.); #178540=EDGE_CURVE('',#146147,#146148,#64729,.T.); #178541=EDGE_CURVE('',#146148,#146148,#135672,.T.); #178542=EDGE_CURVE('',#146149,#146149,#135673,.T.); #178543=EDGE_CURVE('',#146149,#146150,#64730,.T.); #178544=EDGE_CURVE('',#146150,#146150,#135674,.T.); #178545=EDGE_CURVE('',#146151,#146151,#135675,.T.); #178546=EDGE_CURVE('',#146151,#146152,#64731,.T.); #178547=EDGE_CURVE('',#146152,#146152,#135676,.T.); #178548=EDGE_CURVE('',#146153,#146154,#64732,.T.); #178549=EDGE_CURVE('',#146155,#146153,#64733,.T.); #178550=EDGE_CURVE('',#146156,#146155,#64734,.T.); #178551=EDGE_CURVE('',#146156,#146154,#64735,.T.); #178552=EDGE_CURVE('',#146154,#146157,#135677,.T.); #178553=EDGE_CURVE('',#146158,#146156,#135678,.T.); #178554=EDGE_CURVE('',#146158,#146157,#64736,.T.); #178555=EDGE_CURVE('',#146157,#146159,#64737,.T.); #178556=EDGE_CURVE('',#146160,#146158,#64738,.T.); #178557=EDGE_CURVE('',#146160,#146159,#64739,.T.); #178558=EDGE_CURVE('',#146159,#146153,#135679,.T.); #178559=EDGE_CURVE('',#146155,#146160,#135680,.T.); #178560=EDGE_CURVE('',#146161,#146161,#135681,.T.); #178561=EDGE_CURVE('',#146161,#146162,#64740,.T.); #178562=EDGE_CURVE('',#146162,#146162,#135682,.T.); #178563=EDGE_CURVE('',#146163,#146163,#135683,.T.); #178564=EDGE_CURVE('',#146163,#146164,#64741,.T.); #178565=EDGE_CURVE('',#146164,#146164,#135684,.T.); #178566=EDGE_CURVE('',#146165,#146165,#135685,.T.); #178567=EDGE_CURVE('',#146165,#146166,#64742,.T.); #178568=EDGE_CURVE('',#146166,#146166,#135686,.T.); #178569=EDGE_CURVE('',#146167,#146167,#135687,.T.); #178570=EDGE_CURVE('',#146167,#146168,#64743,.T.); #178571=EDGE_CURVE('',#146168,#146168,#135688,.T.); #178572=EDGE_CURVE('',#146169,#146170,#64744,.T.); #178573=EDGE_CURVE('',#146171,#146169,#64745,.T.); #178574=EDGE_CURVE('',#146172,#146171,#64746,.T.); #178575=EDGE_CURVE('',#146172,#146170,#64747,.T.); #178576=EDGE_CURVE('',#146170,#146173,#135689,.T.); #178577=EDGE_CURVE('',#146174,#146172,#135690,.T.); #178578=EDGE_CURVE('',#146174,#146173,#64748,.T.); #178579=EDGE_CURVE('',#146173,#146175,#64749,.T.); #178580=EDGE_CURVE('',#146176,#146174,#64750,.T.); #178581=EDGE_CURVE('',#146176,#146175,#64751,.T.); #178582=EDGE_CURVE('',#146175,#146169,#135691,.T.); #178583=EDGE_CURVE('',#146171,#146176,#135692,.T.); #178584=EDGE_CURVE('',#146177,#146178,#64752,.T.); #178585=EDGE_CURVE('',#146179,#146177,#64753,.T.); #178586=EDGE_CURVE('',#146180,#146179,#64754,.T.); #178587=EDGE_CURVE('',#146180,#146178,#64755,.T.); #178588=EDGE_CURVE('',#146178,#146181,#135693,.T.); #178589=EDGE_CURVE('',#146182,#146180,#135694,.T.); #178590=EDGE_CURVE('',#146182,#146181,#64756,.T.); #178591=EDGE_CURVE('',#146181,#146183,#64757,.T.); #178592=EDGE_CURVE('',#146184,#146182,#64758,.T.); #178593=EDGE_CURVE('',#146184,#146183,#64759,.T.); #178594=EDGE_CURVE('',#146183,#146177,#135695,.T.); #178595=EDGE_CURVE('',#146179,#146184,#135696,.T.); #178596=EDGE_CURVE('',#146185,#146186,#64760,.T.); #178597=EDGE_CURVE('',#146187,#146185,#64761,.T.); #178598=EDGE_CURVE('',#146188,#146187,#64762,.T.); #178599=EDGE_CURVE('',#146188,#146186,#64763,.T.); #178600=EDGE_CURVE('',#146186,#146189,#135697,.T.); #178601=EDGE_CURVE('',#146190,#146188,#135698,.T.); #178602=EDGE_CURVE('',#146190,#146189,#64764,.T.); #178603=EDGE_CURVE('',#146189,#146191,#64765,.T.); #178604=EDGE_CURVE('',#146192,#146190,#64766,.T.); #178605=EDGE_CURVE('',#146192,#146191,#64767,.T.); #178606=EDGE_CURVE('',#146191,#146185,#135699,.T.); #178607=EDGE_CURVE('',#146187,#146192,#135700,.T.); #178608=EDGE_CURVE('',#146193,#146193,#135701,.T.); #178609=EDGE_CURVE('',#146193,#146194,#64768,.T.); #178610=EDGE_CURVE('',#146194,#146194,#135702,.T.); #178611=EDGE_CURVE('',#146195,#146195,#135703,.T.); #178612=EDGE_CURVE('',#146195,#146196,#64769,.T.); #178613=EDGE_CURVE('',#146196,#146196,#135704,.T.); #178614=EDGE_CURVE('',#146197,#146197,#135705,.T.); #178615=EDGE_CURVE('',#146197,#146198,#64770,.T.); #178616=EDGE_CURVE('',#146198,#146198,#135706,.T.); #178617=EDGE_CURVE('',#146199,#146199,#135707,.T.); #178618=EDGE_CURVE('',#146199,#146200,#64771,.T.); #178619=EDGE_CURVE('',#146200,#146200,#135708,.T.); #178620=EDGE_CURVE('',#146201,#146201,#135709,.T.); #178621=EDGE_CURVE('',#146201,#146202,#64772,.T.); #178622=EDGE_CURVE('',#146202,#146202,#135710,.T.); #178623=EDGE_CURVE('',#146203,#146203,#135711,.T.); #178624=EDGE_CURVE('',#146203,#146204,#64773,.T.); #178625=EDGE_CURVE('',#146204,#146204,#135712,.T.); #178626=EDGE_CURVE('',#146205,#146205,#135713,.T.); #178627=EDGE_CURVE('',#146205,#146206,#64774,.T.); #178628=EDGE_CURVE('',#146206,#146206,#135714,.T.); #178629=EDGE_CURVE('',#146207,#146207,#135715,.T.); #178630=EDGE_CURVE('',#146207,#146208,#64775,.T.); #178631=EDGE_CURVE('',#146208,#146208,#135716,.T.); #178632=EDGE_CURVE('',#146209,#146209,#135717,.T.); #178633=EDGE_CURVE('',#146209,#146210,#64776,.T.); #178634=EDGE_CURVE('',#146210,#146210,#135718,.T.); #178635=EDGE_CURVE('',#146211,#146211,#135719,.T.); #178636=EDGE_CURVE('',#146211,#146212,#64777,.T.); #178637=EDGE_CURVE('',#146212,#146212,#135720,.T.); #178638=EDGE_CURVE('',#146213,#146213,#135721,.T.); #178639=EDGE_CURVE('',#146213,#146214,#64778,.T.); #178640=EDGE_CURVE('',#146214,#146214,#135722,.T.); #178641=EDGE_CURVE('',#146215,#146215,#135723,.T.); #178642=EDGE_CURVE('',#146215,#146216,#64779,.T.); #178643=EDGE_CURVE('',#146216,#146216,#135724,.T.); #178644=EDGE_CURVE('',#146217,#146217,#135725,.T.); #178645=EDGE_CURVE('',#146217,#146218,#64780,.T.); #178646=EDGE_CURVE('',#146218,#146218,#135726,.T.); #178647=EDGE_CURVE('',#146219,#146219,#135727,.T.); #178648=EDGE_CURVE('',#146219,#146220,#64781,.T.); #178649=EDGE_CURVE('',#146220,#146220,#135728,.T.); #178650=EDGE_CURVE('',#146221,#146221,#135729,.T.); #178651=EDGE_CURVE('',#146221,#146222,#64782,.T.); #178652=EDGE_CURVE('',#146222,#146222,#135730,.T.); #178653=EDGE_CURVE('',#146223,#146223,#135731,.T.); #178654=EDGE_CURVE('',#146223,#146224,#64783,.T.); #178655=EDGE_CURVE('',#146224,#146224,#135732,.T.); #178656=EDGE_CURVE('',#146225,#146225,#135733,.T.); #178657=EDGE_CURVE('',#146225,#146226,#64784,.T.); #178658=EDGE_CURVE('',#146226,#146226,#135734,.T.); #178659=EDGE_CURVE('',#146227,#146227,#135735,.T.); #178660=EDGE_CURVE('',#146227,#146228,#64785,.T.); #178661=EDGE_CURVE('',#146228,#146228,#135736,.T.); #178662=EDGE_CURVE('',#146229,#146229,#135737,.T.); #178663=EDGE_CURVE('',#146229,#146230,#64786,.T.); #178664=EDGE_CURVE('',#146230,#146230,#135738,.T.); #178665=EDGE_CURVE('',#146231,#146232,#64787,.T.); #178666=EDGE_CURVE('',#146232,#146233,#64788,.T.); #178667=EDGE_CURVE('',#146234,#146233,#64789,.T.); #178668=EDGE_CURVE('',#146231,#146234,#64790,.T.); #178669=EDGE_CURVE('',#146235,#146231,#64791,.T.); #178670=EDGE_CURVE('',#146236,#146234,#64792,.T.); #178671=EDGE_CURVE('',#146235,#146236,#64793,.T.); #178672=EDGE_CURVE('',#146237,#146235,#64794,.T.); #178673=EDGE_CURVE('',#146238,#146236,#64795,.T.); #178674=EDGE_CURVE('',#146237,#146238,#64796,.T.); #178675=EDGE_CURVE('',#146239,#146237,#64797,.T.); #178676=EDGE_CURVE('',#146240,#146238,#64798,.T.); #178677=EDGE_CURVE('',#146239,#146240,#64799,.T.); #178678=EDGE_CURVE('',#146241,#146239,#64800,.T.); #178679=EDGE_CURVE('',#146242,#146240,#64801,.T.); #178680=EDGE_CURVE('',#146241,#146242,#64802,.T.); #178681=EDGE_CURVE('',#146243,#146241,#64803,.T.); #178682=EDGE_CURVE('',#146244,#146242,#64804,.T.); #178683=EDGE_CURVE('',#146243,#146244,#64805,.T.); #178684=EDGE_CURVE('',#146245,#146243,#64806,.T.); #178685=EDGE_CURVE('',#146246,#146244,#64807,.T.); #178686=EDGE_CURVE('',#146245,#146246,#64808,.T.); #178687=EDGE_CURVE('',#146232,#146245,#64809,.T.); #178688=EDGE_CURVE('',#146233,#146246,#64810,.T.); #178689=EDGE_CURVE('',#146247,#146248,#64811,.T.); #178690=EDGE_CURVE('',#146248,#146249,#64812,.T.); #178691=EDGE_CURVE('',#146250,#146249,#64813,.T.); #178692=EDGE_CURVE('',#146247,#146250,#64814,.T.); #178693=EDGE_CURVE('',#146251,#146247,#64815,.T.); #178694=EDGE_CURVE('',#146252,#146250,#64816,.T.); #178695=EDGE_CURVE('',#146251,#146252,#64817,.T.); #178696=EDGE_CURVE('',#146253,#146251,#64818,.T.); #178697=EDGE_CURVE('',#146254,#146252,#64819,.T.); #178698=EDGE_CURVE('',#146253,#146254,#64820,.T.); #178699=EDGE_CURVE('',#146255,#146253,#64821,.T.); #178700=EDGE_CURVE('',#146256,#146254,#64822,.T.); #178701=EDGE_CURVE('',#146255,#146256,#64823,.T.); #178702=EDGE_CURVE('',#146257,#146255,#64824,.T.); #178703=EDGE_CURVE('',#146258,#146256,#64825,.T.); #178704=EDGE_CURVE('',#146257,#146258,#64826,.T.); #178705=EDGE_CURVE('',#146259,#146257,#64827,.T.); #178706=EDGE_CURVE('',#146260,#146258,#64828,.T.); #178707=EDGE_CURVE('',#146259,#146260,#64829,.T.); #178708=EDGE_CURVE('',#146261,#146259,#64830,.T.); #178709=EDGE_CURVE('',#146262,#146260,#64831,.T.); #178710=EDGE_CURVE('',#146261,#146262,#64832,.T.); #178711=EDGE_CURVE('',#146263,#146261,#64833,.T.); #178712=EDGE_CURVE('',#146264,#146262,#64834,.T.); #178713=EDGE_CURVE('',#146263,#146264,#64835,.T.); #178714=EDGE_CURVE('',#146265,#146263,#64836,.T.); #178715=EDGE_CURVE('',#146266,#146264,#64837,.T.); #178716=EDGE_CURVE('',#146265,#146266,#64838,.T.); #178717=EDGE_CURVE('',#146267,#146265,#64839,.T.); #178718=EDGE_CURVE('',#146268,#146266,#64840,.T.); #178719=EDGE_CURVE('',#146267,#146268,#64841,.T.); #178720=EDGE_CURVE('',#146269,#146267,#64842,.T.); #178721=EDGE_CURVE('',#146270,#146268,#64843,.T.); #178722=EDGE_CURVE('',#146269,#146270,#64844,.T.); #178723=EDGE_CURVE('',#146271,#146269,#64845,.T.); #178724=EDGE_CURVE('',#146272,#146270,#64846,.T.); #178725=EDGE_CURVE('',#146271,#146272,#64847,.T.); #178726=EDGE_CURVE('',#146273,#146271,#64848,.T.); #178727=EDGE_CURVE('',#146274,#146272,#64849,.T.); #178728=EDGE_CURVE('',#146273,#146274,#64850,.T.); #178729=EDGE_CURVE('',#146275,#146273,#64851,.T.); #178730=EDGE_CURVE('',#146276,#146274,#64852,.T.); #178731=EDGE_CURVE('',#146275,#146276,#64853,.T.); #178732=EDGE_CURVE('',#146277,#146275,#64854,.T.); #178733=EDGE_CURVE('',#146278,#146276,#64855,.T.); #178734=EDGE_CURVE('',#146277,#146278,#64856,.T.); #178735=EDGE_CURVE('',#146248,#146277,#64857,.T.); #178736=EDGE_CURVE('',#146249,#146278,#64858,.T.); #178737=EDGE_CURVE('',#146279,#146280,#64859,.T.); #178738=EDGE_CURVE('',#146280,#146281,#64860,.T.); #178739=EDGE_CURVE('',#146282,#146281,#64861,.T.); #178740=EDGE_CURVE('',#146279,#146282,#64862,.T.); #178741=EDGE_CURVE('',#146283,#146279,#64863,.T.); #178742=EDGE_CURVE('',#146284,#146282,#64864,.T.); #178743=EDGE_CURVE('',#146283,#146284,#64865,.T.); #178744=EDGE_CURVE('',#146285,#146283,#64866,.T.); #178745=EDGE_CURVE('',#146286,#146284,#64867,.T.); #178746=EDGE_CURVE('',#146285,#146286,#64868,.T.); #178747=EDGE_CURVE('',#146287,#146285,#64869,.T.); #178748=EDGE_CURVE('',#146288,#146286,#64870,.T.); #178749=EDGE_CURVE('',#146287,#146288,#64871,.T.); #178750=EDGE_CURVE('',#146289,#146287,#64872,.T.); #178751=EDGE_CURVE('',#146290,#146288,#64873,.T.); #178752=EDGE_CURVE('',#146289,#146290,#64874,.T.); #178753=EDGE_CURVE('',#146291,#146289,#64875,.T.); #178754=EDGE_CURVE('',#146292,#146290,#64876,.T.); #178755=EDGE_CURVE('',#146291,#146292,#64877,.T.); #178756=EDGE_CURVE('',#146293,#146291,#64878,.T.); #178757=EDGE_CURVE('',#146294,#146292,#64879,.T.); #178758=EDGE_CURVE('',#146293,#146294,#64880,.T.); #178759=EDGE_CURVE('',#146295,#146293,#64881,.T.); #178760=EDGE_CURVE('',#146296,#146294,#64882,.T.); #178761=EDGE_CURVE('',#146295,#146296,#64883,.T.); #178762=EDGE_CURVE('',#146297,#146295,#64884,.T.); #178763=EDGE_CURVE('',#146298,#146296,#64885,.T.); #178764=EDGE_CURVE('',#146297,#146298,#64886,.T.); #178765=EDGE_CURVE('',#146299,#146297,#64887,.T.); #178766=EDGE_CURVE('',#146300,#146298,#64888,.T.); #178767=EDGE_CURVE('',#146299,#146300,#64889,.T.); #178768=EDGE_CURVE('',#146301,#146299,#64890,.T.); #178769=EDGE_CURVE('',#146302,#146300,#64891,.T.); #178770=EDGE_CURVE('',#146301,#146302,#64892,.T.); #178771=EDGE_CURVE('',#146303,#146301,#64893,.T.); #178772=EDGE_CURVE('',#146304,#146302,#64894,.T.); #178773=EDGE_CURVE('',#146303,#146304,#64895,.T.); #178774=EDGE_CURVE('',#146305,#146303,#64896,.T.); #178775=EDGE_CURVE('',#146306,#146304,#64897,.T.); #178776=EDGE_CURVE('',#146305,#146306,#64898,.T.); #178777=EDGE_CURVE('',#146307,#146305,#64899,.T.); #178778=EDGE_CURVE('',#146308,#146306,#64900,.T.); #178779=EDGE_CURVE('',#146307,#146308,#64901,.T.); #178780=EDGE_CURVE('',#146309,#146307,#64902,.T.); #178781=EDGE_CURVE('',#146310,#146308,#64903,.T.); #178782=EDGE_CURVE('',#146309,#146310,#64904,.T.); #178783=EDGE_CURVE('',#146280,#146309,#64905,.T.); #178784=EDGE_CURVE('',#146281,#146310,#64906,.T.); #178785=EDGE_CURVE('',#146311,#146312,#64907,.T.); #178786=EDGE_CURVE('',#146312,#146313,#64908,.T.); #178787=EDGE_CURVE('',#146314,#146313,#64909,.T.); #178788=EDGE_CURVE('',#146311,#146314,#64910,.T.); #178789=EDGE_CURVE('',#146315,#146311,#64911,.T.); #178790=EDGE_CURVE('',#146316,#146314,#64912,.T.); #178791=EDGE_CURVE('',#146315,#146316,#64913,.T.); #178792=EDGE_CURVE('',#146317,#146315,#64914,.T.); #178793=EDGE_CURVE('',#146318,#146316,#64915,.T.); #178794=EDGE_CURVE('',#146317,#146318,#64916,.T.); #178795=EDGE_CURVE('',#146319,#146317,#64917,.T.); #178796=EDGE_CURVE('',#146320,#146318,#64918,.T.); #178797=EDGE_CURVE('',#146319,#146320,#64919,.T.); #178798=EDGE_CURVE('',#146321,#146319,#64920,.T.); #178799=EDGE_CURVE('',#146322,#146320,#64921,.T.); #178800=EDGE_CURVE('',#146321,#146322,#64922,.T.); #178801=EDGE_CURVE('',#146323,#146321,#64923,.T.); #178802=EDGE_CURVE('',#146324,#146322,#64924,.T.); #178803=EDGE_CURVE('',#146323,#146324,#64925,.T.); #178804=EDGE_CURVE('',#146325,#146323,#64926,.T.); #178805=EDGE_CURVE('',#146326,#146324,#64927,.T.); #178806=EDGE_CURVE('',#146325,#146326,#64928,.T.); #178807=EDGE_CURVE('',#146327,#146325,#64929,.T.); #178808=EDGE_CURVE('',#146328,#146326,#64930,.T.); #178809=EDGE_CURVE('',#146327,#146328,#64931,.T.); #178810=EDGE_CURVE('',#146329,#146327,#64932,.T.); #178811=EDGE_CURVE('',#146330,#146328,#64933,.T.); #178812=EDGE_CURVE('',#146329,#146330,#64934,.T.); #178813=EDGE_CURVE('',#146331,#146329,#64935,.T.); #178814=EDGE_CURVE('',#146332,#146330,#64936,.T.); #178815=EDGE_CURVE('',#146331,#146332,#64937,.T.); #178816=EDGE_CURVE('',#146333,#146331,#64938,.T.); #178817=EDGE_CURVE('',#146334,#146332,#64939,.T.); #178818=EDGE_CURVE('',#146333,#146334,#64940,.T.); #178819=EDGE_CURVE('',#146335,#146333,#64941,.T.); #178820=EDGE_CURVE('',#146336,#146334,#64942,.T.); #178821=EDGE_CURVE('',#146335,#146336,#64943,.T.); #178822=EDGE_CURVE('',#146337,#146335,#64944,.T.); #178823=EDGE_CURVE('',#146338,#146336,#64945,.T.); #178824=EDGE_CURVE('',#146337,#146338,#64946,.T.); #178825=EDGE_CURVE('',#146339,#146337,#64947,.T.); #178826=EDGE_CURVE('',#146340,#146338,#64948,.T.); #178827=EDGE_CURVE('',#146339,#146340,#64949,.T.); #178828=EDGE_CURVE('',#146341,#146339,#64950,.T.); #178829=EDGE_CURVE('',#146342,#146340,#64951,.T.); #178830=EDGE_CURVE('',#146341,#146342,#64952,.T.); #178831=EDGE_CURVE('',#146343,#146341,#64953,.T.); #178832=EDGE_CURVE('',#146344,#146342,#64954,.T.); #178833=EDGE_CURVE('',#146343,#146344,#64955,.T.); #178834=EDGE_CURVE('',#146345,#146343,#64956,.T.); #178835=EDGE_CURVE('',#146346,#146344,#64957,.T.); #178836=EDGE_CURVE('',#146345,#146346,#64958,.T.); #178837=EDGE_CURVE('',#146347,#146345,#64959,.T.); #178838=EDGE_CURVE('',#146348,#146346,#64960,.T.); #178839=EDGE_CURVE('',#146347,#146348,#64961,.T.); #178840=EDGE_CURVE('',#146349,#146347,#64962,.T.); #178841=EDGE_CURVE('',#146350,#146348,#64963,.T.); #178842=EDGE_CURVE('',#146349,#146350,#64964,.T.); #178843=EDGE_CURVE('',#146351,#146349,#64965,.T.); #178844=EDGE_CURVE('',#146352,#146350,#64966,.T.); #178845=EDGE_CURVE('',#146351,#146352,#64967,.T.); #178846=EDGE_CURVE('',#146353,#146351,#64968,.T.); #178847=EDGE_CURVE('',#146354,#146352,#64969,.T.); #178848=EDGE_CURVE('',#146353,#146354,#64970,.T.); #178849=EDGE_CURVE('',#146355,#146353,#64971,.T.); #178850=EDGE_CURVE('',#146356,#146354,#64972,.T.); #178851=EDGE_CURVE('',#146355,#146356,#64973,.T.); #178852=EDGE_CURVE('',#146357,#146355,#64974,.T.); #178853=EDGE_CURVE('',#146358,#146356,#64975,.T.); #178854=EDGE_CURVE('',#146357,#146358,#64976,.T.); #178855=EDGE_CURVE('',#146359,#146357,#64977,.T.); #178856=EDGE_CURVE('',#146360,#146358,#64978,.T.); #178857=EDGE_CURVE('',#146359,#146360,#64979,.T.); #178858=EDGE_CURVE('',#146361,#146359,#64980,.T.); #178859=EDGE_CURVE('',#146362,#146360,#64981,.T.); #178860=EDGE_CURVE('',#146361,#146362,#64982,.T.); #178861=EDGE_CURVE('',#146363,#146361,#64983,.T.); #178862=EDGE_CURVE('',#146364,#146362,#64984,.T.); #178863=EDGE_CURVE('',#146363,#146364,#64985,.T.); #178864=EDGE_CURVE('',#146365,#146363,#64986,.T.); #178865=EDGE_CURVE('',#146366,#146364,#64987,.T.); #178866=EDGE_CURVE('',#146365,#146366,#64988,.T.); #178867=EDGE_CURVE('',#146367,#146365,#64989,.T.); #178868=EDGE_CURVE('',#146368,#146366,#64990,.T.); #178869=EDGE_CURVE('',#146367,#146368,#64991,.T.); #178870=EDGE_CURVE('',#146369,#146367,#64992,.T.); #178871=EDGE_CURVE('',#146370,#146368,#64993,.T.); #178872=EDGE_CURVE('',#146369,#146370,#64994,.T.); #178873=EDGE_CURVE('',#146371,#146369,#64995,.T.); #178874=EDGE_CURVE('',#146372,#146370,#64996,.T.); #178875=EDGE_CURVE('',#146371,#146372,#64997,.T.); #178876=EDGE_CURVE('',#146373,#146371,#64998,.T.); #178877=EDGE_CURVE('',#146374,#146372,#64999,.T.); #178878=EDGE_CURVE('',#146373,#146374,#65000,.T.); #178879=EDGE_CURVE('',#146375,#146373,#65001,.T.); #178880=EDGE_CURVE('',#146376,#146374,#65002,.T.); #178881=EDGE_CURVE('',#146375,#146376,#65003,.T.); #178882=EDGE_CURVE('',#146377,#146375,#65004,.T.); #178883=EDGE_CURVE('',#146378,#146376,#65005,.T.); #178884=EDGE_CURVE('',#146377,#146378,#65006,.T.); #178885=EDGE_CURVE('',#146379,#146377,#65007,.T.); #178886=EDGE_CURVE('',#146380,#146378,#65008,.T.); #178887=EDGE_CURVE('',#146379,#146380,#65009,.T.); #178888=EDGE_CURVE('',#146381,#146379,#65010,.T.); #178889=EDGE_CURVE('',#146382,#146380,#65011,.T.); #178890=EDGE_CURVE('',#146381,#146382,#65012,.T.); #178891=EDGE_CURVE('',#146312,#146381,#65013,.T.); #178892=EDGE_CURVE('',#146313,#146382,#65014,.T.); #178893=EDGE_CURVE('',#146383,#146384,#65015,.T.); #178894=EDGE_CURVE('',#146384,#146385,#65016,.T.); #178895=EDGE_CURVE('',#146386,#146385,#65017,.T.); #178896=EDGE_CURVE('',#146383,#146386,#65018,.T.); #178897=EDGE_CURVE('',#146387,#146383,#65019,.T.); #178898=EDGE_CURVE('',#146388,#146386,#65020,.T.); #178899=EDGE_CURVE('',#146387,#146388,#65021,.T.); #178900=EDGE_CURVE('',#146389,#146387,#65022,.T.); #178901=EDGE_CURVE('',#146390,#146388,#65023,.T.); #178902=EDGE_CURVE('',#146389,#146390,#65024,.T.); #178903=EDGE_CURVE('',#146391,#146389,#65025,.T.); #178904=EDGE_CURVE('',#146392,#146390,#65026,.T.); #178905=EDGE_CURVE('',#146391,#146392,#65027,.T.); #178906=EDGE_CURVE('',#146393,#146391,#65028,.T.); #178907=EDGE_CURVE('',#146394,#146392,#65029,.T.); #178908=EDGE_CURVE('',#146393,#146394,#65030,.T.); #178909=EDGE_CURVE('',#146395,#146393,#65031,.T.); #178910=EDGE_CURVE('',#146396,#146394,#65032,.T.); #178911=EDGE_CURVE('',#146395,#146396,#65033,.T.); #178912=EDGE_CURVE('',#146397,#146395,#65034,.T.); #178913=EDGE_CURVE('',#146398,#146396,#65035,.T.); #178914=EDGE_CURVE('',#146397,#146398,#65036,.T.); #178915=EDGE_CURVE('',#146399,#146397,#65037,.T.); #178916=EDGE_CURVE('',#146400,#146398,#65038,.T.); #178917=EDGE_CURVE('',#146399,#146400,#65039,.T.); #178918=EDGE_CURVE('',#146401,#146399,#65040,.T.); #178919=EDGE_CURVE('',#146402,#146400,#65041,.T.); #178920=EDGE_CURVE('',#146401,#146402,#65042,.T.); #178921=EDGE_CURVE('',#146403,#146401,#65043,.T.); #178922=EDGE_CURVE('',#146404,#146402,#65044,.T.); #178923=EDGE_CURVE('',#146403,#146404,#65045,.T.); #178924=EDGE_CURVE('',#146405,#146403,#65046,.T.); #178925=EDGE_CURVE('',#146406,#146404,#65047,.T.); #178926=EDGE_CURVE('',#146405,#146406,#65048,.T.); #178927=EDGE_CURVE('',#146407,#146405,#65049,.T.); #178928=EDGE_CURVE('',#146408,#146406,#65050,.T.); #178929=EDGE_CURVE('',#146407,#146408,#65051,.T.); #178930=EDGE_CURVE('',#146409,#146407,#65052,.T.); #178931=EDGE_CURVE('',#146410,#146408,#65053,.T.); #178932=EDGE_CURVE('',#146409,#146410,#65054,.T.); #178933=EDGE_CURVE('',#146411,#146409,#65055,.T.); #178934=EDGE_CURVE('',#146412,#146410,#65056,.T.); #178935=EDGE_CURVE('',#146411,#146412,#65057,.T.); #178936=EDGE_CURVE('',#146413,#146411,#65058,.T.); #178937=EDGE_CURVE('',#146414,#146412,#65059,.T.); #178938=EDGE_CURVE('',#146413,#146414,#65060,.T.); #178939=EDGE_CURVE('',#146415,#146413,#65061,.T.); #178940=EDGE_CURVE('',#146416,#146414,#65062,.T.); #178941=EDGE_CURVE('',#146415,#146416,#65063,.T.); #178942=EDGE_CURVE('',#146417,#146415,#65064,.T.); #178943=EDGE_CURVE('',#146418,#146416,#65065,.T.); #178944=EDGE_CURVE('',#146417,#146418,#65066,.T.); #178945=EDGE_CURVE('',#146419,#146417,#65067,.T.); #178946=EDGE_CURVE('',#146420,#146418,#65068,.T.); #178947=EDGE_CURVE('',#146419,#146420,#65069,.T.); #178948=EDGE_CURVE('',#146421,#146419,#65070,.T.); #178949=EDGE_CURVE('',#146422,#146420,#65071,.T.); #178950=EDGE_CURVE('',#146421,#146422,#65072,.T.); #178951=EDGE_CURVE('',#146423,#146421,#65073,.T.); #178952=EDGE_CURVE('',#146424,#146422,#65074,.T.); #178953=EDGE_CURVE('',#146423,#146424,#65075,.T.); #178954=EDGE_CURVE('',#146425,#146423,#65076,.T.); #178955=EDGE_CURVE('',#146426,#146424,#65077,.T.); #178956=EDGE_CURVE('',#146425,#146426,#65078,.T.); #178957=EDGE_CURVE('',#146427,#146425,#65079,.T.); #178958=EDGE_CURVE('',#146428,#146426,#65080,.T.); #178959=EDGE_CURVE('',#146427,#146428,#65081,.T.); #178960=EDGE_CURVE('',#146429,#146427,#65082,.T.); #178961=EDGE_CURVE('',#146430,#146428,#65083,.T.); #178962=EDGE_CURVE('',#146429,#146430,#65084,.T.); #178963=EDGE_CURVE('',#146431,#146429,#65085,.T.); #178964=EDGE_CURVE('',#146432,#146430,#65086,.T.); #178965=EDGE_CURVE('',#146431,#146432,#65087,.T.); #178966=EDGE_CURVE('',#146433,#146431,#65088,.T.); #178967=EDGE_CURVE('',#146434,#146432,#65089,.T.); #178968=EDGE_CURVE('',#146433,#146434,#65090,.T.); #178969=EDGE_CURVE('',#146435,#146433,#65091,.T.); #178970=EDGE_CURVE('',#146436,#146434,#65092,.T.); #178971=EDGE_CURVE('',#146435,#146436,#65093,.T.); #178972=EDGE_CURVE('',#146437,#146435,#65094,.T.); #178973=EDGE_CURVE('',#146438,#146436,#65095,.T.); #178974=EDGE_CURVE('',#146437,#146438,#65096,.T.); #178975=EDGE_CURVE('',#146439,#146437,#65097,.T.); #178976=EDGE_CURVE('',#146440,#146438,#65098,.T.); #178977=EDGE_CURVE('',#146439,#146440,#65099,.T.); #178978=EDGE_CURVE('',#146441,#146439,#65100,.T.); #178979=EDGE_CURVE('',#146442,#146440,#65101,.T.); #178980=EDGE_CURVE('',#146441,#146442,#65102,.T.); #178981=EDGE_CURVE('',#146443,#146441,#65103,.T.); #178982=EDGE_CURVE('',#146444,#146442,#65104,.T.); #178983=EDGE_CURVE('',#146443,#146444,#65105,.T.); #178984=EDGE_CURVE('',#146445,#146443,#65106,.T.); #178985=EDGE_CURVE('',#146446,#146444,#65107,.T.); #178986=EDGE_CURVE('',#146445,#146446,#65108,.T.); #178987=EDGE_CURVE('',#146447,#146445,#65109,.T.); #178988=EDGE_CURVE('',#146448,#146446,#65110,.T.); #178989=EDGE_CURVE('',#146447,#146448,#65111,.T.); #178990=EDGE_CURVE('',#146449,#146447,#65112,.T.); #178991=EDGE_CURVE('',#146450,#146448,#65113,.T.); #178992=EDGE_CURVE('',#146449,#146450,#65114,.T.); #178993=EDGE_CURVE('',#146451,#146449,#65115,.T.); #178994=EDGE_CURVE('',#146452,#146450,#65116,.T.); #178995=EDGE_CURVE('',#146451,#146452,#65117,.T.); #178996=EDGE_CURVE('',#146453,#146451,#65118,.T.); #178997=EDGE_CURVE('',#146454,#146452,#65119,.T.); #178998=EDGE_CURVE('',#146453,#146454,#65120,.T.); #178999=EDGE_CURVE('',#146384,#146453,#65121,.T.); #179000=EDGE_CURVE('',#146385,#146454,#65122,.T.); #179001=EDGE_CURVE('',#146455,#146456,#65123,.T.); #179002=EDGE_CURVE('',#146456,#146457,#65124,.T.); #179003=EDGE_CURVE('',#146458,#146457,#65125,.T.); #179004=EDGE_CURVE('',#146455,#146458,#65126,.T.); #179005=EDGE_CURVE('',#146459,#146455,#65127,.T.); #179006=EDGE_CURVE('',#146460,#146458,#65128,.T.); #179007=EDGE_CURVE('',#146459,#146460,#65129,.T.); #179008=EDGE_CURVE('',#146461,#146459,#65130,.T.); #179009=EDGE_CURVE('',#146462,#146460,#65131,.T.); #179010=EDGE_CURVE('',#146461,#146462,#65132,.T.); #179011=EDGE_CURVE('',#146463,#146461,#65133,.T.); #179012=EDGE_CURVE('',#146464,#146462,#65134,.T.); #179013=EDGE_CURVE('',#146463,#146464,#65135,.T.); #179014=EDGE_CURVE('',#146465,#146463,#65136,.T.); #179015=EDGE_CURVE('',#146466,#146464,#65137,.T.); #179016=EDGE_CURVE('',#146465,#146466,#65138,.T.); #179017=EDGE_CURVE('',#146467,#146465,#65139,.T.); #179018=EDGE_CURVE('',#146468,#146466,#65140,.T.); #179019=EDGE_CURVE('',#146467,#146468,#65141,.T.); #179020=EDGE_CURVE('',#146469,#146467,#65142,.T.); #179021=EDGE_CURVE('',#146470,#146468,#65143,.T.); #179022=EDGE_CURVE('',#146469,#146470,#65144,.T.); #179023=EDGE_CURVE('',#146471,#146469,#65145,.T.); #179024=EDGE_CURVE('',#146472,#146470,#65146,.T.); #179025=EDGE_CURVE('',#146471,#146472,#65147,.T.); #179026=EDGE_CURVE('',#146473,#146471,#65148,.T.); #179027=EDGE_CURVE('',#146474,#146472,#65149,.T.); #179028=EDGE_CURVE('',#146473,#146474,#65150,.T.); #179029=EDGE_CURVE('',#146475,#146473,#65151,.T.); #179030=EDGE_CURVE('',#146476,#146474,#65152,.T.); #179031=EDGE_CURVE('',#146475,#146476,#65153,.T.); #179032=EDGE_CURVE('',#146477,#146475,#65154,.T.); #179033=EDGE_CURVE('',#146478,#146476,#65155,.T.); #179034=EDGE_CURVE('',#146477,#146478,#65156,.T.); #179035=EDGE_CURVE('',#146479,#146477,#65157,.T.); #179036=EDGE_CURVE('',#146480,#146478,#65158,.T.); #179037=EDGE_CURVE('',#146479,#146480,#65159,.T.); #179038=EDGE_CURVE('',#146481,#146479,#65160,.T.); #179039=EDGE_CURVE('',#146482,#146480,#65161,.T.); #179040=EDGE_CURVE('',#146481,#146482,#65162,.T.); #179041=EDGE_CURVE('',#146483,#146481,#65163,.T.); #179042=EDGE_CURVE('',#146484,#146482,#65164,.T.); #179043=EDGE_CURVE('',#146483,#146484,#65165,.T.); #179044=EDGE_CURVE('',#146485,#146483,#65166,.T.); #179045=EDGE_CURVE('',#146486,#146484,#65167,.T.); #179046=EDGE_CURVE('',#146485,#146486,#65168,.T.); #179047=EDGE_CURVE('',#146487,#146485,#65169,.T.); #179048=EDGE_CURVE('',#146488,#146486,#65170,.T.); #179049=EDGE_CURVE('',#146487,#146488,#65171,.T.); #179050=EDGE_CURVE('',#146489,#146487,#65172,.T.); #179051=EDGE_CURVE('',#146490,#146488,#65173,.T.); #179052=EDGE_CURVE('',#146489,#146490,#65174,.T.); #179053=EDGE_CURVE('',#146491,#146489,#65175,.T.); #179054=EDGE_CURVE('',#146492,#146490,#65176,.T.); #179055=EDGE_CURVE('',#146491,#146492,#65177,.T.); #179056=EDGE_CURVE('',#146493,#146491,#65178,.T.); #179057=EDGE_CURVE('',#146494,#146492,#65179,.T.); #179058=EDGE_CURVE('',#146493,#146494,#65180,.T.); #179059=EDGE_CURVE('',#146495,#146493,#65181,.T.); #179060=EDGE_CURVE('',#146496,#146494,#65182,.T.); #179061=EDGE_CURVE('',#146495,#146496,#65183,.T.); #179062=EDGE_CURVE('',#146497,#146495,#65184,.T.); #179063=EDGE_CURVE('',#146498,#146496,#65185,.T.); #179064=EDGE_CURVE('',#146497,#146498,#65186,.T.); #179065=EDGE_CURVE('',#146499,#146497,#65187,.T.); #179066=EDGE_CURVE('',#146500,#146498,#65188,.T.); #179067=EDGE_CURVE('',#146499,#146500,#65189,.T.); #179068=EDGE_CURVE('',#146501,#146499,#65190,.T.); #179069=EDGE_CURVE('',#146502,#146500,#65191,.T.); #179070=EDGE_CURVE('',#146501,#146502,#65192,.T.); #179071=EDGE_CURVE('',#146503,#146501,#65193,.T.); #179072=EDGE_CURVE('',#146504,#146502,#65194,.T.); #179073=EDGE_CURVE('',#146503,#146504,#65195,.T.); #179074=EDGE_CURVE('',#146505,#146503,#65196,.T.); #179075=EDGE_CURVE('',#146506,#146504,#65197,.T.); #179076=EDGE_CURVE('',#146505,#146506,#65198,.T.); #179077=EDGE_CURVE('',#146507,#146505,#65199,.T.); #179078=EDGE_CURVE('',#146508,#146506,#65200,.T.); #179079=EDGE_CURVE('',#146507,#146508,#65201,.T.); #179080=EDGE_CURVE('',#146509,#146507,#65202,.T.); #179081=EDGE_CURVE('',#146510,#146508,#65203,.T.); #179082=EDGE_CURVE('',#146509,#146510,#65204,.T.); #179083=EDGE_CURVE('',#146511,#146509,#65205,.T.); #179084=EDGE_CURVE('',#146512,#146510,#65206,.T.); #179085=EDGE_CURVE('',#146511,#146512,#65207,.T.); #179086=EDGE_CURVE('',#146513,#146511,#65208,.T.); #179087=EDGE_CURVE('',#146514,#146512,#65209,.T.); #179088=EDGE_CURVE('',#146513,#146514,#65210,.T.); #179089=EDGE_CURVE('',#146515,#146513,#65211,.T.); #179090=EDGE_CURVE('',#146516,#146514,#65212,.T.); #179091=EDGE_CURVE('',#146515,#146516,#65213,.T.); #179092=EDGE_CURVE('',#146517,#146515,#65214,.T.); #179093=EDGE_CURVE('',#146518,#146516,#65215,.T.); #179094=EDGE_CURVE('',#146517,#146518,#65216,.T.); #179095=EDGE_CURVE('',#146519,#146517,#65217,.T.); #179096=EDGE_CURVE('',#146520,#146518,#65218,.T.); #179097=EDGE_CURVE('',#146519,#146520,#65219,.T.); #179098=EDGE_CURVE('',#146521,#146519,#65220,.T.); #179099=EDGE_CURVE('',#146522,#146520,#65221,.T.); #179100=EDGE_CURVE('',#146521,#146522,#65222,.T.); #179101=EDGE_CURVE('',#146523,#146521,#65223,.T.); #179102=EDGE_CURVE('',#146524,#146522,#65224,.T.); #179103=EDGE_CURVE('',#146523,#146524,#65225,.T.); #179104=EDGE_CURVE('',#146525,#146523,#65226,.T.); #179105=EDGE_CURVE('',#146526,#146524,#65227,.T.); #179106=EDGE_CURVE('',#146525,#146526,#65228,.T.); #179107=EDGE_CURVE('',#146527,#146525,#65229,.T.); #179108=EDGE_CURVE('',#146528,#146526,#65230,.T.); #179109=EDGE_CURVE('',#146527,#146528,#65231,.T.); #179110=EDGE_CURVE('',#146529,#146527,#65232,.T.); #179111=EDGE_CURVE('',#146530,#146528,#65233,.T.); #179112=EDGE_CURVE('',#146529,#146530,#65234,.T.); #179113=EDGE_CURVE('',#146531,#146529,#65235,.T.); #179114=EDGE_CURVE('',#146532,#146530,#65236,.T.); #179115=EDGE_CURVE('',#146531,#146532,#65237,.T.); #179116=EDGE_CURVE('',#146533,#146531,#65238,.T.); #179117=EDGE_CURVE('',#146534,#146532,#65239,.T.); #179118=EDGE_CURVE('',#146533,#146534,#65240,.T.); #179119=EDGE_CURVE('',#146535,#146533,#65241,.T.); #179120=EDGE_CURVE('',#146536,#146534,#65242,.T.); #179121=EDGE_CURVE('',#146535,#146536,#65243,.T.); #179122=EDGE_CURVE('',#146537,#146535,#65244,.T.); #179123=EDGE_CURVE('',#146538,#146536,#65245,.T.); #179124=EDGE_CURVE('',#146537,#146538,#65246,.T.); #179125=EDGE_CURVE('',#146539,#146537,#65247,.T.); #179126=EDGE_CURVE('',#146540,#146538,#65248,.T.); #179127=EDGE_CURVE('',#146539,#146540,#65249,.T.); #179128=EDGE_CURVE('',#146541,#146539,#65250,.T.); #179129=EDGE_CURVE('',#146542,#146540,#65251,.T.); #179130=EDGE_CURVE('',#146541,#146542,#65252,.T.); #179131=EDGE_CURVE('',#146543,#146541,#65253,.T.); #179132=EDGE_CURVE('',#146544,#146542,#65254,.T.); #179133=EDGE_CURVE('',#146543,#146544,#65255,.T.); #179134=EDGE_CURVE('',#146545,#146543,#65256,.T.); #179135=EDGE_CURVE('',#146546,#146544,#65257,.T.); #179136=EDGE_CURVE('',#146545,#146546,#65258,.T.); #179137=EDGE_CURVE('',#146547,#146545,#65259,.T.); #179138=EDGE_CURVE('',#146548,#146546,#65260,.T.); #179139=EDGE_CURVE('',#146547,#146548,#65261,.T.); #179140=EDGE_CURVE('',#146549,#146547,#65262,.T.); #179141=EDGE_CURVE('',#146550,#146548,#65263,.T.); #179142=EDGE_CURVE('',#146549,#146550,#65264,.T.); #179143=EDGE_CURVE('',#146551,#146549,#65265,.T.); #179144=EDGE_CURVE('',#146552,#146550,#65266,.T.); #179145=EDGE_CURVE('',#146551,#146552,#65267,.T.); #179146=EDGE_CURVE('',#146553,#146551,#65268,.T.); #179147=EDGE_CURVE('',#146554,#146552,#65269,.T.); #179148=EDGE_CURVE('',#146553,#146554,#65270,.T.); #179149=EDGE_CURVE('',#146555,#146553,#65271,.T.); #179150=EDGE_CURVE('',#146556,#146554,#65272,.T.); #179151=EDGE_CURVE('',#146555,#146556,#65273,.T.); #179152=EDGE_CURVE('',#146557,#146555,#65274,.T.); #179153=EDGE_CURVE('',#146558,#146556,#65275,.T.); #179154=EDGE_CURVE('',#146557,#146558,#65276,.T.); #179155=EDGE_CURVE('',#146559,#146557,#65277,.T.); #179156=EDGE_CURVE('',#146560,#146558,#65278,.T.); #179157=EDGE_CURVE('',#146559,#146560,#65279,.T.); #179158=EDGE_CURVE('',#146561,#146559,#65280,.T.); #179159=EDGE_CURVE('',#146562,#146560,#65281,.T.); #179160=EDGE_CURVE('',#146561,#146562,#65282,.T.); #179161=EDGE_CURVE('',#146563,#146561,#65283,.T.); #179162=EDGE_CURVE('',#146564,#146562,#65284,.T.); #179163=EDGE_CURVE('',#146563,#146564,#65285,.T.); #179164=EDGE_CURVE('',#146565,#146563,#65286,.T.); #179165=EDGE_CURVE('',#146566,#146564,#65287,.T.); #179166=EDGE_CURVE('',#146565,#146566,#65288,.T.); #179167=EDGE_CURVE('',#146567,#146565,#65289,.T.); #179168=EDGE_CURVE('',#146568,#146566,#65290,.T.); #179169=EDGE_CURVE('',#146567,#146568,#65291,.T.); #179170=EDGE_CURVE('',#146569,#146567,#65292,.T.); #179171=EDGE_CURVE('',#146570,#146568,#65293,.T.); #179172=EDGE_CURVE('',#146569,#146570,#65294,.T.); #179173=EDGE_CURVE('',#146571,#146569,#65295,.T.); #179174=EDGE_CURVE('',#146572,#146570,#65296,.T.); #179175=EDGE_CURVE('',#146571,#146572,#65297,.T.); #179176=EDGE_CURVE('',#146573,#146571,#65298,.T.); #179177=EDGE_CURVE('',#146574,#146572,#65299,.T.); #179178=EDGE_CURVE('',#146573,#146574,#65300,.T.); #179179=EDGE_CURVE('',#146575,#146573,#65301,.T.); #179180=EDGE_CURVE('',#146576,#146574,#65302,.T.); #179181=EDGE_CURVE('',#146575,#146576,#65303,.T.); #179182=EDGE_CURVE('',#146577,#146575,#65304,.T.); #179183=EDGE_CURVE('',#146578,#146576,#65305,.T.); #179184=EDGE_CURVE('',#146577,#146578,#65306,.T.); #179185=EDGE_CURVE('',#146579,#146577,#65307,.T.); #179186=EDGE_CURVE('',#146580,#146578,#65308,.T.); #179187=EDGE_CURVE('',#146579,#146580,#65309,.T.); #179188=EDGE_CURVE('',#146581,#146579,#65310,.T.); #179189=EDGE_CURVE('',#146582,#146580,#65311,.T.); #179190=EDGE_CURVE('',#146581,#146582,#65312,.T.); #179191=EDGE_CURVE('',#146583,#146581,#65313,.T.); #179192=EDGE_CURVE('',#146584,#146582,#65314,.T.); #179193=EDGE_CURVE('',#146583,#146584,#65315,.T.); #179194=EDGE_CURVE('',#146585,#146583,#65316,.T.); #179195=EDGE_CURVE('',#146586,#146584,#65317,.T.); #179196=EDGE_CURVE('',#146585,#146586,#65318,.T.); #179197=EDGE_CURVE('',#146587,#146585,#65319,.T.); #179198=EDGE_CURVE('',#146588,#146586,#65320,.T.); #179199=EDGE_CURVE('',#146587,#146588,#65321,.T.); #179200=EDGE_CURVE('',#146589,#146587,#65322,.T.); #179201=EDGE_CURVE('',#146590,#146588,#65323,.T.); #179202=EDGE_CURVE('',#146589,#146590,#65324,.T.); #179203=EDGE_CURVE('',#146591,#146589,#65325,.T.); #179204=EDGE_CURVE('',#146592,#146590,#65326,.T.); #179205=EDGE_CURVE('',#146591,#146592,#65327,.T.); #179206=EDGE_CURVE('',#146593,#146591,#65328,.T.); #179207=EDGE_CURVE('',#146594,#146592,#65329,.T.); #179208=EDGE_CURVE('',#146593,#146594,#65330,.T.); #179209=EDGE_CURVE('',#146595,#146593,#65331,.T.); #179210=EDGE_CURVE('',#146596,#146594,#65332,.T.); #179211=EDGE_CURVE('',#146595,#146596,#65333,.T.); #179212=EDGE_CURVE('',#146597,#146595,#65334,.T.); #179213=EDGE_CURVE('',#146598,#146596,#65335,.T.); #179214=EDGE_CURVE('',#146597,#146598,#65336,.T.); #179215=EDGE_CURVE('',#146599,#146597,#65337,.T.); #179216=EDGE_CURVE('',#146600,#146598,#65338,.T.); #179217=EDGE_CURVE('',#146599,#146600,#65339,.T.); #179218=EDGE_CURVE('',#146601,#146599,#65340,.T.); #179219=EDGE_CURVE('',#146602,#146600,#65341,.T.); #179220=EDGE_CURVE('',#146601,#146602,#65342,.T.); #179221=EDGE_CURVE('',#146603,#146601,#65343,.T.); #179222=EDGE_CURVE('',#146604,#146602,#65344,.T.); #179223=EDGE_CURVE('',#146603,#146604,#65345,.T.); #179224=EDGE_CURVE('',#146605,#146603,#65346,.T.); #179225=EDGE_CURVE('',#146606,#146604,#65347,.T.); #179226=EDGE_CURVE('',#146605,#146606,#65348,.T.); #179227=EDGE_CURVE('',#146607,#146605,#65349,.T.); #179228=EDGE_CURVE('',#146608,#146606,#65350,.T.); #179229=EDGE_CURVE('',#146607,#146608,#65351,.T.); #179230=EDGE_CURVE('',#146609,#146607,#65352,.T.); #179231=EDGE_CURVE('',#146610,#146608,#65353,.T.); #179232=EDGE_CURVE('',#146609,#146610,#65354,.T.); #179233=EDGE_CURVE('',#146611,#146609,#65355,.T.); #179234=EDGE_CURVE('',#146612,#146610,#65356,.T.); #179235=EDGE_CURVE('',#146611,#146612,#65357,.T.); #179236=EDGE_CURVE('',#146613,#146611,#65358,.T.); #179237=EDGE_CURVE('',#146614,#146612,#65359,.T.); #179238=EDGE_CURVE('',#146613,#146614,#65360,.T.); #179239=EDGE_CURVE('',#146615,#146613,#65361,.T.); #179240=EDGE_CURVE('',#146616,#146614,#65362,.T.); #179241=EDGE_CURVE('',#146615,#146616,#65363,.T.); #179242=EDGE_CURVE('',#146617,#146615,#65364,.T.); #179243=EDGE_CURVE('',#146618,#146616,#65365,.T.); #179244=EDGE_CURVE('',#146617,#146618,#65366,.T.); #179245=EDGE_CURVE('',#146619,#146617,#65367,.T.); #179246=EDGE_CURVE('',#146620,#146618,#65368,.T.); #179247=EDGE_CURVE('',#146619,#146620,#65369,.T.); #179248=EDGE_CURVE('',#146621,#146619,#65370,.T.); #179249=EDGE_CURVE('',#146622,#146620,#65371,.T.); #179250=EDGE_CURVE('',#146621,#146622,#65372,.T.); #179251=EDGE_CURVE('',#146623,#146621,#65373,.T.); #179252=EDGE_CURVE('',#146624,#146622,#65374,.T.); #179253=EDGE_CURVE('',#146623,#146624,#65375,.T.); #179254=EDGE_CURVE('',#146625,#146623,#65376,.T.); #179255=EDGE_CURVE('',#146626,#146624,#65377,.T.); #179256=EDGE_CURVE('',#146625,#146626,#65378,.T.); #179257=EDGE_CURVE('',#146627,#146625,#65379,.T.); #179258=EDGE_CURVE('',#146628,#146626,#65380,.T.); #179259=EDGE_CURVE('',#146627,#146628,#65381,.T.); #179260=EDGE_CURVE('',#146629,#146627,#65382,.T.); #179261=EDGE_CURVE('',#146630,#146628,#65383,.T.); #179262=EDGE_CURVE('',#146629,#146630,#65384,.T.); #179263=EDGE_CURVE('',#146631,#146629,#65385,.T.); #179264=EDGE_CURVE('',#146632,#146630,#65386,.T.); #179265=EDGE_CURVE('',#146631,#146632,#65387,.T.); #179266=EDGE_CURVE('',#146633,#146631,#65388,.T.); #179267=EDGE_CURVE('',#146634,#146632,#65389,.T.); #179268=EDGE_CURVE('',#146633,#146634,#65390,.T.); #179269=EDGE_CURVE('',#146635,#146633,#65391,.T.); #179270=EDGE_CURVE('',#146636,#146634,#65392,.T.); #179271=EDGE_CURVE('',#146635,#146636,#65393,.T.); #179272=EDGE_CURVE('',#146637,#146635,#65394,.T.); #179273=EDGE_CURVE('',#146638,#146636,#65395,.T.); #179274=EDGE_CURVE('',#146637,#146638,#65396,.T.); #179275=EDGE_CURVE('',#146639,#146637,#65397,.T.); #179276=EDGE_CURVE('',#146640,#146638,#65398,.T.); #179277=EDGE_CURVE('',#146639,#146640,#65399,.T.); #179278=EDGE_CURVE('',#146641,#146639,#65400,.T.); #179279=EDGE_CURVE('',#146642,#146640,#65401,.T.); #179280=EDGE_CURVE('',#146641,#146642,#65402,.T.); #179281=EDGE_CURVE('',#146643,#146641,#65403,.T.); #179282=EDGE_CURVE('',#146644,#146642,#65404,.T.); #179283=EDGE_CURVE('',#146643,#146644,#65405,.T.); #179284=EDGE_CURVE('',#146645,#146643,#65406,.T.); #179285=EDGE_CURVE('',#146646,#146644,#65407,.T.); #179286=EDGE_CURVE('',#146645,#146646,#65408,.T.); #179287=EDGE_CURVE('',#146647,#146645,#65409,.T.); #179288=EDGE_CURVE('',#146648,#146646,#65410,.T.); #179289=EDGE_CURVE('',#146647,#146648,#65411,.T.); #179290=EDGE_CURVE('',#146649,#146647,#65412,.T.); #179291=EDGE_CURVE('',#146650,#146648,#65413,.T.); #179292=EDGE_CURVE('',#146649,#146650,#65414,.T.); #179293=EDGE_CURVE('',#146651,#146649,#65415,.T.); #179294=EDGE_CURVE('',#146652,#146650,#65416,.T.); #179295=EDGE_CURVE('',#146651,#146652,#65417,.T.); #179296=EDGE_CURVE('',#146653,#146651,#65418,.T.); #179297=EDGE_CURVE('',#146654,#146652,#65419,.T.); #179298=EDGE_CURVE('',#146653,#146654,#65420,.T.); #179299=EDGE_CURVE('',#146655,#146653,#65421,.T.); #179300=EDGE_CURVE('',#146656,#146654,#65422,.T.); #179301=EDGE_CURVE('',#146655,#146656,#65423,.T.); #179302=EDGE_CURVE('',#146657,#146655,#65424,.T.); #179303=EDGE_CURVE('',#146658,#146656,#65425,.T.); #179304=EDGE_CURVE('',#146657,#146658,#65426,.T.); #179305=EDGE_CURVE('',#146659,#146657,#65427,.T.); #179306=EDGE_CURVE('',#146660,#146658,#65428,.T.); #179307=EDGE_CURVE('',#146659,#146660,#65429,.T.); #179308=EDGE_CURVE('',#146661,#146659,#65430,.T.); #179309=EDGE_CURVE('',#146662,#146660,#65431,.T.); #179310=EDGE_CURVE('',#146661,#146662,#65432,.T.); #179311=EDGE_CURVE('',#146663,#146661,#65433,.T.); #179312=EDGE_CURVE('',#146664,#146662,#65434,.T.); #179313=EDGE_CURVE('',#146663,#146664,#65435,.T.); #179314=EDGE_CURVE('',#146665,#146663,#65436,.T.); #179315=EDGE_CURVE('',#146666,#146664,#65437,.T.); #179316=EDGE_CURVE('',#146665,#146666,#65438,.T.); #179317=EDGE_CURVE('',#146667,#146665,#65439,.T.); #179318=EDGE_CURVE('',#146668,#146666,#65440,.T.); #179319=EDGE_CURVE('',#146667,#146668,#65441,.T.); #179320=EDGE_CURVE('',#146669,#146667,#65442,.T.); #179321=EDGE_CURVE('',#146670,#146668,#65443,.T.); #179322=EDGE_CURVE('',#146669,#146670,#65444,.T.); #179323=EDGE_CURVE('',#146671,#146669,#65445,.T.); #179324=EDGE_CURVE('',#146672,#146670,#65446,.T.); #179325=EDGE_CURVE('',#146671,#146672,#65447,.T.); #179326=EDGE_CURVE('',#146673,#146671,#65448,.T.); #179327=EDGE_CURVE('',#146674,#146672,#65449,.T.); #179328=EDGE_CURVE('',#146673,#146674,#65450,.T.); #179329=EDGE_CURVE('',#146675,#146673,#65451,.T.); #179330=EDGE_CURVE('',#146676,#146674,#65452,.T.); #179331=EDGE_CURVE('',#146675,#146676,#65453,.T.); #179332=EDGE_CURVE('',#146677,#146675,#65454,.T.); #179333=EDGE_CURVE('',#146678,#146676,#65455,.T.); #179334=EDGE_CURVE('',#146677,#146678,#65456,.T.); #179335=EDGE_CURVE('',#146679,#146677,#65457,.T.); #179336=EDGE_CURVE('',#146680,#146678,#65458,.T.); #179337=EDGE_CURVE('',#146679,#146680,#65459,.T.); #179338=EDGE_CURVE('',#146681,#146679,#65460,.T.); #179339=EDGE_CURVE('',#146682,#146680,#65461,.T.); #179340=EDGE_CURVE('',#146681,#146682,#65462,.T.); #179341=EDGE_CURVE('',#146683,#146681,#65463,.T.); #179342=EDGE_CURVE('',#146684,#146682,#65464,.T.); #179343=EDGE_CURVE('',#146683,#146684,#65465,.T.); #179344=EDGE_CURVE('',#146685,#146683,#65466,.T.); #179345=EDGE_CURVE('',#146686,#146684,#65467,.T.); #179346=EDGE_CURVE('',#146685,#146686,#65468,.T.); #179347=EDGE_CURVE('',#146687,#146685,#65469,.T.); #179348=EDGE_CURVE('',#146688,#146686,#65470,.T.); #179349=EDGE_CURVE('',#146687,#146688,#65471,.T.); #179350=EDGE_CURVE('',#146689,#146687,#65472,.T.); #179351=EDGE_CURVE('',#146690,#146688,#65473,.T.); #179352=EDGE_CURVE('',#146689,#146690,#65474,.T.); #179353=EDGE_CURVE('',#146691,#146689,#65475,.T.); #179354=EDGE_CURVE('',#146692,#146690,#65476,.T.); #179355=EDGE_CURVE('',#146691,#146692,#65477,.T.); #179356=EDGE_CURVE('',#146693,#146691,#65478,.T.); #179357=EDGE_CURVE('',#146694,#146692,#65479,.T.); #179358=EDGE_CURVE('',#146693,#146694,#65480,.T.); #179359=EDGE_CURVE('',#146695,#146693,#65481,.T.); #179360=EDGE_CURVE('',#146696,#146694,#65482,.T.); #179361=EDGE_CURVE('',#146695,#146696,#65483,.T.); #179362=EDGE_CURVE('',#146697,#146695,#65484,.T.); #179363=EDGE_CURVE('',#146698,#146696,#65485,.T.); #179364=EDGE_CURVE('',#146697,#146698,#65486,.T.); #179365=EDGE_CURVE('',#146699,#146697,#65487,.T.); #179366=EDGE_CURVE('',#146700,#146698,#65488,.T.); #179367=EDGE_CURVE('',#146699,#146700,#65489,.T.); #179368=EDGE_CURVE('',#146701,#146699,#65490,.T.); #179369=EDGE_CURVE('',#146702,#146700,#65491,.T.); #179370=EDGE_CURVE('',#146701,#146702,#65492,.T.); #179371=EDGE_CURVE('',#146703,#146701,#65493,.T.); #179372=EDGE_CURVE('',#146704,#146702,#65494,.T.); #179373=EDGE_CURVE('',#146703,#146704,#65495,.T.); #179374=EDGE_CURVE('',#146705,#146703,#65496,.T.); #179375=EDGE_CURVE('',#146706,#146704,#65497,.T.); #179376=EDGE_CURVE('',#146705,#146706,#65498,.T.); #179377=EDGE_CURVE('',#146707,#146705,#65499,.T.); #179378=EDGE_CURVE('',#146708,#146706,#65500,.T.); #179379=EDGE_CURVE('',#146707,#146708,#65501,.T.); #179380=EDGE_CURVE('',#146709,#146707,#65502,.T.); #179381=EDGE_CURVE('',#146710,#146708,#65503,.T.); #179382=EDGE_CURVE('',#146709,#146710,#65504,.T.); #179383=EDGE_CURVE('',#146711,#146709,#65505,.T.); #179384=EDGE_CURVE('',#146712,#146710,#65506,.T.); #179385=EDGE_CURVE('',#146711,#146712,#65507,.T.); #179386=EDGE_CURVE('',#146713,#146711,#65508,.T.); #179387=EDGE_CURVE('',#146714,#146712,#65509,.T.); #179388=EDGE_CURVE('',#146713,#146714,#65510,.T.); #179389=EDGE_CURVE('',#146715,#146713,#65511,.T.); #179390=EDGE_CURVE('',#146716,#146714,#65512,.T.); #179391=EDGE_CURVE('',#146715,#146716,#65513,.T.); #179392=EDGE_CURVE('',#146717,#146715,#65514,.T.); #179393=EDGE_CURVE('',#146718,#146716,#65515,.T.); #179394=EDGE_CURVE('',#146717,#146718,#65516,.T.); #179395=EDGE_CURVE('',#146719,#146717,#65517,.T.); #179396=EDGE_CURVE('',#146720,#146718,#65518,.T.); #179397=EDGE_CURVE('',#146719,#146720,#65519,.T.); #179398=EDGE_CURVE('',#146721,#146719,#65520,.T.); #179399=EDGE_CURVE('',#146722,#146720,#65521,.T.); #179400=EDGE_CURVE('',#146721,#146722,#65522,.T.); #179401=EDGE_CURVE('',#146723,#146721,#65523,.T.); #179402=EDGE_CURVE('',#146724,#146722,#65524,.T.); #179403=EDGE_CURVE('',#146723,#146724,#65525,.T.); #179404=EDGE_CURVE('',#146725,#146723,#65526,.T.); #179405=EDGE_CURVE('',#146726,#146724,#65527,.T.); #179406=EDGE_CURVE('',#146725,#146726,#65528,.T.); #179407=EDGE_CURVE('',#146727,#146725,#65529,.T.); #179408=EDGE_CURVE('',#146728,#146726,#65530,.T.); #179409=EDGE_CURVE('',#146727,#146728,#65531,.T.); #179410=EDGE_CURVE('',#146729,#146727,#65532,.T.); #179411=EDGE_CURVE('',#146730,#146728,#65533,.T.); #179412=EDGE_CURVE('',#146729,#146730,#65534,.T.); #179413=EDGE_CURVE('',#146731,#146729,#65535,.T.); #179414=EDGE_CURVE('',#146732,#146730,#65536,.T.); #179415=EDGE_CURVE('',#146731,#146732,#65537,.T.); #179416=EDGE_CURVE('',#146733,#146731,#65538,.T.); #179417=EDGE_CURVE('',#146734,#146732,#65539,.T.); #179418=EDGE_CURVE('',#146733,#146734,#65540,.T.); #179419=EDGE_CURVE('',#146735,#146733,#65541,.T.); #179420=EDGE_CURVE('',#146736,#146734,#65542,.T.); #179421=EDGE_CURVE('',#146735,#146736,#65543,.T.); #179422=EDGE_CURVE('',#146737,#146735,#65544,.T.); #179423=EDGE_CURVE('',#146738,#146736,#65545,.T.); #179424=EDGE_CURVE('',#146737,#146738,#65546,.T.); #179425=EDGE_CURVE('',#146739,#146737,#65547,.T.); #179426=EDGE_CURVE('',#146740,#146738,#65548,.T.); #179427=EDGE_CURVE('',#146739,#146740,#65549,.T.); #179428=EDGE_CURVE('',#146741,#146739,#65550,.T.); #179429=EDGE_CURVE('',#146742,#146740,#65551,.T.); #179430=EDGE_CURVE('',#146741,#146742,#65552,.T.); #179431=EDGE_CURVE('',#146743,#146741,#65553,.T.); #179432=EDGE_CURVE('',#146744,#146742,#65554,.T.); #179433=EDGE_CURVE('',#146743,#146744,#65555,.T.); #179434=EDGE_CURVE('',#146745,#146743,#65556,.T.); #179435=EDGE_CURVE('',#146746,#146744,#65557,.T.); #179436=EDGE_CURVE('',#146745,#146746,#65558,.T.); #179437=EDGE_CURVE('',#146747,#146745,#65559,.T.); #179438=EDGE_CURVE('',#146748,#146746,#65560,.T.); #179439=EDGE_CURVE('',#146747,#146748,#65561,.T.); #179440=EDGE_CURVE('',#146749,#146747,#65562,.T.); #179441=EDGE_CURVE('',#146750,#146748,#65563,.T.); #179442=EDGE_CURVE('',#146749,#146750,#65564,.T.); #179443=EDGE_CURVE('',#146751,#146749,#65565,.T.); #179444=EDGE_CURVE('',#146752,#146750,#65566,.T.); #179445=EDGE_CURVE('',#146751,#146752,#65567,.T.); #179446=EDGE_CURVE('',#146753,#146751,#65568,.T.); #179447=EDGE_CURVE('',#146754,#146752,#65569,.T.); #179448=EDGE_CURVE('',#146753,#146754,#65570,.T.); #179449=EDGE_CURVE('',#146755,#146753,#65571,.T.); #179450=EDGE_CURVE('',#146756,#146754,#65572,.T.); #179451=EDGE_CURVE('',#146755,#146756,#65573,.T.); #179452=EDGE_CURVE('',#146757,#146755,#65574,.T.); #179453=EDGE_CURVE('',#146758,#146756,#65575,.T.); #179454=EDGE_CURVE('',#146757,#146758,#65576,.T.); #179455=EDGE_CURVE('',#146759,#146757,#65577,.T.); #179456=EDGE_CURVE('',#146760,#146758,#65578,.T.); #179457=EDGE_CURVE('',#146759,#146760,#65579,.T.); #179458=EDGE_CURVE('',#146761,#146759,#65580,.T.); #179459=EDGE_CURVE('',#146762,#146760,#65581,.T.); #179460=EDGE_CURVE('',#146761,#146762,#65582,.T.); #179461=EDGE_CURVE('',#146763,#146761,#65583,.T.); #179462=EDGE_CURVE('',#146764,#146762,#65584,.T.); #179463=EDGE_CURVE('',#146763,#146764,#65585,.T.); #179464=EDGE_CURVE('',#146765,#146763,#65586,.T.); #179465=EDGE_CURVE('',#146766,#146764,#65587,.T.); #179466=EDGE_CURVE('',#146765,#146766,#65588,.T.); #179467=EDGE_CURVE('',#146767,#146765,#65589,.T.); #179468=EDGE_CURVE('',#146768,#146766,#65590,.T.); #179469=EDGE_CURVE('',#146767,#146768,#65591,.T.); #179470=EDGE_CURVE('',#146769,#146767,#65592,.T.); #179471=EDGE_CURVE('',#146770,#146768,#65593,.T.); #179472=EDGE_CURVE('',#146769,#146770,#65594,.T.); #179473=EDGE_CURVE('',#146771,#146769,#65595,.T.); #179474=EDGE_CURVE('',#146772,#146770,#65596,.T.); #179475=EDGE_CURVE('',#146771,#146772,#65597,.T.); #179476=EDGE_CURVE('',#146773,#146771,#65598,.T.); #179477=EDGE_CURVE('',#146774,#146772,#65599,.T.); #179478=EDGE_CURVE('',#146773,#146774,#65600,.T.); #179479=EDGE_CURVE('',#146775,#146773,#65601,.T.); #179480=EDGE_CURVE('',#146776,#146774,#65602,.T.); #179481=EDGE_CURVE('',#146775,#146776,#65603,.T.); #179482=EDGE_CURVE('',#146777,#146775,#65604,.T.); #179483=EDGE_CURVE('',#146778,#146776,#65605,.T.); #179484=EDGE_CURVE('',#146777,#146778,#65606,.T.); #179485=EDGE_CURVE('',#146779,#146777,#65607,.T.); #179486=EDGE_CURVE('',#146780,#146778,#65608,.T.); #179487=EDGE_CURVE('',#146779,#146780,#65609,.T.); #179488=EDGE_CURVE('',#146781,#146779,#65610,.T.); #179489=EDGE_CURVE('',#146782,#146780,#65611,.T.); #179490=EDGE_CURVE('',#146781,#146782,#65612,.T.); #179491=EDGE_CURVE('',#146783,#146781,#65613,.T.); #179492=EDGE_CURVE('',#146784,#146782,#65614,.T.); #179493=EDGE_CURVE('',#146783,#146784,#65615,.T.); #179494=EDGE_CURVE('',#146785,#146783,#65616,.T.); #179495=EDGE_CURVE('',#146786,#146784,#65617,.T.); #179496=EDGE_CURVE('',#146785,#146786,#65618,.T.); #179497=EDGE_CURVE('',#146787,#146785,#65619,.T.); #179498=EDGE_CURVE('',#146788,#146786,#65620,.T.); #179499=EDGE_CURVE('',#146787,#146788,#65621,.T.); #179500=EDGE_CURVE('',#146789,#146787,#65622,.T.); #179501=EDGE_CURVE('',#146790,#146788,#65623,.T.); #179502=EDGE_CURVE('',#146789,#146790,#65624,.T.); #179503=EDGE_CURVE('',#146791,#146789,#65625,.T.); #179504=EDGE_CURVE('',#146792,#146790,#65626,.T.); #179505=EDGE_CURVE('',#146791,#146792,#65627,.T.); #179506=EDGE_CURVE('',#146793,#146791,#65628,.T.); #179507=EDGE_CURVE('',#146794,#146792,#65629,.T.); #179508=EDGE_CURVE('',#146793,#146794,#65630,.T.); #179509=EDGE_CURVE('',#146795,#146793,#65631,.T.); #179510=EDGE_CURVE('',#146796,#146794,#65632,.T.); #179511=EDGE_CURVE('',#146795,#146796,#65633,.T.); #179512=EDGE_CURVE('',#146797,#146795,#65634,.T.); #179513=EDGE_CURVE('',#146798,#146796,#65635,.T.); #179514=EDGE_CURVE('',#146797,#146798,#65636,.T.); #179515=EDGE_CURVE('',#146799,#146797,#65637,.T.); #179516=EDGE_CURVE('',#146800,#146798,#65638,.T.); #179517=EDGE_CURVE('',#146799,#146800,#65639,.T.); #179518=EDGE_CURVE('',#146801,#146799,#65640,.T.); #179519=EDGE_CURVE('',#146802,#146800,#65641,.T.); #179520=EDGE_CURVE('',#146801,#146802,#65642,.T.); #179521=EDGE_CURVE('',#146803,#146801,#65643,.T.); #179522=EDGE_CURVE('',#146804,#146802,#65644,.T.); #179523=EDGE_CURVE('',#146803,#146804,#65645,.T.); #179524=EDGE_CURVE('',#146805,#146803,#65646,.T.); #179525=EDGE_CURVE('',#146806,#146804,#65647,.T.); #179526=EDGE_CURVE('',#146805,#146806,#65648,.T.); #179527=EDGE_CURVE('',#146807,#146805,#65649,.T.); #179528=EDGE_CURVE('',#146808,#146806,#65650,.T.); #179529=EDGE_CURVE('',#146807,#146808,#65651,.T.); #179530=EDGE_CURVE('',#146809,#146807,#65652,.T.); #179531=EDGE_CURVE('',#146810,#146808,#65653,.T.); #179532=EDGE_CURVE('',#146809,#146810,#65654,.T.); #179533=EDGE_CURVE('',#146811,#146809,#65655,.T.); #179534=EDGE_CURVE('',#146812,#146810,#65656,.T.); #179535=EDGE_CURVE('',#146811,#146812,#65657,.T.); #179536=EDGE_CURVE('',#146813,#146811,#65658,.T.); #179537=EDGE_CURVE('',#146814,#146812,#65659,.T.); #179538=EDGE_CURVE('',#146813,#146814,#65660,.T.); #179539=EDGE_CURVE('',#146815,#146813,#65661,.T.); #179540=EDGE_CURVE('',#146816,#146814,#65662,.T.); #179541=EDGE_CURVE('',#146815,#146816,#65663,.T.); #179542=EDGE_CURVE('',#146817,#146815,#65664,.T.); #179543=EDGE_CURVE('',#146818,#146816,#65665,.T.); #179544=EDGE_CURVE('',#146817,#146818,#65666,.T.); #179545=EDGE_CURVE('',#146819,#146817,#65667,.T.); #179546=EDGE_CURVE('',#146820,#146818,#65668,.T.); #179547=EDGE_CURVE('',#146819,#146820,#65669,.T.); #179548=EDGE_CURVE('',#146821,#146819,#65670,.T.); #179549=EDGE_CURVE('',#146822,#146820,#65671,.T.); #179550=EDGE_CURVE('',#146821,#146822,#65672,.T.); #179551=EDGE_CURVE('',#146823,#146821,#65673,.T.); #179552=EDGE_CURVE('',#146824,#146822,#65674,.T.); #179553=EDGE_CURVE('',#146823,#146824,#65675,.T.); #179554=EDGE_CURVE('',#146825,#146823,#65676,.T.); #179555=EDGE_CURVE('',#146826,#146824,#65677,.T.); #179556=EDGE_CURVE('',#146825,#146826,#65678,.T.); #179557=EDGE_CURVE('',#146827,#146825,#65679,.T.); #179558=EDGE_CURVE('',#146828,#146826,#65680,.T.); #179559=EDGE_CURVE('',#146827,#146828,#65681,.T.); #179560=EDGE_CURVE('',#146829,#146827,#65682,.T.); #179561=EDGE_CURVE('',#146830,#146828,#65683,.T.); #179562=EDGE_CURVE('',#146829,#146830,#65684,.T.); #179563=EDGE_CURVE('',#146831,#146829,#65685,.T.); #179564=EDGE_CURVE('',#146832,#146830,#65686,.T.); #179565=EDGE_CURVE('',#146831,#146832,#65687,.T.); #179566=EDGE_CURVE('',#146833,#146831,#65688,.T.); #179567=EDGE_CURVE('',#146834,#146832,#65689,.T.); #179568=EDGE_CURVE('',#146833,#146834,#65690,.T.); #179569=EDGE_CURVE('',#146835,#146833,#65691,.T.); #179570=EDGE_CURVE('',#146836,#146834,#65692,.T.); #179571=EDGE_CURVE('',#146835,#146836,#65693,.T.); #179572=EDGE_CURVE('',#146837,#146835,#65694,.T.); #179573=EDGE_CURVE('',#146838,#146836,#65695,.T.); #179574=EDGE_CURVE('',#146837,#146838,#65696,.T.); #179575=EDGE_CURVE('',#146839,#146837,#65697,.T.); #179576=EDGE_CURVE('',#146840,#146838,#65698,.T.); #179577=EDGE_CURVE('',#146839,#146840,#65699,.T.); #179578=EDGE_CURVE('',#146841,#146839,#65700,.T.); #179579=EDGE_CURVE('',#146842,#146840,#65701,.T.); #179580=EDGE_CURVE('',#146841,#146842,#65702,.T.); #179581=EDGE_CURVE('',#146843,#146841,#65703,.T.); #179582=EDGE_CURVE('',#146844,#146842,#65704,.T.); #179583=EDGE_CURVE('',#146843,#146844,#65705,.T.); #179584=EDGE_CURVE('',#146845,#146843,#65706,.T.); #179585=EDGE_CURVE('',#146846,#146844,#65707,.T.); #179586=EDGE_CURVE('',#146845,#146846,#65708,.T.); #179587=EDGE_CURVE('',#146847,#146845,#65709,.T.); #179588=EDGE_CURVE('',#146848,#146846,#65710,.T.); #179589=EDGE_CURVE('',#146847,#146848,#65711,.T.); #179590=EDGE_CURVE('',#146849,#146847,#65712,.T.); #179591=EDGE_CURVE('',#146850,#146848,#65713,.T.); #179592=EDGE_CURVE('',#146849,#146850,#65714,.T.); #179593=EDGE_CURVE('',#146851,#146849,#65715,.T.); #179594=EDGE_CURVE('',#146852,#146850,#65716,.T.); #179595=EDGE_CURVE('',#146851,#146852,#65717,.T.); #179596=EDGE_CURVE('',#146853,#146851,#65718,.T.); #179597=EDGE_CURVE('',#146854,#146852,#65719,.T.); #179598=EDGE_CURVE('',#146853,#146854,#65720,.T.); #179599=EDGE_CURVE('',#146855,#146853,#65721,.T.); #179600=EDGE_CURVE('',#146856,#146854,#65722,.T.); #179601=EDGE_CURVE('',#146855,#146856,#65723,.T.); #179602=EDGE_CURVE('',#146857,#146855,#65724,.T.); #179603=EDGE_CURVE('',#146858,#146856,#65725,.T.); #179604=EDGE_CURVE('',#146857,#146858,#65726,.T.); #179605=EDGE_CURVE('',#146859,#146857,#65727,.T.); #179606=EDGE_CURVE('',#146860,#146858,#65728,.T.); #179607=EDGE_CURVE('',#146859,#146860,#65729,.T.); #179608=EDGE_CURVE('',#146861,#146859,#65730,.T.); #179609=EDGE_CURVE('',#146862,#146860,#65731,.T.); #179610=EDGE_CURVE('',#146861,#146862,#65732,.T.); #179611=EDGE_CURVE('',#146863,#146861,#65733,.T.); #179612=EDGE_CURVE('',#146864,#146862,#65734,.T.); #179613=EDGE_CURVE('',#146863,#146864,#65735,.T.); #179614=EDGE_CURVE('',#146865,#146863,#65736,.T.); #179615=EDGE_CURVE('',#146866,#146864,#65737,.T.); #179616=EDGE_CURVE('',#146865,#146866,#65738,.T.); #179617=EDGE_CURVE('',#146867,#146865,#65739,.T.); #179618=EDGE_CURVE('',#146868,#146866,#65740,.T.); #179619=EDGE_CURVE('',#146867,#146868,#65741,.T.); #179620=EDGE_CURVE('',#146869,#146867,#65742,.T.); #179621=EDGE_CURVE('',#146870,#146868,#65743,.T.); #179622=EDGE_CURVE('',#146869,#146870,#65744,.T.); #179623=EDGE_CURVE('',#146871,#146869,#65745,.T.); #179624=EDGE_CURVE('',#146872,#146870,#65746,.T.); #179625=EDGE_CURVE('',#146871,#146872,#65747,.T.); #179626=EDGE_CURVE('',#146873,#146871,#65748,.T.); #179627=EDGE_CURVE('',#146874,#146872,#65749,.T.); #179628=EDGE_CURVE('',#146873,#146874,#65750,.T.); #179629=EDGE_CURVE('',#146875,#146873,#65751,.T.); #179630=EDGE_CURVE('',#146876,#146874,#65752,.T.); #179631=EDGE_CURVE('',#146875,#146876,#65753,.T.); #179632=EDGE_CURVE('',#146877,#146875,#65754,.T.); #179633=EDGE_CURVE('',#146878,#146876,#65755,.T.); #179634=EDGE_CURVE('',#146877,#146878,#65756,.T.); #179635=EDGE_CURVE('',#146879,#146877,#65757,.T.); #179636=EDGE_CURVE('',#146880,#146878,#65758,.T.); #179637=EDGE_CURVE('',#146879,#146880,#65759,.T.); #179638=EDGE_CURVE('',#146881,#146879,#65760,.T.); #179639=EDGE_CURVE('',#146882,#146880,#65761,.T.); #179640=EDGE_CURVE('',#146881,#146882,#65762,.T.); #179641=EDGE_CURVE('',#146883,#146881,#65763,.T.); #179642=EDGE_CURVE('',#146884,#146882,#65764,.T.); #179643=EDGE_CURVE('',#146883,#146884,#65765,.T.); #179644=EDGE_CURVE('',#146885,#146883,#65766,.T.); #179645=EDGE_CURVE('',#146886,#146884,#65767,.T.); #179646=EDGE_CURVE('',#146885,#146886,#65768,.T.); #179647=EDGE_CURVE('',#146887,#146885,#65769,.T.); #179648=EDGE_CURVE('',#146888,#146886,#65770,.T.); #179649=EDGE_CURVE('',#146887,#146888,#65771,.T.); #179650=EDGE_CURVE('',#146889,#146887,#65772,.T.); #179651=EDGE_CURVE('',#146890,#146888,#65773,.T.); #179652=EDGE_CURVE('',#146889,#146890,#65774,.T.); #179653=EDGE_CURVE('',#146891,#146889,#65775,.T.); #179654=EDGE_CURVE('',#146892,#146890,#65776,.T.); #179655=EDGE_CURVE('',#146891,#146892,#65777,.T.); #179656=EDGE_CURVE('',#146893,#146891,#65778,.T.); #179657=EDGE_CURVE('',#146894,#146892,#65779,.T.); #179658=EDGE_CURVE('',#146893,#146894,#65780,.T.); #179659=EDGE_CURVE('',#146895,#146893,#65781,.T.); #179660=EDGE_CURVE('',#146896,#146894,#65782,.T.); #179661=EDGE_CURVE('',#146895,#146896,#65783,.T.); #179662=EDGE_CURVE('',#146897,#146895,#65784,.T.); #179663=EDGE_CURVE('',#146898,#146896,#65785,.T.); #179664=EDGE_CURVE('',#146897,#146898,#65786,.T.); #179665=EDGE_CURVE('',#146899,#146897,#65787,.T.); #179666=EDGE_CURVE('',#146900,#146898,#65788,.T.); #179667=EDGE_CURVE('',#146899,#146900,#65789,.T.); #179668=EDGE_CURVE('',#146901,#146899,#65790,.T.); #179669=EDGE_CURVE('',#146902,#146900,#65791,.T.); #179670=EDGE_CURVE('',#146901,#146902,#65792,.T.); #179671=EDGE_CURVE('',#146903,#146901,#65793,.T.); #179672=EDGE_CURVE('',#146904,#146902,#65794,.T.); #179673=EDGE_CURVE('',#146903,#146904,#65795,.T.); #179674=EDGE_CURVE('',#146905,#146903,#65796,.T.); #179675=EDGE_CURVE('',#146906,#146904,#65797,.T.); #179676=EDGE_CURVE('',#146905,#146906,#65798,.T.); #179677=EDGE_CURVE('',#146907,#146905,#65799,.T.); #179678=EDGE_CURVE('',#146908,#146906,#65800,.T.); #179679=EDGE_CURVE('',#146907,#146908,#65801,.T.); #179680=EDGE_CURVE('',#146909,#146907,#65802,.T.); #179681=EDGE_CURVE('',#146910,#146908,#65803,.T.); #179682=EDGE_CURVE('',#146909,#146910,#65804,.T.); #179683=EDGE_CURVE('',#146911,#146909,#65805,.T.); #179684=EDGE_CURVE('',#146912,#146910,#65806,.T.); #179685=EDGE_CURVE('',#146911,#146912,#65807,.T.); #179686=EDGE_CURVE('',#146913,#146911,#65808,.T.); #179687=EDGE_CURVE('',#146914,#146912,#65809,.T.); #179688=EDGE_CURVE('',#146913,#146914,#65810,.T.); #179689=EDGE_CURVE('',#146915,#146913,#65811,.T.); #179690=EDGE_CURVE('',#146916,#146914,#65812,.T.); #179691=EDGE_CURVE('',#146915,#146916,#65813,.T.); #179692=EDGE_CURVE('',#146917,#146915,#65814,.T.); #179693=EDGE_CURVE('',#146918,#146916,#65815,.T.); #179694=EDGE_CURVE('',#146917,#146918,#65816,.T.); #179695=EDGE_CURVE('',#146919,#146917,#65817,.T.); #179696=EDGE_CURVE('',#146920,#146918,#65818,.T.); #179697=EDGE_CURVE('',#146919,#146920,#65819,.T.); #179698=EDGE_CURVE('',#146921,#146919,#65820,.T.); #179699=EDGE_CURVE('',#146922,#146920,#65821,.T.); #179700=EDGE_CURVE('',#146921,#146922,#65822,.T.); #179701=EDGE_CURVE('',#146923,#146921,#65823,.T.); #179702=EDGE_CURVE('',#146924,#146922,#65824,.T.); #179703=EDGE_CURVE('',#146923,#146924,#65825,.T.); #179704=EDGE_CURVE('',#146925,#146923,#65826,.T.); #179705=EDGE_CURVE('',#146926,#146924,#65827,.T.); #179706=EDGE_CURVE('',#146925,#146926,#65828,.T.); #179707=EDGE_CURVE('',#146927,#146925,#65829,.T.); #179708=EDGE_CURVE('',#146928,#146926,#65830,.T.); #179709=EDGE_CURVE('',#146927,#146928,#65831,.T.); #179710=EDGE_CURVE('',#146929,#146927,#65832,.T.); #179711=EDGE_CURVE('',#146930,#146928,#65833,.T.); #179712=EDGE_CURVE('',#146929,#146930,#65834,.T.); #179713=EDGE_CURVE('',#146931,#146929,#65835,.T.); #179714=EDGE_CURVE('',#146932,#146930,#65836,.T.); #179715=EDGE_CURVE('',#146931,#146932,#65837,.T.); #179716=EDGE_CURVE('',#146933,#146931,#65838,.T.); #179717=EDGE_CURVE('',#146934,#146932,#65839,.T.); #179718=EDGE_CURVE('',#146933,#146934,#65840,.T.); #179719=EDGE_CURVE('',#146935,#146933,#65841,.T.); #179720=EDGE_CURVE('',#146936,#146934,#65842,.T.); #179721=EDGE_CURVE('',#146935,#146936,#65843,.T.); #179722=EDGE_CURVE('',#146937,#146935,#65844,.T.); #179723=EDGE_CURVE('',#146938,#146936,#65845,.T.); #179724=EDGE_CURVE('',#146937,#146938,#65846,.T.); #179725=EDGE_CURVE('',#146939,#146937,#65847,.T.); #179726=EDGE_CURVE('',#146940,#146938,#65848,.T.); #179727=EDGE_CURVE('',#146939,#146940,#65849,.T.); #179728=EDGE_CURVE('',#146941,#146939,#65850,.T.); #179729=EDGE_CURVE('',#146942,#146940,#65851,.T.); #179730=EDGE_CURVE('',#146941,#146942,#65852,.T.); #179731=EDGE_CURVE('',#146943,#146941,#65853,.T.); #179732=EDGE_CURVE('',#146944,#146942,#65854,.T.); #179733=EDGE_CURVE('',#146943,#146944,#65855,.T.); #179734=EDGE_CURVE('',#146945,#146943,#65856,.T.); #179735=EDGE_CURVE('',#146946,#146944,#65857,.T.); #179736=EDGE_CURVE('',#146945,#146946,#65858,.T.); #179737=EDGE_CURVE('',#146947,#146945,#65859,.T.); #179738=EDGE_CURVE('',#146948,#146946,#65860,.T.); #179739=EDGE_CURVE('',#146947,#146948,#65861,.T.); #179740=EDGE_CURVE('',#146949,#146947,#65862,.T.); #179741=EDGE_CURVE('',#146950,#146948,#65863,.T.); #179742=EDGE_CURVE('',#146949,#146950,#65864,.T.); #179743=EDGE_CURVE('',#146951,#146949,#65865,.T.); #179744=EDGE_CURVE('',#146952,#146950,#65866,.T.); #179745=EDGE_CURVE('',#146951,#146952,#65867,.T.); #179746=EDGE_CURVE('',#146953,#146951,#65868,.T.); #179747=EDGE_CURVE('',#146954,#146952,#65869,.T.); #179748=EDGE_CURVE('',#146953,#146954,#65870,.T.); #179749=EDGE_CURVE('',#146955,#146953,#65871,.T.); #179750=EDGE_CURVE('',#146956,#146954,#65872,.T.); #179751=EDGE_CURVE('',#146955,#146956,#65873,.T.); #179752=EDGE_CURVE('',#146957,#146955,#65874,.T.); #179753=EDGE_CURVE('',#146958,#146956,#65875,.T.); #179754=EDGE_CURVE('',#146957,#146958,#65876,.T.); #179755=EDGE_CURVE('',#146959,#146957,#65877,.T.); #179756=EDGE_CURVE('',#146960,#146958,#65878,.T.); #179757=EDGE_CURVE('',#146959,#146960,#65879,.T.); #179758=EDGE_CURVE('',#146961,#146959,#65880,.T.); #179759=EDGE_CURVE('',#146962,#146960,#65881,.T.); #179760=EDGE_CURVE('',#146961,#146962,#65882,.T.); #179761=EDGE_CURVE('',#146963,#146961,#65883,.T.); #179762=EDGE_CURVE('',#146964,#146962,#65884,.T.); #179763=EDGE_CURVE('',#146963,#146964,#65885,.T.); #179764=EDGE_CURVE('',#146965,#146963,#65886,.T.); #179765=EDGE_CURVE('',#146966,#146964,#65887,.T.); #179766=EDGE_CURVE('',#146965,#146966,#65888,.T.); #179767=EDGE_CURVE('',#146967,#146965,#65889,.T.); #179768=EDGE_CURVE('',#146968,#146966,#65890,.T.); #179769=EDGE_CURVE('',#146967,#146968,#65891,.T.); #179770=EDGE_CURVE('',#146969,#146967,#65892,.T.); #179771=EDGE_CURVE('',#146970,#146968,#65893,.T.); #179772=EDGE_CURVE('',#146969,#146970,#65894,.T.); #179773=EDGE_CURVE('',#146971,#146969,#65895,.T.); #179774=EDGE_CURVE('',#146972,#146970,#65896,.T.); #179775=EDGE_CURVE('',#146971,#146972,#65897,.T.); #179776=EDGE_CURVE('',#146973,#146971,#65898,.T.); #179777=EDGE_CURVE('',#146974,#146972,#65899,.T.); #179778=EDGE_CURVE('',#146973,#146974,#65900,.T.); #179779=EDGE_CURVE('',#146975,#146973,#65901,.T.); #179780=EDGE_CURVE('',#146976,#146974,#65902,.T.); #179781=EDGE_CURVE('',#146975,#146976,#65903,.T.); #179782=EDGE_CURVE('',#146977,#146975,#65904,.T.); #179783=EDGE_CURVE('',#146978,#146976,#65905,.T.); #179784=EDGE_CURVE('',#146977,#146978,#65906,.T.); #179785=EDGE_CURVE('',#146979,#146977,#65907,.T.); #179786=EDGE_CURVE('',#146980,#146978,#65908,.T.); #179787=EDGE_CURVE('',#146979,#146980,#65909,.T.); #179788=EDGE_CURVE('',#146981,#146979,#65910,.T.); #179789=EDGE_CURVE('',#146982,#146980,#65911,.T.); #179790=EDGE_CURVE('',#146981,#146982,#65912,.T.); #179791=EDGE_CURVE('',#146983,#146981,#65913,.T.); #179792=EDGE_CURVE('',#146984,#146982,#65914,.T.); #179793=EDGE_CURVE('',#146983,#146984,#65915,.T.); #179794=EDGE_CURVE('',#146985,#146983,#65916,.T.); #179795=EDGE_CURVE('',#146986,#146984,#65917,.T.); #179796=EDGE_CURVE('',#146985,#146986,#65918,.T.); #179797=EDGE_CURVE('',#146987,#146985,#65919,.T.); #179798=EDGE_CURVE('',#146988,#146986,#65920,.T.); #179799=EDGE_CURVE('',#146987,#146988,#65921,.T.); #179800=EDGE_CURVE('',#146989,#146987,#65922,.T.); #179801=EDGE_CURVE('',#146990,#146988,#65923,.T.); #179802=EDGE_CURVE('',#146989,#146990,#65924,.T.); #179803=EDGE_CURVE('',#146991,#146989,#65925,.T.); #179804=EDGE_CURVE('',#146992,#146990,#65926,.T.); #179805=EDGE_CURVE('',#146991,#146992,#65927,.T.); #179806=EDGE_CURVE('',#146993,#146991,#65928,.T.); #179807=EDGE_CURVE('',#146994,#146992,#65929,.T.); #179808=EDGE_CURVE('',#146993,#146994,#65930,.T.); #179809=EDGE_CURVE('',#146995,#146993,#65931,.T.); #179810=EDGE_CURVE('',#146996,#146994,#65932,.T.); #179811=EDGE_CURVE('',#146995,#146996,#65933,.T.); #179812=EDGE_CURVE('',#146997,#146995,#65934,.T.); #179813=EDGE_CURVE('',#146998,#146996,#65935,.T.); #179814=EDGE_CURVE('',#146997,#146998,#65936,.T.); #179815=EDGE_CURVE('',#146999,#146997,#65937,.T.); #179816=EDGE_CURVE('',#147000,#146998,#65938,.T.); #179817=EDGE_CURVE('',#146999,#147000,#65939,.T.); #179818=EDGE_CURVE('',#147001,#146999,#65940,.T.); #179819=EDGE_CURVE('',#147002,#147000,#65941,.T.); #179820=EDGE_CURVE('',#147001,#147002,#65942,.T.); #179821=EDGE_CURVE('',#147003,#147001,#65943,.T.); #179822=EDGE_CURVE('',#147004,#147002,#65944,.T.); #179823=EDGE_CURVE('',#147003,#147004,#65945,.T.); #179824=EDGE_CURVE('',#147005,#147003,#65946,.T.); #179825=EDGE_CURVE('',#147006,#147004,#65947,.T.); #179826=EDGE_CURVE('',#147005,#147006,#65948,.T.); #179827=EDGE_CURVE('',#147007,#147005,#65949,.T.); #179828=EDGE_CURVE('',#147008,#147006,#65950,.T.); #179829=EDGE_CURVE('',#147007,#147008,#65951,.T.); #179830=EDGE_CURVE('',#147009,#147007,#65952,.T.); #179831=EDGE_CURVE('',#147010,#147008,#65953,.T.); #179832=EDGE_CURVE('',#147009,#147010,#65954,.T.); #179833=EDGE_CURVE('',#147011,#147009,#65955,.T.); #179834=EDGE_CURVE('',#147012,#147010,#65956,.T.); #179835=EDGE_CURVE('',#147011,#147012,#65957,.T.); #179836=EDGE_CURVE('',#147013,#147011,#65958,.T.); #179837=EDGE_CURVE('',#147014,#147012,#65959,.T.); #179838=EDGE_CURVE('',#147013,#147014,#65960,.T.); #179839=EDGE_CURVE('',#147015,#147013,#65961,.T.); #179840=EDGE_CURVE('',#147016,#147014,#65962,.T.); #179841=EDGE_CURVE('',#147015,#147016,#65963,.T.); #179842=EDGE_CURVE('',#147017,#147015,#65964,.T.); #179843=EDGE_CURVE('',#147018,#147016,#65965,.T.); #179844=EDGE_CURVE('',#147017,#147018,#65966,.T.); #179845=EDGE_CURVE('',#147019,#147017,#65967,.T.); #179846=EDGE_CURVE('',#147020,#147018,#65968,.T.); #179847=EDGE_CURVE('',#147019,#147020,#65969,.T.); #179848=EDGE_CURVE('',#147021,#147019,#65970,.T.); #179849=EDGE_CURVE('',#147022,#147020,#65971,.T.); #179850=EDGE_CURVE('',#147021,#147022,#65972,.T.); #179851=EDGE_CURVE('',#147023,#147021,#65973,.T.); #179852=EDGE_CURVE('',#147024,#147022,#65974,.T.); #179853=EDGE_CURVE('',#147023,#147024,#65975,.T.); #179854=EDGE_CURVE('',#147025,#147023,#65976,.T.); #179855=EDGE_CURVE('',#147026,#147024,#65977,.T.); #179856=EDGE_CURVE('',#147025,#147026,#65978,.T.); #179857=EDGE_CURVE('',#147027,#147025,#65979,.T.); #179858=EDGE_CURVE('',#147028,#147026,#65980,.T.); #179859=EDGE_CURVE('',#147027,#147028,#65981,.T.); #179860=EDGE_CURVE('',#147029,#147027,#65982,.T.); #179861=EDGE_CURVE('',#147030,#147028,#65983,.T.); #179862=EDGE_CURVE('',#147029,#147030,#65984,.T.); #179863=EDGE_CURVE('',#147031,#147029,#65985,.T.); #179864=EDGE_CURVE('',#147032,#147030,#65986,.T.); #179865=EDGE_CURVE('',#147031,#147032,#65987,.T.); #179866=EDGE_CURVE('',#147033,#147031,#65988,.T.); #179867=EDGE_CURVE('',#147034,#147032,#65989,.T.); #179868=EDGE_CURVE('',#147033,#147034,#65990,.T.); #179869=EDGE_CURVE('',#147035,#147033,#65991,.T.); #179870=EDGE_CURVE('',#147036,#147034,#65992,.T.); #179871=EDGE_CURVE('',#147035,#147036,#65993,.T.); #179872=EDGE_CURVE('',#147037,#147035,#65994,.T.); #179873=EDGE_CURVE('',#147038,#147036,#65995,.T.); #179874=EDGE_CURVE('',#147037,#147038,#65996,.T.); #179875=EDGE_CURVE('',#147039,#147037,#65997,.T.); #179876=EDGE_CURVE('',#147040,#147038,#65998,.T.); #179877=EDGE_CURVE('',#147039,#147040,#65999,.T.); #179878=EDGE_CURVE('',#147041,#147039,#66000,.T.); #179879=EDGE_CURVE('',#147042,#147040,#66001,.T.); #179880=EDGE_CURVE('',#147041,#147042,#66002,.T.); #179881=EDGE_CURVE('',#147043,#147041,#66003,.T.); #179882=EDGE_CURVE('',#147044,#147042,#66004,.T.); #179883=EDGE_CURVE('',#147043,#147044,#66005,.T.); #179884=EDGE_CURVE('',#147045,#147043,#66006,.T.); #179885=EDGE_CURVE('',#147046,#147044,#66007,.T.); #179886=EDGE_CURVE('',#147045,#147046,#66008,.T.); #179887=EDGE_CURVE('',#147047,#147045,#66009,.T.); #179888=EDGE_CURVE('',#147048,#147046,#66010,.T.); #179889=EDGE_CURVE('',#147047,#147048,#66011,.T.); #179890=EDGE_CURVE('',#147049,#147047,#66012,.T.); #179891=EDGE_CURVE('',#147050,#147048,#66013,.T.); #179892=EDGE_CURVE('',#147049,#147050,#66014,.T.); #179893=EDGE_CURVE('',#147051,#147049,#66015,.T.); #179894=EDGE_CURVE('',#147052,#147050,#66016,.T.); #179895=EDGE_CURVE('',#147051,#147052,#66017,.T.); #179896=EDGE_CURVE('',#147053,#147051,#66018,.T.); #179897=EDGE_CURVE('',#147054,#147052,#66019,.T.); #179898=EDGE_CURVE('',#147053,#147054,#66020,.T.); #179899=EDGE_CURVE('',#147055,#147053,#66021,.T.); #179900=EDGE_CURVE('',#147056,#147054,#66022,.T.); #179901=EDGE_CURVE('',#147055,#147056,#66023,.T.); #179902=EDGE_CURVE('',#147057,#147055,#66024,.T.); #179903=EDGE_CURVE('',#147058,#147056,#66025,.T.); #179904=EDGE_CURVE('',#147057,#147058,#66026,.T.); #179905=EDGE_CURVE('',#147059,#147057,#66027,.T.); #179906=EDGE_CURVE('',#147060,#147058,#66028,.T.); #179907=EDGE_CURVE('',#147059,#147060,#66029,.T.); #179908=EDGE_CURVE('',#147061,#147059,#66030,.T.); #179909=EDGE_CURVE('',#147062,#147060,#66031,.T.); #179910=EDGE_CURVE('',#147061,#147062,#66032,.T.); #179911=EDGE_CURVE('',#147063,#147061,#66033,.T.); #179912=EDGE_CURVE('',#147064,#147062,#66034,.T.); #179913=EDGE_CURVE('',#147063,#147064,#66035,.T.); #179914=EDGE_CURVE('',#147065,#147063,#66036,.T.); #179915=EDGE_CURVE('',#147066,#147064,#66037,.T.); #179916=EDGE_CURVE('',#147065,#147066,#66038,.T.); #179917=EDGE_CURVE('',#147067,#147065,#66039,.T.); #179918=EDGE_CURVE('',#147068,#147066,#66040,.T.); #179919=EDGE_CURVE('',#147067,#147068,#66041,.T.); #179920=EDGE_CURVE('',#147069,#147067,#66042,.T.); #179921=EDGE_CURVE('',#147070,#147068,#66043,.T.); #179922=EDGE_CURVE('',#147069,#147070,#66044,.T.); #179923=EDGE_CURVE('',#147071,#147069,#66045,.T.); #179924=EDGE_CURVE('',#147072,#147070,#66046,.T.); #179925=EDGE_CURVE('',#147071,#147072,#66047,.T.); #179926=EDGE_CURVE('',#147073,#147071,#66048,.T.); #179927=EDGE_CURVE('',#147074,#147072,#66049,.T.); #179928=EDGE_CURVE('',#147073,#147074,#66050,.T.); #179929=EDGE_CURVE('',#147075,#147073,#66051,.T.); #179930=EDGE_CURVE('',#147076,#147074,#66052,.T.); #179931=EDGE_CURVE('',#147075,#147076,#66053,.T.); #179932=EDGE_CURVE('',#147077,#147075,#66054,.T.); #179933=EDGE_CURVE('',#147078,#147076,#66055,.T.); #179934=EDGE_CURVE('',#147077,#147078,#66056,.T.); #179935=EDGE_CURVE('',#147079,#147077,#66057,.T.); #179936=EDGE_CURVE('',#147080,#147078,#66058,.T.); #179937=EDGE_CURVE('',#147079,#147080,#66059,.T.); #179938=EDGE_CURVE('',#147081,#147079,#66060,.T.); #179939=EDGE_CURVE('',#147082,#147080,#66061,.T.); #179940=EDGE_CURVE('',#147081,#147082,#66062,.T.); #179941=EDGE_CURVE('',#147083,#147081,#66063,.T.); #179942=EDGE_CURVE('',#147084,#147082,#66064,.T.); #179943=EDGE_CURVE('',#147083,#147084,#66065,.T.); #179944=EDGE_CURVE('',#147085,#147083,#66066,.T.); #179945=EDGE_CURVE('',#147086,#147084,#66067,.T.); #179946=EDGE_CURVE('',#147085,#147086,#66068,.T.); #179947=EDGE_CURVE('',#147087,#147085,#66069,.T.); #179948=EDGE_CURVE('',#147088,#147086,#66070,.T.); #179949=EDGE_CURVE('',#147087,#147088,#66071,.T.); #179950=EDGE_CURVE('',#147089,#147087,#66072,.T.); #179951=EDGE_CURVE('',#147090,#147088,#66073,.T.); #179952=EDGE_CURVE('',#147089,#147090,#66074,.T.); #179953=EDGE_CURVE('',#147091,#147089,#66075,.T.); #179954=EDGE_CURVE('',#147092,#147090,#66076,.T.); #179955=EDGE_CURVE('',#147091,#147092,#66077,.T.); #179956=EDGE_CURVE('',#147093,#147091,#66078,.T.); #179957=EDGE_CURVE('',#147094,#147092,#66079,.T.); #179958=EDGE_CURVE('',#147093,#147094,#66080,.T.); #179959=EDGE_CURVE('',#147095,#147093,#66081,.T.); #179960=EDGE_CURVE('',#147096,#147094,#66082,.T.); #179961=EDGE_CURVE('',#147095,#147096,#66083,.T.); #179962=EDGE_CURVE('',#147097,#147095,#66084,.T.); #179963=EDGE_CURVE('',#147098,#147096,#66085,.T.); #179964=EDGE_CURVE('',#147097,#147098,#66086,.T.); #179965=EDGE_CURVE('',#147099,#147097,#66087,.T.); #179966=EDGE_CURVE('',#147100,#147098,#66088,.T.); #179967=EDGE_CURVE('',#147099,#147100,#66089,.T.); #179968=EDGE_CURVE('',#147101,#147099,#66090,.T.); #179969=EDGE_CURVE('',#147102,#147100,#66091,.T.); #179970=EDGE_CURVE('',#147101,#147102,#66092,.T.); #179971=EDGE_CURVE('',#147103,#147101,#66093,.T.); #179972=EDGE_CURVE('',#147104,#147102,#66094,.T.); #179973=EDGE_CURVE('',#147103,#147104,#66095,.T.); #179974=EDGE_CURVE('',#147105,#147103,#66096,.T.); #179975=EDGE_CURVE('',#147106,#147104,#66097,.T.); #179976=EDGE_CURVE('',#147105,#147106,#66098,.T.); #179977=EDGE_CURVE('',#147107,#147105,#66099,.T.); #179978=EDGE_CURVE('',#147108,#147106,#66100,.T.); #179979=EDGE_CURVE('',#147107,#147108,#66101,.T.); #179980=EDGE_CURVE('',#147109,#147107,#66102,.T.); #179981=EDGE_CURVE('',#147110,#147108,#66103,.T.); #179982=EDGE_CURVE('',#147109,#147110,#66104,.T.); #179983=EDGE_CURVE('',#147111,#147109,#66105,.T.); #179984=EDGE_CURVE('',#147112,#147110,#66106,.T.); #179985=EDGE_CURVE('',#147111,#147112,#66107,.T.); #179986=EDGE_CURVE('',#147113,#147111,#66108,.T.); #179987=EDGE_CURVE('',#147114,#147112,#66109,.T.); #179988=EDGE_CURVE('',#147113,#147114,#66110,.T.); #179989=EDGE_CURVE('',#147115,#147113,#66111,.T.); #179990=EDGE_CURVE('',#147116,#147114,#66112,.T.); #179991=EDGE_CURVE('',#147115,#147116,#66113,.T.); #179992=EDGE_CURVE('',#147117,#147115,#66114,.T.); #179993=EDGE_CURVE('',#147118,#147116,#66115,.T.); #179994=EDGE_CURVE('',#147117,#147118,#66116,.T.); #179995=EDGE_CURVE('',#147119,#147117,#66117,.T.); #179996=EDGE_CURVE('',#147120,#147118,#66118,.T.); #179997=EDGE_CURVE('',#147119,#147120,#66119,.T.); #179998=EDGE_CURVE('',#147121,#147119,#66120,.T.); #179999=EDGE_CURVE('',#147122,#147120,#66121,.T.); #180000=EDGE_CURVE('',#147121,#147122,#66122,.T.); #180001=EDGE_CURVE('',#147123,#147121,#66123,.T.); #180002=EDGE_CURVE('',#147124,#147122,#66124,.T.); #180003=EDGE_CURVE('',#147123,#147124,#66125,.T.); #180004=EDGE_CURVE('',#147125,#147123,#66126,.T.); #180005=EDGE_CURVE('',#147126,#147124,#66127,.T.); #180006=EDGE_CURVE('',#147125,#147126,#66128,.T.); #180007=EDGE_CURVE('',#147127,#147125,#66129,.T.); #180008=EDGE_CURVE('',#147128,#147126,#66130,.T.); #180009=EDGE_CURVE('',#147127,#147128,#66131,.T.); #180010=EDGE_CURVE('',#147129,#147127,#66132,.T.); #180011=EDGE_CURVE('',#147130,#147128,#66133,.T.); #180012=EDGE_CURVE('',#147129,#147130,#66134,.T.); #180013=EDGE_CURVE('',#147131,#147129,#66135,.T.); #180014=EDGE_CURVE('',#147132,#147130,#66136,.T.); #180015=EDGE_CURVE('',#147131,#147132,#66137,.T.); #180016=EDGE_CURVE('',#147133,#147131,#66138,.T.); #180017=EDGE_CURVE('',#147134,#147132,#66139,.T.); #180018=EDGE_CURVE('',#147133,#147134,#66140,.T.); #180019=EDGE_CURVE('',#147135,#147133,#66141,.T.); #180020=EDGE_CURVE('',#147136,#147134,#66142,.T.); #180021=EDGE_CURVE('',#147135,#147136,#66143,.T.); #180022=EDGE_CURVE('',#147137,#147135,#66144,.T.); #180023=EDGE_CURVE('',#147138,#147136,#66145,.T.); #180024=EDGE_CURVE('',#147137,#147138,#66146,.T.); #180025=EDGE_CURVE('',#147139,#147137,#66147,.T.); #180026=EDGE_CURVE('',#147140,#147138,#66148,.T.); #180027=EDGE_CURVE('',#147139,#147140,#66149,.T.); #180028=EDGE_CURVE('',#147141,#147139,#66150,.T.); #180029=EDGE_CURVE('',#147142,#147140,#66151,.T.); #180030=EDGE_CURVE('',#147141,#147142,#66152,.T.); #180031=EDGE_CURVE('',#147143,#147141,#66153,.T.); #180032=EDGE_CURVE('',#147144,#147142,#66154,.T.); #180033=EDGE_CURVE('',#147143,#147144,#66155,.T.); #180034=EDGE_CURVE('',#147145,#147143,#66156,.T.); #180035=EDGE_CURVE('',#147146,#147144,#66157,.T.); #180036=EDGE_CURVE('',#147145,#147146,#66158,.T.); #180037=EDGE_CURVE('',#147147,#147145,#66159,.T.); #180038=EDGE_CURVE('',#147148,#147146,#66160,.T.); #180039=EDGE_CURVE('',#147147,#147148,#66161,.T.); #180040=EDGE_CURVE('',#147149,#147147,#66162,.T.); #180041=EDGE_CURVE('',#147150,#147148,#66163,.T.); #180042=EDGE_CURVE('',#147149,#147150,#66164,.T.); #180043=EDGE_CURVE('',#147151,#147149,#66165,.T.); #180044=EDGE_CURVE('',#147152,#147150,#66166,.T.); #180045=EDGE_CURVE('',#147151,#147152,#66167,.T.); #180046=EDGE_CURVE('',#147153,#147151,#66168,.T.); #180047=EDGE_CURVE('',#147154,#147152,#66169,.T.); #180048=EDGE_CURVE('',#147153,#147154,#66170,.T.); #180049=EDGE_CURVE('',#147155,#147153,#66171,.T.); #180050=EDGE_CURVE('',#147156,#147154,#66172,.T.); #180051=EDGE_CURVE('',#147155,#147156,#66173,.T.); #180052=EDGE_CURVE('',#147157,#147155,#66174,.T.); #180053=EDGE_CURVE('',#147158,#147156,#66175,.T.); #180054=EDGE_CURVE('',#147157,#147158,#66176,.T.); #180055=EDGE_CURVE('',#147159,#147157,#66177,.T.); #180056=EDGE_CURVE('',#147160,#147158,#66178,.T.); #180057=EDGE_CURVE('',#147159,#147160,#66179,.T.); #180058=EDGE_CURVE('',#147161,#147159,#66180,.T.); #180059=EDGE_CURVE('',#147162,#147160,#66181,.T.); #180060=EDGE_CURVE('',#147161,#147162,#66182,.T.); #180061=EDGE_CURVE('',#147163,#147161,#66183,.T.); #180062=EDGE_CURVE('',#147164,#147162,#66184,.T.); #180063=EDGE_CURVE('',#147163,#147164,#66185,.T.); #180064=EDGE_CURVE('',#147165,#147163,#66186,.T.); #180065=EDGE_CURVE('',#147166,#147164,#66187,.T.); #180066=EDGE_CURVE('',#147165,#147166,#66188,.T.); #180067=EDGE_CURVE('',#147167,#147165,#66189,.T.); #180068=EDGE_CURVE('',#147168,#147166,#66190,.T.); #180069=EDGE_CURVE('',#147167,#147168,#66191,.T.); #180070=EDGE_CURVE('',#147169,#147167,#66192,.T.); #180071=EDGE_CURVE('',#147170,#147168,#66193,.T.); #180072=EDGE_CURVE('',#147169,#147170,#66194,.T.); #180073=EDGE_CURVE('',#147171,#147169,#66195,.T.); #180074=EDGE_CURVE('',#147172,#147170,#66196,.T.); #180075=EDGE_CURVE('',#147171,#147172,#66197,.T.); #180076=EDGE_CURVE('',#147173,#147171,#66198,.T.); #180077=EDGE_CURVE('',#147174,#147172,#66199,.T.); #180078=EDGE_CURVE('',#147173,#147174,#66200,.T.); #180079=EDGE_CURVE('',#147175,#147173,#66201,.T.); #180080=EDGE_CURVE('',#147176,#147174,#66202,.T.); #180081=EDGE_CURVE('',#147175,#147176,#66203,.T.); #180082=EDGE_CURVE('',#147177,#147175,#66204,.T.); #180083=EDGE_CURVE('',#147178,#147176,#66205,.T.); #180084=EDGE_CURVE('',#147177,#147178,#66206,.T.); #180085=EDGE_CURVE('',#147179,#147177,#66207,.T.); #180086=EDGE_CURVE('',#147180,#147178,#66208,.T.); #180087=EDGE_CURVE('',#147179,#147180,#66209,.T.); #180088=EDGE_CURVE('',#147181,#147179,#66210,.T.); #180089=EDGE_CURVE('',#147182,#147180,#66211,.T.); #180090=EDGE_CURVE('',#147181,#147182,#66212,.T.); #180091=EDGE_CURVE('',#147183,#147181,#66213,.T.); #180092=EDGE_CURVE('',#147184,#147182,#66214,.T.); #180093=EDGE_CURVE('',#147183,#147184,#66215,.T.); #180094=EDGE_CURVE('',#147185,#147183,#66216,.T.); #180095=EDGE_CURVE('',#147186,#147184,#66217,.T.); #180096=EDGE_CURVE('',#147185,#147186,#66218,.T.); #180097=EDGE_CURVE('',#147187,#147185,#66219,.T.); #180098=EDGE_CURVE('',#147188,#147186,#66220,.T.); #180099=EDGE_CURVE('',#147187,#147188,#66221,.T.); #180100=EDGE_CURVE('',#147189,#147187,#66222,.T.); #180101=EDGE_CURVE('',#147190,#147188,#66223,.T.); #180102=EDGE_CURVE('',#147189,#147190,#66224,.T.); #180103=EDGE_CURVE('',#147191,#147189,#66225,.T.); #180104=EDGE_CURVE('',#147192,#147190,#66226,.T.); #180105=EDGE_CURVE('',#147191,#147192,#66227,.T.); #180106=EDGE_CURVE('',#147193,#147191,#66228,.T.); #180107=EDGE_CURVE('',#147194,#147192,#66229,.T.); #180108=EDGE_CURVE('',#147193,#147194,#66230,.T.); #180109=EDGE_CURVE('',#147195,#147193,#66231,.T.); #180110=EDGE_CURVE('',#147196,#147194,#66232,.T.); #180111=EDGE_CURVE('',#147195,#147196,#66233,.T.); #180112=EDGE_CURVE('',#147197,#147195,#66234,.T.); #180113=EDGE_CURVE('',#147198,#147196,#66235,.T.); #180114=EDGE_CURVE('',#147197,#147198,#66236,.T.); #180115=EDGE_CURVE('',#147199,#147197,#66237,.T.); #180116=EDGE_CURVE('',#147200,#147198,#66238,.T.); #180117=EDGE_CURVE('',#147199,#147200,#66239,.T.); #180118=EDGE_CURVE('',#147201,#147199,#66240,.T.); #180119=EDGE_CURVE('',#147202,#147200,#66241,.T.); #180120=EDGE_CURVE('',#147201,#147202,#66242,.T.); #180121=EDGE_CURVE('',#147203,#147201,#66243,.T.); #180122=EDGE_CURVE('',#147204,#147202,#66244,.T.); #180123=EDGE_CURVE('',#147203,#147204,#66245,.T.); #180124=EDGE_CURVE('',#147205,#147203,#66246,.T.); #180125=EDGE_CURVE('',#147206,#147204,#66247,.T.); #180126=EDGE_CURVE('',#147205,#147206,#66248,.T.); #180127=EDGE_CURVE('',#147207,#147205,#66249,.T.); #180128=EDGE_CURVE('',#147208,#147206,#66250,.T.); #180129=EDGE_CURVE('',#147207,#147208,#66251,.T.); #180130=EDGE_CURVE('',#147209,#147207,#66252,.T.); #180131=EDGE_CURVE('',#147210,#147208,#66253,.T.); #180132=EDGE_CURVE('',#147209,#147210,#66254,.T.); #180133=EDGE_CURVE('',#147211,#147209,#66255,.T.); #180134=EDGE_CURVE('',#147212,#147210,#66256,.T.); #180135=EDGE_CURVE('',#147211,#147212,#66257,.T.); #180136=EDGE_CURVE('',#147213,#147211,#66258,.T.); #180137=EDGE_CURVE('',#147214,#147212,#66259,.T.); #180138=EDGE_CURVE('',#147213,#147214,#66260,.T.); #180139=EDGE_CURVE('',#147215,#147213,#66261,.T.); #180140=EDGE_CURVE('',#147216,#147214,#66262,.T.); #180141=EDGE_CURVE('',#147215,#147216,#66263,.T.); #180142=EDGE_CURVE('',#147217,#147215,#66264,.T.); #180143=EDGE_CURVE('',#147218,#147216,#66265,.T.); #180144=EDGE_CURVE('',#147217,#147218,#66266,.T.); #180145=EDGE_CURVE('',#147219,#147217,#66267,.T.); #180146=EDGE_CURVE('',#147220,#147218,#66268,.T.); #180147=EDGE_CURVE('',#147219,#147220,#66269,.T.); #180148=EDGE_CURVE('',#147221,#147219,#66270,.T.); #180149=EDGE_CURVE('',#147222,#147220,#66271,.T.); #180150=EDGE_CURVE('',#147221,#147222,#66272,.T.); #180151=EDGE_CURVE('',#147223,#147221,#66273,.T.); #180152=EDGE_CURVE('',#147224,#147222,#66274,.T.); #180153=EDGE_CURVE('',#147223,#147224,#66275,.T.); #180154=EDGE_CURVE('',#147225,#147223,#66276,.T.); #180155=EDGE_CURVE('',#147226,#147224,#66277,.T.); #180156=EDGE_CURVE('',#147225,#147226,#66278,.T.); #180157=EDGE_CURVE('',#147227,#147225,#66279,.T.); #180158=EDGE_CURVE('',#147228,#147226,#66280,.T.); #180159=EDGE_CURVE('',#147227,#147228,#66281,.T.); #180160=EDGE_CURVE('',#147229,#147227,#66282,.T.); #180161=EDGE_CURVE('',#147230,#147228,#66283,.T.); #180162=EDGE_CURVE('',#147229,#147230,#66284,.T.); #180163=EDGE_CURVE('',#147231,#147229,#66285,.T.); #180164=EDGE_CURVE('',#147232,#147230,#66286,.T.); #180165=EDGE_CURVE('',#147231,#147232,#66287,.T.); #180166=EDGE_CURVE('',#147233,#147231,#66288,.T.); #180167=EDGE_CURVE('',#147234,#147232,#66289,.T.); #180168=EDGE_CURVE('',#147233,#147234,#66290,.T.); #180169=EDGE_CURVE('',#147235,#147233,#66291,.T.); #180170=EDGE_CURVE('',#147236,#147234,#66292,.T.); #180171=EDGE_CURVE('',#147235,#147236,#66293,.T.); #180172=EDGE_CURVE('',#147237,#147235,#66294,.T.); #180173=EDGE_CURVE('',#147238,#147236,#66295,.T.); #180174=EDGE_CURVE('',#147237,#147238,#66296,.T.); #180175=EDGE_CURVE('',#147239,#147237,#66297,.T.); #180176=EDGE_CURVE('',#147240,#147238,#66298,.T.); #180177=EDGE_CURVE('',#147239,#147240,#66299,.T.); #180178=EDGE_CURVE('',#147241,#147239,#66300,.T.); #180179=EDGE_CURVE('',#147242,#147240,#66301,.T.); #180180=EDGE_CURVE('',#147241,#147242,#66302,.T.); #180181=EDGE_CURVE('',#147243,#147241,#66303,.T.); #180182=EDGE_CURVE('',#147244,#147242,#66304,.T.); #180183=EDGE_CURVE('',#147243,#147244,#66305,.T.); #180184=EDGE_CURVE('',#147245,#147243,#66306,.T.); #180185=EDGE_CURVE('',#147246,#147244,#66307,.T.); #180186=EDGE_CURVE('',#147245,#147246,#66308,.T.); #180187=EDGE_CURVE('',#147247,#147245,#66309,.T.); #180188=EDGE_CURVE('',#147248,#147246,#66310,.T.); #180189=EDGE_CURVE('',#147247,#147248,#66311,.T.); #180190=EDGE_CURVE('',#147249,#147247,#66312,.T.); #180191=EDGE_CURVE('',#147250,#147248,#66313,.T.); #180192=EDGE_CURVE('',#147249,#147250,#66314,.T.); #180193=EDGE_CURVE('',#147251,#147249,#66315,.T.); #180194=EDGE_CURVE('',#147252,#147250,#66316,.T.); #180195=EDGE_CURVE('',#147251,#147252,#66317,.T.); #180196=EDGE_CURVE('',#147253,#147251,#66318,.T.); #180197=EDGE_CURVE('',#147254,#147252,#66319,.T.); #180198=EDGE_CURVE('',#147253,#147254,#66320,.T.); #180199=EDGE_CURVE('',#147255,#147253,#66321,.T.); #180200=EDGE_CURVE('',#147256,#147254,#66322,.T.); #180201=EDGE_CURVE('',#147255,#147256,#66323,.T.); #180202=EDGE_CURVE('',#147257,#147255,#66324,.T.); #180203=EDGE_CURVE('',#147258,#147256,#66325,.T.); #180204=EDGE_CURVE('',#147257,#147258,#66326,.T.); #180205=EDGE_CURVE('',#147259,#147257,#66327,.T.); #180206=EDGE_CURVE('',#147260,#147258,#66328,.T.); #180207=EDGE_CURVE('',#147259,#147260,#66329,.T.); #180208=EDGE_CURVE('',#147261,#147259,#66330,.T.); #180209=EDGE_CURVE('',#147262,#147260,#66331,.T.); #180210=EDGE_CURVE('',#147261,#147262,#66332,.T.); #180211=EDGE_CURVE('',#147263,#147261,#66333,.T.); #180212=EDGE_CURVE('',#147264,#147262,#66334,.T.); #180213=EDGE_CURVE('',#147263,#147264,#66335,.T.); #180214=EDGE_CURVE('',#147265,#147263,#66336,.T.); #180215=EDGE_CURVE('',#147266,#147264,#66337,.T.); #180216=EDGE_CURVE('',#147265,#147266,#66338,.T.); #180217=EDGE_CURVE('',#147267,#147265,#66339,.T.); #180218=EDGE_CURVE('',#147268,#147266,#66340,.T.); #180219=EDGE_CURVE('',#147267,#147268,#66341,.T.); #180220=EDGE_CURVE('',#147269,#147267,#66342,.T.); #180221=EDGE_CURVE('',#147270,#147268,#66343,.T.); #180222=EDGE_CURVE('',#147269,#147270,#66344,.T.); #180223=EDGE_CURVE('',#147271,#147269,#66345,.T.); #180224=EDGE_CURVE('',#147272,#147270,#66346,.T.); #180225=EDGE_CURVE('',#147271,#147272,#66347,.T.); #180226=EDGE_CURVE('',#147273,#147271,#66348,.T.); #180227=EDGE_CURVE('',#147274,#147272,#66349,.T.); #180228=EDGE_CURVE('',#147273,#147274,#66350,.T.); #180229=EDGE_CURVE('',#147275,#147273,#66351,.T.); #180230=EDGE_CURVE('',#147276,#147274,#66352,.T.); #180231=EDGE_CURVE('',#147275,#147276,#66353,.T.); #180232=EDGE_CURVE('',#147277,#147275,#66354,.T.); #180233=EDGE_CURVE('',#147278,#147276,#66355,.T.); #180234=EDGE_CURVE('',#147277,#147278,#66356,.T.); #180235=EDGE_CURVE('',#147279,#147277,#66357,.T.); #180236=EDGE_CURVE('',#147280,#147278,#66358,.T.); #180237=EDGE_CURVE('',#147279,#147280,#66359,.T.); #180238=EDGE_CURVE('',#147281,#147279,#66360,.T.); #180239=EDGE_CURVE('',#147282,#147280,#66361,.T.); #180240=EDGE_CURVE('',#147281,#147282,#66362,.T.); #180241=EDGE_CURVE('',#147283,#147281,#66363,.T.); #180242=EDGE_CURVE('',#147284,#147282,#66364,.T.); #180243=EDGE_CURVE('',#147283,#147284,#66365,.T.); #180244=EDGE_CURVE('',#147285,#147283,#66366,.T.); #180245=EDGE_CURVE('',#147286,#147284,#66367,.T.); #180246=EDGE_CURVE('',#147285,#147286,#66368,.T.); #180247=EDGE_CURVE('',#147287,#147285,#66369,.T.); #180248=EDGE_CURVE('',#147288,#147286,#66370,.T.); #180249=EDGE_CURVE('',#147287,#147288,#66371,.T.); #180250=EDGE_CURVE('',#147289,#147287,#66372,.T.); #180251=EDGE_CURVE('',#147290,#147288,#66373,.T.); #180252=EDGE_CURVE('',#147289,#147290,#66374,.T.); #180253=EDGE_CURVE('',#147291,#147289,#66375,.T.); #180254=EDGE_CURVE('',#147292,#147290,#66376,.T.); #180255=EDGE_CURVE('',#147291,#147292,#66377,.T.); #180256=EDGE_CURVE('',#147293,#147291,#66378,.T.); #180257=EDGE_CURVE('',#147294,#147292,#66379,.T.); #180258=EDGE_CURVE('',#147293,#147294,#66380,.T.); #180259=EDGE_CURVE('',#147295,#147293,#66381,.T.); #180260=EDGE_CURVE('',#147296,#147294,#66382,.T.); #180261=EDGE_CURVE('',#147295,#147296,#66383,.T.); #180262=EDGE_CURVE('',#147297,#147295,#66384,.T.); #180263=EDGE_CURVE('',#147298,#147296,#66385,.T.); #180264=EDGE_CURVE('',#147297,#147298,#66386,.T.); #180265=EDGE_CURVE('',#147299,#147297,#66387,.T.); #180266=EDGE_CURVE('',#147300,#147298,#66388,.T.); #180267=EDGE_CURVE('',#147299,#147300,#66389,.T.); #180268=EDGE_CURVE('',#147301,#147299,#66390,.T.); #180269=EDGE_CURVE('',#147302,#147300,#66391,.T.); #180270=EDGE_CURVE('',#147301,#147302,#66392,.T.); #180271=EDGE_CURVE('',#147303,#147301,#66393,.T.); #180272=EDGE_CURVE('',#147304,#147302,#66394,.T.); #180273=EDGE_CURVE('',#147303,#147304,#66395,.T.); #180274=EDGE_CURVE('',#147305,#147303,#66396,.T.); #180275=EDGE_CURVE('',#147306,#147304,#66397,.T.); #180276=EDGE_CURVE('',#147305,#147306,#66398,.T.); #180277=EDGE_CURVE('',#147307,#147305,#66399,.T.); #180278=EDGE_CURVE('',#147308,#147306,#66400,.T.); #180279=EDGE_CURVE('',#147307,#147308,#66401,.T.); #180280=EDGE_CURVE('',#147309,#147307,#66402,.T.); #180281=EDGE_CURVE('',#147310,#147308,#66403,.T.); #180282=EDGE_CURVE('',#147309,#147310,#66404,.T.); #180283=EDGE_CURVE('',#147311,#147309,#66405,.T.); #180284=EDGE_CURVE('',#147312,#147310,#66406,.T.); #180285=EDGE_CURVE('',#147311,#147312,#66407,.T.); #180286=EDGE_CURVE('',#147313,#147311,#66408,.T.); #180287=EDGE_CURVE('',#147314,#147312,#66409,.T.); #180288=EDGE_CURVE('',#147313,#147314,#66410,.T.); #180289=EDGE_CURVE('',#147315,#147313,#66411,.T.); #180290=EDGE_CURVE('',#147316,#147314,#66412,.T.); #180291=EDGE_CURVE('',#147315,#147316,#66413,.T.); #180292=EDGE_CURVE('',#147317,#147315,#66414,.T.); #180293=EDGE_CURVE('',#147318,#147316,#66415,.T.); #180294=EDGE_CURVE('',#147317,#147318,#66416,.T.); #180295=EDGE_CURVE('',#147319,#147317,#66417,.T.); #180296=EDGE_CURVE('',#147320,#147318,#66418,.T.); #180297=EDGE_CURVE('',#147319,#147320,#66419,.T.); #180298=EDGE_CURVE('',#147321,#147319,#66420,.T.); #180299=EDGE_CURVE('',#147322,#147320,#66421,.T.); #180300=EDGE_CURVE('',#147321,#147322,#66422,.T.); #180301=EDGE_CURVE('',#147323,#147321,#66423,.T.); #180302=EDGE_CURVE('',#147324,#147322,#66424,.T.); #180303=EDGE_CURVE('',#147323,#147324,#66425,.T.); #180304=EDGE_CURVE('',#147325,#147323,#66426,.T.); #180305=EDGE_CURVE('',#147326,#147324,#66427,.T.); #180306=EDGE_CURVE('',#147325,#147326,#66428,.T.); #180307=EDGE_CURVE('',#147327,#147325,#66429,.T.); #180308=EDGE_CURVE('',#147328,#147326,#66430,.T.); #180309=EDGE_CURVE('',#147327,#147328,#66431,.T.); #180310=EDGE_CURVE('',#147329,#147327,#66432,.T.); #180311=EDGE_CURVE('',#147330,#147328,#66433,.T.); #180312=EDGE_CURVE('',#147329,#147330,#66434,.T.); #180313=EDGE_CURVE('',#147331,#147329,#66435,.T.); #180314=EDGE_CURVE('',#147332,#147330,#66436,.T.); #180315=EDGE_CURVE('',#147331,#147332,#66437,.T.); #180316=EDGE_CURVE('',#147333,#147331,#66438,.T.); #180317=EDGE_CURVE('',#147334,#147332,#66439,.T.); #180318=EDGE_CURVE('',#147333,#147334,#66440,.T.); #180319=EDGE_CURVE('',#147335,#147333,#66441,.T.); #180320=EDGE_CURVE('',#147336,#147334,#66442,.T.); #180321=EDGE_CURVE('',#147335,#147336,#66443,.T.); #180322=EDGE_CURVE('',#147337,#147335,#66444,.T.); #180323=EDGE_CURVE('',#147338,#147336,#66445,.T.); #180324=EDGE_CURVE('',#147337,#147338,#66446,.T.); #180325=EDGE_CURVE('',#147339,#147337,#66447,.T.); #180326=EDGE_CURVE('',#147340,#147338,#66448,.T.); #180327=EDGE_CURVE('',#147339,#147340,#66449,.T.); #180328=EDGE_CURVE('',#147341,#147339,#66450,.T.); #180329=EDGE_CURVE('',#147342,#147340,#66451,.T.); #180330=EDGE_CURVE('',#147341,#147342,#66452,.T.); #180331=EDGE_CURVE('',#147343,#147341,#66453,.T.); #180332=EDGE_CURVE('',#147344,#147342,#66454,.T.); #180333=EDGE_CURVE('',#147343,#147344,#66455,.T.); #180334=EDGE_CURVE('',#147345,#147343,#66456,.T.); #180335=EDGE_CURVE('',#147346,#147344,#66457,.T.); #180336=EDGE_CURVE('',#147345,#147346,#66458,.T.); #180337=EDGE_CURVE('',#147347,#147345,#66459,.T.); #180338=EDGE_CURVE('',#147348,#147346,#66460,.T.); #180339=EDGE_CURVE('',#147347,#147348,#66461,.T.); #180340=EDGE_CURVE('',#147349,#147347,#66462,.T.); #180341=EDGE_CURVE('',#147350,#147348,#66463,.T.); #180342=EDGE_CURVE('',#147349,#147350,#66464,.T.); #180343=EDGE_CURVE('',#147351,#147349,#66465,.T.); #180344=EDGE_CURVE('',#147352,#147350,#66466,.T.); #180345=EDGE_CURVE('',#147351,#147352,#66467,.T.); #180346=EDGE_CURVE('',#147353,#147351,#66468,.T.); #180347=EDGE_CURVE('',#147354,#147352,#66469,.T.); #180348=EDGE_CURVE('',#147353,#147354,#66470,.T.); #180349=EDGE_CURVE('',#147355,#147353,#66471,.T.); #180350=EDGE_CURVE('',#147356,#147354,#66472,.T.); #180351=EDGE_CURVE('',#147355,#147356,#66473,.T.); #180352=EDGE_CURVE('',#147357,#147355,#66474,.T.); #180353=EDGE_CURVE('',#147358,#147356,#66475,.T.); #180354=EDGE_CURVE('',#147357,#147358,#66476,.T.); #180355=EDGE_CURVE('',#147359,#147357,#66477,.T.); #180356=EDGE_CURVE('',#147360,#147358,#66478,.T.); #180357=EDGE_CURVE('',#147359,#147360,#66479,.T.); #180358=EDGE_CURVE('',#147361,#147359,#66480,.T.); #180359=EDGE_CURVE('',#147362,#147360,#66481,.T.); #180360=EDGE_CURVE('',#147361,#147362,#66482,.T.); #180361=EDGE_CURVE('',#147363,#147361,#66483,.T.); #180362=EDGE_CURVE('',#147364,#147362,#66484,.T.); #180363=EDGE_CURVE('',#147363,#147364,#66485,.T.); #180364=EDGE_CURVE('',#147365,#147363,#66486,.T.); #180365=EDGE_CURVE('',#147366,#147364,#66487,.T.); #180366=EDGE_CURVE('',#147365,#147366,#66488,.T.); #180367=EDGE_CURVE('',#147367,#147365,#66489,.T.); #180368=EDGE_CURVE('',#147368,#147366,#66490,.T.); #180369=EDGE_CURVE('',#147367,#147368,#66491,.T.); #180370=EDGE_CURVE('',#147369,#147367,#66492,.T.); #180371=EDGE_CURVE('',#147370,#147368,#66493,.T.); #180372=EDGE_CURVE('',#147369,#147370,#66494,.T.); #180373=EDGE_CURVE('',#147371,#147369,#66495,.T.); #180374=EDGE_CURVE('',#147372,#147370,#66496,.T.); #180375=EDGE_CURVE('',#147371,#147372,#66497,.T.); #180376=EDGE_CURVE('',#147373,#147371,#66498,.T.); #180377=EDGE_CURVE('',#147374,#147372,#66499,.T.); #180378=EDGE_CURVE('',#147373,#147374,#66500,.T.); #180379=EDGE_CURVE('',#147375,#147373,#66501,.T.); #180380=EDGE_CURVE('',#147376,#147374,#66502,.T.); #180381=EDGE_CURVE('',#147375,#147376,#66503,.T.); #180382=EDGE_CURVE('',#147377,#147375,#66504,.T.); #180383=EDGE_CURVE('',#147378,#147376,#66505,.T.); #180384=EDGE_CURVE('',#147377,#147378,#66506,.T.); #180385=EDGE_CURVE('',#147379,#147377,#66507,.T.); #180386=EDGE_CURVE('',#147380,#147378,#66508,.T.); #180387=EDGE_CURVE('',#147379,#147380,#66509,.T.); #180388=EDGE_CURVE('',#147381,#147379,#66510,.T.); #180389=EDGE_CURVE('',#147382,#147380,#66511,.T.); #180390=EDGE_CURVE('',#147381,#147382,#66512,.T.); #180391=EDGE_CURVE('',#147383,#147381,#66513,.T.); #180392=EDGE_CURVE('',#147384,#147382,#66514,.T.); #180393=EDGE_CURVE('',#147383,#147384,#66515,.T.); #180394=EDGE_CURVE('',#147385,#147383,#66516,.T.); #180395=EDGE_CURVE('',#147386,#147384,#66517,.T.); #180396=EDGE_CURVE('',#147385,#147386,#66518,.T.); #180397=EDGE_CURVE('',#147387,#147385,#66519,.T.); #180398=EDGE_CURVE('',#147388,#147386,#66520,.T.); #180399=EDGE_CURVE('',#147387,#147388,#66521,.T.); #180400=EDGE_CURVE('',#147389,#147387,#66522,.T.); #180401=EDGE_CURVE('',#147390,#147388,#66523,.T.); #180402=EDGE_CURVE('',#147389,#147390,#66524,.T.); #180403=EDGE_CURVE('',#147391,#147389,#66525,.T.); #180404=EDGE_CURVE('',#147392,#147390,#66526,.T.); #180405=EDGE_CURVE('',#147391,#147392,#66527,.T.); #180406=EDGE_CURVE('',#147393,#147391,#66528,.T.); #180407=EDGE_CURVE('',#147394,#147392,#66529,.T.); #180408=EDGE_CURVE('',#147393,#147394,#66530,.T.); #180409=EDGE_CURVE('',#147395,#147393,#66531,.T.); #180410=EDGE_CURVE('',#147396,#147394,#66532,.T.); #180411=EDGE_CURVE('',#147395,#147396,#66533,.T.); #180412=EDGE_CURVE('',#147397,#147395,#66534,.T.); #180413=EDGE_CURVE('',#147398,#147396,#66535,.T.); #180414=EDGE_CURVE('',#147397,#147398,#66536,.T.); #180415=EDGE_CURVE('',#147399,#147397,#66537,.T.); #180416=EDGE_CURVE('',#147400,#147398,#66538,.T.); #180417=EDGE_CURVE('',#147399,#147400,#66539,.T.); #180418=EDGE_CURVE('',#147401,#147399,#66540,.T.); #180419=EDGE_CURVE('',#147402,#147400,#66541,.T.); #180420=EDGE_CURVE('',#147401,#147402,#66542,.T.); #180421=EDGE_CURVE('',#147403,#147401,#66543,.T.); #180422=EDGE_CURVE('',#147404,#147402,#66544,.T.); #180423=EDGE_CURVE('',#147403,#147404,#66545,.T.); #180424=EDGE_CURVE('',#147405,#147403,#66546,.T.); #180425=EDGE_CURVE('',#147406,#147404,#66547,.T.); #180426=EDGE_CURVE('',#147405,#147406,#66548,.T.); #180427=EDGE_CURVE('',#147407,#147405,#66549,.T.); #180428=EDGE_CURVE('',#147408,#147406,#66550,.T.); #180429=EDGE_CURVE('',#147407,#147408,#66551,.T.); #180430=EDGE_CURVE('',#147409,#147407,#66552,.T.); #180431=EDGE_CURVE('',#147410,#147408,#66553,.T.); #180432=EDGE_CURVE('',#147409,#147410,#66554,.T.); #180433=EDGE_CURVE('',#147411,#147409,#66555,.T.); #180434=EDGE_CURVE('',#147412,#147410,#66556,.T.); #180435=EDGE_CURVE('',#147411,#147412,#66557,.T.); #180436=EDGE_CURVE('',#147413,#147411,#66558,.T.); #180437=EDGE_CURVE('',#147414,#147412,#66559,.T.); #180438=EDGE_CURVE('',#147413,#147414,#66560,.T.); #180439=EDGE_CURVE('',#147415,#147413,#66561,.T.); #180440=EDGE_CURVE('',#147416,#147414,#66562,.T.); #180441=EDGE_CURVE('',#147415,#147416,#66563,.T.); #180442=EDGE_CURVE('',#147417,#147415,#66564,.T.); #180443=EDGE_CURVE('',#147418,#147416,#66565,.T.); #180444=EDGE_CURVE('',#147417,#147418,#66566,.T.); #180445=EDGE_CURVE('',#147419,#147417,#66567,.T.); #180446=EDGE_CURVE('',#147420,#147418,#66568,.T.); #180447=EDGE_CURVE('',#147419,#147420,#66569,.T.); #180448=EDGE_CURVE('',#147421,#147419,#66570,.T.); #180449=EDGE_CURVE('',#147422,#147420,#66571,.T.); #180450=EDGE_CURVE('',#147421,#147422,#66572,.T.); #180451=EDGE_CURVE('',#147423,#147421,#66573,.T.); #180452=EDGE_CURVE('',#147424,#147422,#66574,.T.); #180453=EDGE_CURVE('',#147423,#147424,#66575,.T.); #180454=EDGE_CURVE('',#147425,#147423,#66576,.T.); #180455=EDGE_CURVE('',#147426,#147424,#66577,.T.); #180456=EDGE_CURVE('',#147425,#147426,#66578,.T.); #180457=EDGE_CURVE('',#147427,#147425,#66579,.T.); #180458=EDGE_CURVE('',#147428,#147426,#66580,.T.); #180459=EDGE_CURVE('',#147427,#147428,#66581,.T.); #180460=EDGE_CURVE('',#147429,#147427,#66582,.T.); #180461=EDGE_CURVE('',#147430,#147428,#66583,.T.); #180462=EDGE_CURVE('',#147429,#147430,#66584,.T.); #180463=EDGE_CURVE('',#147431,#147429,#66585,.T.); #180464=EDGE_CURVE('',#147432,#147430,#66586,.T.); #180465=EDGE_CURVE('',#147431,#147432,#66587,.T.); #180466=EDGE_CURVE('',#147433,#147431,#66588,.T.); #180467=EDGE_CURVE('',#147434,#147432,#66589,.T.); #180468=EDGE_CURVE('',#147433,#147434,#66590,.T.); #180469=EDGE_CURVE('',#147435,#147433,#66591,.T.); #180470=EDGE_CURVE('',#147436,#147434,#66592,.T.); #180471=EDGE_CURVE('',#147435,#147436,#66593,.T.); #180472=EDGE_CURVE('',#147437,#147435,#66594,.T.); #180473=EDGE_CURVE('',#147438,#147436,#66595,.T.); #180474=EDGE_CURVE('',#147437,#147438,#66596,.T.); #180475=EDGE_CURVE('',#147439,#147437,#66597,.T.); #180476=EDGE_CURVE('',#147440,#147438,#66598,.T.); #180477=EDGE_CURVE('',#147439,#147440,#66599,.T.); #180478=EDGE_CURVE('',#147441,#147439,#66600,.T.); #180479=EDGE_CURVE('',#147442,#147440,#66601,.T.); #180480=EDGE_CURVE('',#147441,#147442,#66602,.T.); #180481=EDGE_CURVE('',#147443,#147441,#66603,.T.); #180482=EDGE_CURVE('',#147444,#147442,#66604,.T.); #180483=EDGE_CURVE('',#147443,#147444,#66605,.T.); #180484=EDGE_CURVE('',#147445,#147443,#66606,.T.); #180485=EDGE_CURVE('',#147446,#147444,#66607,.T.); #180486=EDGE_CURVE('',#147445,#147446,#66608,.T.); #180487=EDGE_CURVE('',#147447,#147445,#66609,.T.); #180488=EDGE_CURVE('',#147448,#147446,#66610,.T.); #180489=EDGE_CURVE('',#147447,#147448,#66611,.T.); #180490=EDGE_CURVE('',#147449,#147447,#66612,.T.); #180491=EDGE_CURVE('',#147450,#147448,#66613,.T.); #180492=EDGE_CURVE('',#147449,#147450,#66614,.T.); #180493=EDGE_CURVE('',#147451,#147449,#66615,.T.); #180494=EDGE_CURVE('',#147452,#147450,#66616,.T.); #180495=EDGE_CURVE('',#147451,#147452,#66617,.T.); #180496=EDGE_CURVE('',#147453,#147451,#66618,.T.); #180497=EDGE_CURVE('',#147454,#147452,#66619,.T.); #180498=EDGE_CURVE('',#147453,#147454,#66620,.T.); #180499=EDGE_CURVE('',#147455,#147453,#66621,.T.); #180500=EDGE_CURVE('',#147456,#147454,#66622,.T.); #180501=EDGE_CURVE('',#147455,#147456,#66623,.T.); #180502=EDGE_CURVE('',#147457,#147455,#66624,.T.); #180503=EDGE_CURVE('',#147458,#147456,#66625,.T.); #180504=EDGE_CURVE('',#147457,#147458,#66626,.T.); #180505=EDGE_CURVE('',#147459,#147457,#66627,.T.); #180506=EDGE_CURVE('',#147460,#147458,#66628,.T.); #180507=EDGE_CURVE('',#147459,#147460,#66629,.T.); #180508=EDGE_CURVE('',#147461,#147459,#66630,.T.); #180509=EDGE_CURVE('',#147462,#147460,#66631,.T.); #180510=EDGE_CURVE('',#147461,#147462,#66632,.T.); #180511=EDGE_CURVE('',#147463,#147461,#66633,.T.); #180512=EDGE_CURVE('',#147464,#147462,#66634,.T.); #180513=EDGE_CURVE('',#147463,#147464,#66635,.T.); #180514=EDGE_CURVE('',#147465,#147463,#66636,.T.); #180515=EDGE_CURVE('',#147466,#147464,#66637,.T.); #180516=EDGE_CURVE('',#147465,#147466,#66638,.T.); #180517=EDGE_CURVE('',#147467,#147465,#66639,.T.); #180518=EDGE_CURVE('',#147468,#147466,#66640,.T.); #180519=EDGE_CURVE('',#147467,#147468,#66641,.T.); #180520=EDGE_CURVE('',#147469,#147467,#66642,.T.); #180521=EDGE_CURVE('',#147470,#147468,#66643,.T.); #180522=EDGE_CURVE('',#147469,#147470,#66644,.T.); #180523=EDGE_CURVE('',#147471,#147469,#66645,.T.); #180524=EDGE_CURVE('',#147472,#147470,#66646,.T.); #180525=EDGE_CURVE('',#147471,#147472,#66647,.T.); #180526=EDGE_CURVE('',#147473,#147471,#66648,.T.); #180527=EDGE_CURVE('',#147474,#147472,#66649,.T.); #180528=EDGE_CURVE('',#147473,#147474,#66650,.T.); #180529=EDGE_CURVE('',#147475,#147473,#66651,.T.); #180530=EDGE_CURVE('',#147476,#147474,#66652,.T.); #180531=EDGE_CURVE('',#147475,#147476,#66653,.T.); #180532=EDGE_CURVE('',#147477,#147475,#66654,.T.); #180533=EDGE_CURVE('',#147478,#147476,#66655,.T.); #180534=EDGE_CURVE('',#147477,#147478,#66656,.T.); #180535=EDGE_CURVE('',#147479,#147477,#66657,.T.); #180536=EDGE_CURVE('',#147480,#147478,#66658,.T.); #180537=EDGE_CURVE('',#147479,#147480,#66659,.T.); #180538=EDGE_CURVE('',#147481,#147479,#66660,.T.); #180539=EDGE_CURVE('',#147482,#147480,#66661,.T.); #180540=EDGE_CURVE('',#147481,#147482,#66662,.T.); #180541=EDGE_CURVE('',#147483,#147481,#66663,.T.); #180542=EDGE_CURVE('',#147484,#147482,#66664,.T.); #180543=EDGE_CURVE('',#147483,#147484,#66665,.T.); #180544=EDGE_CURVE('',#147485,#147483,#66666,.T.); #180545=EDGE_CURVE('',#147486,#147484,#66667,.T.); #180546=EDGE_CURVE('',#147485,#147486,#66668,.T.); #180547=EDGE_CURVE('',#147487,#147485,#66669,.T.); #180548=EDGE_CURVE('',#147488,#147486,#66670,.T.); #180549=EDGE_CURVE('',#147487,#147488,#66671,.T.); #180550=EDGE_CURVE('',#147489,#147487,#66672,.T.); #180551=EDGE_CURVE('',#147490,#147488,#66673,.T.); #180552=EDGE_CURVE('',#147489,#147490,#66674,.T.); #180553=EDGE_CURVE('',#147491,#147489,#66675,.T.); #180554=EDGE_CURVE('',#147492,#147490,#66676,.T.); #180555=EDGE_CURVE('',#147491,#147492,#66677,.T.); #180556=EDGE_CURVE('',#147493,#147491,#66678,.T.); #180557=EDGE_CURVE('',#147494,#147492,#66679,.T.); #180558=EDGE_CURVE('',#147493,#147494,#66680,.T.); #180559=EDGE_CURVE('',#147495,#147493,#66681,.T.); #180560=EDGE_CURVE('',#147496,#147494,#66682,.T.); #180561=EDGE_CURVE('',#147495,#147496,#66683,.T.); #180562=EDGE_CURVE('',#147497,#147495,#66684,.T.); #180563=EDGE_CURVE('',#147498,#147496,#66685,.T.); #180564=EDGE_CURVE('',#147497,#147498,#66686,.T.); #180565=EDGE_CURVE('',#147499,#147497,#66687,.T.); #180566=EDGE_CURVE('',#147500,#147498,#66688,.T.); #180567=EDGE_CURVE('',#147499,#147500,#66689,.T.); #180568=EDGE_CURVE('',#147501,#147499,#66690,.T.); #180569=EDGE_CURVE('',#147502,#147500,#66691,.T.); #180570=EDGE_CURVE('',#147501,#147502,#66692,.T.); #180571=EDGE_CURVE('',#147503,#147501,#66693,.T.); #180572=EDGE_CURVE('',#147504,#147502,#66694,.T.); #180573=EDGE_CURVE('',#147503,#147504,#66695,.T.); #180574=EDGE_CURVE('',#147505,#147503,#66696,.T.); #180575=EDGE_CURVE('',#147506,#147504,#66697,.T.); #180576=EDGE_CURVE('',#147505,#147506,#66698,.T.); #180577=EDGE_CURVE('',#147507,#147505,#66699,.T.); #180578=EDGE_CURVE('',#147508,#147506,#66700,.T.); #180579=EDGE_CURVE('',#147507,#147508,#66701,.T.); #180580=EDGE_CURVE('',#147509,#147507,#66702,.T.); #180581=EDGE_CURVE('',#147510,#147508,#66703,.T.); #180582=EDGE_CURVE('',#147509,#147510,#66704,.T.); #180583=EDGE_CURVE('',#147511,#147509,#66705,.T.); #180584=EDGE_CURVE('',#147512,#147510,#66706,.T.); #180585=EDGE_CURVE('',#147511,#147512,#66707,.T.); #180586=EDGE_CURVE('',#147513,#147511,#66708,.T.); #180587=EDGE_CURVE('',#147514,#147512,#66709,.T.); #180588=EDGE_CURVE('',#147513,#147514,#66710,.T.); #180589=EDGE_CURVE('',#147515,#147513,#66711,.T.); #180590=EDGE_CURVE('',#147516,#147514,#66712,.T.); #180591=EDGE_CURVE('',#147515,#147516,#66713,.T.); #180592=EDGE_CURVE('',#147517,#147515,#66714,.T.); #180593=EDGE_CURVE('',#147518,#147516,#66715,.T.); #180594=EDGE_CURVE('',#147517,#147518,#66716,.T.); #180595=EDGE_CURVE('',#147519,#147517,#66717,.T.); #180596=EDGE_CURVE('',#147520,#147518,#66718,.T.); #180597=EDGE_CURVE('',#147519,#147520,#66719,.T.); #180598=EDGE_CURVE('',#147521,#147519,#66720,.T.); #180599=EDGE_CURVE('',#147522,#147520,#66721,.T.); #180600=EDGE_CURVE('',#147521,#147522,#66722,.T.); #180601=EDGE_CURVE('',#147523,#147521,#66723,.T.); #180602=EDGE_CURVE('',#147524,#147522,#66724,.T.); #180603=EDGE_CURVE('',#147523,#147524,#66725,.T.); #180604=EDGE_CURVE('',#147525,#147523,#66726,.T.); #180605=EDGE_CURVE('',#147526,#147524,#66727,.T.); #180606=EDGE_CURVE('',#147525,#147526,#66728,.T.); #180607=EDGE_CURVE('',#147527,#147525,#66729,.T.); #180608=EDGE_CURVE('',#147528,#147526,#66730,.T.); #180609=EDGE_CURVE('',#147527,#147528,#66731,.T.); #180610=EDGE_CURVE('',#147529,#147527,#66732,.T.); #180611=EDGE_CURVE('',#147530,#147528,#66733,.T.); #180612=EDGE_CURVE('',#147529,#147530,#66734,.T.); #180613=EDGE_CURVE('',#147531,#147529,#66735,.T.); #180614=EDGE_CURVE('',#147532,#147530,#66736,.T.); #180615=EDGE_CURVE('',#147531,#147532,#66737,.T.); #180616=EDGE_CURVE('',#147533,#147531,#66738,.T.); #180617=EDGE_CURVE('',#147534,#147532,#66739,.T.); #180618=EDGE_CURVE('',#147533,#147534,#66740,.T.); #180619=EDGE_CURVE('',#147535,#147533,#66741,.T.); #180620=EDGE_CURVE('',#147536,#147534,#66742,.T.); #180621=EDGE_CURVE('',#147535,#147536,#66743,.T.); #180622=EDGE_CURVE('',#147537,#147535,#66744,.T.); #180623=EDGE_CURVE('',#147538,#147536,#66745,.T.); #180624=EDGE_CURVE('',#147537,#147538,#66746,.T.); #180625=EDGE_CURVE('',#147539,#147537,#66747,.T.); #180626=EDGE_CURVE('',#147540,#147538,#66748,.T.); #180627=EDGE_CURVE('',#147539,#147540,#66749,.T.); #180628=EDGE_CURVE('',#147541,#147539,#66750,.T.); #180629=EDGE_CURVE('',#147542,#147540,#66751,.T.); #180630=EDGE_CURVE('',#147541,#147542,#66752,.T.); #180631=EDGE_CURVE('',#147543,#147541,#66753,.T.); #180632=EDGE_CURVE('',#147544,#147542,#66754,.T.); #180633=EDGE_CURVE('',#147543,#147544,#66755,.T.); #180634=EDGE_CURVE('',#147545,#147543,#66756,.T.); #180635=EDGE_CURVE('',#147546,#147544,#66757,.T.); #180636=EDGE_CURVE('',#147545,#147546,#66758,.T.); #180637=EDGE_CURVE('',#147547,#147545,#66759,.T.); #180638=EDGE_CURVE('',#147548,#147546,#66760,.T.); #180639=EDGE_CURVE('',#147547,#147548,#66761,.T.); #180640=EDGE_CURVE('',#147549,#147547,#66762,.T.); #180641=EDGE_CURVE('',#147550,#147548,#66763,.T.); #180642=EDGE_CURVE('',#147549,#147550,#66764,.T.); #180643=EDGE_CURVE('',#147551,#147549,#66765,.T.); #180644=EDGE_CURVE('',#147552,#147550,#66766,.T.); #180645=EDGE_CURVE('',#147551,#147552,#66767,.T.); #180646=EDGE_CURVE('',#147553,#147551,#66768,.T.); #180647=EDGE_CURVE('',#147554,#147552,#66769,.T.); #180648=EDGE_CURVE('',#147553,#147554,#66770,.T.); #180649=EDGE_CURVE('',#147555,#147553,#66771,.T.); #180650=EDGE_CURVE('',#147556,#147554,#66772,.T.); #180651=EDGE_CURVE('',#147555,#147556,#66773,.T.); #180652=EDGE_CURVE('',#147557,#147555,#66774,.T.); #180653=EDGE_CURVE('',#147558,#147556,#66775,.T.); #180654=EDGE_CURVE('',#147557,#147558,#66776,.T.); #180655=EDGE_CURVE('',#147559,#147557,#66777,.T.); #180656=EDGE_CURVE('',#147560,#147558,#66778,.T.); #180657=EDGE_CURVE('',#147559,#147560,#66779,.T.); #180658=EDGE_CURVE('',#147561,#147559,#66780,.T.); #180659=EDGE_CURVE('',#147562,#147560,#66781,.T.); #180660=EDGE_CURVE('',#147561,#147562,#66782,.T.); #180661=EDGE_CURVE('',#147563,#147561,#66783,.T.); #180662=EDGE_CURVE('',#147564,#147562,#66784,.T.); #180663=EDGE_CURVE('',#147563,#147564,#66785,.T.); #180664=EDGE_CURVE('',#147565,#147563,#66786,.T.); #180665=EDGE_CURVE('',#147566,#147564,#66787,.T.); #180666=EDGE_CURVE('',#147565,#147566,#66788,.T.); #180667=EDGE_CURVE('',#147567,#147565,#66789,.T.); #180668=EDGE_CURVE('',#147568,#147566,#66790,.T.); #180669=EDGE_CURVE('',#147567,#147568,#66791,.T.); #180670=EDGE_CURVE('',#147569,#147567,#66792,.T.); #180671=EDGE_CURVE('',#147570,#147568,#66793,.T.); #180672=EDGE_CURVE('',#147569,#147570,#66794,.T.); #180673=EDGE_CURVE('',#147571,#147569,#66795,.T.); #180674=EDGE_CURVE('',#147572,#147570,#66796,.T.); #180675=EDGE_CURVE('',#147571,#147572,#66797,.T.); #180676=EDGE_CURVE('',#147573,#147571,#66798,.T.); #180677=EDGE_CURVE('',#147574,#147572,#66799,.T.); #180678=EDGE_CURVE('',#147573,#147574,#66800,.T.); #180679=EDGE_CURVE('',#147575,#147573,#66801,.T.); #180680=EDGE_CURVE('',#147576,#147574,#66802,.T.); #180681=EDGE_CURVE('',#147575,#147576,#66803,.T.); #180682=EDGE_CURVE('',#147577,#147575,#66804,.T.); #180683=EDGE_CURVE('',#147578,#147576,#66805,.T.); #180684=EDGE_CURVE('',#147577,#147578,#66806,.T.); #180685=EDGE_CURVE('',#147579,#147577,#66807,.T.); #180686=EDGE_CURVE('',#147580,#147578,#66808,.T.); #180687=EDGE_CURVE('',#147579,#147580,#66809,.T.); #180688=EDGE_CURVE('',#147581,#147579,#66810,.T.); #180689=EDGE_CURVE('',#147582,#147580,#66811,.T.); #180690=EDGE_CURVE('',#147581,#147582,#66812,.T.); #180691=EDGE_CURVE('',#147583,#147581,#66813,.T.); #180692=EDGE_CURVE('',#147584,#147582,#66814,.T.); #180693=EDGE_CURVE('',#147583,#147584,#66815,.T.); #180694=EDGE_CURVE('',#147585,#147583,#66816,.T.); #180695=EDGE_CURVE('',#147586,#147584,#66817,.T.); #180696=EDGE_CURVE('',#147585,#147586,#66818,.T.); #180697=EDGE_CURVE('',#147587,#147585,#66819,.T.); #180698=EDGE_CURVE('',#147588,#147586,#66820,.T.); #180699=EDGE_CURVE('',#147587,#147588,#66821,.T.); #180700=EDGE_CURVE('',#147589,#147587,#66822,.T.); #180701=EDGE_CURVE('',#147590,#147588,#66823,.T.); #180702=EDGE_CURVE('',#147589,#147590,#66824,.T.); #180703=EDGE_CURVE('',#147591,#147589,#66825,.T.); #180704=EDGE_CURVE('',#147592,#147590,#66826,.T.); #180705=EDGE_CURVE('',#147591,#147592,#66827,.T.); #180706=EDGE_CURVE('',#147593,#147591,#66828,.T.); #180707=EDGE_CURVE('',#147594,#147592,#66829,.T.); #180708=EDGE_CURVE('',#147593,#147594,#66830,.T.); #180709=EDGE_CURVE('',#147595,#147593,#66831,.T.); #180710=EDGE_CURVE('',#147596,#147594,#66832,.T.); #180711=EDGE_CURVE('',#147595,#147596,#66833,.T.); #180712=EDGE_CURVE('',#147597,#147595,#66834,.T.); #180713=EDGE_CURVE('',#147598,#147596,#66835,.T.); #180714=EDGE_CURVE('',#147597,#147598,#66836,.T.); #180715=EDGE_CURVE('',#147599,#147597,#66837,.T.); #180716=EDGE_CURVE('',#147600,#147598,#66838,.T.); #180717=EDGE_CURVE('',#147599,#147600,#66839,.T.); #180718=EDGE_CURVE('',#147601,#147599,#66840,.T.); #180719=EDGE_CURVE('',#147602,#147600,#66841,.T.); #180720=EDGE_CURVE('',#147601,#147602,#66842,.T.); #180721=EDGE_CURVE('',#147603,#147601,#66843,.T.); #180722=EDGE_CURVE('',#147604,#147602,#66844,.T.); #180723=EDGE_CURVE('',#147603,#147604,#66845,.T.); #180724=EDGE_CURVE('',#147605,#147603,#66846,.T.); #180725=EDGE_CURVE('',#147606,#147604,#66847,.T.); #180726=EDGE_CURVE('',#147605,#147606,#66848,.T.); #180727=EDGE_CURVE('',#147607,#147605,#66849,.T.); #180728=EDGE_CURVE('',#147608,#147606,#66850,.T.); #180729=EDGE_CURVE('',#147607,#147608,#66851,.T.); #180730=EDGE_CURVE('',#147609,#147607,#66852,.T.); #180731=EDGE_CURVE('',#147610,#147608,#66853,.T.); #180732=EDGE_CURVE('',#147609,#147610,#66854,.T.); #180733=EDGE_CURVE('',#146456,#147609,#66855,.T.); #180734=EDGE_CURVE('',#146457,#147610,#66856,.T.); #180735=EDGE_CURVE('',#147611,#147612,#66857,.T.); #180736=EDGE_CURVE('',#147612,#147613,#66858,.T.); #180737=EDGE_CURVE('',#147614,#147613,#66859,.T.); #180738=EDGE_CURVE('',#147611,#147614,#66860,.T.); #180739=EDGE_CURVE('',#147615,#147611,#66861,.T.); #180740=EDGE_CURVE('',#147616,#147614,#66862,.T.); #180741=EDGE_CURVE('',#147615,#147616,#66863,.T.); #180742=EDGE_CURVE('',#147617,#147615,#66864,.T.); #180743=EDGE_CURVE('',#147618,#147616,#66865,.T.); #180744=EDGE_CURVE('',#147617,#147618,#66866,.T.); #180745=EDGE_CURVE('',#147619,#147617,#66867,.T.); #180746=EDGE_CURVE('',#147620,#147618,#66868,.T.); #180747=EDGE_CURVE('',#147619,#147620,#66869,.T.); #180748=EDGE_CURVE('',#147621,#147619,#66870,.T.); #180749=EDGE_CURVE('',#147622,#147620,#66871,.T.); #180750=EDGE_CURVE('',#147621,#147622,#66872,.T.); #180751=EDGE_CURVE('',#147623,#147621,#66873,.T.); #180752=EDGE_CURVE('',#147624,#147622,#66874,.T.); #180753=EDGE_CURVE('',#147623,#147624,#66875,.T.); #180754=EDGE_CURVE('',#147625,#147623,#66876,.T.); #180755=EDGE_CURVE('',#147626,#147624,#66877,.T.); #180756=EDGE_CURVE('',#147625,#147626,#66878,.T.); #180757=EDGE_CURVE('',#147627,#147625,#66879,.T.); #180758=EDGE_CURVE('',#147628,#147626,#66880,.T.); #180759=EDGE_CURVE('',#147627,#147628,#66881,.T.); #180760=EDGE_CURVE('',#147629,#147627,#66882,.T.); #180761=EDGE_CURVE('',#147630,#147628,#66883,.T.); #180762=EDGE_CURVE('',#147629,#147630,#66884,.T.); #180763=EDGE_CURVE('',#147631,#147629,#66885,.T.); #180764=EDGE_CURVE('',#147632,#147630,#66886,.T.); #180765=EDGE_CURVE('',#147631,#147632,#66887,.T.); #180766=EDGE_CURVE('',#147633,#147631,#66888,.T.); #180767=EDGE_CURVE('',#147634,#147632,#66889,.T.); #180768=EDGE_CURVE('',#147633,#147634,#66890,.T.); #180769=EDGE_CURVE('',#147635,#147633,#66891,.T.); #180770=EDGE_CURVE('',#147636,#147634,#66892,.T.); #180771=EDGE_CURVE('',#147635,#147636,#66893,.T.); #180772=EDGE_CURVE('',#147637,#147635,#66894,.T.); #180773=EDGE_CURVE('',#147638,#147636,#66895,.T.); #180774=EDGE_CURVE('',#147637,#147638,#66896,.T.); #180775=EDGE_CURVE('',#147639,#147637,#66897,.T.); #180776=EDGE_CURVE('',#147640,#147638,#66898,.T.); #180777=EDGE_CURVE('',#147639,#147640,#66899,.T.); #180778=EDGE_CURVE('',#147641,#147639,#66900,.T.); #180779=EDGE_CURVE('',#147642,#147640,#66901,.T.); #180780=EDGE_CURVE('',#147641,#147642,#66902,.T.); #180781=EDGE_CURVE('',#147643,#147641,#66903,.T.); #180782=EDGE_CURVE('',#147644,#147642,#66904,.T.); #180783=EDGE_CURVE('',#147643,#147644,#66905,.T.); #180784=EDGE_CURVE('',#147645,#147643,#66906,.T.); #180785=EDGE_CURVE('',#147646,#147644,#66907,.T.); #180786=EDGE_CURVE('',#147645,#147646,#66908,.T.); #180787=EDGE_CURVE('',#147647,#147645,#66909,.T.); #180788=EDGE_CURVE('',#147648,#147646,#66910,.T.); #180789=EDGE_CURVE('',#147647,#147648,#66911,.T.); #180790=EDGE_CURVE('',#147649,#147647,#66912,.T.); #180791=EDGE_CURVE('',#147650,#147648,#66913,.T.); #180792=EDGE_CURVE('',#147649,#147650,#66914,.T.); #180793=EDGE_CURVE('',#147651,#147649,#66915,.T.); #180794=EDGE_CURVE('',#147652,#147650,#66916,.T.); #180795=EDGE_CURVE('',#147651,#147652,#66917,.T.); #180796=EDGE_CURVE('',#147653,#147651,#66918,.T.); #180797=EDGE_CURVE('',#147654,#147652,#66919,.T.); #180798=EDGE_CURVE('',#147653,#147654,#66920,.T.); #180799=EDGE_CURVE('',#147655,#147653,#66921,.T.); #180800=EDGE_CURVE('',#147656,#147654,#66922,.T.); #180801=EDGE_CURVE('',#147655,#147656,#66923,.T.); #180802=EDGE_CURVE('',#147657,#147655,#66924,.T.); #180803=EDGE_CURVE('',#147658,#147656,#66925,.T.); #180804=EDGE_CURVE('',#147657,#147658,#66926,.T.); #180805=EDGE_CURVE('',#147659,#147657,#66927,.T.); #180806=EDGE_CURVE('',#147660,#147658,#66928,.T.); #180807=EDGE_CURVE('',#147659,#147660,#66929,.T.); #180808=EDGE_CURVE('',#147661,#147659,#66930,.T.); #180809=EDGE_CURVE('',#147662,#147660,#66931,.T.); #180810=EDGE_CURVE('',#147661,#147662,#66932,.T.); #180811=EDGE_CURVE('',#147663,#147661,#66933,.T.); #180812=EDGE_CURVE('',#147664,#147662,#66934,.T.); #180813=EDGE_CURVE('',#147663,#147664,#66935,.T.); #180814=EDGE_CURVE('',#147665,#147663,#66936,.T.); #180815=EDGE_CURVE('',#147666,#147664,#66937,.T.); #180816=EDGE_CURVE('',#147665,#147666,#66938,.T.); #180817=EDGE_CURVE('',#147667,#147665,#66939,.T.); #180818=EDGE_CURVE('',#147668,#147666,#66940,.T.); #180819=EDGE_CURVE('',#147667,#147668,#66941,.T.); #180820=EDGE_CURVE('',#147669,#147667,#66942,.T.); #180821=EDGE_CURVE('',#147670,#147668,#66943,.T.); #180822=EDGE_CURVE('',#147669,#147670,#66944,.T.); #180823=EDGE_CURVE('',#147671,#147669,#66945,.T.); #180824=EDGE_CURVE('',#147672,#147670,#66946,.T.); #180825=EDGE_CURVE('',#147671,#147672,#66947,.T.); #180826=EDGE_CURVE('',#147673,#147671,#66948,.T.); #180827=EDGE_CURVE('',#147674,#147672,#66949,.T.); #180828=EDGE_CURVE('',#147673,#147674,#66950,.T.); #180829=EDGE_CURVE('',#147675,#147673,#66951,.T.); #180830=EDGE_CURVE('',#147676,#147674,#66952,.T.); #180831=EDGE_CURVE('',#147675,#147676,#66953,.T.); #180832=EDGE_CURVE('',#147677,#147675,#66954,.T.); #180833=EDGE_CURVE('',#147678,#147676,#66955,.T.); #180834=EDGE_CURVE('',#147677,#147678,#66956,.T.); #180835=EDGE_CURVE('',#147679,#147677,#66957,.T.); #180836=EDGE_CURVE('',#147680,#147678,#66958,.T.); #180837=EDGE_CURVE('',#147679,#147680,#66959,.T.); #180838=EDGE_CURVE('',#147681,#147679,#66960,.T.); #180839=EDGE_CURVE('',#147682,#147680,#66961,.T.); #180840=EDGE_CURVE('',#147681,#147682,#66962,.T.); #180841=EDGE_CURVE('',#147683,#147681,#66963,.T.); #180842=EDGE_CURVE('',#147684,#147682,#66964,.T.); #180843=EDGE_CURVE('',#147683,#147684,#66965,.T.); #180844=EDGE_CURVE('',#147685,#147683,#66966,.T.); #180845=EDGE_CURVE('',#147686,#147684,#66967,.T.); #180846=EDGE_CURVE('',#147685,#147686,#66968,.T.); #180847=EDGE_CURVE('',#147687,#147685,#66969,.T.); #180848=EDGE_CURVE('',#147688,#147686,#66970,.T.); #180849=EDGE_CURVE('',#147687,#147688,#66971,.T.); #180850=EDGE_CURVE('',#147689,#147687,#66972,.T.); #180851=EDGE_CURVE('',#147690,#147688,#66973,.T.); #180852=EDGE_CURVE('',#147689,#147690,#66974,.T.); #180853=EDGE_CURVE('',#147691,#147689,#66975,.T.); #180854=EDGE_CURVE('',#147692,#147690,#66976,.T.); #180855=EDGE_CURVE('',#147691,#147692,#66977,.T.); #180856=EDGE_CURVE('',#147693,#147691,#66978,.T.); #180857=EDGE_CURVE('',#147694,#147692,#66979,.T.); #180858=EDGE_CURVE('',#147693,#147694,#66980,.T.); #180859=EDGE_CURVE('',#147695,#147693,#66981,.T.); #180860=EDGE_CURVE('',#147696,#147694,#66982,.T.); #180861=EDGE_CURVE('',#147695,#147696,#66983,.T.); #180862=EDGE_CURVE('',#147697,#147695,#66984,.T.); #180863=EDGE_CURVE('',#147698,#147696,#66985,.T.); #180864=EDGE_CURVE('',#147697,#147698,#66986,.T.); #180865=EDGE_CURVE('',#147699,#147697,#66987,.T.); #180866=EDGE_CURVE('',#147700,#147698,#66988,.T.); #180867=EDGE_CURVE('',#147699,#147700,#66989,.T.); #180868=EDGE_CURVE('',#147701,#147699,#66990,.T.); #180869=EDGE_CURVE('',#147702,#147700,#66991,.T.); #180870=EDGE_CURVE('',#147701,#147702,#66992,.T.); #180871=EDGE_CURVE('',#147703,#147701,#66993,.T.); #180872=EDGE_CURVE('',#147704,#147702,#66994,.T.); #180873=EDGE_CURVE('',#147703,#147704,#66995,.T.); #180874=EDGE_CURVE('',#147705,#147703,#66996,.T.); #180875=EDGE_CURVE('',#147706,#147704,#66997,.T.); #180876=EDGE_CURVE('',#147705,#147706,#66998,.T.); #180877=EDGE_CURVE('',#147707,#147705,#66999,.T.); #180878=EDGE_CURVE('',#147708,#147706,#67000,.T.); #180879=EDGE_CURVE('',#147707,#147708,#67001,.T.); #180880=EDGE_CURVE('',#147709,#147707,#67002,.T.); #180881=EDGE_CURVE('',#147710,#147708,#67003,.T.); #180882=EDGE_CURVE('',#147709,#147710,#67004,.T.); #180883=EDGE_CURVE('',#147711,#147709,#67005,.T.); #180884=EDGE_CURVE('',#147712,#147710,#67006,.T.); #180885=EDGE_CURVE('',#147711,#147712,#67007,.T.); #180886=EDGE_CURVE('',#147713,#147711,#67008,.T.); #180887=EDGE_CURVE('',#147714,#147712,#67009,.T.); #180888=EDGE_CURVE('',#147713,#147714,#67010,.T.); #180889=EDGE_CURVE('',#147715,#147713,#67011,.T.); #180890=EDGE_CURVE('',#147716,#147714,#67012,.T.); #180891=EDGE_CURVE('',#147715,#147716,#67013,.T.); #180892=EDGE_CURVE('',#147717,#147715,#67014,.T.); #180893=EDGE_CURVE('',#147718,#147716,#67015,.T.); #180894=EDGE_CURVE('',#147717,#147718,#67016,.T.); #180895=EDGE_CURVE('',#147719,#147717,#67017,.T.); #180896=EDGE_CURVE('',#147720,#147718,#67018,.T.); #180897=EDGE_CURVE('',#147719,#147720,#67019,.T.); #180898=EDGE_CURVE('',#147721,#147719,#67020,.T.); #180899=EDGE_CURVE('',#147722,#147720,#67021,.T.); #180900=EDGE_CURVE('',#147721,#147722,#67022,.T.); #180901=EDGE_CURVE('',#147723,#147721,#67023,.T.); #180902=EDGE_CURVE('',#147724,#147722,#67024,.T.); #180903=EDGE_CURVE('',#147723,#147724,#67025,.T.); #180904=EDGE_CURVE('',#147725,#147723,#67026,.T.); #180905=EDGE_CURVE('',#147726,#147724,#67027,.T.); #180906=EDGE_CURVE('',#147725,#147726,#67028,.T.); #180907=EDGE_CURVE('',#147727,#147725,#67029,.T.); #180908=EDGE_CURVE('',#147728,#147726,#67030,.T.); #180909=EDGE_CURVE('',#147727,#147728,#67031,.T.); #180910=EDGE_CURVE('',#147729,#147727,#67032,.T.); #180911=EDGE_CURVE('',#147730,#147728,#67033,.T.); #180912=EDGE_CURVE('',#147729,#147730,#67034,.T.); #180913=EDGE_CURVE('',#147731,#147729,#67035,.T.); #180914=EDGE_CURVE('',#147732,#147730,#67036,.T.); #180915=EDGE_CURVE('',#147731,#147732,#67037,.T.); #180916=EDGE_CURVE('',#147733,#147731,#67038,.T.); #180917=EDGE_CURVE('',#147734,#147732,#67039,.T.); #180918=EDGE_CURVE('',#147733,#147734,#67040,.T.); #180919=EDGE_CURVE('',#147735,#147733,#67041,.T.); #180920=EDGE_CURVE('',#147736,#147734,#67042,.T.); #180921=EDGE_CURVE('',#147735,#147736,#67043,.T.); #180922=EDGE_CURVE('',#147737,#147735,#67044,.T.); #180923=EDGE_CURVE('',#147738,#147736,#67045,.T.); #180924=EDGE_CURVE('',#147737,#147738,#67046,.T.); #180925=EDGE_CURVE('',#147739,#147737,#67047,.T.); #180926=EDGE_CURVE('',#147740,#147738,#67048,.T.); #180927=EDGE_CURVE('',#147739,#147740,#67049,.T.); #180928=EDGE_CURVE('',#147741,#147739,#67050,.T.); #180929=EDGE_CURVE('',#147742,#147740,#67051,.T.); #180930=EDGE_CURVE('',#147741,#147742,#67052,.T.); #180931=EDGE_CURVE('',#147743,#147741,#67053,.T.); #180932=EDGE_CURVE('',#147744,#147742,#67054,.T.); #180933=EDGE_CURVE('',#147743,#147744,#67055,.T.); #180934=EDGE_CURVE('',#147745,#147743,#67056,.T.); #180935=EDGE_CURVE('',#147746,#147744,#67057,.T.); #180936=EDGE_CURVE('',#147745,#147746,#67058,.T.); #180937=EDGE_CURVE('',#147747,#147745,#67059,.T.); #180938=EDGE_CURVE('',#147748,#147746,#67060,.T.); #180939=EDGE_CURVE('',#147747,#147748,#67061,.T.); #180940=EDGE_CURVE('',#147749,#147747,#67062,.T.); #180941=EDGE_CURVE('',#147750,#147748,#67063,.T.); #180942=EDGE_CURVE('',#147749,#147750,#67064,.T.); #180943=EDGE_CURVE('',#147751,#147749,#67065,.T.); #180944=EDGE_CURVE('',#147752,#147750,#67066,.T.); #180945=EDGE_CURVE('',#147751,#147752,#67067,.T.); #180946=EDGE_CURVE('',#147753,#147751,#67068,.T.); #180947=EDGE_CURVE('',#147754,#147752,#67069,.T.); #180948=EDGE_CURVE('',#147753,#147754,#67070,.T.); #180949=EDGE_CURVE('',#147755,#147753,#67071,.T.); #180950=EDGE_CURVE('',#147756,#147754,#67072,.T.); #180951=EDGE_CURVE('',#147755,#147756,#67073,.T.); #180952=EDGE_CURVE('',#147757,#147755,#67074,.T.); #180953=EDGE_CURVE('',#147758,#147756,#67075,.T.); #180954=EDGE_CURVE('',#147757,#147758,#67076,.T.); #180955=EDGE_CURVE('',#147759,#147757,#67077,.T.); #180956=EDGE_CURVE('',#147760,#147758,#67078,.T.); #180957=EDGE_CURVE('',#147759,#147760,#67079,.T.); #180958=EDGE_CURVE('',#147761,#147759,#67080,.T.); #180959=EDGE_CURVE('',#147762,#147760,#67081,.T.); #180960=EDGE_CURVE('',#147761,#147762,#67082,.T.); #180961=EDGE_CURVE('',#147763,#147761,#67083,.T.); #180962=EDGE_CURVE('',#147764,#147762,#67084,.T.); #180963=EDGE_CURVE('',#147763,#147764,#67085,.T.); #180964=EDGE_CURVE('',#147765,#147763,#67086,.T.); #180965=EDGE_CURVE('',#147766,#147764,#67087,.T.); #180966=EDGE_CURVE('',#147765,#147766,#67088,.T.); #180967=EDGE_CURVE('',#147767,#147765,#67089,.T.); #180968=EDGE_CURVE('',#147768,#147766,#67090,.T.); #180969=EDGE_CURVE('',#147767,#147768,#67091,.T.); #180970=EDGE_CURVE('',#147769,#147767,#67092,.T.); #180971=EDGE_CURVE('',#147770,#147768,#67093,.T.); #180972=EDGE_CURVE('',#147769,#147770,#67094,.T.); #180973=EDGE_CURVE('',#147771,#147769,#67095,.T.); #180974=EDGE_CURVE('',#147772,#147770,#67096,.T.); #180975=EDGE_CURVE('',#147771,#147772,#67097,.T.); #180976=EDGE_CURVE('',#147773,#147771,#67098,.T.); #180977=EDGE_CURVE('',#147774,#147772,#67099,.T.); #180978=EDGE_CURVE('',#147773,#147774,#67100,.T.); #180979=EDGE_CURVE('',#147775,#147773,#67101,.T.); #180980=EDGE_CURVE('',#147776,#147774,#67102,.T.); #180981=EDGE_CURVE('',#147775,#147776,#67103,.T.); #180982=EDGE_CURVE('',#147777,#147775,#67104,.T.); #180983=EDGE_CURVE('',#147778,#147776,#67105,.T.); #180984=EDGE_CURVE('',#147777,#147778,#67106,.T.); #180985=EDGE_CURVE('',#147779,#147777,#67107,.T.); #180986=EDGE_CURVE('',#147780,#147778,#67108,.T.); #180987=EDGE_CURVE('',#147779,#147780,#67109,.T.); #180988=EDGE_CURVE('',#147781,#147779,#67110,.T.); #180989=EDGE_CURVE('',#147782,#147780,#67111,.T.); #180990=EDGE_CURVE('',#147781,#147782,#67112,.T.); #180991=EDGE_CURVE('',#147783,#147781,#67113,.T.); #180992=EDGE_CURVE('',#147784,#147782,#67114,.T.); #180993=EDGE_CURVE('',#147783,#147784,#67115,.T.); #180994=EDGE_CURVE('',#147785,#147783,#67116,.T.); #180995=EDGE_CURVE('',#147786,#147784,#67117,.T.); #180996=EDGE_CURVE('',#147785,#147786,#67118,.T.); #180997=EDGE_CURVE('',#147787,#147785,#67119,.T.); #180998=EDGE_CURVE('',#147788,#147786,#67120,.T.); #180999=EDGE_CURVE('',#147787,#147788,#67121,.T.); #181000=EDGE_CURVE('',#147789,#147787,#67122,.T.); #181001=EDGE_CURVE('',#147790,#147788,#67123,.T.); #181002=EDGE_CURVE('',#147789,#147790,#67124,.T.); #181003=EDGE_CURVE('',#147791,#147789,#67125,.T.); #181004=EDGE_CURVE('',#147792,#147790,#67126,.T.); #181005=EDGE_CURVE('',#147791,#147792,#67127,.T.); #181006=EDGE_CURVE('',#147793,#147791,#67128,.T.); #181007=EDGE_CURVE('',#147794,#147792,#67129,.T.); #181008=EDGE_CURVE('',#147793,#147794,#67130,.T.); #181009=EDGE_CURVE('',#147795,#147793,#67131,.T.); #181010=EDGE_CURVE('',#147796,#147794,#67132,.T.); #181011=EDGE_CURVE('',#147795,#147796,#67133,.T.); #181012=EDGE_CURVE('',#147797,#147795,#67134,.T.); #181013=EDGE_CURVE('',#147798,#147796,#67135,.T.); #181014=EDGE_CURVE('',#147797,#147798,#67136,.T.); #181015=EDGE_CURVE('',#147799,#147797,#67137,.T.); #181016=EDGE_CURVE('',#147800,#147798,#67138,.T.); #181017=EDGE_CURVE('',#147799,#147800,#67139,.T.); #181018=EDGE_CURVE('',#147801,#147799,#67140,.T.); #181019=EDGE_CURVE('',#147802,#147800,#67141,.T.); #181020=EDGE_CURVE('',#147801,#147802,#67142,.T.); #181021=EDGE_CURVE('',#147803,#147801,#67143,.T.); #181022=EDGE_CURVE('',#147804,#147802,#67144,.T.); #181023=EDGE_CURVE('',#147803,#147804,#67145,.T.); #181024=EDGE_CURVE('',#147805,#147803,#67146,.T.); #181025=EDGE_CURVE('',#147806,#147804,#67147,.T.); #181026=EDGE_CURVE('',#147805,#147806,#67148,.T.); #181027=EDGE_CURVE('',#147807,#147805,#67149,.T.); #181028=EDGE_CURVE('',#147808,#147806,#67150,.T.); #181029=EDGE_CURVE('',#147807,#147808,#67151,.T.); #181030=EDGE_CURVE('',#147809,#147807,#67152,.T.); #181031=EDGE_CURVE('',#147810,#147808,#67153,.T.); #181032=EDGE_CURVE('',#147809,#147810,#67154,.T.); #181033=EDGE_CURVE('',#147811,#147809,#67155,.T.); #181034=EDGE_CURVE('',#147812,#147810,#67156,.T.); #181035=EDGE_CURVE('',#147811,#147812,#67157,.T.); #181036=EDGE_CURVE('',#147813,#147811,#67158,.T.); #181037=EDGE_CURVE('',#147814,#147812,#67159,.T.); #181038=EDGE_CURVE('',#147813,#147814,#67160,.T.); #181039=EDGE_CURVE('',#147815,#147813,#67161,.T.); #181040=EDGE_CURVE('',#147816,#147814,#67162,.T.); #181041=EDGE_CURVE('',#147815,#147816,#67163,.T.); #181042=EDGE_CURVE('',#147817,#147815,#67164,.T.); #181043=EDGE_CURVE('',#147818,#147816,#67165,.T.); #181044=EDGE_CURVE('',#147817,#147818,#67166,.T.); #181045=EDGE_CURVE('',#147819,#147817,#67167,.T.); #181046=EDGE_CURVE('',#147820,#147818,#67168,.T.); #181047=EDGE_CURVE('',#147819,#147820,#67169,.T.); #181048=EDGE_CURVE('',#147821,#147819,#67170,.T.); #181049=EDGE_CURVE('',#147822,#147820,#67171,.T.); #181050=EDGE_CURVE('',#147821,#147822,#67172,.T.); #181051=EDGE_CURVE('',#147823,#147821,#67173,.T.); #181052=EDGE_CURVE('',#147824,#147822,#67174,.T.); #181053=EDGE_CURVE('',#147823,#147824,#67175,.T.); #181054=EDGE_CURVE('',#147825,#147823,#67176,.T.); #181055=EDGE_CURVE('',#147826,#147824,#67177,.T.); #181056=EDGE_CURVE('',#147825,#147826,#67178,.T.); #181057=EDGE_CURVE('',#147827,#147825,#67179,.T.); #181058=EDGE_CURVE('',#147828,#147826,#67180,.T.); #181059=EDGE_CURVE('',#147827,#147828,#67181,.T.); #181060=EDGE_CURVE('',#147829,#147827,#67182,.T.); #181061=EDGE_CURVE('',#147830,#147828,#67183,.T.); #181062=EDGE_CURVE('',#147829,#147830,#67184,.T.); #181063=EDGE_CURVE('',#147831,#147829,#67185,.T.); #181064=EDGE_CURVE('',#147832,#147830,#67186,.T.); #181065=EDGE_CURVE('',#147831,#147832,#67187,.T.); #181066=EDGE_CURVE('',#147833,#147831,#67188,.T.); #181067=EDGE_CURVE('',#147834,#147832,#67189,.T.); #181068=EDGE_CURVE('',#147833,#147834,#67190,.T.); #181069=EDGE_CURVE('',#147835,#147833,#67191,.T.); #181070=EDGE_CURVE('',#147836,#147834,#67192,.T.); #181071=EDGE_CURVE('',#147835,#147836,#67193,.T.); #181072=EDGE_CURVE('',#147837,#147835,#67194,.T.); #181073=EDGE_CURVE('',#147838,#147836,#67195,.T.); #181074=EDGE_CURVE('',#147837,#147838,#67196,.T.); #181075=EDGE_CURVE('',#147839,#147837,#67197,.T.); #181076=EDGE_CURVE('',#147840,#147838,#67198,.T.); #181077=EDGE_CURVE('',#147839,#147840,#67199,.T.); #181078=EDGE_CURVE('',#147841,#147839,#67200,.T.); #181079=EDGE_CURVE('',#147842,#147840,#67201,.T.); #181080=EDGE_CURVE('',#147841,#147842,#67202,.T.); #181081=EDGE_CURVE('',#147843,#147841,#67203,.T.); #181082=EDGE_CURVE('',#147844,#147842,#67204,.T.); #181083=EDGE_CURVE('',#147843,#147844,#67205,.T.); #181084=EDGE_CURVE('',#147845,#147843,#67206,.T.); #181085=EDGE_CURVE('',#147846,#147844,#67207,.T.); #181086=EDGE_CURVE('',#147845,#147846,#67208,.T.); #181087=EDGE_CURVE('',#147847,#147845,#67209,.T.); #181088=EDGE_CURVE('',#147848,#147846,#67210,.T.); #181089=EDGE_CURVE('',#147847,#147848,#67211,.T.); #181090=EDGE_CURVE('',#147612,#147847,#67212,.T.); #181091=EDGE_CURVE('',#147613,#147848,#67213,.T.); #181092=EDGE_CURVE('',#147849,#147850,#67214,.T.); #181093=EDGE_CURVE('',#147850,#147851,#67215,.T.); #181094=EDGE_CURVE('',#147852,#147851,#67216,.T.); #181095=EDGE_CURVE('',#147849,#147852,#67217,.T.); #181096=EDGE_CURVE('',#147853,#147849,#67218,.T.); #181097=EDGE_CURVE('',#147854,#147852,#67219,.T.); #181098=EDGE_CURVE('',#147853,#147854,#67220,.T.); #181099=EDGE_CURVE('',#147855,#147853,#67221,.T.); #181100=EDGE_CURVE('',#147856,#147854,#67222,.T.); #181101=EDGE_CURVE('',#147855,#147856,#67223,.T.); #181102=EDGE_CURVE('',#147857,#147855,#67224,.T.); #181103=EDGE_CURVE('',#147858,#147856,#67225,.T.); #181104=EDGE_CURVE('',#147857,#147858,#67226,.T.); #181105=EDGE_CURVE('',#147859,#147857,#67227,.T.); #181106=EDGE_CURVE('',#147860,#147858,#67228,.T.); #181107=EDGE_CURVE('',#147859,#147860,#67229,.T.); #181108=EDGE_CURVE('',#147861,#147859,#67230,.T.); #181109=EDGE_CURVE('',#147862,#147860,#67231,.T.); #181110=EDGE_CURVE('',#147861,#147862,#67232,.T.); #181111=EDGE_CURVE('',#147863,#147861,#67233,.T.); #181112=EDGE_CURVE('',#147864,#147862,#67234,.T.); #181113=EDGE_CURVE('',#147863,#147864,#67235,.T.); #181114=EDGE_CURVE('',#147850,#147863,#67236,.T.); #181115=EDGE_CURVE('',#147851,#147864,#67237,.T.); #181116=EDGE_CURVE('',#147865,#147866,#67238,.T.); #181117=EDGE_CURVE('',#147866,#147867,#67239,.T.); #181118=EDGE_CURVE('',#147868,#147867,#67240,.T.); #181119=EDGE_CURVE('',#147865,#147868,#67241,.T.); #181120=EDGE_CURVE('',#147869,#147865,#67242,.T.); #181121=EDGE_CURVE('',#147870,#147868,#67243,.T.); #181122=EDGE_CURVE('',#147869,#147870,#67244,.T.); #181123=EDGE_CURVE('',#147871,#147869,#67245,.T.); #181124=EDGE_CURVE('',#147872,#147870,#67246,.T.); #181125=EDGE_CURVE('',#147871,#147872,#67247,.T.); #181126=EDGE_CURVE('',#147873,#147871,#67248,.T.); #181127=EDGE_CURVE('',#147874,#147872,#67249,.T.); #181128=EDGE_CURVE('',#147873,#147874,#67250,.T.); #181129=EDGE_CURVE('',#147875,#147873,#67251,.T.); #181130=EDGE_CURVE('',#147876,#147874,#67252,.T.); #181131=EDGE_CURVE('',#147875,#147876,#67253,.T.); #181132=EDGE_CURVE('',#147877,#147875,#67254,.T.); #181133=EDGE_CURVE('',#147878,#147876,#67255,.T.); #181134=EDGE_CURVE('',#147877,#147878,#67256,.T.); #181135=EDGE_CURVE('',#147879,#147877,#67257,.T.); #181136=EDGE_CURVE('',#147880,#147878,#67258,.T.); #181137=EDGE_CURVE('',#147879,#147880,#67259,.T.); #181138=EDGE_CURVE('',#147881,#147879,#67260,.T.); #181139=EDGE_CURVE('',#147882,#147880,#67261,.T.); #181140=EDGE_CURVE('',#147881,#147882,#67262,.T.); #181141=EDGE_CURVE('',#147883,#147881,#67263,.T.); #181142=EDGE_CURVE('',#147884,#147882,#67264,.T.); #181143=EDGE_CURVE('',#147883,#147884,#67265,.T.); #181144=EDGE_CURVE('',#147885,#147883,#67266,.T.); #181145=EDGE_CURVE('',#147886,#147884,#67267,.T.); #181146=EDGE_CURVE('',#147885,#147886,#67268,.T.); #181147=EDGE_CURVE('',#147887,#147885,#67269,.T.); #181148=EDGE_CURVE('',#147888,#147886,#67270,.T.); #181149=EDGE_CURVE('',#147887,#147888,#67271,.T.); #181150=EDGE_CURVE('',#147889,#147887,#67272,.T.); #181151=EDGE_CURVE('',#147890,#147888,#67273,.T.); #181152=EDGE_CURVE('',#147889,#147890,#67274,.T.); #181153=EDGE_CURVE('',#147891,#147889,#67275,.T.); #181154=EDGE_CURVE('',#147892,#147890,#67276,.T.); #181155=EDGE_CURVE('',#147891,#147892,#67277,.T.); #181156=EDGE_CURVE('',#147893,#147891,#67278,.T.); #181157=EDGE_CURVE('',#147894,#147892,#67279,.T.); #181158=EDGE_CURVE('',#147893,#147894,#67280,.T.); #181159=EDGE_CURVE('',#147895,#147893,#67281,.T.); #181160=EDGE_CURVE('',#147896,#147894,#67282,.T.); #181161=EDGE_CURVE('',#147895,#147896,#67283,.T.); #181162=EDGE_CURVE('',#147897,#147895,#67284,.T.); #181163=EDGE_CURVE('',#147898,#147896,#67285,.T.); #181164=EDGE_CURVE('',#147897,#147898,#67286,.T.); #181165=EDGE_CURVE('',#147899,#147897,#67287,.T.); #181166=EDGE_CURVE('',#147900,#147898,#67288,.T.); #181167=EDGE_CURVE('',#147899,#147900,#67289,.T.); #181168=EDGE_CURVE('',#147901,#147899,#67290,.T.); #181169=EDGE_CURVE('',#147902,#147900,#67291,.T.); #181170=EDGE_CURVE('',#147901,#147902,#67292,.T.); #181171=EDGE_CURVE('',#147903,#147901,#67293,.T.); #181172=EDGE_CURVE('',#147904,#147902,#67294,.T.); #181173=EDGE_CURVE('',#147903,#147904,#67295,.T.); #181174=EDGE_CURVE('',#147905,#147903,#67296,.T.); #181175=EDGE_CURVE('',#147906,#147904,#67297,.T.); #181176=EDGE_CURVE('',#147905,#147906,#67298,.T.); #181177=EDGE_CURVE('',#147907,#147905,#67299,.T.); #181178=EDGE_CURVE('',#147908,#147906,#67300,.T.); #181179=EDGE_CURVE('',#147907,#147908,#67301,.T.); #181180=EDGE_CURVE('',#147909,#147907,#67302,.T.); #181181=EDGE_CURVE('',#147910,#147908,#67303,.T.); #181182=EDGE_CURVE('',#147909,#147910,#67304,.T.); #181183=EDGE_CURVE('',#147911,#147909,#67305,.T.); #181184=EDGE_CURVE('',#147912,#147910,#67306,.T.); #181185=EDGE_CURVE('',#147911,#147912,#67307,.T.); #181186=EDGE_CURVE('',#147913,#147911,#67308,.T.); #181187=EDGE_CURVE('',#147914,#147912,#67309,.T.); #181188=EDGE_CURVE('',#147913,#147914,#67310,.T.); #181189=EDGE_CURVE('',#147915,#147913,#67311,.T.); #181190=EDGE_CURVE('',#147916,#147914,#67312,.T.); #181191=EDGE_CURVE('',#147915,#147916,#67313,.T.); #181192=EDGE_CURVE('',#147917,#147915,#67314,.T.); #181193=EDGE_CURVE('',#147918,#147916,#67315,.T.); #181194=EDGE_CURVE('',#147917,#147918,#67316,.T.); #181195=EDGE_CURVE('',#147919,#147917,#67317,.T.); #181196=EDGE_CURVE('',#147920,#147918,#67318,.T.); #181197=EDGE_CURVE('',#147919,#147920,#67319,.T.); #181198=EDGE_CURVE('',#147921,#147919,#67320,.T.); #181199=EDGE_CURVE('',#147922,#147920,#67321,.T.); #181200=EDGE_CURVE('',#147921,#147922,#67322,.T.); #181201=EDGE_CURVE('',#147923,#147921,#67323,.T.); #181202=EDGE_CURVE('',#147924,#147922,#67324,.T.); #181203=EDGE_CURVE('',#147923,#147924,#67325,.T.); #181204=EDGE_CURVE('',#147925,#147923,#67326,.T.); #181205=EDGE_CURVE('',#147926,#147924,#67327,.T.); #181206=EDGE_CURVE('',#147925,#147926,#67328,.T.); #181207=EDGE_CURVE('',#147927,#147925,#67329,.T.); #181208=EDGE_CURVE('',#147928,#147926,#67330,.T.); #181209=EDGE_CURVE('',#147927,#147928,#67331,.T.); #181210=EDGE_CURVE('',#147929,#147927,#67332,.T.); #181211=EDGE_CURVE('',#147930,#147928,#67333,.T.); #181212=EDGE_CURVE('',#147929,#147930,#67334,.T.); #181213=EDGE_CURVE('',#147931,#147929,#67335,.T.); #181214=EDGE_CURVE('',#147932,#147930,#67336,.T.); #181215=EDGE_CURVE('',#147931,#147932,#67337,.T.); #181216=EDGE_CURVE('',#147933,#147931,#67338,.T.); #181217=EDGE_CURVE('',#147934,#147932,#67339,.T.); #181218=EDGE_CURVE('',#147933,#147934,#67340,.T.); #181219=EDGE_CURVE('',#147935,#147933,#67341,.T.); #181220=EDGE_CURVE('',#147936,#147934,#67342,.T.); #181221=EDGE_CURVE('',#147935,#147936,#67343,.T.); #181222=EDGE_CURVE('',#147937,#147935,#67344,.T.); #181223=EDGE_CURVE('',#147938,#147936,#67345,.T.); #181224=EDGE_CURVE('',#147937,#147938,#67346,.T.); #181225=EDGE_CURVE('',#147939,#147937,#67347,.T.); #181226=EDGE_CURVE('',#147940,#147938,#67348,.T.); #181227=EDGE_CURVE('',#147939,#147940,#67349,.T.); #181228=EDGE_CURVE('',#147941,#147939,#67350,.T.); #181229=EDGE_CURVE('',#147942,#147940,#67351,.T.); #181230=EDGE_CURVE('',#147941,#147942,#67352,.T.); #181231=EDGE_CURVE('',#147943,#147941,#67353,.T.); #181232=EDGE_CURVE('',#147944,#147942,#67354,.T.); #181233=EDGE_CURVE('',#147943,#147944,#67355,.T.); #181234=EDGE_CURVE('',#147945,#147943,#67356,.T.); #181235=EDGE_CURVE('',#147946,#147944,#67357,.T.); #181236=EDGE_CURVE('',#147945,#147946,#67358,.T.); #181237=EDGE_CURVE('',#147947,#147945,#67359,.T.); #181238=EDGE_CURVE('',#147948,#147946,#67360,.T.); #181239=EDGE_CURVE('',#147947,#147948,#67361,.T.); #181240=EDGE_CURVE('',#147949,#147947,#67362,.T.); #181241=EDGE_CURVE('',#147950,#147948,#67363,.T.); #181242=EDGE_CURVE('',#147949,#147950,#67364,.T.); #181243=EDGE_CURVE('',#147951,#147949,#67365,.T.); #181244=EDGE_CURVE('',#147952,#147950,#67366,.T.); #181245=EDGE_CURVE('',#147951,#147952,#67367,.T.); #181246=EDGE_CURVE('',#147953,#147951,#67368,.T.); #181247=EDGE_CURVE('',#147954,#147952,#67369,.T.); #181248=EDGE_CURVE('',#147953,#147954,#67370,.T.); #181249=EDGE_CURVE('',#147955,#147953,#67371,.T.); #181250=EDGE_CURVE('',#147956,#147954,#67372,.T.); #181251=EDGE_CURVE('',#147955,#147956,#67373,.T.); #181252=EDGE_CURVE('',#147957,#147955,#67374,.T.); #181253=EDGE_CURVE('',#147958,#147956,#67375,.T.); #181254=EDGE_CURVE('',#147957,#147958,#67376,.T.); #181255=EDGE_CURVE('',#147959,#147957,#67377,.T.); #181256=EDGE_CURVE('',#147960,#147958,#67378,.T.); #181257=EDGE_CURVE('',#147959,#147960,#67379,.T.); #181258=EDGE_CURVE('',#147961,#147959,#67380,.T.); #181259=EDGE_CURVE('',#147962,#147960,#67381,.T.); #181260=EDGE_CURVE('',#147961,#147962,#67382,.T.); #181261=EDGE_CURVE('',#147963,#147961,#67383,.T.); #181262=EDGE_CURVE('',#147964,#147962,#67384,.T.); #181263=EDGE_CURVE('',#147963,#147964,#67385,.T.); #181264=EDGE_CURVE('',#147965,#147963,#67386,.T.); #181265=EDGE_CURVE('',#147966,#147964,#67387,.T.); #181266=EDGE_CURVE('',#147965,#147966,#67388,.T.); #181267=EDGE_CURVE('',#147967,#147965,#67389,.T.); #181268=EDGE_CURVE('',#147968,#147966,#67390,.T.); #181269=EDGE_CURVE('',#147967,#147968,#67391,.T.); #181270=EDGE_CURVE('',#147969,#147967,#67392,.T.); #181271=EDGE_CURVE('',#147970,#147968,#67393,.T.); #181272=EDGE_CURVE('',#147969,#147970,#67394,.T.); #181273=EDGE_CURVE('',#147971,#147969,#67395,.T.); #181274=EDGE_CURVE('',#147972,#147970,#67396,.T.); #181275=EDGE_CURVE('',#147971,#147972,#67397,.T.); #181276=EDGE_CURVE('',#147973,#147971,#67398,.T.); #181277=EDGE_CURVE('',#147974,#147972,#67399,.T.); #181278=EDGE_CURVE('',#147973,#147974,#67400,.T.); #181279=EDGE_CURVE('',#147975,#147973,#67401,.T.); #181280=EDGE_CURVE('',#147976,#147974,#67402,.T.); #181281=EDGE_CURVE('',#147975,#147976,#67403,.T.); #181282=EDGE_CURVE('',#147977,#147975,#67404,.T.); #181283=EDGE_CURVE('',#147978,#147976,#67405,.T.); #181284=EDGE_CURVE('',#147977,#147978,#67406,.T.); #181285=EDGE_CURVE('',#147979,#147977,#67407,.T.); #181286=EDGE_CURVE('',#147980,#147978,#67408,.T.); #181287=EDGE_CURVE('',#147979,#147980,#67409,.T.); #181288=EDGE_CURVE('',#147981,#147979,#67410,.T.); #181289=EDGE_CURVE('',#147982,#147980,#67411,.T.); #181290=EDGE_CURVE('',#147981,#147982,#67412,.T.); #181291=EDGE_CURVE('',#147983,#147981,#67413,.T.); #181292=EDGE_CURVE('',#147984,#147982,#67414,.T.); #181293=EDGE_CURVE('',#147983,#147984,#67415,.T.); #181294=EDGE_CURVE('',#147985,#147983,#67416,.T.); #181295=EDGE_CURVE('',#147986,#147984,#67417,.T.); #181296=EDGE_CURVE('',#147985,#147986,#67418,.T.); #181297=EDGE_CURVE('',#147987,#147985,#67419,.T.); #181298=EDGE_CURVE('',#147988,#147986,#67420,.T.); #181299=EDGE_CURVE('',#147987,#147988,#67421,.T.); #181300=EDGE_CURVE('',#147989,#147987,#67422,.T.); #181301=EDGE_CURVE('',#147990,#147988,#67423,.T.); #181302=EDGE_CURVE('',#147989,#147990,#67424,.T.); #181303=EDGE_CURVE('',#147991,#147989,#67425,.T.); #181304=EDGE_CURVE('',#147992,#147990,#67426,.T.); #181305=EDGE_CURVE('',#147991,#147992,#67427,.T.); #181306=EDGE_CURVE('',#147993,#147991,#67428,.T.); #181307=EDGE_CURVE('',#147994,#147992,#67429,.T.); #181308=EDGE_CURVE('',#147993,#147994,#67430,.T.); #181309=EDGE_CURVE('',#147995,#147993,#67431,.T.); #181310=EDGE_CURVE('',#147996,#147994,#67432,.T.); #181311=EDGE_CURVE('',#147995,#147996,#67433,.T.); #181312=EDGE_CURVE('',#147997,#147995,#67434,.T.); #181313=EDGE_CURVE('',#147998,#147996,#67435,.T.); #181314=EDGE_CURVE('',#147997,#147998,#67436,.T.); #181315=EDGE_CURVE('',#147999,#147997,#67437,.T.); #181316=EDGE_CURVE('',#148000,#147998,#67438,.T.); #181317=EDGE_CURVE('',#147999,#148000,#67439,.T.); #181318=EDGE_CURVE('',#148001,#147999,#67440,.T.); #181319=EDGE_CURVE('',#148002,#148000,#67441,.T.); #181320=EDGE_CURVE('',#148001,#148002,#67442,.T.); #181321=EDGE_CURVE('',#148003,#148001,#67443,.T.); #181322=EDGE_CURVE('',#148004,#148002,#67444,.T.); #181323=EDGE_CURVE('',#148003,#148004,#67445,.T.); #181324=EDGE_CURVE('',#148005,#148003,#67446,.T.); #181325=EDGE_CURVE('',#148006,#148004,#67447,.T.); #181326=EDGE_CURVE('',#148005,#148006,#67448,.T.); #181327=EDGE_CURVE('',#148007,#148005,#67449,.T.); #181328=EDGE_CURVE('',#148008,#148006,#67450,.T.); #181329=EDGE_CURVE('',#148007,#148008,#67451,.T.); #181330=EDGE_CURVE('',#148009,#148007,#67452,.T.); #181331=EDGE_CURVE('',#148010,#148008,#67453,.T.); #181332=EDGE_CURVE('',#148009,#148010,#67454,.T.); #181333=EDGE_CURVE('',#148011,#148009,#67455,.T.); #181334=EDGE_CURVE('',#148012,#148010,#67456,.T.); #181335=EDGE_CURVE('',#148011,#148012,#67457,.T.); #181336=EDGE_CURVE('',#148013,#148011,#67458,.T.); #181337=EDGE_CURVE('',#148014,#148012,#67459,.T.); #181338=EDGE_CURVE('',#148013,#148014,#67460,.T.); #181339=EDGE_CURVE('',#148015,#148013,#67461,.T.); #181340=EDGE_CURVE('',#148016,#148014,#67462,.T.); #181341=EDGE_CURVE('',#148015,#148016,#67463,.T.); #181342=EDGE_CURVE('',#148017,#148015,#67464,.T.); #181343=EDGE_CURVE('',#148018,#148016,#67465,.T.); #181344=EDGE_CURVE('',#148017,#148018,#67466,.T.); #181345=EDGE_CURVE('',#148019,#148017,#67467,.T.); #181346=EDGE_CURVE('',#148020,#148018,#67468,.T.); #181347=EDGE_CURVE('',#148019,#148020,#67469,.T.); #181348=EDGE_CURVE('',#148021,#148019,#67470,.T.); #181349=EDGE_CURVE('',#148022,#148020,#67471,.T.); #181350=EDGE_CURVE('',#148021,#148022,#67472,.T.); #181351=EDGE_CURVE('',#148023,#148021,#67473,.T.); #181352=EDGE_CURVE('',#148024,#148022,#67474,.T.); #181353=EDGE_CURVE('',#148023,#148024,#67475,.T.); #181354=EDGE_CURVE('',#148025,#148023,#67476,.T.); #181355=EDGE_CURVE('',#148026,#148024,#67477,.T.); #181356=EDGE_CURVE('',#148025,#148026,#67478,.T.); #181357=EDGE_CURVE('',#148027,#148025,#67479,.T.); #181358=EDGE_CURVE('',#148028,#148026,#67480,.T.); #181359=EDGE_CURVE('',#148027,#148028,#67481,.T.); #181360=EDGE_CURVE('',#148029,#148027,#67482,.T.); #181361=EDGE_CURVE('',#148030,#148028,#67483,.T.); #181362=EDGE_CURVE('',#148029,#148030,#67484,.T.); #181363=EDGE_CURVE('',#148031,#148029,#67485,.T.); #181364=EDGE_CURVE('',#148032,#148030,#67486,.T.); #181365=EDGE_CURVE('',#148031,#148032,#67487,.T.); #181366=EDGE_CURVE('',#148033,#148031,#67488,.T.); #181367=EDGE_CURVE('',#148034,#148032,#67489,.T.); #181368=EDGE_CURVE('',#148033,#148034,#67490,.T.); #181369=EDGE_CURVE('',#148035,#148033,#67491,.T.); #181370=EDGE_CURVE('',#148036,#148034,#67492,.T.); #181371=EDGE_CURVE('',#148035,#148036,#67493,.T.); #181372=EDGE_CURVE('',#148037,#148035,#67494,.T.); #181373=EDGE_CURVE('',#148038,#148036,#67495,.T.); #181374=EDGE_CURVE('',#148037,#148038,#67496,.T.); #181375=EDGE_CURVE('',#148039,#148037,#67497,.T.); #181376=EDGE_CURVE('',#148040,#148038,#67498,.T.); #181377=EDGE_CURVE('',#148039,#148040,#67499,.T.); #181378=EDGE_CURVE('',#148041,#148039,#67500,.T.); #181379=EDGE_CURVE('',#148042,#148040,#67501,.T.); #181380=EDGE_CURVE('',#148041,#148042,#67502,.T.); #181381=EDGE_CURVE('',#148043,#148041,#67503,.T.); #181382=EDGE_CURVE('',#148044,#148042,#67504,.T.); #181383=EDGE_CURVE('',#148043,#148044,#67505,.T.); #181384=EDGE_CURVE('',#148045,#148043,#67506,.T.); #181385=EDGE_CURVE('',#148046,#148044,#67507,.T.); #181386=EDGE_CURVE('',#148045,#148046,#67508,.T.); #181387=EDGE_CURVE('',#148047,#148045,#67509,.T.); #181388=EDGE_CURVE('',#148048,#148046,#67510,.T.); #181389=EDGE_CURVE('',#148047,#148048,#67511,.T.); #181390=EDGE_CURVE('',#148049,#148047,#67512,.T.); #181391=EDGE_CURVE('',#148050,#148048,#67513,.T.); #181392=EDGE_CURVE('',#148049,#148050,#67514,.T.); #181393=EDGE_CURVE('',#148051,#148049,#67515,.T.); #181394=EDGE_CURVE('',#148052,#148050,#67516,.T.); #181395=EDGE_CURVE('',#148051,#148052,#67517,.T.); #181396=EDGE_CURVE('',#148053,#148051,#67518,.T.); #181397=EDGE_CURVE('',#148054,#148052,#67519,.T.); #181398=EDGE_CURVE('',#148053,#148054,#67520,.T.); #181399=EDGE_CURVE('',#148055,#148053,#67521,.T.); #181400=EDGE_CURVE('',#148056,#148054,#67522,.T.); #181401=EDGE_CURVE('',#148055,#148056,#67523,.T.); #181402=EDGE_CURVE('',#148057,#148055,#67524,.T.); #181403=EDGE_CURVE('',#148058,#148056,#67525,.T.); #181404=EDGE_CURVE('',#148057,#148058,#67526,.T.); #181405=EDGE_CURVE('',#148059,#148057,#67527,.T.); #181406=EDGE_CURVE('',#148060,#148058,#67528,.T.); #181407=EDGE_CURVE('',#148059,#148060,#67529,.T.); #181408=EDGE_CURVE('',#148061,#148059,#67530,.T.); #181409=EDGE_CURVE('',#148062,#148060,#67531,.T.); #181410=EDGE_CURVE('',#148061,#148062,#67532,.T.); #181411=EDGE_CURVE('',#148063,#148061,#67533,.T.); #181412=EDGE_CURVE('',#148064,#148062,#67534,.T.); #181413=EDGE_CURVE('',#148063,#148064,#67535,.T.); #181414=EDGE_CURVE('',#148065,#148063,#67536,.T.); #181415=EDGE_CURVE('',#148066,#148064,#67537,.T.); #181416=EDGE_CURVE('',#148065,#148066,#67538,.T.); #181417=EDGE_CURVE('',#148067,#148065,#67539,.T.); #181418=EDGE_CURVE('',#148068,#148066,#67540,.T.); #181419=EDGE_CURVE('',#148067,#148068,#67541,.T.); #181420=EDGE_CURVE('',#148069,#148067,#67542,.T.); #181421=EDGE_CURVE('',#148070,#148068,#67543,.T.); #181422=EDGE_CURVE('',#148069,#148070,#67544,.T.); #181423=EDGE_CURVE('',#148071,#148069,#67545,.T.); #181424=EDGE_CURVE('',#148072,#148070,#67546,.T.); #181425=EDGE_CURVE('',#148071,#148072,#67547,.T.); #181426=EDGE_CURVE('',#148073,#148071,#67548,.T.); #181427=EDGE_CURVE('',#148074,#148072,#67549,.T.); #181428=EDGE_CURVE('',#148073,#148074,#67550,.T.); #181429=EDGE_CURVE('',#148075,#148073,#67551,.T.); #181430=EDGE_CURVE('',#148076,#148074,#67552,.T.); #181431=EDGE_CURVE('',#148075,#148076,#67553,.T.); #181432=EDGE_CURVE('',#148077,#148075,#67554,.T.); #181433=EDGE_CURVE('',#148078,#148076,#67555,.T.); #181434=EDGE_CURVE('',#148077,#148078,#67556,.T.); #181435=EDGE_CURVE('',#148079,#148077,#67557,.T.); #181436=EDGE_CURVE('',#148080,#148078,#67558,.T.); #181437=EDGE_CURVE('',#148079,#148080,#67559,.T.); #181438=EDGE_CURVE('',#148081,#148079,#67560,.T.); #181439=EDGE_CURVE('',#148082,#148080,#67561,.T.); #181440=EDGE_CURVE('',#148081,#148082,#67562,.T.); #181441=EDGE_CURVE('',#148083,#148081,#67563,.T.); #181442=EDGE_CURVE('',#148084,#148082,#67564,.T.); #181443=EDGE_CURVE('',#148083,#148084,#67565,.T.); #181444=EDGE_CURVE('',#148085,#148083,#67566,.T.); #181445=EDGE_CURVE('',#148086,#148084,#67567,.T.); #181446=EDGE_CURVE('',#148085,#148086,#67568,.T.); #181447=EDGE_CURVE('',#148087,#148085,#67569,.T.); #181448=EDGE_CURVE('',#148088,#148086,#67570,.T.); #181449=EDGE_CURVE('',#148087,#148088,#67571,.T.); #181450=EDGE_CURVE('',#148089,#148087,#67572,.T.); #181451=EDGE_CURVE('',#148090,#148088,#67573,.T.); #181452=EDGE_CURVE('',#148089,#148090,#67574,.T.); #181453=EDGE_CURVE('',#148091,#148089,#67575,.T.); #181454=EDGE_CURVE('',#148092,#148090,#67576,.T.); #181455=EDGE_CURVE('',#148091,#148092,#67577,.T.); #181456=EDGE_CURVE('',#148093,#148091,#67578,.T.); #181457=EDGE_CURVE('',#148094,#148092,#67579,.T.); #181458=EDGE_CURVE('',#148093,#148094,#67580,.T.); #181459=EDGE_CURVE('',#148095,#148093,#67581,.T.); #181460=EDGE_CURVE('',#148096,#148094,#67582,.T.); #181461=EDGE_CURVE('',#148095,#148096,#67583,.T.); #181462=EDGE_CURVE('',#148097,#148095,#67584,.T.); #181463=EDGE_CURVE('',#148098,#148096,#67585,.T.); #181464=EDGE_CURVE('',#148097,#148098,#67586,.T.); #181465=EDGE_CURVE('',#148099,#148097,#67587,.T.); #181466=EDGE_CURVE('',#148100,#148098,#67588,.T.); #181467=EDGE_CURVE('',#148099,#148100,#67589,.T.); #181468=EDGE_CURVE('',#148101,#148099,#67590,.T.); #181469=EDGE_CURVE('',#148102,#148100,#67591,.T.); #181470=EDGE_CURVE('',#148101,#148102,#67592,.T.); #181471=EDGE_CURVE('',#148103,#148101,#67593,.T.); #181472=EDGE_CURVE('',#148104,#148102,#67594,.T.); #181473=EDGE_CURVE('',#148103,#148104,#67595,.T.); #181474=EDGE_CURVE('',#147866,#148103,#67596,.T.); #181475=EDGE_CURVE('',#147867,#148104,#67597,.T.); #181476=EDGE_CURVE('',#148105,#148106,#67598,.T.); #181477=EDGE_CURVE('',#148106,#148107,#67599,.T.); #181478=EDGE_CURVE('',#148108,#148107,#67600,.T.); #181479=EDGE_CURVE('',#148105,#148108,#67601,.T.); #181480=EDGE_CURVE('',#148109,#148105,#67602,.T.); #181481=EDGE_CURVE('',#148110,#148108,#67603,.T.); #181482=EDGE_CURVE('',#148109,#148110,#67604,.T.); #181483=EDGE_CURVE('',#148111,#148109,#67605,.T.); #181484=EDGE_CURVE('',#148112,#148110,#67606,.T.); #181485=EDGE_CURVE('',#148111,#148112,#67607,.T.); #181486=EDGE_CURVE('',#148113,#148111,#67608,.T.); #181487=EDGE_CURVE('',#148114,#148112,#67609,.T.); #181488=EDGE_CURVE('',#148113,#148114,#67610,.T.); #181489=EDGE_CURVE('',#148115,#148113,#67611,.T.); #181490=EDGE_CURVE('',#148116,#148114,#67612,.T.); #181491=EDGE_CURVE('',#148115,#148116,#67613,.T.); #181492=EDGE_CURVE('',#148117,#148115,#67614,.T.); #181493=EDGE_CURVE('',#148118,#148116,#67615,.T.); #181494=EDGE_CURVE('',#148117,#148118,#67616,.T.); #181495=EDGE_CURVE('',#148119,#148117,#67617,.T.); #181496=EDGE_CURVE('',#148120,#148118,#67618,.T.); #181497=EDGE_CURVE('',#148119,#148120,#67619,.T.); #181498=EDGE_CURVE('',#148121,#148119,#67620,.T.); #181499=EDGE_CURVE('',#148122,#148120,#67621,.T.); #181500=EDGE_CURVE('',#148121,#148122,#67622,.T.); #181501=EDGE_CURVE('',#148123,#148121,#67623,.T.); #181502=EDGE_CURVE('',#148124,#148122,#67624,.T.); #181503=EDGE_CURVE('',#148123,#148124,#67625,.T.); #181504=EDGE_CURVE('',#148125,#148123,#67626,.T.); #181505=EDGE_CURVE('',#148126,#148124,#67627,.T.); #181506=EDGE_CURVE('',#148125,#148126,#67628,.T.); #181507=EDGE_CURVE('',#148127,#148125,#67629,.T.); #181508=EDGE_CURVE('',#148128,#148126,#67630,.T.); #181509=EDGE_CURVE('',#148127,#148128,#67631,.T.); #181510=EDGE_CURVE('',#148129,#148127,#67632,.T.); #181511=EDGE_CURVE('',#148130,#148128,#67633,.T.); #181512=EDGE_CURVE('',#148129,#148130,#67634,.T.); #181513=EDGE_CURVE('',#148131,#148129,#67635,.T.); #181514=EDGE_CURVE('',#148132,#148130,#67636,.T.); #181515=EDGE_CURVE('',#148131,#148132,#67637,.T.); #181516=EDGE_CURVE('',#148133,#148131,#67638,.T.); #181517=EDGE_CURVE('',#148134,#148132,#67639,.T.); #181518=EDGE_CURVE('',#148133,#148134,#67640,.T.); #181519=EDGE_CURVE('',#148135,#148133,#67641,.T.); #181520=EDGE_CURVE('',#148136,#148134,#67642,.T.); #181521=EDGE_CURVE('',#148135,#148136,#67643,.T.); #181522=EDGE_CURVE('',#148137,#148135,#67644,.T.); #181523=EDGE_CURVE('',#148138,#148136,#67645,.T.); #181524=EDGE_CURVE('',#148137,#148138,#67646,.T.); #181525=EDGE_CURVE('',#148139,#148137,#67647,.T.); #181526=EDGE_CURVE('',#148140,#148138,#67648,.T.); #181527=EDGE_CURVE('',#148139,#148140,#67649,.T.); #181528=EDGE_CURVE('',#148141,#148139,#67650,.T.); #181529=EDGE_CURVE('',#148142,#148140,#67651,.T.); #181530=EDGE_CURVE('',#148141,#148142,#67652,.T.); #181531=EDGE_CURVE('',#148143,#148141,#67653,.T.); #181532=EDGE_CURVE('',#148144,#148142,#67654,.T.); #181533=EDGE_CURVE('',#148143,#148144,#67655,.T.); #181534=EDGE_CURVE('',#148145,#148143,#67656,.T.); #181535=EDGE_CURVE('',#148146,#148144,#67657,.T.); #181536=EDGE_CURVE('',#148145,#148146,#67658,.T.); #181537=EDGE_CURVE('',#148106,#148145,#67659,.T.); #181538=EDGE_CURVE('',#148107,#148146,#67660,.T.); #181539=EDGE_CURVE('',#148147,#148148,#67661,.T.); #181540=EDGE_CURVE('',#148148,#148149,#67662,.T.); #181541=EDGE_CURVE('',#148150,#148149,#67663,.T.); #181542=EDGE_CURVE('',#148147,#148150,#67664,.T.); #181543=EDGE_CURVE('',#148151,#148147,#67665,.T.); #181544=EDGE_CURVE('',#148152,#148150,#67666,.T.); #181545=EDGE_CURVE('',#148151,#148152,#67667,.T.); #181546=EDGE_CURVE('',#148153,#148151,#67668,.T.); #181547=EDGE_CURVE('',#148154,#148152,#67669,.T.); #181548=EDGE_CURVE('',#148153,#148154,#67670,.T.); #181549=EDGE_CURVE('',#148155,#148153,#67671,.T.); #181550=EDGE_CURVE('',#148156,#148154,#67672,.T.); #181551=EDGE_CURVE('',#148155,#148156,#67673,.T.); #181552=EDGE_CURVE('',#148157,#148155,#67674,.T.); #181553=EDGE_CURVE('',#148158,#148156,#67675,.T.); #181554=EDGE_CURVE('',#148157,#148158,#67676,.T.); #181555=EDGE_CURVE('',#148159,#148157,#67677,.T.); #181556=EDGE_CURVE('',#148160,#148158,#67678,.T.); #181557=EDGE_CURVE('',#148159,#148160,#67679,.T.); #181558=EDGE_CURVE('',#148161,#148159,#67680,.T.); #181559=EDGE_CURVE('',#148162,#148160,#67681,.T.); #181560=EDGE_CURVE('',#148161,#148162,#67682,.T.); #181561=EDGE_CURVE('',#148163,#148161,#67683,.T.); #181562=EDGE_CURVE('',#148164,#148162,#67684,.T.); #181563=EDGE_CURVE('',#148163,#148164,#67685,.T.); #181564=EDGE_CURVE('',#148165,#148163,#67686,.T.); #181565=EDGE_CURVE('',#148166,#148164,#67687,.T.); #181566=EDGE_CURVE('',#148165,#148166,#67688,.T.); #181567=EDGE_CURVE('',#148167,#148165,#67689,.T.); #181568=EDGE_CURVE('',#148168,#148166,#67690,.T.); #181569=EDGE_CURVE('',#148167,#148168,#67691,.T.); #181570=EDGE_CURVE('',#148169,#148167,#67692,.T.); #181571=EDGE_CURVE('',#148170,#148168,#67693,.T.); #181572=EDGE_CURVE('',#148169,#148170,#67694,.T.); #181573=EDGE_CURVE('',#148171,#148169,#67695,.T.); #181574=EDGE_CURVE('',#148172,#148170,#67696,.T.); #181575=EDGE_CURVE('',#148171,#148172,#67697,.T.); #181576=EDGE_CURVE('',#148173,#148171,#67698,.T.); #181577=EDGE_CURVE('',#148174,#148172,#67699,.T.); #181578=EDGE_CURVE('',#148173,#148174,#67700,.T.); #181579=EDGE_CURVE('',#148175,#148173,#67701,.T.); #181580=EDGE_CURVE('',#148176,#148174,#67702,.T.); #181581=EDGE_CURVE('',#148175,#148176,#67703,.T.); #181582=EDGE_CURVE('',#148177,#148175,#67704,.T.); #181583=EDGE_CURVE('',#148178,#148176,#67705,.T.); #181584=EDGE_CURVE('',#148177,#148178,#67706,.T.); #181585=EDGE_CURVE('',#148179,#148177,#67707,.T.); #181586=EDGE_CURVE('',#148180,#148178,#67708,.T.); #181587=EDGE_CURVE('',#148179,#148180,#67709,.T.); #181588=EDGE_CURVE('',#148181,#148179,#67710,.T.); #181589=EDGE_CURVE('',#148182,#148180,#67711,.T.); #181590=EDGE_CURVE('',#148181,#148182,#67712,.T.); #181591=EDGE_CURVE('',#148183,#148181,#67713,.T.); #181592=EDGE_CURVE('',#148184,#148182,#67714,.T.); #181593=EDGE_CURVE('',#148183,#148184,#67715,.T.); #181594=EDGE_CURVE('',#148185,#148183,#67716,.T.); #181595=EDGE_CURVE('',#148186,#148184,#67717,.T.); #181596=EDGE_CURVE('',#148185,#148186,#67718,.T.); #181597=EDGE_CURVE('',#148187,#148185,#67719,.T.); #181598=EDGE_CURVE('',#148188,#148186,#67720,.T.); #181599=EDGE_CURVE('',#148187,#148188,#67721,.T.); #181600=EDGE_CURVE('',#148189,#148187,#67722,.T.); #181601=EDGE_CURVE('',#148190,#148188,#67723,.T.); #181602=EDGE_CURVE('',#148189,#148190,#67724,.T.); #181603=EDGE_CURVE('',#148191,#148189,#67725,.T.); #181604=EDGE_CURVE('',#148192,#148190,#67726,.T.); #181605=EDGE_CURVE('',#148191,#148192,#67727,.T.); #181606=EDGE_CURVE('',#148193,#148191,#67728,.T.); #181607=EDGE_CURVE('',#148194,#148192,#67729,.T.); #181608=EDGE_CURVE('',#148193,#148194,#67730,.T.); #181609=EDGE_CURVE('',#148195,#148193,#67731,.T.); #181610=EDGE_CURVE('',#148196,#148194,#67732,.T.); #181611=EDGE_CURVE('',#148195,#148196,#67733,.T.); #181612=EDGE_CURVE('',#148197,#148195,#67734,.T.); #181613=EDGE_CURVE('',#148198,#148196,#67735,.T.); #181614=EDGE_CURVE('',#148197,#148198,#67736,.T.); #181615=EDGE_CURVE('',#148148,#148197,#67737,.T.); #181616=EDGE_CURVE('',#148149,#148198,#67738,.T.); #181617=EDGE_CURVE('',#148199,#148200,#67739,.T.); #181618=EDGE_CURVE('',#148200,#148201,#67740,.T.); #181619=EDGE_CURVE('',#148202,#148201,#67741,.T.); #181620=EDGE_CURVE('',#148199,#148202,#67742,.T.); #181621=EDGE_CURVE('',#148203,#148199,#67743,.T.); #181622=EDGE_CURVE('',#148204,#148202,#67744,.T.); #181623=EDGE_CURVE('',#148203,#148204,#67745,.T.); #181624=EDGE_CURVE('',#148205,#148203,#67746,.T.); #181625=EDGE_CURVE('',#148206,#148204,#67747,.T.); #181626=EDGE_CURVE('',#148205,#148206,#67748,.T.); #181627=EDGE_CURVE('',#148207,#148205,#67749,.T.); #181628=EDGE_CURVE('',#148208,#148206,#67750,.T.); #181629=EDGE_CURVE('',#148207,#148208,#67751,.T.); #181630=EDGE_CURVE('',#148209,#148207,#67752,.T.); #181631=EDGE_CURVE('',#148210,#148208,#67753,.T.); #181632=EDGE_CURVE('',#148209,#148210,#67754,.T.); #181633=EDGE_CURVE('',#148211,#148209,#67755,.T.); #181634=EDGE_CURVE('',#148212,#148210,#67756,.T.); #181635=EDGE_CURVE('',#148211,#148212,#67757,.T.); #181636=EDGE_CURVE('',#148213,#148211,#67758,.T.); #181637=EDGE_CURVE('',#148214,#148212,#67759,.T.); #181638=EDGE_CURVE('',#148213,#148214,#67760,.T.); #181639=EDGE_CURVE('',#148215,#148213,#67761,.T.); #181640=EDGE_CURVE('',#148216,#148214,#67762,.T.); #181641=EDGE_CURVE('',#148215,#148216,#67763,.T.); #181642=EDGE_CURVE('',#148217,#148215,#67764,.T.); #181643=EDGE_CURVE('',#148218,#148216,#67765,.T.); #181644=EDGE_CURVE('',#148217,#148218,#67766,.T.); #181645=EDGE_CURVE('',#148219,#148217,#67767,.T.); #181646=EDGE_CURVE('',#148220,#148218,#67768,.T.); #181647=EDGE_CURVE('',#148219,#148220,#67769,.T.); #181648=EDGE_CURVE('',#148221,#148219,#67770,.T.); #181649=EDGE_CURVE('',#148222,#148220,#67771,.T.); #181650=EDGE_CURVE('',#148221,#148222,#67772,.T.); #181651=EDGE_CURVE('',#148223,#148221,#67773,.T.); #181652=EDGE_CURVE('',#148224,#148222,#67774,.T.); #181653=EDGE_CURVE('',#148223,#148224,#67775,.T.); #181654=EDGE_CURVE('',#148225,#148223,#67776,.T.); #181655=EDGE_CURVE('',#148226,#148224,#67777,.T.); #181656=EDGE_CURVE('',#148225,#148226,#67778,.T.); #181657=EDGE_CURVE('',#148227,#148225,#67779,.T.); #181658=EDGE_CURVE('',#148228,#148226,#67780,.T.); #181659=EDGE_CURVE('',#148227,#148228,#67781,.T.); #181660=EDGE_CURVE('',#148229,#148227,#67782,.T.); #181661=EDGE_CURVE('',#148230,#148228,#67783,.T.); #181662=EDGE_CURVE('',#148229,#148230,#67784,.T.); #181663=EDGE_CURVE('',#148231,#148229,#67785,.T.); #181664=EDGE_CURVE('',#148232,#148230,#67786,.T.); #181665=EDGE_CURVE('',#148231,#148232,#67787,.T.); #181666=EDGE_CURVE('',#148233,#148231,#67788,.T.); #181667=EDGE_CURVE('',#148234,#148232,#67789,.T.); #181668=EDGE_CURVE('',#148233,#148234,#67790,.T.); #181669=EDGE_CURVE('',#148235,#148233,#67791,.T.); #181670=EDGE_CURVE('',#148236,#148234,#67792,.T.); #181671=EDGE_CURVE('',#148235,#148236,#67793,.T.); #181672=EDGE_CURVE('',#148237,#148235,#67794,.T.); #181673=EDGE_CURVE('',#148238,#148236,#67795,.T.); #181674=EDGE_CURVE('',#148237,#148238,#67796,.T.); #181675=EDGE_CURVE('',#148200,#148237,#67797,.T.); #181676=EDGE_CURVE('',#148201,#148238,#67798,.T.); #181677=EDGE_CURVE('',#148239,#148240,#67799,.T.); #181678=EDGE_CURVE('',#148240,#148241,#67800,.T.); #181679=EDGE_CURVE('',#148242,#148241,#67801,.T.); #181680=EDGE_CURVE('',#148239,#148242,#67802,.T.); #181681=EDGE_CURVE('',#148243,#148239,#67803,.T.); #181682=EDGE_CURVE('',#148244,#148242,#67804,.T.); #181683=EDGE_CURVE('',#148243,#148244,#67805,.T.); #181684=EDGE_CURVE('',#148245,#148243,#67806,.T.); #181685=EDGE_CURVE('',#148246,#148244,#67807,.T.); #181686=EDGE_CURVE('',#148245,#148246,#67808,.T.); #181687=EDGE_CURVE('',#148247,#148245,#67809,.T.); #181688=EDGE_CURVE('',#148248,#148246,#67810,.T.); #181689=EDGE_CURVE('',#148247,#148248,#67811,.T.); #181690=EDGE_CURVE('',#148249,#148247,#67812,.T.); #181691=EDGE_CURVE('',#148250,#148248,#67813,.T.); #181692=EDGE_CURVE('',#148249,#148250,#67814,.T.); #181693=EDGE_CURVE('',#148251,#148249,#67815,.T.); #181694=EDGE_CURVE('',#148252,#148250,#67816,.T.); #181695=EDGE_CURVE('',#148251,#148252,#67817,.T.); #181696=EDGE_CURVE('',#148253,#148251,#67818,.T.); #181697=EDGE_CURVE('',#148254,#148252,#67819,.T.); #181698=EDGE_CURVE('',#148253,#148254,#67820,.T.); #181699=EDGE_CURVE('',#148255,#148253,#67821,.T.); #181700=EDGE_CURVE('',#148256,#148254,#67822,.T.); #181701=EDGE_CURVE('',#148255,#148256,#67823,.T.); #181702=EDGE_CURVE('',#148257,#148255,#67824,.T.); #181703=EDGE_CURVE('',#148258,#148256,#67825,.T.); #181704=EDGE_CURVE('',#148257,#148258,#67826,.T.); #181705=EDGE_CURVE('',#148259,#148257,#67827,.T.); #181706=EDGE_CURVE('',#148260,#148258,#67828,.T.); #181707=EDGE_CURVE('',#148259,#148260,#67829,.T.); #181708=EDGE_CURVE('',#148261,#148259,#67830,.T.); #181709=EDGE_CURVE('',#148262,#148260,#67831,.T.); #181710=EDGE_CURVE('',#148261,#148262,#67832,.T.); #181711=EDGE_CURVE('',#148263,#148261,#67833,.T.); #181712=EDGE_CURVE('',#148264,#148262,#67834,.T.); #181713=EDGE_CURVE('',#148263,#148264,#67835,.T.); #181714=EDGE_CURVE('',#148265,#148263,#67836,.T.); #181715=EDGE_CURVE('',#148266,#148264,#67837,.T.); #181716=EDGE_CURVE('',#148265,#148266,#67838,.T.); #181717=EDGE_CURVE('',#148267,#148265,#67839,.T.); #181718=EDGE_CURVE('',#148268,#148266,#67840,.T.); #181719=EDGE_CURVE('',#148267,#148268,#67841,.T.); #181720=EDGE_CURVE('',#148269,#148267,#67842,.T.); #181721=EDGE_CURVE('',#148270,#148268,#67843,.T.); #181722=EDGE_CURVE('',#148269,#148270,#67844,.T.); #181723=EDGE_CURVE('',#148271,#148269,#67845,.T.); #181724=EDGE_CURVE('',#148272,#148270,#67846,.T.); #181725=EDGE_CURVE('',#148271,#148272,#67847,.T.); #181726=EDGE_CURVE('',#148273,#148271,#67848,.T.); #181727=EDGE_CURVE('',#148274,#148272,#67849,.T.); #181728=EDGE_CURVE('',#148273,#148274,#67850,.T.); #181729=EDGE_CURVE('',#148275,#148273,#67851,.T.); #181730=EDGE_CURVE('',#148276,#148274,#67852,.T.); #181731=EDGE_CURVE('',#148275,#148276,#67853,.T.); #181732=EDGE_CURVE('',#148277,#148275,#67854,.T.); #181733=EDGE_CURVE('',#148278,#148276,#67855,.T.); #181734=EDGE_CURVE('',#148277,#148278,#67856,.T.); #181735=EDGE_CURVE('',#148279,#148277,#67857,.T.); #181736=EDGE_CURVE('',#148280,#148278,#67858,.T.); #181737=EDGE_CURVE('',#148279,#148280,#67859,.T.); #181738=EDGE_CURVE('',#148281,#148279,#67860,.T.); #181739=EDGE_CURVE('',#148282,#148280,#67861,.T.); #181740=EDGE_CURVE('',#148281,#148282,#67862,.T.); #181741=EDGE_CURVE('',#148283,#148281,#67863,.T.); #181742=EDGE_CURVE('',#148284,#148282,#67864,.T.); #181743=EDGE_CURVE('',#148283,#148284,#67865,.T.); #181744=EDGE_CURVE('',#148240,#148283,#67866,.T.); #181745=EDGE_CURVE('',#148241,#148284,#67867,.T.); #181746=EDGE_CURVE('',#148285,#148286,#67868,.T.); #181747=EDGE_CURVE('',#148286,#148287,#67869,.T.); #181748=EDGE_CURVE('',#148288,#148287,#67870,.T.); #181749=EDGE_CURVE('',#148285,#148288,#67871,.T.); #181750=EDGE_CURVE('',#148289,#148285,#67872,.T.); #181751=EDGE_CURVE('',#148290,#148288,#67873,.T.); #181752=EDGE_CURVE('',#148289,#148290,#67874,.T.); #181753=EDGE_CURVE('',#148291,#148289,#67875,.T.); #181754=EDGE_CURVE('',#148292,#148290,#67876,.T.); #181755=EDGE_CURVE('',#148291,#148292,#67877,.T.); #181756=EDGE_CURVE('',#148293,#148291,#67878,.T.); #181757=EDGE_CURVE('',#148294,#148292,#67879,.T.); #181758=EDGE_CURVE('',#148293,#148294,#67880,.T.); #181759=EDGE_CURVE('',#148295,#148293,#67881,.T.); #181760=EDGE_CURVE('',#148296,#148294,#67882,.T.); #181761=EDGE_CURVE('',#148295,#148296,#67883,.T.); #181762=EDGE_CURVE('',#148297,#148295,#67884,.T.); #181763=EDGE_CURVE('',#148298,#148296,#67885,.T.); #181764=EDGE_CURVE('',#148297,#148298,#67886,.T.); #181765=EDGE_CURVE('',#148299,#148297,#67887,.T.); #181766=EDGE_CURVE('',#148300,#148298,#67888,.T.); #181767=EDGE_CURVE('',#148299,#148300,#67889,.T.); #181768=EDGE_CURVE('',#148301,#148299,#67890,.T.); #181769=EDGE_CURVE('',#148302,#148300,#67891,.T.); #181770=EDGE_CURVE('',#148301,#148302,#67892,.T.); #181771=EDGE_CURVE('',#148303,#148301,#67893,.T.); #181772=EDGE_CURVE('',#148304,#148302,#67894,.T.); #181773=EDGE_CURVE('',#148303,#148304,#67895,.T.); #181774=EDGE_CURVE('',#148305,#148303,#67896,.T.); #181775=EDGE_CURVE('',#148306,#148304,#67897,.T.); #181776=EDGE_CURVE('',#148305,#148306,#67898,.T.); #181777=EDGE_CURVE('',#148307,#148305,#67899,.T.); #181778=EDGE_CURVE('',#148308,#148306,#67900,.T.); #181779=EDGE_CURVE('',#148307,#148308,#67901,.T.); #181780=EDGE_CURVE('',#148309,#148307,#67902,.T.); #181781=EDGE_CURVE('',#148310,#148308,#67903,.T.); #181782=EDGE_CURVE('',#148309,#148310,#67904,.T.); #181783=EDGE_CURVE('',#148311,#148309,#67905,.T.); #181784=EDGE_CURVE('',#148312,#148310,#67906,.T.); #181785=EDGE_CURVE('',#148311,#148312,#67907,.T.); #181786=EDGE_CURVE('',#148313,#148311,#67908,.T.); #181787=EDGE_CURVE('',#148314,#148312,#67909,.T.); #181788=EDGE_CURVE('',#148313,#148314,#67910,.T.); #181789=EDGE_CURVE('',#148315,#148313,#67911,.T.); #181790=EDGE_CURVE('',#148316,#148314,#67912,.T.); #181791=EDGE_CURVE('',#148315,#148316,#67913,.T.); #181792=EDGE_CURVE('',#148317,#148315,#67914,.T.); #181793=EDGE_CURVE('',#148318,#148316,#67915,.T.); #181794=EDGE_CURVE('',#148317,#148318,#67916,.T.); #181795=EDGE_CURVE('',#148319,#148317,#67917,.T.); #181796=EDGE_CURVE('',#148320,#148318,#67918,.T.); #181797=EDGE_CURVE('',#148319,#148320,#67919,.T.); #181798=EDGE_CURVE('',#148321,#148319,#67920,.T.); #181799=EDGE_CURVE('',#148322,#148320,#67921,.T.); #181800=EDGE_CURVE('',#148321,#148322,#67922,.T.); #181801=EDGE_CURVE('',#148323,#148321,#67923,.T.); #181802=EDGE_CURVE('',#148324,#148322,#67924,.T.); #181803=EDGE_CURVE('',#148323,#148324,#67925,.T.); #181804=EDGE_CURVE('',#148325,#148323,#67926,.T.); #181805=EDGE_CURVE('',#148326,#148324,#67927,.T.); #181806=EDGE_CURVE('',#148325,#148326,#67928,.T.); #181807=EDGE_CURVE('',#148286,#148325,#67929,.T.); #181808=EDGE_CURVE('',#148287,#148326,#67930,.T.); #181809=EDGE_CURVE('',#148327,#148328,#67931,.T.); #181810=EDGE_CURVE('',#148328,#148329,#67932,.T.); #181811=EDGE_CURVE('',#148330,#148329,#67933,.T.); #181812=EDGE_CURVE('',#148327,#148330,#67934,.T.); #181813=EDGE_CURVE('',#148331,#148327,#67935,.T.); #181814=EDGE_CURVE('',#148332,#148330,#67936,.T.); #181815=EDGE_CURVE('',#148331,#148332,#67937,.T.); #181816=EDGE_CURVE('',#148333,#148331,#67938,.T.); #181817=EDGE_CURVE('',#148334,#148332,#67939,.T.); #181818=EDGE_CURVE('',#148333,#148334,#67940,.T.); #181819=EDGE_CURVE('',#148335,#148333,#67941,.T.); #181820=EDGE_CURVE('',#148336,#148334,#67942,.T.); #181821=EDGE_CURVE('',#148335,#148336,#67943,.T.); #181822=EDGE_CURVE('',#148337,#148335,#67944,.T.); #181823=EDGE_CURVE('',#148338,#148336,#67945,.T.); #181824=EDGE_CURVE('',#148337,#148338,#67946,.T.); #181825=EDGE_CURVE('',#148339,#148337,#67947,.T.); #181826=EDGE_CURVE('',#148340,#148338,#67948,.T.); #181827=EDGE_CURVE('',#148339,#148340,#67949,.T.); #181828=EDGE_CURVE('',#148341,#148339,#67950,.T.); #181829=EDGE_CURVE('',#148342,#148340,#67951,.T.); #181830=EDGE_CURVE('',#148341,#148342,#67952,.T.); #181831=EDGE_CURVE('',#148343,#148341,#67953,.T.); #181832=EDGE_CURVE('',#148344,#148342,#67954,.T.); #181833=EDGE_CURVE('',#148343,#148344,#67955,.T.); #181834=EDGE_CURVE('',#148345,#148343,#67956,.T.); #181835=EDGE_CURVE('',#148346,#148344,#67957,.T.); #181836=EDGE_CURVE('',#148345,#148346,#67958,.T.); #181837=EDGE_CURVE('',#148347,#148345,#67959,.T.); #181838=EDGE_CURVE('',#148348,#148346,#67960,.T.); #181839=EDGE_CURVE('',#148347,#148348,#67961,.T.); #181840=EDGE_CURVE('',#148349,#148347,#67962,.T.); #181841=EDGE_CURVE('',#148350,#148348,#67963,.T.); #181842=EDGE_CURVE('',#148349,#148350,#67964,.T.); #181843=EDGE_CURVE('',#148351,#148349,#67965,.T.); #181844=EDGE_CURVE('',#148352,#148350,#67966,.T.); #181845=EDGE_CURVE('',#148351,#148352,#67967,.T.); #181846=EDGE_CURVE('',#148353,#148351,#67968,.T.); #181847=EDGE_CURVE('',#148354,#148352,#67969,.T.); #181848=EDGE_CURVE('',#148353,#148354,#67970,.T.); #181849=EDGE_CURVE('',#148355,#148353,#67971,.T.); #181850=EDGE_CURVE('',#148356,#148354,#67972,.T.); #181851=EDGE_CURVE('',#148355,#148356,#67973,.T.); #181852=EDGE_CURVE('',#148357,#148355,#67974,.T.); #181853=EDGE_CURVE('',#148358,#148356,#67975,.T.); #181854=EDGE_CURVE('',#148357,#148358,#67976,.T.); #181855=EDGE_CURVE('',#148359,#148357,#67977,.T.); #181856=EDGE_CURVE('',#148360,#148358,#67978,.T.); #181857=EDGE_CURVE('',#148359,#148360,#67979,.T.); #181858=EDGE_CURVE('',#148361,#148359,#67980,.T.); #181859=EDGE_CURVE('',#148362,#148360,#67981,.T.); #181860=EDGE_CURVE('',#148361,#148362,#67982,.T.); #181861=EDGE_CURVE('',#148363,#148361,#67983,.T.); #181862=EDGE_CURVE('',#148364,#148362,#67984,.T.); #181863=EDGE_CURVE('',#148363,#148364,#67985,.T.); #181864=EDGE_CURVE('',#148365,#148363,#67986,.T.); #181865=EDGE_CURVE('',#148366,#148364,#67987,.T.); #181866=EDGE_CURVE('',#148365,#148366,#67988,.T.); #181867=EDGE_CURVE('',#148367,#148365,#67989,.T.); #181868=EDGE_CURVE('',#148368,#148366,#67990,.T.); #181869=EDGE_CURVE('',#148367,#148368,#67991,.T.); #181870=EDGE_CURVE('',#148369,#148367,#67992,.T.); #181871=EDGE_CURVE('',#148370,#148368,#67993,.T.); #181872=EDGE_CURVE('',#148369,#148370,#67994,.T.); #181873=EDGE_CURVE('',#148371,#148369,#67995,.T.); #181874=EDGE_CURVE('',#148372,#148370,#67996,.T.); #181875=EDGE_CURVE('',#148371,#148372,#67997,.T.); #181876=EDGE_CURVE('',#148373,#148371,#67998,.T.); #181877=EDGE_CURVE('',#148374,#148372,#67999,.T.); #181878=EDGE_CURVE('',#148373,#148374,#68000,.T.); #181879=EDGE_CURVE('',#148375,#148373,#68001,.T.); #181880=EDGE_CURVE('',#148376,#148374,#68002,.T.); #181881=EDGE_CURVE('',#148375,#148376,#68003,.T.); #181882=EDGE_CURVE('',#148377,#148375,#68004,.T.); #181883=EDGE_CURVE('',#148378,#148376,#68005,.T.); #181884=EDGE_CURVE('',#148377,#148378,#68006,.T.); #181885=EDGE_CURVE('',#148379,#148377,#68007,.T.); #181886=EDGE_CURVE('',#148380,#148378,#68008,.T.); #181887=EDGE_CURVE('',#148379,#148380,#68009,.T.); #181888=EDGE_CURVE('',#148381,#148379,#68010,.T.); #181889=EDGE_CURVE('',#148382,#148380,#68011,.T.); #181890=EDGE_CURVE('',#148381,#148382,#68012,.T.); #181891=EDGE_CURVE('',#148383,#148381,#68013,.T.); #181892=EDGE_CURVE('',#148384,#148382,#68014,.T.); #181893=EDGE_CURVE('',#148383,#148384,#68015,.T.); #181894=EDGE_CURVE('',#148385,#148383,#68016,.T.); #181895=EDGE_CURVE('',#148386,#148384,#68017,.T.); #181896=EDGE_CURVE('',#148385,#148386,#68018,.T.); #181897=EDGE_CURVE('',#148328,#148385,#68019,.T.); #181898=EDGE_CURVE('',#148329,#148386,#68020,.T.); #181899=EDGE_CURVE('',#148387,#148388,#68021,.T.); #181900=EDGE_CURVE('',#148388,#148389,#68022,.T.); #181901=EDGE_CURVE('',#148390,#148389,#68023,.T.); #181902=EDGE_CURVE('',#148387,#148390,#68024,.T.); #181903=EDGE_CURVE('',#148391,#148387,#68025,.T.); #181904=EDGE_CURVE('',#148392,#148390,#68026,.T.); #181905=EDGE_CURVE('',#148391,#148392,#68027,.T.); #181906=EDGE_CURVE('',#148393,#148391,#68028,.T.); #181907=EDGE_CURVE('',#148394,#148392,#68029,.T.); #181908=EDGE_CURVE('',#148393,#148394,#68030,.T.); #181909=EDGE_CURVE('',#148395,#148393,#68031,.T.); #181910=EDGE_CURVE('',#148396,#148394,#68032,.T.); #181911=EDGE_CURVE('',#148395,#148396,#68033,.T.); #181912=EDGE_CURVE('',#148397,#148395,#68034,.T.); #181913=EDGE_CURVE('',#148398,#148396,#68035,.T.); #181914=EDGE_CURVE('',#148397,#148398,#68036,.T.); #181915=EDGE_CURVE('',#148399,#148397,#68037,.T.); #181916=EDGE_CURVE('',#148400,#148398,#68038,.T.); #181917=EDGE_CURVE('',#148399,#148400,#68039,.T.); #181918=EDGE_CURVE('',#148401,#148399,#68040,.T.); #181919=EDGE_CURVE('',#148402,#148400,#68041,.T.); #181920=EDGE_CURVE('',#148401,#148402,#68042,.T.); #181921=EDGE_CURVE('',#148403,#148401,#68043,.T.); #181922=EDGE_CURVE('',#148404,#148402,#68044,.T.); #181923=EDGE_CURVE('',#148403,#148404,#68045,.T.); #181924=EDGE_CURVE('',#148405,#148403,#68046,.T.); #181925=EDGE_CURVE('',#148406,#148404,#68047,.T.); #181926=EDGE_CURVE('',#148405,#148406,#68048,.T.); #181927=EDGE_CURVE('',#148407,#148405,#68049,.T.); #181928=EDGE_CURVE('',#148408,#148406,#68050,.T.); #181929=EDGE_CURVE('',#148407,#148408,#68051,.T.); #181930=EDGE_CURVE('',#148409,#148407,#68052,.T.); #181931=EDGE_CURVE('',#148410,#148408,#68053,.T.); #181932=EDGE_CURVE('',#148409,#148410,#68054,.T.); #181933=EDGE_CURVE('',#148411,#148409,#68055,.T.); #181934=EDGE_CURVE('',#148412,#148410,#68056,.T.); #181935=EDGE_CURVE('',#148411,#148412,#68057,.T.); #181936=EDGE_CURVE('',#148413,#148411,#68058,.T.); #181937=EDGE_CURVE('',#148414,#148412,#68059,.T.); #181938=EDGE_CURVE('',#148413,#148414,#68060,.T.); #181939=EDGE_CURVE('',#148415,#148413,#68061,.T.); #181940=EDGE_CURVE('',#148416,#148414,#68062,.T.); #181941=EDGE_CURVE('',#148415,#148416,#68063,.T.); #181942=EDGE_CURVE('',#148417,#148415,#68064,.T.); #181943=EDGE_CURVE('',#148418,#148416,#68065,.T.); #181944=EDGE_CURVE('',#148417,#148418,#68066,.T.); #181945=EDGE_CURVE('',#148419,#148417,#68067,.T.); #181946=EDGE_CURVE('',#148420,#148418,#68068,.T.); #181947=EDGE_CURVE('',#148419,#148420,#68069,.T.); #181948=EDGE_CURVE('',#148421,#148419,#68070,.T.); #181949=EDGE_CURVE('',#148422,#148420,#68071,.T.); #181950=EDGE_CURVE('',#148421,#148422,#68072,.T.); #181951=EDGE_CURVE('',#148423,#148421,#68073,.T.); #181952=EDGE_CURVE('',#148424,#148422,#68074,.T.); #181953=EDGE_CURVE('',#148423,#148424,#68075,.T.); #181954=EDGE_CURVE('',#148425,#148423,#68076,.T.); #181955=EDGE_CURVE('',#148426,#148424,#68077,.T.); #181956=EDGE_CURVE('',#148425,#148426,#68078,.T.); #181957=EDGE_CURVE('',#148388,#148425,#68079,.T.); #181958=EDGE_CURVE('',#148389,#148426,#68080,.T.); #181959=EDGE_CURVE('',#148427,#148428,#68081,.T.); #181960=EDGE_CURVE('',#148428,#148429,#68082,.T.); #181961=EDGE_CURVE('',#148430,#148429,#68083,.T.); #181962=EDGE_CURVE('',#148427,#148430,#68084,.T.); #181963=EDGE_CURVE('',#148431,#148427,#68085,.T.); #181964=EDGE_CURVE('',#148432,#148430,#68086,.T.); #181965=EDGE_CURVE('',#148431,#148432,#68087,.T.); #181966=EDGE_CURVE('',#148433,#148431,#68088,.T.); #181967=EDGE_CURVE('',#148434,#148432,#68089,.T.); #181968=EDGE_CURVE('',#148433,#148434,#68090,.T.); #181969=EDGE_CURVE('',#148435,#148433,#68091,.T.); #181970=EDGE_CURVE('',#148436,#148434,#68092,.T.); #181971=EDGE_CURVE('',#148435,#148436,#68093,.T.); #181972=EDGE_CURVE('',#148437,#148435,#68094,.T.); #181973=EDGE_CURVE('',#148438,#148436,#68095,.T.); #181974=EDGE_CURVE('',#148437,#148438,#68096,.T.); #181975=EDGE_CURVE('',#148439,#148437,#68097,.T.); #181976=EDGE_CURVE('',#148440,#148438,#68098,.T.); #181977=EDGE_CURVE('',#148439,#148440,#68099,.T.); #181978=EDGE_CURVE('',#148441,#148439,#68100,.T.); #181979=EDGE_CURVE('',#148442,#148440,#68101,.T.); #181980=EDGE_CURVE('',#148441,#148442,#68102,.T.); #181981=EDGE_CURVE('',#148443,#148441,#68103,.T.); #181982=EDGE_CURVE('',#148444,#148442,#68104,.T.); #181983=EDGE_CURVE('',#148443,#148444,#68105,.T.); #181984=EDGE_CURVE('',#148445,#148443,#68106,.T.); #181985=EDGE_CURVE('',#148446,#148444,#68107,.T.); #181986=EDGE_CURVE('',#148445,#148446,#68108,.T.); #181987=EDGE_CURVE('',#148447,#148445,#68109,.T.); #181988=EDGE_CURVE('',#148448,#148446,#68110,.T.); #181989=EDGE_CURVE('',#148447,#148448,#68111,.T.); #181990=EDGE_CURVE('',#148449,#148447,#68112,.T.); #181991=EDGE_CURVE('',#148450,#148448,#68113,.T.); #181992=EDGE_CURVE('',#148449,#148450,#68114,.T.); #181993=EDGE_CURVE('',#148451,#148449,#68115,.T.); #181994=EDGE_CURVE('',#148452,#148450,#68116,.T.); #181995=EDGE_CURVE('',#148451,#148452,#68117,.T.); #181996=EDGE_CURVE('',#148453,#148451,#68118,.T.); #181997=EDGE_CURVE('',#148454,#148452,#68119,.T.); #181998=EDGE_CURVE('',#148453,#148454,#68120,.T.); #181999=EDGE_CURVE('',#148455,#148453,#68121,.T.); #182000=EDGE_CURVE('',#148456,#148454,#68122,.T.); #182001=EDGE_CURVE('',#148455,#148456,#68123,.T.); #182002=EDGE_CURVE('',#148457,#148455,#68124,.T.); #182003=EDGE_CURVE('',#148458,#148456,#68125,.T.); #182004=EDGE_CURVE('',#148457,#148458,#68126,.T.); #182005=EDGE_CURVE('',#148459,#148457,#68127,.T.); #182006=EDGE_CURVE('',#148460,#148458,#68128,.T.); #182007=EDGE_CURVE('',#148459,#148460,#68129,.T.); #182008=EDGE_CURVE('',#148461,#148459,#68130,.T.); #182009=EDGE_CURVE('',#148462,#148460,#68131,.T.); #182010=EDGE_CURVE('',#148461,#148462,#68132,.T.); #182011=EDGE_CURVE('',#148463,#148461,#68133,.T.); #182012=EDGE_CURVE('',#148464,#148462,#68134,.T.); #182013=EDGE_CURVE('',#148463,#148464,#68135,.T.); #182014=EDGE_CURVE('',#148465,#148463,#68136,.T.); #182015=EDGE_CURVE('',#148466,#148464,#68137,.T.); #182016=EDGE_CURVE('',#148465,#148466,#68138,.T.); #182017=EDGE_CURVE('',#148467,#148465,#68139,.T.); #182018=EDGE_CURVE('',#148468,#148466,#68140,.T.); #182019=EDGE_CURVE('',#148467,#148468,#68141,.T.); #182020=EDGE_CURVE('',#148469,#148467,#68142,.T.); #182021=EDGE_CURVE('',#148470,#148468,#68143,.T.); #182022=EDGE_CURVE('',#148469,#148470,#68144,.T.); #182023=EDGE_CURVE('',#148471,#148469,#68145,.T.); #182024=EDGE_CURVE('',#148472,#148470,#68146,.T.); #182025=EDGE_CURVE('',#148471,#148472,#68147,.T.); #182026=EDGE_CURVE('',#148473,#148471,#68148,.T.); #182027=EDGE_CURVE('',#148474,#148472,#68149,.T.); #182028=EDGE_CURVE('',#148473,#148474,#68150,.T.); #182029=EDGE_CURVE('',#148475,#148473,#68151,.T.); #182030=EDGE_CURVE('',#148476,#148474,#68152,.T.); #182031=EDGE_CURVE('',#148475,#148476,#68153,.T.); #182032=EDGE_CURVE('',#148477,#148475,#68154,.T.); #182033=EDGE_CURVE('',#148478,#148476,#68155,.T.); #182034=EDGE_CURVE('',#148477,#148478,#68156,.T.); #182035=EDGE_CURVE('',#148479,#148477,#68157,.T.); #182036=EDGE_CURVE('',#148480,#148478,#68158,.T.); #182037=EDGE_CURVE('',#148479,#148480,#68159,.T.); #182038=EDGE_CURVE('',#148481,#148479,#68160,.T.); #182039=EDGE_CURVE('',#148482,#148480,#68161,.T.); #182040=EDGE_CURVE('',#148481,#148482,#68162,.T.); #182041=EDGE_CURVE('',#148483,#148481,#68163,.T.); #182042=EDGE_CURVE('',#148484,#148482,#68164,.T.); #182043=EDGE_CURVE('',#148483,#148484,#68165,.T.); #182044=EDGE_CURVE('',#148485,#148483,#68166,.T.); #182045=EDGE_CURVE('',#148486,#148484,#68167,.T.); #182046=EDGE_CURVE('',#148485,#148486,#68168,.T.); #182047=EDGE_CURVE('',#148487,#148485,#68169,.T.); #182048=EDGE_CURVE('',#148488,#148486,#68170,.T.); #182049=EDGE_CURVE('',#148487,#148488,#68171,.T.); #182050=EDGE_CURVE('',#148489,#148487,#68172,.T.); #182051=EDGE_CURVE('',#148490,#148488,#68173,.T.); #182052=EDGE_CURVE('',#148489,#148490,#68174,.T.); #182053=EDGE_CURVE('',#148491,#148489,#68175,.T.); #182054=EDGE_CURVE('',#148492,#148490,#68176,.T.); #182055=EDGE_CURVE('',#148491,#148492,#68177,.T.); #182056=EDGE_CURVE('',#148428,#148491,#68178,.T.); #182057=EDGE_CURVE('',#148429,#148492,#68179,.T.); #182058=EDGE_CURVE('',#148493,#148493,#135739,.T.); #182059=EDGE_CURVE('',#148493,#148494,#68180,.T.); #182060=EDGE_CURVE('',#148494,#148494,#135740,.T.); #182061=EDGE_CURVE('',#148495,#148495,#135741,.T.); #182062=EDGE_CURVE('',#148495,#148496,#68181,.T.); #182063=EDGE_CURVE('',#148496,#148496,#135742,.T.); #182064=EDGE_CURVE('',#148497,#148497,#135743,.T.); #182065=EDGE_CURVE('',#148497,#148498,#68182,.T.); #182066=EDGE_CURVE('',#148498,#148498,#135744,.T.); #182067=EDGE_CURVE('',#148499,#148500,#68183,.T.); #182068=EDGE_CURVE('',#148500,#148501,#68184,.T.); #182069=EDGE_CURVE('',#148502,#148501,#68185,.T.); #182070=EDGE_CURVE('',#148499,#148502,#68186,.T.); #182071=EDGE_CURVE('',#148503,#148499,#68187,.T.); #182072=EDGE_CURVE('',#148504,#148502,#68188,.T.); #182073=EDGE_CURVE('',#148503,#148504,#68189,.T.); #182074=EDGE_CURVE('',#148505,#148503,#68190,.T.); #182075=EDGE_CURVE('',#148506,#148504,#68191,.T.); #182076=EDGE_CURVE('',#148505,#148506,#68192,.T.); #182077=EDGE_CURVE('',#148507,#148505,#68193,.T.); #182078=EDGE_CURVE('',#148508,#148506,#68194,.T.); #182079=EDGE_CURVE('',#148507,#148508,#68195,.T.); #182080=EDGE_CURVE('',#148509,#148507,#68196,.T.); #182081=EDGE_CURVE('',#148510,#148508,#68197,.T.); #182082=EDGE_CURVE('',#148509,#148510,#68198,.T.); #182083=EDGE_CURVE('',#148511,#148509,#68199,.T.); #182084=EDGE_CURVE('',#148512,#148510,#68200,.T.); #182085=EDGE_CURVE('',#148511,#148512,#68201,.T.); #182086=EDGE_CURVE('',#148513,#148511,#68202,.T.); #182087=EDGE_CURVE('',#148514,#148512,#68203,.T.); #182088=EDGE_CURVE('',#148513,#148514,#68204,.T.); #182089=EDGE_CURVE('',#148515,#148513,#68205,.T.); #182090=EDGE_CURVE('',#148516,#148514,#68206,.T.); #182091=EDGE_CURVE('',#148515,#148516,#68207,.T.); #182092=EDGE_CURVE('',#148517,#148515,#68208,.T.); #182093=EDGE_CURVE('',#148518,#148516,#68209,.T.); #182094=EDGE_CURVE('',#148517,#148518,#68210,.T.); #182095=EDGE_CURVE('',#148519,#148517,#68211,.T.); #182096=EDGE_CURVE('',#148520,#148518,#68212,.T.); #182097=EDGE_CURVE('',#148519,#148520,#68213,.T.); #182098=EDGE_CURVE('',#148521,#148519,#68214,.T.); #182099=EDGE_CURVE('',#148522,#148520,#68215,.T.); #182100=EDGE_CURVE('',#148521,#148522,#68216,.T.); #182101=EDGE_CURVE('',#148523,#148521,#68217,.T.); #182102=EDGE_CURVE('',#148524,#148522,#68218,.T.); #182103=EDGE_CURVE('',#148523,#148524,#68219,.T.); #182104=EDGE_CURVE('',#148525,#148523,#68220,.T.); #182105=EDGE_CURVE('',#148526,#148524,#68221,.T.); #182106=EDGE_CURVE('',#148525,#148526,#68222,.T.); #182107=EDGE_CURVE('',#148527,#148525,#68223,.T.); #182108=EDGE_CURVE('',#148528,#148526,#68224,.T.); #182109=EDGE_CURVE('',#148527,#148528,#68225,.T.); #182110=EDGE_CURVE('',#148529,#148527,#68226,.T.); #182111=EDGE_CURVE('',#148530,#148528,#68227,.T.); #182112=EDGE_CURVE('',#148529,#148530,#68228,.T.); #182113=EDGE_CURVE('',#148531,#148529,#68229,.T.); #182114=EDGE_CURVE('',#148532,#148530,#68230,.T.); #182115=EDGE_CURVE('',#148531,#148532,#68231,.T.); #182116=EDGE_CURVE('',#148533,#148531,#68232,.T.); #182117=EDGE_CURVE('',#148534,#148532,#68233,.T.); #182118=EDGE_CURVE('',#148533,#148534,#68234,.T.); #182119=EDGE_CURVE('',#148535,#148533,#68235,.T.); #182120=EDGE_CURVE('',#148536,#148534,#68236,.T.); #182121=EDGE_CURVE('',#148535,#148536,#68237,.T.); #182122=EDGE_CURVE('',#148537,#148535,#68238,.T.); #182123=EDGE_CURVE('',#148538,#148536,#68239,.T.); #182124=EDGE_CURVE('',#148537,#148538,#68240,.T.); #182125=EDGE_CURVE('',#148539,#148537,#68241,.T.); #182126=EDGE_CURVE('',#148540,#148538,#68242,.T.); #182127=EDGE_CURVE('',#148539,#148540,#68243,.T.); #182128=EDGE_CURVE('',#148541,#148539,#68244,.T.); #182129=EDGE_CURVE('',#148542,#148540,#68245,.T.); #182130=EDGE_CURVE('',#148541,#148542,#68246,.T.); #182131=EDGE_CURVE('',#148543,#148541,#68247,.T.); #182132=EDGE_CURVE('',#148544,#148542,#68248,.T.); #182133=EDGE_CURVE('',#148543,#148544,#68249,.T.); #182134=EDGE_CURVE('',#148545,#148543,#68250,.T.); #182135=EDGE_CURVE('',#148546,#148544,#68251,.T.); #182136=EDGE_CURVE('',#148545,#148546,#68252,.T.); #182137=EDGE_CURVE('',#148547,#148545,#68253,.T.); #182138=EDGE_CURVE('',#148548,#148546,#68254,.T.); #182139=EDGE_CURVE('',#148547,#148548,#68255,.T.); #182140=EDGE_CURVE('',#148549,#148547,#68256,.T.); #182141=EDGE_CURVE('',#148550,#148548,#68257,.T.); #182142=EDGE_CURVE('',#148549,#148550,#68258,.T.); #182143=EDGE_CURVE('',#148551,#148549,#68259,.T.); #182144=EDGE_CURVE('',#148552,#148550,#68260,.T.); #182145=EDGE_CURVE('',#148551,#148552,#68261,.T.); #182146=EDGE_CURVE('',#148553,#148551,#68262,.T.); #182147=EDGE_CURVE('',#148554,#148552,#68263,.T.); #182148=EDGE_CURVE('',#148553,#148554,#68264,.T.); #182149=EDGE_CURVE('',#148555,#148553,#68265,.T.); #182150=EDGE_CURVE('',#148556,#148554,#68266,.T.); #182151=EDGE_CURVE('',#148555,#148556,#68267,.T.); #182152=EDGE_CURVE('',#148557,#148555,#68268,.T.); #182153=EDGE_CURVE('',#148558,#148556,#68269,.T.); #182154=EDGE_CURVE('',#148557,#148558,#68270,.T.); #182155=EDGE_CURVE('',#148559,#148557,#68271,.T.); #182156=EDGE_CURVE('',#148560,#148558,#68272,.T.); #182157=EDGE_CURVE('',#148559,#148560,#68273,.T.); #182158=EDGE_CURVE('',#148561,#148559,#68274,.T.); #182159=EDGE_CURVE('',#148562,#148560,#68275,.T.); #182160=EDGE_CURVE('',#148561,#148562,#68276,.T.); #182161=EDGE_CURVE('',#148563,#148561,#68277,.T.); #182162=EDGE_CURVE('',#148564,#148562,#68278,.T.); #182163=EDGE_CURVE('',#148563,#148564,#68279,.T.); #182164=EDGE_CURVE('',#148565,#148563,#68280,.T.); #182165=EDGE_CURVE('',#148566,#148564,#68281,.T.); #182166=EDGE_CURVE('',#148565,#148566,#68282,.T.); #182167=EDGE_CURVE('',#148567,#148565,#68283,.T.); #182168=EDGE_CURVE('',#148568,#148566,#68284,.T.); #182169=EDGE_CURVE('',#148567,#148568,#68285,.T.); #182170=EDGE_CURVE('',#148569,#148567,#68286,.T.); #182171=EDGE_CURVE('',#148570,#148568,#68287,.T.); #182172=EDGE_CURVE('',#148569,#148570,#68288,.T.); #182173=EDGE_CURVE('',#148571,#148569,#68289,.T.); #182174=EDGE_CURVE('',#148572,#148570,#68290,.T.); #182175=EDGE_CURVE('',#148571,#148572,#68291,.T.); #182176=EDGE_CURVE('',#148573,#148571,#68292,.T.); #182177=EDGE_CURVE('',#148574,#148572,#68293,.T.); #182178=EDGE_CURVE('',#148573,#148574,#68294,.T.); #182179=EDGE_CURVE('',#148575,#148573,#68295,.T.); #182180=EDGE_CURVE('',#148576,#148574,#68296,.T.); #182181=EDGE_CURVE('',#148575,#148576,#68297,.T.); #182182=EDGE_CURVE('',#148577,#148575,#68298,.T.); #182183=EDGE_CURVE('',#148578,#148576,#68299,.T.); #182184=EDGE_CURVE('',#148577,#148578,#68300,.T.); #182185=EDGE_CURVE('',#148579,#148577,#68301,.T.); #182186=EDGE_CURVE('',#148580,#148578,#68302,.T.); #182187=EDGE_CURVE('',#148579,#148580,#68303,.T.); #182188=EDGE_CURVE('',#148581,#148579,#68304,.T.); #182189=EDGE_CURVE('',#148582,#148580,#68305,.T.); #182190=EDGE_CURVE('',#148581,#148582,#68306,.T.); #182191=EDGE_CURVE('',#148583,#148581,#68307,.T.); #182192=EDGE_CURVE('',#148584,#148582,#68308,.T.); #182193=EDGE_CURVE('',#148583,#148584,#68309,.T.); #182194=EDGE_CURVE('',#148585,#148583,#68310,.T.); #182195=EDGE_CURVE('',#148586,#148584,#68311,.T.); #182196=EDGE_CURVE('',#148585,#148586,#68312,.T.); #182197=EDGE_CURVE('',#148587,#148585,#68313,.T.); #182198=EDGE_CURVE('',#148588,#148586,#68314,.T.); #182199=EDGE_CURVE('',#148587,#148588,#68315,.T.); #182200=EDGE_CURVE('',#148589,#148587,#68316,.T.); #182201=EDGE_CURVE('',#148590,#148588,#68317,.T.); #182202=EDGE_CURVE('',#148589,#148590,#68318,.T.); #182203=EDGE_CURVE('',#148591,#148589,#68319,.T.); #182204=EDGE_CURVE('',#148592,#148590,#68320,.T.); #182205=EDGE_CURVE('',#148591,#148592,#68321,.T.); #182206=EDGE_CURVE('',#148593,#148591,#68322,.T.); #182207=EDGE_CURVE('',#148594,#148592,#68323,.T.); #182208=EDGE_CURVE('',#148593,#148594,#68324,.T.); #182209=EDGE_CURVE('',#148595,#148593,#68325,.T.); #182210=EDGE_CURVE('',#148596,#148594,#68326,.T.); #182211=EDGE_CURVE('',#148595,#148596,#68327,.T.); #182212=EDGE_CURVE('',#148597,#148595,#68328,.T.); #182213=EDGE_CURVE('',#148598,#148596,#68329,.T.); #182214=EDGE_CURVE('',#148597,#148598,#68330,.T.); #182215=EDGE_CURVE('',#148599,#148597,#68331,.T.); #182216=EDGE_CURVE('',#148600,#148598,#68332,.T.); #182217=EDGE_CURVE('',#148599,#148600,#68333,.T.); #182218=EDGE_CURVE('',#148601,#148599,#68334,.T.); #182219=EDGE_CURVE('',#148602,#148600,#68335,.T.); #182220=EDGE_CURVE('',#148601,#148602,#68336,.T.); #182221=EDGE_CURVE('',#148603,#148601,#68337,.T.); #182222=EDGE_CURVE('',#148604,#148602,#68338,.T.); #182223=EDGE_CURVE('',#148603,#148604,#68339,.T.); #182224=EDGE_CURVE('',#148605,#148603,#68340,.T.); #182225=EDGE_CURVE('',#148606,#148604,#68341,.T.); #182226=EDGE_CURVE('',#148605,#148606,#68342,.T.); #182227=EDGE_CURVE('',#148607,#148605,#68343,.T.); #182228=EDGE_CURVE('',#148608,#148606,#68344,.T.); #182229=EDGE_CURVE('',#148607,#148608,#68345,.T.); #182230=EDGE_CURVE('',#148609,#148607,#68346,.T.); #182231=EDGE_CURVE('',#148610,#148608,#68347,.T.); #182232=EDGE_CURVE('',#148609,#148610,#68348,.T.); #182233=EDGE_CURVE('',#148611,#148609,#68349,.T.); #182234=EDGE_CURVE('',#148612,#148610,#68350,.T.); #182235=EDGE_CURVE('',#148611,#148612,#68351,.T.); #182236=EDGE_CURVE('',#148613,#148611,#68352,.T.); #182237=EDGE_CURVE('',#148614,#148612,#68353,.T.); #182238=EDGE_CURVE('',#148613,#148614,#68354,.T.); #182239=EDGE_CURVE('',#148615,#148613,#68355,.T.); #182240=EDGE_CURVE('',#148616,#148614,#68356,.T.); #182241=EDGE_CURVE('',#148615,#148616,#68357,.T.); #182242=EDGE_CURVE('',#148617,#148615,#68358,.T.); #182243=EDGE_CURVE('',#148618,#148616,#68359,.T.); #182244=EDGE_CURVE('',#148617,#148618,#68360,.T.); #182245=EDGE_CURVE('',#148619,#148617,#68361,.T.); #182246=EDGE_CURVE('',#148620,#148618,#68362,.T.); #182247=EDGE_CURVE('',#148619,#148620,#68363,.T.); #182248=EDGE_CURVE('',#148621,#148619,#68364,.T.); #182249=EDGE_CURVE('',#148622,#148620,#68365,.T.); #182250=EDGE_CURVE('',#148621,#148622,#68366,.T.); #182251=EDGE_CURVE('',#148623,#148621,#68367,.T.); #182252=EDGE_CURVE('',#148624,#148622,#68368,.T.); #182253=EDGE_CURVE('',#148623,#148624,#68369,.T.); #182254=EDGE_CURVE('',#148625,#148623,#68370,.T.); #182255=EDGE_CURVE('',#148626,#148624,#68371,.T.); #182256=EDGE_CURVE('',#148625,#148626,#68372,.T.); #182257=EDGE_CURVE('',#148627,#148625,#68373,.T.); #182258=EDGE_CURVE('',#148628,#148626,#68374,.T.); #182259=EDGE_CURVE('',#148627,#148628,#68375,.T.); #182260=EDGE_CURVE('',#148629,#148627,#68376,.T.); #182261=EDGE_CURVE('',#148630,#148628,#68377,.T.); #182262=EDGE_CURVE('',#148629,#148630,#68378,.T.); #182263=EDGE_CURVE('',#148631,#148629,#68379,.T.); #182264=EDGE_CURVE('',#148632,#148630,#68380,.T.); #182265=EDGE_CURVE('',#148631,#148632,#68381,.T.); #182266=EDGE_CURVE('',#148633,#148631,#68382,.T.); #182267=EDGE_CURVE('',#148634,#148632,#68383,.T.); #182268=EDGE_CURVE('',#148633,#148634,#68384,.T.); #182269=EDGE_CURVE('',#148635,#148633,#68385,.T.); #182270=EDGE_CURVE('',#148636,#148634,#68386,.T.); #182271=EDGE_CURVE('',#148635,#148636,#68387,.T.); #182272=EDGE_CURVE('',#148637,#148635,#68388,.T.); #182273=EDGE_CURVE('',#148638,#148636,#68389,.T.); #182274=EDGE_CURVE('',#148637,#148638,#68390,.T.); #182275=EDGE_CURVE('',#148639,#148637,#68391,.T.); #182276=EDGE_CURVE('',#148640,#148638,#68392,.T.); #182277=EDGE_CURVE('',#148639,#148640,#68393,.T.); #182278=EDGE_CURVE('',#148641,#148639,#68394,.T.); #182279=EDGE_CURVE('',#148642,#148640,#68395,.T.); #182280=EDGE_CURVE('',#148641,#148642,#68396,.T.); #182281=EDGE_CURVE('',#148643,#148641,#68397,.T.); #182282=EDGE_CURVE('',#148644,#148642,#68398,.T.); #182283=EDGE_CURVE('',#148643,#148644,#68399,.T.); #182284=EDGE_CURVE('',#148645,#148643,#68400,.T.); #182285=EDGE_CURVE('',#148646,#148644,#68401,.T.); #182286=EDGE_CURVE('',#148645,#148646,#68402,.T.); #182287=EDGE_CURVE('',#148647,#148645,#68403,.T.); #182288=EDGE_CURVE('',#148648,#148646,#68404,.T.); #182289=EDGE_CURVE('',#148647,#148648,#68405,.T.); #182290=EDGE_CURVE('',#148649,#148647,#68406,.T.); #182291=EDGE_CURVE('',#148650,#148648,#68407,.T.); #182292=EDGE_CURVE('',#148649,#148650,#68408,.T.); #182293=EDGE_CURVE('',#148651,#148649,#68409,.T.); #182294=EDGE_CURVE('',#148652,#148650,#68410,.T.); #182295=EDGE_CURVE('',#148651,#148652,#68411,.T.); #182296=EDGE_CURVE('',#148653,#148651,#68412,.T.); #182297=EDGE_CURVE('',#148654,#148652,#68413,.T.); #182298=EDGE_CURVE('',#148653,#148654,#68414,.T.); #182299=EDGE_CURVE('',#148655,#148653,#68415,.T.); #182300=EDGE_CURVE('',#148656,#148654,#68416,.T.); #182301=EDGE_CURVE('',#148655,#148656,#68417,.T.); #182302=EDGE_CURVE('',#148657,#148655,#68418,.T.); #182303=EDGE_CURVE('',#148658,#148656,#68419,.T.); #182304=EDGE_CURVE('',#148657,#148658,#68420,.T.); #182305=EDGE_CURVE('',#148659,#148657,#68421,.T.); #182306=EDGE_CURVE('',#148660,#148658,#68422,.T.); #182307=EDGE_CURVE('',#148659,#148660,#68423,.T.); #182308=EDGE_CURVE('',#148661,#148659,#68424,.T.); #182309=EDGE_CURVE('',#148662,#148660,#68425,.T.); #182310=EDGE_CURVE('',#148661,#148662,#68426,.T.); #182311=EDGE_CURVE('',#148663,#148661,#68427,.T.); #182312=EDGE_CURVE('',#148664,#148662,#68428,.T.); #182313=EDGE_CURVE('',#148663,#148664,#68429,.T.); #182314=EDGE_CURVE('',#148665,#148663,#68430,.T.); #182315=EDGE_CURVE('',#148666,#148664,#68431,.T.); #182316=EDGE_CURVE('',#148665,#148666,#68432,.T.); #182317=EDGE_CURVE('',#148667,#148665,#68433,.T.); #182318=EDGE_CURVE('',#148668,#148666,#68434,.T.); #182319=EDGE_CURVE('',#148667,#148668,#68435,.T.); #182320=EDGE_CURVE('',#148669,#148667,#68436,.T.); #182321=EDGE_CURVE('',#148670,#148668,#68437,.T.); #182322=EDGE_CURVE('',#148669,#148670,#68438,.T.); #182323=EDGE_CURVE('',#148671,#148669,#68439,.T.); #182324=EDGE_CURVE('',#148672,#148670,#68440,.T.); #182325=EDGE_CURVE('',#148671,#148672,#68441,.T.); #182326=EDGE_CURVE('',#148500,#148671,#68442,.T.); #182327=EDGE_CURVE('',#148501,#148672,#68443,.T.); #182328=EDGE_CURVE('',#148673,#148674,#68444,.T.); #182329=EDGE_CURVE('',#148674,#148675,#68445,.T.); #182330=EDGE_CURVE('',#148676,#148675,#68446,.T.); #182331=EDGE_CURVE('',#148673,#148676,#68447,.T.); #182332=EDGE_CURVE('',#148677,#148673,#68448,.T.); #182333=EDGE_CURVE('',#148678,#148676,#68449,.T.); #182334=EDGE_CURVE('',#148677,#148678,#68450,.T.); #182335=EDGE_CURVE('',#148679,#148677,#68451,.T.); #182336=EDGE_CURVE('',#148680,#148678,#68452,.T.); #182337=EDGE_CURVE('',#148679,#148680,#68453,.T.); #182338=EDGE_CURVE('',#148681,#148679,#68454,.T.); #182339=EDGE_CURVE('',#148682,#148680,#68455,.T.); #182340=EDGE_CURVE('',#148681,#148682,#68456,.T.); #182341=EDGE_CURVE('',#148683,#148681,#68457,.T.); #182342=EDGE_CURVE('',#148684,#148682,#68458,.T.); #182343=EDGE_CURVE('',#148683,#148684,#68459,.T.); #182344=EDGE_CURVE('',#148685,#148683,#68460,.T.); #182345=EDGE_CURVE('',#148686,#148684,#68461,.T.); #182346=EDGE_CURVE('',#148685,#148686,#68462,.T.); #182347=EDGE_CURVE('',#148687,#148685,#68463,.T.); #182348=EDGE_CURVE('',#148688,#148686,#68464,.T.); #182349=EDGE_CURVE('',#148687,#148688,#68465,.T.); #182350=EDGE_CURVE('',#148689,#148687,#68466,.T.); #182351=EDGE_CURVE('',#148690,#148688,#68467,.T.); #182352=EDGE_CURVE('',#148689,#148690,#68468,.T.); #182353=EDGE_CURVE('',#148691,#148689,#68469,.T.); #182354=EDGE_CURVE('',#148692,#148690,#68470,.T.); #182355=EDGE_CURVE('',#148691,#148692,#68471,.T.); #182356=EDGE_CURVE('',#148693,#148691,#68472,.T.); #182357=EDGE_CURVE('',#148694,#148692,#68473,.T.); #182358=EDGE_CURVE('',#148693,#148694,#68474,.T.); #182359=EDGE_CURVE('',#148695,#148693,#68475,.T.); #182360=EDGE_CURVE('',#148696,#148694,#68476,.T.); #182361=EDGE_CURVE('',#148695,#148696,#68477,.T.); #182362=EDGE_CURVE('',#148697,#148695,#68478,.T.); #182363=EDGE_CURVE('',#148698,#148696,#68479,.T.); #182364=EDGE_CURVE('',#148697,#148698,#68480,.T.); #182365=EDGE_CURVE('',#148699,#148697,#68481,.T.); #182366=EDGE_CURVE('',#148700,#148698,#68482,.T.); #182367=EDGE_CURVE('',#148699,#148700,#68483,.T.); #182368=EDGE_CURVE('',#148701,#148699,#68484,.T.); #182369=EDGE_CURVE('',#148702,#148700,#68485,.T.); #182370=EDGE_CURVE('',#148701,#148702,#68486,.T.); #182371=EDGE_CURVE('',#148703,#148701,#68487,.T.); #182372=EDGE_CURVE('',#148704,#148702,#68488,.T.); #182373=EDGE_CURVE('',#148703,#148704,#68489,.T.); #182374=EDGE_CURVE('',#148705,#148703,#68490,.T.); #182375=EDGE_CURVE('',#148706,#148704,#68491,.T.); #182376=EDGE_CURVE('',#148705,#148706,#68492,.T.); #182377=EDGE_CURVE('',#148707,#148705,#68493,.T.); #182378=EDGE_CURVE('',#148708,#148706,#68494,.T.); #182379=EDGE_CURVE('',#148707,#148708,#68495,.T.); #182380=EDGE_CURVE('',#148709,#148707,#68496,.T.); #182381=EDGE_CURVE('',#148710,#148708,#68497,.T.); #182382=EDGE_CURVE('',#148709,#148710,#68498,.T.); #182383=EDGE_CURVE('',#148711,#148709,#68499,.T.); #182384=EDGE_CURVE('',#148712,#148710,#68500,.T.); #182385=EDGE_CURVE('',#148711,#148712,#68501,.T.); #182386=EDGE_CURVE('',#148713,#148711,#68502,.T.); #182387=EDGE_CURVE('',#148714,#148712,#68503,.T.); #182388=EDGE_CURVE('',#148713,#148714,#68504,.T.); #182389=EDGE_CURVE('',#148715,#148713,#68505,.T.); #182390=EDGE_CURVE('',#148716,#148714,#68506,.T.); #182391=EDGE_CURVE('',#148715,#148716,#68507,.T.); #182392=EDGE_CURVE('',#148717,#148715,#68508,.T.); #182393=EDGE_CURVE('',#148718,#148716,#68509,.T.); #182394=EDGE_CURVE('',#148717,#148718,#68510,.T.); #182395=EDGE_CURVE('',#148719,#148717,#68511,.T.); #182396=EDGE_CURVE('',#148720,#148718,#68512,.T.); #182397=EDGE_CURVE('',#148719,#148720,#68513,.T.); #182398=EDGE_CURVE('',#148721,#148719,#68514,.T.); #182399=EDGE_CURVE('',#148722,#148720,#68515,.T.); #182400=EDGE_CURVE('',#148721,#148722,#68516,.T.); #182401=EDGE_CURVE('',#148723,#148721,#68517,.T.); #182402=EDGE_CURVE('',#148724,#148722,#68518,.T.); #182403=EDGE_CURVE('',#148723,#148724,#68519,.T.); #182404=EDGE_CURVE('',#148725,#148723,#68520,.T.); #182405=EDGE_CURVE('',#148726,#148724,#68521,.T.); #182406=EDGE_CURVE('',#148725,#148726,#68522,.T.); #182407=EDGE_CURVE('',#148727,#148725,#68523,.T.); #182408=EDGE_CURVE('',#148728,#148726,#68524,.T.); #182409=EDGE_CURVE('',#148727,#148728,#68525,.T.); #182410=EDGE_CURVE('',#148729,#148727,#68526,.T.); #182411=EDGE_CURVE('',#148730,#148728,#68527,.T.); #182412=EDGE_CURVE('',#148729,#148730,#68528,.T.); #182413=EDGE_CURVE('',#148674,#148729,#68529,.T.); #182414=EDGE_CURVE('',#148675,#148730,#68530,.T.); #182415=EDGE_CURVE('',#148731,#148732,#68531,.T.); #182416=EDGE_CURVE('',#148732,#148733,#68532,.T.); #182417=EDGE_CURVE('',#148734,#148733,#68533,.T.); #182418=EDGE_CURVE('',#148731,#148734,#68534,.T.); #182419=EDGE_CURVE('',#148735,#148731,#68535,.T.); #182420=EDGE_CURVE('',#148736,#148734,#68536,.T.); #182421=EDGE_CURVE('',#148735,#148736,#68537,.T.); #182422=EDGE_CURVE('',#148737,#148735,#68538,.T.); #182423=EDGE_CURVE('',#148738,#148736,#68539,.T.); #182424=EDGE_CURVE('',#148737,#148738,#68540,.T.); #182425=EDGE_CURVE('',#148739,#148737,#68541,.T.); #182426=EDGE_CURVE('',#148740,#148738,#68542,.T.); #182427=EDGE_CURVE('',#148739,#148740,#68543,.T.); #182428=EDGE_CURVE('',#148741,#148739,#68544,.T.); #182429=EDGE_CURVE('',#148742,#148740,#68545,.T.); #182430=EDGE_CURVE('',#148741,#148742,#68546,.T.); #182431=EDGE_CURVE('',#148743,#148741,#68547,.T.); #182432=EDGE_CURVE('',#148744,#148742,#68548,.T.); #182433=EDGE_CURVE('',#148743,#148744,#68549,.T.); #182434=EDGE_CURVE('',#148745,#148743,#68550,.T.); #182435=EDGE_CURVE('',#148746,#148744,#68551,.T.); #182436=EDGE_CURVE('',#148745,#148746,#68552,.T.); #182437=EDGE_CURVE('',#148747,#148745,#68553,.T.); #182438=EDGE_CURVE('',#148748,#148746,#68554,.T.); #182439=EDGE_CURVE('',#148747,#148748,#68555,.T.); #182440=EDGE_CURVE('',#148749,#148747,#68556,.T.); #182441=EDGE_CURVE('',#148750,#148748,#68557,.T.); #182442=EDGE_CURVE('',#148749,#148750,#68558,.T.); #182443=EDGE_CURVE('',#148751,#148749,#68559,.T.); #182444=EDGE_CURVE('',#148752,#148750,#68560,.T.); #182445=EDGE_CURVE('',#148751,#148752,#68561,.T.); #182446=EDGE_CURVE('',#148753,#148751,#68562,.T.); #182447=EDGE_CURVE('',#148754,#148752,#68563,.T.); #182448=EDGE_CURVE('',#148753,#148754,#68564,.T.); #182449=EDGE_CURVE('',#148755,#148753,#68565,.T.); #182450=EDGE_CURVE('',#148756,#148754,#68566,.T.); #182451=EDGE_CURVE('',#148755,#148756,#68567,.T.); #182452=EDGE_CURVE('',#148757,#148755,#68568,.T.); #182453=EDGE_CURVE('',#148758,#148756,#68569,.T.); #182454=EDGE_CURVE('',#148757,#148758,#68570,.T.); #182455=EDGE_CURVE('',#148759,#148757,#68571,.T.); #182456=EDGE_CURVE('',#148760,#148758,#68572,.T.); #182457=EDGE_CURVE('',#148759,#148760,#68573,.T.); #182458=EDGE_CURVE('',#148761,#148759,#68574,.T.); #182459=EDGE_CURVE('',#148762,#148760,#68575,.T.); #182460=EDGE_CURVE('',#148761,#148762,#68576,.T.); #182461=EDGE_CURVE('',#148763,#148761,#68577,.T.); #182462=EDGE_CURVE('',#148764,#148762,#68578,.T.); #182463=EDGE_CURVE('',#148763,#148764,#68579,.T.); #182464=EDGE_CURVE('',#148765,#148763,#68580,.T.); #182465=EDGE_CURVE('',#148766,#148764,#68581,.T.); #182466=EDGE_CURVE('',#148765,#148766,#68582,.T.); #182467=EDGE_CURVE('',#148767,#148765,#68583,.T.); #182468=EDGE_CURVE('',#148768,#148766,#68584,.T.); #182469=EDGE_CURVE('',#148767,#148768,#68585,.T.); #182470=EDGE_CURVE('',#148732,#148767,#68586,.T.); #182471=EDGE_CURVE('',#148733,#148768,#68587,.T.); #182472=EDGE_CURVE('',#148769,#148769,#135745,.T.); #182473=EDGE_CURVE('',#148769,#148770,#68588,.T.); #182474=EDGE_CURVE('',#148770,#148770,#135746,.T.); #182475=EDGE_CURVE('',#148771,#148771,#135747,.T.); #182476=EDGE_CURVE('',#148771,#148772,#68589,.T.); #182477=EDGE_CURVE('',#148772,#148772,#135748,.T.); #182478=EDGE_CURVE('',#148773,#148773,#135749,.T.); #182479=EDGE_CURVE('',#148773,#148774,#68590,.T.); #182480=EDGE_CURVE('',#148774,#148774,#135750,.T.); #182481=EDGE_CURVE('',#148775,#148775,#135751,.T.); #182482=EDGE_CURVE('',#148775,#148776,#68591,.T.); #182483=EDGE_CURVE('',#148776,#148776,#135752,.T.); #182484=EDGE_CURVE('',#148777,#148777,#135753,.T.); #182485=EDGE_CURVE('',#148777,#148778,#68592,.T.); #182486=EDGE_CURVE('',#148778,#148778,#135754,.T.); #182487=EDGE_CURVE('',#148779,#148779,#135755,.T.); #182488=EDGE_CURVE('',#148779,#148780,#68593,.T.); #182489=EDGE_CURVE('',#148780,#148780,#135756,.T.); #182490=EDGE_CURVE('',#148781,#148781,#135757,.T.); #182491=EDGE_CURVE('',#148781,#148782,#68594,.T.); #182492=EDGE_CURVE('',#148782,#148782,#135758,.T.); #182493=EDGE_CURVE('',#148783,#148783,#135759,.T.); #182494=EDGE_CURVE('',#148783,#148784,#68595,.T.); #182495=EDGE_CURVE('',#148784,#148784,#135760,.T.); #182496=EDGE_CURVE('',#148785,#148785,#135761,.T.); #182497=EDGE_CURVE('',#148785,#148786,#68596,.T.); #182498=EDGE_CURVE('',#148786,#148786,#135762,.T.); #182499=EDGE_CURVE('',#148787,#148787,#135763,.T.); #182500=EDGE_CURVE('',#148787,#148788,#68597,.T.); #182501=EDGE_CURVE('',#148788,#148788,#135764,.T.); #182502=EDGE_CURVE('',#148789,#148789,#135765,.T.); #182503=EDGE_CURVE('',#148789,#148790,#68598,.T.); #182504=EDGE_CURVE('',#148790,#148790,#135766,.T.); #182505=EDGE_CURVE('',#148791,#148791,#135767,.T.); #182506=EDGE_CURVE('',#148791,#148792,#68599,.T.); #182507=EDGE_CURVE('',#148792,#148792,#135768,.T.); #182508=EDGE_CURVE('',#148793,#148793,#135769,.T.); #182509=EDGE_CURVE('',#148793,#148794,#68600,.T.); #182510=EDGE_CURVE('',#148794,#148794,#135770,.T.); #182511=EDGE_CURVE('',#148795,#148795,#135771,.T.); #182512=EDGE_CURVE('',#148795,#148796,#68601,.T.); #182513=EDGE_CURVE('',#148796,#148796,#135772,.T.); #182514=EDGE_CURVE('',#148797,#148797,#135773,.T.); #182515=EDGE_CURVE('',#148797,#148798,#68602,.T.); #182516=EDGE_CURVE('',#148798,#148798,#135774,.T.); #182517=EDGE_CURVE('',#148799,#148799,#135775,.T.); #182518=EDGE_CURVE('',#148799,#148800,#68603,.T.); #182519=EDGE_CURVE('',#148800,#148800,#135776,.T.); #182520=EDGE_CURVE('',#148801,#148801,#135777,.T.); #182521=EDGE_CURVE('',#148801,#148802,#68604,.T.); #182522=EDGE_CURVE('',#148802,#148802,#135778,.T.); #182523=EDGE_CURVE('',#148803,#148803,#135779,.T.); #182524=EDGE_CURVE('',#148803,#148804,#68605,.T.); #182525=EDGE_CURVE('',#148804,#148804,#135780,.T.); #182526=EDGE_CURVE('',#148805,#148805,#135781,.T.); #182527=EDGE_CURVE('',#148805,#148806,#68606,.T.); #182528=EDGE_CURVE('',#148806,#148806,#135782,.T.); #182529=EDGE_CURVE('',#148807,#148807,#135783,.T.); #182530=EDGE_CURVE('',#148807,#148808,#68607,.T.); #182531=EDGE_CURVE('',#148808,#148808,#135784,.T.); #182532=EDGE_CURVE('',#148809,#148809,#135785,.T.); #182533=EDGE_CURVE('',#148809,#148810,#68608,.T.); #182534=EDGE_CURVE('',#148810,#148810,#135786,.T.); #182535=EDGE_CURVE('',#148811,#148811,#135787,.T.); #182536=EDGE_CURVE('',#148811,#148812,#68609,.T.); #182537=EDGE_CURVE('',#148812,#148812,#135788,.T.); #182538=EDGE_CURVE('',#148813,#148813,#135789,.T.); #182539=EDGE_CURVE('',#148813,#148814,#68610,.T.); #182540=EDGE_CURVE('',#148814,#148814,#135790,.T.); #182541=EDGE_CURVE('',#148815,#148815,#135791,.T.); #182542=EDGE_CURVE('',#148815,#148816,#68611,.T.); #182543=EDGE_CURVE('',#148816,#148816,#135792,.T.); #182544=EDGE_CURVE('',#148817,#148817,#135793,.T.); #182545=EDGE_CURVE('',#148817,#148818,#68612,.T.); #182546=EDGE_CURVE('',#148818,#148818,#135794,.T.); #182547=EDGE_CURVE('',#148819,#148819,#135795,.T.); #182548=EDGE_CURVE('',#148819,#148820,#68613,.T.); #182549=EDGE_CURVE('',#148820,#148820,#135796,.T.); #182550=EDGE_CURVE('',#148821,#148821,#135797,.T.); #182551=EDGE_CURVE('',#148821,#148822,#68614,.T.); #182552=EDGE_CURVE('',#148822,#148822,#135798,.T.); #182553=EDGE_CURVE('',#148823,#148823,#135799,.T.); #182554=EDGE_CURVE('',#148823,#148824,#68615,.T.); #182555=EDGE_CURVE('',#148824,#148824,#135800,.T.); #182556=EDGE_CURVE('',#148825,#148825,#135801,.T.); #182557=EDGE_CURVE('',#148825,#148826,#68616,.T.); #182558=EDGE_CURVE('',#148826,#148826,#135802,.T.); #182559=EDGE_CURVE('',#148827,#148827,#135803,.T.); #182560=EDGE_CURVE('',#148827,#148828,#68617,.T.); #182561=EDGE_CURVE('',#148828,#148828,#135804,.T.); #182562=EDGE_CURVE('',#148829,#148829,#135805,.T.); #182563=EDGE_CURVE('',#148829,#148830,#68618,.T.); #182564=EDGE_CURVE('',#148830,#148830,#135806,.T.); #182565=EDGE_CURVE('',#148831,#148831,#135807,.T.); #182566=EDGE_CURVE('',#148831,#148832,#68619,.T.); #182567=EDGE_CURVE('',#148832,#148832,#135808,.T.); #182568=EDGE_CURVE('',#148833,#148833,#135809,.T.); #182569=EDGE_CURVE('',#148833,#148834,#68620,.T.); #182570=EDGE_CURVE('',#148834,#148834,#135810,.T.); #182571=EDGE_CURVE('',#148835,#148835,#135811,.T.); #182572=EDGE_CURVE('',#148835,#148836,#68621,.T.); #182573=EDGE_CURVE('',#148836,#148836,#135812,.T.); #182574=EDGE_CURVE('',#148837,#148837,#135813,.T.); #182575=EDGE_CURVE('',#148837,#148838,#68622,.T.); #182576=EDGE_CURVE('',#148838,#148838,#135814,.T.); #182577=EDGE_CURVE('',#148839,#148839,#135815,.T.); #182578=EDGE_CURVE('',#148839,#148840,#68623,.T.); #182579=EDGE_CURVE('',#148840,#148840,#135816,.T.); #182580=EDGE_CURVE('',#148841,#148841,#135817,.T.); #182581=EDGE_CURVE('',#148841,#148842,#68624,.T.); #182582=EDGE_CURVE('',#148842,#148842,#135818,.T.); #182583=EDGE_CURVE('',#148843,#148843,#135819,.T.); #182584=EDGE_CURVE('',#148843,#148844,#68625,.T.); #182585=EDGE_CURVE('',#148844,#148844,#135820,.T.); #182586=EDGE_CURVE('',#148845,#148845,#135821,.T.); #182587=EDGE_CURVE('',#148845,#148846,#68626,.T.); #182588=EDGE_CURVE('',#148846,#148846,#135822,.T.); #182589=EDGE_CURVE('',#148847,#148847,#135823,.T.); #182590=EDGE_CURVE('',#148847,#148848,#68627,.T.); #182591=EDGE_CURVE('',#148848,#148848,#135824,.T.); #182592=EDGE_CURVE('',#148849,#148849,#135825,.T.); #182593=EDGE_CURVE('',#148849,#148850,#68628,.T.); #182594=EDGE_CURVE('',#148850,#148850,#135826,.T.); #182595=EDGE_CURVE('',#148851,#148851,#135827,.T.); #182596=EDGE_CURVE('',#148851,#148852,#68629,.T.); #182597=EDGE_CURVE('',#148852,#148852,#135828,.T.); #182598=EDGE_CURVE('',#148853,#148853,#135829,.T.); #182599=EDGE_CURVE('',#148853,#148854,#68630,.T.); #182600=EDGE_CURVE('',#148854,#148854,#135830,.T.); #182601=EDGE_CURVE('',#148855,#148855,#135831,.T.); #182602=EDGE_CURVE('',#148855,#148856,#68631,.T.); #182603=EDGE_CURVE('',#148856,#148856,#135832,.T.); #182604=EDGE_CURVE('',#148857,#148857,#135833,.T.); #182605=EDGE_CURVE('',#148857,#148858,#68632,.T.); #182606=EDGE_CURVE('',#148858,#148858,#135834,.T.); #182607=EDGE_CURVE('',#148859,#148859,#135835,.T.); #182608=EDGE_CURVE('',#148859,#148860,#68633,.T.); #182609=EDGE_CURVE('',#148860,#148860,#135836,.T.); #182610=EDGE_CURVE('',#148861,#148861,#135837,.T.); #182611=EDGE_CURVE('',#148861,#148862,#68634,.T.); #182612=EDGE_CURVE('',#148862,#148862,#135838,.T.); #182613=EDGE_CURVE('',#148863,#148863,#135839,.T.); #182614=EDGE_CURVE('',#148863,#148864,#68635,.T.); #182615=EDGE_CURVE('',#148864,#148864,#135840,.T.); #182616=EDGE_CURVE('',#148865,#148865,#135841,.T.); #182617=EDGE_CURVE('',#148865,#148866,#68636,.T.); #182618=EDGE_CURVE('',#148866,#148866,#135842,.T.); #182619=EDGE_CURVE('',#148867,#148868,#68637,.T.); #182620=EDGE_CURVE('',#148868,#148869,#68638,.T.); #182621=EDGE_CURVE('',#148870,#148869,#68639,.T.); #182622=EDGE_CURVE('',#148867,#148870,#68640,.T.); #182623=EDGE_CURVE('',#148871,#148867,#68641,.T.); #182624=EDGE_CURVE('',#148872,#148870,#68642,.T.); #182625=EDGE_CURVE('',#148871,#148872,#68643,.T.); #182626=EDGE_CURVE('',#148873,#148871,#68644,.T.); #182627=EDGE_CURVE('',#148874,#148872,#68645,.T.); #182628=EDGE_CURVE('',#148873,#148874,#68646,.T.); #182629=EDGE_CURVE('',#148875,#148873,#68647,.T.); #182630=EDGE_CURVE('',#148876,#148874,#68648,.T.); #182631=EDGE_CURVE('',#148875,#148876,#68649,.T.); #182632=EDGE_CURVE('',#148877,#148875,#68650,.T.); #182633=EDGE_CURVE('',#148878,#148876,#68651,.T.); #182634=EDGE_CURVE('',#148877,#148878,#68652,.T.); #182635=EDGE_CURVE('',#148879,#148877,#68653,.T.); #182636=EDGE_CURVE('',#148880,#148878,#68654,.T.); #182637=EDGE_CURVE('',#148879,#148880,#68655,.T.); #182638=EDGE_CURVE('',#148881,#148879,#68656,.T.); #182639=EDGE_CURVE('',#148882,#148880,#68657,.T.); #182640=EDGE_CURVE('',#148881,#148882,#68658,.T.); #182641=EDGE_CURVE('',#148883,#148881,#68659,.T.); #182642=EDGE_CURVE('',#148884,#148882,#68660,.T.); #182643=EDGE_CURVE('',#148883,#148884,#68661,.T.); #182644=EDGE_CURVE('',#148885,#148883,#68662,.T.); #182645=EDGE_CURVE('',#148886,#148884,#68663,.T.); #182646=EDGE_CURVE('',#148885,#148886,#68664,.T.); #182647=EDGE_CURVE('',#148887,#148885,#68665,.T.); #182648=EDGE_CURVE('',#148888,#148886,#68666,.T.); #182649=EDGE_CURVE('',#148887,#148888,#68667,.T.); #182650=EDGE_CURVE('',#148889,#148887,#68668,.T.); #182651=EDGE_CURVE('',#148890,#148888,#68669,.T.); #182652=EDGE_CURVE('',#148889,#148890,#68670,.T.); #182653=EDGE_CURVE('',#148891,#148889,#68671,.T.); #182654=EDGE_CURVE('',#148892,#148890,#68672,.T.); #182655=EDGE_CURVE('',#148891,#148892,#68673,.T.); #182656=EDGE_CURVE('',#148893,#148891,#68674,.T.); #182657=EDGE_CURVE('',#148894,#148892,#68675,.T.); #182658=EDGE_CURVE('',#148893,#148894,#68676,.T.); #182659=EDGE_CURVE('',#148895,#148893,#68677,.T.); #182660=EDGE_CURVE('',#148896,#148894,#68678,.T.); #182661=EDGE_CURVE('',#148895,#148896,#68679,.T.); #182662=EDGE_CURVE('',#148897,#148895,#68680,.T.); #182663=EDGE_CURVE('',#148898,#148896,#68681,.T.); #182664=EDGE_CURVE('',#148897,#148898,#68682,.T.); #182665=EDGE_CURVE('',#148899,#148897,#68683,.T.); #182666=EDGE_CURVE('',#148900,#148898,#68684,.T.); #182667=EDGE_CURVE('',#148899,#148900,#68685,.T.); #182668=EDGE_CURVE('',#148901,#148899,#68686,.T.); #182669=EDGE_CURVE('',#148902,#148900,#68687,.T.); #182670=EDGE_CURVE('',#148901,#148902,#68688,.T.); #182671=EDGE_CURVE('',#148903,#148901,#68689,.T.); #182672=EDGE_CURVE('',#148904,#148902,#68690,.T.); #182673=EDGE_CURVE('',#148903,#148904,#68691,.T.); #182674=EDGE_CURVE('',#148905,#148903,#68692,.T.); #182675=EDGE_CURVE('',#148906,#148904,#68693,.T.); #182676=EDGE_CURVE('',#148905,#148906,#68694,.T.); #182677=EDGE_CURVE('',#148907,#148905,#68695,.T.); #182678=EDGE_CURVE('',#148908,#148906,#68696,.T.); #182679=EDGE_CURVE('',#148907,#148908,#68697,.T.); #182680=EDGE_CURVE('',#148909,#148907,#68698,.T.); #182681=EDGE_CURVE('',#148910,#148908,#68699,.T.); #182682=EDGE_CURVE('',#148909,#148910,#68700,.T.); #182683=EDGE_CURVE('',#148911,#148909,#68701,.T.); #182684=EDGE_CURVE('',#148912,#148910,#68702,.T.); #182685=EDGE_CURVE('',#148911,#148912,#68703,.T.); #182686=EDGE_CURVE('',#148913,#148911,#68704,.T.); #182687=EDGE_CURVE('',#148914,#148912,#68705,.T.); #182688=EDGE_CURVE('',#148913,#148914,#68706,.T.); #182689=EDGE_CURVE('',#148915,#148913,#68707,.T.); #182690=EDGE_CURVE('',#148916,#148914,#68708,.T.); #182691=EDGE_CURVE('',#148915,#148916,#68709,.T.); #182692=EDGE_CURVE('',#148917,#148915,#68710,.T.); #182693=EDGE_CURVE('',#148918,#148916,#68711,.T.); #182694=EDGE_CURVE('',#148917,#148918,#68712,.T.); #182695=EDGE_CURVE('',#148919,#148917,#68713,.T.); #182696=EDGE_CURVE('',#148920,#148918,#68714,.T.); #182697=EDGE_CURVE('',#148919,#148920,#68715,.T.); #182698=EDGE_CURVE('',#148921,#148919,#68716,.T.); #182699=EDGE_CURVE('',#148922,#148920,#68717,.T.); #182700=EDGE_CURVE('',#148921,#148922,#68718,.T.); #182701=EDGE_CURVE('',#148923,#148921,#68719,.T.); #182702=EDGE_CURVE('',#148924,#148922,#68720,.T.); #182703=EDGE_CURVE('',#148923,#148924,#68721,.T.); #182704=EDGE_CURVE('',#148925,#148923,#68722,.T.); #182705=EDGE_CURVE('',#148926,#148924,#68723,.T.); #182706=EDGE_CURVE('',#148925,#148926,#68724,.T.); #182707=EDGE_CURVE('',#148927,#148925,#68725,.T.); #182708=EDGE_CURVE('',#148928,#148926,#68726,.T.); #182709=EDGE_CURVE('',#148927,#148928,#68727,.T.); #182710=EDGE_CURVE('',#148929,#148927,#68728,.T.); #182711=EDGE_CURVE('',#148930,#148928,#68729,.T.); #182712=EDGE_CURVE('',#148929,#148930,#68730,.T.); #182713=EDGE_CURVE('',#148931,#148929,#68731,.T.); #182714=EDGE_CURVE('',#148932,#148930,#68732,.T.); #182715=EDGE_CURVE('',#148931,#148932,#68733,.T.); #182716=EDGE_CURVE('',#148933,#148931,#68734,.T.); #182717=EDGE_CURVE('',#148934,#148932,#68735,.T.); #182718=EDGE_CURVE('',#148933,#148934,#68736,.T.); #182719=EDGE_CURVE('',#148935,#148933,#68737,.T.); #182720=EDGE_CURVE('',#148936,#148934,#68738,.T.); #182721=EDGE_CURVE('',#148935,#148936,#68739,.T.); #182722=EDGE_CURVE('',#148937,#148935,#68740,.T.); #182723=EDGE_CURVE('',#148938,#148936,#68741,.T.); #182724=EDGE_CURVE('',#148937,#148938,#68742,.T.); #182725=EDGE_CURVE('',#148939,#148937,#68743,.T.); #182726=EDGE_CURVE('',#148940,#148938,#68744,.T.); #182727=EDGE_CURVE('',#148939,#148940,#68745,.T.); #182728=EDGE_CURVE('',#148941,#148939,#68746,.T.); #182729=EDGE_CURVE('',#148942,#148940,#68747,.T.); #182730=EDGE_CURVE('',#148941,#148942,#68748,.T.); #182731=EDGE_CURVE('',#148943,#148941,#68749,.T.); #182732=EDGE_CURVE('',#148944,#148942,#68750,.T.); #182733=EDGE_CURVE('',#148943,#148944,#68751,.T.); #182734=EDGE_CURVE('',#148945,#148943,#68752,.T.); #182735=EDGE_CURVE('',#148946,#148944,#68753,.T.); #182736=EDGE_CURVE('',#148945,#148946,#68754,.T.); #182737=EDGE_CURVE('',#148947,#148945,#68755,.T.); #182738=EDGE_CURVE('',#148948,#148946,#68756,.T.); #182739=EDGE_CURVE('',#148947,#148948,#68757,.T.); #182740=EDGE_CURVE('',#148949,#148947,#68758,.T.); #182741=EDGE_CURVE('',#148950,#148948,#68759,.T.); #182742=EDGE_CURVE('',#148949,#148950,#68760,.T.); #182743=EDGE_CURVE('',#148951,#148949,#68761,.T.); #182744=EDGE_CURVE('',#148952,#148950,#68762,.T.); #182745=EDGE_CURVE('',#148951,#148952,#68763,.T.); #182746=EDGE_CURVE('',#148953,#148951,#68764,.T.); #182747=EDGE_CURVE('',#148954,#148952,#68765,.T.); #182748=EDGE_CURVE('',#148953,#148954,#68766,.T.); #182749=EDGE_CURVE('',#148955,#148953,#68767,.T.); #182750=EDGE_CURVE('',#148956,#148954,#68768,.T.); #182751=EDGE_CURVE('',#148955,#148956,#68769,.T.); #182752=EDGE_CURVE('',#148957,#148955,#68770,.T.); #182753=EDGE_CURVE('',#148958,#148956,#68771,.T.); #182754=EDGE_CURVE('',#148957,#148958,#68772,.T.); #182755=EDGE_CURVE('',#148959,#148957,#68773,.T.); #182756=EDGE_CURVE('',#148960,#148958,#68774,.T.); #182757=EDGE_CURVE('',#148959,#148960,#68775,.T.); #182758=EDGE_CURVE('',#148961,#148959,#68776,.T.); #182759=EDGE_CURVE('',#148962,#148960,#68777,.T.); #182760=EDGE_CURVE('',#148961,#148962,#68778,.T.); #182761=EDGE_CURVE('',#148963,#148961,#68779,.T.); #182762=EDGE_CURVE('',#148964,#148962,#68780,.T.); #182763=EDGE_CURVE('',#148963,#148964,#68781,.T.); #182764=EDGE_CURVE('',#148965,#148963,#68782,.T.); #182765=EDGE_CURVE('',#148966,#148964,#68783,.T.); #182766=EDGE_CURVE('',#148965,#148966,#68784,.T.); #182767=EDGE_CURVE('',#148967,#148965,#68785,.T.); #182768=EDGE_CURVE('',#148968,#148966,#68786,.T.); #182769=EDGE_CURVE('',#148967,#148968,#68787,.T.); #182770=EDGE_CURVE('',#148969,#148967,#68788,.T.); #182771=EDGE_CURVE('',#148970,#148968,#68789,.T.); #182772=EDGE_CURVE('',#148969,#148970,#68790,.T.); #182773=EDGE_CURVE('',#148971,#148969,#68791,.T.); #182774=EDGE_CURVE('',#148972,#148970,#68792,.T.); #182775=EDGE_CURVE('',#148971,#148972,#68793,.T.); #182776=EDGE_CURVE('',#148973,#148971,#68794,.T.); #182777=EDGE_CURVE('',#148974,#148972,#68795,.T.); #182778=EDGE_CURVE('',#148973,#148974,#68796,.T.); #182779=EDGE_CURVE('',#148975,#148973,#68797,.T.); #182780=EDGE_CURVE('',#148976,#148974,#68798,.T.); #182781=EDGE_CURVE('',#148975,#148976,#68799,.T.); #182782=EDGE_CURVE('',#148977,#148975,#68800,.T.); #182783=EDGE_CURVE('',#148978,#148976,#68801,.T.); #182784=EDGE_CURVE('',#148977,#148978,#68802,.T.); #182785=EDGE_CURVE('',#148979,#148977,#68803,.T.); #182786=EDGE_CURVE('',#148980,#148978,#68804,.T.); #182787=EDGE_CURVE('',#148979,#148980,#68805,.T.); #182788=EDGE_CURVE('',#148981,#148979,#68806,.T.); #182789=EDGE_CURVE('',#148982,#148980,#68807,.T.); #182790=EDGE_CURVE('',#148981,#148982,#68808,.T.); #182791=EDGE_CURVE('',#148983,#148981,#68809,.T.); #182792=EDGE_CURVE('',#148984,#148982,#68810,.T.); #182793=EDGE_CURVE('',#148983,#148984,#68811,.T.); #182794=EDGE_CURVE('',#148985,#148983,#68812,.T.); #182795=EDGE_CURVE('',#148986,#148984,#68813,.T.); #182796=EDGE_CURVE('',#148985,#148986,#68814,.T.); #182797=EDGE_CURVE('',#148987,#148985,#68815,.T.); #182798=EDGE_CURVE('',#148988,#148986,#68816,.T.); #182799=EDGE_CURVE('',#148987,#148988,#68817,.T.); #182800=EDGE_CURVE('',#148989,#148987,#68818,.T.); #182801=EDGE_CURVE('',#148990,#148988,#68819,.T.); #182802=EDGE_CURVE('',#148989,#148990,#68820,.T.); #182803=EDGE_CURVE('',#148991,#148989,#68821,.T.); #182804=EDGE_CURVE('',#148992,#148990,#68822,.T.); #182805=EDGE_CURVE('',#148991,#148992,#68823,.T.); #182806=EDGE_CURVE('',#148993,#148991,#68824,.T.); #182807=EDGE_CURVE('',#148994,#148992,#68825,.T.); #182808=EDGE_CURVE('',#148993,#148994,#68826,.T.); #182809=EDGE_CURVE('',#148995,#148993,#68827,.T.); #182810=EDGE_CURVE('',#148996,#148994,#68828,.T.); #182811=EDGE_CURVE('',#148995,#148996,#68829,.T.); #182812=EDGE_CURVE('',#148997,#148995,#68830,.T.); #182813=EDGE_CURVE('',#148998,#148996,#68831,.T.); #182814=EDGE_CURVE('',#148997,#148998,#68832,.T.); #182815=EDGE_CURVE('',#148999,#148997,#68833,.T.); #182816=EDGE_CURVE('',#149000,#148998,#68834,.T.); #182817=EDGE_CURVE('',#148999,#149000,#68835,.T.); #182818=EDGE_CURVE('',#149001,#148999,#68836,.T.); #182819=EDGE_CURVE('',#149002,#149000,#68837,.T.); #182820=EDGE_CURVE('',#149001,#149002,#68838,.T.); #182821=EDGE_CURVE('',#149003,#149001,#68839,.T.); #182822=EDGE_CURVE('',#149004,#149002,#68840,.T.); #182823=EDGE_CURVE('',#149003,#149004,#68841,.T.); #182824=EDGE_CURVE('',#149005,#149003,#68842,.T.); #182825=EDGE_CURVE('',#149006,#149004,#68843,.T.); #182826=EDGE_CURVE('',#149005,#149006,#68844,.T.); #182827=EDGE_CURVE('',#149007,#149005,#68845,.T.); #182828=EDGE_CURVE('',#149008,#149006,#68846,.T.); #182829=EDGE_CURVE('',#149007,#149008,#68847,.T.); #182830=EDGE_CURVE('',#149009,#149007,#68848,.T.); #182831=EDGE_CURVE('',#149010,#149008,#68849,.T.); #182832=EDGE_CURVE('',#149009,#149010,#68850,.T.); #182833=EDGE_CURVE('',#149011,#149009,#68851,.T.); #182834=EDGE_CURVE('',#149012,#149010,#68852,.T.); #182835=EDGE_CURVE('',#149011,#149012,#68853,.T.); #182836=EDGE_CURVE('',#149013,#149011,#68854,.T.); #182837=EDGE_CURVE('',#149014,#149012,#68855,.T.); #182838=EDGE_CURVE('',#149013,#149014,#68856,.T.); #182839=EDGE_CURVE('',#149015,#149013,#68857,.T.); #182840=EDGE_CURVE('',#149016,#149014,#68858,.T.); #182841=EDGE_CURVE('',#149015,#149016,#68859,.T.); #182842=EDGE_CURVE('',#149017,#149015,#68860,.T.); #182843=EDGE_CURVE('',#149018,#149016,#68861,.T.); #182844=EDGE_CURVE('',#149017,#149018,#68862,.T.); #182845=EDGE_CURVE('',#149019,#149017,#68863,.T.); #182846=EDGE_CURVE('',#149020,#149018,#68864,.T.); #182847=EDGE_CURVE('',#149019,#149020,#68865,.T.); #182848=EDGE_CURVE('',#149021,#149019,#68866,.T.); #182849=EDGE_CURVE('',#149022,#149020,#68867,.T.); #182850=EDGE_CURVE('',#149021,#149022,#68868,.T.); #182851=EDGE_CURVE('',#149023,#149021,#68869,.T.); #182852=EDGE_CURVE('',#149024,#149022,#68870,.T.); #182853=EDGE_CURVE('',#149023,#149024,#68871,.T.); #182854=EDGE_CURVE('',#149025,#149023,#68872,.T.); #182855=EDGE_CURVE('',#149026,#149024,#68873,.T.); #182856=EDGE_CURVE('',#149025,#149026,#68874,.T.); #182857=EDGE_CURVE('',#149027,#149025,#68875,.T.); #182858=EDGE_CURVE('',#149028,#149026,#68876,.T.); #182859=EDGE_CURVE('',#149027,#149028,#68877,.T.); #182860=EDGE_CURVE('',#149029,#149027,#68878,.T.); #182861=EDGE_CURVE('',#149030,#149028,#68879,.T.); #182862=EDGE_CURVE('',#149029,#149030,#68880,.T.); #182863=EDGE_CURVE('',#149031,#149029,#68881,.T.); #182864=EDGE_CURVE('',#149032,#149030,#68882,.T.); #182865=EDGE_CURVE('',#149031,#149032,#68883,.T.); #182866=EDGE_CURVE('',#149033,#149031,#68884,.T.); #182867=EDGE_CURVE('',#149034,#149032,#68885,.T.); #182868=EDGE_CURVE('',#149033,#149034,#68886,.T.); #182869=EDGE_CURVE('',#149035,#149033,#68887,.T.); #182870=EDGE_CURVE('',#149036,#149034,#68888,.T.); #182871=EDGE_CURVE('',#149035,#149036,#68889,.T.); #182872=EDGE_CURVE('',#149037,#149035,#68890,.T.); #182873=EDGE_CURVE('',#149038,#149036,#68891,.T.); #182874=EDGE_CURVE('',#149037,#149038,#68892,.T.); #182875=EDGE_CURVE('',#149039,#149037,#68893,.T.); #182876=EDGE_CURVE('',#149040,#149038,#68894,.T.); #182877=EDGE_CURVE('',#149039,#149040,#68895,.T.); #182878=EDGE_CURVE('',#149041,#149039,#68896,.T.); #182879=EDGE_CURVE('',#149042,#149040,#68897,.T.); #182880=EDGE_CURVE('',#149041,#149042,#68898,.T.); #182881=EDGE_CURVE('',#149043,#149041,#68899,.T.); #182882=EDGE_CURVE('',#149044,#149042,#68900,.T.); #182883=EDGE_CURVE('',#149043,#149044,#68901,.T.); #182884=EDGE_CURVE('',#149045,#149043,#68902,.T.); #182885=EDGE_CURVE('',#149046,#149044,#68903,.T.); #182886=EDGE_CURVE('',#149045,#149046,#68904,.T.); #182887=EDGE_CURVE('',#149047,#149045,#68905,.T.); #182888=EDGE_CURVE('',#149048,#149046,#68906,.T.); #182889=EDGE_CURVE('',#149047,#149048,#68907,.T.); #182890=EDGE_CURVE('',#149049,#149047,#68908,.T.); #182891=EDGE_CURVE('',#149050,#149048,#68909,.T.); #182892=EDGE_CURVE('',#149049,#149050,#68910,.T.); #182893=EDGE_CURVE('',#149051,#149049,#68911,.T.); #182894=EDGE_CURVE('',#149052,#149050,#68912,.T.); #182895=EDGE_CURVE('',#149051,#149052,#68913,.T.); #182896=EDGE_CURVE('',#149053,#149051,#68914,.T.); #182897=EDGE_CURVE('',#149054,#149052,#68915,.T.); #182898=EDGE_CURVE('',#149053,#149054,#68916,.T.); #182899=EDGE_CURVE('',#149055,#149053,#68917,.T.); #182900=EDGE_CURVE('',#149056,#149054,#68918,.T.); #182901=EDGE_CURVE('',#149055,#149056,#68919,.T.); #182902=EDGE_CURVE('',#149057,#149055,#68920,.T.); #182903=EDGE_CURVE('',#149058,#149056,#68921,.T.); #182904=EDGE_CURVE('',#149057,#149058,#68922,.T.); #182905=EDGE_CURVE('',#149059,#149057,#68923,.T.); #182906=EDGE_CURVE('',#149060,#149058,#68924,.T.); #182907=EDGE_CURVE('',#149059,#149060,#68925,.T.); #182908=EDGE_CURVE('',#149061,#149059,#68926,.T.); #182909=EDGE_CURVE('',#149062,#149060,#68927,.T.); #182910=EDGE_CURVE('',#149061,#149062,#68928,.T.); #182911=EDGE_CURVE('',#149063,#149061,#68929,.T.); #182912=EDGE_CURVE('',#149064,#149062,#68930,.T.); #182913=EDGE_CURVE('',#149063,#149064,#68931,.T.); #182914=EDGE_CURVE('',#149065,#149063,#68932,.T.); #182915=EDGE_CURVE('',#149066,#149064,#68933,.T.); #182916=EDGE_CURVE('',#149065,#149066,#68934,.T.); #182917=EDGE_CURVE('',#149067,#149065,#68935,.T.); #182918=EDGE_CURVE('',#149068,#149066,#68936,.T.); #182919=EDGE_CURVE('',#149067,#149068,#68937,.T.); #182920=EDGE_CURVE('',#149069,#149067,#68938,.T.); #182921=EDGE_CURVE('',#149070,#149068,#68939,.T.); #182922=EDGE_CURVE('',#149069,#149070,#68940,.T.); #182923=EDGE_CURVE('',#149071,#149069,#68941,.T.); #182924=EDGE_CURVE('',#149072,#149070,#68942,.T.); #182925=EDGE_CURVE('',#149071,#149072,#68943,.T.); #182926=EDGE_CURVE('',#149073,#149071,#68944,.T.); #182927=EDGE_CURVE('',#149074,#149072,#68945,.T.); #182928=EDGE_CURVE('',#149073,#149074,#68946,.T.); #182929=EDGE_CURVE('',#149075,#149073,#68947,.T.); #182930=EDGE_CURVE('',#149076,#149074,#68948,.T.); #182931=EDGE_CURVE('',#149075,#149076,#68949,.T.); #182932=EDGE_CURVE('',#149077,#149075,#68950,.T.); #182933=EDGE_CURVE('',#149078,#149076,#68951,.T.); #182934=EDGE_CURVE('',#149077,#149078,#68952,.T.); #182935=EDGE_CURVE('',#149079,#149077,#68953,.T.); #182936=EDGE_CURVE('',#149080,#149078,#68954,.T.); #182937=EDGE_CURVE('',#149079,#149080,#68955,.T.); #182938=EDGE_CURVE('',#149081,#149079,#68956,.T.); #182939=EDGE_CURVE('',#149082,#149080,#68957,.T.); #182940=EDGE_CURVE('',#149081,#149082,#68958,.T.); #182941=EDGE_CURVE('',#149083,#149081,#68959,.T.); #182942=EDGE_CURVE('',#149084,#149082,#68960,.T.); #182943=EDGE_CURVE('',#149083,#149084,#68961,.T.); #182944=EDGE_CURVE('',#149085,#149083,#68962,.T.); #182945=EDGE_CURVE('',#149086,#149084,#68963,.T.); #182946=EDGE_CURVE('',#149085,#149086,#68964,.T.); #182947=EDGE_CURVE('',#149087,#149085,#68965,.T.); #182948=EDGE_CURVE('',#149088,#149086,#68966,.T.); #182949=EDGE_CURVE('',#149087,#149088,#68967,.T.); #182950=EDGE_CURVE('',#149089,#149087,#68968,.T.); #182951=EDGE_CURVE('',#149090,#149088,#68969,.T.); #182952=EDGE_CURVE('',#149089,#149090,#68970,.T.); #182953=EDGE_CURVE('',#149091,#149089,#68971,.T.); #182954=EDGE_CURVE('',#149092,#149090,#68972,.T.); #182955=EDGE_CURVE('',#149091,#149092,#68973,.T.); #182956=EDGE_CURVE('',#149093,#149091,#68974,.T.); #182957=EDGE_CURVE('',#149094,#149092,#68975,.T.); #182958=EDGE_CURVE('',#149093,#149094,#68976,.T.); #182959=EDGE_CURVE('',#149095,#149093,#68977,.T.); #182960=EDGE_CURVE('',#149096,#149094,#68978,.T.); #182961=EDGE_CURVE('',#149095,#149096,#68979,.T.); #182962=EDGE_CURVE('',#149097,#149095,#68980,.T.); #182963=EDGE_CURVE('',#149098,#149096,#68981,.T.); #182964=EDGE_CURVE('',#149097,#149098,#68982,.T.); #182965=EDGE_CURVE('',#149099,#149097,#68983,.T.); #182966=EDGE_CURVE('',#149100,#149098,#68984,.T.); #182967=EDGE_CURVE('',#149099,#149100,#68985,.T.); #182968=EDGE_CURVE('',#149101,#149099,#68986,.T.); #182969=EDGE_CURVE('',#149102,#149100,#68987,.T.); #182970=EDGE_CURVE('',#149101,#149102,#68988,.T.); #182971=EDGE_CURVE('',#149103,#149101,#68989,.T.); #182972=EDGE_CURVE('',#149104,#149102,#68990,.T.); #182973=EDGE_CURVE('',#149103,#149104,#68991,.T.); #182974=EDGE_CURVE('',#149105,#149103,#68992,.T.); #182975=EDGE_CURVE('',#149106,#149104,#68993,.T.); #182976=EDGE_CURVE('',#149105,#149106,#68994,.T.); #182977=EDGE_CURVE('',#149107,#149105,#68995,.T.); #182978=EDGE_CURVE('',#149108,#149106,#68996,.T.); #182979=EDGE_CURVE('',#149107,#149108,#68997,.T.); #182980=EDGE_CURVE('',#149109,#149107,#68998,.T.); #182981=EDGE_CURVE('',#149110,#149108,#68999,.T.); #182982=EDGE_CURVE('',#149109,#149110,#69000,.T.); #182983=EDGE_CURVE('',#149111,#149109,#69001,.T.); #182984=EDGE_CURVE('',#149112,#149110,#69002,.T.); #182985=EDGE_CURVE('',#149111,#149112,#69003,.T.); #182986=EDGE_CURVE('',#149113,#149111,#69004,.T.); #182987=EDGE_CURVE('',#149114,#149112,#69005,.T.); #182988=EDGE_CURVE('',#149113,#149114,#69006,.T.); #182989=EDGE_CURVE('',#149115,#149113,#69007,.T.); #182990=EDGE_CURVE('',#149116,#149114,#69008,.T.); #182991=EDGE_CURVE('',#149115,#149116,#69009,.T.); #182992=EDGE_CURVE('',#149117,#149115,#69010,.T.); #182993=EDGE_CURVE('',#149118,#149116,#69011,.T.); #182994=EDGE_CURVE('',#149117,#149118,#69012,.T.); #182995=EDGE_CURVE('',#149119,#149117,#69013,.T.); #182996=EDGE_CURVE('',#149120,#149118,#69014,.T.); #182997=EDGE_CURVE('',#149119,#149120,#69015,.T.); #182998=EDGE_CURVE('',#149121,#149119,#69016,.T.); #182999=EDGE_CURVE('',#149122,#149120,#69017,.T.); #183000=EDGE_CURVE('',#149121,#149122,#69018,.T.); #183001=EDGE_CURVE('',#149123,#149121,#69019,.T.); #183002=EDGE_CURVE('',#149124,#149122,#69020,.T.); #183003=EDGE_CURVE('',#149123,#149124,#69021,.T.); #183004=EDGE_CURVE('',#149125,#149123,#69022,.T.); #183005=EDGE_CURVE('',#149126,#149124,#69023,.T.); #183006=EDGE_CURVE('',#149125,#149126,#69024,.T.); #183007=EDGE_CURVE('',#149127,#149125,#69025,.T.); #183008=EDGE_CURVE('',#149128,#149126,#69026,.T.); #183009=EDGE_CURVE('',#149127,#149128,#69027,.T.); #183010=EDGE_CURVE('',#149129,#149127,#69028,.T.); #183011=EDGE_CURVE('',#149130,#149128,#69029,.T.); #183012=EDGE_CURVE('',#149129,#149130,#69030,.T.); #183013=EDGE_CURVE('',#149131,#149129,#69031,.T.); #183014=EDGE_CURVE('',#149132,#149130,#69032,.T.); #183015=EDGE_CURVE('',#149131,#149132,#69033,.T.); #183016=EDGE_CURVE('',#149133,#149131,#69034,.T.); #183017=EDGE_CURVE('',#149134,#149132,#69035,.T.); #183018=EDGE_CURVE('',#149133,#149134,#69036,.T.); #183019=EDGE_CURVE('',#149135,#149133,#69037,.T.); #183020=EDGE_CURVE('',#149136,#149134,#69038,.T.); #183021=EDGE_CURVE('',#149135,#149136,#69039,.T.); #183022=EDGE_CURVE('',#149137,#149135,#69040,.T.); #183023=EDGE_CURVE('',#149138,#149136,#69041,.T.); #183024=EDGE_CURVE('',#149137,#149138,#69042,.T.); #183025=EDGE_CURVE('',#149139,#149137,#69043,.T.); #183026=EDGE_CURVE('',#149140,#149138,#69044,.T.); #183027=EDGE_CURVE('',#149139,#149140,#69045,.T.); #183028=EDGE_CURVE('',#149141,#149139,#69046,.T.); #183029=EDGE_CURVE('',#149142,#149140,#69047,.T.); #183030=EDGE_CURVE('',#149141,#149142,#69048,.T.); #183031=EDGE_CURVE('',#149143,#149141,#69049,.T.); #183032=EDGE_CURVE('',#149144,#149142,#69050,.T.); #183033=EDGE_CURVE('',#149143,#149144,#69051,.T.); #183034=EDGE_CURVE('',#149145,#149143,#69052,.T.); #183035=EDGE_CURVE('',#149146,#149144,#69053,.T.); #183036=EDGE_CURVE('',#149145,#149146,#69054,.T.); #183037=EDGE_CURVE('',#149147,#149145,#69055,.T.); #183038=EDGE_CURVE('',#149148,#149146,#69056,.T.); #183039=EDGE_CURVE('',#149147,#149148,#69057,.T.); #183040=EDGE_CURVE('',#149149,#149147,#69058,.T.); #183041=EDGE_CURVE('',#149150,#149148,#69059,.T.); #183042=EDGE_CURVE('',#149149,#149150,#69060,.T.); #183043=EDGE_CURVE('',#149151,#149149,#69061,.T.); #183044=EDGE_CURVE('',#149152,#149150,#69062,.T.); #183045=EDGE_CURVE('',#149151,#149152,#69063,.T.); #183046=EDGE_CURVE('',#149153,#149151,#69064,.T.); #183047=EDGE_CURVE('',#149154,#149152,#69065,.T.); #183048=EDGE_CURVE('',#149153,#149154,#69066,.T.); #183049=EDGE_CURVE('',#149155,#149153,#69067,.T.); #183050=EDGE_CURVE('',#149156,#149154,#69068,.T.); #183051=EDGE_CURVE('',#149155,#149156,#69069,.T.); #183052=EDGE_CURVE('',#149157,#149155,#69070,.T.); #183053=EDGE_CURVE('',#149158,#149156,#69071,.T.); #183054=EDGE_CURVE('',#149157,#149158,#69072,.T.); #183055=EDGE_CURVE('',#149159,#149157,#69073,.T.); #183056=EDGE_CURVE('',#149160,#149158,#69074,.T.); #183057=EDGE_CURVE('',#149159,#149160,#69075,.T.); #183058=EDGE_CURVE('',#149161,#149159,#69076,.T.); #183059=EDGE_CURVE('',#149162,#149160,#69077,.T.); #183060=EDGE_CURVE('',#149161,#149162,#69078,.T.); #183061=EDGE_CURVE('',#149163,#149161,#69079,.T.); #183062=EDGE_CURVE('',#149164,#149162,#69080,.T.); #183063=EDGE_CURVE('',#149163,#149164,#69081,.T.); #183064=EDGE_CURVE('',#149165,#149163,#69082,.T.); #183065=EDGE_CURVE('',#149166,#149164,#69083,.T.); #183066=EDGE_CURVE('',#149165,#149166,#69084,.T.); #183067=EDGE_CURVE('',#149167,#149165,#69085,.T.); #183068=EDGE_CURVE('',#149168,#149166,#69086,.T.); #183069=EDGE_CURVE('',#149167,#149168,#69087,.T.); #183070=EDGE_CURVE('',#149169,#149167,#69088,.T.); #183071=EDGE_CURVE('',#149170,#149168,#69089,.T.); #183072=EDGE_CURVE('',#149169,#149170,#69090,.T.); #183073=EDGE_CURVE('',#149171,#149169,#69091,.T.); #183074=EDGE_CURVE('',#149172,#149170,#69092,.T.); #183075=EDGE_CURVE('',#149171,#149172,#69093,.T.); #183076=EDGE_CURVE('',#149173,#149171,#69094,.T.); #183077=EDGE_CURVE('',#149174,#149172,#69095,.T.); #183078=EDGE_CURVE('',#149173,#149174,#69096,.T.); #183079=EDGE_CURVE('',#149175,#149173,#69097,.T.); #183080=EDGE_CURVE('',#149176,#149174,#69098,.T.); #183081=EDGE_CURVE('',#149175,#149176,#69099,.T.); #183082=EDGE_CURVE('',#149177,#149175,#69100,.T.); #183083=EDGE_CURVE('',#149178,#149176,#69101,.T.); #183084=EDGE_CURVE('',#149177,#149178,#69102,.T.); #183085=EDGE_CURVE('',#149179,#149177,#69103,.T.); #183086=EDGE_CURVE('',#149180,#149178,#69104,.T.); #183087=EDGE_CURVE('',#149179,#149180,#69105,.T.); #183088=EDGE_CURVE('',#149181,#149179,#69106,.T.); #183089=EDGE_CURVE('',#149182,#149180,#69107,.T.); #183090=EDGE_CURVE('',#149181,#149182,#69108,.T.); #183091=EDGE_CURVE('',#149183,#149181,#69109,.T.); #183092=EDGE_CURVE('',#149184,#149182,#69110,.T.); #183093=EDGE_CURVE('',#149183,#149184,#69111,.T.); #183094=EDGE_CURVE('',#149185,#149183,#69112,.T.); #183095=EDGE_CURVE('',#149186,#149184,#69113,.T.); #183096=EDGE_CURVE('',#149185,#149186,#69114,.T.); #183097=EDGE_CURVE('',#149187,#149185,#69115,.T.); #183098=EDGE_CURVE('',#149188,#149186,#69116,.T.); #183099=EDGE_CURVE('',#149187,#149188,#69117,.T.); #183100=EDGE_CURVE('',#149189,#149187,#69118,.T.); #183101=EDGE_CURVE('',#149190,#149188,#69119,.T.); #183102=EDGE_CURVE('',#149189,#149190,#69120,.T.); #183103=EDGE_CURVE('',#149191,#149189,#69121,.T.); #183104=EDGE_CURVE('',#149192,#149190,#69122,.T.); #183105=EDGE_CURVE('',#149191,#149192,#69123,.T.); #183106=EDGE_CURVE('',#149193,#149191,#69124,.T.); #183107=EDGE_CURVE('',#149194,#149192,#69125,.T.); #183108=EDGE_CURVE('',#149193,#149194,#69126,.T.); #183109=EDGE_CURVE('',#149195,#149193,#69127,.T.); #183110=EDGE_CURVE('',#149196,#149194,#69128,.T.); #183111=EDGE_CURVE('',#149195,#149196,#69129,.T.); #183112=EDGE_CURVE('',#149197,#149195,#69130,.T.); #183113=EDGE_CURVE('',#149198,#149196,#69131,.T.); #183114=EDGE_CURVE('',#149197,#149198,#69132,.T.); #183115=EDGE_CURVE('',#149199,#149197,#69133,.T.); #183116=EDGE_CURVE('',#149200,#149198,#69134,.T.); #183117=EDGE_CURVE('',#149199,#149200,#69135,.T.); #183118=EDGE_CURVE('',#149201,#149199,#69136,.T.); #183119=EDGE_CURVE('',#149202,#149200,#69137,.T.); #183120=EDGE_CURVE('',#149201,#149202,#69138,.T.); #183121=EDGE_CURVE('',#149203,#149201,#69139,.T.); #183122=EDGE_CURVE('',#149204,#149202,#69140,.T.); #183123=EDGE_CURVE('',#149203,#149204,#69141,.T.); #183124=EDGE_CURVE('',#149205,#149203,#69142,.T.); #183125=EDGE_CURVE('',#149206,#149204,#69143,.T.); #183126=EDGE_CURVE('',#149205,#149206,#69144,.T.); #183127=EDGE_CURVE('',#149207,#149205,#69145,.T.); #183128=EDGE_CURVE('',#149208,#149206,#69146,.T.); #183129=EDGE_CURVE('',#149207,#149208,#69147,.T.); #183130=EDGE_CURVE('',#149209,#149207,#69148,.T.); #183131=EDGE_CURVE('',#149210,#149208,#69149,.T.); #183132=EDGE_CURVE('',#149209,#149210,#69150,.T.); #183133=EDGE_CURVE('',#149211,#149209,#69151,.T.); #183134=EDGE_CURVE('',#149212,#149210,#69152,.T.); #183135=EDGE_CURVE('',#149211,#149212,#69153,.T.); #183136=EDGE_CURVE('',#149213,#149211,#69154,.T.); #183137=EDGE_CURVE('',#149214,#149212,#69155,.T.); #183138=EDGE_CURVE('',#149213,#149214,#69156,.T.); #183139=EDGE_CURVE('',#149215,#149213,#69157,.T.); #183140=EDGE_CURVE('',#149216,#149214,#69158,.T.); #183141=EDGE_CURVE('',#149215,#149216,#69159,.T.); #183142=EDGE_CURVE('',#149217,#149215,#69160,.T.); #183143=EDGE_CURVE('',#149218,#149216,#69161,.T.); #183144=EDGE_CURVE('',#149217,#149218,#69162,.T.); #183145=EDGE_CURVE('',#149219,#149217,#69163,.T.); #183146=EDGE_CURVE('',#149220,#149218,#69164,.T.); #183147=EDGE_CURVE('',#149219,#149220,#69165,.T.); #183148=EDGE_CURVE('',#149221,#149219,#69166,.T.); #183149=EDGE_CURVE('',#149222,#149220,#69167,.T.); #183150=EDGE_CURVE('',#149221,#149222,#69168,.T.); #183151=EDGE_CURVE('',#149223,#149221,#69169,.T.); #183152=EDGE_CURVE('',#149224,#149222,#69170,.T.); #183153=EDGE_CURVE('',#149223,#149224,#69171,.T.); #183154=EDGE_CURVE('',#149225,#149223,#69172,.T.); #183155=EDGE_CURVE('',#149226,#149224,#69173,.T.); #183156=EDGE_CURVE('',#149225,#149226,#69174,.T.); #183157=EDGE_CURVE('',#149227,#149225,#69175,.T.); #183158=EDGE_CURVE('',#149228,#149226,#69176,.T.); #183159=EDGE_CURVE('',#149227,#149228,#69177,.T.); #183160=EDGE_CURVE('',#149229,#149227,#69178,.T.); #183161=EDGE_CURVE('',#149230,#149228,#69179,.T.); #183162=EDGE_CURVE('',#149229,#149230,#69180,.T.); #183163=EDGE_CURVE('',#149231,#149229,#69181,.T.); #183164=EDGE_CURVE('',#149232,#149230,#69182,.T.); #183165=EDGE_CURVE('',#149231,#149232,#69183,.T.); #183166=EDGE_CURVE('',#149233,#149231,#69184,.T.); #183167=EDGE_CURVE('',#149234,#149232,#69185,.T.); #183168=EDGE_CURVE('',#149233,#149234,#69186,.T.); #183169=EDGE_CURVE('',#149235,#149233,#69187,.T.); #183170=EDGE_CURVE('',#149236,#149234,#69188,.T.); #183171=EDGE_CURVE('',#149235,#149236,#69189,.T.); #183172=EDGE_CURVE('',#149237,#149235,#69190,.T.); #183173=EDGE_CURVE('',#149238,#149236,#69191,.T.); #183174=EDGE_CURVE('',#149237,#149238,#69192,.T.); #183175=EDGE_CURVE('',#149239,#149237,#69193,.T.); #183176=EDGE_CURVE('',#149240,#149238,#69194,.T.); #183177=EDGE_CURVE('',#149239,#149240,#69195,.T.); #183178=EDGE_CURVE('',#149241,#149239,#69196,.T.); #183179=EDGE_CURVE('',#149242,#149240,#69197,.T.); #183180=EDGE_CURVE('',#149241,#149242,#69198,.T.); #183181=EDGE_CURVE('',#149243,#149241,#69199,.T.); #183182=EDGE_CURVE('',#149244,#149242,#69200,.T.); #183183=EDGE_CURVE('',#149243,#149244,#69201,.T.); #183184=EDGE_CURVE('',#149245,#149243,#69202,.T.); #183185=EDGE_CURVE('',#149246,#149244,#69203,.T.); #183186=EDGE_CURVE('',#149245,#149246,#69204,.T.); #183187=EDGE_CURVE('',#149247,#149245,#69205,.T.); #183188=EDGE_CURVE('',#149248,#149246,#69206,.T.); #183189=EDGE_CURVE('',#149247,#149248,#69207,.T.); #183190=EDGE_CURVE('',#149249,#149247,#69208,.T.); #183191=EDGE_CURVE('',#149250,#149248,#69209,.T.); #183192=EDGE_CURVE('',#149249,#149250,#69210,.T.); #183193=EDGE_CURVE('',#149251,#149249,#69211,.T.); #183194=EDGE_CURVE('',#149252,#149250,#69212,.T.); #183195=EDGE_CURVE('',#149251,#149252,#69213,.T.); #183196=EDGE_CURVE('',#149253,#149251,#69214,.T.); #183197=EDGE_CURVE('',#149254,#149252,#69215,.T.); #183198=EDGE_CURVE('',#149253,#149254,#69216,.T.); #183199=EDGE_CURVE('',#149255,#149253,#69217,.T.); #183200=EDGE_CURVE('',#149256,#149254,#69218,.T.); #183201=EDGE_CURVE('',#149255,#149256,#69219,.T.); #183202=EDGE_CURVE('',#149257,#149255,#69220,.T.); #183203=EDGE_CURVE('',#149258,#149256,#69221,.T.); #183204=EDGE_CURVE('',#149257,#149258,#69222,.T.); #183205=EDGE_CURVE('',#149259,#149257,#69223,.T.); #183206=EDGE_CURVE('',#149260,#149258,#69224,.T.); #183207=EDGE_CURVE('',#149259,#149260,#69225,.T.); #183208=EDGE_CURVE('',#149261,#149259,#69226,.T.); #183209=EDGE_CURVE('',#149262,#149260,#69227,.T.); #183210=EDGE_CURVE('',#149261,#149262,#69228,.T.); #183211=EDGE_CURVE('',#149263,#149261,#69229,.T.); #183212=EDGE_CURVE('',#149264,#149262,#69230,.T.); #183213=EDGE_CURVE('',#149263,#149264,#69231,.T.); #183214=EDGE_CURVE('',#149265,#149263,#69232,.T.); #183215=EDGE_CURVE('',#149266,#149264,#69233,.T.); #183216=EDGE_CURVE('',#149265,#149266,#69234,.T.); #183217=EDGE_CURVE('',#149267,#149265,#69235,.T.); #183218=EDGE_CURVE('',#149268,#149266,#69236,.T.); #183219=EDGE_CURVE('',#149267,#149268,#69237,.T.); #183220=EDGE_CURVE('',#149269,#149267,#69238,.T.); #183221=EDGE_CURVE('',#149270,#149268,#69239,.T.); #183222=EDGE_CURVE('',#149269,#149270,#69240,.T.); #183223=EDGE_CURVE('',#149271,#149269,#69241,.T.); #183224=EDGE_CURVE('',#149272,#149270,#69242,.T.); #183225=EDGE_CURVE('',#149271,#149272,#69243,.T.); #183226=EDGE_CURVE('',#149273,#149271,#69244,.T.); #183227=EDGE_CURVE('',#149274,#149272,#69245,.T.); #183228=EDGE_CURVE('',#149273,#149274,#69246,.T.); #183229=EDGE_CURVE('',#149275,#149273,#69247,.T.); #183230=EDGE_CURVE('',#149276,#149274,#69248,.T.); #183231=EDGE_CURVE('',#149275,#149276,#69249,.T.); #183232=EDGE_CURVE('',#149277,#149275,#69250,.T.); #183233=EDGE_CURVE('',#149278,#149276,#69251,.T.); #183234=EDGE_CURVE('',#149277,#149278,#69252,.T.); #183235=EDGE_CURVE('',#149279,#149277,#69253,.T.); #183236=EDGE_CURVE('',#149280,#149278,#69254,.T.); #183237=EDGE_CURVE('',#149279,#149280,#69255,.T.); #183238=EDGE_CURVE('',#149281,#149279,#69256,.T.); #183239=EDGE_CURVE('',#149282,#149280,#69257,.T.); #183240=EDGE_CURVE('',#149281,#149282,#69258,.T.); #183241=EDGE_CURVE('',#149283,#149281,#69259,.T.); #183242=EDGE_CURVE('',#149284,#149282,#69260,.T.); #183243=EDGE_CURVE('',#149283,#149284,#69261,.T.); #183244=EDGE_CURVE('',#149285,#149283,#69262,.T.); #183245=EDGE_CURVE('',#149286,#149284,#69263,.T.); #183246=EDGE_CURVE('',#149285,#149286,#69264,.T.); #183247=EDGE_CURVE('',#149287,#149285,#69265,.T.); #183248=EDGE_CURVE('',#149288,#149286,#69266,.T.); #183249=EDGE_CURVE('',#149287,#149288,#69267,.T.); #183250=EDGE_CURVE('',#149289,#149287,#69268,.T.); #183251=EDGE_CURVE('',#149290,#149288,#69269,.T.); #183252=EDGE_CURVE('',#149289,#149290,#69270,.T.); #183253=EDGE_CURVE('',#149291,#149289,#69271,.T.); #183254=EDGE_CURVE('',#149292,#149290,#69272,.T.); #183255=EDGE_CURVE('',#149291,#149292,#69273,.T.); #183256=EDGE_CURVE('',#149293,#149291,#69274,.T.); #183257=EDGE_CURVE('',#149294,#149292,#69275,.T.); #183258=EDGE_CURVE('',#149293,#149294,#69276,.T.); #183259=EDGE_CURVE('',#149295,#149293,#69277,.T.); #183260=EDGE_CURVE('',#149296,#149294,#69278,.T.); #183261=EDGE_CURVE('',#149295,#149296,#69279,.T.); #183262=EDGE_CURVE('',#149297,#149295,#69280,.T.); #183263=EDGE_CURVE('',#149298,#149296,#69281,.T.); #183264=EDGE_CURVE('',#149297,#149298,#69282,.T.); #183265=EDGE_CURVE('',#149299,#149297,#69283,.T.); #183266=EDGE_CURVE('',#149300,#149298,#69284,.T.); #183267=EDGE_CURVE('',#149299,#149300,#69285,.T.); #183268=EDGE_CURVE('',#149301,#149299,#69286,.T.); #183269=EDGE_CURVE('',#149302,#149300,#69287,.T.); #183270=EDGE_CURVE('',#149301,#149302,#69288,.T.); #183271=EDGE_CURVE('',#149303,#149301,#69289,.T.); #183272=EDGE_CURVE('',#149304,#149302,#69290,.T.); #183273=EDGE_CURVE('',#149303,#149304,#69291,.T.); #183274=EDGE_CURVE('',#149305,#149303,#69292,.T.); #183275=EDGE_CURVE('',#149306,#149304,#69293,.T.); #183276=EDGE_CURVE('',#149305,#149306,#69294,.T.); #183277=EDGE_CURVE('',#149307,#149305,#69295,.T.); #183278=EDGE_CURVE('',#149308,#149306,#69296,.T.); #183279=EDGE_CURVE('',#149307,#149308,#69297,.T.); #183280=EDGE_CURVE('',#149309,#149307,#69298,.T.); #183281=EDGE_CURVE('',#149310,#149308,#69299,.T.); #183282=EDGE_CURVE('',#149309,#149310,#69300,.T.); #183283=EDGE_CURVE('',#149311,#149309,#69301,.T.); #183284=EDGE_CURVE('',#149312,#149310,#69302,.T.); #183285=EDGE_CURVE('',#149311,#149312,#69303,.T.); #183286=EDGE_CURVE('',#149313,#149311,#69304,.T.); #183287=EDGE_CURVE('',#149314,#149312,#69305,.T.); #183288=EDGE_CURVE('',#149313,#149314,#69306,.T.); #183289=EDGE_CURVE('',#149315,#149313,#69307,.T.); #183290=EDGE_CURVE('',#149316,#149314,#69308,.T.); #183291=EDGE_CURVE('',#149315,#149316,#69309,.T.); #183292=EDGE_CURVE('',#149317,#149315,#69310,.T.); #183293=EDGE_CURVE('',#149318,#149316,#69311,.T.); #183294=EDGE_CURVE('',#149317,#149318,#69312,.T.); #183295=EDGE_CURVE('',#149319,#149317,#69313,.T.); #183296=EDGE_CURVE('',#149320,#149318,#69314,.T.); #183297=EDGE_CURVE('',#149319,#149320,#69315,.T.); #183298=EDGE_CURVE('',#149321,#149319,#69316,.T.); #183299=EDGE_CURVE('',#149322,#149320,#69317,.T.); #183300=EDGE_CURVE('',#149321,#149322,#69318,.T.); #183301=EDGE_CURVE('',#149323,#149321,#69319,.T.); #183302=EDGE_CURVE('',#149324,#149322,#69320,.T.); #183303=EDGE_CURVE('',#149323,#149324,#69321,.T.); #183304=EDGE_CURVE('',#149325,#149323,#69322,.T.); #183305=EDGE_CURVE('',#149326,#149324,#69323,.T.); #183306=EDGE_CURVE('',#149325,#149326,#69324,.T.); #183307=EDGE_CURVE('',#149327,#149325,#69325,.T.); #183308=EDGE_CURVE('',#149328,#149326,#69326,.T.); #183309=EDGE_CURVE('',#149327,#149328,#69327,.T.); #183310=EDGE_CURVE('',#149329,#149327,#69328,.T.); #183311=EDGE_CURVE('',#149330,#149328,#69329,.T.); #183312=EDGE_CURVE('',#149329,#149330,#69330,.T.); #183313=EDGE_CURVE('',#149331,#149329,#69331,.T.); #183314=EDGE_CURVE('',#149332,#149330,#69332,.T.); #183315=EDGE_CURVE('',#149331,#149332,#69333,.T.); #183316=EDGE_CURVE('',#149333,#149331,#69334,.T.); #183317=EDGE_CURVE('',#149334,#149332,#69335,.T.); #183318=EDGE_CURVE('',#149333,#149334,#69336,.T.); #183319=EDGE_CURVE('',#149335,#149333,#69337,.T.); #183320=EDGE_CURVE('',#149336,#149334,#69338,.T.); #183321=EDGE_CURVE('',#149335,#149336,#69339,.T.); #183322=EDGE_CURVE('',#149337,#149335,#69340,.T.); #183323=EDGE_CURVE('',#149338,#149336,#69341,.T.); #183324=EDGE_CURVE('',#149337,#149338,#69342,.T.); #183325=EDGE_CURVE('',#149339,#149337,#69343,.T.); #183326=EDGE_CURVE('',#149340,#149338,#69344,.T.); #183327=EDGE_CURVE('',#149339,#149340,#69345,.T.); #183328=EDGE_CURVE('',#149341,#149339,#69346,.T.); #183329=EDGE_CURVE('',#149342,#149340,#69347,.T.); #183330=EDGE_CURVE('',#149341,#149342,#69348,.T.); #183331=EDGE_CURVE('',#149343,#149341,#69349,.T.); #183332=EDGE_CURVE('',#149344,#149342,#69350,.T.); #183333=EDGE_CURVE('',#149343,#149344,#69351,.T.); #183334=EDGE_CURVE('',#149345,#149343,#69352,.T.); #183335=EDGE_CURVE('',#149346,#149344,#69353,.T.); #183336=EDGE_CURVE('',#149345,#149346,#69354,.T.); #183337=EDGE_CURVE('',#149347,#149345,#69355,.T.); #183338=EDGE_CURVE('',#149348,#149346,#69356,.T.); #183339=EDGE_CURVE('',#149347,#149348,#69357,.T.); #183340=EDGE_CURVE('',#149349,#149347,#69358,.T.); #183341=EDGE_CURVE('',#149350,#149348,#69359,.T.); #183342=EDGE_CURVE('',#149349,#149350,#69360,.T.); #183343=EDGE_CURVE('',#149351,#149349,#69361,.T.); #183344=EDGE_CURVE('',#149352,#149350,#69362,.T.); #183345=EDGE_CURVE('',#149351,#149352,#69363,.T.); #183346=EDGE_CURVE('',#149353,#149351,#69364,.T.); #183347=EDGE_CURVE('',#149354,#149352,#69365,.T.); #183348=EDGE_CURVE('',#149353,#149354,#69366,.T.); #183349=EDGE_CURVE('',#149355,#149353,#69367,.T.); #183350=EDGE_CURVE('',#149356,#149354,#69368,.T.); #183351=EDGE_CURVE('',#149355,#149356,#69369,.T.); #183352=EDGE_CURVE('',#149357,#149355,#69370,.T.); #183353=EDGE_CURVE('',#149358,#149356,#69371,.T.); #183354=EDGE_CURVE('',#149357,#149358,#69372,.T.); #183355=EDGE_CURVE('',#149359,#149357,#69373,.T.); #183356=EDGE_CURVE('',#149360,#149358,#69374,.T.); #183357=EDGE_CURVE('',#149359,#149360,#69375,.T.); #183358=EDGE_CURVE('',#149361,#149359,#69376,.T.); #183359=EDGE_CURVE('',#149362,#149360,#69377,.T.); #183360=EDGE_CURVE('',#149361,#149362,#69378,.T.); #183361=EDGE_CURVE('',#149363,#149361,#69379,.T.); #183362=EDGE_CURVE('',#149364,#149362,#69380,.T.); #183363=EDGE_CURVE('',#149363,#149364,#69381,.T.); #183364=EDGE_CURVE('',#149365,#149363,#69382,.T.); #183365=EDGE_CURVE('',#149366,#149364,#69383,.T.); #183366=EDGE_CURVE('',#149365,#149366,#69384,.T.); #183367=EDGE_CURVE('',#149367,#149365,#69385,.T.); #183368=EDGE_CURVE('',#149368,#149366,#69386,.T.); #183369=EDGE_CURVE('',#149367,#149368,#69387,.T.); #183370=EDGE_CURVE('',#149369,#149367,#69388,.T.); #183371=EDGE_CURVE('',#149370,#149368,#69389,.T.); #183372=EDGE_CURVE('',#149369,#149370,#69390,.T.); #183373=EDGE_CURVE('',#149371,#149369,#69391,.T.); #183374=EDGE_CURVE('',#149372,#149370,#69392,.T.); #183375=EDGE_CURVE('',#149371,#149372,#69393,.T.); #183376=EDGE_CURVE('',#149373,#149371,#69394,.T.); #183377=EDGE_CURVE('',#149374,#149372,#69395,.T.); #183378=EDGE_CURVE('',#149373,#149374,#69396,.T.); #183379=EDGE_CURVE('',#149375,#149373,#69397,.T.); #183380=EDGE_CURVE('',#149376,#149374,#69398,.T.); #183381=EDGE_CURVE('',#149375,#149376,#69399,.T.); #183382=EDGE_CURVE('',#149377,#149375,#69400,.T.); #183383=EDGE_CURVE('',#149378,#149376,#69401,.T.); #183384=EDGE_CURVE('',#149377,#149378,#69402,.T.); #183385=EDGE_CURVE('',#149379,#149377,#69403,.T.); #183386=EDGE_CURVE('',#149380,#149378,#69404,.T.); #183387=EDGE_CURVE('',#149379,#149380,#69405,.T.); #183388=EDGE_CURVE('',#149381,#149379,#69406,.T.); #183389=EDGE_CURVE('',#149382,#149380,#69407,.T.); #183390=EDGE_CURVE('',#149381,#149382,#69408,.T.); #183391=EDGE_CURVE('',#149383,#149381,#69409,.T.); #183392=EDGE_CURVE('',#149384,#149382,#69410,.T.); #183393=EDGE_CURVE('',#149383,#149384,#69411,.T.); #183394=EDGE_CURVE('',#149385,#149383,#69412,.T.); #183395=EDGE_CURVE('',#149386,#149384,#69413,.T.); #183396=EDGE_CURVE('',#149385,#149386,#69414,.T.); #183397=EDGE_CURVE('',#149387,#149385,#69415,.T.); #183398=EDGE_CURVE('',#149388,#149386,#69416,.T.); #183399=EDGE_CURVE('',#149387,#149388,#69417,.T.); #183400=EDGE_CURVE('',#149389,#149387,#69418,.T.); #183401=EDGE_CURVE('',#149390,#149388,#69419,.T.); #183402=EDGE_CURVE('',#149389,#149390,#69420,.T.); #183403=EDGE_CURVE('',#149391,#149389,#69421,.T.); #183404=EDGE_CURVE('',#149392,#149390,#69422,.T.); #183405=EDGE_CURVE('',#149391,#149392,#69423,.T.); #183406=EDGE_CURVE('',#149393,#149391,#69424,.T.); #183407=EDGE_CURVE('',#149394,#149392,#69425,.T.); #183408=EDGE_CURVE('',#149393,#149394,#69426,.T.); #183409=EDGE_CURVE('',#149395,#149393,#69427,.T.); #183410=EDGE_CURVE('',#149396,#149394,#69428,.T.); #183411=EDGE_CURVE('',#149395,#149396,#69429,.T.); #183412=EDGE_CURVE('',#149397,#149395,#69430,.T.); #183413=EDGE_CURVE('',#149398,#149396,#69431,.T.); #183414=EDGE_CURVE('',#149397,#149398,#69432,.T.); #183415=EDGE_CURVE('',#149399,#149397,#69433,.T.); #183416=EDGE_CURVE('',#149400,#149398,#69434,.T.); #183417=EDGE_CURVE('',#149399,#149400,#69435,.T.); #183418=EDGE_CURVE('',#149401,#149399,#69436,.T.); #183419=EDGE_CURVE('',#149402,#149400,#69437,.T.); #183420=EDGE_CURVE('',#149401,#149402,#69438,.T.); #183421=EDGE_CURVE('',#149403,#149401,#69439,.T.); #183422=EDGE_CURVE('',#149404,#149402,#69440,.T.); #183423=EDGE_CURVE('',#149403,#149404,#69441,.T.); #183424=EDGE_CURVE('',#149405,#149403,#69442,.T.); #183425=EDGE_CURVE('',#149406,#149404,#69443,.T.); #183426=EDGE_CURVE('',#149405,#149406,#69444,.T.); #183427=EDGE_CURVE('',#149407,#149405,#69445,.T.); #183428=EDGE_CURVE('',#149408,#149406,#69446,.T.); #183429=EDGE_CURVE('',#149407,#149408,#69447,.T.); #183430=EDGE_CURVE('',#149409,#149407,#69448,.T.); #183431=EDGE_CURVE('',#149410,#149408,#69449,.T.); #183432=EDGE_CURVE('',#149409,#149410,#69450,.T.); #183433=EDGE_CURVE('',#149411,#149409,#69451,.T.); #183434=EDGE_CURVE('',#149412,#149410,#69452,.T.); #183435=EDGE_CURVE('',#149411,#149412,#69453,.T.); #183436=EDGE_CURVE('',#149413,#149411,#69454,.T.); #183437=EDGE_CURVE('',#149414,#149412,#69455,.T.); #183438=EDGE_CURVE('',#149413,#149414,#69456,.T.); #183439=EDGE_CURVE('',#149415,#149413,#69457,.T.); #183440=EDGE_CURVE('',#149416,#149414,#69458,.T.); #183441=EDGE_CURVE('',#149415,#149416,#69459,.T.); #183442=EDGE_CURVE('',#149417,#149415,#69460,.T.); #183443=EDGE_CURVE('',#149418,#149416,#69461,.T.); #183444=EDGE_CURVE('',#149417,#149418,#69462,.T.); #183445=EDGE_CURVE('',#149419,#149417,#69463,.T.); #183446=EDGE_CURVE('',#149420,#149418,#69464,.T.); #183447=EDGE_CURVE('',#149419,#149420,#69465,.T.); #183448=EDGE_CURVE('',#149421,#149419,#69466,.T.); #183449=EDGE_CURVE('',#149422,#149420,#69467,.T.); #183450=EDGE_CURVE('',#149421,#149422,#69468,.T.); #183451=EDGE_CURVE('',#149423,#149421,#69469,.T.); #183452=EDGE_CURVE('',#149424,#149422,#69470,.T.); #183453=EDGE_CURVE('',#149423,#149424,#69471,.T.); #183454=EDGE_CURVE('',#149425,#149423,#69472,.T.); #183455=EDGE_CURVE('',#149426,#149424,#69473,.T.); #183456=EDGE_CURVE('',#149425,#149426,#69474,.T.); #183457=EDGE_CURVE('',#149427,#149425,#69475,.T.); #183458=EDGE_CURVE('',#149428,#149426,#69476,.T.); #183459=EDGE_CURVE('',#149427,#149428,#69477,.T.); #183460=EDGE_CURVE('',#149429,#149427,#69478,.T.); #183461=EDGE_CURVE('',#149430,#149428,#69479,.T.); #183462=EDGE_CURVE('',#149429,#149430,#69480,.T.); #183463=EDGE_CURVE('',#149431,#149429,#69481,.T.); #183464=EDGE_CURVE('',#149432,#149430,#69482,.T.); #183465=EDGE_CURVE('',#149431,#149432,#69483,.T.); #183466=EDGE_CURVE('',#149433,#149431,#69484,.T.); #183467=EDGE_CURVE('',#149434,#149432,#69485,.T.); #183468=EDGE_CURVE('',#149433,#149434,#69486,.T.); #183469=EDGE_CURVE('',#149435,#149433,#69487,.T.); #183470=EDGE_CURVE('',#149436,#149434,#69488,.T.); #183471=EDGE_CURVE('',#149435,#149436,#69489,.T.); #183472=EDGE_CURVE('',#149437,#149435,#69490,.T.); #183473=EDGE_CURVE('',#149438,#149436,#69491,.T.); #183474=EDGE_CURVE('',#149437,#149438,#69492,.T.); #183475=EDGE_CURVE('',#149439,#149437,#69493,.T.); #183476=EDGE_CURVE('',#149440,#149438,#69494,.T.); #183477=EDGE_CURVE('',#149439,#149440,#69495,.T.); #183478=EDGE_CURVE('',#149441,#149439,#69496,.T.); #183479=EDGE_CURVE('',#149442,#149440,#69497,.T.); #183480=EDGE_CURVE('',#149441,#149442,#69498,.T.); #183481=EDGE_CURVE('',#149443,#149441,#69499,.T.); #183482=EDGE_CURVE('',#149444,#149442,#69500,.T.); #183483=EDGE_CURVE('',#149443,#149444,#69501,.T.); #183484=EDGE_CURVE('',#149445,#149443,#69502,.T.); #183485=EDGE_CURVE('',#149446,#149444,#69503,.T.); #183486=EDGE_CURVE('',#149445,#149446,#69504,.T.); #183487=EDGE_CURVE('',#149447,#149445,#69505,.T.); #183488=EDGE_CURVE('',#149448,#149446,#69506,.T.); #183489=EDGE_CURVE('',#149447,#149448,#69507,.T.); #183490=EDGE_CURVE('',#149449,#149447,#69508,.T.); #183491=EDGE_CURVE('',#149450,#149448,#69509,.T.); #183492=EDGE_CURVE('',#149449,#149450,#69510,.T.); #183493=EDGE_CURVE('',#149451,#149449,#69511,.T.); #183494=EDGE_CURVE('',#149452,#149450,#69512,.T.); #183495=EDGE_CURVE('',#149451,#149452,#69513,.T.); #183496=EDGE_CURVE('',#149453,#149451,#69514,.T.); #183497=EDGE_CURVE('',#149454,#149452,#69515,.T.); #183498=EDGE_CURVE('',#149453,#149454,#69516,.T.); #183499=EDGE_CURVE('',#149455,#149453,#69517,.T.); #183500=EDGE_CURVE('',#149456,#149454,#69518,.T.); #183501=EDGE_CURVE('',#149455,#149456,#69519,.T.); #183502=EDGE_CURVE('',#149457,#149455,#69520,.T.); #183503=EDGE_CURVE('',#149458,#149456,#69521,.T.); #183504=EDGE_CURVE('',#149457,#149458,#69522,.T.); #183505=EDGE_CURVE('',#148868,#149457,#69523,.T.); #183506=EDGE_CURVE('',#148869,#149458,#69524,.T.); #183507=EDGE_CURVE('',#149459,#149460,#69525,.T.); #183508=EDGE_CURVE('',#149460,#149461,#69526,.T.); #183509=EDGE_CURVE('',#149462,#149461,#69527,.T.); #183510=EDGE_CURVE('',#149459,#149462,#69528,.T.); #183511=EDGE_CURVE('',#149463,#149459,#69529,.T.); #183512=EDGE_CURVE('',#149464,#149462,#69530,.T.); #183513=EDGE_CURVE('',#149463,#149464,#69531,.T.); #183514=EDGE_CURVE('',#149465,#149463,#69532,.T.); #183515=EDGE_CURVE('',#149466,#149464,#69533,.T.); #183516=EDGE_CURVE('',#149465,#149466,#69534,.T.); #183517=EDGE_CURVE('',#149467,#149465,#69535,.T.); #183518=EDGE_CURVE('',#149468,#149466,#69536,.T.); #183519=EDGE_CURVE('',#149467,#149468,#69537,.T.); #183520=EDGE_CURVE('',#149469,#149467,#69538,.T.); #183521=EDGE_CURVE('',#149470,#149468,#69539,.T.); #183522=EDGE_CURVE('',#149469,#149470,#69540,.T.); #183523=EDGE_CURVE('',#149471,#149469,#69541,.T.); #183524=EDGE_CURVE('',#149472,#149470,#69542,.T.); #183525=EDGE_CURVE('',#149471,#149472,#69543,.T.); #183526=EDGE_CURVE('',#149473,#149471,#69544,.T.); #183527=EDGE_CURVE('',#149474,#149472,#69545,.T.); #183528=EDGE_CURVE('',#149473,#149474,#69546,.T.); #183529=EDGE_CURVE('',#149475,#149473,#69547,.T.); #183530=EDGE_CURVE('',#149476,#149474,#69548,.T.); #183531=EDGE_CURVE('',#149475,#149476,#69549,.T.); #183532=EDGE_CURVE('',#149477,#149475,#69550,.T.); #183533=EDGE_CURVE('',#149478,#149476,#69551,.T.); #183534=EDGE_CURVE('',#149477,#149478,#69552,.T.); #183535=EDGE_CURVE('',#149479,#149477,#69553,.T.); #183536=EDGE_CURVE('',#149480,#149478,#69554,.T.); #183537=EDGE_CURVE('',#149479,#149480,#69555,.T.); #183538=EDGE_CURVE('',#149481,#149479,#69556,.T.); #183539=EDGE_CURVE('',#149482,#149480,#69557,.T.); #183540=EDGE_CURVE('',#149481,#149482,#69558,.T.); #183541=EDGE_CURVE('',#149483,#149481,#69559,.T.); #183542=EDGE_CURVE('',#149484,#149482,#69560,.T.); #183543=EDGE_CURVE('',#149483,#149484,#69561,.T.); #183544=EDGE_CURVE('',#149485,#149483,#69562,.T.); #183545=EDGE_CURVE('',#149486,#149484,#69563,.T.); #183546=EDGE_CURVE('',#149485,#149486,#69564,.T.); #183547=EDGE_CURVE('',#149487,#149485,#69565,.T.); #183548=EDGE_CURVE('',#149488,#149486,#69566,.T.); #183549=EDGE_CURVE('',#149487,#149488,#69567,.T.); #183550=EDGE_CURVE('',#149489,#149487,#69568,.T.); #183551=EDGE_CURVE('',#149490,#149488,#69569,.T.); #183552=EDGE_CURVE('',#149489,#149490,#69570,.T.); #183553=EDGE_CURVE('',#149491,#149489,#69571,.T.); #183554=EDGE_CURVE('',#149492,#149490,#69572,.T.); #183555=EDGE_CURVE('',#149491,#149492,#69573,.T.); #183556=EDGE_CURVE('',#149493,#149491,#69574,.T.); #183557=EDGE_CURVE('',#149494,#149492,#69575,.T.); #183558=EDGE_CURVE('',#149493,#149494,#69576,.T.); #183559=EDGE_CURVE('',#149495,#149493,#69577,.T.); #183560=EDGE_CURVE('',#149496,#149494,#69578,.T.); #183561=EDGE_CURVE('',#149495,#149496,#69579,.T.); #183562=EDGE_CURVE('',#149497,#149495,#69580,.T.); #183563=EDGE_CURVE('',#149498,#149496,#69581,.T.); #183564=EDGE_CURVE('',#149497,#149498,#69582,.T.); #183565=EDGE_CURVE('',#149499,#149497,#69583,.T.); #183566=EDGE_CURVE('',#149500,#149498,#69584,.T.); #183567=EDGE_CURVE('',#149499,#149500,#69585,.T.); #183568=EDGE_CURVE('',#149460,#149499,#69586,.T.); #183569=EDGE_CURVE('',#149461,#149500,#69587,.T.); #183570=EDGE_CURVE('',#149501,#149502,#69588,.T.); #183571=EDGE_CURVE('',#149502,#149503,#69589,.T.); #183572=EDGE_CURVE('',#149504,#149503,#69590,.T.); #183573=EDGE_CURVE('',#149501,#149504,#69591,.T.); #183574=EDGE_CURVE('',#149505,#149501,#69592,.T.); #183575=EDGE_CURVE('',#149506,#149504,#69593,.T.); #183576=EDGE_CURVE('',#149505,#149506,#69594,.T.); #183577=EDGE_CURVE('',#149507,#149505,#69595,.T.); #183578=EDGE_CURVE('',#149508,#149506,#69596,.T.); #183579=EDGE_CURVE('',#149507,#149508,#69597,.T.); #183580=EDGE_CURVE('',#149509,#149507,#69598,.T.); #183581=EDGE_CURVE('',#149510,#149508,#69599,.T.); #183582=EDGE_CURVE('',#149509,#149510,#69600,.T.); #183583=EDGE_CURVE('',#149511,#149509,#69601,.T.); #183584=EDGE_CURVE('',#149512,#149510,#69602,.T.); #183585=EDGE_CURVE('',#149511,#149512,#69603,.T.); #183586=EDGE_CURVE('',#149513,#149511,#69604,.T.); #183587=EDGE_CURVE('',#149514,#149512,#69605,.T.); #183588=EDGE_CURVE('',#149513,#149514,#69606,.T.); #183589=EDGE_CURVE('',#149515,#149513,#69607,.T.); #183590=EDGE_CURVE('',#149516,#149514,#69608,.T.); #183591=EDGE_CURVE('',#149515,#149516,#69609,.T.); #183592=EDGE_CURVE('',#149517,#149515,#69610,.T.); #183593=EDGE_CURVE('',#149518,#149516,#69611,.T.); #183594=EDGE_CURVE('',#149517,#149518,#69612,.T.); #183595=EDGE_CURVE('',#149519,#149517,#69613,.T.); #183596=EDGE_CURVE('',#149520,#149518,#69614,.T.); #183597=EDGE_CURVE('',#149519,#149520,#69615,.T.); #183598=EDGE_CURVE('',#149521,#149519,#69616,.T.); #183599=EDGE_CURVE('',#149522,#149520,#69617,.T.); #183600=EDGE_CURVE('',#149521,#149522,#69618,.T.); #183601=EDGE_CURVE('',#149523,#149521,#69619,.T.); #183602=EDGE_CURVE('',#149524,#149522,#69620,.T.); #183603=EDGE_CURVE('',#149523,#149524,#69621,.T.); #183604=EDGE_CURVE('',#149525,#149523,#69622,.T.); #183605=EDGE_CURVE('',#149526,#149524,#69623,.T.); #183606=EDGE_CURVE('',#149525,#149526,#69624,.T.); #183607=EDGE_CURVE('',#149527,#149525,#69625,.T.); #183608=EDGE_CURVE('',#149528,#149526,#69626,.T.); #183609=EDGE_CURVE('',#149527,#149528,#69627,.T.); #183610=EDGE_CURVE('',#149529,#149527,#69628,.T.); #183611=EDGE_CURVE('',#149530,#149528,#69629,.T.); #183612=EDGE_CURVE('',#149529,#149530,#69630,.T.); #183613=EDGE_CURVE('',#149531,#149529,#69631,.T.); #183614=EDGE_CURVE('',#149532,#149530,#69632,.T.); #183615=EDGE_CURVE('',#149531,#149532,#69633,.T.); #183616=EDGE_CURVE('',#149533,#149531,#69634,.T.); #183617=EDGE_CURVE('',#149534,#149532,#69635,.T.); #183618=EDGE_CURVE('',#149533,#149534,#69636,.T.); #183619=EDGE_CURVE('',#149535,#149533,#69637,.T.); #183620=EDGE_CURVE('',#149536,#149534,#69638,.T.); #183621=EDGE_CURVE('',#149535,#149536,#69639,.T.); #183622=EDGE_CURVE('',#149537,#149535,#69640,.T.); #183623=EDGE_CURVE('',#149538,#149536,#69641,.T.); #183624=EDGE_CURVE('',#149537,#149538,#69642,.T.); #183625=EDGE_CURVE('',#149539,#149537,#69643,.T.); #183626=EDGE_CURVE('',#149540,#149538,#69644,.T.); #183627=EDGE_CURVE('',#149539,#149540,#69645,.T.); #183628=EDGE_CURVE('',#149541,#149539,#69646,.T.); #183629=EDGE_CURVE('',#149542,#149540,#69647,.T.); #183630=EDGE_CURVE('',#149541,#149542,#69648,.T.); #183631=EDGE_CURVE('',#149502,#149541,#69649,.T.); #183632=EDGE_CURVE('',#149503,#149542,#69650,.T.); #183633=EDGE_CURVE('',#149543,#149544,#69651,.T.); #183634=EDGE_CURVE('',#149544,#149545,#69652,.T.); #183635=EDGE_CURVE('',#149546,#149545,#69653,.T.); #183636=EDGE_CURVE('',#149543,#149546,#69654,.T.); #183637=EDGE_CURVE('',#149547,#149543,#69655,.T.); #183638=EDGE_CURVE('',#149548,#149546,#69656,.T.); #183639=EDGE_CURVE('',#149547,#149548,#69657,.T.); #183640=EDGE_CURVE('',#149549,#149547,#69658,.T.); #183641=EDGE_CURVE('',#149550,#149548,#69659,.T.); #183642=EDGE_CURVE('',#149549,#149550,#69660,.T.); #183643=EDGE_CURVE('',#149551,#149549,#69661,.T.); #183644=EDGE_CURVE('',#149552,#149550,#69662,.T.); #183645=EDGE_CURVE('',#149551,#149552,#69663,.T.); #183646=EDGE_CURVE('',#149553,#149551,#69664,.T.); #183647=EDGE_CURVE('',#149554,#149552,#69665,.T.); #183648=EDGE_CURVE('',#149553,#149554,#69666,.T.); #183649=EDGE_CURVE('',#149555,#149553,#69667,.T.); #183650=EDGE_CURVE('',#149556,#149554,#69668,.T.); #183651=EDGE_CURVE('',#149555,#149556,#69669,.T.); #183652=EDGE_CURVE('',#149557,#149555,#69670,.T.); #183653=EDGE_CURVE('',#149558,#149556,#69671,.T.); #183654=EDGE_CURVE('',#149557,#149558,#69672,.T.); #183655=EDGE_CURVE('',#149559,#149557,#69673,.T.); #183656=EDGE_CURVE('',#149560,#149558,#69674,.T.); #183657=EDGE_CURVE('',#149559,#149560,#69675,.T.); #183658=EDGE_CURVE('',#149561,#149559,#69676,.T.); #183659=EDGE_CURVE('',#149562,#149560,#69677,.T.); #183660=EDGE_CURVE('',#149561,#149562,#69678,.T.); #183661=EDGE_CURVE('',#149563,#149561,#69679,.T.); #183662=EDGE_CURVE('',#149564,#149562,#69680,.T.); #183663=EDGE_CURVE('',#149563,#149564,#69681,.T.); #183664=EDGE_CURVE('',#149565,#149563,#69682,.T.); #183665=EDGE_CURVE('',#149566,#149564,#69683,.T.); #183666=EDGE_CURVE('',#149565,#149566,#69684,.T.); #183667=EDGE_CURVE('',#149567,#149565,#69685,.T.); #183668=EDGE_CURVE('',#149568,#149566,#69686,.T.); #183669=EDGE_CURVE('',#149567,#149568,#69687,.T.); #183670=EDGE_CURVE('',#149569,#149567,#69688,.T.); #183671=EDGE_CURVE('',#149570,#149568,#69689,.T.); #183672=EDGE_CURVE('',#149569,#149570,#69690,.T.); #183673=EDGE_CURVE('',#149571,#149569,#69691,.T.); #183674=EDGE_CURVE('',#149572,#149570,#69692,.T.); #183675=EDGE_CURVE('',#149571,#149572,#69693,.T.); #183676=EDGE_CURVE('',#149573,#149571,#69694,.T.); #183677=EDGE_CURVE('',#149574,#149572,#69695,.T.); #183678=EDGE_CURVE('',#149573,#149574,#69696,.T.); #183679=EDGE_CURVE('',#149575,#149573,#69697,.T.); #183680=EDGE_CURVE('',#149576,#149574,#69698,.T.); #183681=EDGE_CURVE('',#149575,#149576,#69699,.T.); #183682=EDGE_CURVE('',#149577,#149575,#69700,.T.); #183683=EDGE_CURVE('',#149578,#149576,#69701,.T.); #183684=EDGE_CURVE('',#149577,#149578,#69702,.T.); #183685=EDGE_CURVE('',#149579,#149577,#69703,.T.); #183686=EDGE_CURVE('',#149580,#149578,#69704,.T.); #183687=EDGE_CURVE('',#149579,#149580,#69705,.T.); #183688=EDGE_CURVE('',#149544,#149579,#69706,.T.); #183689=EDGE_CURVE('',#149545,#149580,#69707,.T.); #183690=EDGE_CURVE('',#149581,#149582,#69708,.T.); #183691=EDGE_CURVE('',#149582,#149583,#69709,.T.); #183692=EDGE_CURVE('',#149584,#149583,#69710,.T.); #183693=EDGE_CURVE('',#149581,#149584,#69711,.T.); #183694=EDGE_CURVE('',#149585,#149581,#69712,.T.); #183695=EDGE_CURVE('',#149586,#149584,#69713,.T.); #183696=EDGE_CURVE('',#149585,#149586,#69714,.T.); #183697=EDGE_CURVE('',#149587,#149585,#69715,.T.); #183698=EDGE_CURVE('',#149588,#149586,#69716,.T.); #183699=EDGE_CURVE('',#149587,#149588,#69717,.T.); #183700=EDGE_CURVE('',#149589,#149587,#69718,.T.); #183701=EDGE_CURVE('',#149590,#149588,#69719,.T.); #183702=EDGE_CURVE('',#149589,#149590,#69720,.T.); #183703=EDGE_CURVE('',#149591,#149589,#69721,.T.); #183704=EDGE_CURVE('',#149592,#149590,#69722,.T.); #183705=EDGE_CURVE('',#149591,#149592,#69723,.T.); #183706=EDGE_CURVE('',#149593,#149591,#69724,.T.); #183707=EDGE_CURVE('',#149594,#149592,#69725,.T.); #183708=EDGE_CURVE('',#149593,#149594,#69726,.T.); #183709=EDGE_CURVE('',#149595,#149593,#69727,.T.); #183710=EDGE_CURVE('',#149596,#149594,#69728,.T.); #183711=EDGE_CURVE('',#149595,#149596,#69729,.T.); #183712=EDGE_CURVE('',#149597,#149595,#69730,.T.); #183713=EDGE_CURVE('',#149598,#149596,#69731,.T.); #183714=EDGE_CURVE('',#149597,#149598,#69732,.T.); #183715=EDGE_CURVE('',#149599,#149597,#69733,.T.); #183716=EDGE_CURVE('',#149600,#149598,#69734,.T.); #183717=EDGE_CURVE('',#149599,#149600,#69735,.T.); #183718=EDGE_CURVE('',#149601,#149599,#69736,.T.); #183719=EDGE_CURVE('',#149602,#149600,#69737,.T.); #183720=EDGE_CURVE('',#149601,#149602,#69738,.T.); #183721=EDGE_CURVE('',#149603,#149601,#69739,.T.); #183722=EDGE_CURVE('',#149604,#149602,#69740,.T.); #183723=EDGE_CURVE('',#149603,#149604,#69741,.T.); #183724=EDGE_CURVE('',#149605,#149603,#69742,.T.); #183725=EDGE_CURVE('',#149606,#149604,#69743,.T.); #183726=EDGE_CURVE('',#149605,#149606,#69744,.T.); #183727=EDGE_CURVE('',#149607,#149605,#69745,.T.); #183728=EDGE_CURVE('',#149608,#149606,#69746,.T.); #183729=EDGE_CURVE('',#149607,#149608,#69747,.T.); #183730=EDGE_CURVE('',#149609,#149607,#69748,.T.); #183731=EDGE_CURVE('',#149610,#149608,#69749,.T.); #183732=EDGE_CURVE('',#149609,#149610,#69750,.T.); #183733=EDGE_CURVE('',#149611,#149609,#69751,.T.); #183734=EDGE_CURVE('',#149612,#149610,#69752,.T.); #183735=EDGE_CURVE('',#149611,#149612,#69753,.T.); #183736=EDGE_CURVE('',#149613,#149611,#69754,.T.); #183737=EDGE_CURVE('',#149614,#149612,#69755,.T.); #183738=EDGE_CURVE('',#149613,#149614,#69756,.T.); #183739=EDGE_CURVE('',#149615,#149613,#69757,.T.); #183740=EDGE_CURVE('',#149616,#149614,#69758,.T.); #183741=EDGE_CURVE('',#149615,#149616,#69759,.T.); #183742=EDGE_CURVE('',#149617,#149615,#69760,.T.); #183743=EDGE_CURVE('',#149618,#149616,#69761,.T.); #183744=EDGE_CURVE('',#149617,#149618,#69762,.T.); #183745=EDGE_CURVE('',#149582,#149617,#69763,.T.); #183746=EDGE_CURVE('',#149583,#149618,#69764,.T.); #183747=EDGE_CURVE('',#149619,#149620,#69765,.T.); #183748=EDGE_CURVE('',#149620,#149621,#69766,.T.); #183749=EDGE_CURVE('',#149622,#149621,#69767,.T.); #183750=EDGE_CURVE('',#149619,#149622,#69768,.T.); #183751=EDGE_CURVE('',#149623,#149619,#69769,.T.); #183752=EDGE_CURVE('',#149624,#149622,#69770,.T.); #183753=EDGE_CURVE('',#149623,#149624,#69771,.T.); #183754=EDGE_CURVE('',#149625,#149623,#69772,.T.); #183755=EDGE_CURVE('',#149626,#149624,#69773,.T.); #183756=EDGE_CURVE('',#149625,#149626,#69774,.T.); #183757=EDGE_CURVE('',#149627,#149625,#69775,.T.); #183758=EDGE_CURVE('',#149628,#149626,#69776,.T.); #183759=EDGE_CURVE('',#149627,#149628,#69777,.T.); #183760=EDGE_CURVE('',#149629,#149627,#69778,.T.); #183761=EDGE_CURVE('',#149630,#149628,#69779,.T.); #183762=EDGE_CURVE('',#149629,#149630,#69780,.T.); #183763=EDGE_CURVE('',#149631,#149629,#69781,.T.); #183764=EDGE_CURVE('',#149632,#149630,#69782,.T.); #183765=EDGE_CURVE('',#149631,#149632,#69783,.T.); #183766=EDGE_CURVE('',#149633,#149631,#69784,.T.); #183767=EDGE_CURVE('',#149634,#149632,#69785,.T.); #183768=EDGE_CURVE('',#149633,#149634,#69786,.T.); #183769=EDGE_CURVE('',#149635,#149633,#69787,.T.); #183770=EDGE_CURVE('',#149636,#149634,#69788,.T.); #183771=EDGE_CURVE('',#149635,#149636,#69789,.T.); #183772=EDGE_CURVE('',#149637,#149635,#69790,.T.); #183773=EDGE_CURVE('',#149638,#149636,#69791,.T.); #183774=EDGE_CURVE('',#149637,#149638,#69792,.T.); #183775=EDGE_CURVE('',#149639,#149637,#69793,.T.); #183776=EDGE_CURVE('',#149640,#149638,#69794,.T.); #183777=EDGE_CURVE('',#149639,#149640,#69795,.T.); #183778=EDGE_CURVE('',#149641,#149639,#69796,.T.); #183779=EDGE_CURVE('',#149642,#149640,#69797,.T.); #183780=EDGE_CURVE('',#149641,#149642,#69798,.T.); #183781=EDGE_CURVE('',#149643,#149641,#69799,.T.); #183782=EDGE_CURVE('',#149644,#149642,#69800,.T.); #183783=EDGE_CURVE('',#149643,#149644,#69801,.T.); #183784=EDGE_CURVE('',#149645,#149643,#69802,.T.); #183785=EDGE_CURVE('',#149646,#149644,#69803,.T.); #183786=EDGE_CURVE('',#149645,#149646,#69804,.T.); #183787=EDGE_CURVE('',#149647,#149645,#69805,.T.); #183788=EDGE_CURVE('',#149648,#149646,#69806,.T.); #183789=EDGE_CURVE('',#149647,#149648,#69807,.T.); #183790=EDGE_CURVE('',#149649,#149647,#69808,.T.); #183791=EDGE_CURVE('',#149650,#149648,#69809,.T.); #183792=EDGE_CURVE('',#149649,#149650,#69810,.T.); #183793=EDGE_CURVE('',#149651,#149649,#69811,.T.); #183794=EDGE_CURVE('',#149652,#149650,#69812,.T.); #183795=EDGE_CURVE('',#149651,#149652,#69813,.T.); #183796=EDGE_CURVE('',#149653,#149651,#69814,.T.); #183797=EDGE_CURVE('',#149654,#149652,#69815,.T.); #183798=EDGE_CURVE('',#149653,#149654,#69816,.T.); #183799=EDGE_CURVE('',#149655,#149653,#69817,.T.); #183800=EDGE_CURVE('',#149656,#149654,#69818,.T.); #183801=EDGE_CURVE('',#149655,#149656,#69819,.T.); #183802=EDGE_CURVE('',#149620,#149655,#69820,.T.); #183803=EDGE_CURVE('',#149621,#149656,#69821,.T.); #183804=EDGE_CURVE('',#149657,#149658,#69822,.T.); #183805=EDGE_CURVE('',#149658,#149659,#69823,.T.); #183806=EDGE_CURVE('',#149660,#149659,#69824,.T.); #183807=EDGE_CURVE('',#149657,#149660,#69825,.T.); #183808=EDGE_CURVE('',#149661,#149657,#69826,.T.); #183809=EDGE_CURVE('',#149662,#149660,#69827,.T.); #183810=EDGE_CURVE('',#149661,#149662,#69828,.T.); #183811=EDGE_CURVE('',#149663,#149661,#69829,.T.); #183812=EDGE_CURVE('',#149664,#149662,#69830,.T.); #183813=EDGE_CURVE('',#149663,#149664,#69831,.T.); #183814=EDGE_CURVE('',#149665,#149663,#69832,.T.); #183815=EDGE_CURVE('',#149666,#149664,#69833,.T.); #183816=EDGE_CURVE('',#149665,#149666,#69834,.T.); #183817=EDGE_CURVE('',#149667,#149665,#69835,.T.); #183818=EDGE_CURVE('',#149668,#149666,#69836,.T.); #183819=EDGE_CURVE('',#149667,#149668,#69837,.T.); #183820=EDGE_CURVE('',#149669,#149667,#69838,.T.); #183821=EDGE_CURVE('',#149670,#149668,#69839,.T.); #183822=EDGE_CURVE('',#149669,#149670,#69840,.T.); #183823=EDGE_CURVE('',#149671,#149669,#69841,.T.); #183824=EDGE_CURVE('',#149672,#149670,#69842,.T.); #183825=EDGE_CURVE('',#149671,#149672,#69843,.T.); #183826=EDGE_CURVE('',#149673,#149671,#69844,.T.); #183827=EDGE_CURVE('',#149674,#149672,#69845,.T.); #183828=EDGE_CURVE('',#149673,#149674,#69846,.T.); #183829=EDGE_CURVE('',#149675,#149673,#69847,.T.); #183830=EDGE_CURVE('',#149676,#149674,#69848,.T.); #183831=EDGE_CURVE('',#149675,#149676,#69849,.T.); #183832=EDGE_CURVE('',#149677,#149675,#69850,.T.); #183833=EDGE_CURVE('',#149678,#149676,#69851,.T.); #183834=EDGE_CURVE('',#149677,#149678,#69852,.T.); #183835=EDGE_CURVE('',#149679,#149677,#69853,.T.); #183836=EDGE_CURVE('',#149680,#149678,#69854,.T.); #183837=EDGE_CURVE('',#149679,#149680,#69855,.T.); #183838=EDGE_CURVE('',#149681,#149679,#69856,.T.); #183839=EDGE_CURVE('',#149682,#149680,#69857,.T.); #183840=EDGE_CURVE('',#149681,#149682,#69858,.T.); #183841=EDGE_CURVE('',#149683,#149681,#69859,.T.); #183842=EDGE_CURVE('',#149684,#149682,#69860,.T.); #183843=EDGE_CURVE('',#149683,#149684,#69861,.T.); #183844=EDGE_CURVE('',#149685,#149683,#69862,.T.); #183845=EDGE_CURVE('',#149686,#149684,#69863,.T.); #183846=EDGE_CURVE('',#149685,#149686,#69864,.T.); #183847=EDGE_CURVE('',#149687,#149685,#69865,.T.); #183848=EDGE_CURVE('',#149688,#149686,#69866,.T.); #183849=EDGE_CURVE('',#149687,#149688,#69867,.T.); #183850=EDGE_CURVE('',#149689,#149687,#69868,.T.); #183851=EDGE_CURVE('',#149690,#149688,#69869,.T.); #183852=EDGE_CURVE('',#149689,#149690,#69870,.T.); #183853=EDGE_CURVE('',#149691,#149689,#69871,.T.); #183854=EDGE_CURVE('',#149692,#149690,#69872,.T.); #183855=EDGE_CURVE('',#149691,#149692,#69873,.T.); #183856=EDGE_CURVE('',#149693,#149691,#69874,.T.); #183857=EDGE_CURVE('',#149694,#149692,#69875,.T.); #183858=EDGE_CURVE('',#149693,#149694,#69876,.T.); #183859=EDGE_CURVE('',#149695,#149693,#69877,.T.); #183860=EDGE_CURVE('',#149696,#149694,#69878,.T.); #183861=EDGE_CURVE('',#149695,#149696,#69879,.T.); #183862=EDGE_CURVE('',#149697,#149695,#69880,.T.); #183863=EDGE_CURVE('',#149698,#149696,#69881,.T.); #183864=EDGE_CURVE('',#149697,#149698,#69882,.T.); #183865=EDGE_CURVE('',#149699,#149697,#69883,.T.); #183866=EDGE_CURVE('',#149700,#149698,#69884,.T.); #183867=EDGE_CURVE('',#149699,#149700,#69885,.T.); #183868=EDGE_CURVE('',#149701,#149699,#69886,.T.); #183869=EDGE_CURVE('',#149702,#149700,#69887,.T.); #183870=EDGE_CURVE('',#149701,#149702,#69888,.T.); #183871=EDGE_CURVE('',#149703,#149701,#69889,.T.); #183872=EDGE_CURVE('',#149704,#149702,#69890,.T.); #183873=EDGE_CURVE('',#149703,#149704,#69891,.T.); #183874=EDGE_CURVE('',#149705,#149703,#69892,.T.); #183875=EDGE_CURVE('',#149706,#149704,#69893,.T.); #183876=EDGE_CURVE('',#149705,#149706,#69894,.T.); #183877=EDGE_CURVE('',#149707,#149705,#69895,.T.); #183878=EDGE_CURVE('',#149708,#149706,#69896,.T.); #183879=EDGE_CURVE('',#149707,#149708,#69897,.T.); #183880=EDGE_CURVE('',#149709,#149707,#69898,.T.); #183881=EDGE_CURVE('',#149710,#149708,#69899,.T.); #183882=EDGE_CURVE('',#149709,#149710,#69900,.T.); #183883=EDGE_CURVE('',#149711,#149709,#69901,.T.); #183884=EDGE_CURVE('',#149712,#149710,#69902,.T.); #183885=EDGE_CURVE('',#149711,#149712,#69903,.T.); #183886=EDGE_CURVE('',#149713,#149711,#69904,.T.); #183887=EDGE_CURVE('',#149714,#149712,#69905,.T.); #183888=EDGE_CURVE('',#149713,#149714,#69906,.T.); #183889=EDGE_CURVE('',#149715,#149713,#69907,.T.); #183890=EDGE_CURVE('',#149716,#149714,#69908,.T.); #183891=EDGE_CURVE('',#149715,#149716,#69909,.T.); #183892=EDGE_CURVE('',#149717,#149715,#69910,.T.); #183893=EDGE_CURVE('',#149718,#149716,#69911,.T.); #183894=EDGE_CURVE('',#149717,#149718,#69912,.T.); #183895=EDGE_CURVE('',#149719,#149717,#69913,.T.); #183896=EDGE_CURVE('',#149720,#149718,#69914,.T.); #183897=EDGE_CURVE('',#149719,#149720,#69915,.T.); #183898=EDGE_CURVE('',#149721,#149719,#69916,.T.); #183899=EDGE_CURVE('',#149722,#149720,#69917,.T.); #183900=EDGE_CURVE('',#149721,#149722,#69918,.T.); #183901=EDGE_CURVE('',#149723,#149721,#69919,.T.); #183902=EDGE_CURVE('',#149724,#149722,#69920,.T.); #183903=EDGE_CURVE('',#149723,#149724,#69921,.T.); #183904=EDGE_CURVE('',#149725,#149723,#69922,.T.); #183905=EDGE_CURVE('',#149726,#149724,#69923,.T.); #183906=EDGE_CURVE('',#149725,#149726,#69924,.T.); #183907=EDGE_CURVE('',#149727,#149725,#69925,.T.); #183908=EDGE_CURVE('',#149728,#149726,#69926,.T.); #183909=EDGE_CURVE('',#149727,#149728,#69927,.T.); #183910=EDGE_CURVE('',#149729,#149727,#69928,.T.); #183911=EDGE_CURVE('',#149730,#149728,#69929,.T.); #183912=EDGE_CURVE('',#149729,#149730,#69930,.T.); #183913=EDGE_CURVE('',#149658,#149729,#69931,.T.); #183914=EDGE_CURVE('',#149659,#149730,#69932,.T.); #183915=EDGE_CURVE('',#149731,#149732,#69933,.T.); #183916=EDGE_CURVE('',#149732,#149733,#69934,.T.); #183917=EDGE_CURVE('',#149734,#149733,#69935,.T.); #183918=EDGE_CURVE('',#149731,#149734,#69936,.T.); #183919=EDGE_CURVE('',#149735,#149731,#69937,.T.); #183920=EDGE_CURVE('',#149736,#149734,#69938,.T.); #183921=EDGE_CURVE('',#149735,#149736,#69939,.T.); #183922=EDGE_CURVE('',#149737,#149735,#69940,.T.); #183923=EDGE_CURVE('',#149738,#149736,#69941,.T.); #183924=EDGE_CURVE('',#149737,#149738,#69942,.T.); #183925=EDGE_CURVE('',#149739,#149737,#69943,.T.); #183926=EDGE_CURVE('',#149740,#149738,#69944,.T.); #183927=EDGE_CURVE('',#149739,#149740,#69945,.T.); #183928=EDGE_CURVE('',#149741,#149739,#69946,.T.); #183929=EDGE_CURVE('',#149742,#149740,#69947,.T.); #183930=EDGE_CURVE('',#149741,#149742,#69948,.T.); #183931=EDGE_CURVE('',#149743,#149741,#69949,.T.); #183932=EDGE_CURVE('',#149744,#149742,#69950,.T.); #183933=EDGE_CURVE('',#149743,#149744,#69951,.T.); #183934=EDGE_CURVE('',#149745,#149743,#69952,.T.); #183935=EDGE_CURVE('',#149746,#149744,#69953,.T.); #183936=EDGE_CURVE('',#149745,#149746,#69954,.T.); #183937=EDGE_CURVE('',#149747,#149745,#69955,.T.); #183938=EDGE_CURVE('',#149748,#149746,#69956,.T.); #183939=EDGE_CURVE('',#149747,#149748,#69957,.T.); #183940=EDGE_CURVE('',#149749,#149747,#69958,.T.); #183941=EDGE_CURVE('',#149750,#149748,#69959,.T.); #183942=EDGE_CURVE('',#149749,#149750,#69960,.T.); #183943=EDGE_CURVE('',#149751,#149749,#69961,.T.); #183944=EDGE_CURVE('',#149752,#149750,#69962,.T.); #183945=EDGE_CURVE('',#149751,#149752,#69963,.T.); #183946=EDGE_CURVE('',#149753,#149751,#69964,.T.); #183947=EDGE_CURVE('',#149754,#149752,#69965,.T.); #183948=EDGE_CURVE('',#149753,#149754,#69966,.T.); #183949=EDGE_CURVE('',#149755,#149753,#69967,.T.); #183950=EDGE_CURVE('',#149756,#149754,#69968,.T.); #183951=EDGE_CURVE('',#149755,#149756,#69969,.T.); #183952=EDGE_CURVE('',#149757,#149755,#69970,.T.); #183953=EDGE_CURVE('',#149758,#149756,#69971,.T.); #183954=EDGE_CURVE('',#149757,#149758,#69972,.T.); #183955=EDGE_CURVE('',#149759,#149757,#69973,.T.); #183956=EDGE_CURVE('',#149760,#149758,#69974,.T.); #183957=EDGE_CURVE('',#149759,#149760,#69975,.T.); #183958=EDGE_CURVE('',#149761,#149759,#69976,.T.); #183959=EDGE_CURVE('',#149762,#149760,#69977,.T.); #183960=EDGE_CURVE('',#149761,#149762,#69978,.T.); #183961=EDGE_CURVE('',#149763,#149761,#69979,.T.); #183962=EDGE_CURVE('',#149764,#149762,#69980,.T.); #183963=EDGE_CURVE('',#149763,#149764,#69981,.T.); #183964=EDGE_CURVE('',#149765,#149763,#69982,.T.); #183965=EDGE_CURVE('',#149766,#149764,#69983,.T.); #183966=EDGE_CURVE('',#149765,#149766,#69984,.T.); #183967=EDGE_CURVE('',#149767,#149765,#69985,.T.); #183968=EDGE_CURVE('',#149768,#149766,#69986,.T.); #183969=EDGE_CURVE('',#149767,#149768,#69987,.T.); #183970=EDGE_CURVE('',#149769,#149767,#69988,.T.); #183971=EDGE_CURVE('',#149770,#149768,#69989,.T.); #183972=EDGE_CURVE('',#149769,#149770,#69990,.T.); #183973=EDGE_CURVE('',#149732,#149769,#69991,.T.); #183974=EDGE_CURVE('',#149733,#149770,#69992,.T.); #183975=EDGE_CURVE('',#149771,#149772,#69993,.T.); #183976=EDGE_CURVE('',#149772,#149773,#69994,.T.); #183977=EDGE_CURVE('',#149774,#149773,#69995,.T.); #183978=EDGE_CURVE('',#149771,#149774,#69996,.T.); #183979=EDGE_CURVE('',#149775,#149771,#69997,.T.); #183980=EDGE_CURVE('',#149776,#149774,#69998,.T.); #183981=EDGE_CURVE('',#149775,#149776,#69999,.T.); #183982=EDGE_CURVE('',#149777,#149775,#70000,.T.); #183983=EDGE_CURVE('',#149778,#149776,#70001,.T.); #183984=EDGE_CURVE('',#149777,#149778,#70002,.T.); #183985=EDGE_CURVE('',#149779,#149777,#70003,.T.); #183986=EDGE_CURVE('',#149780,#149778,#70004,.T.); #183987=EDGE_CURVE('',#149779,#149780,#70005,.T.); #183988=EDGE_CURVE('',#149781,#149779,#70006,.T.); #183989=EDGE_CURVE('',#149782,#149780,#70007,.T.); #183990=EDGE_CURVE('',#149781,#149782,#70008,.T.); #183991=EDGE_CURVE('',#149783,#149781,#70009,.T.); #183992=EDGE_CURVE('',#149784,#149782,#70010,.T.); #183993=EDGE_CURVE('',#149783,#149784,#70011,.T.); #183994=EDGE_CURVE('',#149785,#149783,#70012,.T.); #183995=EDGE_CURVE('',#149786,#149784,#70013,.T.); #183996=EDGE_CURVE('',#149785,#149786,#70014,.T.); #183997=EDGE_CURVE('',#149787,#149785,#70015,.T.); #183998=EDGE_CURVE('',#149788,#149786,#70016,.T.); #183999=EDGE_CURVE('',#149787,#149788,#70017,.T.); #184000=EDGE_CURVE('',#149789,#149787,#70018,.T.); #184001=EDGE_CURVE('',#149790,#149788,#70019,.T.); #184002=EDGE_CURVE('',#149789,#149790,#70020,.T.); #184003=EDGE_CURVE('',#149791,#149789,#70021,.T.); #184004=EDGE_CURVE('',#149792,#149790,#70022,.T.); #184005=EDGE_CURVE('',#149791,#149792,#70023,.T.); #184006=EDGE_CURVE('',#149793,#149791,#70024,.T.); #184007=EDGE_CURVE('',#149794,#149792,#70025,.T.); #184008=EDGE_CURVE('',#149793,#149794,#70026,.T.); #184009=EDGE_CURVE('',#149795,#149793,#70027,.T.); #184010=EDGE_CURVE('',#149796,#149794,#70028,.T.); #184011=EDGE_CURVE('',#149795,#149796,#70029,.T.); #184012=EDGE_CURVE('',#149797,#149795,#70030,.T.); #184013=EDGE_CURVE('',#149798,#149796,#70031,.T.); #184014=EDGE_CURVE('',#149797,#149798,#70032,.T.); #184015=EDGE_CURVE('',#149799,#149797,#70033,.T.); #184016=EDGE_CURVE('',#149800,#149798,#70034,.T.); #184017=EDGE_CURVE('',#149799,#149800,#70035,.T.); #184018=EDGE_CURVE('',#149801,#149799,#70036,.T.); #184019=EDGE_CURVE('',#149802,#149800,#70037,.T.); #184020=EDGE_CURVE('',#149801,#149802,#70038,.T.); #184021=EDGE_CURVE('',#149803,#149801,#70039,.T.); #184022=EDGE_CURVE('',#149804,#149802,#70040,.T.); #184023=EDGE_CURVE('',#149803,#149804,#70041,.T.); #184024=EDGE_CURVE('',#149805,#149803,#70042,.T.); #184025=EDGE_CURVE('',#149806,#149804,#70043,.T.); #184026=EDGE_CURVE('',#149805,#149806,#70044,.T.); #184027=EDGE_CURVE('',#149807,#149805,#70045,.T.); #184028=EDGE_CURVE('',#149808,#149806,#70046,.T.); #184029=EDGE_CURVE('',#149807,#149808,#70047,.T.); #184030=EDGE_CURVE('',#149809,#149807,#70048,.T.); #184031=EDGE_CURVE('',#149810,#149808,#70049,.T.); #184032=EDGE_CURVE('',#149809,#149810,#70050,.T.); #184033=EDGE_CURVE('',#149811,#149809,#70051,.T.); #184034=EDGE_CURVE('',#149812,#149810,#70052,.T.); #184035=EDGE_CURVE('',#149811,#149812,#70053,.T.); #184036=EDGE_CURVE('',#149813,#149811,#70054,.T.); #184037=EDGE_CURVE('',#149814,#149812,#70055,.T.); #184038=EDGE_CURVE('',#149813,#149814,#70056,.T.); #184039=EDGE_CURVE('',#149815,#149813,#70057,.T.); #184040=EDGE_CURVE('',#149816,#149814,#70058,.T.); #184041=EDGE_CURVE('',#149815,#149816,#70059,.T.); #184042=EDGE_CURVE('',#149817,#149815,#70060,.T.); #184043=EDGE_CURVE('',#149818,#149816,#70061,.T.); #184044=EDGE_CURVE('',#149817,#149818,#70062,.T.); #184045=EDGE_CURVE('',#149819,#149817,#70063,.T.); #184046=EDGE_CURVE('',#149820,#149818,#70064,.T.); #184047=EDGE_CURVE('',#149819,#149820,#70065,.T.); #184048=EDGE_CURVE('',#149821,#149819,#70066,.T.); #184049=EDGE_CURVE('',#149822,#149820,#70067,.T.); #184050=EDGE_CURVE('',#149821,#149822,#70068,.T.); #184051=EDGE_CURVE('',#149823,#149821,#70069,.T.); #184052=EDGE_CURVE('',#149824,#149822,#70070,.T.); #184053=EDGE_CURVE('',#149823,#149824,#70071,.T.); #184054=EDGE_CURVE('',#149825,#149823,#70072,.T.); #184055=EDGE_CURVE('',#149826,#149824,#70073,.T.); #184056=EDGE_CURVE('',#149825,#149826,#70074,.T.); #184057=EDGE_CURVE('',#149827,#149825,#70075,.T.); #184058=EDGE_CURVE('',#149828,#149826,#70076,.T.); #184059=EDGE_CURVE('',#149827,#149828,#70077,.T.); #184060=EDGE_CURVE('',#149829,#149827,#70078,.T.); #184061=EDGE_CURVE('',#149830,#149828,#70079,.T.); #184062=EDGE_CURVE('',#149829,#149830,#70080,.T.); #184063=EDGE_CURVE('',#149831,#149829,#70081,.T.); #184064=EDGE_CURVE('',#149832,#149830,#70082,.T.); #184065=EDGE_CURVE('',#149831,#149832,#70083,.T.); #184066=EDGE_CURVE('',#149833,#149831,#70084,.T.); #184067=EDGE_CURVE('',#149834,#149832,#70085,.T.); #184068=EDGE_CURVE('',#149833,#149834,#70086,.T.); #184069=EDGE_CURVE('',#149835,#149833,#70087,.T.); #184070=EDGE_CURVE('',#149836,#149834,#70088,.T.); #184071=EDGE_CURVE('',#149835,#149836,#70089,.T.); #184072=EDGE_CURVE('',#149837,#149835,#70090,.T.); #184073=EDGE_CURVE('',#149838,#149836,#70091,.T.); #184074=EDGE_CURVE('',#149837,#149838,#70092,.T.); #184075=EDGE_CURVE('',#149839,#149837,#70093,.T.); #184076=EDGE_CURVE('',#149840,#149838,#70094,.T.); #184077=EDGE_CURVE('',#149839,#149840,#70095,.T.); #184078=EDGE_CURVE('',#149841,#149839,#70096,.T.); #184079=EDGE_CURVE('',#149842,#149840,#70097,.T.); #184080=EDGE_CURVE('',#149841,#149842,#70098,.T.); #184081=EDGE_CURVE('',#149843,#149841,#70099,.T.); #184082=EDGE_CURVE('',#149844,#149842,#70100,.T.); #184083=EDGE_CURVE('',#149843,#149844,#70101,.T.); #184084=EDGE_CURVE('',#149845,#149843,#70102,.T.); #184085=EDGE_CURVE('',#149846,#149844,#70103,.T.); #184086=EDGE_CURVE('',#149845,#149846,#70104,.T.); #184087=EDGE_CURVE('',#149847,#149845,#70105,.T.); #184088=EDGE_CURVE('',#149848,#149846,#70106,.T.); #184089=EDGE_CURVE('',#149847,#149848,#70107,.T.); #184090=EDGE_CURVE('',#149849,#149847,#70108,.T.); #184091=EDGE_CURVE('',#149850,#149848,#70109,.T.); #184092=EDGE_CURVE('',#149849,#149850,#70110,.T.); #184093=EDGE_CURVE('',#149851,#149849,#70111,.T.); #184094=EDGE_CURVE('',#149852,#149850,#70112,.T.); #184095=EDGE_CURVE('',#149851,#149852,#70113,.T.); #184096=EDGE_CURVE('',#149853,#149851,#70114,.T.); #184097=EDGE_CURVE('',#149854,#149852,#70115,.T.); #184098=EDGE_CURVE('',#149853,#149854,#70116,.T.); #184099=EDGE_CURVE('',#149855,#149853,#70117,.T.); #184100=EDGE_CURVE('',#149856,#149854,#70118,.T.); #184101=EDGE_CURVE('',#149855,#149856,#70119,.T.); #184102=EDGE_CURVE('',#149857,#149855,#70120,.T.); #184103=EDGE_CURVE('',#149858,#149856,#70121,.T.); #184104=EDGE_CURVE('',#149857,#149858,#70122,.T.); #184105=EDGE_CURVE('',#149859,#149857,#70123,.T.); #184106=EDGE_CURVE('',#149860,#149858,#70124,.T.); #184107=EDGE_CURVE('',#149859,#149860,#70125,.T.); #184108=EDGE_CURVE('',#149861,#149859,#70126,.T.); #184109=EDGE_CURVE('',#149862,#149860,#70127,.T.); #184110=EDGE_CURVE('',#149861,#149862,#70128,.T.); #184111=EDGE_CURVE('',#149863,#149861,#70129,.T.); #184112=EDGE_CURVE('',#149864,#149862,#70130,.T.); #184113=EDGE_CURVE('',#149863,#149864,#70131,.T.); #184114=EDGE_CURVE('',#149865,#149863,#70132,.T.); #184115=EDGE_CURVE('',#149866,#149864,#70133,.T.); #184116=EDGE_CURVE('',#149865,#149866,#70134,.T.); #184117=EDGE_CURVE('',#149772,#149865,#70135,.T.); #184118=EDGE_CURVE('',#149773,#149866,#70136,.T.); #184119=EDGE_CURVE('',#149867,#149868,#70137,.T.); #184120=EDGE_CURVE('',#149868,#149869,#70138,.T.); #184121=EDGE_CURVE('',#149870,#149869,#70139,.T.); #184122=EDGE_CURVE('',#149867,#149870,#70140,.T.); #184123=EDGE_CURVE('',#149871,#149867,#70141,.T.); #184124=EDGE_CURVE('',#149872,#149870,#70142,.T.); #184125=EDGE_CURVE('',#149871,#149872,#70143,.T.); #184126=EDGE_CURVE('',#149873,#149871,#70144,.T.); #184127=EDGE_CURVE('',#149874,#149872,#70145,.T.); #184128=EDGE_CURVE('',#149873,#149874,#70146,.T.); #184129=EDGE_CURVE('',#149875,#149873,#70147,.T.); #184130=EDGE_CURVE('',#149876,#149874,#70148,.T.); #184131=EDGE_CURVE('',#149875,#149876,#70149,.T.); #184132=EDGE_CURVE('',#149877,#149875,#70150,.T.); #184133=EDGE_CURVE('',#149878,#149876,#70151,.T.); #184134=EDGE_CURVE('',#149877,#149878,#70152,.T.); #184135=EDGE_CURVE('',#149879,#149877,#70153,.T.); #184136=EDGE_CURVE('',#149880,#149878,#70154,.T.); #184137=EDGE_CURVE('',#149879,#149880,#70155,.T.); #184138=EDGE_CURVE('',#149881,#149879,#70156,.T.); #184139=EDGE_CURVE('',#149882,#149880,#70157,.T.); #184140=EDGE_CURVE('',#149881,#149882,#70158,.T.); #184141=EDGE_CURVE('',#149883,#149881,#70159,.T.); #184142=EDGE_CURVE('',#149884,#149882,#70160,.T.); #184143=EDGE_CURVE('',#149883,#149884,#70161,.T.); #184144=EDGE_CURVE('',#149885,#149883,#70162,.T.); #184145=EDGE_CURVE('',#149886,#149884,#70163,.T.); #184146=EDGE_CURVE('',#149885,#149886,#70164,.T.); #184147=EDGE_CURVE('',#149887,#149885,#70165,.T.); #184148=EDGE_CURVE('',#149888,#149886,#70166,.T.); #184149=EDGE_CURVE('',#149887,#149888,#70167,.T.); #184150=EDGE_CURVE('',#149889,#149887,#70168,.T.); #184151=EDGE_CURVE('',#149890,#149888,#70169,.T.); #184152=EDGE_CURVE('',#149889,#149890,#70170,.T.); #184153=EDGE_CURVE('',#149891,#149889,#70171,.T.); #184154=EDGE_CURVE('',#149892,#149890,#70172,.T.); #184155=EDGE_CURVE('',#149891,#149892,#70173,.T.); #184156=EDGE_CURVE('',#149893,#149891,#70174,.T.); #184157=EDGE_CURVE('',#149894,#149892,#70175,.T.); #184158=EDGE_CURVE('',#149893,#149894,#70176,.T.); #184159=EDGE_CURVE('',#149895,#149893,#70177,.T.); #184160=EDGE_CURVE('',#149896,#149894,#70178,.T.); #184161=EDGE_CURVE('',#149895,#149896,#70179,.T.); #184162=EDGE_CURVE('',#149897,#149895,#70180,.T.); #184163=EDGE_CURVE('',#149898,#149896,#70181,.T.); #184164=EDGE_CURVE('',#149897,#149898,#70182,.T.); #184165=EDGE_CURVE('',#149899,#149897,#70183,.T.); #184166=EDGE_CURVE('',#149900,#149898,#70184,.T.); #184167=EDGE_CURVE('',#149899,#149900,#70185,.T.); #184168=EDGE_CURVE('',#149901,#149899,#70186,.T.); #184169=EDGE_CURVE('',#149902,#149900,#70187,.T.); #184170=EDGE_CURVE('',#149901,#149902,#70188,.T.); #184171=EDGE_CURVE('',#149903,#149901,#70189,.T.); #184172=EDGE_CURVE('',#149904,#149902,#70190,.T.); #184173=EDGE_CURVE('',#149903,#149904,#70191,.T.); #184174=EDGE_CURVE('',#149905,#149903,#70192,.T.); #184175=EDGE_CURVE('',#149906,#149904,#70193,.T.); #184176=EDGE_CURVE('',#149905,#149906,#70194,.T.); #184177=EDGE_CURVE('',#149868,#149905,#70195,.T.); #184178=EDGE_CURVE('',#149869,#149906,#70196,.T.); #184179=EDGE_CURVE('',#149907,#149908,#70197,.T.); #184180=EDGE_CURVE('',#149908,#149909,#70198,.T.); #184181=EDGE_CURVE('',#149910,#149909,#70199,.T.); #184182=EDGE_CURVE('',#149907,#149910,#70200,.T.); #184183=EDGE_CURVE('',#149911,#149907,#70201,.T.); #184184=EDGE_CURVE('',#149912,#149910,#70202,.T.); #184185=EDGE_CURVE('',#149911,#149912,#70203,.T.); #184186=EDGE_CURVE('',#149913,#149911,#70204,.T.); #184187=EDGE_CURVE('',#149914,#149912,#70205,.T.); #184188=EDGE_CURVE('',#149913,#149914,#70206,.T.); #184189=EDGE_CURVE('',#149915,#149913,#70207,.T.); #184190=EDGE_CURVE('',#149916,#149914,#70208,.T.); #184191=EDGE_CURVE('',#149915,#149916,#70209,.T.); #184192=EDGE_CURVE('',#149917,#149915,#70210,.T.); #184193=EDGE_CURVE('',#149918,#149916,#70211,.T.); #184194=EDGE_CURVE('',#149917,#149918,#70212,.T.); #184195=EDGE_CURVE('',#149919,#149917,#70213,.T.); #184196=EDGE_CURVE('',#149920,#149918,#70214,.T.); #184197=EDGE_CURVE('',#149919,#149920,#70215,.T.); #184198=EDGE_CURVE('',#149921,#149919,#70216,.T.); #184199=EDGE_CURVE('',#149922,#149920,#70217,.T.); #184200=EDGE_CURVE('',#149921,#149922,#70218,.T.); #184201=EDGE_CURVE('',#149923,#149921,#70219,.T.); #184202=EDGE_CURVE('',#149924,#149922,#70220,.T.); #184203=EDGE_CURVE('',#149923,#149924,#70221,.T.); #184204=EDGE_CURVE('',#149925,#149923,#70222,.T.); #184205=EDGE_CURVE('',#149926,#149924,#70223,.T.); #184206=EDGE_CURVE('',#149925,#149926,#70224,.T.); #184207=EDGE_CURVE('',#149927,#149925,#70225,.T.); #184208=EDGE_CURVE('',#149928,#149926,#70226,.T.); #184209=EDGE_CURVE('',#149927,#149928,#70227,.T.); #184210=EDGE_CURVE('',#149929,#149927,#70228,.T.); #184211=EDGE_CURVE('',#149930,#149928,#70229,.T.); #184212=EDGE_CURVE('',#149929,#149930,#70230,.T.); #184213=EDGE_CURVE('',#149931,#149929,#70231,.T.); #184214=EDGE_CURVE('',#149932,#149930,#70232,.T.); #184215=EDGE_CURVE('',#149931,#149932,#70233,.T.); #184216=EDGE_CURVE('',#149933,#149931,#70234,.T.); #184217=EDGE_CURVE('',#149934,#149932,#70235,.T.); #184218=EDGE_CURVE('',#149933,#149934,#70236,.T.); #184219=EDGE_CURVE('',#149935,#149933,#70237,.T.); #184220=EDGE_CURVE('',#149936,#149934,#70238,.T.); #184221=EDGE_CURVE('',#149935,#149936,#70239,.T.); #184222=EDGE_CURVE('',#149937,#149935,#70240,.T.); #184223=EDGE_CURVE('',#149938,#149936,#70241,.T.); #184224=EDGE_CURVE('',#149937,#149938,#70242,.T.); #184225=EDGE_CURVE('',#149939,#149937,#70243,.T.); #184226=EDGE_CURVE('',#149940,#149938,#70244,.T.); #184227=EDGE_CURVE('',#149939,#149940,#70245,.T.); #184228=EDGE_CURVE('',#149941,#149939,#70246,.T.); #184229=EDGE_CURVE('',#149942,#149940,#70247,.T.); #184230=EDGE_CURVE('',#149941,#149942,#70248,.T.); #184231=EDGE_CURVE('',#149943,#149941,#70249,.T.); #184232=EDGE_CURVE('',#149944,#149942,#70250,.T.); #184233=EDGE_CURVE('',#149943,#149944,#70251,.T.); #184234=EDGE_CURVE('',#149945,#149943,#70252,.T.); #184235=EDGE_CURVE('',#149946,#149944,#70253,.T.); #184236=EDGE_CURVE('',#149945,#149946,#70254,.T.); #184237=EDGE_CURVE('',#149947,#149945,#70255,.T.); #184238=EDGE_CURVE('',#149948,#149946,#70256,.T.); #184239=EDGE_CURVE('',#149947,#149948,#70257,.T.); #184240=EDGE_CURVE('',#149949,#149947,#70258,.T.); #184241=EDGE_CURVE('',#149950,#149948,#70259,.T.); #184242=EDGE_CURVE('',#149949,#149950,#70260,.T.); #184243=EDGE_CURVE('',#149951,#149949,#70261,.T.); #184244=EDGE_CURVE('',#149952,#149950,#70262,.T.); #184245=EDGE_CURVE('',#149951,#149952,#70263,.T.); #184246=EDGE_CURVE('',#149953,#149951,#70264,.T.); #184247=EDGE_CURVE('',#149954,#149952,#70265,.T.); #184248=EDGE_CURVE('',#149953,#149954,#70266,.T.); #184249=EDGE_CURVE('',#149955,#149953,#70267,.T.); #184250=EDGE_CURVE('',#149956,#149954,#70268,.T.); #184251=EDGE_CURVE('',#149955,#149956,#70269,.T.); #184252=EDGE_CURVE('',#149957,#149955,#70270,.T.); #184253=EDGE_CURVE('',#149958,#149956,#70271,.T.); #184254=EDGE_CURVE('',#149957,#149958,#70272,.T.); #184255=EDGE_CURVE('',#149959,#149957,#70273,.T.); #184256=EDGE_CURVE('',#149960,#149958,#70274,.T.); #184257=EDGE_CURVE('',#149959,#149960,#70275,.T.); #184258=EDGE_CURVE('',#149961,#149959,#70276,.T.); #184259=EDGE_CURVE('',#149962,#149960,#70277,.T.); #184260=EDGE_CURVE('',#149961,#149962,#70278,.T.); #184261=EDGE_CURVE('',#149963,#149961,#70279,.T.); #184262=EDGE_CURVE('',#149964,#149962,#70280,.T.); #184263=EDGE_CURVE('',#149963,#149964,#70281,.T.); #184264=EDGE_CURVE('',#149965,#149963,#70282,.T.); #184265=EDGE_CURVE('',#149966,#149964,#70283,.T.); #184266=EDGE_CURVE('',#149965,#149966,#70284,.T.); #184267=EDGE_CURVE('',#149967,#149965,#70285,.T.); #184268=EDGE_CURVE('',#149968,#149966,#70286,.T.); #184269=EDGE_CURVE('',#149967,#149968,#70287,.T.); #184270=EDGE_CURVE('',#149908,#149967,#70288,.T.); #184271=EDGE_CURVE('',#149909,#149968,#70289,.T.); #184272=EDGE_CURVE('',#149969,#149970,#70290,.T.); #184273=EDGE_CURVE('',#149970,#149971,#70291,.T.); #184274=EDGE_CURVE('',#149972,#149971,#70292,.T.); #184275=EDGE_CURVE('',#149969,#149972,#70293,.T.); #184276=EDGE_CURVE('',#149973,#149969,#70294,.T.); #184277=EDGE_CURVE('',#149974,#149972,#70295,.T.); #184278=EDGE_CURVE('',#149973,#149974,#70296,.T.); #184279=EDGE_CURVE('',#149975,#149973,#70297,.T.); #184280=EDGE_CURVE('',#149976,#149974,#70298,.T.); #184281=EDGE_CURVE('',#149975,#149976,#70299,.T.); #184282=EDGE_CURVE('',#149977,#149975,#70300,.T.); #184283=EDGE_CURVE('',#149978,#149976,#70301,.T.); #184284=EDGE_CURVE('',#149977,#149978,#70302,.T.); #184285=EDGE_CURVE('',#149979,#149977,#70303,.T.); #184286=EDGE_CURVE('',#149980,#149978,#70304,.T.); #184287=EDGE_CURVE('',#149979,#149980,#70305,.T.); #184288=EDGE_CURVE('',#149981,#149979,#70306,.T.); #184289=EDGE_CURVE('',#149982,#149980,#70307,.T.); #184290=EDGE_CURVE('',#149981,#149982,#70308,.T.); #184291=EDGE_CURVE('',#149983,#149981,#70309,.T.); #184292=EDGE_CURVE('',#149984,#149982,#70310,.T.); #184293=EDGE_CURVE('',#149983,#149984,#70311,.T.); #184294=EDGE_CURVE('',#149985,#149983,#70312,.T.); #184295=EDGE_CURVE('',#149986,#149984,#70313,.T.); #184296=EDGE_CURVE('',#149985,#149986,#70314,.T.); #184297=EDGE_CURVE('',#149987,#149985,#70315,.T.); #184298=EDGE_CURVE('',#149988,#149986,#70316,.T.); #184299=EDGE_CURVE('',#149987,#149988,#70317,.T.); #184300=EDGE_CURVE('',#149989,#149987,#70318,.T.); #184301=EDGE_CURVE('',#149990,#149988,#70319,.T.); #184302=EDGE_CURVE('',#149989,#149990,#70320,.T.); #184303=EDGE_CURVE('',#149991,#149989,#70321,.T.); #184304=EDGE_CURVE('',#149992,#149990,#70322,.T.); #184305=EDGE_CURVE('',#149991,#149992,#70323,.T.); #184306=EDGE_CURVE('',#149993,#149991,#70324,.T.); #184307=EDGE_CURVE('',#149994,#149992,#70325,.T.); #184308=EDGE_CURVE('',#149993,#149994,#70326,.T.); #184309=EDGE_CURVE('',#149995,#149993,#70327,.T.); #184310=EDGE_CURVE('',#149996,#149994,#70328,.T.); #184311=EDGE_CURVE('',#149995,#149996,#70329,.T.); #184312=EDGE_CURVE('',#149997,#149995,#70330,.T.); #184313=EDGE_CURVE('',#149998,#149996,#70331,.T.); #184314=EDGE_CURVE('',#149997,#149998,#70332,.T.); #184315=EDGE_CURVE('',#149999,#149997,#70333,.T.); #184316=EDGE_CURVE('',#150000,#149998,#70334,.T.); #184317=EDGE_CURVE('',#149999,#150000,#70335,.T.); #184318=EDGE_CURVE('',#150001,#149999,#70336,.T.); #184319=EDGE_CURVE('',#150002,#150000,#70337,.T.); #184320=EDGE_CURVE('',#150001,#150002,#70338,.T.); #184321=EDGE_CURVE('',#150003,#150001,#70339,.T.); #184322=EDGE_CURVE('',#150004,#150002,#70340,.T.); #184323=EDGE_CURVE('',#150003,#150004,#70341,.T.); #184324=EDGE_CURVE('',#150005,#150003,#70342,.T.); #184325=EDGE_CURVE('',#150006,#150004,#70343,.T.); #184326=EDGE_CURVE('',#150005,#150006,#70344,.T.); #184327=EDGE_CURVE('',#150007,#150005,#70345,.T.); #184328=EDGE_CURVE('',#150008,#150006,#70346,.T.); #184329=EDGE_CURVE('',#150007,#150008,#70347,.T.); #184330=EDGE_CURVE('',#149970,#150007,#70348,.T.); #184331=EDGE_CURVE('',#149971,#150008,#70349,.T.); #184332=EDGE_CURVE('',#150009,#150010,#70350,.T.); #184333=EDGE_CURVE('',#150010,#150011,#70351,.T.); #184334=EDGE_CURVE('',#150012,#150011,#70352,.T.); #184335=EDGE_CURVE('',#150009,#150012,#70353,.T.); #184336=EDGE_CURVE('',#150013,#150009,#70354,.T.); #184337=EDGE_CURVE('',#150014,#150012,#70355,.T.); #184338=EDGE_CURVE('',#150013,#150014,#70356,.T.); #184339=EDGE_CURVE('',#150015,#150013,#70357,.T.); #184340=EDGE_CURVE('',#150016,#150014,#70358,.T.); #184341=EDGE_CURVE('',#150015,#150016,#70359,.T.); #184342=EDGE_CURVE('',#150017,#150015,#70360,.T.); #184343=EDGE_CURVE('',#150018,#150016,#70361,.T.); #184344=EDGE_CURVE('',#150017,#150018,#70362,.T.); #184345=EDGE_CURVE('',#150019,#150017,#70363,.T.); #184346=EDGE_CURVE('',#150020,#150018,#70364,.T.); #184347=EDGE_CURVE('',#150019,#150020,#70365,.T.); #184348=EDGE_CURVE('',#150021,#150019,#70366,.T.); #184349=EDGE_CURVE('',#150022,#150020,#70367,.T.); #184350=EDGE_CURVE('',#150021,#150022,#70368,.T.); #184351=EDGE_CURVE('',#150023,#150021,#70369,.T.); #184352=EDGE_CURVE('',#150024,#150022,#70370,.T.); #184353=EDGE_CURVE('',#150023,#150024,#70371,.T.); #184354=EDGE_CURVE('',#150025,#150023,#70372,.T.); #184355=EDGE_CURVE('',#150026,#150024,#70373,.T.); #184356=EDGE_CURVE('',#150025,#150026,#70374,.T.); #184357=EDGE_CURVE('',#150027,#150025,#70375,.T.); #184358=EDGE_CURVE('',#150028,#150026,#70376,.T.); #184359=EDGE_CURVE('',#150027,#150028,#70377,.T.); #184360=EDGE_CURVE('',#150029,#150027,#70378,.T.); #184361=EDGE_CURVE('',#150030,#150028,#70379,.T.); #184362=EDGE_CURVE('',#150029,#150030,#70380,.T.); #184363=EDGE_CURVE('',#150031,#150029,#70381,.T.); #184364=EDGE_CURVE('',#150032,#150030,#70382,.T.); #184365=EDGE_CURVE('',#150031,#150032,#70383,.T.); #184366=EDGE_CURVE('',#150033,#150031,#70384,.T.); #184367=EDGE_CURVE('',#150034,#150032,#70385,.T.); #184368=EDGE_CURVE('',#150033,#150034,#70386,.T.); #184369=EDGE_CURVE('',#150035,#150033,#70387,.T.); #184370=EDGE_CURVE('',#150036,#150034,#70388,.T.); #184371=EDGE_CURVE('',#150035,#150036,#70389,.T.); #184372=EDGE_CURVE('',#150037,#150035,#70390,.T.); #184373=EDGE_CURVE('',#150038,#150036,#70391,.T.); #184374=EDGE_CURVE('',#150037,#150038,#70392,.T.); #184375=EDGE_CURVE('',#150039,#150037,#70393,.T.); #184376=EDGE_CURVE('',#150040,#150038,#70394,.T.); #184377=EDGE_CURVE('',#150039,#150040,#70395,.T.); #184378=EDGE_CURVE('',#150041,#150039,#70396,.T.); #184379=EDGE_CURVE('',#150042,#150040,#70397,.T.); #184380=EDGE_CURVE('',#150041,#150042,#70398,.T.); #184381=EDGE_CURVE('',#150043,#150041,#70399,.T.); #184382=EDGE_CURVE('',#150044,#150042,#70400,.T.); #184383=EDGE_CURVE('',#150043,#150044,#70401,.T.); #184384=EDGE_CURVE('',#150045,#150043,#70402,.T.); #184385=EDGE_CURVE('',#150046,#150044,#70403,.T.); #184386=EDGE_CURVE('',#150045,#150046,#70404,.T.); #184387=EDGE_CURVE('',#150047,#150045,#70405,.T.); #184388=EDGE_CURVE('',#150048,#150046,#70406,.T.); #184389=EDGE_CURVE('',#150047,#150048,#70407,.T.); #184390=EDGE_CURVE('',#150049,#150047,#70408,.T.); #184391=EDGE_CURVE('',#150050,#150048,#70409,.T.); #184392=EDGE_CURVE('',#150049,#150050,#70410,.T.); #184393=EDGE_CURVE('',#150051,#150049,#70411,.T.); #184394=EDGE_CURVE('',#150052,#150050,#70412,.T.); #184395=EDGE_CURVE('',#150051,#150052,#70413,.T.); #184396=EDGE_CURVE('',#150053,#150051,#70414,.T.); #184397=EDGE_CURVE('',#150054,#150052,#70415,.T.); #184398=EDGE_CURVE('',#150053,#150054,#70416,.T.); #184399=EDGE_CURVE('',#150055,#150053,#70417,.T.); #184400=EDGE_CURVE('',#150056,#150054,#70418,.T.); #184401=EDGE_CURVE('',#150055,#150056,#70419,.T.); #184402=EDGE_CURVE('',#150057,#150055,#70420,.T.); #184403=EDGE_CURVE('',#150058,#150056,#70421,.T.); #184404=EDGE_CURVE('',#150057,#150058,#70422,.T.); #184405=EDGE_CURVE('',#150059,#150057,#70423,.T.); #184406=EDGE_CURVE('',#150060,#150058,#70424,.T.); #184407=EDGE_CURVE('',#150059,#150060,#70425,.T.); #184408=EDGE_CURVE('',#150061,#150059,#70426,.T.); #184409=EDGE_CURVE('',#150062,#150060,#70427,.T.); #184410=EDGE_CURVE('',#150061,#150062,#70428,.T.); #184411=EDGE_CURVE('',#150063,#150061,#70429,.T.); #184412=EDGE_CURVE('',#150064,#150062,#70430,.T.); #184413=EDGE_CURVE('',#150063,#150064,#70431,.T.); #184414=EDGE_CURVE('',#150065,#150063,#70432,.T.); #184415=EDGE_CURVE('',#150066,#150064,#70433,.T.); #184416=EDGE_CURVE('',#150065,#150066,#70434,.T.); #184417=EDGE_CURVE('',#150067,#150065,#70435,.T.); #184418=EDGE_CURVE('',#150068,#150066,#70436,.T.); #184419=EDGE_CURVE('',#150067,#150068,#70437,.T.); #184420=EDGE_CURVE('',#150069,#150067,#70438,.T.); #184421=EDGE_CURVE('',#150070,#150068,#70439,.T.); #184422=EDGE_CURVE('',#150069,#150070,#70440,.T.); #184423=EDGE_CURVE('',#150010,#150069,#70441,.T.); #184424=EDGE_CURVE('',#150011,#150070,#70442,.T.); #184425=EDGE_CURVE('',#150071,#150072,#70443,.T.); #184426=EDGE_CURVE('',#150072,#150073,#70444,.T.); #184427=EDGE_CURVE('',#150074,#150073,#70445,.T.); #184428=EDGE_CURVE('',#150071,#150074,#70446,.T.); #184429=EDGE_CURVE('',#150075,#150071,#70447,.T.); #184430=EDGE_CURVE('',#150076,#150074,#70448,.T.); #184431=EDGE_CURVE('',#150075,#150076,#70449,.T.); #184432=EDGE_CURVE('',#150077,#150075,#70450,.T.); #184433=EDGE_CURVE('',#150078,#150076,#70451,.T.); #184434=EDGE_CURVE('',#150077,#150078,#70452,.T.); #184435=EDGE_CURVE('',#150079,#150077,#70453,.T.); #184436=EDGE_CURVE('',#150080,#150078,#70454,.T.); #184437=EDGE_CURVE('',#150079,#150080,#70455,.T.); #184438=EDGE_CURVE('',#150081,#150079,#70456,.T.); #184439=EDGE_CURVE('',#150082,#150080,#70457,.T.); #184440=EDGE_CURVE('',#150081,#150082,#70458,.T.); #184441=EDGE_CURVE('',#150083,#150081,#70459,.T.); #184442=EDGE_CURVE('',#150084,#150082,#70460,.T.); #184443=EDGE_CURVE('',#150083,#150084,#70461,.T.); #184444=EDGE_CURVE('',#150085,#150083,#70462,.T.); #184445=EDGE_CURVE('',#150086,#150084,#70463,.T.); #184446=EDGE_CURVE('',#150085,#150086,#70464,.T.); #184447=EDGE_CURVE('',#150087,#150085,#70465,.T.); #184448=EDGE_CURVE('',#150088,#150086,#70466,.T.); #184449=EDGE_CURVE('',#150087,#150088,#70467,.T.); #184450=EDGE_CURVE('',#150089,#150087,#70468,.T.); #184451=EDGE_CURVE('',#150090,#150088,#70469,.T.); #184452=EDGE_CURVE('',#150089,#150090,#70470,.T.); #184453=EDGE_CURVE('',#150091,#150089,#70471,.T.); #184454=EDGE_CURVE('',#150092,#150090,#70472,.T.); #184455=EDGE_CURVE('',#150091,#150092,#70473,.T.); #184456=EDGE_CURVE('',#150093,#150091,#70474,.T.); #184457=EDGE_CURVE('',#150094,#150092,#70475,.T.); #184458=EDGE_CURVE('',#150093,#150094,#70476,.T.); #184459=EDGE_CURVE('',#150095,#150093,#70477,.T.); #184460=EDGE_CURVE('',#150096,#150094,#70478,.T.); #184461=EDGE_CURVE('',#150095,#150096,#70479,.T.); #184462=EDGE_CURVE('',#150097,#150095,#70480,.T.); #184463=EDGE_CURVE('',#150098,#150096,#70481,.T.); #184464=EDGE_CURVE('',#150097,#150098,#70482,.T.); #184465=EDGE_CURVE('',#150099,#150097,#70483,.T.); #184466=EDGE_CURVE('',#150100,#150098,#70484,.T.); #184467=EDGE_CURVE('',#150099,#150100,#70485,.T.); #184468=EDGE_CURVE('',#150101,#150099,#70486,.T.); #184469=EDGE_CURVE('',#150102,#150100,#70487,.T.); #184470=EDGE_CURVE('',#150101,#150102,#70488,.T.); #184471=EDGE_CURVE('',#150103,#150101,#70489,.T.); #184472=EDGE_CURVE('',#150104,#150102,#70490,.T.); #184473=EDGE_CURVE('',#150103,#150104,#70491,.T.); #184474=EDGE_CURVE('',#150105,#150103,#70492,.T.); #184475=EDGE_CURVE('',#150106,#150104,#70493,.T.); #184476=EDGE_CURVE('',#150105,#150106,#70494,.T.); #184477=EDGE_CURVE('',#150107,#150105,#70495,.T.); #184478=EDGE_CURVE('',#150108,#150106,#70496,.T.); #184479=EDGE_CURVE('',#150107,#150108,#70497,.T.); #184480=EDGE_CURVE('',#150109,#150107,#70498,.T.); #184481=EDGE_CURVE('',#150110,#150108,#70499,.T.); #184482=EDGE_CURVE('',#150109,#150110,#70500,.T.); #184483=EDGE_CURVE('',#150111,#150109,#70501,.T.); #184484=EDGE_CURVE('',#150112,#150110,#70502,.T.); #184485=EDGE_CURVE('',#150111,#150112,#70503,.T.); #184486=EDGE_CURVE('',#150072,#150111,#70504,.T.); #184487=EDGE_CURVE('',#150073,#150112,#70505,.T.); #184488=EDGE_CURVE('',#150113,#150114,#70506,.T.); #184489=EDGE_CURVE('',#150114,#150115,#70507,.T.); #184490=EDGE_CURVE('',#150116,#150115,#70508,.T.); #184491=EDGE_CURVE('',#150113,#150116,#70509,.T.); #184492=EDGE_CURVE('',#150117,#150113,#70510,.T.); #184493=EDGE_CURVE('',#150118,#150116,#70511,.T.); #184494=EDGE_CURVE('',#150117,#150118,#70512,.T.); #184495=EDGE_CURVE('',#150119,#150117,#70513,.T.); #184496=EDGE_CURVE('',#150120,#150118,#70514,.T.); #184497=EDGE_CURVE('',#150119,#150120,#70515,.T.); #184498=EDGE_CURVE('',#150121,#150119,#70516,.T.); #184499=EDGE_CURVE('',#150122,#150120,#70517,.T.); #184500=EDGE_CURVE('',#150121,#150122,#70518,.T.); #184501=EDGE_CURVE('',#150123,#150121,#70519,.T.); #184502=EDGE_CURVE('',#150124,#150122,#70520,.T.); #184503=EDGE_CURVE('',#150123,#150124,#70521,.T.); #184504=EDGE_CURVE('',#150125,#150123,#70522,.T.); #184505=EDGE_CURVE('',#150126,#150124,#70523,.T.); #184506=EDGE_CURVE('',#150125,#150126,#70524,.T.); #184507=EDGE_CURVE('',#150127,#150125,#70525,.T.); #184508=EDGE_CURVE('',#150128,#150126,#70526,.T.); #184509=EDGE_CURVE('',#150127,#150128,#70527,.T.); #184510=EDGE_CURVE('',#150129,#150127,#70528,.T.); #184511=EDGE_CURVE('',#150130,#150128,#70529,.T.); #184512=EDGE_CURVE('',#150129,#150130,#70530,.T.); #184513=EDGE_CURVE('',#150131,#150129,#70531,.T.); #184514=EDGE_CURVE('',#150132,#150130,#70532,.T.); #184515=EDGE_CURVE('',#150131,#150132,#70533,.T.); #184516=EDGE_CURVE('',#150133,#150131,#70534,.T.); #184517=EDGE_CURVE('',#150134,#150132,#70535,.T.); #184518=EDGE_CURVE('',#150133,#150134,#70536,.T.); #184519=EDGE_CURVE('',#150135,#150133,#70537,.T.); #184520=EDGE_CURVE('',#150136,#150134,#70538,.T.); #184521=EDGE_CURVE('',#150135,#150136,#70539,.T.); #184522=EDGE_CURVE('',#150137,#150135,#70540,.T.); #184523=EDGE_CURVE('',#150138,#150136,#70541,.T.); #184524=EDGE_CURVE('',#150137,#150138,#70542,.T.); #184525=EDGE_CURVE('',#150139,#150137,#70543,.T.); #184526=EDGE_CURVE('',#150140,#150138,#70544,.T.); #184527=EDGE_CURVE('',#150139,#150140,#70545,.T.); #184528=EDGE_CURVE('',#150141,#150139,#70546,.T.); #184529=EDGE_CURVE('',#150142,#150140,#70547,.T.); #184530=EDGE_CURVE('',#150141,#150142,#70548,.T.); #184531=EDGE_CURVE('',#150143,#150141,#70549,.T.); #184532=EDGE_CURVE('',#150144,#150142,#70550,.T.); #184533=EDGE_CURVE('',#150143,#150144,#70551,.T.); #184534=EDGE_CURVE('',#150145,#150143,#70552,.T.); #184535=EDGE_CURVE('',#150146,#150144,#70553,.T.); #184536=EDGE_CURVE('',#150145,#150146,#70554,.T.); #184537=EDGE_CURVE('',#150147,#150145,#70555,.T.); #184538=EDGE_CURVE('',#150148,#150146,#70556,.T.); #184539=EDGE_CURVE('',#150147,#150148,#70557,.T.); #184540=EDGE_CURVE('',#150149,#150147,#70558,.T.); #184541=EDGE_CURVE('',#150150,#150148,#70559,.T.); #184542=EDGE_CURVE('',#150149,#150150,#70560,.T.); #184543=EDGE_CURVE('',#150151,#150149,#70561,.T.); #184544=EDGE_CURVE('',#150152,#150150,#70562,.T.); #184545=EDGE_CURVE('',#150151,#150152,#70563,.T.); #184546=EDGE_CURVE('',#150153,#150151,#70564,.T.); #184547=EDGE_CURVE('',#150154,#150152,#70565,.T.); #184548=EDGE_CURVE('',#150153,#150154,#70566,.T.); #184549=EDGE_CURVE('',#150155,#150153,#70567,.T.); #184550=EDGE_CURVE('',#150156,#150154,#70568,.T.); #184551=EDGE_CURVE('',#150155,#150156,#70569,.T.); #184552=EDGE_CURVE('',#150157,#150155,#70570,.T.); #184553=EDGE_CURVE('',#150158,#150156,#70571,.T.); #184554=EDGE_CURVE('',#150157,#150158,#70572,.T.); #184555=EDGE_CURVE('',#150159,#150157,#70573,.T.); #184556=EDGE_CURVE('',#150160,#150158,#70574,.T.); #184557=EDGE_CURVE('',#150159,#150160,#70575,.T.); #184558=EDGE_CURVE('',#150161,#150159,#70576,.T.); #184559=EDGE_CURVE('',#150162,#150160,#70577,.T.); #184560=EDGE_CURVE('',#150161,#150162,#70578,.T.); #184561=EDGE_CURVE('',#150163,#150161,#70579,.T.); #184562=EDGE_CURVE('',#150164,#150162,#70580,.T.); #184563=EDGE_CURVE('',#150163,#150164,#70581,.T.); #184564=EDGE_CURVE('',#150165,#150163,#70582,.T.); #184565=EDGE_CURVE('',#150166,#150164,#70583,.T.); #184566=EDGE_CURVE('',#150165,#150166,#70584,.T.); #184567=EDGE_CURVE('',#150167,#150165,#70585,.T.); #184568=EDGE_CURVE('',#150168,#150166,#70586,.T.); #184569=EDGE_CURVE('',#150167,#150168,#70587,.T.); #184570=EDGE_CURVE('',#150169,#150167,#70588,.T.); #184571=EDGE_CURVE('',#150170,#150168,#70589,.T.); #184572=EDGE_CURVE('',#150169,#150170,#70590,.T.); #184573=EDGE_CURVE('',#150171,#150169,#70591,.T.); #184574=EDGE_CURVE('',#150172,#150170,#70592,.T.); #184575=EDGE_CURVE('',#150171,#150172,#70593,.T.); #184576=EDGE_CURVE('',#150173,#150171,#70594,.T.); #184577=EDGE_CURVE('',#150174,#150172,#70595,.T.); #184578=EDGE_CURVE('',#150173,#150174,#70596,.T.); #184579=EDGE_CURVE('',#150175,#150173,#70597,.T.); #184580=EDGE_CURVE('',#150176,#150174,#70598,.T.); #184581=EDGE_CURVE('',#150175,#150176,#70599,.T.); #184582=EDGE_CURVE('',#150177,#150175,#70600,.T.); #184583=EDGE_CURVE('',#150178,#150176,#70601,.T.); #184584=EDGE_CURVE('',#150177,#150178,#70602,.T.); #184585=EDGE_CURVE('',#150179,#150177,#70603,.T.); #184586=EDGE_CURVE('',#150180,#150178,#70604,.T.); #184587=EDGE_CURVE('',#150179,#150180,#70605,.T.); #184588=EDGE_CURVE('',#150181,#150179,#70606,.T.); #184589=EDGE_CURVE('',#150182,#150180,#70607,.T.); #184590=EDGE_CURVE('',#150181,#150182,#70608,.T.); #184591=EDGE_CURVE('',#150183,#150181,#70609,.T.); #184592=EDGE_CURVE('',#150184,#150182,#70610,.T.); #184593=EDGE_CURVE('',#150183,#150184,#70611,.T.); #184594=EDGE_CURVE('',#150185,#150183,#70612,.T.); #184595=EDGE_CURVE('',#150186,#150184,#70613,.T.); #184596=EDGE_CURVE('',#150185,#150186,#70614,.T.); #184597=EDGE_CURVE('',#150187,#150185,#70615,.T.); #184598=EDGE_CURVE('',#150188,#150186,#70616,.T.); #184599=EDGE_CURVE('',#150187,#150188,#70617,.T.); #184600=EDGE_CURVE('',#150189,#150187,#70618,.T.); #184601=EDGE_CURVE('',#150190,#150188,#70619,.T.); #184602=EDGE_CURVE('',#150189,#150190,#70620,.T.); #184603=EDGE_CURVE('',#150191,#150189,#70621,.T.); #184604=EDGE_CURVE('',#150192,#150190,#70622,.T.); #184605=EDGE_CURVE('',#150191,#150192,#70623,.T.); #184606=EDGE_CURVE('',#150114,#150191,#70624,.T.); #184607=EDGE_CURVE('',#150115,#150192,#70625,.T.); #184608=EDGE_CURVE('',#150193,#150194,#70626,.T.); #184609=EDGE_CURVE('',#150194,#150195,#70627,.T.); #184610=EDGE_CURVE('',#150196,#150195,#70628,.T.); #184611=EDGE_CURVE('',#150193,#150196,#70629,.T.); #184612=EDGE_CURVE('',#150197,#150193,#70630,.T.); #184613=EDGE_CURVE('',#150198,#150196,#70631,.T.); #184614=EDGE_CURVE('',#150197,#150198,#70632,.T.); #184615=EDGE_CURVE('',#150199,#150197,#70633,.T.); #184616=EDGE_CURVE('',#150200,#150198,#70634,.T.); #184617=EDGE_CURVE('',#150199,#150200,#70635,.T.); #184618=EDGE_CURVE('',#150201,#150199,#70636,.T.); #184619=EDGE_CURVE('',#150202,#150200,#70637,.T.); #184620=EDGE_CURVE('',#150201,#150202,#70638,.T.); #184621=EDGE_CURVE('',#150203,#150201,#70639,.T.); #184622=EDGE_CURVE('',#150204,#150202,#70640,.T.); #184623=EDGE_CURVE('',#150203,#150204,#70641,.T.); #184624=EDGE_CURVE('',#150205,#150203,#70642,.T.); #184625=EDGE_CURVE('',#150206,#150204,#70643,.T.); #184626=EDGE_CURVE('',#150205,#150206,#70644,.T.); #184627=EDGE_CURVE('',#150207,#150205,#70645,.T.); #184628=EDGE_CURVE('',#150208,#150206,#70646,.T.); #184629=EDGE_CURVE('',#150207,#150208,#70647,.T.); #184630=EDGE_CURVE('',#150209,#150207,#70648,.T.); #184631=EDGE_CURVE('',#150210,#150208,#70649,.T.); #184632=EDGE_CURVE('',#150209,#150210,#70650,.T.); #184633=EDGE_CURVE('',#150211,#150209,#70651,.T.); #184634=EDGE_CURVE('',#150212,#150210,#70652,.T.); #184635=EDGE_CURVE('',#150211,#150212,#70653,.T.); #184636=EDGE_CURVE('',#150213,#150211,#70654,.T.); #184637=EDGE_CURVE('',#150214,#150212,#70655,.T.); #184638=EDGE_CURVE('',#150213,#150214,#70656,.T.); #184639=EDGE_CURVE('',#150215,#150213,#70657,.T.); #184640=EDGE_CURVE('',#150216,#150214,#70658,.T.); #184641=EDGE_CURVE('',#150215,#150216,#70659,.T.); #184642=EDGE_CURVE('',#150217,#150215,#70660,.T.); #184643=EDGE_CURVE('',#150218,#150216,#70661,.T.); #184644=EDGE_CURVE('',#150217,#150218,#70662,.T.); #184645=EDGE_CURVE('',#150219,#150217,#70663,.T.); #184646=EDGE_CURVE('',#150220,#150218,#70664,.T.); #184647=EDGE_CURVE('',#150219,#150220,#70665,.T.); #184648=EDGE_CURVE('',#150221,#150219,#70666,.T.); #184649=EDGE_CURVE('',#150222,#150220,#70667,.T.); #184650=EDGE_CURVE('',#150221,#150222,#70668,.T.); #184651=EDGE_CURVE('',#150223,#150221,#70669,.T.); #184652=EDGE_CURVE('',#150224,#150222,#70670,.T.); #184653=EDGE_CURVE('',#150223,#150224,#70671,.T.); #184654=EDGE_CURVE('',#150225,#150223,#70672,.T.); #184655=EDGE_CURVE('',#150226,#150224,#70673,.T.); #184656=EDGE_CURVE('',#150225,#150226,#70674,.T.); #184657=EDGE_CURVE('',#150227,#150225,#70675,.T.); #184658=EDGE_CURVE('',#150228,#150226,#70676,.T.); #184659=EDGE_CURVE('',#150227,#150228,#70677,.T.); #184660=EDGE_CURVE('',#150229,#150227,#70678,.T.); #184661=EDGE_CURVE('',#150230,#150228,#70679,.T.); #184662=EDGE_CURVE('',#150229,#150230,#70680,.T.); #184663=EDGE_CURVE('',#150231,#150229,#70681,.T.); #184664=EDGE_CURVE('',#150232,#150230,#70682,.T.); #184665=EDGE_CURVE('',#150231,#150232,#70683,.T.); #184666=EDGE_CURVE('',#150194,#150231,#70684,.T.); #184667=EDGE_CURVE('',#150195,#150232,#70685,.T.); #184668=EDGE_CURVE('',#150233,#150234,#70686,.T.); #184669=EDGE_CURVE('',#150234,#150235,#70687,.T.); #184670=EDGE_CURVE('',#150236,#150235,#70688,.T.); #184671=EDGE_CURVE('',#150233,#150236,#70689,.T.); #184672=EDGE_CURVE('',#150237,#150233,#70690,.T.); #184673=EDGE_CURVE('',#150238,#150236,#70691,.T.); #184674=EDGE_CURVE('',#150237,#150238,#70692,.T.); #184675=EDGE_CURVE('',#150239,#150237,#70693,.T.); #184676=EDGE_CURVE('',#150240,#150238,#70694,.T.); #184677=EDGE_CURVE('',#150239,#150240,#70695,.T.); #184678=EDGE_CURVE('',#150241,#150239,#70696,.T.); #184679=EDGE_CURVE('',#150242,#150240,#70697,.T.); #184680=EDGE_CURVE('',#150241,#150242,#70698,.T.); #184681=EDGE_CURVE('',#150243,#150241,#70699,.T.); #184682=EDGE_CURVE('',#150244,#150242,#70700,.T.); #184683=EDGE_CURVE('',#150243,#150244,#70701,.T.); #184684=EDGE_CURVE('',#150245,#150243,#70702,.T.); #184685=EDGE_CURVE('',#150246,#150244,#70703,.T.); #184686=EDGE_CURVE('',#150245,#150246,#70704,.T.); #184687=EDGE_CURVE('',#150247,#150245,#70705,.T.); #184688=EDGE_CURVE('',#150248,#150246,#70706,.T.); #184689=EDGE_CURVE('',#150247,#150248,#70707,.T.); #184690=EDGE_CURVE('',#150249,#150247,#70708,.T.); #184691=EDGE_CURVE('',#150250,#150248,#70709,.T.); #184692=EDGE_CURVE('',#150249,#150250,#70710,.T.); #184693=EDGE_CURVE('',#150251,#150249,#70711,.T.); #184694=EDGE_CURVE('',#150252,#150250,#70712,.T.); #184695=EDGE_CURVE('',#150251,#150252,#70713,.T.); #184696=EDGE_CURVE('',#150253,#150251,#70714,.T.); #184697=EDGE_CURVE('',#150254,#150252,#70715,.T.); #184698=EDGE_CURVE('',#150253,#150254,#70716,.T.); #184699=EDGE_CURVE('',#150255,#150253,#70717,.T.); #184700=EDGE_CURVE('',#150256,#150254,#70718,.T.); #184701=EDGE_CURVE('',#150255,#150256,#70719,.T.); #184702=EDGE_CURVE('',#150257,#150255,#70720,.T.); #184703=EDGE_CURVE('',#150258,#150256,#70721,.T.); #184704=EDGE_CURVE('',#150257,#150258,#70722,.T.); #184705=EDGE_CURVE('',#150259,#150257,#70723,.T.); #184706=EDGE_CURVE('',#150260,#150258,#70724,.T.); #184707=EDGE_CURVE('',#150259,#150260,#70725,.T.); #184708=EDGE_CURVE('',#150261,#150259,#70726,.T.); #184709=EDGE_CURVE('',#150262,#150260,#70727,.T.); #184710=EDGE_CURVE('',#150261,#150262,#70728,.T.); #184711=EDGE_CURVE('',#150263,#150261,#70729,.T.); #184712=EDGE_CURVE('',#150264,#150262,#70730,.T.); #184713=EDGE_CURVE('',#150263,#150264,#70731,.T.); #184714=EDGE_CURVE('',#150265,#150263,#70732,.T.); #184715=EDGE_CURVE('',#150266,#150264,#70733,.T.); #184716=EDGE_CURVE('',#150265,#150266,#70734,.T.); #184717=EDGE_CURVE('',#150267,#150265,#70735,.T.); #184718=EDGE_CURVE('',#150268,#150266,#70736,.T.); #184719=EDGE_CURVE('',#150267,#150268,#70737,.T.); #184720=EDGE_CURVE('',#150269,#150267,#70738,.T.); #184721=EDGE_CURVE('',#150270,#150268,#70739,.T.); #184722=EDGE_CURVE('',#150269,#150270,#70740,.T.); #184723=EDGE_CURVE('',#150271,#150269,#70741,.T.); #184724=EDGE_CURVE('',#150272,#150270,#70742,.T.); #184725=EDGE_CURVE('',#150271,#150272,#70743,.T.); #184726=EDGE_CURVE('',#150234,#150271,#70744,.T.); #184727=EDGE_CURVE('',#150235,#150272,#70745,.T.); #184728=EDGE_CURVE('',#150273,#150274,#70746,.T.); #184729=EDGE_CURVE('',#150274,#150275,#70747,.T.); #184730=EDGE_CURVE('',#150276,#150275,#70748,.T.); #184731=EDGE_CURVE('',#150273,#150276,#70749,.T.); #184732=EDGE_CURVE('',#150277,#150273,#70750,.T.); #184733=EDGE_CURVE('',#150278,#150276,#70751,.T.); #184734=EDGE_CURVE('',#150277,#150278,#70752,.T.); #184735=EDGE_CURVE('',#150279,#150277,#70753,.T.); #184736=EDGE_CURVE('',#150280,#150278,#70754,.T.); #184737=EDGE_CURVE('',#150279,#150280,#70755,.T.); #184738=EDGE_CURVE('',#150281,#150279,#70756,.T.); #184739=EDGE_CURVE('',#150282,#150280,#70757,.T.); #184740=EDGE_CURVE('',#150281,#150282,#70758,.T.); #184741=EDGE_CURVE('',#150283,#150281,#70759,.T.); #184742=EDGE_CURVE('',#150284,#150282,#70760,.T.); #184743=EDGE_CURVE('',#150283,#150284,#70761,.T.); #184744=EDGE_CURVE('',#150285,#150283,#70762,.T.); #184745=EDGE_CURVE('',#150286,#150284,#70763,.T.); #184746=EDGE_CURVE('',#150285,#150286,#70764,.T.); #184747=EDGE_CURVE('',#150287,#150285,#70765,.T.); #184748=EDGE_CURVE('',#150288,#150286,#70766,.T.); #184749=EDGE_CURVE('',#150287,#150288,#70767,.T.); #184750=EDGE_CURVE('',#150289,#150287,#70768,.T.); #184751=EDGE_CURVE('',#150290,#150288,#70769,.T.); #184752=EDGE_CURVE('',#150289,#150290,#70770,.T.); #184753=EDGE_CURVE('',#150291,#150289,#70771,.T.); #184754=EDGE_CURVE('',#150292,#150290,#70772,.T.); #184755=EDGE_CURVE('',#150291,#150292,#70773,.T.); #184756=EDGE_CURVE('',#150293,#150291,#70774,.T.); #184757=EDGE_CURVE('',#150294,#150292,#70775,.T.); #184758=EDGE_CURVE('',#150293,#150294,#70776,.T.); #184759=EDGE_CURVE('',#150295,#150293,#70777,.T.); #184760=EDGE_CURVE('',#150296,#150294,#70778,.T.); #184761=EDGE_CURVE('',#150295,#150296,#70779,.T.); #184762=EDGE_CURVE('',#150297,#150295,#70780,.T.); #184763=EDGE_CURVE('',#150298,#150296,#70781,.T.); #184764=EDGE_CURVE('',#150297,#150298,#70782,.T.); #184765=EDGE_CURVE('',#150299,#150297,#70783,.T.); #184766=EDGE_CURVE('',#150300,#150298,#70784,.T.); #184767=EDGE_CURVE('',#150299,#150300,#70785,.T.); #184768=EDGE_CURVE('',#150301,#150299,#70786,.T.); #184769=EDGE_CURVE('',#150302,#150300,#70787,.T.); #184770=EDGE_CURVE('',#150301,#150302,#70788,.T.); #184771=EDGE_CURVE('',#150303,#150301,#70789,.T.); #184772=EDGE_CURVE('',#150304,#150302,#70790,.T.); #184773=EDGE_CURVE('',#150303,#150304,#70791,.T.); #184774=EDGE_CURVE('',#150305,#150303,#70792,.T.); #184775=EDGE_CURVE('',#150306,#150304,#70793,.T.); #184776=EDGE_CURVE('',#150305,#150306,#70794,.T.); #184777=EDGE_CURVE('',#150307,#150305,#70795,.T.); #184778=EDGE_CURVE('',#150308,#150306,#70796,.T.); #184779=EDGE_CURVE('',#150307,#150308,#70797,.T.); #184780=EDGE_CURVE('',#150309,#150307,#70798,.T.); #184781=EDGE_CURVE('',#150310,#150308,#70799,.T.); #184782=EDGE_CURVE('',#150309,#150310,#70800,.T.); #184783=EDGE_CURVE('',#150311,#150309,#70801,.T.); #184784=EDGE_CURVE('',#150312,#150310,#70802,.T.); #184785=EDGE_CURVE('',#150311,#150312,#70803,.T.); #184786=EDGE_CURVE('',#150274,#150311,#70804,.T.); #184787=EDGE_CURVE('',#150275,#150312,#70805,.T.); #184788=EDGE_CURVE('',#150313,#150314,#70806,.T.); #184789=EDGE_CURVE('',#150314,#150315,#70807,.T.); #184790=EDGE_CURVE('',#150316,#150315,#70808,.T.); #184791=EDGE_CURVE('',#150313,#150316,#70809,.T.); #184792=EDGE_CURVE('',#150317,#150313,#70810,.T.); #184793=EDGE_CURVE('',#150318,#150316,#70811,.T.); #184794=EDGE_CURVE('',#150317,#150318,#70812,.T.); #184795=EDGE_CURVE('',#150319,#150317,#70813,.T.); #184796=EDGE_CURVE('',#150320,#150318,#70814,.T.); #184797=EDGE_CURVE('',#150319,#150320,#70815,.T.); #184798=EDGE_CURVE('',#150321,#150319,#70816,.T.); #184799=EDGE_CURVE('',#150322,#150320,#70817,.T.); #184800=EDGE_CURVE('',#150321,#150322,#70818,.T.); #184801=EDGE_CURVE('',#150323,#150321,#70819,.T.); #184802=EDGE_CURVE('',#150324,#150322,#70820,.T.); #184803=EDGE_CURVE('',#150323,#150324,#70821,.T.); #184804=EDGE_CURVE('',#150325,#150323,#70822,.T.); #184805=EDGE_CURVE('',#150326,#150324,#70823,.T.); #184806=EDGE_CURVE('',#150325,#150326,#70824,.T.); #184807=EDGE_CURVE('',#150327,#150325,#70825,.T.); #184808=EDGE_CURVE('',#150328,#150326,#70826,.T.); #184809=EDGE_CURVE('',#150327,#150328,#70827,.T.); #184810=EDGE_CURVE('',#150329,#150327,#70828,.T.); #184811=EDGE_CURVE('',#150330,#150328,#70829,.T.); #184812=EDGE_CURVE('',#150329,#150330,#70830,.T.); #184813=EDGE_CURVE('',#150331,#150329,#70831,.T.); #184814=EDGE_CURVE('',#150332,#150330,#70832,.T.); #184815=EDGE_CURVE('',#150331,#150332,#70833,.T.); #184816=EDGE_CURVE('',#150333,#150331,#70834,.T.); #184817=EDGE_CURVE('',#150334,#150332,#70835,.T.); #184818=EDGE_CURVE('',#150333,#150334,#70836,.T.); #184819=EDGE_CURVE('',#150335,#150333,#70837,.T.); #184820=EDGE_CURVE('',#150336,#150334,#70838,.T.); #184821=EDGE_CURVE('',#150335,#150336,#70839,.T.); #184822=EDGE_CURVE('',#150337,#150335,#70840,.T.); #184823=EDGE_CURVE('',#150338,#150336,#70841,.T.); #184824=EDGE_CURVE('',#150337,#150338,#70842,.T.); #184825=EDGE_CURVE('',#150339,#150337,#70843,.T.); #184826=EDGE_CURVE('',#150340,#150338,#70844,.T.); #184827=EDGE_CURVE('',#150339,#150340,#70845,.T.); #184828=EDGE_CURVE('',#150341,#150339,#70846,.T.); #184829=EDGE_CURVE('',#150342,#150340,#70847,.T.); #184830=EDGE_CURVE('',#150341,#150342,#70848,.T.); #184831=EDGE_CURVE('',#150343,#150341,#70849,.T.); #184832=EDGE_CURVE('',#150344,#150342,#70850,.T.); #184833=EDGE_CURVE('',#150343,#150344,#70851,.T.); #184834=EDGE_CURVE('',#150345,#150343,#70852,.T.); #184835=EDGE_CURVE('',#150346,#150344,#70853,.T.); #184836=EDGE_CURVE('',#150345,#150346,#70854,.T.); #184837=EDGE_CURVE('',#150347,#150345,#70855,.T.); #184838=EDGE_CURVE('',#150348,#150346,#70856,.T.); #184839=EDGE_CURVE('',#150347,#150348,#70857,.T.); #184840=EDGE_CURVE('',#150349,#150347,#70858,.T.); #184841=EDGE_CURVE('',#150350,#150348,#70859,.T.); #184842=EDGE_CURVE('',#150349,#150350,#70860,.T.); #184843=EDGE_CURVE('',#150351,#150349,#70861,.T.); #184844=EDGE_CURVE('',#150352,#150350,#70862,.T.); #184845=EDGE_CURVE('',#150351,#150352,#70863,.T.); #184846=EDGE_CURVE('',#150353,#150351,#70864,.T.); #184847=EDGE_CURVE('',#150354,#150352,#70865,.T.); #184848=EDGE_CURVE('',#150353,#150354,#70866,.T.); #184849=EDGE_CURVE('',#150355,#150353,#70867,.T.); #184850=EDGE_CURVE('',#150356,#150354,#70868,.T.); #184851=EDGE_CURVE('',#150355,#150356,#70869,.T.); #184852=EDGE_CURVE('',#150357,#150355,#70870,.T.); #184853=EDGE_CURVE('',#150358,#150356,#70871,.T.); #184854=EDGE_CURVE('',#150357,#150358,#70872,.T.); #184855=EDGE_CURVE('',#150359,#150357,#70873,.T.); #184856=EDGE_CURVE('',#150360,#150358,#70874,.T.); #184857=EDGE_CURVE('',#150359,#150360,#70875,.T.); #184858=EDGE_CURVE('',#150361,#150359,#70876,.T.); #184859=EDGE_CURVE('',#150362,#150360,#70877,.T.); #184860=EDGE_CURVE('',#150361,#150362,#70878,.T.); #184861=EDGE_CURVE('',#150363,#150361,#70879,.T.); #184862=EDGE_CURVE('',#150364,#150362,#70880,.T.); #184863=EDGE_CURVE('',#150363,#150364,#70881,.T.); #184864=EDGE_CURVE('',#150365,#150363,#70882,.T.); #184865=EDGE_CURVE('',#150366,#150364,#70883,.T.); #184866=EDGE_CURVE('',#150365,#150366,#70884,.T.); #184867=EDGE_CURVE('',#150367,#150365,#70885,.T.); #184868=EDGE_CURVE('',#150368,#150366,#70886,.T.); #184869=EDGE_CURVE('',#150367,#150368,#70887,.T.); #184870=EDGE_CURVE('',#150369,#150367,#70888,.T.); #184871=EDGE_CURVE('',#150370,#150368,#70889,.T.); #184872=EDGE_CURVE('',#150369,#150370,#70890,.T.); #184873=EDGE_CURVE('',#150371,#150369,#70891,.T.); #184874=EDGE_CURVE('',#150372,#150370,#70892,.T.); #184875=EDGE_CURVE('',#150371,#150372,#70893,.T.); #184876=EDGE_CURVE('',#150373,#150371,#70894,.T.); #184877=EDGE_CURVE('',#150374,#150372,#70895,.T.); #184878=EDGE_CURVE('',#150373,#150374,#70896,.T.); #184879=EDGE_CURVE('',#150375,#150373,#70897,.T.); #184880=EDGE_CURVE('',#150376,#150374,#70898,.T.); #184881=EDGE_CURVE('',#150375,#150376,#70899,.T.); #184882=EDGE_CURVE('',#150377,#150375,#70900,.T.); #184883=EDGE_CURVE('',#150378,#150376,#70901,.T.); #184884=EDGE_CURVE('',#150377,#150378,#70902,.T.); #184885=EDGE_CURVE('',#150379,#150377,#70903,.T.); #184886=EDGE_CURVE('',#150380,#150378,#70904,.T.); #184887=EDGE_CURVE('',#150379,#150380,#70905,.T.); #184888=EDGE_CURVE('',#150381,#150379,#70906,.T.); #184889=EDGE_CURVE('',#150382,#150380,#70907,.T.); #184890=EDGE_CURVE('',#150381,#150382,#70908,.T.); #184891=EDGE_CURVE('',#150383,#150381,#70909,.T.); #184892=EDGE_CURVE('',#150384,#150382,#70910,.T.); #184893=EDGE_CURVE('',#150383,#150384,#70911,.T.); #184894=EDGE_CURVE('',#150385,#150383,#70912,.T.); #184895=EDGE_CURVE('',#150386,#150384,#70913,.T.); #184896=EDGE_CURVE('',#150385,#150386,#70914,.T.); #184897=EDGE_CURVE('',#150387,#150385,#70915,.T.); #184898=EDGE_CURVE('',#150388,#150386,#70916,.T.); #184899=EDGE_CURVE('',#150387,#150388,#70917,.T.); #184900=EDGE_CURVE('',#150389,#150387,#70918,.T.); #184901=EDGE_CURVE('',#150390,#150388,#70919,.T.); #184902=EDGE_CURVE('',#150389,#150390,#70920,.T.); #184903=EDGE_CURVE('',#150391,#150389,#70921,.T.); #184904=EDGE_CURVE('',#150392,#150390,#70922,.T.); #184905=EDGE_CURVE('',#150391,#150392,#70923,.T.); #184906=EDGE_CURVE('',#150314,#150391,#70924,.T.); #184907=EDGE_CURVE('',#150315,#150392,#70925,.T.); #184908=EDGE_CURVE('',#150393,#150393,#135843,.T.); #184909=EDGE_CURVE('',#150393,#150394,#70926,.T.); #184910=EDGE_CURVE('',#150394,#150394,#135844,.T.); #184911=EDGE_CURVE('',#150395,#150396,#70927,.T.); #184912=EDGE_CURVE('',#150397,#150395,#70928,.T.); #184913=EDGE_CURVE('',#150398,#150397,#70929,.T.); #184914=EDGE_CURVE('',#150398,#150396,#70930,.T.); #184915=EDGE_CURVE('',#150396,#150399,#135845,.T.); #184916=EDGE_CURVE('',#150400,#150398,#135846,.T.); #184917=EDGE_CURVE('',#150400,#150399,#70931,.T.); #184918=EDGE_CURVE('',#150399,#150401,#70932,.T.); #184919=EDGE_CURVE('',#150402,#150400,#70933,.T.); #184920=EDGE_CURVE('',#150402,#150401,#70934,.T.); #184921=EDGE_CURVE('',#150401,#150395,#135847,.T.); #184922=EDGE_CURVE('',#150397,#150402,#135848,.T.); #184923=EDGE_CURVE('',#150403,#150404,#70935,.T.); #184924=EDGE_CURVE('',#150404,#150405,#70936,.T.); #184925=EDGE_CURVE('',#150406,#150405,#70937,.T.); #184926=EDGE_CURVE('',#150403,#150406,#70938,.T.); #184927=EDGE_CURVE('',#150407,#150403,#70939,.T.); #184928=EDGE_CURVE('',#150408,#150406,#70940,.T.); #184929=EDGE_CURVE('',#150407,#150408,#70941,.T.); #184930=EDGE_CURVE('',#150409,#150407,#70942,.T.); #184931=EDGE_CURVE('',#150410,#150408,#70943,.T.); #184932=EDGE_CURVE('',#150409,#150410,#70944,.T.); #184933=EDGE_CURVE('',#150411,#150409,#70945,.T.); #184934=EDGE_CURVE('',#150412,#150410,#70946,.T.); #184935=EDGE_CURVE('',#150411,#150412,#70947,.T.); #184936=EDGE_CURVE('',#150413,#150411,#70948,.T.); #184937=EDGE_CURVE('',#150414,#150412,#70949,.T.); #184938=EDGE_CURVE('',#150413,#150414,#70950,.T.); #184939=EDGE_CURVE('',#150415,#150413,#70951,.T.); #184940=EDGE_CURVE('',#150416,#150414,#70952,.T.); #184941=EDGE_CURVE('',#150415,#150416,#70953,.T.); #184942=EDGE_CURVE('',#150417,#150415,#70954,.T.); #184943=EDGE_CURVE('',#150418,#150416,#70955,.T.); #184944=EDGE_CURVE('',#150417,#150418,#70956,.T.); #184945=EDGE_CURVE('',#150419,#150417,#70957,.T.); #184946=EDGE_CURVE('',#150420,#150418,#70958,.T.); #184947=EDGE_CURVE('',#150419,#150420,#70959,.T.); #184948=EDGE_CURVE('',#150421,#150419,#70960,.T.); #184949=EDGE_CURVE('',#150422,#150420,#70961,.T.); #184950=EDGE_CURVE('',#150421,#150422,#70962,.T.); #184951=EDGE_CURVE('',#150423,#150421,#70963,.T.); #184952=EDGE_CURVE('',#150424,#150422,#70964,.T.); #184953=EDGE_CURVE('',#150423,#150424,#70965,.T.); #184954=EDGE_CURVE('',#150425,#150423,#70966,.T.); #184955=EDGE_CURVE('',#150426,#150424,#70967,.T.); #184956=EDGE_CURVE('',#150425,#150426,#70968,.T.); #184957=EDGE_CURVE('',#150427,#150425,#70969,.T.); #184958=EDGE_CURVE('',#150428,#150426,#70970,.T.); #184959=EDGE_CURVE('',#150427,#150428,#70971,.T.); #184960=EDGE_CURVE('',#150429,#150427,#70972,.T.); #184961=EDGE_CURVE('',#150430,#150428,#70973,.T.); #184962=EDGE_CURVE('',#150429,#150430,#70974,.T.); #184963=EDGE_CURVE('',#150431,#150429,#70975,.T.); #184964=EDGE_CURVE('',#150432,#150430,#70976,.T.); #184965=EDGE_CURVE('',#150431,#150432,#70977,.T.); #184966=EDGE_CURVE('',#150433,#150431,#70978,.T.); #184967=EDGE_CURVE('',#150434,#150432,#70979,.T.); #184968=EDGE_CURVE('',#150433,#150434,#70980,.T.); #184969=EDGE_CURVE('',#150435,#150433,#70981,.T.); #184970=EDGE_CURVE('',#150436,#150434,#70982,.T.); #184971=EDGE_CURVE('',#150435,#150436,#70983,.T.); #184972=EDGE_CURVE('',#150437,#150435,#70984,.T.); #184973=EDGE_CURVE('',#150438,#150436,#70985,.T.); #184974=EDGE_CURVE('',#150437,#150438,#70986,.T.); #184975=EDGE_CURVE('',#150439,#150437,#70987,.T.); #184976=EDGE_CURVE('',#150440,#150438,#70988,.T.); #184977=EDGE_CURVE('',#150439,#150440,#70989,.T.); #184978=EDGE_CURVE('',#150441,#150439,#70990,.T.); #184979=EDGE_CURVE('',#150442,#150440,#70991,.T.); #184980=EDGE_CURVE('',#150441,#150442,#70992,.T.); #184981=EDGE_CURVE('',#150443,#150441,#70993,.T.); #184982=EDGE_CURVE('',#150444,#150442,#70994,.T.); #184983=EDGE_CURVE('',#150443,#150444,#70995,.T.); #184984=EDGE_CURVE('',#150445,#150443,#70996,.T.); #184985=EDGE_CURVE('',#150446,#150444,#70997,.T.); #184986=EDGE_CURVE('',#150445,#150446,#70998,.T.); #184987=EDGE_CURVE('',#150447,#150445,#70999,.T.); #184988=EDGE_CURVE('',#150448,#150446,#71000,.T.); #184989=EDGE_CURVE('',#150447,#150448,#71001,.T.); #184990=EDGE_CURVE('',#150449,#150447,#71002,.T.); #184991=EDGE_CURVE('',#150450,#150448,#71003,.T.); #184992=EDGE_CURVE('',#150449,#150450,#71004,.T.); #184993=EDGE_CURVE('',#150451,#150449,#71005,.T.); #184994=EDGE_CURVE('',#150452,#150450,#71006,.T.); #184995=EDGE_CURVE('',#150451,#150452,#71007,.T.); #184996=EDGE_CURVE('',#150453,#150451,#71008,.T.); #184997=EDGE_CURVE('',#150454,#150452,#71009,.T.); #184998=EDGE_CURVE('',#150453,#150454,#71010,.T.); #184999=EDGE_CURVE('',#150455,#150453,#71011,.T.); #185000=EDGE_CURVE('',#150456,#150454,#71012,.T.); #185001=EDGE_CURVE('',#150455,#150456,#71013,.T.); #185002=EDGE_CURVE('',#150457,#150455,#71014,.T.); #185003=EDGE_CURVE('',#150458,#150456,#71015,.T.); #185004=EDGE_CURVE('',#150457,#150458,#71016,.T.); #185005=EDGE_CURVE('',#150459,#150457,#71017,.T.); #185006=EDGE_CURVE('',#150460,#150458,#71018,.T.); #185007=EDGE_CURVE('',#150459,#150460,#71019,.T.); #185008=EDGE_CURVE('',#150461,#150459,#71020,.T.); #185009=EDGE_CURVE('',#150462,#150460,#71021,.T.); #185010=EDGE_CURVE('',#150461,#150462,#71022,.T.); #185011=EDGE_CURVE('',#150463,#150461,#71023,.T.); #185012=EDGE_CURVE('',#150464,#150462,#71024,.T.); #185013=EDGE_CURVE('',#150463,#150464,#71025,.T.); #185014=EDGE_CURVE('',#150465,#150463,#71026,.T.); #185015=EDGE_CURVE('',#150466,#150464,#71027,.T.); #185016=EDGE_CURVE('',#150465,#150466,#71028,.T.); #185017=EDGE_CURVE('',#150467,#150465,#71029,.T.); #185018=EDGE_CURVE('',#150468,#150466,#71030,.T.); #185019=EDGE_CURVE('',#150467,#150468,#71031,.T.); #185020=EDGE_CURVE('',#150469,#150467,#71032,.T.); #185021=EDGE_CURVE('',#150470,#150468,#71033,.T.); #185022=EDGE_CURVE('',#150469,#150470,#71034,.T.); #185023=EDGE_CURVE('',#150471,#150469,#71035,.T.); #185024=EDGE_CURVE('',#150472,#150470,#71036,.T.); #185025=EDGE_CURVE('',#150471,#150472,#71037,.T.); #185026=EDGE_CURVE('',#150473,#150471,#71038,.T.); #185027=EDGE_CURVE('',#150474,#150472,#71039,.T.); #185028=EDGE_CURVE('',#150473,#150474,#71040,.T.); #185029=EDGE_CURVE('',#150475,#150473,#71041,.T.); #185030=EDGE_CURVE('',#150476,#150474,#71042,.T.); #185031=EDGE_CURVE('',#150475,#150476,#71043,.T.); #185032=EDGE_CURVE('',#150477,#150475,#71044,.T.); #185033=EDGE_CURVE('',#150478,#150476,#71045,.T.); #185034=EDGE_CURVE('',#150477,#150478,#71046,.T.); #185035=EDGE_CURVE('',#150479,#150477,#71047,.T.); #185036=EDGE_CURVE('',#150480,#150478,#71048,.T.); #185037=EDGE_CURVE('',#150479,#150480,#71049,.T.); #185038=EDGE_CURVE('',#150481,#150479,#71050,.T.); #185039=EDGE_CURVE('',#150482,#150480,#71051,.T.); #185040=EDGE_CURVE('',#150481,#150482,#71052,.T.); #185041=EDGE_CURVE('',#150483,#150481,#71053,.T.); #185042=EDGE_CURVE('',#150484,#150482,#71054,.T.); #185043=EDGE_CURVE('',#150483,#150484,#71055,.T.); #185044=EDGE_CURVE('',#150485,#150483,#71056,.T.); #185045=EDGE_CURVE('',#150486,#150484,#71057,.T.); #185046=EDGE_CURVE('',#150485,#150486,#71058,.T.); #185047=EDGE_CURVE('',#150487,#150485,#71059,.T.); #185048=EDGE_CURVE('',#150488,#150486,#71060,.T.); #185049=EDGE_CURVE('',#150487,#150488,#71061,.T.); #185050=EDGE_CURVE('',#150489,#150487,#71062,.T.); #185051=EDGE_CURVE('',#150490,#150488,#71063,.T.); #185052=EDGE_CURVE('',#150489,#150490,#71064,.T.); #185053=EDGE_CURVE('',#150491,#150489,#71065,.T.); #185054=EDGE_CURVE('',#150492,#150490,#71066,.T.); #185055=EDGE_CURVE('',#150491,#150492,#71067,.T.); #185056=EDGE_CURVE('',#150493,#150491,#71068,.T.); #185057=EDGE_CURVE('',#150494,#150492,#71069,.T.); #185058=EDGE_CURVE('',#150493,#150494,#71070,.T.); #185059=EDGE_CURVE('',#150495,#150493,#71071,.T.); #185060=EDGE_CURVE('',#150496,#150494,#71072,.T.); #185061=EDGE_CURVE('',#150495,#150496,#71073,.T.); #185062=EDGE_CURVE('',#150497,#150495,#71074,.T.); #185063=EDGE_CURVE('',#150498,#150496,#71075,.T.); #185064=EDGE_CURVE('',#150497,#150498,#71076,.T.); #185065=EDGE_CURVE('',#150499,#150497,#71077,.T.); #185066=EDGE_CURVE('',#150500,#150498,#71078,.T.); #185067=EDGE_CURVE('',#150499,#150500,#71079,.T.); #185068=EDGE_CURVE('',#150501,#150499,#71080,.T.); #185069=EDGE_CURVE('',#150502,#150500,#71081,.T.); #185070=EDGE_CURVE('',#150501,#150502,#71082,.T.); #185071=EDGE_CURVE('',#150503,#150501,#71083,.T.); #185072=EDGE_CURVE('',#150504,#150502,#71084,.T.); #185073=EDGE_CURVE('',#150503,#150504,#71085,.T.); #185074=EDGE_CURVE('',#150505,#150503,#71086,.T.); #185075=EDGE_CURVE('',#150506,#150504,#71087,.T.); #185076=EDGE_CURVE('',#150505,#150506,#71088,.T.); #185077=EDGE_CURVE('',#150507,#150505,#71089,.T.); #185078=EDGE_CURVE('',#150508,#150506,#71090,.T.); #185079=EDGE_CURVE('',#150507,#150508,#71091,.T.); #185080=EDGE_CURVE('',#150509,#150507,#71092,.T.); #185081=EDGE_CURVE('',#150510,#150508,#71093,.T.); #185082=EDGE_CURVE('',#150509,#150510,#71094,.T.); #185083=EDGE_CURVE('',#150511,#150509,#71095,.T.); #185084=EDGE_CURVE('',#150512,#150510,#71096,.T.); #185085=EDGE_CURVE('',#150511,#150512,#71097,.T.); #185086=EDGE_CURVE('',#150513,#150511,#71098,.T.); #185087=EDGE_CURVE('',#150514,#150512,#71099,.T.); #185088=EDGE_CURVE('',#150513,#150514,#71100,.T.); #185089=EDGE_CURVE('',#150515,#150513,#71101,.T.); #185090=EDGE_CURVE('',#150516,#150514,#71102,.T.); #185091=EDGE_CURVE('',#150515,#150516,#71103,.T.); #185092=EDGE_CURVE('',#150517,#150515,#71104,.T.); #185093=EDGE_CURVE('',#150518,#150516,#71105,.T.); #185094=EDGE_CURVE('',#150517,#150518,#71106,.T.); #185095=EDGE_CURVE('',#150519,#150517,#71107,.T.); #185096=EDGE_CURVE('',#150520,#150518,#71108,.T.); #185097=EDGE_CURVE('',#150519,#150520,#71109,.T.); #185098=EDGE_CURVE('',#150521,#150519,#71110,.T.); #185099=EDGE_CURVE('',#150522,#150520,#71111,.T.); #185100=EDGE_CURVE('',#150521,#150522,#71112,.T.); #185101=EDGE_CURVE('',#150523,#150521,#71113,.T.); #185102=EDGE_CURVE('',#150524,#150522,#71114,.T.); #185103=EDGE_CURVE('',#150523,#150524,#71115,.T.); #185104=EDGE_CURVE('',#150525,#150523,#71116,.T.); #185105=EDGE_CURVE('',#150526,#150524,#71117,.T.); #185106=EDGE_CURVE('',#150525,#150526,#71118,.T.); #185107=EDGE_CURVE('',#150527,#150525,#71119,.T.); #185108=EDGE_CURVE('',#150528,#150526,#71120,.T.); #185109=EDGE_CURVE('',#150527,#150528,#71121,.T.); #185110=EDGE_CURVE('',#150529,#150527,#71122,.T.); #185111=EDGE_CURVE('',#150530,#150528,#71123,.T.); #185112=EDGE_CURVE('',#150529,#150530,#71124,.T.); #185113=EDGE_CURVE('',#150531,#150529,#71125,.T.); #185114=EDGE_CURVE('',#150532,#150530,#71126,.T.); #185115=EDGE_CURVE('',#150531,#150532,#71127,.T.); #185116=EDGE_CURVE('',#150533,#150531,#71128,.T.); #185117=EDGE_CURVE('',#150534,#150532,#71129,.T.); #185118=EDGE_CURVE('',#150533,#150534,#71130,.T.); #185119=EDGE_CURVE('',#150535,#150533,#71131,.T.); #185120=EDGE_CURVE('',#150536,#150534,#71132,.T.); #185121=EDGE_CURVE('',#150535,#150536,#71133,.T.); #185122=EDGE_CURVE('',#150537,#150535,#71134,.T.); #185123=EDGE_CURVE('',#150538,#150536,#71135,.T.); #185124=EDGE_CURVE('',#150537,#150538,#71136,.T.); #185125=EDGE_CURVE('',#150539,#150537,#71137,.T.); #185126=EDGE_CURVE('',#150540,#150538,#71138,.T.); #185127=EDGE_CURVE('',#150539,#150540,#71139,.T.); #185128=EDGE_CURVE('',#150541,#150539,#71140,.T.); #185129=EDGE_CURVE('',#150542,#150540,#71141,.T.); #185130=EDGE_CURVE('',#150541,#150542,#71142,.T.); #185131=EDGE_CURVE('',#150543,#150541,#71143,.T.); #185132=EDGE_CURVE('',#150544,#150542,#71144,.T.); #185133=EDGE_CURVE('',#150543,#150544,#71145,.T.); #185134=EDGE_CURVE('',#150545,#150543,#71146,.T.); #185135=EDGE_CURVE('',#150546,#150544,#71147,.T.); #185136=EDGE_CURVE('',#150545,#150546,#71148,.T.); #185137=EDGE_CURVE('',#150547,#150545,#71149,.T.); #185138=EDGE_CURVE('',#150548,#150546,#71150,.T.); #185139=EDGE_CURVE('',#150547,#150548,#71151,.T.); #185140=EDGE_CURVE('',#150549,#150547,#71152,.T.); #185141=EDGE_CURVE('',#150550,#150548,#71153,.T.); #185142=EDGE_CURVE('',#150549,#150550,#71154,.T.); #185143=EDGE_CURVE('',#150551,#150549,#71155,.T.); #185144=EDGE_CURVE('',#150552,#150550,#71156,.T.); #185145=EDGE_CURVE('',#150551,#150552,#71157,.T.); #185146=EDGE_CURVE('',#150553,#150551,#71158,.T.); #185147=EDGE_CURVE('',#150554,#150552,#71159,.T.); #185148=EDGE_CURVE('',#150553,#150554,#71160,.T.); #185149=EDGE_CURVE('',#150555,#150553,#71161,.T.); #185150=EDGE_CURVE('',#150556,#150554,#71162,.T.); #185151=EDGE_CURVE('',#150555,#150556,#71163,.T.); #185152=EDGE_CURVE('',#150557,#150555,#71164,.T.); #185153=EDGE_CURVE('',#150558,#150556,#71165,.T.); #185154=EDGE_CURVE('',#150557,#150558,#71166,.T.); #185155=EDGE_CURVE('',#150559,#150557,#71167,.T.); #185156=EDGE_CURVE('',#150560,#150558,#71168,.T.); #185157=EDGE_CURVE('',#150559,#150560,#71169,.T.); #185158=EDGE_CURVE('',#150561,#150559,#71170,.T.); #185159=EDGE_CURVE('',#150562,#150560,#71171,.T.); #185160=EDGE_CURVE('',#150561,#150562,#71172,.T.); #185161=EDGE_CURVE('',#150563,#150561,#71173,.T.); #185162=EDGE_CURVE('',#150564,#150562,#71174,.T.); #185163=EDGE_CURVE('',#150563,#150564,#71175,.T.); #185164=EDGE_CURVE('',#150565,#150563,#71176,.T.); #185165=EDGE_CURVE('',#150566,#150564,#71177,.T.); #185166=EDGE_CURVE('',#150565,#150566,#71178,.T.); #185167=EDGE_CURVE('',#150567,#150565,#71179,.T.); #185168=EDGE_CURVE('',#150568,#150566,#71180,.T.); #185169=EDGE_CURVE('',#150567,#150568,#71181,.T.); #185170=EDGE_CURVE('',#150569,#150567,#71182,.T.); #185171=EDGE_CURVE('',#150570,#150568,#71183,.T.); #185172=EDGE_CURVE('',#150569,#150570,#71184,.T.); #185173=EDGE_CURVE('',#150571,#150569,#71185,.T.); #185174=EDGE_CURVE('',#150572,#150570,#71186,.T.); #185175=EDGE_CURVE('',#150571,#150572,#71187,.T.); #185176=EDGE_CURVE('',#150573,#150571,#71188,.T.); #185177=EDGE_CURVE('',#150574,#150572,#71189,.T.); #185178=EDGE_CURVE('',#150573,#150574,#71190,.T.); #185179=EDGE_CURVE('',#150575,#150573,#71191,.T.); #185180=EDGE_CURVE('',#150576,#150574,#71192,.T.); #185181=EDGE_CURVE('',#150575,#150576,#71193,.T.); #185182=EDGE_CURVE('',#150577,#150575,#71194,.T.); #185183=EDGE_CURVE('',#150578,#150576,#71195,.T.); #185184=EDGE_CURVE('',#150577,#150578,#71196,.T.); #185185=EDGE_CURVE('',#150579,#150577,#71197,.T.); #185186=EDGE_CURVE('',#150580,#150578,#71198,.T.); #185187=EDGE_CURVE('',#150579,#150580,#71199,.T.); #185188=EDGE_CURVE('',#150581,#150579,#71200,.T.); #185189=EDGE_CURVE('',#150582,#150580,#71201,.T.); #185190=EDGE_CURVE('',#150581,#150582,#71202,.T.); #185191=EDGE_CURVE('',#150583,#150581,#71203,.T.); #185192=EDGE_CURVE('',#150584,#150582,#71204,.T.); #185193=EDGE_CURVE('',#150583,#150584,#71205,.T.); #185194=EDGE_CURVE('',#150585,#150583,#71206,.T.); #185195=EDGE_CURVE('',#150586,#150584,#71207,.T.); #185196=EDGE_CURVE('',#150585,#150586,#71208,.T.); #185197=EDGE_CURVE('',#150587,#150585,#71209,.T.); #185198=EDGE_CURVE('',#150588,#150586,#71210,.T.); #185199=EDGE_CURVE('',#150587,#150588,#71211,.T.); #185200=EDGE_CURVE('',#150404,#150587,#71212,.T.); #185201=EDGE_CURVE('',#150405,#150588,#71213,.T.); #185202=EDGE_CURVE('',#150589,#150590,#71214,.T.); #185203=EDGE_CURVE('',#150590,#150591,#71215,.T.); #185204=EDGE_CURVE('',#150592,#150591,#71216,.T.); #185205=EDGE_CURVE('',#150589,#150592,#71217,.T.); #185206=EDGE_CURVE('',#150593,#150589,#71218,.T.); #185207=EDGE_CURVE('',#150594,#150592,#71219,.T.); #185208=EDGE_CURVE('',#150593,#150594,#71220,.T.); #185209=EDGE_CURVE('',#150595,#150593,#71221,.T.); #185210=EDGE_CURVE('',#150596,#150594,#71222,.T.); #185211=EDGE_CURVE('',#150595,#150596,#71223,.T.); #185212=EDGE_CURVE('',#150597,#150595,#71224,.T.); #185213=EDGE_CURVE('',#150598,#150596,#71225,.T.); #185214=EDGE_CURVE('',#150597,#150598,#71226,.T.); #185215=EDGE_CURVE('',#150599,#150597,#71227,.T.); #185216=EDGE_CURVE('',#150600,#150598,#71228,.T.); #185217=EDGE_CURVE('',#150599,#150600,#71229,.T.); #185218=EDGE_CURVE('',#150601,#150599,#71230,.T.); #185219=EDGE_CURVE('',#150602,#150600,#71231,.T.); #185220=EDGE_CURVE('',#150601,#150602,#71232,.T.); #185221=EDGE_CURVE('',#150603,#150601,#71233,.T.); #185222=EDGE_CURVE('',#150604,#150602,#71234,.T.); #185223=EDGE_CURVE('',#150603,#150604,#71235,.T.); #185224=EDGE_CURVE('',#150605,#150603,#71236,.T.); #185225=EDGE_CURVE('',#150606,#150604,#71237,.T.); #185226=EDGE_CURVE('',#150605,#150606,#71238,.T.); #185227=EDGE_CURVE('',#150607,#150605,#71239,.T.); #185228=EDGE_CURVE('',#150608,#150606,#71240,.T.); #185229=EDGE_CURVE('',#150607,#150608,#71241,.T.); #185230=EDGE_CURVE('',#150609,#150607,#71242,.T.); #185231=EDGE_CURVE('',#150610,#150608,#71243,.T.); #185232=EDGE_CURVE('',#150609,#150610,#71244,.T.); #185233=EDGE_CURVE('',#150611,#150609,#71245,.T.); #185234=EDGE_CURVE('',#150612,#150610,#71246,.T.); #185235=EDGE_CURVE('',#150611,#150612,#71247,.T.); #185236=EDGE_CURVE('',#150613,#150611,#71248,.T.); #185237=EDGE_CURVE('',#150614,#150612,#71249,.T.); #185238=EDGE_CURVE('',#150613,#150614,#71250,.T.); #185239=EDGE_CURVE('',#150615,#150613,#71251,.T.); #185240=EDGE_CURVE('',#150616,#150614,#71252,.T.); #185241=EDGE_CURVE('',#150615,#150616,#71253,.T.); #185242=EDGE_CURVE('',#150617,#150615,#71254,.T.); #185243=EDGE_CURVE('',#150618,#150616,#71255,.T.); #185244=EDGE_CURVE('',#150617,#150618,#71256,.T.); #185245=EDGE_CURVE('',#150619,#150617,#71257,.T.); #185246=EDGE_CURVE('',#150620,#150618,#71258,.T.); #185247=EDGE_CURVE('',#150619,#150620,#71259,.T.); #185248=EDGE_CURVE('',#150621,#150619,#71260,.T.); #185249=EDGE_CURVE('',#150622,#150620,#71261,.T.); #185250=EDGE_CURVE('',#150621,#150622,#71262,.T.); #185251=EDGE_CURVE('',#150623,#150621,#71263,.T.); #185252=EDGE_CURVE('',#150624,#150622,#71264,.T.); #185253=EDGE_CURVE('',#150623,#150624,#71265,.T.); #185254=EDGE_CURVE('',#150625,#150623,#71266,.T.); #185255=EDGE_CURVE('',#150626,#150624,#71267,.T.); #185256=EDGE_CURVE('',#150625,#150626,#71268,.T.); #185257=EDGE_CURVE('',#150627,#150625,#71269,.T.); #185258=EDGE_CURVE('',#150628,#150626,#71270,.T.); #185259=EDGE_CURVE('',#150627,#150628,#71271,.T.); #185260=EDGE_CURVE('',#150590,#150627,#71272,.T.); #185261=EDGE_CURVE('',#150591,#150628,#71273,.T.); #185262=EDGE_CURVE('',#150629,#150629,#135849,.T.); #185263=EDGE_CURVE('',#150629,#150630,#71274,.T.); #185264=EDGE_CURVE('',#150630,#150630,#135850,.T.); #185265=EDGE_CURVE('',#150631,#150631,#135851,.T.); #185266=EDGE_CURVE('',#150631,#150632,#71275,.T.); #185267=EDGE_CURVE('',#150632,#150632,#135852,.T.); #185268=EDGE_CURVE('',#150633,#150633,#135853,.T.); #185269=EDGE_CURVE('',#150633,#150634,#71276,.T.); #185270=EDGE_CURVE('',#150634,#150634,#135854,.T.); #185271=EDGE_CURVE('',#150635,#150635,#135855,.T.); #185272=EDGE_CURVE('',#150635,#150636,#71277,.T.); #185273=EDGE_CURVE('',#150636,#150636,#135856,.T.); #185274=EDGE_CURVE('',#150637,#150637,#135857,.T.); #185275=EDGE_CURVE('',#150637,#150638,#71278,.T.); #185276=EDGE_CURVE('',#150638,#150638,#135858,.T.); #185277=EDGE_CURVE('',#150639,#150639,#135859,.T.); #185278=EDGE_CURVE('',#150639,#150640,#71279,.T.); #185279=EDGE_CURVE('',#150640,#150640,#135860,.T.); #185280=EDGE_CURVE('',#150641,#150641,#135861,.T.); #185281=EDGE_CURVE('',#150641,#150642,#71280,.T.); #185282=EDGE_CURVE('',#150642,#150642,#135862,.T.); #185283=EDGE_CURVE('',#150643,#150643,#135863,.T.); #185284=EDGE_CURVE('',#150643,#150644,#71281,.T.); #185285=EDGE_CURVE('',#150644,#150644,#135864,.T.); #185286=EDGE_CURVE('',#150645,#150645,#135865,.T.); #185287=EDGE_CURVE('',#150645,#150646,#71282,.T.); #185288=EDGE_CURVE('',#150646,#150646,#135866,.T.); #185289=EDGE_CURVE('',#150647,#150647,#135867,.T.); #185290=EDGE_CURVE('',#150647,#150648,#71283,.T.); #185291=EDGE_CURVE('',#150648,#150648,#135868,.T.); #185292=EDGE_CURVE('',#150649,#150649,#135869,.T.); #185293=EDGE_CURVE('',#150649,#150650,#71284,.T.); #185294=EDGE_CURVE('',#150650,#150650,#135870,.T.); #185295=EDGE_CURVE('',#150651,#150651,#135871,.T.); #185296=EDGE_CURVE('',#150651,#150652,#71285,.T.); #185297=EDGE_CURVE('',#150652,#150652,#135872,.T.); #185298=EDGE_CURVE('',#150653,#150653,#135873,.T.); #185299=EDGE_CURVE('',#150653,#150654,#71286,.T.); #185300=EDGE_CURVE('',#150654,#150654,#135874,.T.); #185301=EDGE_CURVE('',#150655,#150655,#135875,.T.); #185302=EDGE_CURVE('',#150655,#150656,#71287,.T.); #185303=EDGE_CURVE('',#150656,#150656,#135876,.T.); #185304=EDGE_CURVE('',#150657,#150657,#135877,.T.); #185305=EDGE_CURVE('',#150657,#150658,#71288,.T.); #185306=EDGE_CURVE('',#150658,#150658,#135878,.T.); #185307=EDGE_CURVE('',#150659,#150660,#71289,.T.); #185308=EDGE_CURVE('',#150660,#150661,#71290,.T.); #185309=EDGE_CURVE('',#150662,#150661,#71291,.T.); #185310=EDGE_CURVE('',#150659,#150662,#71292,.T.); #185311=EDGE_CURVE('',#150663,#150659,#71293,.T.); #185312=EDGE_CURVE('',#150664,#150662,#71294,.T.); #185313=EDGE_CURVE('',#150663,#150664,#71295,.T.); #185314=EDGE_CURVE('',#150665,#150663,#71296,.T.); #185315=EDGE_CURVE('',#150666,#150664,#71297,.T.); #185316=EDGE_CURVE('',#150665,#150666,#71298,.T.); #185317=EDGE_CURVE('',#150667,#150665,#71299,.T.); #185318=EDGE_CURVE('',#150668,#150666,#71300,.T.); #185319=EDGE_CURVE('',#150667,#150668,#71301,.T.); #185320=EDGE_CURVE('',#150669,#150667,#71302,.T.); #185321=EDGE_CURVE('',#150670,#150668,#71303,.T.); #185322=EDGE_CURVE('',#150669,#150670,#71304,.T.); #185323=EDGE_CURVE('',#150671,#150669,#71305,.T.); #185324=EDGE_CURVE('',#150672,#150670,#71306,.T.); #185325=EDGE_CURVE('',#150671,#150672,#71307,.T.); #185326=EDGE_CURVE('',#150673,#150671,#71308,.T.); #185327=EDGE_CURVE('',#150674,#150672,#71309,.T.); #185328=EDGE_CURVE('',#150673,#150674,#71310,.T.); #185329=EDGE_CURVE('',#150675,#150673,#71311,.T.); #185330=EDGE_CURVE('',#150676,#150674,#71312,.T.); #185331=EDGE_CURVE('',#150675,#150676,#71313,.T.); #185332=EDGE_CURVE('',#150677,#150675,#71314,.T.); #185333=EDGE_CURVE('',#150678,#150676,#71315,.T.); #185334=EDGE_CURVE('',#150677,#150678,#71316,.T.); #185335=EDGE_CURVE('',#150679,#150677,#71317,.T.); #185336=EDGE_CURVE('',#150680,#150678,#71318,.T.); #185337=EDGE_CURVE('',#150679,#150680,#71319,.T.); #185338=EDGE_CURVE('',#150681,#150679,#71320,.T.); #185339=EDGE_CURVE('',#150682,#150680,#71321,.T.); #185340=EDGE_CURVE('',#150681,#150682,#71322,.T.); #185341=EDGE_CURVE('',#150683,#150681,#71323,.T.); #185342=EDGE_CURVE('',#150684,#150682,#71324,.T.); #185343=EDGE_CURVE('',#150683,#150684,#71325,.T.); #185344=EDGE_CURVE('',#150685,#150683,#71326,.T.); #185345=EDGE_CURVE('',#150686,#150684,#71327,.T.); #185346=EDGE_CURVE('',#150685,#150686,#71328,.T.); #185347=EDGE_CURVE('',#150687,#150685,#71329,.T.); #185348=EDGE_CURVE('',#150688,#150686,#71330,.T.); #185349=EDGE_CURVE('',#150687,#150688,#71331,.T.); #185350=EDGE_CURVE('',#150689,#150687,#71332,.T.); #185351=EDGE_CURVE('',#150690,#150688,#71333,.T.); #185352=EDGE_CURVE('',#150689,#150690,#71334,.T.); #185353=EDGE_CURVE('',#150691,#150689,#71335,.T.); #185354=EDGE_CURVE('',#150692,#150690,#71336,.T.); #185355=EDGE_CURVE('',#150691,#150692,#71337,.T.); #185356=EDGE_CURVE('',#150693,#150691,#71338,.T.); #185357=EDGE_CURVE('',#150694,#150692,#71339,.T.); #185358=EDGE_CURVE('',#150693,#150694,#71340,.T.); #185359=EDGE_CURVE('',#150695,#150693,#71341,.T.); #185360=EDGE_CURVE('',#150696,#150694,#71342,.T.); #185361=EDGE_CURVE('',#150695,#150696,#71343,.T.); #185362=EDGE_CURVE('',#150697,#150695,#71344,.T.); #185363=EDGE_CURVE('',#150698,#150696,#71345,.T.); #185364=EDGE_CURVE('',#150697,#150698,#71346,.T.); #185365=EDGE_CURVE('',#150699,#150697,#71347,.T.); #185366=EDGE_CURVE('',#150700,#150698,#71348,.T.); #185367=EDGE_CURVE('',#150699,#150700,#71349,.T.); #185368=EDGE_CURVE('',#150701,#150699,#71350,.T.); #185369=EDGE_CURVE('',#150702,#150700,#71351,.T.); #185370=EDGE_CURVE('',#150701,#150702,#71352,.T.); #185371=EDGE_CURVE('',#150703,#150701,#71353,.T.); #185372=EDGE_CURVE('',#150704,#150702,#71354,.T.); #185373=EDGE_CURVE('',#150703,#150704,#71355,.T.); #185374=EDGE_CURVE('',#150705,#150703,#71356,.T.); #185375=EDGE_CURVE('',#150706,#150704,#71357,.T.); #185376=EDGE_CURVE('',#150705,#150706,#71358,.T.); #185377=EDGE_CURVE('',#150707,#150705,#71359,.T.); #185378=EDGE_CURVE('',#150708,#150706,#71360,.T.); #185379=EDGE_CURVE('',#150707,#150708,#71361,.T.); #185380=EDGE_CURVE('',#150709,#150707,#71362,.T.); #185381=EDGE_CURVE('',#150710,#150708,#71363,.T.); #185382=EDGE_CURVE('',#150709,#150710,#71364,.T.); #185383=EDGE_CURVE('',#150711,#150709,#71365,.T.); #185384=EDGE_CURVE('',#150712,#150710,#71366,.T.); #185385=EDGE_CURVE('',#150711,#150712,#71367,.T.); #185386=EDGE_CURVE('',#150713,#150711,#71368,.T.); #185387=EDGE_CURVE('',#150714,#150712,#71369,.T.); #185388=EDGE_CURVE('',#150713,#150714,#71370,.T.); #185389=EDGE_CURVE('',#150715,#150713,#71371,.T.); #185390=EDGE_CURVE('',#150716,#150714,#71372,.T.); #185391=EDGE_CURVE('',#150715,#150716,#71373,.T.); #185392=EDGE_CURVE('',#150717,#150715,#71374,.T.); #185393=EDGE_CURVE('',#150718,#150716,#71375,.T.); #185394=EDGE_CURVE('',#150717,#150718,#71376,.T.); #185395=EDGE_CURVE('',#150719,#150717,#71377,.T.); #185396=EDGE_CURVE('',#150720,#150718,#71378,.T.); #185397=EDGE_CURVE('',#150719,#150720,#71379,.T.); #185398=EDGE_CURVE('',#150721,#150719,#71380,.T.); #185399=EDGE_CURVE('',#150722,#150720,#71381,.T.); #185400=EDGE_CURVE('',#150721,#150722,#71382,.T.); #185401=EDGE_CURVE('',#150723,#150721,#71383,.T.); #185402=EDGE_CURVE('',#150724,#150722,#71384,.T.); #185403=EDGE_CURVE('',#150723,#150724,#71385,.T.); #185404=EDGE_CURVE('',#150725,#150723,#71386,.T.); #185405=EDGE_CURVE('',#150726,#150724,#71387,.T.); #185406=EDGE_CURVE('',#150725,#150726,#71388,.T.); #185407=EDGE_CURVE('',#150727,#150725,#71389,.T.); #185408=EDGE_CURVE('',#150728,#150726,#71390,.T.); #185409=EDGE_CURVE('',#150727,#150728,#71391,.T.); #185410=EDGE_CURVE('',#150729,#150727,#71392,.T.); #185411=EDGE_CURVE('',#150730,#150728,#71393,.T.); #185412=EDGE_CURVE('',#150729,#150730,#71394,.T.); #185413=EDGE_CURVE('',#150731,#150729,#71395,.T.); #185414=EDGE_CURVE('',#150732,#150730,#71396,.T.); #185415=EDGE_CURVE('',#150731,#150732,#71397,.T.); #185416=EDGE_CURVE('',#150733,#150731,#71398,.T.); #185417=EDGE_CURVE('',#150734,#150732,#71399,.T.); #185418=EDGE_CURVE('',#150733,#150734,#71400,.T.); #185419=EDGE_CURVE('',#150735,#150733,#71401,.T.); #185420=EDGE_CURVE('',#150736,#150734,#71402,.T.); #185421=EDGE_CURVE('',#150735,#150736,#71403,.T.); #185422=EDGE_CURVE('',#150737,#150735,#71404,.T.); #185423=EDGE_CURVE('',#150738,#150736,#71405,.T.); #185424=EDGE_CURVE('',#150737,#150738,#71406,.T.); #185425=EDGE_CURVE('',#150739,#150737,#71407,.T.); #185426=EDGE_CURVE('',#150740,#150738,#71408,.T.); #185427=EDGE_CURVE('',#150739,#150740,#71409,.T.); #185428=EDGE_CURVE('',#150660,#150739,#71410,.T.); #185429=EDGE_CURVE('',#150661,#150740,#71411,.T.); #185430=EDGE_CURVE('',#150741,#150741,#135879,.T.); #185431=EDGE_CURVE('',#150741,#150742,#71412,.T.); #185432=EDGE_CURVE('',#150742,#150742,#135880,.T.); #185433=EDGE_CURVE('',#150743,#150744,#71413,.T.); #185434=EDGE_CURVE('',#150744,#150745,#71414,.T.); #185435=EDGE_CURVE('',#150746,#150745,#71415,.T.); #185436=EDGE_CURVE('',#150743,#150746,#71416,.T.); #185437=EDGE_CURVE('',#150747,#150743,#71417,.T.); #185438=EDGE_CURVE('',#150748,#150746,#71418,.T.); #185439=EDGE_CURVE('',#150747,#150748,#71419,.T.); #185440=EDGE_CURVE('',#150749,#150747,#71420,.T.); #185441=EDGE_CURVE('',#150750,#150748,#71421,.T.); #185442=EDGE_CURVE('',#150749,#150750,#71422,.T.); #185443=EDGE_CURVE('',#150751,#150749,#71423,.T.); #185444=EDGE_CURVE('',#150752,#150750,#71424,.T.); #185445=EDGE_CURVE('',#150751,#150752,#71425,.T.); #185446=EDGE_CURVE('',#150753,#150751,#71426,.T.); #185447=EDGE_CURVE('',#150754,#150752,#71427,.T.); #185448=EDGE_CURVE('',#150753,#150754,#71428,.T.); #185449=EDGE_CURVE('',#150755,#150753,#135881,.T.); #185450=EDGE_CURVE('',#150756,#150754,#135882,.T.); #185451=EDGE_CURVE('',#150755,#150756,#71429,.T.); #185452=EDGE_CURVE('',#150757,#150755,#71430,.T.); #185453=EDGE_CURVE('',#150758,#150756,#71431,.T.); #185454=EDGE_CURVE('',#150757,#150758,#71432,.T.); #185455=EDGE_CURVE('',#150759,#150757,#71433,.T.); #185456=EDGE_CURVE('',#150760,#150758,#71434,.T.); #185457=EDGE_CURVE('',#150759,#150760,#71435,.T.); #185458=EDGE_CURVE('',#150761,#150759,#135883,.T.); #185459=EDGE_CURVE('',#150762,#150760,#135884,.T.); #185460=EDGE_CURVE('',#150761,#150762,#71436,.T.); #185461=EDGE_CURVE('',#150763,#150761,#71437,.T.); #185462=EDGE_CURVE('',#150764,#150762,#71438,.T.); #185463=EDGE_CURVE('',#150763,#150764,#71439,.T.); #185464=EDGE_CURVE('',#150765,#150763,#71440,.T.); #185465=EDGE_CURVE('',#150766,#150764,#71441,.T.); #185466=EDGE_CURVE('',#150765,#150766,#71442,.T.); #185467=EDGE_CURVE('',#150767,#150765,#71443,.T.); #185468=EDGE_CURVE('',#150768,#150766,#71444,.T.); #185469=EDGE_CURVE('',#150767,#150768,#71445,.T.); #185470=EDGE_CURVE('',#150769,#150767,#71446,.T.); #185471=EDGE_CURVE('',#150770,#150768,#71447,.T.); #185472=EDGE_CURVE('',#150769,#150770,#71448,.T.); #185473=EDGE_CURVE('',#150771,#150769,#71449,.T.); #185474=EDGE_CURVE('',#150772,#150770,#71450,.T.); #185475=EDGE_CURVE('',#150771,#150772,#71451,.T.); #185476=EDGE_CURVE('',#150773,#150771,#71452,.T.); #185477=EDGE_CURVE('',#150774,#150772,#71453,.T.); #185478=EDGE_CURVE('',#150773,#150774,#71454,.T.); #185479=EDGE_CURVE('',#150775,#150773,#71455,.T.); #185480=EDGE_CURVE('',#150776,#150774,#71456,.T.); #185481=EDGE_CURVE('',#150775,#150776,#71457,.T.); #185482=EDGE_CURVE('',#150777,#150775,#71458,.T.); #185483=EDGE_CURVE('',#150778,#150776,#71459,.T.); #185484=EDGE_CURVE('',#150777,#150778,#71460,.T.); #185485=EDGE_CURVE('',#150779,#150777,#71461,.T.); #185486=EDGE_CURVE('',#150780,#150778,#71462,.T.); #185487=EDGE_CURVE('',#150779,#150780,#71463,.T.); #185488=EDGE_CURVE('',#150781,#150779,#71464,.T.); #185489=EDGE_CURVE('',#150782,#150780,#71465,.T.); #185490=EDGE_CURVE('',#150781,#150782,#71466,.T.); #185491=EDGE_CURVE('',#150783,#150781,#71467,.T.); #185492=EDGE_CURVE('',#150784,#150782,#71468,.T.); #185493=EDGE_CURVE('',#150783,#150784,#71469,.T.); #185494=EDGE_CURVE('',#150785,#150783,#71470,.T.); #185495=EDGE_CURVE('',#150786,#150784,#71471,.T.); #185496=EDGE_CURVE('',#150785,#150786,#71472,.T.); #185497=EDGE_CURVE('',#150787,#150785,#71473,.T.); #185498=EDGE_CURVE('',#150788,#150786,#71474,.T.); #185499=EDGE_CURVE('',#150787,#150788,#71475,.T.); #185500=EDGE_CURVE('',#150789,#150787,#71476,.T.); #185501=EDGE_CURVE('',#150790,#150788,#71477,.T.); #185502=EDGE_CURVE('',#150789,#150790,#71478,.T.); #185503=EDGE_CURVE('',#150791,#150789,#71479,.T.); #185504=EDGE_CURVE('',#150792,#150790,#71480,.T.); #185505=EDGE_CURVE('',#150791,#150792,#71481,.T.); #185506=EDGE_CURVE('',#150793,#150791,#71482,.T.); #185507=EDGE_CURVE('',#150794,#150792,#71483,.T.); #185508=EDGE_CURVE('',#150793,#150794,#71484,.T.); #185509=EDGE_CURVE('',#150795,#150793,#135885,.T.); #185510=EDGE_CURVE('',#150796,#150794,#135886,.T.); #185511=EDGE_CURVE('',#150795,#150796,#71485,.T.); #185512=EDGE_CURVE('',#150797,#150795,#71486,.T.); #185513=EDGE_CURVE('',#150798,#150796,#71487,.T.); #185514=EDGE_CURVE('',#150797,#150798,#71488,.T.); #185515=EDGE_CURVE('',#150799,#150797,#135887,.T.); #185516=EDGE_CURVE('',#150800,#150798,#135888,.T.); #185517=EDGE_CURVE('',#150799,#150800,#71489,.T.); #185518=EDGE_CURVE('',#150801,#150799,#71490,.T.); #185519=EDGE_CURVE('',#150802,#150800,#71491,.T.); #185520=EDGE_CURVE('',#150801,#150802,#71492,.T.); #185521=EDGE_CURVE('',#150803,#150801,#135889,.T.); #185522=EDGE_CURVE('',#150804,#150802,#135890,.T.); #185523=EDGE_CURVE('',#150803,#150804,#71493,.T.); #185524=EDGE_CURVE('',#150805,#150803,#71494,.T.); #185525=EDGE_CURVE('',#150806,#150804,#71495,.T.); #185526=EDGE_CURVE('',#150805,#150806,#71496,.T.); #185527=EDGE_CURVE('',#150807,#150805,#71497,.T.); #185528=EDGE_CURVE('',#150808,#150806,#71498,.T.); #185529=EDGE_CURVE('',#150807,#150808,#71499,.T.); #185530=EDGE_CURVE('',#150809,#150807,#71500,.T.); #185531=EDGE_CURVE('',#150810,#150808,#71501,.T.); #185532=EDGE_CURVE('',#150809,#150810,#71502,.T.); #185533=EDGE_CURVE('',#150811,#150809,#135891,.T.); #185534=EDGE_CURVE('',#150812,#150810,#135892,.T.); #185535=EDGE_CURVE('',#150811,#150812,#71503,.T.); #185536=EDGE_CURVE('',#150813,#150811,#71504,.T.); #185537=EDGE_CURVE('',#150814,#150812,#71505,.T.); #185538=EDGE_CURVE('',#150813,#150814,#71506,.T.); #185539=EDGE_CURVE('',#150815,#150813,#135893,.T.); #185540=EDGE_CURVE('',#150816,#150814,#135894,.T.); #185541=EDGE_CURVE('',#150815,#150816,#71507,.T.); #185542=EDGE_CURVE('',#150817,#150815,#71508,.T.); #185543=EDGE_CURVE('',#150818,#150816,#71509,.T.); #185544=EDGE_CURVE('',#150817,#150818,#71510,.T.); #185545=EDGE_CURVE('',#150819,#150817,#71511,.T.); #185546=EDGE_CURVE('',#150820,#150818,#71512,.T.); #185547=EDGE_CURVE('',#150819,#150820,#71513,.T.); #185548=EDGE_CURVE('',#150821,#150819,#135895,.T.); #185549=EDGE_CURVE('',#150822,#150820,#135896,.T.); #185550=EDGE_CURVE('',#150821,#150822,#71514,.T.); #185551=EDGE_CURVE('',#150823,#150821,#71515,.T.); #185552=EDGE_CURVE('',#150824,#150822,#71516,.T.); #185553=EDGE_CURVE('',#150823,#150824,#71517,.T.); #185554=EDGE_CURVE('',#150825,#150823,#71518,.T.); #185555=EDGE_CURVE('',#150826,#150824,#71519,.T.); #185556=EDGE_CURVE('',#150825,#150826,#71520,.T.); #185557=EDGE_CURVE('',#150744,#150825,#71521,.T.); #185558=EDGE_CURVE('',#150745,#150826,#71522,.T.); #185559=EDGE_CURVE('',#150827,#150827,#135897,.T.); #185560=EDGE_CURVE('',#150827,#150828,#71523,.T.); #185561=EDGE_CURVE('',#150828,#150828,#135898,.T.); #185562=EDGE_CURVE('',#150829,#150829,#135899,.T.); #185563=EDGE_CURVE('',#150829,#150830,#71524,.T.); #185564=EDGE_CURVE('',#150830,#150830,#135900,.T.); #185565=EDGE_CURVE('',#150831,#150831,#135901,.T.); #185566=EDGE_CURVE('',#150831,#150832,#71525,.T.); #185567=EDGE_CURVE('',#150832,#150832,#135902,.T.); #185568=EDGE_CURVE('',#150833,#150833,#135903,.T.); #185569=EDGE_CURVE('',#150833,#150834,#71526,.T.); #185570=EDGE_CURVE('',#150834,#150834,#135904,.T.); #185571=EDGE_CURVE('',#150835,#150835,#135905,.T.); #185572=EDGE_CURVE('',#150835,#150836,#71527,.T.); #185573=EDGE_CURVE('',#150836,#150836,#135906,.T.); #185574=EDGE_CURVE('',#150837,#150837,#135907,.T.); #185575=EDGE_CURVE('',#150837,#150838,#71528,.T.); #185576=EDGE_CURVE('',#150838,#150838,#135908,.T.); #185577=EDGE_CURVE('',#150839,#150839,#135909,.T.); #185578=EDGE_CURVE('',#150839,#150840,#71529,.T.); #185579=EDGE_CURVE('',#150840,#150840,#135910,.T.); #185580=EDGE_CURVE('',#150841,#150841,#135911,.T.); #185581=EDGE_CURVE('',#150841,#150842,#71530,.T.); #185582=EDGE_CURVE('',#150842,#150842,#135912,.T.); #185583=EDGE_CURVE('',#150843,#150843,#135913,.T.); #185584=EDGE_CURVE('',#150843,#150844,#71531,.T.); #185585=EDGE_CURVE('',#150844,#150844,#135914,.T.); #185586=EDGE_CURVE('',#150845,#150845,#135915,.T.); #185587=EDGE_CURVE('',#150845,#150846,#71532,.T.); #185588=EDGE_CURVE('',#150846,#150846,#135916,.T.); #185589=EDGE_CURVE('',#150847,#150847,#135917,.T.); #185590=EDGE_CURVE('',#150847,#150848,#71533,.T.); #185591=EDGE_CURVE('',#150848,#150848,#135918,.T.); #185592=EDGE_CURVE('',#150849,#150849,#135919,.T.); #185593=EDGE_CURVE('',#150849,#150850,#71534,.T.); #185594=EDGE_CURVE('',#150850,#150850,#135920,.T.); #185595=EDGE_CURVE('',#150851,#150851,#135921,.T.); #185596=EDGE_CURVE('',#150851,#150852,#71535,.T.); #185597=EDGE_CURVE('',#150852,#150852,#135922,.T.); #185598=EDGE_CURVE('',#150853,#150853,#135923,.T.); #185599=EDGE_CURVE('',#150853,#150854,#71536,.T.); #185600=EDGE_CURVE('',#150854,#150854,#135924,.T.); #185601=EDGE_CURVE('',#150855,#150855,#135925,.T.); #185602=EDGE_CURVE('',#150855,#150856,#71537,.T.); #185603=EDGE_CURVE('',#150856,#150856,#135926,.T.); #185604=EDGE_CURVE('',#150857,#150858,#71538,.T.); #185605=EDGE_CURVE('',#150858,#150859,#71539,.T.); #185606=EDGE_CURVE('',#150860,#150859,#71540,.T.); #185607=EDGE_CURVE('',#150857,#150860,#71541,.T.); #185608=EDGE_CURVE('',#150861,#150857,#71542,.T.); #185609=EDGE_CURVE('',#150862,#150860,#71543,.T.); #185610=EDGE_CURVE('',#150861,#150862,#71544,.T.); #185611=EDGE_CURVE('',#150863,#150861,#71545,.T.); #185612=EDGE_CURVE('',#150864,#150862,#71546,.T.); #185613=EDGE_CURVE('',#150863,#150864,#71547,.T.); #185614=EDGE_CURVE('',#150865,#150863,#71548,.T.); #185615=EDGE_CURVE('',#150866,#150864,#71549,.T.); #185616=EDGE_CURVE('',#150865,#150866,#71550,.T.); #185617=EDGE_CURVE('',#150867,#150865,#71551,.T.); #185618=EDGE_CURVE('',#150868,#150866,#71552,.T.); #185619=EDGE_CURVE('',#150867,#150868,#71553,.T.); #185620=EDGE_CURVE('',#150869,#150867,#71554,.T.); #185621=EDGE_CURVE('',#150870,#150868,#71555,.T.); #185622=EDGE_CURVE('',#150869,#150870,#71556,.T.); #185623=EDGE_CURVE('',#150871,#150869,#71557,.T.); #185624=EDGE_CURVE('',#150872,#150870,#71558,.T.); #185625=EDGE_CURVE('',#150871,#150872,#71559,.T.); #185626=EDGE_CURVE('',#150873,#150871,#71560,.T.); #185627=EDGE_CURVE('',#150874,#150872,#71561,.T.); #185628=EDGE_CURVE('',#150873,#150874,#71562,.T.); #185629=EDGE_CURVE('',#150875,#150873,#71563,.T.); #185630=EDGE_CURVE('',#150876,#150874,#71564,.T.); #185631=EDGE_CURVE('',#150875,#150876,#71565,.T.); #185632=EDGE_CURVE('',#150877,#150875,#71566,.T.); #185633=EDGE_CURVE('',#150878,#150876,#71567,.T.); #185634=EDGE_CURVE('',#150877,#150878,#71568,.T.); #185635=EDGE_CURVE('',#150879,#150877,#71569,.T.); #185636=EDGE_CURVE('',#150880,#150878,#71570,.T.); #185637=EDGE_CURVE('',#150879,#150880,#71571,.T.); #185638=EDGE_CURVE('',#150881,#150879,#71572,.T.); #185639=EDGE_CURVE('',#150882,#150880,#71573,.T.); #185640=EDGE_CURVE('',#150881,#150882,#71574,.T.); #185641=EDGE_CURVE('',#150883,#150881,#71575,.T.); #185642=EDGE_CURVE('',#150884,#150882,#71576,.T.); #185643=EDGE_CURVE('',#150883,#150884,#71577,.T.); #185644=EDGE_CURVE('',#150885,#150883,#71578,.T.); #185645=EDGE_CURVE('',#150886,#150884,#71579,.T.); #185646=EDGE_CURVE('',#150885,#150886,#71580,.T.); #185647=EDGE_CURVE('',#150887,#150885,#71581,.T.); #185648=EDGE_CURVE('',#150888,#150886,#71582,.T.); #185649=EDGE_CURVE('',#150887,#150888,#71583,.T.); #185650=EDGE_CURVE('',#150889,#150887,#71584,.T.); #185651=EDGE_CURVE('',#150890,#150888,#71585,.T.); #185652=EDGE_CURVE('',#150889,#150890,#71586,.T.); #185653=EDGE_CURVE('',#150891,#150889,#71587,.T.); #185654=EDGE_CURVE('',#150892,#150890,#71588,.T.); #185655=EDGE_CURVE('',#150891,#150892,#71589,.T.); #185656=EDGE_CURVE('',#150893,#150891,#71590,.T.); #185657=EDGE_CURVE('',#150894,#150892,#71591,.T.); #185658=EDGE_CURVE('',#150893,#150894,#71592,.T.); #185659=EDGE_CURVE('',#150895,#150893,#71593,.T.); #185660=EDGE_CURVE('',#150896,#150894,#71594,.T.); #185661=EDGE_CURVE('',#150895,#150896,#71595,.T.); #185662=EDGE_CURVE('',#150897,#150895,#71596,.T.); #185663=EDGE_CURVE('',#150898,#150896,#71597,.T.); #185664=EDGE_CURVE('',#150897,#150898,#71598,.T.); #185665=EDGE_CURVE('',#150899,#150897,#71599,.T.); #185666=EDGE_CURVE('',#150900,#150898,#71600,.T.); #185667=EDGE_CURVE('',#150899,#150900,#71601,.T.); #185668=EDGE_CURVE('',#150901,#150899,#71602,.T.); #185669=EDGE_CURVE('',#150902,#150900,#71603,.T.); #185670=EDGE_CURVE('',#150901,#150902,#71604,.T.); #185671=EDGE_CURVE('',#150903,#150901,#71605,.T.); #185672=EDGE_CURVE('',#150904,#150902,#71606,.T.); #185673=EDGE_CURVE('',#150903,#150904,#71607,.T.); #185674=EDGE_CURVE('',#150905,#150903,#71608,.T.); #185675=EDGE_CURVE('',#150906,#150904,#71609,.T.); #185676=EDGE_CURVE('',#150905,#150906,#71610,.T.); #185677=EDGE_CURVE('',#150907,#150905,#71611,.T.); #185678=EDGE_CURVE('',#150908,#150906,#71612,.T.); #185679=EDGE_CURVE('',#150907,#150908,#71613,.T.); #185680=EDGE_CURVE('',#150909,#150907,#71614,.T.); #185681=EDGE_CURVE('',#150910,#150908,#71615,.T.); #185682=EDGE_CURVE('',#150909,#150910,#71616,.T.); #185683=EDGE_CURVE('',#150911,#150909,#71617,.T.); #185684=EDGE_CURVE('',#150912,#150910,#71618,.T.); #185685=EDGE_CURVE('',#150911,#150912,#71619,.T.); #185686=EDGE_CURVE('',#150913,#150911,#71620,.T.); #185687=EDGE_CURVE('',#150914,#150912,#71621,.T.); #185688=EDGE_CURVE('',#150913,#150914,#71622,.T.); #185689=EDGE_CURVE('',#150915,#150913,#71623,.T.); #185690=EDGE_CURVE('',#150916,#150914,#71624,.T.); #185691=EDGE_CURVE('',#150915,#150916,#71625,.T.); #185692=EDGE_CURVE('',#150917,#150915,#71626,.T.); #185693=EDGE_CURVE('',#150918,#150916,#71627,.T.); #185694=EDGE_CURVE('',#150917,#150918,#71628,.T.); #185695=EDGE_CURVE('',#150919,#150917,#71629,.T.); #185696=EDGE_CURVE('',#150920,#150918,#71630,.T.); #185697=EDGE_CURVE('',#150919,#150920,#71631,.T.); #185698=EDGE_CURVE('',#150921,#150919,#71632,.T.); #185699=EDGE_CURVE('',#150922,#150920,#71633,.T.); #185700=EDGE_CURVE('',#150921,#150922,#71634,.T.); #185701=EDGE_CURVE('',#150923,#150921,#71635,.T.); #185702=EDGE_CURVE('',#150924,#150922,#71636,.T.); #185703=EDGE_CURVE('',#150923,#150924,#71637,.T.); #185704=EDGE_CURVE('',#150925,#150923,#71638,.T.); #185705=EDGE_CURVE('',#150926,#150924,#71639,.T.); #185706=EDGE_CURVE('',#150925,#150926,#71640,.T.); #185707=EDGE_CURVE('',#150927,#150925,#71641,.T.); #185708=EDGE_CURVE('',#150928,#150926,#71642,.T.); #185709=EDGE_CURVE('',#150927,#150928,#71643,.T.); #185710=EDGE_CURVE('',#150929,#150927,#71644,.T.); #185711=EDGE_CURVE('',#150930,#150928,#71645,.T.); #185712=EDGE_CURVE('',#150929,#150930,#71646,.T.); #185713=EDGE_CURVE('',#150931,#150929,#71647,.T.); #185714=EDGE_CURVE('',#150932,#150930,#71648,.T.); #185715=EDGE_CURVE('',#150931,#150932,#71649,.T.); #185716=EDGE_CURVE('',#150933,#150931,#71650,.T.); #185717=EDGE_CURVE('',#150934,#150932,#71651,.T.); #185718=EDGE_CURVE('',#150933,#150934,#71652,.T.); #185719=EDGE_CURVE('',#150935,#150933,#71653,.T.); #185720=EDGE_CURVE('',#150936,#150934,#71654,.T.); #185721=EDGE_CURVE('',#150935,#150936,#71655,.T.); #185722=EDGE_CURVE('',#150937,#150935,#71656,.T.); #185723=EDGE_CURVE('',#150938,#150936,#71657,.T.); #185724=EDGE_CURVE('',#150937,#150938,#71658,.T.); #185725=EDGE_CURVE('',#150939,#150937,#71659,.T.); #185726=EDGE_CURVE('',#150940,#150938,#71660,.T.); #185727=EDGE_CURVE('',#150939,#150940,#71661,.T.); #185728=EDGE_CURVE('',#150941,#150939,#71662,.T.); #185729=EDGE_CURVE('',#150942,#150940,#71663,.T.); #185730=EDGE_CURVE('',#150941,#150942,#71664,.T.); #185731=EDGE_CURVE('',#150943,#150941,#71665,.T.); #185732=EDGE_CURVE('',#150944,#150942,#71666,.T.); #185733=EDGE_CURVE('',#150943,#150944,#71667,.T.); #185734=EDGE_CURVE('',#150945,#150943,#71668,.T.); #185735=EDGE_CURVE('',#150946,#150944,#71669,.T.); #185736=EDGE_CURVE('',#150945,#150946,#71670,.T.); #185737=EDGE_CURVE('',#150947,#150945,#71671,.T.); #185738=EDGE_CURVE('',#150948,#150946,#71672,.T.); #185739=EDGE_CURVE('',#150947,#150948,#71673,.T.); #185740=EDGE_CURVE('',#150949,#150947,#71674,.T.); #185741=EDGE_CURVE('',#150950,#150948,#71675,.T.); #185742=EDGE_CURVE('',#150949,#150950,#71676,.T.); #185743=EDGE_CURVE('',#150951,#150949,#71677,.T.); #185744=EDGE_CURVE('',#150952,#150950,#71678,.T.); #185745=EDGE_CURVE('',#150951,#150952,#71679,.T.); #185746=EDGE_CURVE('',#150953,#150951,#71680,.T.); #185747=EDGE_CURVE('',#150954,#150952,#71681,.T.); #185748=EDGE_CURVE('',#150953,#150954,#71682,.T.); #185749=EDGE_CURVE('',#150858,#150953,#71683,.T.); #185750=EDGE_CURVE('',#150859,#150954,#71684,.T.); #185751=EDGE_CURVE('',#150955,#150955,#135927,.T.); #185752=EDGE_CURVE('',#150955,#150956,#71685,.T.); #185753=EDGE_CURVE('',#150956,#150956,#135928,.T.); #185754=EDGE_CURVE('',#150957,#150958,#71686,.T.); #185755=EDGE_CURVE('',#150958,#150959,#71687,.T.); #185756=EDGE_CURVE('',#150960,#150959,#71688,.T.); #185757=EDGE_CURVE('',#150957,#150960,#71689,.T.); #185758=EDGE_CURVE('',#150961,#150957,#71690,.T.); #185759=EDGE_CURVE('',#150962,#150960,#71691,.T.); #185760=EDGE_CURVE('',#150961,#150962,#71692,.T.); #185761=EDGE_CURVE('',#150963,#150961,#71693,.T.); #185762=EDGE_CURVE('',#150964,#150962,#71694,.T.); #185763=EDGE_CURVE('',#150963,#150964,#71695,.T.); #185764=EDGE_CURVE('',#150965,#150963,#71696,.T.); #185765=EDGE_CURVE('',#150966,#150964,#71697,.T.); #185766=EDGE_CURVE('',#150965,#150966,#71698,.T.); #185767=EDGE_CURVE('',#150967,#150965,#71699,.T.); #185768=EDGE_CURVE('',#150968,#150966,#71700,.T.); #185769=EDGE_CURVE('',#150967,#150968,#71701,.T.); #185770=EDGE_CURVE('',#150969,#150967,#71702,.T.); #185771=EDGE_CURVE('',#150970,#150968,#71703,.T.); #185772=EDGE_CURVE('',#150969,#150970,#71704,.T.); #185773=EDGE_CURVE('',#150971,#150969,#135929,.T.); #185774=EDGE_CURVE('',#150972,#150970,#135930,.T.); #185775=EDGE_CURVE('',#150971,#150972,#71705,.T.); #185776=EDGE_CURVE('',#150973,#150971,#71706,.T.); #185777=EDGE_CURVE('',#150974,#150972,#71707,.T.); #185778=EDGE_CURVE('',#150973,#150974,#71708,.T.); #185779=EDGE_CURVE('',#150975,#150973,#71709,.T.); #185780=EDGE_CURVE('',#150976,#150974,#71710,.T.); #185781=EDGE_CURVE('',#150975,#150976,#71711,.T.); #185782=EDGE_CURVE('',#150977,#150975,#135931,.T.); #185783=EDGE_CURVE('',#150978,#150976,#135932,.T.); #185784=EDGE_CURVE('',#150977,#150978,#71712,.T.); #185785=EDGE_CURVE('',#150979,#150977,#71713,.T.); #185786=EDGE_CURVE('',#150980,#150978,#71714,.T.); #185787=EDGE_CURVE('',#150979,#150980,#71715,.T.); #185788=EDGE_CURVE('',#150981,#150979,#135933,.T.); #185789=EDGE_CURVE('',#150982,#150980,#135934,.T.); #185790=EDGE_CURVE('',#150981,#150982,#71716,.T.); #185791=EDGE_CURVE('',#150983,#150981,#71717,.T.); #185792=EDGE_CURVE('',#150984,#150982,#71718,.T.); #185793=EDGE_CURVE('',#150983,#150984,#71719,.T.); #185794=EDGE_CURVE('',#150985,#150983,#71720,.T.); #185795=EDGE_CURVE('',#150986,#150984,#71721,.T.); #185796=EDGE_CURVE('',#150985,#150986,#71722,.T.); #185797=EDGE_CURVE('',#150987,#150985,#71723,.T.); #185798=EDGE_CURVE('',#150988,#150986,#71724,.T.); #185799=EDGE_CURVE('',#150987,#150988,#71725,.T.); #185800=EDGE_CURVE('',#150989,#150987,#135935,.T.); #185801=EDGE_CURVE('',#150990,#150988,#135936,.T.); #185802=EDGE_CURVE('',#150989,#150990,#71726,.T.); #185803=EDGE_CURVE('',#150991,#150989,#71727,.T.); #185804=EDGE_CURVE('',#150992,#150990,#71728,.T.); #185805=EDGE_CURVE('',#150991,#150992,#71729,.T.); #185806=EDGE_CURVE('',#150993,#150991,#135937,.T.); #185807=EDGE_CURVE('',#150994,#150992,#135938,.T.); #185808=EDGE_CURVE('',#150993,#150994,#71730,.T.); #185809=EDGE_CURVE('',#150995,#150993,#71731,.T.); #185810=EDGE_CURVE('',#150996,#150994,#71732,.T.); #185811=EDGE_CURVE('',#150995,#150996,#71733,.T.); #185812=EDGE_CURVE('',#150997,#150995,#135939,.T.); #185813=EDGE_CURVE('',#150998,#150996,#135940,.T.); #185814=EDGE_CURVE('',#150997,#150998,#71734,.T.); #185815=EDGE_CURVE('',#150999,#150997,#71735,.T.); #185816=EDGE_CURVE('',#151000,#150998,#71736,.T.); #185817=EDGE_CURVE('',#150999,#151000,#71737,.T.); #185818=EDGE_CURVE('',#151001,#150999,#71738,.T.); #185819=EDGE_CURVE('',#151002,#151000,#71739,.T.); #185820=EDGE_CURVE('',#151001,#151002,#71740,.T.); #185821=EDGE_CURVE('',#151003,#151001,#71741,.T.); #185822=EDGE_CURVE('',#151004,#151002,#71742,.T.); #185823=EDGE_CURVE('',#151003,#151004,#71743,.T.); #185824=EDGE_CURVE('',#151005,#151003,#71744,.T.); #185825=EDGE_CURVE('',#151006,#151004,#71745,.T.); #185826=EDGE_CURVE('',#151005,#151006,#71746,.T.); #185827=EDGE_CURVE('',#151007,#151005,#71747,.T.); #185828=EDGE_CURVE('',#151008,#151006,#71748,.T.); #185829=EDGE_CURVE('',#151007,#151008,#71749,.T.); #185830=EDGE_CURVE('',#151009,#151007,#71750,.T.); #185831=EDGE_CURVE('',#151010,#151008,#71751,.T.); #185832=EDGE_CURVE('',#151009,#151010,#71752,.T.); #185833=EDGE_CURVE('',#151011,#151009,#71753,.T.); #185834=EDGE_CURVE('',#151012,#151010,#71754,.T.); #185835=EDGE_CURVE('',#151011,#151012,#71755,.T.); #185836=EDGE_CURVE('',#151013,#151011,#71756,.T.); #185837=EDGE_CURVE('',#151014,#151012,#71757,.T.); #185838=EDGE_CURVE('',#151013,#151014,#71758,.T.); #185839=EDGE_CURVE('',#151015,#151013,#71759,.T.); #185840=EDGE_CURVE('',#151016,#151014,#71760,.T.); #185841=EDGE_CURVE('',#151015,#151016,#71761,.T.); #185842=EDGE_CURVE('',#151017,#151015,#71762,.T.); #185843=EDGE_CURVE('',#151018,#151016,#71763,.T.); #185844=EDGE_CURVE('',#151017,#151018,#71764,.T.); #185845=EDGE_CURVE('',#151019,#151017,#71765,.T.); #185846=EDGE_CURVE('',#151020,#151018,#71766,.T.); #185847=EDGE_CURVE('',#151019,#151020,#71767,.T.); #185848=EDGE_CURVE('',#151021,#151019,#71768,.T.); #185849=EDGE_CURVE('',#151022,#151020,#71769,.T.); #185850=EDGE_CURVE('',#151021,#151022,#71770,.T.); #185851=EDGE_CURVE('',#151023,#151021,#71771,.T.); #185852=EDGE_CURVE('',#151024,#151022,#71772,.T.); #185853=EDGE_CURVE('',#151023,#151024,#71773,.T.); #185854=EDGE_CURVE('',#151025,#151023,#71774,.T.); #185855=EDGE_CURVE('',#151026,#151024,#71775,.T.); #185856=EDGE_CURVE('',#151025,#151026,#71776,.T.); #185857=EDGE_CURVE('',#151027,#151025,#71777,.T.); #185858=EDGE_CURVE('',#151028,#151026,#71778,.T.); #185859=EDGE_CURVE('',#151027,#151028,#71779,.T.); #185860=EDGE_CURVE('',#151029,#151027,#71780,.T.); #185861=EDGE_CURVE('',#151030,#151028,#71781,.T.); #185862=EDGE_CURVE('',#151029,#151030,#71782,.T.); #185863=EDGE_CURVE('',#151031,#151029,#135941,.T.); #185864=EDGE_CURVE('',#151032,#151030,#135942,.T.); #185865=EDGE_CURVE('',#151031,#151032,#71783,.T.); #185866=EDGE_CURVE('',#151033,#151031,#71784,.T.); #185867=EDGE_CURVE('',#151034,#151032,#71785,.T.); #185868=EDGE_CURVE('',#151033,#151034,#71786,.T.); #185869=EDGE_CURVE('',#151035,#151033,#71787,.T.); #185870=EDGE_CURVE('',#151036,#151034,#71788,.T.); #185871=EDGE_CURVE('',#151035,#151036,#71789,.T.); #185872=EDGE_CURVE('',#151037,#151035,#135943,.T.); #185873=EDGE_CURVE('',#151038,#151036,#135944,.T.); #185874=EDGE_CURVE('',#151037,#151038,#71790,.T.); #185875=EDGE_CURVE('',#151039,#151037,#71791,.T.); #185876=EDGE_CURVE('',#151040,#151038,#71792,.T.); #185877=EDGE_CURVE('',#151039,#151040,#71793,.T.); #185878=EDGE_CURVE('',#150958,#151039,#71794,.T.); #185879=EDGE_CURVE('',#150959,#151040,#71795,.T.); #185880=EDGE_CURVE('',#151041,#151041,#135945,.T.); #185881=EDGE_CURVE('',#151041,#151042,#71796,.T.); #185882=EDGE_CURVE('',#151042,#151042,#135946,.T.); #185883=EDGE_CURVE('',#151043,#151043,#135947,.T.); #185884=EDGE_CURVE('',#151043,#151044,#71797,.T.); #185885=EDGE_CURVE('',#151044,#151044,#135948,.T.); #185886=EDGE_CURVE('',#151045,#151045,#135949,.T.); #185887=EDGE_CURVE('',#151045,#151046,#71798,.T.); #185888=EDGE_CURVE('',#151046,#151046,#135950,.T.); #185889=EDGE_CURVE('',#151047,#151047,#135951,.T.); #185890=EDGE_CURVE('',#151047,#151048,#71799,.T.); #185891=EDGE_CURVE('',#151048,#151048,#135952,.T.); #185892=EDGE_CURVE('',#151049,#151049,#135953,.T.); #185893=EDGE_CURVE('',#151049,#151050,#71800,.T.); #185894=EDGE_CURVE('',#151050,#151050,#135954,.T.); #185895=EDGE_CURVE('',#151051,#151051,#135955,.T.); #185896=EDGE_CURVE('',#151051,#151052,#71801,.T.); #185897=EDGE_CURVE('',#151052,#151052,#135956,.T.); #185898=EDGE_CURVE('',#151053,#151053,#135957,.T.); #185899=EDGE_CURVE('',#151053,#151054,#71802,.T.); #185900=EDGE_CURVE('',#151054,#151054,#135958,.T.); #185901=EDGE_CURVE('',#151055,#151055,#135959,.T.); #185902=EDGE_CURVE('',#151055,#151056,#71803,.T.); #185903=EDGE_CURVE('',#151056,#151056,#135960,.T.); #185904=EDGE_CURVE('',#151057,#151057,#135961,.T.); #185905=EDGE_CURVE('',#151057,#151058,#71804,.T.); #185906=EDGE_CURVE('',#151058,#151058,#135962,.T.); #185907=EDGE_CURVE('',#151059,#151059,#135963,.T.); #185908=EDGE_CURVE('',#151059,#151060,#71805,.T.); #185909=EDGE_CURVE('',#151060,#151060,#135964,.T.); #185910=EDGE_CURVE('',#151061,#151061,#135965,.T.); #185911=EDGE_CURVE('',#151061,#151062,#71806,.T.); #185912=EDGE_CURVE('',#151062,#151062,#135966,.T.); #185913=EDGE_CURVE('',#151063,#151063,#135967,.T.); #185914=EDGE_CURVE('',#151063,#151064,#71807,.T.); #185915=EDGE_CURVE('',#151064,#151064,#135968,.T.); #185916=EDGE_CURVE('',#151065,#151065,#135969,.T.); #185917=EDGE_CURVE('',#151065,#151066,#71808,.T.); #185918=EDGE_CURVE('',#151066,#151066,#135970,.T.); #185919=EDGE_CURVE('',#151067,#151067,#135971,.T.); #185920=EDGE_CURVE('',#151067,#151068,#71809,.T.); #185921=EDGE_CURVE('',#151068,#151068,#135972,.T.); #185922=EDGE_CURVE('',#151069,#151069,#135973,.T.); #185923=EDGE_CURVE('',#151069,#151070,#71810,.T.); #185924=EDGE_CURVE('',#151070,#151070,#135974,.T.); #185925=EDGE_CURVE('',#151071,#151071,#135975,.T.); #185926=EDGE_CURVE('',#151071,#151072,#71811,.T.); #185927=EDGE_CURVE('',#151072,#151072,#135976,.T.); #185928=EDGE_CURVE('',#151073,#151073,#135977,.T.); #185929=EDGE_CURVE('',#151073,#151074,#71812,.T.); #185930=EDGE_CURVE('',#151074,#151074,#135978,.T.); #185931=EDGE_CURVE('',#151075,#151075,#135979,.T.); #185932=EDGE_CURVE('',#151075,#151076,#71813,.T.); #185933=EDGE_CURVE('',#151076,#151076,#135980,.T.); #185934=EDGE_CURVE('',#151077,#151077,#135981,.T.); #185935=EDGE_CURVE('',#151077,#151078,#71814,.T.); #185936=EDGE_CURVE('',#151078,#151078,#135982,.T.); #185937=EDGE_CURVE('',#151079,#151079,#135983,.T.); #185938=EDGE_CURVE('',#151079,#151080,#71815,.T.); #185939=EDGE_CURVE('',#151080,#151080,#135984,.T.); #185940=EDGE_CURVE('',#151081,#151081,#135985,.T.); #185941=EDGE_CURVE('',#151081,#151082,#71816,.T.); #185942=EDGE_CURVE('',#151082,#151082,#135986,.T.); #185943=EDGE_CURVE('',#151083,#151083,#135987,.T.); #185944=EDGE_CURVE('',#151083,#151084,#71817,.T.); #185945=EDGE_CURVE('',#151084,#151084,#135988,.T.); #185946=EDGE_CURVE('',#151085,#151085,#135989,.T.); #185947=EDGE_CURVE('',#151085,#151086,#71818,.T.); #185948=EDGE_CURVE('',#151086,#151086,#135990,.T.); #185949=EDGE_CURVE('',#151087,#151087,#135991,.T.); #185950=EDGE_CURVE('',#151087,#151088,#71819,.T.); #185951=EDGE_CURVE('',#151088,#151088,#135992,.T.); #185952=EDGE_CURVE('',#151089,#151089,#135993,.T.); #185953=EDGE_CURVE('',#151089,#151090,#71820,.T.); #185954=EDGE_CURVE('',#151090,#151090,#135994,.T.); #185955=EDGE_CURVE('',#151091,#151091,#135995,.T.); #185956=EDGE_CURVE('',#151091,#151092,#71821,.T.); #185957=EDGE_CURVE('',#151092,#151092,#135996,.T.); #185958=EDGE_CURVE('',#151093,#151093,#135997,.T.); #185959=EDGE_CURVE('',#151093,#151094,#71822,.T.); #185960=EDGE_CURVE('',#151094,#151094,#135998,.T.); #185961=EDGE_CURVE('',#151095,#151095,#135999,.T.); #185962=EDGE_CURVE('',#151095,#151096,#71823,.T.); #185963=EDGE_CURVE('',#151096,#151096,#136000,.T.); #185964=EDGE_CURVE('',#151097,#151097,#136001,.T.); #185965=EDGE_CURVE('',#151097,#151098,#71824,.T.); #185966=EDGE_CURVE('',#151098,#151098,#136002,.T.); #185967=EDGE_CURVE('',#151099,#151099,#136003,.T.); #185968=EDGE_CURVE('',#151099,#151100,#71825,.T.); #185969=EDGE_CURVE('',#151100,#151100,#136004,.T.); #185970=EDGE_CURVE('',#151101,#151101,#136005,.T.); #185971=EDGE_CURVE('',#151101,#151102,#71826,.T.); #185972=EDGE_CURVE('',#151102,#151102,#136006,.T.); #185973=EDGE_CURVE('',#151103,#151104,#71827,.T.); #185974=EDGE_CURVE('',#151104,#151105,#71828,.T.); #185975=EDGE_CURVE('',#151106,#151105,#71829,.T.); #185976=EDGE_CURVE('',#151103,#151106,#71830,.T.); #185977=EDGE_CURVE('',#151107,#151103,#136007,.T.); #185978=EDGE_CURVE('',#151108,#151106,#136008,.T.); #185979=EDGE_CURVE('',#151107,#151108,#71831,.T.); #185980=EDGE_CURVE('',#151109,#151107,#71832,.T.); #185981=EDGE_CURVE('',#151110,#151108,#71833,.T.); #185982=EDGE_CURVE('',#151109,#151110,#71834,.T.); #185983=EDGE_CURVE('',#151111,#151109,#71835,.T.); #185984=EDGE_CURVE('',#151112,#151110,#71836,.T.); #185985=EDGE_CURVE('',#151111,#151112,#71837,.T.); #185986=EDGE_CURVE('',#151113,#151111,#71838,.T.); #185987=EDGE_CURVE('',#151114,#151112,#71839,.T.); #185988=EDGE_CURVE('',#151113,#151114,#71840,.T.); #185989=EDGE_CURVE('',#151115,#151113,#136009,.T.); #185990=EDGE_CURVE('',#151116,#151114,#136010,.T.); #185991=EDGE_CURVE('',#151115,#151116,#71841,.T.); #185992=EDGE_CURVE('',#151117,#151115,#71842,.T.); #185993=EDGE_CURVE('',#151118,#151116,#71843,.T.); #185994=EDGE_CURVE('',#151117,#151118,#71844,.T.); #185995=EDGE_CURVE('',#151119,#151117,#136011,.T.); #185996=EDGE_CURVE('',#151120,#151118,#136012,.T.); #185997=EDGE_CURVE('',#151119,#151120,#71845,.T.); #185998=EDGE_CURVE('',#151121,#151119,#71846,.T.); #185999=EDGE_CURVE('',#151122,#151120,#71847,.T.); #186000=EDGE_CURVE('',#151121,#151122,#71848,.T.); #186001=EDGE_CURVE('',#151123,#151121,#71849,.T.); #186002=EDGE_CURVE('',#151124,#151122,#71850,.T.); #186003=EDGE_CURVE('',#151123,#151124,#71851,.T.); #186004=EDGE_CURVE('',#151125,#151123,#71852,.T.); #186005=EDGE_CURVE('',#151126,#151124,#71853,.T.); #186006=EDGE_CURVE('',#151125,#151126,#71854,.T.); #186007=EDGE_CURVE('',#151127,#151125,#71855,.T.); #186008=EDGE_CURVE('',#151128,#151126,#71856,.T.); #186009=EDGE_CURVE('',#151127,#151128,#71857,.T.); #186010=EDGE_CURVE('',#151129,#151127,#71858,.T.); #186011=EDGE_CURVE('',#151130,#151128,#71859,.T.); #186012=EDGE_CURVE('',#151129,#151130,#71860,.T.); #186013=EDGE_CURVE('',#151131,#151129,#71861,.T.); #186014=EDGE_CURVE('',#151132,#151130,#71862,.T.); #186015=EDGE_CURVE('',#151131,#151132,#71863,.T.); #186016=EDGE_CURVE('',#151133,#151131,#71864,.T.); #186017=EDGE_CURVE('',#151134,#151132,#71865,.T.); #186018=EDGE_CURVE('',#151133,#151134,#71866,.T.); #186019=EDGE_CURVE('',#151135,#151133,#71867,.T.); #186020=EDGE_CURVE('',#151136,#151134,#71868,.T.); #186021=EDGE_CURVE('',#151135,#151136,#71869,.T.); #186022=EDGE_CURVE('',#151137,#151135,#71870,.T.); #186023=EDGE_CURVE('',#151138,#151136,#71871,.T.); #186024=EDGE_CURVE('',#151137,#151138,#71872,.T.); #186025=EDGE_CURVE('',#151139,#151137,#71873,.T.); #186026=EDGE_CURVE('',#151140,#151138,#71874,.T.); #186027=EDGE_CURVE('',#151139,#151140,#71875,.T.); #186028=EDGE_CURVE('',#151141,#151139,#71876,.T.); #186029=EDGE_CURVE('',#151142,#151140,#71877,.T.); #186030=EDGE_CURVE('',#151141,#151142,#71878,.T.); #186031=EDGE_CURVE('',#151143,#151141,#71879,.T.); #186032=EDGE_CURVE('',#151144,#151142,#71880,.T.); #186033=EDGE_CURVE('',#151143,#151144,#71881,.T.); #186034=EDGE_CURVE('',#151145,#151143,#71882,.T.); #186035=EDGE_CURVE('',#151146,#151144,#71883,.T.); #186036=EDGE_CURVE('',#151145,#151146,#71884,.T.); #186037=EDGE_CURVE('',#151147,#151145,#71885,.T.); #186038=EDGE_CURVE('',#151148,#151146,#71886,.T.); #186039=EDGE_CURVE('',#151147,#151148,#71887,.T.); #186040=EDGE_CURVE('',#151149,#151147,#71888,.T.); #186041=EDGE_CURVE('',#151150,#151148,#71889,.T.); #186042=EDGE_CURVE('',#151149,#151150,#71890,.T.); #186043=EDGE_CURVE('',#151151,#151149,#71891,.T.); #186044=EDGE_CURVE('',#151152,#151150,#71892,.T.); #186045=EDGE_CURVE('',#151151,#151152,#71893,.T.); #186046=EDGE_CURVE('',#151153,#151151,#71894,.T.); #186047=EDGE_CURVE('',#151154,#151152,#71895,.T.); #186048=EDGE_CURVE('',#151153,#151154,#71896,.T.); #186049=EDGE_CURVE('',#151155,#151153,#71897,.T.); #186050=EDGE_CURVE('',#151156,#151154,#71898,.T.); #186051=EDGE_CURVE('',#151155,#151156,#71899,.T.); #186052=EDGE_CURVE('',#151157,#151155,#71900,.T.); #186053=EDGE_CURVE('',#151158,#151156,#71901,.T.); #186054=EDGE_CURVE('',#151157,#151158,#71902,.T.); #186055=EDGE_CURVE('',#151159,#151157,#71903,.T.); #186056=EDGE_CURVE('',#151160,#151158,#71904,.T.); #186057=EDGE_CURVE('',#151159,#151160,#71905,.T.); #186058=EDGE_CURVE('',#151161,#151159,#71906,.T.); #186059=EDGE_CURVE('',#151162,#151160,#71907,.T.); #186060=EDGE_CURVE('',#151161,#151162,#71908,.T.); #186061=EDGE_CURVE('',#151163,#151161,#71909,.T.); #186062=EDGE_CURVE('',#151164,#151162,#71910,.T.); #186063=EDGE_CURVE('',#151163,#151164,#71911,.T.); #186064=EDGE_CURVE('',#151165,#151163,#71912,.T.); #186065=EDGE_CURVE('',#151166,#151164,#71913,.T.); #186066=EDGE_CURVE('',#151165,#151166,#71914,.T.); #186067=EDGE_CURVE('',#151167,#151165,#71915,.T.); #186068=EDGE_CURVE('',#151168,#151166,#71916,.T.); #186069=EDGE_CURVE('',#151167,#151168,#71917,.T.); #186070=EDGE_CURVE('',#151169,#151167,#71918,.T.); #186071=EDGE_CURVE('',#151170,#151168,#71919,.T.); #186072=EDGE_CURVE('',#151169,#151170,#71920,.T.); #186073=EDGE_CURVE('',#151171,#151169,#71921,.T.); #186074=EDGE_CURVE('',#151172,#151170,#71922,.T.); #186075=EDGE_CURVE('',#151171,#151172,#71923,.T.); #186076=EDGE_CURVE('',#151173,#151171,#71924,.T.); #186077=EDGE_CURVE('',#151174,#151172,#71925,.T.); #186078=EDGE_CURVE('',#151173,#151174,#71926,.T.); #186079=EDGE_CURVE('',#151175,#151173,#71927,.T.); #186080=EDGE_CURVE('',#151176,#151174,#71928,.T.); #186081=EDGE_CURVE('',#151175,#151176,#71929,.T.); #186082=EDGE_CURVE('',#151177,#151175,#71930,.T.); #186083=EDGE_CURVE('',#151178,#151176,#71931,.T.); #186084=EDGE_CURVE('',#151177,#151178,#71932,.T.); #186085=EDGE_CURVE('',#151179,#151177,#71933,.T.); #186086=EDGE_CURVE('',#151180,#151178,#71934,.T.); #186087=EDGE_CURVE('',#151179,#151180,#71935,.T.); #186088=EDGE_CURVE('',#151181,#151179,#71936,.T.); #186089=EDGE_CURVE('',#151182,#151180,#71937,.T.); #186090=EDGE_CURVE('',#151181,#151182,#71938,.T.); #186091=EDGE_CURVE('',#151183,#151181,#71939,.T.); #186092=EDGE_CURVE('',#151184,#151182,#71940,.T.); #186093=EDGE_CURVE('',#151183,#151184,#71941,.T.); #186094=EDGE_CURVE('',#151185,#151183,#71942,.T.); #186095=EDGE_CURVE('',#151186,#151184,#71943,.T.); #186096=EDGE_CURVE('',#151185,#151186,#71944,.T.); #186097=EDGE_CURVE('',#151187,#151185,#71945,.T.); #186098=EDGE_CURVE('',#151188,#151186,#71946,.T.); #186099=EDGE_CURVE('',#151187,#151188,#71947,.T.); #186100=EDGE_CURVE('',#151189,#151187,#71948,.T.); #186101=EDGE_CURVE('',#151190,#151188,#71949,.T.); #186102=EDGE_CURVE('',#151189,#151190,#71950,.T.); #186103=EDGE_CURVE('',#151191,#151189,#71951,.T.); #186104=EDGE_CURVE('',#151192,#151190,#71952,.T.); #186105=EDGE_CURVE('',#151191,#151192,#71953,.T.); #186106=EDGE_CURVE('',#151193,#151191,#71954,.T.); #186107=EDGE_CURVE('',#151194,#151192,#71955,.T.); #186108=EDGE_CURVE('',#151193,#151194,#71956,.T.); #186109=EDGE_CURVE('',#151195,#151193,#71957,.T.); #186110=EDGE_CURVE('',#151196,#151194,#71958,.T.); #186111=EDGE_CURVE('',#151195,#151196,#71959,.T.); #186112=EDGE_CURVE('',#151197,#151195,#71960,.T.); #186113=EDGE_CURVE('',#151198,#151196,#71961,.T.); #186114=EDGE_CURVE('',#151197,#151198,#71962,.T.); #186115=EDGE_CURVE('',#151199,#151197,#71963,.T.); #186116=EDGE_CURVE('',#151200,#151198,#71964,.T.); #186117=EDGE_CURVE('',#151199,#151200,#71965,.T.); #186118=EDGE_CURVE('',#151201,#151199,#71966,.T.); #186119=EDGE_CURVE('',#151202,#151200,#71967,.T.); #186120=EDGE_CURVE('',#151201,#151202,#71968,.T.); #186121=EDGE_CURVE('',#151203,#151201,#71969,.T.); #186122=EDGE_CURVE('',#151204,#151202,#71970,.T.); #186123=EDGE_CURVE('',#151203,#151204,#71971,.T.); #186124=EDGE_CURVE('',#151205,#151203,#71972,.T.); #186125=EDGE_CURVE('',#151206,#151204,#71973,.T.); #186126=EDGE_CURVE('',#151205,#151206,#71974,.T.); #186127=EDGE_CURVE('',#151207,#151205,#71975,.T.); #186128=EDGE_CURVE('',#151208,#151206,#71976,.T.); #186129=EDGE_CURVE('',#151207,#151208,#71977,.T.); #186130=EDGE_CURVE('',#151209,#151207,#71978,.T.); #186131=EDGE_CURVE('',#151210,#151208,#71979,.T.); #186132=EDGE_CURVE('',#151209,#151210,#71980,.T.); #186133=EDGE_CURVE('',#151211,#151209,#71981,.T.); #186134=EDGE_CURVE('',#151212,#151210,#71982,.T.); #186135=EDGE_CURVE('',#151211,#151212,#71983,.T.); #186136=EDGE_CURVE('',#151213,#151211,#71984,.T.); #186137=EDGE_CURVE('',#151214,#151212,#71985,.T.); #186138=EDGE_CURVE('',#151213,#151214,#71986,.T.); #186139=EDGE_CURVE('',#151215,#151213,#71987,.T.); #186140=EDGE_CURVE('',#151216,#151214,#71988,.T.); #186141=EDGE_CURVE('',#151215,#151216,#71989,.T.); #186142=EDGE_CURVE('',#151217,#151215,#71990,.T.); #186143=EDGE_CURVE('',#151218,#151216,#71991,.T.); #186144=EDGE_CURVE('',#151217,#151218,#71992,.T.); #186145=EDGE_CURVE('',#151219,#151217,#71993,.T.); #186146=EDGE_CURVE('',#151220,#151218,#71994,.T.); #186147=EDGE_CURVE('',#151219,#151220,#71995,.T.); #186148=EDGE_CURVE('',#151221,#151219,#71996,.T.); #186149=EDGE_CURVE('',#151222,#151220,#71997,.T.); #186150=EDGE_CURVE('',#151221,#151222,#71998,.T.); #186151=EDGE_CURVE('',#151223,#151221,#71999,.T.); #186152=EDGE_CURVE('',#151224,#151222,#72000,.T.); #186153=EDGE_CURVE('',#151223,#151224,#72001,.T.); #186154=EDGE_CURVE('',#151225,#151223,#72002,.T.); #186155=EDGE_CURVE('',#151226,#151224,#72003,.T.); #186156=EDGE_CURVE('',#151225,#151226,#72004,.T.); #186157=EDGE_CURVE('',#151227,#151225,#72005,.T.); #186158=EDGE_CURVE('',#151228,#151226,#72006,.T.); #186159=EDGE_CURVE('',#151227,#151228,#72007,.T.); #186160=EDGE_CURVE('',#151229,#151227,#72008,.T.); #186161=EDGE_CURVE('',#151230,#151228,#72009,.T.); #186162=EDGE_CURVE('',#151229,#151230,#72010,.T.); #186163=EDGE_CURVE('',#151231,#151229,#72011,.T.); #186164=EDGE_CURVE('',#151232,#151230,#72012,.T.); #186165=EDGE_CURVE('',#151231,#151232,#72013,.T.); #186166=EDGE_CURVE('',#151233,#151231,#72014,.T.); #186167=EDGE_CURVE('',#151234,#151232,#72015,.T.); #186168=EDGE_CURVE('',#151233,#151234,#72016,.T.); #186169=EDGE_CURVE('',#151235,#151233,#72017,.T.); #186170=EDGE_CURVE('',#151236,#151234,#72018,.T.); #186171=EDGE_CURVE('',#151235,#151236,#72019,.T.); #186172=EDGE_CURVE('',#151237,#151235,#72020,.T.); #186173=EDGE_CURVE('',#151238,#151236,#72021,.T.); #186174=EDGE_CURVE('',#151237,#151238,#72022,.T.); #186175=EDGE_CURVE('',#151239,#151237,#72023,.T.); #186176=EDGE_CURVE('',#151240,#151238,#72024,.T.); #186177=EDGE_CURVE('',#151239,#151240,#72025,.T.); #186178=EDGE_CURVE('',#151241,#151239,#72026,.T.); #186179=EDGE_CURVE('',#151242,#151240,#72027,.T.); #186180=EDGE_CURVE('',#151241,#151242,#72028,.T.); #186181=EDGE_CURVE('',#151243,#151241,#72029,.T.); #186182=EDGE_CURVE('',#151244,#151242,#72030,.T.); #186183=EDGE_CURVE('',#151243,#151244,#72031,.T.); #186184=EDGE_CURVE('',#151245,#151243,#72032,.T.); #186185=EDGE_CURVE('',#151246,#151244,#72033,.T.); #186186=EDGE_CURVE('',#151245,#151246,#72034,.T.); #186187=EDGE_CURVE('',#151247,#151245,#72035,.T.); #186188=EDGE_CURVE('',#151248,#151246,#72036,.T.); #186189=EDGE_CURVE('',#151247,#151248,#72037,.T.); #186190=EDGE_CURVE('',#151249,#151247,#72038,.T.); #186191=EDGE_CURVE('',#151250,#151248,#72039,.T.); #186192=EDGE_CURVE('',#151249,#151250,#72040,.T.); #186193=EDGE_CURVE('',#151251,#151249,#72041,.T.); #186194=EDGE_CURVE('',#151252,#151250,#72042,.T.); #186195=EDGE_CURVE('',#151251,#151252,#72043,.T.); #186196=EDGE_CURVE('',#151253,#151251,#72044,.T.); #186197=EDGE_CURVE('',#151254,#151252,#72045,.T.); #186198=EDGE_CURVE('',#151253,#151254,#72046,.T.); #186199=EDGE_CURVE('',#151255,#151253,#72047,.T.); #186200=EDGE_CURVE('',#151256,#151254,#72048,.T.); #186201=EDGE_CURVE('',#151255,#151256,#72049,.T.); #186202=EDGE_CURVE('',#151257,#151255,#72050,.T.); #186203=EDGE_CURVE('',#151258,#151256,#72051,.T.); #186204=EDGE_CURVE('',#151257,#151258,#72052,.T.); #186205=EDGE_CURVE('',#151259,#151257,#72053,.T.); #186206=EDGE_CURVE('',#151260,#151258,#72054,.T.); #186207=EDGE_CURVE('',#151259,#151260,#72055,.T.); #186208=EDGE_CURVE('',#151261,#151259,#72056,.T.); #186209=EDGE_CURVE('',#151262,#151260,#72057,.T.); #186210=EDGE_CURVE('',#151261,#151262,#72058,.T.); #186211=EDGE_CURVE('',#151263,#151261,#72059,.T.); #186212=EDGE_CURVE('',#151264,#151262,#72060,.T.); #186213=EDGE_CURVE('',#151263,#151264,#72061,.T.); #186214=EDGE_CURVE('',#151265,#151263,#136013,.T.); #186215=EDGE_CURVE('',#151266,#151264,#136014,.T.); #186216=EDGE_CURVE('',#151265,#151266,#72062,.T.); #186217=EDGE_CURVE('',#151267,#151265,#72063,.T.); #186218=EDGE_CURVE('',#151268,#151266,#72064,.T.); #186219=EDGE_CURVE('',#151267,#151268,#72065,.T.); #186220=EDGE_CURVE('',#151269,#151267,#136015,.T.); #186221=EDGE_CURVE('',#151270,#151268,#136016,.T.); #186222=EDGE_CURVE('',#151269,#151270,#72066,.T.); #186223=EDGE_CURVE('',#151271,#151269,#72067,.T.); #186224=EDGE_CURVE('',#151272,#151270,#72068,.T.); #186225=EDGE_CURVE('',#151271,#151272,#72069,.T.); #186226=EDGE_CURVE('',#151104,#151271,#136017,.T.); #186227=EDGE_CURVE('',#151105,#151272,#136018,.T.); #186228=EDGE_CURVE('',#151273,#151273,#136019,.T.); #186229=EDGE_CURVE('',#151273,#151274,#72070,.T.); #186230=EDGE_CURVE('',#151274,#151274,#136020,.T.); #186231=EDGE_CURVE('',#151275,#151276,#72071,.T.); #186232=EDGE_CURVE('',#151276,#151277,#72072,.T.); #186233=EDGE_CURVE('',#151278,#151277,#72073,.T.); #186234=EDGE_CURVE('',#151275,#151278,#72074,.T.); #186235=EDGE_CURVE('',#151279,#151275,#72075,.T.); #186236=EDGE_CURVE('',#151280,#151278,#72076,.T.); #186237=EDGE_CURVE('',#151279,#151280,#72077,.T.); #186238=EDGE_CURVE('',#151281,#151279,#72078,.T.); #186239=EDGE_CURVE('',#151282,#151280,#72079,.T.); #186240=EDGE_CURVE('',#151281,#151282,#72080,.T.); #186241=EDGE_CURVE('',#151283,#151281,#72081,.T.); #186242=EDGE_CURVE('',#151284,#151282,#72082,.T.); #186243=EDGE_CURVE('',#151283,#151284,#72083,.T.); #186244=EDGE_CURVE('',#151285,#151283,#72084,.T.); #186245=EDGE_CURVE('',#151286,#151284,#72085,.T.); #186246=EDGE_CURVE('',#151285,#151286,#72086,.T.); #186247=EDGE_CURVE('',#151287,#151285,#72087,.T.); #186248=EDGE_CURVE('',#151288,#151286,#72088,.T.); #186249=EDGE_CURVE('',#151287,#151288,#72089,.T.); #186250=EDGE_CURVE('',#151289,#151287,#136021,.T.); #186251=EDGE_CURVE('',#151290,#151288,#136022,.T.); #186252=EDGE_CURVE('',#151289,#151290,#72090,.T.); #186253=EDGE_CURVE('',#151291,#151289,#72091,.T.); #186254=EDGE_CURVE('',#151292,#151290,#72092,.T.); #186255=EDGE_CURVE('',#151291,#151292,#72093,.T.); #186256=EDGE_CURVE('',#151293,#151291,#72094,.T.); #186257=EDGE_CURVE('',#151294,#151292,#72095,.T.); #186258=EDGE_CURVE('',#151293,#151294,#72096,.T.); #186259=EDGE_CURVE('',#151295,#151293,#136023,.T.); #186260=EDGE_CURVE('',#151296,#151294,#136024,.T.); #186261=EDGE_CURVE('',#151295,#151296,#72097,.T.); #186262=EDGE_CURVE('',#151297,#151295,#72098,.T.); #186263=EDGE_CURVE('',#151298,#151296,#72099,.T.); #186264=EDGE_CURVE('',#151297,#151298,#72100,.T.); #186265=EDGE_CURVE('',#151299,#151297,#136025,.T.); #186266=EDGE_CURVE('',#151300,#151298,#136026,.T.); #186267=EDGE_CURVE('',#151299,#151300,#72101,.T.); #186268=EDGE_CURVE('',#151301,#151299,#136027,.T.); #186269=EDGE_CURVE('',#151302,#151300,#136028,.T.); #186270=EDGE_CURVE('',#151301,#151302,#72102,.T.); #186271=EDGE_CURVE('',#151303,#151301,#72103,.T.); #186272=EDGE_CURVE('',#151304,#151302,#72104,.T.); #186273=EDGE_CURVE('',#151303,#151304,#72105,.T.); #186274=EDGE_CURVE('',#151305,#151303,#72106,.T.); #186275=EDGE_CURVE('',#151306,#151304,#72107,.T.); #186276=EDGE_CURVE('',#151305,#151306,#72108,.T.); #186277=EDGE_CURVE('',#151307,#151305,#136029,.T.); #186278=EDGE_CURVE('',#151308,#151306,#136030,.T.); #186279=EDGE_CURVE('',#151307,#151308,#72109,.T.); #186280=EDGE_CURVE('',#151309,#151307,#72110,.T.); #186281=EDGE_CURVE('',#151310,#151308,#72111,.T.); #186282=EDGE_CURVE('',#151309,#151310,#72112,.T.); #186283=EDGE_CURVE('',#151311,#151309,#72113,.T.); #186284=EDGE_CURVE('',#151312,#151310,#72114,.T.); #186285=EDGE_CURVE('',#151311,#151312,#72115,.T.); #186286=EDGE_CURVE('',#151313,#151311,#136031,.T.); #186287=EDGE_CURVE('',#151314,#151312,#136032,.T.); #186288=EDGE_CURVE('',#151313,#151314,#72116,.T.); #186289=EDGE_CURVE('',#151315,#151313,#72117,.T.); #186290=EDGE_CURVE('',#151316,#151314,#72118,.T.); #186291=EDGE_CURVE('',#151315,#151316,#72119,.T.); #186292=EDGE_CURVE('',#151276,#151315,#72120,.T.); #186293=EDGE_CURVE('',#151277,#151316,#72121,.T.); #186294=EDGE_CURVE('',#151317,#151317,#136033,.T.); #186295=EDGE_CURVE('',#151317,#151318,#72122,.T.); #186296=EDGE_CURVE('',#151318,#151318,#136034,.T.); #186297=EDGE_CURVE('',#151319,#151319,#136035,.T.); #186298=EDGE_CURVE('',#151319,#151320,#72123,.T.); #186299=EDGE_CURVE('',#151320,#151320,#136036,.T.); #186300=EDGE_CURVE('',#151321,#151321,#136037,.T.); #186301=EDGE_CURVE('',#151321,#151322,#72124,.T.); #186302=EDGE_CURVE('',#151322,#151322,#136038,.T.); #186303=EDGE_CURVE('',#151323,#151323,#136039,.T.); #186304=EDGE_CURVE('',#151323,#151324,#72125,.T.); #186305=EDGE_CURVE('',#151324,#151324,#136040,.T.); #186306=EDGE_CURVE('',#151325,#151325,#136041,.T.); #186307=EDGE_CURVE('',#151325,#151326,#72126,.T.); #186308=EDGE_CURVE('',#151326,#151326,#136042,.T.); #186309=EDGE_CURVE('',#151327,#151327,#136043,.T.); #186310=EDGE_CURVE('',#151327,#151328,#72127,.T.); #186311=EDGE_CURVE('',#151328,#151328,#136044,.T.); #186312=EDGE_CURVE('',#151329,#151329,#136045,.T.); #186313=EDGE_CURVE('',#151329,#151330,#72128,.T.); #186314=EDGE_CURVE('',#151330,#151330,#136046,.T.); #186315=EDGE_CURVE('',#151331,#151331,#136047,.T.); #186316=EDGE_CURVE('',#151331,#151332,#72129,.T.); #186317=EDGE_CURVE('',#151332,#151332,#136048,.T.); #186318=EDGE_CURVE('',#151333,#151333,#136049,.T.); #186319=EDGE_CURVE('',#151333,#151334,#72130,.T.); #186320=EDGE_CURVE('',#151334,#151334,#136050,.T.); #186321=EDGE_CURVE('',#151335,#151335,#136051,.T.); #186322=EDGE_CURVE('',#151335,#151336,#72131,.T.); #186323=EDGE_CURVE('',#151336,#151336,#136052,.T.); #186324=EDGE_CURVE('',#151337,#151337,#136053,.T.); #186325=EDGE_CURVE('',#151337,#151338,#72132,.T.); #186326=EDGE_CURVE('',#151338,#151338,#136054,.T.); #186327=EDGE_CURVE('',#151339,#151340,#72133,.T.); #186328=EDGE_CURVE('',#151340,#151341,#72134,.T.); #186329=EDGE_CURVE('',#151342,#151341,#72135,.T.); #186330=EDGE_CURVE('',#151339,#151342,#72136,.T.); #186331=EDGE_CURVE('',#151343,#151339,#72137,.T.); #186332=EDGE_CURVE('',#151344,#151342,#72138,.T.); #186333=EDGE_CURVE('',#151343,#151344,#72139,.T.); #186334=EDGE_CURVE('',#151345,#151343,#72140,.T.); #186335=EDGE_CURVE('',#151346,#151344,#72141,.T.); #186336=EDGE_CURVE('',#151345,#151346,#72142,.T.); #186337=EDGE_CURVE('',#151347,#151345,#72143,.T.); #186338=EDGE_CURVE('',#151348,#151346,#72144,.T.); #186339=EDGE_CURVE('',#151347,#151348,#72145,.T.); #186340=EDGE_CURVE('',#151349,#151347,#72146,.T.); #186341=EDGE_CURVE('',#151350,#151348,#72147,.T.); #186342=EDGE_CURVE('',#151349,#151350,#72148,.T.); #186343=EDGE_CURVE('',#151351,#151349,#72149,.T.); #186344=EDGE_CURVE('',#151352,#151350,#72150,.T.); #186345=EDGE_CURVE('',#151351,#151352,#72151,.T.); #186346=EDGE_CURVE('',#151353,#151351,#72152,.T.); #186347=EDGE_CURVE('',#151354,#151352,#72153,.T.); #186348=EDGE_CURVE('',#151353,#151354,#72154,.T.); #186349=EDGE_CURVE('',#151355,#151353,#72155,.T.); #186350=EDGE_CURVE('',#151356,#151354,#72156,.T.); #186351=EDGE_CURVE('',#151355,#151356,#72157,.T.); #186352=EDGE_CURVE('',#151357,#151355,#72158,.T.); #186353=EDGE_CURVE('',#151358,#151356,#72159,.T.); #186354=EDGE_CURVE('',#151357,#151358,#72160,.T.); #186355=EDGE_CURVE('',#151359,#151357,#72161,.T.); #186356=EDGE_CURVE('',#151360,#151358,#72162,.T.); #186357=EDGE_CURVE('',#151359,#151360,#72163,.T.); #186358=EDGE_CURVE('',#151361,#151359,#72164,.T.); #186359=EDGE_CURVE('',#151362,#151360,#72165,.T.); #186360=EDGE_CURVE('',#151361,#151362,#72166,.T.); #186361=EDGE_CURVE('',#151363,#151361,#72167,.T.); #186362=EDGE_CURVE('',#151364,#151362,#72168,.T.); #186363=EDGE_CURVE('',#151363,#151364,#72169,.T.); #186364=EDGE_CURVE('',#151365,#151363,#72170,.T.); #186365=EDGE_CURVE('',#151366,#151364,#72171,.T.); #186366=EDGE_CURVE('',#151365,#151366,#72172,.T.); #186367=EDGE_CURVE('',#151367,#151365,#72173,.T.); #186368=EDGE_CURVE('',#151368,#151366,#72174,.T.); #186369=EDGE_CURVE('',#151367,#151368,#72175,.T.); #186370=EDGE_CURVE('',#151369,#151367,#72176,.T.); #186371=EDGE_CURVE('',#151370,#151368,#72177,.T.); #186372=EDGE_CURVE('',#151369,#151370,#72178,.T.); #186373=EDGE_CURVE('',#151371,#151369,#72179,.T.); #186374=EDGE_CURVE('',#151372,#151370,#72180,.T.); #186375=EDGE_CURVE('',#151371,#151372,#72181,.T.); #186376=EDGE_CURVE('',#151373,#151371,#72182,.T.); #186377=EDGE_CURVE('',#151374,#151372,#72183,.T.); #186378=EDGE_CURVE('',#151373,#151374,#72184,.T.); #186379=EDGE_CURVE('',#151375,#151373,#72185,.T.); #186380=EDGE_CURVE('',#151376,#151374,#72186,.T.); #186381=EDGE_CURVE('',#151375,#151376,#72187,.T.); #186382=EDGE_CURVE('',#151377,#151375,#72188,.T.); #186383=EDGE_CURVE('',#151378,#151376,#72189,.T.); #186384=EDGE_CURVE('',#151377,#151378,#72190,.T.); #186385=EDGE_CURVE('',#151379,#151377,#72191,.T.); #186386=EDGE_CURVE('',#151380,#151378,#72192,.T.); #186387=EDGE_CURVE('',#151379,#151380,#72193,.T.); #186388=EDGE_CURVE('',#151381,#151379,#72194,.T.); #186389=EDGE_CURVE('',#151382,#151380,#72195,.T.); #186390=EDGE_CURVE('',#151381,#151382,#72196,.T.); #186391=EDGE_CURVE('',#151383,#151381,#72197,.T.); #186392=EDGE_CURVE('',#151384,#151382,#72198,.T.); #186393=EDGE_CURVE('',#151383,#151384,#72199,.T.); #186394=EDGE_CURVE('',#151385,#151383,#72200,.T.); #186395=EDGE_CURVE('',#151386,#151384,#72201,.T.); #186396=EDGE_CURVE('',#151385,#151386,#72202,.T.); #186397=EDGE_CURVE('',#151387,#151385,#72203,.T.); #186398=EDGE_CURVE('',#151388,#151386,#72204,.T.); #186399=EDGE_CURVE('',#151387,#151388,#72205,.T.); #186400=EDGE_CURVE('',#151389,#151387,#136055,.T.); #186401=EDGE_CURVE('',#151390,#151388,#136056,.T.); #186402=EDGE_CURVE('',#151389,#151390,#72206,.T.); #186403=EDGE_CURVE('',#151391,#151389,#72207,.T.); #186404=EDGE_CURVE('',#151392,#151390,#72208,.T.); #186405=EDGE_CURVE('',#151391,#151392,#72209,.T.); #186406=EDGE_CURVE('',#151393,#151391,#72210,.T.); #186407=EDGE_CURVE('',#151394,#151392,#72211,.T.); #186408=EDGE_CURVE('',#151393,#151394,#72212,.T.); #186409=EDGE_CURVE('',#151395,#151393,#136057,.T.); #186410=EDGE_CURVE('',#151396,#151394,#136058,.T.); #186411=EDGE_CURVE('',#151395,#151396,#72213,.T.); #186412=EDGE_CURVE('',#151397,#151395,#72214,.T.); #186413=EDGE_CURVE('',#151398,#151396,#72215,.T.); #186414=EDGE_CURVE('',#151397,#151398,#72216,.T.); #186415=EDGE_CURVE('',#151399,#151397,#72217,.T.); #186416=EDGE_CURVE('',#151400,#151398,#72218,.T.); #186417=EDGE_CURVE('',#151399,#151400,#72219,.T.); #186418=EDGE_CURVE('',#151401,#151399,#72220,.T.); #186419=EDGE_CURVE('',#151402,#151400,#72221,.T.); #186420=EDGE_CURVE('',#151401,#151402,#72222,.T.); #186421=EDGE_CURVE('',#151403,#151401,#72223,.T.); #186422=EDGE_CURVE('',#151404,#151402,#72224,.T.); #186423=EDGE_CURVE('',#151403,#151404,#72225,.T.); #186424=EDGE_CURVE('',#151405,#151403,#72226,.T.); #186425=EDGE_CURVE('',#151406,#151404,#72227,.T.); #186426=EDGE_CURVE('',#151405,#151406,#72228,.T.); #186427=EDGE_CURVE('',#151407,#151405,#72229,.T.); #186428=EDGE_CURVE('',#151408,#151406,#72230,.T.); #186429=EDGE_CURVE('',#151407,#151408,#72231,.T.); #186430=EDGE_CURVE('',#151409,#151407,#72232,.T.); #186431=EDGE_CURVE('',#151410,#151408,#72233,.T.); #186432=EDGE_CURVE('',#151409,#151410,#72234,.T.); #186433=EDGE_CURVE('',#151411,#151409,#72235,.T.); #186434=EDGE_CURVE('',#151412,#151410,#72236,.T.); #186435=EDGE_CURVE('',#151411,#151412,#72237,.T.); #186436=EDGE_CURVE('',#151413,#151411,#136059,.T.); #186437=EDGE_CURVE('',#151414,#151412,#136060,.T.); #186438=EDGE_CURVE('',#151413,#151414,#72238,.T.); #186439=EDGE_CURVE('',#151415,#151413,#72239,.T.); #186440=EDGE_CURVE('',#151416,#151414,#72240,.T.); #186441=EDGE_CURVE('',#151415,#151416,#72241,.T.); #186442=EDGE_CURVE('',#151417,#151415,#136061,.T.); #186443=EDGE_CURVE('',#151418,#151416,#136062,.T.); #186444=EDGE_CURVE('',#151417,#151418,#72242,.T.); #186445=EDGE_CURVE('',#151419,#151417,#72243,.T.); #186446=EDGE_CURVE('',#151420,#151418,#72244,.T.); #186447=EDGE_CURVE('',#151419,#151420,#72245,.T.); #186448=EDGE_CURVE('',#151421,#151419,#72246,.T.); #186449=EDGE_CURVE('',#151422,#151420,#72247,.T.); #186450=EDGE_CURVE('',#151421,#151422,#72248,.T.); #186451=EDGE_CURVE('',#151423,#151421,#72249,.T.); #186452=EDGE_CURVE('',#151424,#151422,#72250,.T.); #186453=EDGE_CURVE('',#151423,#151424,#72251,.T.); #186454=EDGE_CURVE('',#151425,#151423,#72252,.T.); #186455=EDGE_CURVE('',#151426,#151424,#72253,.T.); #186456=EDGE_CURVE('',#151425,#151426,#72254,.T.); #186457=EDGE_CURVE('',#151427,#151425,#72255,.T.); #186458=EDGE_CURVE('',#151428,#151426,#72256,.T.); #186459=EDGE_CURVE('',#151427,#151428,#72257,.T.); #186460=EDGE_CURVE('',#151429,#151427,#72258,.T.); #186461=EDGE_CURVE('',#151430,#151428,#72259,.T.); #186462=EDGE_CURVE('',#151429,#151430,#72260,.T.); #186463=EDGE_CURVE('',#151431,#151429,#72261,.T.); #186464=EDGE_CURVE('',#151432,#151430,#72262,.T.); #186465=EDGE_CURVE('',#151431,#151432,#72263,.T.); #186466=EDGE_CURVE('',#151433,#151431,#72264,.T.); #186467=EDGE_CURVE('',#151434,#151432,#72265,.T.); #186468=EDGE_CURVE('',#151433,#151434,#72266,.T.); #186469=EDGE_CURVE('',#151435,#151433,#72267,.T.); #186470=EDGE_CURVE('',#151436,#151434,#72268,.T.); #186471=EDGE_CURVE('',#151435,#151436,#72269,.T.); #186472=EDGE_CURVE('',#151437,#151435,#72270,.T.); #186473=EDGE_CURVE('',#151438,#151436,#72271,.T.); #186474=EDGE_CURVE('',#151437,#151438,#72272,.T.); #186475=EDGE_CURVE('',#151439,#151437,#72273,.T.); #186476=EDGE_CURVE('',#151440,#151438,#72274,.T.); #186477=EDGE_CURVE('',#151439,#151440,#72275,.T.); #186478=EDGE_CURVE('',#151441,#151439,#72276,.T.); #186479=EDGE_CURVE('',#151442,#151440,#72277,.T.); #186480=EDGE_CURVE('',#151441,#151442,#72278,.T.); #186481=EDGE_CURVE('',#151443,#151441,#72279,.T.); #186482=EDGE_CURVE('',#151444,#151442,#72280,.T.); #186483=EDGE_CURVE('',#151443,#151444,#72281,.T.); #186484=EDGE_CURVE('',#151445,#151443,#72282,.T.); #186485=EDGE_CURVE('',#151446,#151444,#72283,.T.); #186486=EDGE_CURVE('',#151445,#151446,#72284,.T.); #186487=EDGE_CURVE('',#151447,#151445,#72285,.T.); #186488=EDGE_CURVE('',#151448,#151446,#72286,.T.); #186489=EDGE_CURVE('',#151447,#151448,#72287,.T.); #186490=EDGE_CURVE('',#151449,#151447,#136063,.T.); #186491=EDGE_CURVE('',#151450,#151448,#136064,.T.); #186492=EDGE_CURVE('',#151449,#151450,#72288,.T.); #186493=EDGE_CURVE('',#151451,#151449,#72289,.T.); #186494=EDGE_CURVE('',#151452,#151450,#72290,.T.); #186495=EDGE_CURVE('',#151451,#151452,#72291,.T.); #186496=EDGE_CURVE('',#151453,#151451,#136065,.T.); #186497=EDGE_CURVE('',#151454,#151452,#136066,.T.); #186498=EDGE_CURVE('',#151453,#151454,#72292,.T.); #186499=EDGE_CURVE('',#151455,#151453,#72293,.T.); #186500=EDGE_CURVE('',#151456,#151454,#72294,.T.); #186501=EDGE_CURVE('',#151455,#151456,#72295,.T.); #186502=EDGE_CURVE('',#151457,#151455,#72296,.T.); #186503=EDGE_CURVE('',#151458,#151456,#72297,.T.); #186504=EDGE_CURVE('',#151457,#151458,#72298,.T.); #186505=EDGE_CURVE('',#151459,#151457,#72299,.T.); #186506=EDGE_CURVE('',#151460,#151458,#72300,.T.); #186507=EDGE_CURVE('',#151459,#151460,#72301,.T.); #186508=EDGE_CURVE('',#151461,#151459,#72302,.T.); #186509=EDGE_CURVE('',#151462,#151460,#72303,.T.); #186510=EDGE_CURVE('',#151461,#151462,#72304,.T.); #186511=EDGE_CURVE('',#151463,#151461,#72305,.T.); #186512=EDGE_CURVE('',#151464,#151462,#72306,.T.); #186513=EDGE_CURVE('',#151463,#151464,#72307,.T.); #186514=EDGE_CURVE('',#151465,#151463,#72308,.T.); #186515=EDGE_CURVE('',#151466,#151464,#72309,.T.); #186516=EDGE_CURVE('',#151465,#151466,#72310,.T.); #186517=EDGE_CURVE('',#151467,#151465,#72311,.T.); #186518=EDGE_CURVE('',#151468,#151466,#72312,.T.); #186519=EDGE_CURVE('',#151467,#151468,#72313,.T.); #186520=EDGE_CURVE('',#151469,#151467,#72314,.T.); #186521=EDGE_CURVE('',#151470,#151468,#72315,.T.); #186522=EDGE_CURVE('',#151469,#151470,#72316,.T.); #186523=EDGE_CURVE('',#151471,#151469,#72317,.T.); #186524=EDGE_CURVE('',#151472,#151470,#72318,.T.); #186525=EDGE_CURVE('',#151471,#151472,#72319,.T.); #186526=EDGE_CURVE('',#151473,#151471,#72320,.T.); #186527=EDGE_CURVE('',#151474,#151472,#72321,.T.); #186528=EDGE_CURVE('',#151473,#151474,#72322,.T.); #186529=EDGE_CURVE('',#151475,#151473,#72323,.T.); #186530=EDGE_CURVE('',#151476,#151474,#72324,.T.); #186531=EDGE_CURVE('',#151475,#151476,#72325,.T.); #186532=EDGE_CURVE('',#151477,#151475,#72326,.T.); #186533=EDGE_CURVE('',#151478,#151476,#72327,.T.); #186534=EDGE_CURVE('',#151477,#151478,#72328,.T.); #186535=EDGE_CURVE('',#151479,#151477,#72329,.T.); #186536=EDGE_CURVE('',#151480,#151478,#72330,.T.); #186537=EDGE_CURVE('',#151479,#151480,#72331,.T.); #186538=EDGE_CURVE('',#151481,#151479,#72332,.T.); #186539=EDGE_CURVE('',#151482,#151480,#72333,.T.); #186540=EDGE_CURVE('',#151481,#151482,#72334,.T.); #186541=EDGE_CURVE('',#151483,#151481,#72335,.T.); #186542=EDGE_CURVE('',#151484,#151482,#72336,.T.); #186543=EDGE_CURVE('',#151483,#151484,#72337,.T.); #186544=EDGE_CURVE('',#151485,#151483,#72338,.T.); #186545=EDGE_CURVE('',#151486,#151484,#72339,.T.); #186546=EDGE_CURVE('',#151485,#151486,#72340,.T.); #186547=EDGE_CURVE('',#151487,#151485,#72341,.T.); #186548=EDGE_CURVE('',#151488,#151486,#72342,.T.); #186549=EDGE_CURVE('',#151487,#151488,#72343,.T.); #186550=EDGE_CURVE('',#151489,#151487,#72344,.T.); #186551=EDGE_CURVE('',#151490,#151488,#72345,.T.); #186552=EDGE_CURVE('',#151489,#151490,#72346,.T.); #186553=EDGE_CURVE('',#151491,#151489,#72347,.T.); #186554=EDGE_CURVE('',#151492,#151490,#72348,.T.); #186555=EDGE_CURVE('',#151491,#151492,#72349,.T.); #186556=EDGE_CURVE('',#151493,#151491,#72350,.T.); #186557=EDGE_CURVE('',#151494,#151492,#72351,.T.); #186558=EDGE_CURVE('',#151493,#151494,#72352,.T.); #186559=EDGE_CURVE('',#151495,#151493,#72353,.T.); #186560=EDGE_CURVE('',#151496,#151494,#72354,.T.); #186561=EDGE_CURVE('',#151495,#151496,#72355,.T.); #186562=EDGE_CURVE('',#151497,#151495,#72356,.T.); #186563=EDGE_CURVE('',#151498,#151496,#72357,.T.); #186564=EDGE_CURVE('',#151497,#151498,#72358,.T.); #186565=EDGE_CURVE('',#151499,#151497,#72359,.T.); #186566=EDGE_CURVE('',#151500,#151498,#72360,.T.); #186567=EDGE_CURVE('',#151499,#151500,#72361,.T.); #186568=EDGE_CURVE('',#151501,#151499,#72362,.T.); #186569=EDGE_CURVE('',#151502,#151500,#72363,.T.); #186570=EDGE_CURVE('',#151501,#151502,#72364,.T.); #186571=EDGE_CURVE('',#151503,#151501,#72365,.T.); #186572=EDGE_CURVE('',#151504,#151502,#72366,.T.); #186573=EDGE_CURVE('',#151503,#151504,#72367,.T.); #186574=EDGE_CURVE('',#151505,#151503,#72368,.T.); #186575=EDGE_CURVE('',#151506,#151504,#72369,.T.); #186576=EDGE_CURVE('',#151505,#151506,#72370,.T.); #186577=EDGE_CURVE('',#151507,#151505,#72371,.T.); #186578=EDGE_CURVE('',#151508,#151506,#72372,.T.); #186579=EDGE_CURVE('',#151507,#151508,#72373,.T.); #186580=EDGE_CURVE('',#151509,#151507,#72374,.T.); #186581=EDGE_CURVE('',#151510,#151508,#72375,.T.); #186582=EDGE_CURVE('',#151509,#151510,#72376,.T.); #186583=EDGE_CURVE('',#151511,#151509,#72377,.T.); #186584=EDGE_CURVE('',#151512,#151510,#72378,.T.); #186585=EDGE_CURVE('',#151511,#151512,#72379,.T.); #186586=EDGE_CURVE('',#151513,#151511,#72380,.T.); #186587=EDGE_CURVE('',#151514,#151512,#72381,.T.); #186588=EDGE_CURVE('',#151513,#151514,#72382,.T.); #186589=EDGE_CURVE('',#151515,#151513,#72383,.T.); #186590=EDGE_CURVE('',#151516,#151514,#72384,.T.); #186591=EDGE_CURVE('',#151515,#151516,#72385,.T.); #186592=EDGE_CURVE('',#151517,#151515,#72386,.T.); #186593=EDGE_CURVE('',#151518,#151516,#72387,.T.); #186594=EDGE_CURVE('',#151517,#151518,#72388,.T.); #186595=EDGE_CURVE('',#151519,#151517,#72389,.T.); #186596=EDGE_CURVE('',#151520,#151518,#72390,.T.); #186597=EDGE_CURVE('',#151519,#151520,#72391,.T.); #186598=EDGE_CURVE('',#151521,#151519,#72392,.T.); #186599=EDGE_CURVE('',#151522,#151520,#72393,.T.); #186600=EDGE_CURVE('',#151521,#151522,#72394,.T.); #186601=EDGE_CURVE('',#151523,#151521,#72395,.T.); #186602=EDGE_CURVE('',#151524,#151522,#72396,.T.); #186603=EDGE_CURVE('',#151523,#151524,#72397,.T.); #186604=EDGE_CURVE('',#151525,#151523,#72398,.T.); #186605=EDGE_CURVE('',#151526,#151524,#72399,.T.); #186606=EDGE_CURVE('',#151525,#151526,#72400,.T.); #186607=EDGE_CURVE('',#151527,#151525,#72401,.T.); #186608=EDGE_CURVE('',#151528,#151526,#72402,.T.); #186609=EDGE_CURVE('',#151527,#151528,#72403,.T.); #186610=EDGE_CURVE('',#151529,#151527,#72404,.T.); #186611=EDGE_CURVE('',#151530,#151528,#72405,.T.); #186612=EDGE_CURVE('',#151529,#151530,#72406,.T.); #186613=EDGE_CURVE('',#151531,#151529,#72407,.T.); #186614=EDGE_CURVE('',#151532,#151530,#72408,.T.); #186615=EDGE_CURVE('',#151531,#151532,#72409,.T.); #186616=EDGE_CURVE('',#151533,#151531,#72410,.T.); #186617=EDGE_CURVE('',#151534,#151532,#72411,.T.); #186618=EDGE_CURVE('',#151533,#151534,#72412,.T.); #186619=EDGE_CURVE('',#151535,#151533,#72413,.T.); #186620=EDGE_CURVE('',#151536,#151534,#72414,.T.); #186621=EDGE_CURVE('',#151535,#151536,#72415,.T.); #186622=EDGE_CURVE('',#151537,#151535,#72416,.T.); #186623=EDGE_CURVE('',#151538,#151536,#72417,.T.); #186624=EDGE_CURVE('',#151537,#151538,#72418,.T.); #186625=EDGE_CURVE('',#151539,#151537,#72419,.T.); #186626=EDGE_CURVE('',#151540,#151538,#72420,.T.); #186627=EDGE_CURVE('',#151539,#151540,#72421,.T.); #186628=EDGE_CURVE('',#151541,#151539,#72422,.T.); #186629=EDGE_CURVE('',#151542,#151540,#72423,.T.); #186630=EDGE_CURVE('',#151541,#151542,#72424,.T.); #186631=EDGE_CURVE('',#151543,#151541,#72425,.T.); #186632=EDGE_CURVE('',#151544,#151542,#72426,.T.); #186633=EDGE_CURVE('',#151543,#151544,#72427,.T.); #186634=EDGE_CURVE('',#151545,#151543,#72428,.T.); #186635=EDGE_CURVE('',#151546,#151544,#72429,.T.); #186636=EDGE_CURVE('',#151545,#151546,#72430,.T.); #186637=EDGE_CURVE('',#151547,#151545,#72431,.T.); #186638=EDGE_CURVE('',#151548,#151546,#72432,.T.); #186639=EDGE_CURVE('',#151547,#151548,#72433,.T.); #186640=EDGE_CURVE('',#151549,#151547,#72434,.T.); #186641=EDGE_CURVE('',#151550,#151548,#72435,.T.); #186642=EDGE_CURVE('',#151549,#151550,#72436,.T.); #186643=EDGE_CURVE('',#151551,#151549,#72437,.T.); #186644=EDGE_CURVE('',#151552,#151550,#72438,.T.); #186645=EDGE_CURVE('',#151551,#151552,#72439,.T.); #186646=EDGE_CURVE('',#151553,#151551,#72440,.T.); #186647=EDGE_CURVE('',#151554,#151552,#72441,.T.); #186648=EDGE_CURVE('',#151553,#151554,#72442,.T.); #186649=EDGE_CURVE('',#151555,#151553,#72443,.T.); #186650=EDGE_CURVE('',#151556,#151554,#72444,.T.); #186651=EDGE_CURVE('',#151555,#151556,#72445,.T.); #186652=EDGE_CURVE('',#151557,#151555,#72446,.T.); #186653=EDGE_CURVE('',#151558,#151556,#72447,.T.); #186654=EDGE_CURVE('',#151557,#151558,#72448,.T.); #186655=EDGE_CURVE('',#151559,#151557,#72449,.T.); #186656=EDGE_CURVE('',#151560,#151558,#72450,.T.); #186657=EDGE_CURVE('',#151559,#151560,#72451,.T.); #186658=EDGE_CURVE('',#151561,#151559,#72452,.T.); #186659=EDGE_CURVE('',#151562,#151560,#72453,.T.); #186660=EDGE_CURVE('',#151561,#151562,#72454,.T.); #186661=EDGE_CURVE('',#151563,#151561,#72455,.T.); #186662=EDGE_CURVE('',#151564,#151562,#72456,.T.); #186663=EDGE_CURVE('',#151563,#151564,#72457,.T.); #186664=EDGE_CURVE('',#151565,#151563,#72458,.T.); #186665=EDGE_CURVE('',#151566,#151564,#72459,.T.); #186666=EDGE_CURVE('',#151565,#151566,#72460,.T.); #186667=EDGE_CURVE('',#151567,#151565,#72461,.T.); #186668=EDGE_CURVE('',#151568,#151566,#72462,.T.); #186669=EDGE_CURVE('',#151567,#151568,#72463,.T.); #186670=EDGE_CURVE('',#151569,#151567,#72464,.T.); #186671=EDGE_CURVE('',#151570,#151568,#72465,.T.); #186672=EDGE_CURVE('',#151569,#151570,#72466,.T.); #186673=EDGE_CURVE('',#151571,#151569,#72467,.T.); #186674=EDGE_CURVE('',#151572,#151570,#72468,.T.); #186675=EDGE_CURVE('',#151571,#151572,#72469,.T.); #186676=EDGE_CURVE('',#151573,#151571,#72470,.T.); #186677=EDGE_CURVE('',#151574,#151572,#72471,.T.); #186678=EDGE_CURVE('',#151573,#151574,#72472,.T.); #186679=EDGE_CURVE('',#151575,#151573,#72473,.T.); #186680=EDGE_CURVE('',#151576,#151574,#72474,.T.); #186681=EDGE_CURVE('',#151575,#151576,#72475,.T.); #186682=EDGE_CURVE('',#151577,#151575,#72476,.T.); #186683=EDGE_CURVE('',#151578,#151576,#72477,.T.); #186684=EDGE_CURVE('',#151577,#151578,#72478,.T.); #186685=EDGE_CURVE('',#151579,#151577,#72479,.T.); #186686=EDGE_CURVE('',#151580,#151578,#72480,.T.); #186687=EDGE_CURVE('',#151579,#151580,#72481,.T.); #186688=EDGE_CURVE('',#151581,#151579,#72482,.T.); #186689=EDGE_CURVE('',#151582,#151580,#72483,.T.); #186690=EDGE_CURVE('',#151581,#151582,#72484,.T.); #186691=EDGE_CURVE('',#151583,#151581,#72485,.T.); #186692=EDGE_CURVE('',#151584,#151582,#72486,.T.); #186693=EDGE_CURVE('',#151583,#151584,#72487,.T.); #186694=EDGE_CURVE('',#151585,#151583,#72488,.T.); #186695=EDGE_CURVE('',#151586,#151584,#72489,.T.); #186696=EDGE_CURVE('',#151585,#151586,#72490,.T.); #186697=EDGE_CURVE('',#151340,#151585,#72491,.T.); #186698=EDGE_CURVE('',#151341,#151586,#72492,.T.); #186699=EDGE_CURVE('',#151587,#151587,#136067,.T.); #186700=EDGE_CURVE('',#151587,#151588,#72493,.T.); #186701=EDGE_CURVE('',#151588,#151588,#136068,.T.); #186702=EDGE_CURVE('',#151589,#151589,#136069,.T.); #186703=EDGE_CURVE('',#151589,#151590,#72494,.T.); #186704=EDGE_CURVE('',#151590,#151590,#136070,.T.); #186705=EDGE_CURVE('',#151591,#151591,#136071,.T.); #186706=EDGE_CURVE('',#151591,#151592,#72495,.T.); #186707=EDGE_CURVE('',#151592,#151592,#136072,.T.); #186708=EDGE_CURVE('',#151593,#151593,#136073,.T.); #186709=EDGE_CURVE('',#151593,#151594,#72496,.T.); #186710=EDGE_CURVE('',#151594,#151594,#136074,.T.); #186711=EDGE_CURVE('',#151595,#151595,#136075,.T.); #186712=EDGE_CURVE('',#151595,#151596,#72497,.T.); #186713=EDGE_CURVE('',#151596,#151596,#136076,.T.); #186714=EDGE_CURVE('',#151597,#151597,#136077,.T.); #186715=EDGE_CURVE('',#151597,#151598,#72498,.T.); #186716=EDGE_CURVE('',#151598,#151598,#136078,.T.); #186717=EDGE_CURVE('',#151599,#151599,#136079,.T.); #186718=EDGE_CURVE('',#151599,#151600,#72499,.T.); #186719=EDGE_CURVE('',#151600,#151600,#136080,.T.); #186720=EDGE_CURVE('',#151601,#151601,#136081,.T.); #186721=EDGE_CURVE('',#151601,#151602,#72500,.T.); #186722=EDGE_CURVE('',#151602,#151602,#136082,.T.); #186723=EDGE_CURVE('',#151603,#151603,#136083,.T.); #186724=EDGE_CURVE('',#151603,#151604,#72501,.T.); #186725=EDGE_CURVE('',#151604,#151604,#136084,.T.); #186726=EDGE_CURVE('',#151605,#151605,#136085,.T.); #186727=EDGE_CURVE('',#151605,#151606,#72502,.T.); #186728=EDGE_CURVE('',#151606,#151606,#136086,.T.); #186729=EDGE_CURVE('',#151607,#151607,#136087,.T.); #186730=EDGE_CURVE('',#151607,#151608,#72503,.T.); #186731=EDGE_CURVE('',#151608,#151608,#136088,.T.); #186732=EDGE_CURVE('',#151609,#151609,#136089,.T.); #186733=EDGE_CURVE('',#151609,#151610,#72504,.T.); #186734=EDGE_CURVE('',#151610,#151610,#136090,.T.); #186735=EDGE_CURVE('',#151611,#151611,#136091,.T.); #186736=EDGE_CURVE('',#151611,#151612,#72505,.T.); #186737=EDGE_CURVE('',#151612,#151612,#136092,.T.); #186738=EDGE_CURVE('',#151613,#151613,#136093,.T.); #186739=EDGE_CURVE('',#151613,#151614,#72506,.T.); #186740=EDGE_CURVE('',#151614,#151614,#136094,.T.); #186741=EDGE_CURVE('',#151615,#151615,#136095,.T.); #186742=EDGE_CURVE('',#151615,#151616,#72507,.T.); #186743=EDGE_CURVE('',#151616,#151616,#136096,.T.); #186744=EDGE_CURVE('',#151617,#151617,#136097,.T.); #186745=EDGE_CURVE('',#151617,#151618,#72508,.T.); #186746=EDGE_CURVE('',#151618,#151618,#136098,.T.); #186747=EDGE_CURVE('',#151619,#151619,#136099,.T.); #186748=EDGE_CURVE('',#151619,#151620,#72509,.T.); #186749=EDGE_CURVE('',#151620,#151620,#136100,.T.); #186750=EDGE_CURVE('',#151621,#151621,#136101,.T.); #186751=EDGE_CURVE('',#151621,#151622,#72510,.T.); #186752=EDGE_CURVE('',#151622,#151622,#136102,.T.); #186753=EDGE_CURVE('',#151623,#151623,#136103,.T.); #186754=EDGE_CURVE('',#151623,#151624,#72511,.T.); #186755=EDGE_CURVE('',#151624,#151624,#136104,.T.); #186756=EDGE_CURVE('',#151625,#151625,#136105,.T.); #186757=EDGE_CURVE('',#151625,#151626,#72512,.T.); #186758=EDGE_CURVE('',#151626,#151626,#136106,.T.); #186759=EDGE_CURVE('',#151627,#151627,#136107,.T.); #186760=EDGE_CURVE('',#151627,#151628,#72513,.T.); #186761=EDGE_CURVE('',#151628,#151628,#136108,.T.); #186762=EDGE_CURVE('',#151629,#151629,#136109,.T.); #186763=EDGE_CURVE('',#151629,#151630,#72514,.T.); #186764=EDGE_CURVE('',#151630,#151630,#136110,.T.); #186765=EDGE_CURVE('',#151631,#151631,#136111,.T.); #186766=EDGE_CURVE('',#151631,#151632,#72515,.T.); #186767=EDGE_CURVE('',#151632,#151632,#136112,.T.); #186768=EDGE_CURVE('',#151633,#151633,#136113,.T.); #186769=EDGE_CURVE('',#151633,#151634,#72516,.T.); #186770=EDGE_CURVE('',#151634,#151634,#136114,.T.); #186771=EDGE_CURVE('',#151635,#151635,#136115,.T.); #186772=EDGE_CURVE('',#151635,#151636,#72517,.T.); #186773=EDGE_CURVE('',#151636,#151636,#136116,.T.); #186774=EDGE_CURVE('',#151637,#151637,#136117,.T.); #186775=EDGE_CURVE('',#151637,#151638,#72518,.T.); #186776=EDGE_CURVE('',#151638,#151638,#136118,.T.); #186777=EDGE_CURVE('',#151639,#151639,#136119,.T.); #186778=EDGE_CURVE('',#151639,#151640,#72519,.T.); #186779=EDGE_CURVE('',#151640,#151640,#136120,.T.); #186780=EDGE_CURVE('',#151641,#151641,#136121,.T.); #186781=EDGE_CURVE('',#151641,#151642,#72520,.T.); #186782=EDGE_CURVE('',#151642,#151642,#136122,.T.); #186783=EDGE_CURVE('',#151643,#151643,#136123,.T.); #186784=EDGE_CURVE('',#151643,#151644,#72521,.T.); #186785=EDGE_CURVE('',#151644,#151644,#136124,.T.); #186786=EDGE_CURVE('',#151645,#151645,#136125,.T.); #186787=EDGE_CURVE('',#151645,#151646,#72522,.T.); #186788=EDGE_CURVE('',#151646,#151646,#136126,.T.); #186789=EDGE_CURVE('',#151647,#151647,#136127,.T.); #186790=EDGE_CURVE('',#151647,#151648,#72523,.T.); #186791=EDGE_CURVE('',#151648,#151648,#136128,.T.); #186792=EDGE_CURVE('',#151649,#151650,#72524,.T.); #186793=EDGE_CURVE('',#151650,#151651,#72525,.T.); #186794=EDGE_CURVE('',#151652,#151651,#72526,.T.); #186795=EDGE_CURVE('',#151649,#151652,#72527,.T.); #186796=EDGE_CURVE('',#151653,#151649,#136129,.T.); #186797=EDGE_CURVE('',#151654,#151652,#136130,.T.); #186798=EDGE_CURVE('',#151653,#151654,#72528,.T.); #186799=EDGE_CURVE('',#151655,#151653,#72529,.T.); #186800=EDGE_CURVE('',#151656,#151654,#72530,.T.); #186801=EDGE_CURVE('',#151655,#151656,#72531,.T.); #186802=EDGE_CURVE('',#151657,#151655,#136131,.T.); #186803=EDGE_CURVE('',#151658,#151656,#136132,.T.); #186804=EDGE_CURVE('',#151657,#151658,#72532,.T.); #186805=EDGE_CURVE('',#151659,#151657,#72533,.T.); #186806=EDGE_CURVE('',#151660,#151658,#72534,.T.); #186807=EDGE_CURVE('',#151659,#151660,#72535,.T.); #186808=EDGE_CURVE('',#151661,#151659,#136133,.T.); #186809=EDGE_CURVE('',#151662,#151660,#136134,.T.); #186810=EDGE_CURVE('',#151661,#151662,#72536,.T.); #186811=EDGE_CURVE('',#151663,#151661,#72537,.T.); #186812=EDGE_CURVE('',#151664,#151662,#72538,.T.); #186813=EDGE_CURVE('',#151663,#151664,#72539,.T.); #186814=EDGE_CURVE('',#151665,#151663,#72540,.T.); #186815=EDGE_CURVE('',#151666,#151664,#72541,.T.); #186816=EDGE_CURVE('',#151665,#151666,#72542,.T.); #186817=EDGE_CURVE('',#151667,#151665,#72543,.T.); #186818=EDGE_CURVE('',#151668,#151666,#72544,.T.); #186819=EDGE_CURVE('',#151667,#151668,#72545,.T.); #186820=EDGE_CURVE('',#151669,#151667,#72546,.T.); #186821=EDGE_CURVE('',#151670,#151668,#72547,.T.); #186822=EDGE_CURVE('',#151669,#151670,#72548,.T.); #186823=EDGE_CURVE('',#151671,#151669,#72549,.T.); #186824=EDGE_CURVE('',#151672,#151670,#72550,.T.); #186825=EDGE_CURVE('',#151671,#151672,#72551,.T.); #186826=EDGE_CURVE('',#151673,#151671,#72552,.T.); #186827=EDGE_CURVE('',#151674,#151672,#72553,.T.); #186828=EDGE_CURVE('',#151673,#151674,#72554,.T.); #186829=EDGE_CURVE('',#151675,#151673,#72555,.T.); #186830=EDGE_CURVE('',#151676,#151674,#72556,.T.); #186831=EDGE_CURVE('',#151675,#151676,#72557,.T.); #186832=EDGE_CURVE('',#151677,#151675,#72558,.T.); #186833=EDGE_CURVE('',#151678,#151676,#72559,.T.); #186834=EDGE_CURVE('',#151677,#151678,#72560,.T.); #186835=EDGE_CURVE('',#151679,#151677,#72561,.T.); #186836=EDGE_CURVE('',#151680,#151678,#72562,.T.); #186837=EDGE_CURVE('',#151679,#151680,#72563,.T.); #186838=EDGE_CURVE('',#151681,#151679,#72564,.T.); #186839=EDGE_CURVE('',#151682,#151680,#72565,.T.); #186840=EDGE_CURVE('',#151681,#151682,#72566,.T.); #186841=EDGE_CURVE('',#151683,#151681,#72567,.T.); #186842=EDGE_CURVE('',#151684,#151682,#72568,.T.); #186843=EDGE_CURVE('',#151683,#151684,#72569,.T.); #186844=EDGE_CURVE('',#151685,#151683,#72570,.T.); #186845=EDGE_CURVE('',#151686,#151684,#72571,.T.); #186846=EDGE_CURVE('',#151685,#151686,#72572,.T.); #186847=EDGE_CURVE('',#151687,#151685,#72573,.T.); #186848=EDGE_CURVE('',#151688,#151686,#72574,.T.); #186849=EDGE_CURVE('',#151687,#151688,#72575,.T.); #186850=EDGE_CURVE('',#151689,#151687,#72576,.T.); #186851=EDGE_CURVE('',#151690,#151688,#72577,.T.); #186852=EDGE_CURVE('',#151689,#151690,#72578,.T.); #186853=EDGE_CURVE('',#151691,#151689,#72579,.T.); #186854=EDGE_CURVE('',#151692,#151690,#72580,.T.); #186855=EDGE_CURVE('',#151691,#151692,#72581,.T.); #186856=EDGE_CURVE('',#151693,#151691,#72582,.T.); #186857=EDGE_CURVE('',#151694,#151692,#72583,.T.); #186858=EDGE_CURVE('',#151693,#151694,#72584,.T.); #186859=EDGE_CURVE('',#151695,#151693,#72585,.T.); #186860=EDGE_CURVE('',#151696,#151694,#72586,.T.); #186861=EDGE_CURVE('',#151695,#151696,#72587,.T.); #186862=EDGE_CURVE('',#151697,#151695,#72588,.T.); #186863=EDGE_CURVE('',#151698,#151696,#72589,.T.); #186864=EDGE_CURVE('',#151697,#151698,#72590,.T.); #186865=EDGE_CURVE('',#151699,#151697,#72591,.T.); #186866=EDGE_CURVE('',#151700,#151698,#72592,.T.); #186867=EDGE_CURVE('',#151699,#151700,#72593,.T.); #186868=EDGE_CURVE('',#151701,#151699,#72594,.T.); #186869=EDGE_CURVE('',#151702,#151700,#72595,.T.); #186870=EDGE_CURVE('',#151701,#151702,#72596,.T.); #186871=EDGE_CURVE('',#151703,#151701,#72597,.T.); #186872=EDGE_CURVE('',#151704,#151702,#72598,.T.); #186873=EDGE_CURVE('',#151703,#151704,#72599,.T.); #186874=EDGE_CURVE('',#151705,#151703,#72600,.T.); #186875=EDGE_CURVE('',#151706,#151704,#72601,.T.); #186876=EDGE_CURVE('',#151705,#151706,#72602,.T.); #186877=EDGE_CURVE('',#151707,#151705,#72603,.T.); #186878=EDGE_CURVE('',#151708,#151706,#72604,.T.); #186879=EDGE_CURVE('',#151707,#151708,#72605,.T.); #186880=EDGE_CURVE('',#151709,#151707,#72606,.T.); #186881=EDGE_CURVE('',#151710,#151708,#72607,.T.); #186882=EDGE_CURVE('',#151709,#151710,#72608,.T.); #186883=EDGE_CURVE('',#151711,#151709,#72609,.T.); #186884=EDGE_CURVE('',#151712,#151710,#72610,.T.); #186885=EDGE_CURVE('',#151711,#151712,#72611,.T.); #186886=EDGE_CURVE('',#151713,#151711,#72612,.T.); #186887=EDGE_CURVE('',#151714,#151712,#72613,.T.); #186888=EDGE_CURVE('',#151713,#151714,#72614,.T.); #186889=EDGE_CURVE('',#151715,#151713,#72615,.T.); #186890=EDGE_CURVE('',#151716,#151714,#72616,.T.); #186891=EDGE_CURVE('',#151715,#151716,#72617,.T.); #186892=EDGE_CURVE('',#151717,#151715,#72618,.T.); #186893=EDGE_CURVE('',#151718,#151716,#72619,.T.); #186894=EDGE_CURVE('',#151717,#151718,#72620,.T.); #186895=EDGE_CURVE('',#151719,#151717,#72621,.T.); #186896=EDGE_CURVE('',#151720,#151718,#72622,.T.); #186897=EDGE_CURVE('',#151719,#151720,#72623,.T.); #186898=EDGE_CURVE('',#151721,#151719,#72624,.T.); #186899=EDGE_CURVE('',#151722,#151720,#72625,.T.); #186900=EDGE_CURVE('',#151721,#151722,#72626,.T.); #186901=EDGE_CURVE('',#151723,#151721,#72627,.T.); #186902=EDGE_CURVE('',#151724,#151722,#72628,.T.); #186903=EDGE_CURVE('',#151723,#151724,#72629,.T.); #186904=EDGE_CURVE('',#151725,#151723,#72630,.T.); #186905=EDGE_CURVE('',#151726,#151724,#72631,.T.); #186906=EDGE_CURVE('',#151725,#151726,#72632,.T.); #186907=EDGE_CURVE('',#151727,#151725,#72633,.T.); #186908=EDGE_CURVE('',#151728,#151726,#72634,.T.); #186909=EDGE_CURVE('',#151727,#151728,#72635,.T.); #186910=EDGE_CURVE('',#151729,#151727,#72636,.T.); #186911=EDGE_CURVE('',#151730,#151728,#72637,.T.); #186912=EDGE_CURVE('',#151729,#151730,#72638,.T.); #186913=EDGE_CURVE('',#151731,#151729,#72639,.T.); #186914=EDGE_CURVE('',#151732,#151730,#72640,.T.); #186915=EDGE_CURVE('',#151731,#151732,#72641,.T.); #186916=EDGE_CURVE('',#151733,#151731,#72642,.T.); #186917=EDGE_CURVE('',#151734,#151732,#72643,.T.); #186918=EDGE_CURVE('',#151733,#151734,#72644,.T.); #186919=EDGE_CURVE('',#151735,#151733,#72645,.T.); #186920=EDGE_CURVE('',#151736,#151734,#72646,.T.); #186921=EDGE_CURVE('',#151735,#151736,#72647,.T.); #186922=EDGE_CURVE('',#151737,#151735,#72648,.T.); #186923=EDGE_CURVE('',#151738,#151736,#72649,.T.); #186924=EDGE_CURVE('',#151737,#151738,#72650,.T.); #186925=EDGE_CURVE('',#151739,#151737,#72651,.T.); #186926=EDGE_CURVE('',#151740,#151738,#72652,.T.); #186927=EDGE_CURVE('',#151739,#151740,#72653,.T.); #186928=EDGE_CURVE('',#151741,#151739,#72654,.T.); #186929=EDGE_CURVE('',#151742,#151740,#72655,.T.); #186930=EDGE_CURVE('',#151741,#151742,#72656,.T.); #186931=EDGE_CURVE('',#151743,#151741,#72657,.T.); #186932=EDGE_CURVE('',#151744,#151742,#72658,.T.); #186933=EDGE_CURVE('',#151743,#151744,#72659,.T.); #186934=EDGE_CURVE('',#151745,#151743,#72660,.T.); #186935=EDGE_CURVE('',#151746,#151744,#72661,.T.); #186936=EDGE_CURVE('',#151745,#151746,#72662,.T.); #186937=EDGE_CURVE('',#151747,#151745,#72663,.T.); #186938=EDGE_CURVE('',#151748,#151746,#72664,.T.); #186939=EDGE_CURVE('',#151747,#151748,#72665,.T.); #186940=EDGE_CURVE('',#151749,#151747,#72666,.T.); #186941=EDGE_CURVE('',#151750,#151748,#72667,.T.); #186942=EDGE_CURVE('',#151749,#151750,#72668,.T.); #186943=EDGE_CURVE('',#151751,#151749,#72669,.T.); #186944=EDGE_CURVE('',#151752,#151750,#72670,.T.); #186945=EDGE_CURVE('',#151751,#151752,#72671,.T.); #186946=EDGE_CURVE('',#151753,#151751,#72672,.T.); #186947=EDGE_CURVE('',#151754,#151752,#72673,.T.); #186948=EDGE_CURVE('',#151753,#151754,#72674,.T.); #186949=EDGE_CURVE('',#151755,#151753,#72675,.T.); #186950=EDGE_CURVE('',#151756,#151754,#72676,.T.); #186951=EDGE_CURVE('',#151755,#151756,#72677,.T.); #186952=EDGE_CURVE('',#151757,#151755,#72678,.T.); #186953=EDGE_CURVE('',#151758,#151756,#72679,.T.); #186954=EDGE_CURVE('',#151757,#151758,#72680,.T.); #186955=EDGE_CURVE('',#151759,#151757,#72681,.T.); #186956=EDGE_CURVE('',#151760,#151758,#72682,.T.); #186957=EDGE_CURVE('',#151759,#151760,#72683,.T.); #186958=EDGE_CURVE('',#151761,#151759,#72684,.T.); #186959=EDGE_CURVE('',#151762,#151760,#72685,.T.); #186960=EDGE_CURVE('',#151761,#151762,#72686,.T.); #186961=EDGE_CURVE('',#151763,#151761,#72687,.T.); #186962=EDGE_CURVE('',#151764,#151762,#72688,.T.); #186963=EDGE_CURVE('',#151763,#151764,#72689,.T.); #186964=EDGE_CURVE('',#151765,#151763,#72690,.T.); #186965=EDGE_CURVE('',#151766,#151764,#72691,.T.); #186966=EDGE_CURVE('',#151765,#151766,#72692,.T.); #186967=EDGE_CURVE('',#151767,#151765,#72693,.T.); #186968=EDGE_CURVE('',#151768,#151766,#72694,.T.); #186969=EDGE_CURVE('',#151767,#151768,#72695,.T.); #186970=EDGE_CURVE('',#151769,#151767,#72696,.T.); #186971=EDGE_CURVE('',#151770,#151768,#72697,.T.); #186972=EDGE_CURVE('',#151769,#151770,#72698,.T.); #186973=EDGE_CURVE('',#151771,#151769,#72699,.T.); #186974=EDGE_CURVE('',#151772,#151770,#72700,.T.); #186975=EDGE_CURVE('',#151771,#151772,#72701,.T.); #186976=EDGE_CURVE('',#151773,#151771,#72702,.T.); #186977=EDGE_CURVE('',#151774,#151772,#72703,.T.); #186978=EDGE_CURVE('',#151773,#151774,#72704,.T.); #186979=EDGE_CURVE('',#151775,#151773,#72705,.T.); #186980=EDGE_CURVE('',#151776,#151774,#72706,.T.); #186981=EDGE_CURVE('',#151775,#151776,#72707,.T.); #186982=EDGE_CURVE('',#151777,#151775,#72708,.T.); #186983=EDGE_CURVE('',#151778,#151776,#72709,.T.); #186984=EDGE_CURVE('',#151777,#151778,#72710,.T.); #186985=EDGE_CURVE('',#151779,#151777,#72711,.T.); #186986=EDGE_CURVE('',#151780,#151778,#72712,.T.); #186987=EDGE_CURVE('',#151779,#151780,#72713,.T.); #186988=EDGE_CURVE('',#151781,#151779,#72714,.T.); #186989=EDGE_CURVE('',#151782,#151780,#72715,.T.); #186990=EDGE_CURVE('',#151781,#151782,#72716,.T.); #186991=EDGE_CURVE('',#151783,#151781,#72717,.T.); #186992=EDGE_CURVE('',#151784,#151782,#72718,.T.); #186993=EDGE_CURVE('',#151783,#151784,#72719,.T.); #186994=EDGE_CURVE('',#151785,#151783,#72720,.T.); #186995=EDGE_CURVE('',#151786,#151784,#72721,.T.); #186996=EDGE_CURVE('',#151785,#151786,#72722,.T.); #186997=EDGE_CURVE('',#151787,#151785,#72723,.T.); #186998=EDGE_CURVE('',#151788,#151786,#72724,.T.); #186999=EDGE_CURVE('',#151787,#151788,#72725,.T.); #187000=EDGE_CURVE('',#151789,#151787,#72726,.T.); #187001=EDGE_CURVE('',#151790,#151788,#72727,.T.); #187002=EDGE_CURVE('',#151789,#151790,#72728,.T.); #187003=EDGE_CURVE('',#151791,#151789,#72729,.T.); #187004=EDGE_CURVE('',#151792,#151790,#72730,.T.); #187005=EDGE_CURVE('',#151791,#151792,#72731,.T.); #187006=EDGE_CURVE('',#151793,#151791,#72732,.T.); #187007=EDGE_CURVE('',#151794,#151792,#72733,.T.); #187008=EDGE_CURVE('',#151793,#151794,#72734,.T.); #187009=EDGE_CURVE('',#151795,#151793,#72735,.T.); #187010=EDGE_CURVE('',#151796,#151794,#72736,.T.); #187011=EDGE_CURVE('',#151795,#151796,#72737,.T.); #187012=EDGE_CURVE('',#151797,#151795,#136135,.T.); #187013=EDGE_CURVE('',#151798,#151796,#136136,.T.); #187014=EDGE_CURVE('',#151797,#151798,#72738,.T.); #187015=EDGE_CURVE('',#151799,#151797,#72739,.T.); #187016=EDGE_CURVE('',#151800,#151798,#72740,.T.); #187017=EDGE_CURVE('',#151799,#151800,#72741,.T.); #187018=EDGE_CURVE('',#151801,#151799,#136137,.T.); #187019=EDGE_CURVE('',#151802,#151800,#136138,.T.); #187020=EDGE_CURVE('',#151801,#151802,#72742,.T.); #187021=EDGE_CURVE('',#151803,#151801,#72743,.T.); #187022=EDGE_CURVE('',#151804,#151802,#72744,.T.); #187023=EDGE_CURVE('',#151803,#151804,#72745,.T.); #187024=EDGE_CURVE('',#151805,#151803,#72746,.T.); #187025=EDGE_CURVE('',#151806,#151804,#72747,.T.); #187026=EDGE_CURVE('',#151805,#151806,#72748,.T.); #187027=EDGE_CURVE('',#151807,#151805,#72749,.T.); #187028=EDGE_CURVE('',#151808,#151806,#72750,.T.); #187029=EDGE_CURVE('',#151807,#151808,#72751,.T.); #187030=EDGE_CURVE('',#151650,#151807,#136139,.T.); #187031=EDGE_CURVE('',#151651,#151808,#136140,.T.); #187032=EDGE_CURVE('',#151809,#151809,#136141,.T.); #187033=EDGE_CURVE('',#151809,#151810,#72752,.T.); #187034=EDGE_CURVE('',#151810,#151810,#136142,.T.); #187035=EDGE_CURVE('',#151811,#151812,#72753,.T.); #187036=EDGE_CURVE('',#151812,#151813,#72754,.T.); #187037=EDGE_CURVE('',#151814,#151813,#72755,.T.); #187038=EDGE_CURVE('',#151811,#151814,#72756,.T.); #187039=EDGE_CURVE('',#151815,#151811,#72757,.T.); #187040=EDGE_CURVE('',#151816,#151814,#72758,.T.); #187041=EDGE_CURVE('',#151815,#151816,#72759,.T.); #187042=EDGE_CURVE('',#151817,#151815,#72760,.T.); #187043=EDGE_CURVE('',#151818,#151816,#72761,.T.); #187044=EDGE_CURVE('',#151817,#151818,#72762,.T.); #187045=EDGE_CURVE('',#151819,#151817,#72763,.T.); #187046=EDGE_CURVE('',#151820,#151818,#72764,.T.); #187047=EDGE_CURVE('',#151819,#151820,#72765,.T.); #187048=EDGE_CURVE('',#151821,#151819,#72766,.T.); #187049=EDGE_CURVE('',#151822,#151820,#72767,.T.); #187050=EDGE_CURVE('',#151821,#151822,#72768,.T.); #187051=EDGE_CURVE('',#151823,#151821,#136143,.T.); #187052=EDGE_CURVE('',#151824,#151822,#136144,.T.); #187053=EDGE_CURVE('',#151823,#151824,#72769,.T.); #187054=EDGE_CURVE('',#151825,#151823,#72770,.T.); #187055=EDGE_CURVE('',#151826,#151824,#72771,.T.); #187056=EDGE_CURVE('',#151825,#151826,#72772,.T.); #187057=EDGE_CURVE('',#151827,#151825,#72773,.T.); #187058=EDGE_CURVE('',#151828,#151826,#72774,.T.); #187059=EDGE_CURVE('',#151827,#151828,#72775,.T.); #187060=EDGE_CURVE('',#151829,#151827,#136145,.T.); #187061=EDGE_CURVE('',#151830,#151828,#136146,.T.); #187062=EDGE_CURVE('',#151829,#151830,#72776,.T.); #187063=EDGE_CURVE('',#151831,#151829,#72777,.T.); #187064=EDGE_CURVE('',#151832,#151830,#72778,.T.); #187065=EDGE_CURVE('',#151831,#151832,#72779,.T.); #187066=EDGE_CURVE('',#151833,#151831,#72780,.T.); #187067=EDGE_CURVE('',#151834,#151832,#72781,.T.); #187068=EDGE_CURVE('',#151833,#151834,#72782,.T.); #187069=EDGE_CURVE('',#151835,#151833,#136147,.T.); #187070=EDGE_CURVE('',#151836,#151834,#136148,.T.); #187071=EDGE_CURVE('',#151835,#151836,#72783,.T.); #187072=EDGE_CURVE('',#151837,#151835,#136149,.T.); #187073=EDGE_CURVE('',#151838,#151836,#136150,.T.); #187074=EDGE_CURVE('',#151837,#151838,#72784,.T.); #187075=EDGE_CURVE('',#151839,#151837,#72785,.T.); #187076=EDGE_CURVE('',#151840,#151838,#72786,.T.); #187077=EDGE_CURVE('',#151839,#151840,#72787,.T.); #187078=EDGE_CURVE('',#151841,#151839,#136151,.T.); #187079=EDGE_CURVE('',#151842,#151840,#136152,.T.); #187080=EDGE_CURVE('',#151841,#151842,#72788,.T.); #187081=EDGE_CURVE('',#151843,#151841,#72789,.T.); #187082=EDGE_CURVE('',#151844,#151842,#72790,.T.); #187083=EDGE_CURVE('',#151843,#151844,#72791,.T.); #187084=EDGE_CURVE('',#151845,#151843,#72792,.T.); #187085=EDGE_CURVE('',#151846,#151844,#72793,.T.); #187086=EDGE_CURVE('',#151845,#151846,#72794,.T.); #187087=EDGE_CURVE('',#151847,#151845,#136153,.T.); #187088=EDGE_CURVE('',#151848,#151846,#136154,.T.); #187089=EDGE_CURVE('',#151847,#151848,#72795,.T.); #187090=EDGE_CURVE('',#151849,#151847,#72796,.T.); #187091=EDGE_CURVE('',#151850,#151848,#72797,.T.); #187092=EDGE_CURVE('',#151849,#151850,#72798,.T.); #187093=EDGE_CURVE('',#151851,#151849,#72799,.T.); #187094=EDGE_CURVE('',#151852,#151850,#72800,.T.); #187095=EDGE_CURVE('',#151851,#151852,#72801,.T.); #187096=EDGE_CURVE('',#151812,#151851,#72802,.T.); #187097=EDGE_CURVE('',#151813,#151852,#72803,.T.); #187098=EDGE_CURVE('',#151853,#151853,#136155,.T.); #187099=EDGE_CURVE('',#151853,#151854,#72804,.T.); #187100=EDGE_CURVE('',#151854,#151854,#136156,.T.); #187101=EDGE_CURVE('',#151855,#151855,#136157,.T.); #187102=EDGE_CURVE('',#151855,#151856,#72805,.T.); #187103=EDGE_CURVE('',#151856,#151856,#136158,.T.); #187104=EDGE_CURVE('',#151857,#151857,#136159,.T.); #187105=EDGE_CURVE('',#151857,#151858,#72806,.T.); #187106=EDGE_CURVE('',#151858,#151858,#136160,.T.); #187107=EDGE_CURVE('',#151859,#151859,#136161,.T.); #187108=EDGE_CURVE('',#151859,#151860,#72807,.T.); #187109=EDGE_CURVE('',#151860,#151860,#136162,.T.); #187110=EDGE_CURVE('',#151861,#151861,#136163,.T.); #187111=EDGE_CURVE('',#151861,#151862,#72808,.T.); #187112=EDGE_CURVE('',#151862,#151862,#136164,.T.); #187113=EDGE_CURVE('',#151863,#151863,#136165,.T.); #187114=EDGE_CURVE('',#151863,#151864,#72809,.T.); #187115=EDGE_CURVE('',#151864,#151864,#136166,.T.); #187116=EDGE_CURVE('',#151865,#151865,#136167,.T.); #187117=EDGE_CURVE('',#151865,#151866,#72810,.T.); #187118=EDGE_CURVE('',#151866,#151866,#136168,.T.); #187119=EDGE_CURVE('',#151867,#151867,#136169,.T.); #187120=EDGE_CURVE('',#151867,#151868,#72811,.T.); #187121=EDGE_CURVE('',#151868,#151868,#136170,.T.); #187122=EDGE_CURVE('',#151869,#151869,#136171,.T.); #187123=EDGE_CURVE('',#151869,#151870,#72812,.T.); #187124=EDGE_CURVE('',#151870,#151870,#136172,.T.); #187125=EDGE_CURVE('',#151871,#151871,#136173,.T.); #187126=EDGE_CURVE('',#151871,#151872,#72813,.T.); #187127=EDGE_CURVE('',#151872,#151872,#136174,.T.); #187128=EDGE_CURVE('',#151873,#151873,#136175,.T.); #187129=EDGE_CURVE('',#151873,#151874,#72814,.T.); #187130=EDGE_CURVE('',#151874,#151874,#136176,.T.); #187131=EDGE_CURVE('',#151875,#151875,#136177,.T.); #187132=EDGE_CURVE('',#151875,#151876,#72815,.T.); #187133=EDGE_CURVE('',#151876,#151876,#136178,.T.); #187134=EDGE_CURVE('',#151877,#151877,#136179,.T.); #187135=EDGE_CURVE('',#151877,#151878,#72816,.T.); #187136=EDGE_CURVE('',#151878,#151878,#136180,.T.); #187137=EDGE_CURVE('',#151879,#151879,#136181,.T.); #187138=EDGE_CURVE('',#151879,#151880,#72817,.T.); #187139=EDGE_CURVE('',#151880,#151880,#136182,.T.); #187140=EDGE_CURVE('',#151881,#151881,#136183,.T.); #187141=EDGE_CURVE('',#151881,#151882,#72818,.T.); #187142=EDGE_CURVE('',#151882,#151882,#136184,.T.); #187143=EDGE_CURVE('',#151883,#151883,#136185,.T.); #187144=EDGE_CURVE('',#151883,#151884,#72819,.T.); #187145=EDGE_CURVE('',#151884,#151884,#136186,.T.); #187146=EDGE_CURVE('',#151885,#151886,#72820,.T.); #187147=EDGE_CURVE('',#151886,#151887,#72821,.T.); #187148=EDGE_CURVE('',#151888,#151887,#72822,.T.); #187149=EDGE_CURVE('',#151885,#151888,#72823,.T.); #187150=EDGE_CURVE('',#151889,#151885,#72824,.T.); #187151=EDGE_CURVE('',#151890,#151888,#72825,.T.); #187152=EDGE_CURVE('',#151889,#151890,#72826,.T.); #187153=EDGE_CURVE('',#151891,#151889,#72827,.T.); #187154=EDGE_CURVE('',#151892,#151890,#72828,.T.); #187155=EDGE_CURVE('',#151891,#151892,#72829,.T.); #187156=EDGE_CURVE('',#151893,#151891,#72830,.T.); #187157=EDGE_CURVE('',#151894,#151892,#72831,.T.); #187158=EDGE_CURVE('',#151893,#151894,#72832,.T.); #187159=EDGE_CURVE('',#151895,#151893,#72833,.T.); #187160=EDGE_CURVE('',#151896,#151894,#72834,.T.); #187161=EDGE_CURVE('',#151895,#151896,#72835,.T.); #187162=EDGE_CURVE('',#151897,#151895,#72836,.T.); #187163=EDGE_CURVE('',#151898,#151896,#72837,.T.); #187164=EDGE_CURVE('',#151897,#151898,#72838,.T.); #187165=EDGE_CURVE('',#151899,#151897,#72839,.T.); #187166=EDGE_CURVE('',#151900,#151898,#72840,.T.); #187167=EDGE_CURVE('',#151899,#151900,#72841,.T.); #187168=EDGE_CURVE('',#151901,#151899,#72842,.T.); #187169=EDGE_CURVE('',#151902,#151900,#72843,.T.); #187170=EDGE_CURVE('',#151901,#151902,#72844,.T.); #187171=EDGE_CURVE('',#151903,#151901,#72845,.T.); #187172=EDGE_CURVE('',#151904,#151902,#72846,.T.); #187173=EDGE_CURVE('',#151903,#151904,#72847,.T.); #187174=EDGE_CURVE('',#151905,#151903,#72848,.T.); #187175=EDGE_CURVE('',#151906,#151904,#72849,.T.); #187176=EDGE_CURVE('',#151905,#151906,#72850,.T.); #187177=EDGE_CURVE('',#151907,#151905,#72851,.T.); #187178=EDGE_CURVE('',#151908,#151906,#72852,.T.); #187179=EDGE_CURVE('',#151907,#151908,#72853,.T.); #187180=EDGE_CURVE('',#151909,#151907,#72854,.T.); #187181=EDGE_CURVE('',#151910,#151908,#72855,.T.); #187182=EDGE_CURVE('',#151909,#151910,#72856,.T.); #187183=EDGE_CURVE('',#151911,#151909,#72857,.T.); #187184=EDGE_CURVE('',#151912,#151910,#72858,.T.); #187185=EDGE_CURVE('',#151911,#151912,#72859,.T.); #187186=EDGE_CURVE('',#151913,#151911,#72860,.T.); #187187=EDGE_CURVE('',#151914,#151912,#72861,.T.); #187188=EDGE_CURVE('',#151913,#151914,#72862,.T.); #187189=EDGE_CURVE('',#151915,#151913,#72863,.T.); #187190=EDGE_CURVE('',#151916,#151914,#72864,.T.); #187191=EDGE_CURVE('',#151915,#151916,#72865,.T.); #187192=EDGE_CURVE('',#151917,#151915,#72866,.T.); #187193=EDGE_CURVE('',#151918,#151916,#72867,.T.); #187194=EDGE_CURVE('',#151917,#151918,#72868,.T.); #187195=EDGE_CURVE('',#151919,#151917,#72869,.T.); #187196=EDGE_CURVE('',#151920,#151918,#72870,.T.); #187197=EDGE_CURVE('',#151919,#151920,#72871,.T.); #187198=EDGE_CURVE('',#151921,#151919,#72872,.T.); #187199=EDGE_CURVE('',#151922,#151920,#72873,.T.); #187200=EDGE_CURVE('',#151921,#151922,#72874,.T.); #187201=EDGE_CURVE('',#151923,#151921,#72875,.T.); #187202=EDGE_CURVE('',#151924,#151922,#72876,.T.); #187203=EDGE_CURVE('',#151923,#151924,#72877,.T.); #187204=EDGE_CURVE('',#151925,#151923,#72878,.T.); #187205=EDGE_CURVE('',#151926,#151924,#72879,.T.); #187206=EDGE_CURVE('',#151925,#151926,#72880,.T.); #187207=EDGE_CURVE('',#151927,#151925,#72881,.T.); #187208=EDGE_CURVE('',#151928,#151926,#72882,.T.); #187209=EDGE_CURVE('',#151927,#151928,#72883,.T.); #187210=EDGE_CURVE('',#151929,#151927,#72884,.T.); #187211=EDGE_CURVE('',#151930,#151928,#72885,.T.); #187212=EDGE_CURVE('',#151929,#151930,#72886,.T.); #187213=EDGE_CURVE('',#151931,#151929,#72887,.T.); #187214=EDGE_CURVE('',#151932,#151930,#72888,.T.); #187215=EDGE_CURVE('',#151931,#151932,#72889,.T.); #187216=EDGE_CURVE('',#151886,#151931,#72890,.T.); #187217=EDGE_CURVE('',#151887,#151932,#72891,.T.); #187218=EDGE_CURVE('',#151933,#151934,#72892,.T.); #187219=EDGE_CURVE('',#151934,#151935,#72893,.T.); #187220=EDGE_CURVE('',#151936,#151935,#72894,.T.); #187221=EDGE_CURVE('',#151933,#151936,#72895,.T.); #187222=EDGE_CURVE('',#151937,#151933,#72896,.T.); #187223=EDGE_CURVE('',#151938,#151936,#72897,.T.); #187224=EDGE_CURVE('',#151937,#151938,#72898,.T.); #187225=EDGE_CURVE('',#151939,#151937,#72899,.T.); #187226=EDGE_CURVE('',#151940,#151938,#72900,.T.); #187227=EDGE_CURVE('',#151939,#151940,#72901,.T.); #187228=EDGE_CURVE('',#151941,#151939,#72902,.T.); #187229=EDGE_CURVE('',#151942,#151940,#72903,.T.); #187230=EDGE_CURVE('',#151941,#151942,#72904,.T.); #187231=EDGE_CURVE('',#151943,#151941,#72905,.T.); #187232=EDGE_CURVE('',#151944,#151942,#72906,.T.); #187233=EDGE_CURVE('',#151943,#151944,#72907,.T.); #187234=EDGE_CURVE('',#151945,#151943,#72908,.T.); #187235=EDGE_CURVE('',#151946,#151944,#72909,.T.); #187236=EDGE_CURVE('',#151945,#151946,#72910,.T.); #187237=EDGE_CURVE('',#151947,#151945,#72911,.T.); #187238=EDGE_CURVE('',#151948,#151946,#72912,.T.); #187239=EDGE_CURVE('',#151947,#151948,#72913,.T.); #187240=EDGE_CURVE('',#151949,#151947,#72914,.T.); #187241=EDGE_CURVE('',#151950,#151948,#72915,.T.); #187242=EDGE_CURVE('',#151949,#151950,#72916,.T.); #187243=EDGE_CURVE('',#151951,#151949,#72917,.T.); #187244=EDGE_CURVE('',#151952,#151950,#72918,.T.); #187245=EDGE_CURVE('',#151951,#151952,#72919,.T.); #187246=EDGE_CURVE('',#151953,#151951,#72920,.T.); #187247=EDGE_CURVE('',#151954,#151952,#72921,.T.); #187248=EDGE_CURVE('',#151953,#151954,#72922,.T.); #187249=EDGE_CURVE('',#151955,#151953,#72923,.T.); #187250=EDGE_CURVE('',#151956,#151954,#72924,.T.); #187251=EDGE_CURVE('',#151955,#151956,#72925,.T.); #187252=EDGE_CURVE('',#151957,#151955,#72926,.T.); #187253=EDGE_CURVE('',#151958,#151956,#72927,.T.); #187254=EDGE_CURVE('',#151957,#151958,#72928,.T.); #187255=EDGE_CURVE('',#151959,#151957,#72929,.T.); #187256=EDGE_CURVE('',#151960,#151958,#72930,.T.); #187257=EDGE_CURVE('',#151959,#151960,#72931,.T.); #187258=EDGE_CURVE('',#151961,#151959,#72932,.T.); #187259=EDGE_CURVE('',#151962,#151960,#72933,.T.); #187260=EDGE_CURVE('',#151961,#151962,#72934,.T.); #187261=EDGE_CURVE('',#151963,#151961,#72935,.T.); #187262=EDGE_CURVE('',#151964,#151962,#72936,.T.); #187263=EDGE_CURVE('',#151963,#151964,#72937,.T.); #187264=EDGE_CURVE('',#151965,#151963,#72938,.T.); #187265=EDGE_CURVE('',#151966,#151964,#72939,.T.); #187266=EDGE_CURVE('',#151965,#151966,#72940,.T.); #187267=EDGE_CURVE('',#151967,#151965,#72941,.T.); #187268=EDGE_CURVE('',#151968,#151966,#72942,.T.); #187269=EDGE_CURVE('',#151967,#151968,#72943,.T.); #187270=EDGE_CURVE('',#151969,#151967,#72944,.T.); #187271=EDGE_CURVE('',#151970,#151968,#72945,.T.); #187272=EDGE_CURVE('',#151969,#151970,#72946,.T.); #187273=EDGE_CURVE('',#151971,#151969,#72947,.T.); #187274=EDGE_CURVE('',#151972,#151970,#72948,.T.); #187275=EDGE_CURVE('',#151971,#151972,#72949,.T.); #187276=EDGE_CURVE('',#151973,#151971,#72950,.T.); #187277=EDGE_CURVE('',#151974,#151972,#72951,.T.); #187278=EDGE_CURVE('',#151973,#151974,#72952,.T.); #187279=EDGE_CURVE('',#151975,#151973,#72953,.T.); #187280=EDGE_CURVE('',#151976,#151974,#72954,.T.); #187281=EDGE_CURVE('',#151975,#151976,#72955,.T.); #187282=EDGE_CURVE('',#151977,#151975,#72956,.T.); #187283=EDGE_CURVE('',#151978,#151976,#72957,.T.); #187284=EDGE_CURVE('',#151977,#151978,#72958,.T.); #187285=EDGE_CURVE('',#151979,#151977,#72959,.T.); #187286=EDGE_CURVE('',#151980,#151978,#72960,.T.); #187287=EDGE_CURVE('',#151979,#151980,#72961,.T.); #187288=EDGE_CURVE('',#151981,#151979,#72962,.T.); #187289=EDGE_CURVE('',#151982,#151980,#72963,.T.); #187290=EDGE_CURVE('',#151981,#151982,#72964,.T.); #187291=EDGE_CURVE('',#151983,#151981,#72965,.T.); #187292=EDGE_CURVE('',#151984,#151982,#72966,.T.); #187293=EDGE_CURVE('',#151983,#151984,#72967,.T.); #187294=EDGE_CURVE('',#151985,#151983,#72968,.T.); #187295=EDGE_CURVE('',#151986,#151984,#72969,.T.); #187296=EDGE_CURVE('',#151985,#151986,#72970,.T.); #187297=EDGE_CURVE('',#151987,#151985,#72971,.T.); #187298=EDGE_CURVE('',#151988,#151986,#72972,.T.); #187299=EDGE_CURVE('',#151987,#151988,#72973,.T.); #187300=EDGE_CURVE('',#151989,#151987,#72974,.T.); #187301=EDGE_CURVE('',#151990,#151988,#72975,.T.); #187302=EDGE_CURVE('',#151989,#151990,#72976,.T.); #187303=EDGE_CURVE('',#151991,#151989,#72977,.T.); #187304=EDGE_CURVE('',#151992,#151990,#72978,.T.); #187305=EDGE_CURVE('',#151991,#151992,#72979,.T.); #187306=EDGE_CURVE('',#151993,#151991,#72980,.T.); #187307=EDGE_CURVE('',#151994,#151992,#72981,.T.); #187308=EDGE_CURVE('',#151993,#151994,#72982,.T.); #187309=EDGE_CURVE('',#151995,#151993,#72983,.T.); #187310=EDGE_CURVE('',#151996,#151994,#72984,.T.); #187311=EDGE_CURVE('',#151995,#151996,#72985,.T.); #187312=EDGE_CURVE('',#151997,#151995,#72986,.T.); #187313=EDGE_CURVE('',#151998,#151996,#72987,.T.); #187314=EDGE_CURVE('',#151997,#151998,#72988,.T.); #187315=EDGE_CURVE('',#151999,#151997,#72989,.T.); #187316=EDGE_CURVE('',#152000,#151998,#72990,.T.); #187317=EDGE_CURVE('',#151999,#152000,#72991,.T.); #187318=EDGE_CURVE('',#152001,#151999,#72992,.T.); #187319=EDGE_CURVE('',#152002,#152000,#72993,.T.); #187320=EDGE_CURVE('',#152001,#152002,#72994,.T.); #187321=EDGE_CURVE('',#152003,#152001,#72995,.T.); #187322=EDGE_CURVE('',#152004,#152002,#72996,.T.); #187323=EDGE_CURVE('',#152003,#152004,#72997,.T.); #187324=EDGE_CURVE('',#152005,#152003,#72998,.T.); #187325=EDGE_CURVE('',#152006,#152004,#72999,.T.); #187326=EDGE_CURVE('',#152005,#152006,#73000,.T.); #187327=EDGE_CURVE('',#152007,#152005,#73001,.T.); #187328=EDGE_CURVE('',#152008,#152006,#73002,.T.); #187329=EDGE_CURVE('',#152007,#152008,#73003,.T.); #187330=EDGE_CURVE('',#152009,#152007,#73004,.T.); #187331=EDGE_CURVE('',#152010,#152008,#73005,.T.); #187332=EDGE_CURVE('',#152009,#152010,#73006,.T.); #187333=EDGE_CURVE('',#152011,#152009,#73007,.T.); #187334=EDGE_CURVE('',#152012,#152010,#73008,.T.); #187335=EDGE_CURVE('',#152011,#152012,#73009,.T.); #187336=EDGE_CURVE('',#152013,#152011,#73010,.T.); #187337=EDGE_CURVE('',#152014,#152012,#73011,.T.); #187338=EDGE_CURVE('',#152013,#152014,#73012,.T.); #187339=EDGE_CURVE('',#152015,#152013,#73013,.T.); #187340=EDGE_CURVE('',#152016,#152014,#73014,.T.); #187341=EDGE_CURVE('',#152015,#152016,#73015,.T.); #187342=EDGE_CURVE('',#152017,#152015,#73016,.T.); #187343=EDGE_CURVE('',#152018,#152016,#73017,.T.); #187344=EDGE_CURVE('',#152017,#152018,#73018,.T.); #187345=EDGE_CURVE('',#152019,#152017,#73019,.T.); #187346=EDGE_CURVE('',#152020,#152018,#73020,.T.); #187347=EDGE_CURVE('',#152019,#152020,#73021,.T.); #187348=EDGE_CURVE('',#152021,#152019,#73022,.T.); #187349=EDGE_CURVE('',#152022,#152020,#73023,.T.); #187350=EDGE_CURVE('',#152021,#152022,#73024,.T.); #187351=EDGE_CURVE('',#152023,#152021,#73025,.T.); #187352=EDGE_CURVE('',#152024,#152022,#73026,.T.); #187353=EDGE_CURVE('',#152023,#152024,#73027,.T.); #187354=EDGE_CURVE('',#152025,#152023,#73028,.T.); #187355=EDGE_CURVE('',#152026,#152024,#73029,.T.); #187356=EDGE_CURVE('',#152025,#152026,#73030,.T.); #187357=EDGE_CURVE('',#152027,#152025,#73031,.T.); #187358=EDGE_CURVE('',#152028,#152026,#73032,.T.); #187359=EDGE_CURVE('',#152027,#152028,#73033,.T.); #187360=EDGE_CURVE('',#152029,#152027,#73034,.T.); #187361=EDGE_CURVE('',#152030,#152028,#73035,.T.); #187362=EDGE_CURVE('',#152029,#152030,#73036,.T.); #187363=EDGE_CURVE('',#152031,#152029,#73037,.T.); #187364=EDGE_CURVE('',#152032,#152030,#73038,.T.); #187365=EDGE_CURVE('',#152031,#152032,#73039,.T.); #187366=EDGE_CURVE('',#152033,#152031,#73040,.T.); #187367=EDGE_CURVE('',#152034,#152032,#73041,.T.); #187368=EDGE_CURVE('',#152033,#152034,#73042,.T.); #187369=EDGE_CURVE('',#152035,#152033,#73043,.T.); #187370=EDGE_CURVE('',#152036,#152034,#73044,.T.); #187371=EDGE_CURVE('',#152035,#152036,#73045,.T.); #187372=EDGE_CURVE('',#152037,#152035,#73046,.T.); #187373=EDGE_CURVE('',#152038,#152036,#73047,.T.); #187374=EDGE_CURVE('',#152037,#152038,#73048,.T.); #187375=EDGE_CURVE('',#152039,#152037,#73049,.T.); #187376=EDGE_CURVE('',#152040,#152038,#73050,.T.); #187377=EDGE_CURVE('',#152039,#152040,#73051,.T.); #187378=EDGE_CURVE('',#152041,#152039,#73052,.T.); #187379=EDGE_CURVE('',#152042,#152040,#73053,.T.); #187380=EDGE_CURVE('',#152041,#152042,#73054,.T.); #187381=EDGE_CURVE('',#152043,#152041,#73055,.T.); #187382=EDGE_CURVE('',#152044,#152042,#73056,.T.); #187383=EDGE_CURVE('',#152043,#152044,#73057,.T.); #187384=EDGE_CURVE('',#152045,#152043,#73058,.T.); #187385=EDGE_CURVE('',#152046,#152044,#73059,.T.); #187386=EDGE_CURVE('',#152045,#152046,#73060,.T.); #187387=EDGE_CURVE('',#152047,#152045,#73061,.T.); #187388=EDGE_CURVE('',#152048,#152046,#73062,.T.); #187389=EDGE_CURVE('',#152047,#152048,#73063,.T.); #187390=EDGE_CURVE('',#152049,#152047,#73064,.T.); #187391=EDGE_CURVE('',#152050,#152048,#73065,.T.); #187392=EDGE_CURVE('',#152049,#152050,#73066,.T.); #187393=EDGE_CURVE('',#152051,#152049,#73067,.T.); #187394=EDGE_CURVE('',#152052,#152050,#73068,.T.); #187395=EDGE_CURVE('',#152051,#152052,#73069,.T.); #187396=EDGE_CURVE('',#152053,#152051,#73070,.T.); #187397=EDGE_CURVE('',#152054,#152052,#73071,.T.); #187398=EDGE_CURVE('',#152053,#152054,#73072,.T.); #187399=EDGE_CURVE('',#152055,#152053,#73073,.T.); #187400=EDGE_CURVE('',#152056,#152054,#73074,.T.); #187401=EDGE_CURVE('',#152055,#152056,#73075,.T.); #187402=EDGE_CURVE('',#152057,#152055,#73076,.T.); #187403=EDGE_CURVE('',#152058,#152056,#73077,.T.); #187404=EDGE_CURVE('',#152057,#152058,#73078,.T.); #187405=EDGE_CURVE('',#152059,#152057,#73079,.T.); #187406=EDGE_CURVE('',#152060,#152058,#73080,.T.); #187407=EDGE_CURVE('',#152059,#152060,#73081,.T.); #187408=EDGE_CURVE('',#152061,#152059,#73082,.T.); #187409=EDGE_CURVE('',#152062,#152060,#73083,.T.); #187410=EDGE_CURVE('',#152061,#152062,#73084,.T.); #187411=EDGE_CURVE('',#152063,#152061,#73085,.T.); #187412=EDGE_CURVE('',#152064,#152062,#73086,.T.); #187413=EDGE_CURVE('',#152063,#152064,#73087,.T.); #187414=EDGE_CURVE('',#152065,#152063,#73088,.T.); #187415=EDGE_CURVE('',#152066,#152064,#73089,.T.); #187416=EDGE_CURVE('',#152065,#152066,#73090,.T.); #187417=EDGE_CURVE('',#152067,#152065,#73091,.T.); #187418=EDGE_CURVE('',#152068,#152066,#73092,.T.); #187419=EDGE_CURVE('',#152067,#152068,#73093,.T.); #187420=EDGE_CURVE('',#152069,#152067,#73094,.T.); #187421=EDGE_CURVE('',#152070,#152068,#73095,.T.); #187422=EDGE_CURVE('',#152069,#152070,#73096,.T.); #187423=EDGE_CURVE('',#152071,#152069,#73097,.T.); #187424=EDGE_CURVE('',#152072,#152070,#73098,.T.); #187425=EDGE_CURVE('',#152071,#152072,#73099,.T.); #187426=EDGE_CURVE('',#152073,#152071,#73100,.T.); #187427=EDGE_CURVE('',#152074,#152072,#73101,.T.); #187428=EDGE_CURVE('',#152073,#152074,#73102,.T.); #187429=EDGE_CURVE('',#152075,#152073,#73103,.T.); #187430=EDGE_CURVE('',#152076,#152074,#73104,.T.); #187431=EDGE_CURVE('',#152075,#152076,#73105,.T.); #187432=EDGE_CURVE('',#152077,#152075,#73106,.T.); #187433=EDGE_CURVE('',#152078,#152076,#73107,.T.); #187434=EDGE_CURVE('',#152077,#152078,#73108,.T.); #187435=EDGE_CURVE('',#152079,#152077,#73109,.T.); #187436=EDGE_CURVE('',#152080,#152078,#73110,.T.); #187437=EDGE_CURVE('',#152079,#152080,#73111,.T.); #187438=EDGE_CURVE('',#152081,#152079,#73112,.T.); #187439=EDGE_CURVE('',#152082,#152080,#73113,.T.); #187440=EDGE_CURVE('',#152081,#152082,#73114,.T.); #187441=EDGE_CURVE('',#152083,#152081,#73115,.T.); #187442=EDGE_CURVE('',#152084,#152082,#73116,.T.); #187443=EDGE_CURVE('',#152083,#152084,#73117,.T.); #187444=EDGE_CURVE('',#152085,#152083,#73118,.T.); #187445=EDGE_CURVE('',#152086,#152084,#73119,.T.); #187446=EDGE_CURVE('',#152085,#152086,#73120,.T.); #187447=EDGE_CURVE('',#152087,#152085,#73121,.T.); #187448=EDGE_CURVE('',#152088,#152086,#73122,.T.); #187449=EDGE_CURVE('',#152087,#152088,#73123,.T.); #187450=EDGE_CURVE('',#152089,#152087,#73124,.T.); #187451=EDGE_CURVE('',#152090,#152088,#73125,.T.); #187452=EDGE_CURVE('',#152089,#152090,#73126,.T.); #187453=EDGE_CURVE('',#152091,#152089,#73127,.T.); #187454=EDGE_CURVE('',#152092,#152090,#73128,.T.); #187455=EDGE_CURVE('',#152091,#152092,#73129,.T.); #187456=EDGE_CURVE('',#152093,#152091,#73130,.T.); #187457=EDGE_CURVE('',#152094,#152092,#73131,.T.); #187458=EDGE_CURVE('',#152093,#152094,#73132,.T.); #187459=EDGE_CURVE('',#152095,#152093,#73133,.T.); #187460=EDGE_CURVE('',#152096,#152094,#73134,.T.); #187461=EDGE_CURVE('',#152095,#152096,#73135,.T.); #187462=EDGE_CURVE('',#152097,#152095,#73136,.T.); #187463=EDGE_CURVE('',#152098,#152096,#73137,.T.); #187464=EDGE_CURVE('',#152097,#152098,#73138,.T.); #187465=EDGE_CURVE('',#152099,#152097,#73139,.T.); #187466=EDGE_CURVE('',#152100,#152098,#73140,.T.); #187467=EDGE_CURVE('',#152099,#152100,#73141,.T.); #187468=EDGE_CURVE('',#152101,#152099,#73142,.T.); #187469=EDGE_CURVE('',#152102,#152100,#73143,.T.); #187470=EDGE_CURVE('',#152101,#152102,#73144,.T.); #187471=EDGE_CURVE('',#152103,#152101,#73145,.T.); #187472=EDGE_CURVE('',#152104,#152102,#73146,.T.); #187473=EDGE_CURVE('',#152103,#152104,#73147,.T.); #187474=EDGE_CURVE('',#152105,#152103,#73148,.T.); #187475=EDGE_CURVE('',#152106,#152104,#73149,.T.); #187476=EDGE_CURVE('',#152105,#152106,#73150,.T.); #187477=EDGE_CURVE('',#152107,#152105,#73151,.T.); #187478=EDGE_CURVE('',#152108,#152106,#73152,.T.); #187479=EDGE_CURVE('',#152107,#152108,#73153,.T.); #187480=EDGE_CURVE('',#152109,#152107,#73154,.T.); #187481=EDGE_CURVE('',#152110,#152108,#73155,.T.); #187482=EDGE_CURVE('',#152109,#152110,#73156,.T.); #187483=EDGE_CURVE('',#152111,#152109,#73157,.T.); #187484=EDGE_CURVE('',#152112,#152110,#73158,.T.); #187485=EDGE_CURVE('',#152111,#152112,#73159,.T.); #187486=EDGE_CURVE('',#152113,#152111,#73160,.T.); #187487=EDGE_CURVE('',#152114,#152112,#73161,.T.); #187488=EDGE_CURVE('',#152113,#152114,#73162,.T.); #187489=EDGE_CURVE('',#152115,#152113,#73163,.T.); #187490=EDGE_CURVE('',#152116,#152114,#73164,.T.); #187491=EDGE_CURVE('',#152115,#152116,#73165,.T.); #187492=EDGE_CURVE('',#152117,#152115,#73166,.T.); #187493=EDGE_CURVE('',#152118,#152116,#73167,.T.); #187494=EDGE_CURVE('',#152117,#152118,#73168,.T.); #187495=EDGE_CURVE('',#152119,#152117,#73169,.T.); #187496=EDGE_CURVE('',#152120,#152118,#73170,.T.); #187497=EDGE_CURVE('',#152119,#152120,#73171,.T.); #187498=EDGE_CURVE('',#152121,#152119,#73172,.T.); #187499=EDGE_CURVE('',#152122,#152120,#73173,.T.); #187500=EDGE_CURVE('',#152121,#152122,#73174,.T.); #187501=EDGE_CURVE('',#152123,#152121,#73175,.T.); #187502=EDGE_CURVE('',#152124,#152122,#73176,.T.); #187503=EDGE_CURVE('',#152123,#152124,#73177,.T.); #187504=EDGE_CURVE('',#152125,#152123,#73178,.T.); #187505=EDGE_CURVE('',#152126,#152124,#73179,.T.); #187506=EDGE_CURVE('',#152125,#152126,#73180,.T.); #187507=EDGE_CURVE('',#152127,#152125,#73181,.T.); #187508=EDGE_CURVE('',#152128,#152126,#73182,.T.); #187509=EDGE_CURVE('',#152127,#152128,#73183,.T.); #187510=EDGE_CURVE('',#152129,#152127,#73184,.T.); #187511=EDGE_CURVE('',#152130,#152128,#73185,.T.); #187512=EDGE_CURVE('',#152129,#152130,#73186,.T.); #187513=EDGE_CURVE('',#152131,#152129,#73187,.T.); #187514=EDGE_CURVE('',#152132,#152130,#73188,.T.); #187515=EDGE_CURVE('',#152131,#152132,#73189,.T.); #187516=EDGE_CURVE('',#152133,#152131,#73190,.T.); #187517=EDGE_CURVE('',#152134,#152132,#73191,.T.); #187518=EDGE_CURVE('',#152133,#152134,#73192,.T.); #187519=EDGE_CURVE('',#152135,#152133,#73193,.T.); #187520=EDGE_CURVE('',#152136,#152134,#73194,.T.); #187521=EDGE_CURVE('',#152135,#152136,#73195,.T.); #187522=EDGE_CURVE('',#152137,#152135,#73196,.T.); #187523=EDGE_CURVE('',#152138,#152136,#73197,.T.); #187524=EDGE_CURVE('',#152137,#152138,#73198,.T.); #187525=EDGE_CURVE('',#152139,#152137,#73199,.T.); #187526=EDGE_CURVE('',#152140,#152138,#73200,.T.); #187527=EDGE_CURVE('',#152139,#152140,#73201,.T.); #187528=EDGE_CURVE('',#152141,#152139,#73202,.T.); #187529=EDGE_CURVE('',#152142,#152140,#73203,.T.); #187530=EDGE_CURVE('',#152141,#152142,#73204,.T.); #187531=EDGE_CURVE('',#152143,#152141,#73205,.T.); #187532=EDGE_CURVE('',#152144,#152142,#73206,.T.); #187533=EDGE_CURVE('',#152143,#152144,#73207,.T.); #187534=EDGE_CURVE('',#152145,#152143,#73208,.T.); #187535=EDGE_CURVE('',#152146,#152144,#73209,.T.); #187536=EDGE_CURVE('',#152145,#152146,#73210,.T.); #187537=EDGE_CURVE('',#152147,#152145,#73211,.T.); #187538=EDGE_CURVE('',#152148,#152146,#73212,.T.); #187539=EDGE_CURVE('',#152147,#152148,#73213,.T.); #187540=EDGE_CURVE('',#152149,#152147,#73214,.T.); #187541=EDGE_CURVE('',#152150,#152148,#73215,.T.); #187542=EDGE_CURVE('',#152149,#152150,#73216,.T.); #187543=EDGE_CURVE('',#152151,#152149,#73217,.T.); #187544=EDGE_CURVE('',#152152,#152150,#73218,.T.); #187545=EDGE_CURVE('',#152151,#152152,#73219,.T.); #187546=EDGE_CURVE('',#152153,#152151,#73220,.T.); #187547=EDGE_CURVE('',#152154,#152152,#73221,.T.); #187548=EDGE_CURVE('',#152153,#152154,#73222,.T.); #187549=EDGE_CURVE('',#152155,#152153,#73223,.T.); #187550=EDGE_CURVE('',#152156,#152154,#73224,.T.); #187551=EDGE_CURVE('',#152155,#152156,#73225,.T.); #187552=EDGE_CURVE('',#152157,#152155,#73226,.T.); #187553=EDGE_CURVE('',#152158,#152156,#73227,.T.); #187554=EDGE_CURVE('',#152157,#152158,#73228,.T.); #187555=EDGE_CURVE('',#152159,#152157,#73229,.T.); #187556=EDGE_CURVE('',#152160,#152158,#73230,.T.); #187557=EDGE_CURVE('',#152159,#152160,#73231,.T.); #187558=EDGE_CURVE('',#152161,#152159,#73232,.T.); #187559=EDGE_CURVE('',#152162,#152160,#73233,.T.); #187560=EDGE_CURVE('',#152161,#152162,#73234,.T.); #187561=EDGE_CURVE('',#152163,#152161,#73235,.T.); #187562=EDGE_CURVE('',#152164,#152162,#73236,.T.); #187563=EDGE_CURVE('',#152163,#152164,#73237,.T.); #187564=EDGE_CURVE('',#152165,#152163,#73238,.T.); #187565=EDGE_CURVE('',#152166,#152164,#73239,.T.); #187566=EDGE_CURVE('',#152165,#152166,#73240,.T.); #187567=EDGE_CURVE('',#152167,#152165,#73241,.T.); #187568=EDGE_CURVE('',#152168,#152166,#73242,.T.); #187569=EDGE_CURVE('',#152167,#152168,#73243,.T.); #187570=EDGE_CURVE('',#152169,#152167,#73244,.T.); #187571=EDGE_CURVE('',#152170,#152168,#73245,.T.); #187572=EDGE_CURVE('',#152169,#152170,#73246,.T.); #187573=EDGE_CURVE('',#152171,#152169,#73247,.T.); #187574=EDGE_CURVE('',#152172,#152170,#73248,.T.); #187575=EDGE_CURVE('',#152171,#152172,#73249,.T.); #187576=EDGE_CURVE('',#152173,#152171,#73250,.T.); #187577=EDGE_CURVE('',#152174,#152172,#73251,.T.); #187578=EDGE_CURVE('',#152173,#152174,#73252,.T.); #187579=EDGE_CURVE('',#152175,#152173,#73253,.T.); #187580=EDGE_CURVE('',#152176,#152174,#73254,.T.); #187581=EDGE_CURVE('',#152175,#152176,#73255,.T.); #187582=EDGE_CURVE('',#152177,#152175,#73256,.T.); #187583=EDGE_CURVE('',#152178,#152176,#73257,.T.); #187584=EDGE_CURVE('',#152177,#152178,#73258,.T.); #187585=EDGE_CURVE('',#152179,#152177,#73259,.T.); #187586=EDGE_CURVE('',#152180,#152178,#73260,.T.); #187587=EDGE_CURVE('',#152179,#152180,#73261,.T.); #187588=EDGE_CURVE('',#152181,#152179,#73262,.T.); #187589=EDGE_CURVE('',#152182,#152180,#73263,.T.); #187590=EDGE_CURVE('',#152181,#152182,#73264,.T.); #187591=EDGE_CURVE('',#152183,#152181,#73265,.T.); #187592=EDGE_CURVE('',#152184,#152182,#73266,.T.); #187593=EDGE_CURVE('',#152183,#152184,#73267,.T.); #187594=EDGE_CURVE('',#152185,#152183,#73268,.T.); #187595=EDGE_CURVE('',#152186,#152184,#73269,.T.); #187596=EDGE_CURVE('',#152185,#152186,#73270,.T.); #187597=EDGE_CURVE('',#152187,#152185,#73271,.T.); #187598=EDGE_CURVE('',#152188,#152186,#73272,.T.); #187599=EDGE_CURVE('',#152187,#152188,#73273,.T.); #187600=EDGE_CURVE('',#152189,#152187,#73274,.T.); #187601=EDGE_CURVE('',#152190,#152188,#73275,.T.); #187602=EDGE_CURVE('',#152189,#152190,#73276,.T.); #187603=EDGE_CURVE('',#152191,#152189,#73277,.T.); #187604=EDGE_CURVE('',#152192,#152190,#73278,.T.); #187605=EDGE_CURVE('',#152191,#152192,#73279,.T.); #187606=EDGE_CURVE('',#152193,#152191,#73280,.T.); #187607=EDGE_CURVE('',#152194,#152192,#73281,.T.); #187608=EDGE_CURVE('',#152193,#152194,#73282,.T.); #187609=EDGE_CURVE('',#152195,#152193,#73283,.T.); #187610=EDGE_CURVE('',#152196,#152194,#73284,.T.); #187611=EDGE_CURVE('',#152195,#152196,#73285,.T.); #187612=EDGE_CURVE('',#152197,#152195,#73286,.T.); #187613=EDGE_CURVE('',#152198,#152196,#73287,.T.); #187614=EDGE_CURVE('',#152197,#152198,#73288,.T.); #187615=EDGE_CURVE('',#152199,#152197,#73289,.T.); #187616=EDGE_CURVE('',#152200,#152198,#73290,.T.); #187617=EDGE_CURVE('',#152199,#152200,#73291,.T.); #187618=EDGE_CURVE('',#152201,#152199,#73292,.T.); #187619=EDGE_CURVE('',#152202,#152200,#73293,.T.); #187620=EDGE_CURVE('',#152201,#152202,#73294,.T.); #187621=EDGE_CURVE('',#152203,#152201,#73295,.T.); #187622=EDGE_CURVE('',#152204,#152202,#73296,.T.); #187623=EDGE_CURVE('',#152203,#152204,#73297,.T.); #187624=EDGE_CURVE('',#152205,#152203,#73298,.T.); #187625=EDGE_CURVE('',#152206,#152204,#73299,.T.); #187626=EDGE_CURVE('',#152205,#152206,#73300,.T.); #187627=EDGE_CURVE('',#152207,#152205,#73301,.T.); #187628=EDGE_CURVE('',#152208,#152206,#73302,.T.); #187629=EDGE_CURVE('',#152207,#152208,#73303,.T.); #187630=EDGE_CURVE('',#152209,#152207,#73304,.T.); #187631=EDGE_CURVE('',#152210,#152208,#73305,.T.); #187632=EDGE_CURVE('',#152209,#152210,#73306,.T.); #187633=EDGE_CURVE('',#152211,#152209,#73307,.T.); #187634=EDGE_CURVE('',#152212,#152210,#73308,.T.); #187635=EDGE_CURVE('',#152211,#152212,#73309,.T.); #187636=EDGE_CURVE('',#152213,#152211,#73310,.T.); #187637=EDGE_CURVE('',#152214,#152212,#73311,.T.); #187638=EDGE_CURVE('',#152213,#152214,#73312,.T.); #187639=EDGE_CURVE('',#152215,#152213,#73313,.T.); #187640=EDGE_CURVE('',#152216,#152214,#73314,.T.); #187641=EDGE_CURVE('',#152215,#152216,#73315,.T.); #187642=EDGE_CURVE('',#152217,#152215,#73316,.T.); #187643=EDGE_CURVE('',#152218,#152216,#73317,.T.); #187644=EDGE_CURVE('',#152217,#152218,#73318,.T.); #187645=EDGE_CURVE('',#152219,#152217,#73319,.T.); #187646=EDGE_CURVE('',#152220,#152218,#73320,.T.); #187647=EDGE_CURVE('',#152219,#152220,#73321,.T.); #187648=EDGE_CURVE('',#152221,#152219,#73322,.T.); #187649=EDGE_CURVE('',#152222,#152220,#73323,.T.); #187650=EDGE_CURVE('',#152221,#152222,#73324,.T.); #187651=EDGE_CURVE('',#152223,#152221,#73325,.T.); #187652=EDGE_CURVE('',#152224,#152222,#73326,.T.); #187653=EDGE_CURVE('',#152223,#152224,#73327,.T.); #187654=EDGE_CURVE('',#152225,#152223,#73328,.T.); #187655=EDGE_CURVE('',#152226,#152224,#73329,.T.); #187656=EDGE_CURVE('',#152225,#152226,#73330,.T.); #187657=EDGE_CURVE('',#152227,#152225,#73331,.T.); #187658=EDGE_CURVE('',#152228,#152226,#73332,.T.); #187659=EDGE_CURVE('',#152227,#152228,#73333,.T.); #187660=EDGE_CURVE('',#152229,#152227,#73334,.T.); #187661=EDGE_CURVE('',#152230,#152228,#73335,.T.); #187662=EDGE_CURVE('',#152229,#152230,#73336,.T.); #187663=EDGE_CURVE('',#152231,#152229,#73337,.T.); #187664=EDGE_CURVE('',#152232,#152230,#73338,.T.); #187665=EDGE_CURVE('',#152231,#152232,#73339,.T.); #187666=EDGE_CURVE('',#152233,#152231,#73340,.T.); #187667=EDGE_CURVE('',#152234,#152232,#73341,.T.); #187668=EDGE_CURVE('',#152233,#152234,#73342,.T.); #187669=EDGE_CURVE('',#152235,#152233,#73343,.T.); #187670=EDGE_CURVE('',#152236,#152234,#73344,.T.); #187671=EDGE_CURVE('',#152235,#152236,#73345,.T.); #187672=EDGE_CURVE('',#152237,#152235,#73346,.T.); #187673=EDGE_CURVE('',#152238,#152236,#73347,.T.); #187674=EDGE_CURVE('',#152237,#152238,#73348,.T.); #187675=EDGE_CURVE('',#152239,#152237,#73349,.T.); #187676=EDGE_CURVE('',#152240,#152238,#73350,.T.); #187677=EDGE_CURVE('',#152239,#152240,#73351,.T.); #187678=EDGE_CURVE('',#152241,#152239,#73352,.T.); #187679=EDGE_CURVE('',#152242,#152240,#73353,.T.); #187680=EDGE_CURVE('',#152241,#152242,#73354,.T.); #187681=EDGE_CURVE('',#152243,#152241,#73355,.T.); #187682=EDGE_CURVE('',#152244,#152242,#73356,.T.); #187683=EDGE_CURVE('',#152243,#152244,#73357,.T.); #187684=EDGE_CURVE('',#152245,#152243,#73358,.T.); #187685=EDGE_CURVE('',#152246,#152244,#73359,.T.); #187686=EDGE_CURVE('',#152245,#152246,#73360,.T.); #187687=EDGE_CURVE('',#152247,#152245,#73361,.T.); #187688=EDGE_CURVE('',#152248,#152246,#73362,.T.); #187689=EDGE_CURVE('',#152247,#152248,#73363,.T.); #187690=EDGE_CURVE('',#152249,#152247,#73364,.T.); #187691=EDGE_CURVE('',#152250,#152248,#73365,.T.); #187692=EDGE_CURVE('',#152249,#152250,#73366,.T.); #187693=EDGE_CURVE('',#152251,#152249,#73367,.T.); #187694=EDGE_CURVE('',#152252,#152250,#73368,.T.); #187695=EDGE_CURVE('',#152251,#152252,#73369,.T.); #187696=EDGE_CURVE('',#152253,#152251,#73370,.T.); #187697=EDGE_CURVE('',#152254,#152252,#73371,.T.); #187698=EDGE_CURVE('',#152253,#152254,#73372,.T.); #187699=EDGE_CURVE('',#152255,#152253,#73373,.T.); #187700=EDGE_CURVE('',#152256,#152254,#73374,.T.); #187701=EDGE_CURVE('',#152255,#152256,#73375,.T.); #187702=EDGE_CURVE('',#152257,#152255,#73376,.T.); #187703=EDGE_CURVE('',#152258,#152256,#73377,.T.); #187704=EDGE_CURVE('',#152257,#152258,#73378,.T.); #187705=EDGE_CURVE('',#152259,#152257,#73379,.T.); #187706=EDGE_CURVE('',#152260,#152258,#73380,.T.); #187707=EDGE_CURVE('',#152259,#152260,#73381,.T.); #187708=EDGE_CURVE('',#152261,#152259,#73382,.T.); #187709=EDGE_CURVE('',#152262,#152260,#73383,.T.); #187710=EDGE_CURVE('',#152261,#152262,#73384,.T.); #187711=EDGE_CURVE('',#152263,#152261,#73385,.T.); #187712=EDGE_CURVE('',#152264,#152262,#73386,.T.); #187713=EDGE_CURVE('',#152263,#152264,#73387,.T.); #187714=EDGE_CURVE('',#152265,#152263,#73388,.T.); #187715=EDGE_CURVE('',#152266,#152264,#73389,.T.); #187716=EDGE_CURVE('',#152265,#152266,#73390,.T.); #187717=EDGE_CURVE('',#152267,#152265,#73391,.T.); #187718=EDGE_CURVE('',#152268,#152266,#73392,.T.); #187719=EDGE_CURVE('',#152267,#152268,#73393,.T.); #187720=EDGE_CURVE('',#152269,#152267,#73394,.T.); #187721=EDGE_CURVE('',#152270,#152268,#73395,.T.); #187722=EDGE_CURVE('',#152269,#152270,#73396,.T.); #187723=EDGE_CURVE('',#152271,#152269,#73397,.T.); #187724=EDGE_CURVE('',#152272,#152270,#73398,.T.); #187725=EDGE_CURVE('',#152271,#152272,#73399,.T.); #187726=EDGE_CURVE('',#152273,#152271,#73400,.T.); #187727=EDGE_CURVE('',#152274,#152272,#73401,.T.); #187728=EDGE_CURVE('',#152273,#152274,#73402,.T.); #187729=EDGE_CURVE('',#152275,#152273,#73403,.T.); #187730=EDGE_CURVE('',#152276,#152274,#73404,.T.); #187731=EDGE_CURVE('',#152275,#152276,#73405,.T.); #187732=EDGE_CURVE('',#152277,#152275,#73406,.T.); #187733=EDGE_CURVE('',#152278,#152276,#73407,.T.); #187734=EDGE_CURVE('',#152277,#152278,#73408,.T.); #187735=EDGE_CURVE('',#152279,#152277,#73409,.T.); #187736=EDGE_CURVE('',#152280,#152278,#73410,.T.); #187737=EDGE_CURVE('',#152279,#152280,#73411,.T.); #187738=EDGE_CURVE('',#152281,#152279,#73412,.T.); #187739=EDGE_CURVE('',#152282,#152280,#73413,.T.); #187740=EDGE_CURVE('',#152281,#152282,#73414,.T.); #187741=EDGE_CURVE('',#152283,#152281,#73415,.T.); #187742=EDGE_CURVE('',#152284,#152282,#73416,.T.); #187743=EDGE_CURVE('',#152283,#152284,#73417,.T.); #187744=EDGE_CURVE('',#152285,#152283,#73418,.T.); #187745=EDGE_CURVE('',#152286,#152284,#73419,.T.); #187746=EDGE_CURVE('',#152285,#152286,#73420,.T.); #187747=EDGE_CURVE('',#152287,#152285,#73421,.T.); #187748=EDGE_CURVE('',#152288,#152286,#73422,.T.); #187749=EDGE_CURVE('',#152287,#152288,#73423,.T.); #187750=EDGE_CURVE('',#152289,#152287,#73424,.T.); #187751=EDGE_CURVE('',#152290,#152288,#73425,.T.); #187752=EDGE_CURVE('',#152289,#152290,#73426,.T.); #187753=EDGE_CURVE('',#152291,#152289,#73427,.T.); #187754=EDGE_CURVE('',#152292,#152290,#73428,.T.); #187755=EDGE_CURVE('',#152291,#152292,#73429,.T.); #187756=EDGE_CURVE('',#152293,#152291,#73430,.T.); #187757=EDGE_CURVE('',#152294,#152292,#73431,.T.); #187758=EDGE_CURVE('',#152293,#152294,#73432,.T.); #187759=EDGE_CURVE('',#152295,#152293,#73433,.T.); #187760=EDGE_CURVE('',#152296,#152294,#73434,.T.); #187761=EDGE_CURVE('',#152295,#152296,#73435,.T.); #187762=EDGE_CURVE('',#152297,#152295,#73436,.T.); #187763=EDGE_CURVE('',#152298,#152296,#73437,.T.); #187764=EDGE_CURVE('',#152297,#152298,#73438,.T.); #187765=EDGE_CURVE('',#152299,#152297,#73439,.T.); #187766=EDGE_CURVE('',#152300,#152298,#73440,.T.); #187767=EDGE_CURVE('',#152299,#152300,#73441,.T.); #187768=EDGE_CURVE('',#152301,#152299,#73442,.T.); #187769=EDGE_CURVE('',#152302,#152300,#73443,.T.); #187770=EDGE_CURVE('',#152301,#152302,#73444,.T.); #187771=EDGE_CURVE('',#152303,#152301,#73445,.T.); #187772=EDGE_CURVE('',#152304,#152302,#73446,.T.); #187773=EDGE_CURVE('',#152303,#152304,#73447,.T.); #187774=EDGE_CURVE('',#152305,#152303,#73448,.T.); #187775=EDGE_CURVE('',#152306,#152304,#73449,.T.); #187776=EDGE_CURVE('',#152305,#152306,#73450,.T.); #187777=EDGE_CURVE('',#152307,#152305,#73451,.T.); #187778=EDGE_CURVE('',#152308,#152306,#73452,.T.); #187779=EDGE_CURVE('',#152307,#152308,#73453,.T.); #187780=EDGE_CURVE('',#152309,#152307,#73454,.T.); #187781=EDGE_CURVE('',#152310,#152308,#73455,.T.); #187782=EDGE_CURVE('',#152309,#152310,#73456,.T.); #187783=EDGE_CURVE('',#152311,#152309,#73457,.T.); #187784=EDGE_CURVE('',#152312,#152310,#73458,.T.); #187785=EDGE_CURVE('',#152311,#152312,#73459,.T.); #187786=EDGE_CURVE('',#152313,#152311,#73460,.T.); #187787=EDGE_CURVE('',#152314,#152312,#73461,.T.); #187788=EDGE_CURVE('',#152313,#152314,#73462,.T.); #187789=EDGE_CURVE('',#152315,#152313,#73463,.T.); #187790=EDGE_CURVE('',#152316,#152314,#73464,.T.); #187791=EDGE_CURVE('',#152315,#152316,#73465,.T.); #187792=EDGE_CURVE('',#152317,#152315,#73466,.T.); #187793=EDGE_CURVE('',#152318,#152316,#73467,.T.); #187794=EDGE_CURVE('',#152317,#152318,#73468,.T.); #187795=EDGE_CURVE('',#152319,#152317,#73469,.T.); #187796=EDGE_CURVE('',#152320,#152318,#73470,.T.); #187797=EDGE_CURVE('',#152319,#152320,#73471,.T.); #187798=EDGE_CURVE('',#152321,#152319,#73472,.T.); #187799=EDGE_CURVE('',#152322,#152320,#73473,.T.); #187800=EDGE_CURVE('',#152321,#152322,#73474,.T.); #187801=EDGE_CURVE('',#152323,#152321,#73475,.T.); #187802=EDGE_CURVE('',#152324,#152322,#73476,.T.); #187803=EDGE_CURVE('',#152323,#152324,#73477,.T.); #187804=EDGE_CURVE('',#152325,#152323,#73478,.T.); #187805=EDGE_CURVE('',#152326,#152324,#73479,.T.); #187806=EDGE_CURVE('',#152325,#152326,#73480,.T.); #187807=EDGE_CURVE('',#152327,#152325,#73481,.T.); #187808=EDGE_CURVE('',#152328,#152326,#73482,.T.); #187809=EDGE_CURVE('',#152327,#152328,#73483,.T.); #187810=EDGE_CURVE('',#152329,#152327,#73484,.T.); #187811=EDGE_CURVE('',#152330,#152328,#73485,.T.); #187812=EDGE_CURVE('',#152329,#152330,#73486,.T.); #187813=EDGE_CURVE('',#152331,#152329,#73487,.T.); #187814=EDGE_CURVE('',#152332,#152330,#73488,.T.); #187815=EDGE_CURVE('',#152331,#152332,#73489,.T.); #187816=EDGE_CURVE('',#152333,#152331,#136187,.T.); #187817=EDGE_CURVE('',#152334,#152332,#136188,.T.); #187818=EDGE_CURVE('',#152333,#152334,#73490,.T.); #187819=EDGE_CURVE('',#152335,#152333,#73491,.T.); #187820=EDGE_CURVE('',#152336,#152334,#73492,.T.); #187821=EDGE_CURVE('',#152335,#152336,#73493,.T.); #187822=EDGE_CURVE('',#152337,#152335,#136189,.T.); #187823=EDGE_CURVE('',#152338,#152336,#136190,.T.); #187824=EDGE_CURVE('',#152337,#152338,#73494,.T.); #187825=EDGE_CURVE('',#152339,#152337,#73495,.T.); #187826=EDGE_CURVE('',#152340,#152338,#73496,.T.); #187827=EDGE_CURVE('',#152339,#152340,#73497,.T.); #187828=EDGE_CURVE('',#152341,#152339,#73498,.T.); #187829=EDGE_CURVE('',#152342,#152340,#73499,.T.); #187830=EDGE_CURVE('',#152341,#152342,#73500,.T.); #187831=EDGE_CURVE('',#152343,#152341,#73501,.T.); #187832=EDGE_CURVE('',#152344,#152342,#73502,.T.); #187833=EDGE_CURVE('',#152343,#152344,#73503,.T.); #187834=EDGE_CURVE('',#152345,#152343,#73504,.T.); #187835=EDGE_CURVE('',#152346,#152344,#73505,.T.); #187836=EDGE_CURVE('',#152345,#152346,#73506,.T.); #187837=EDGE_CURVE('',#152347,#152345,#73507,.T.); #187838=EDGE_CURVE('',#152348,#152346,#73508,.T.); #187839=EDGE_CURVE('',#152347,#152348,#73509,.T.); #187840=EDGE_CURVE('',#152349,#152347,#73510,.T.); #187841=EDGE_CURVE('',#152350,#152348,#73511,.T.); #187842=EDGE_CURVE('',#152349,#152350,#73512,.T.); #187843=EDGE_CURVE('',#152351,#152349,#73513,.T.); #187844=EDGE_CURVE('',#152352,#152350,#73514,.T.); #187845=EDGE_CURVE('',#152351,#152352,#73515,.T.); #187846=EDGE_CURVE('',#152353,#152351,#73516,.T.); #187847=EDGE_CURVE('',#152354,#152352,#73517,.T.); #187848=EDGE_CURVE('',#152353,#152354,#73518,.T.); #187849=EDGE_CURVE('',#152355,#152353,#136191,.T.); #187850=EDGE_CURVE('',#152356,#152354,#136192,.T.); #187851=EDGE_CURVE('',#152355,#152356,#73519,.T.); #187852=EDGE_CURVE('',#152357,#152355,#73520,.T.); #187853=EDGE_CURVE('',#152358,#152356,#73521,.T.); #187854=EDGE_CURVE('',#152357,#152358,#73522,.T.); #187855=EDGE_CURVE('',#152359,#152357,#73523,.T.); #187856=EDGE_CURVE('',#152360,#152358,#73524,.T.); #187857=EDGE_CURVE('',#152359,#152360,#73525,.T.); #187858=EDGE_CURVE('',#152361,#152359,#136193,.T.); #187859=EDGE_CURVE('',#152362,#152360,#136194,.T.); #187860=EDGE_CURVE('',#152361,#152362,#73526,.T.); #187861=EDGE_CURVE('',#152363,#152361,#73527,.T.); #187862=EDGE_CURVE('',#152364,#152362,#73528,.T.); #187863=EDGE_CURVE('',#152363,#152364,#73529,.T.); #187864=EDGE_CURVE('',#152365,#152363,#73530,.T.); #187865=EDGE_CURVE('',#152366,#152364,#73531,.T.); #187866=EDGE_CURVE('',#152365,#152366,#73532,.T.); #187867=EDGE_CURVE('',#152367,#152365,#73533,.T.); #187868=EDGE_CURVE('',#152368,#152366,#73534,.T.); #187869=EDGE_CURVE('',#152367,#152368,#73535,.T.); #187870=EDGE_CURVE('',#152369,#152367,#73536,.T.); #187871=EDGE_CURVE('',#152370,#152368,#73537,.T.); #187872=EDGE_CURVE('',#152369,#152370,#73538,.T.); #187873=EDGE_CURVE('',#152371,#152369,#73539,.T.); #187874=EDGE_CURVE('',#152372,#152370,#73540,.T.); #187875=EDGE_CURVE('',#152371,#152372,#73541,.T.); #187876=EDGE_CURVE('',#152373,#152371,#73542,.T.); #187877=EDGE_CURVE('',#152374,#152372,#73543,.T.); #187878=EDGE_CURVE('',#152373,#152374,#73544,.T.); #187879=EDGE_CURVE('',#152375,#152373,#73545,.T.); #187880=EDGE_CURVE('',#152376,#152374,#73546,.T.); #187881=EDGE_CURVE('',#152375,#152376,#73547,.T.); #187882=EDGE_CURVE('',#152377,#152375,#73548,.T.); #187883=EDGE_CURVE('',#152378,#152376,#73549,.T.); #187884=EDGE_CURVE('',#152377,#152378,#73550,.T.); #187885=EDGE_CURVE('',#152379,#152377,#73551,.T.); #187886=EDGE_CURVE('',#152380,#152378,#73552,.T.); #187887=EDGE_CURVE('',#152379,#152380,#73553,.T.); #187888=EDGE_CURVE('',#152381,#152379,#73554,.T.); #187889=EDGE_CURVE('',#152382,#152380,#73555,.T.); #187890=EDGE_CURVE('',#152381,#152382,#73556,.T.); #187891=EDGE_CURVE('',#152383,#152381,#73557,.T.); #187892=EDGE_CURVE('',#152384,#152382,#73558,.T.); #187893=EDGE_CURVE('',#152383,#152384,#73559,.T.); #187894=EDGE_CURVE('',#152385,#152383,#73560,.T.); #187895=EDGE_CURVE('',#152386,#152384,#73561,.T.); #187896=EDGE_CURVE('',#152385,#152386,#73562,.T.); #187897=EDGE_CURVE('',#152387,#152385,#73563,.T.); #187898=EDGE_CURVE('',#152388,#152386,#73564,.T.); #187899=EDGE_CURVE('',#152387,#152388,#73565,.T.); #187900=EDGE_CURVE('',#152389,#152387,#73566,.T.); #187901=EDGE_CURVE('',#152390,#152388,#73567,.T.); #187902=EDGE_CURVE('',#152389,#152390,#73568,.T.); #187903=EDGE_CURVE('',#152391,#152389,#73569,.T.); #187904=EDGE_CURVE('',#152392,#152390,#73570,.T.); #187905=EDGE_CURVE('',#152391,#152392,#73571,.T.); #187906=EDGE_CURVE('',#152393,#152391,#73572,.T.); #187907=EDGE_CURVE('',#152394,#152392,#73573,.T.); #187908=EDGE_CURVE('',#152393,#152394,#73574,.T.); #187909=EDGE_CURVE('',#152395,#152393,#73575,.T.); #187910=EDGE_CURVE('',#152396,#152394,#73576,.T.); #187911=EDGE_CURVE('',#152395,#152396,#73577,.T.); #187912=EDGE_CURVE('',#152397,#152395,#73578,.T.); #187913=EDGE_CURVE('',#152398,#152396,#73579,.T.); #187914=EDGE_CURVE('',#152397,#152398,#73580,.T.); #187915=EDGE_CURVE('',#152399,#152397,#73581,.T.); #187916=EDGE_CURVE('',#152400,#152398,#73582,.T.); #187917=EDGE_CURVE('',#152399,#152400,#73583,.T.); #187918=EDGE_CURVE('',#152401,#152399,#73584,.T.); #187919=EDGE_CURVE('',#152402,#152400,#73585,.T.); #187920=EDGE_CURVE('',#152401,#152402,#73586,.T.); #187921=EDGE_CURVE('',#152403,#152401,#73587,.T.); #187922=EDGE_CURVE('',#152404,#152402,#73588,.T.); #187923=EDGE_CURVE('',#152403,#152404,#73589,.T.); #187924=EDGE_CURVE('',#152405,#152403,#73590,.T.); #187925=EDGE_CURVE('',#152406,#152404,#73591,.T.); #187926=EDGE_CURVE('',#152405,#152406,#73592,.T.); #187927=EDGE_CURVE('',#152407,#152405,#73593,.T.); #187928=EDGE_CURVE('',#152408,#152406,#73594,.T.); #187929=EDGE_CURVE('',#152407,#152408,#73595,.T.); #187930=EDGE_CURVE('',#152409,#152407,#73596,.T.); #187931=EDGE_CURVE('',#152410,#152408,#73597,.T.); #187932=EDGE_CURVE('',#152409,#152410,#73598,.T.); #187933=EDGE_CURVE('',#152411,#152409,#73599,.T.); #187934=EDGE_CURVE('',#152412,#152410,#73600,.T.); #187935=EDGE_CURVE('',#152411,#152412,#73601,.T.); #187936=EDGE_CURVE('',#152413,#152411,#73602,.T.); #187937=EDGE_CURVE('',#152414,#152412,#73603,.T.); #187938=EDGE_CURVE('',#152413,#152414,#73604,.T.); #187939=EDGE_CURVE('',#152415,#152413,#73605,.T.); #187940=EDGE_CURVE('',#152416,#152414,#73606,.T.); #187941=EDGE_CURVE('',#152415,#152416,#73607,.T.); #187942=EDGE_CURVE('',#152417,#152415,#73608,.T.); #187943=EDGE_CURVE('',#152418,#152416,#73609,.T.); #187944=EDGE_CURVE('',#152417,#152418,#73610,.T.); #187945=EDGE_CURVE('',#152419,#152417,#73611,.T.); #187946=EDGE_CURVE('',#152420,#152418,#73612,.T.); #187947=EDGE_CURVE('',#152419,#152420,#73613,.T.); #187948=EDGE_CURVE('',#152421,#152419,#73614,.T.); #187949=EDGE_CURVE('',#152422,#152420,#73615,.T.); #187950=EDGE_CURVE('',#152421,#152422,#73616,.T.); #187951=EDGE_CURVE('',#152423,#152421,#73617,.T.); #187952=EDGE_CURVE('',#152424,#152422,#73618,.T.); #187953=EDGE_CURVE('',#152423,#152424,#73619,.T.); #187954=EDGE_CURVE('',#152425,#152423,#73620,.T.); #187955=EDGE_CURVE('',#152426,#152424,#73621,.T.); #187956=EDGE_CURVE('',#152425,#152426,#73622,.T.); #187957=EDGE_CURVE('',#152427,#152425,#73623,.T.); #187958=EDGE_CURVE('',#152428,#152426,#73624,.T.); #187959=EDGE_CURVE('',#152427,#152428,#73625,.T.); #187960=EDGE_CURVE('',#152429,#152427,#73626,.T.); #187961=EDGE_CURVE('',#152430,#152428,#73627,.T.); #187962=EDGE_CURVE('',#152429,#152430,#73628,.T.); #187963=EDGE_CURVE('',#152431,#152429,#73629,.T.); #187964=EDGE_CURVE('',#152432,#152430,#73630,.T.); #187965=EDGE_CURVE('',#152431,#152432,#73631,.T.); #187966=EDGE_CURVE('',#152433,#152431,#73632,.T.); #187967=EDGE_CURVE('',#152434,#152432,#73633,.T.); #187968=EDGE_CURVE('',#152433,#152434,#73634,.T.); #187969=EDGE_CURVE('',#152435,#152433,#73635,.T.); #187970=EDGE_CURVE('',#152436,#152434,#73636,.T.); #187971=EDGE_CURVE('',#152435,#152436,#73637,.T.); #187972=EDGE_CURVE('',#152437,#152435,#73638,.T.); #187973=EDGE_CURVE('',#152438,#152436,#73639,.T.); #187974=EDGE_CURVE('',#152437,#152438,#73640,.T.); #187975=EDGE_CURVE('',#152439,#152437,#73641,.T.); #187976=EDGE_CURVE('',#152440,#152438,#73642,.T.); #187977=EDGE_CURVE('',#152439,#152440,#73643,.T.); #187978=EDGE_CURVE('',#152441,#152439,#73644,.T.); #187979=EDGE_CURVE('',#152442,#152440,#73645,.T.); #187980=EDGE_CURVE('',#152441,#152442,#73646,.T.); #187981=EDGE_CURVE('',#152443,#152441,#73647,.T.); #187982=EDGE_CURVE('',#152444,#152442,#73648,.T.); #187983=EDGE_CURVE('',#152443,#152444,#73649,.T.); #187984=EDGE_CURVE('',#152445,#152443,#73650,.T.); #187985=EDGE_CURVE('',#152446,#152444,#73651,.T.); #187986=EDGE_CURVE('',#152445,#152446,#73652,.T.); #187987=EDGE_CURVE('',#152447,#152445,#73653,.T.); #187988=EDGE_CURVE('',#152448,#152446,#73654,.T.); #187989=EDGE_CURVE('',#152447,#152448,#73655,.T.); #187990=EDGE_CURVE('',#152449,#152447,#73656,.T.); #187991=EDGE_CURVE('',#152450,#152448,#73657,.T.); #187992=EDGE_CURVE('',#152449,#152450,#73658,.T.); #187993=EDGE_CURVE('',#152451,#152449,#73659,.T.); #187994=EDGE_CURVE('',#152452,#152450,#73660,.T.); #187995=EDGE_CURVE('',#152451,#152452,#73661,.T.); #187996=EDGE_CURVE('',#152453,#152451,#73662,.T.); #187997=EDGE_CURVE('',#152454,#152452,#73663,.T.); #187998=EDGE_CURVE('',#152453,#152454,#73664,.T.); #187999=EDGE_CURVE('',#152455,#152453,#73665,.T.); #188000=EDGE_CURVE('',#152456,#152454,#73666,.T.); #188001=EDGE_CURVE('',#152455,#152456,#73667,.T.); #188002=EDGE_CURVE('',#152457,#152455,#73668,.T.); #188003=EDGE_CURVE('',#152458,#152456,#73669,.T.); #188004=EDGE_CURVE('',#152457,#152458,#73670,.T.); #188005=EDGE_CURVE('',#152459,#152457,#73671,.T.); #188006=EDGE_CURVE('',#152460,#152458,#73672,.T.); #188007=EDGE_CURVE('',#152459,#152460,#73673,.T.); #188008=EDGE_CURVE('',#152461,#152459,#73674,.T.); #188009=EDGE_CURVE('',#152462,#152460,#73675,.T.); #188010=EDGE_CURVE('',#152461,#152462,#73676,.T.); #188011=EDGE_CURVE('',#152463,#152461,#73677,.T.); #188012=EDGE_CURVE('',#152464,#152462,#73678,.T.); #188013=EDGE_CURVE('',#152463,#152464,#73679,.T.); #188014=EDGE_CURVE('',#152465,#152463,#73680,.T.); #188015=EDGE_CURVE('',#152466,#152464,#73681,.T.); #188016=EDGE_CURVE('',#152465,#152466,#73682,.T.); #188017=EDGE_CURVE('',#152467,#152465,#73683,.T.); #188018=EDGE_CURVE('',#152468,#152466,#73684,.T.); #188019=EDGE_CURVE('',#152467,#152468,#73685,.T.); #188020=EDGE_CURVE('',#152469,#152467,#73686,.T.); #188021=EDGE_CURVE('',#152470,#152468,#73687,.T.); #188022=EDGE_CURVE('',#152469,#152470,#73688,.T.); #188023=EDGE_CURVE('',#152471,#152469,#73689,.T.); #188024=EDGE_CURVE('',#152472,#152470,#73690,.T.); #188025=EDGE_CURVE('',#152471,#152472,#73691,.T.); #188026=EDGE_CURVE('',#152473,#152471,#73692,.T.); #188027=EDGE_CURVE('',#152474,#152472,#73693,.T.); #188028=EDGE_CURVE('',#152473,#152474,#73694,.T.); #188029=EDGE_CURVE('',#152475,#152473,#73695,.T.); #188030=EDGE_CURVE('',#152476,#152474,#73696,.T.); #188031=EDGE_CURVE('',#152475,#152476,#73697,.T.); #188032=EDGE_CURVE('',#152477,#152475,#73698,.T.); #188033=EDGE_CURVE('',#152478,#152476,#73699,.T.); #188034=EDGE_CURVE('',#152477,#152478,#73700,.T.); #188035=EDGE_CURVE('',#152479,#152477,#73701,.T.); #188036=EDGE_CURVE('',#152480,#152478,#73702,.T.); #188037=EDGE_CURVE('',#152479,#152480,#73703,.T.); #188038=EDGE_CURVE('',#152481,#152479,#73704,.T.); #188039=EDGE_CURVE('',#152482,#152480,#73705,.T.); #188040=EDGE_CURVE('',#152481,#152482,#73706,.T.); #188041=EDGE_CURVE('',#152483,#152481,#73707,.T.); #188042=EDGE_CURVE('',#152484,#152482,#73708,.T.); #188043=EDGE_CURVE('',#152483,#152484,#73709,.T.); #188044=EDGE_CURVE('',#152485,#152483,#73710,.T.); #188045=EDGE_CURVE('',#152486,#152484,#73711,.T.); #188046=EDGE_CURVE('',#152485,#152486,#73712,.T.); #188047=EDGE_CURVE('',#152487,#152485,#73713,.T.); #188048=EDGE_CURVE('',#152488,#152486,#73714,.T.); #188049=EDGE_CURVE('',#152487,#152488,#73715,.T.); #188050=EDGE_CURVE('',#152489,#152487,#73716,.T.); #188051=EDGE_CURVE('',#152490,#152488,#73717,.T.); #188052=EDGE_CURVE('',#152489,#152490,#73718,.T.); #188053=EDGE_CURVE('',#152491,#152489,#73719,.T.); #188054=EDGE_CURVE('',#152492,#152490,#73720,.T.); #188055=EDGE_CURVE('',#152491,#152492,#73721,.T.); #188056=EDGE_CURVE('',#152493,#152491,#73722,.T.); #188057=EDGE_CURVE('',#152494,#152492,#73723,.T.); #188058=EDGE_CURVE('',#152493,#152494,#73724,.T.); #188059=EDGE_CURVE('',#152495,#152493,#73725,.T.); #188060=EDGE_CURVE('',#152496,#152494,#73726,.T.); #188061=EDGE_CURVE('',#152495,#152496,#73727,.T.); #188062=EDGE_CURVE('',#152497,#152495,#73728,.T.); #188063=EDGE_CURVE('',#152498,#152496,#73729,.T.); #188064=EDGE_CURVE('',#152497,#152498,#73730,.T.); #188065=EDGE_CURVE('',#152499,#152497,#73731,.T.); #188066=EDGE_CURVE('',#152500,#152498,#73732,.T.); #188067=EDGE_CURVE('',#152499,#152500,#73733,.T.); #188068=EDGE_CURVE('',#152501,#152499,#73734,.T.); #188069=EDGE_CURVE('',#152502,#152500,#73735,.T.); #188070=EDGE_CURVE('',#152501,#152502,#73736,.T.); #188071=EDGE_CURVE('',#152503,#152501,#73737,.T.); #188072=EDGE_CURVE('',#152504,#152502,#73738,.T.); #188073=EDGE_CURVE('',#152503,#152504,#73739,.T.); #188074=EDGE_CURVE('',#152505,#152503,#73740,.T.); #188075=EDGE_CURVE('',#152506,#152504,#73741,.T.); #188076=EDGE_CURVE('',#152505,#152506,#73742,.T.); #188077=EDGE_CURVE('',#152507,#152505,#73743,.T.); #188078=EDGE_CURVE('',#152508,#152506,#73744,.T.); #188079=EDGE_CURVE('',#152507,#152508,#73745,.T.); #188080=EDGE_CURVE('',#152509,#152507,#73746,.T.); #188081=EDGE_CURVE('',#152510,#152508,#73747,.T.); #188082=EDGE_CURVE('',#152509,#152510,#73748,.T.); #188083=EDGE_CURVE('',#152511,#152509,#73749,.T.); #188084=EDGE_CURVE('',#152512,#152510,#73750,.T.); #188085=EDGE_CURVE('',#152511,#152512,#73751,.T.); #188086=EDGE_CURVE('',#152513,#152511,#73752,.T.); #188087=EDGE_CURVE('',#152514,#152512,#73753,.T.); #188088=EDGE_CURVE('',#152513,#152514,#73754,.T.); #188089=EDGE_CURVE('',#152515,#152513,#73755,.T.); #188090=EDGE_CURVE('',#152516,#152514,#73756,.T.); #188091=EDGE_CURVE('',#152515,#152516,#73757,.T.); #188092=EDGE_CURVE('',#152517,#152515,#73758,.T.); #188093=EDGE_CURVE('',#152518,#152516,#73759,.T.); #188094=EDGE_CURVE('',#152517,#152518,#73760,.T.); #188095=EDGE_CURVE('',#152519,#152517,#73761,.T.); #188096=EDGE_CURVE('',#152520,#152518,#73762,.T.); #188097=EDGE_CURVE('',#152519,#152520,#73763,.T.); #188098=EDGE_CURVE('',#152521,#152519,#73764,.T.); #188099=EDGE_CURVE('',#152522,#152520,#73765,.T.); #188100=EDGE_CURVE('',#152521,#152522,#73766,.T.); #188101=EDGE_CURVE('',#152523,#152521,#73767,.T.); #188102=EDGE_CURVE('',#152524,#152522,#73768,.T.); #188103=EDGE_CURVE('',#152523,#152524,#73769,.T.); #188104=EDGE_CURVE('',#152525,#152523,#73770,.T.); #188105=EDGE_CURVE('',#152526,#152524,#73771,.T.); #188106=EDGE_CURVE('',#152525,#152526,#73772,.T.); #188107=EDGE_CURVE('',#152527,#152525,#73773,.T.); #188108=EDGE_CURVE('',#152528,#152526,#73774,.T.); #188109=EDGE_CURVE('',#152527,#152528,#73775,.T.); #188110=EDGE_CURVE('',#151934,#152527,#73776,.T.); #188111=EDGE_CURVE('',#151935,#152528,#73777,.T.); #188112=EDGE_CURVE('',#152529,#152530,#73778,.T.); #188113=EDGE_CURVE('',#152530,#152531,#73779,.T.); #188114=EDGE_CURVE('',#152532,#152531,#73780,.T.); #188115=EDGE_CURVE('',#152529,#152532,#73781,.T.); #188116=EDGE_CURVE('',#152533,#152529,#73782,.T.); #188117=EDGE_CURVE('',#152534,#152532,#73783,.T.); #188118=EDGE_CURVE('',#152533,#152534,#73784,.T.); #188119=EDGE_CURVE('',#152535,#152533,#73785,.T.); #188120=EDGE_CURVE('',#152536,#152534,#73786,.T.); #188121=EDGE_CURVE('',#152535,#152536,#73787,.T.); #188122=EDGE_CURVE('',#152537,#152535,#73788,.T.); #188123=EDGE_CURVE('',#152538,#152536,#73789,.T.); #188124=EDGE_CURVE('',#152537,#152538,#73790,.T.); #188125=EDGE_CURVE('',#152539,#152537,#73791,.T.); #188126=EDGE_CURVE('',#152540,#152538,#73792,.T.); #188127=EDGE_CURVE('',#152539,#152540,#73793,.T.); #188128=EDGE_CURVE('',#152541,#152539,#73794,.T.); #188129=EDGE_CURVE('',#152542,#152540,#73795,.T.); #188130=EDGE_CURVE('',#152541,#152542,#73796,.T.); #188131=EDGE_CURVE('',#152543,#152541,#73797,.T.); #188132=EDGE_CURVE('',#152544,#152542,#73798,.T.); #188133=EDGE_CURVE('',#152543,#152544,#73799,.T.); #188134=EDGE_CURVE('',#152545,#152543,#73800,.T.); #188135=EDGE_CURVE('',#152546,#152544,#73801,.T.); #188136=EDGE_CURVE('',#152545,#152546,#73802,.T.); #188137=EDGE_CURVE('',#152547,#152545,#73803,.T.); #188138=EDGE_CURVE('',#152548,#152546,#73804,.T.); #188139=EDGE_CURVE('',#152547,#152548,#73805,.T.); #188140=EDGE_CURVE('',#152549,#152547,#73806,.T.); #188141=EDGE_CURVE('',#152550,#152548,#73807,.T.); #188142=EDGE_CURVE('',#152549,#152550,#73808,.T.); #188143=EDGE_CURVE('',#152551,#152549,#73809,.T.); #188144=EDGE_CURVE('',#152552,#152550,#73810,.T.); #188145=EDGE_CURVE('',#152551,#152552,#73811,.T.); #188146=EDGE_CURVE('',#152553,#152551,#73812,.T.); #188147=EDGE_CURVE('',#152554,#152552,#73813,.T.); #188148=EDGE_CURVE('',#152553,#152554,#73814,.T.); #188149=EDGE_CURVE('',#152555,#152553,#73815,.T.); #188150=EDGE_CURVE('',#152556,#152554,#73816,.T.); #188151=EDGE_CURVE('',#152555,#152556,#73817,.T.); #188152=EDGE_CURVE('',#152557,#152555,#73818,.T.); #188153=EDGE_CURVE('',#152558,#152556,#73819,.T.); #188154=EDGE_CURVE('',#152557,#152558,#73820,.T.); #188155=EDGE_CURVE('',#152559,#152557,#73821,.T.); #188156=EDGE_CURVE('',#152560,#152558,#73822,.T.); #188157=EDGE_CURVE('',#152559,#152560,#73823,.T.); #188158=EDGE_CURVE('',#152561,#152559,#73824,.T.); #188159=EDGE_CURVE('',#152562,#152560,#73825,.T.); #188160=EDGE_CURVE('',#152561,#152562,#73826,.T.); #188161=EDGE_CURVE('',#152563,#152561,#73827,.T.); #188162=EDGE_CURVE('',#152564,#152562,#73828,.T.); #188163=EDGE_CURVE('',#152563,#152564,#73829,.T.); #188164=EDGE_CURVE('',#152565,#152563,#73830,.T.); #188165=EDGE_CURVE('',#152566,#152564,#73831,.T.); #188166=EDGE_CURVE('',#152565,#152566,#73832,.T.); #188167=EDGE_CURVE('',#152567,#152565,#73833,.T.); #188168=EDGE_CURVE('',#152568,#152566,#73834,.T.); #188169=EDGE_CURVE('',#152567,#152568,#73835,.T.); #188170=EDGE_CURVE('',#152530,#152567,#73836,.T.); #188171=EDGE_CURVE('',#152531,#152568,#73837,.T.); #188172=EDGE_CURVE('',#152569,#152570,#73838,.T.); #188173=EDGE_CURVE('',#152570,#152571,#73839,.T.); #188174=EDGE_CURVE('',#152572,#152571,#73840,.T.); #188175=EDGE_CURVE('',#152569,#152572,#73841,.T.); #188176=EDGE_CURVE('',#152573,#152569,#73842,.T.); #188177=EDGE_CURVE('',#152574,#152572,#73843,.T.); #188178=EDGE_CURVE('',#152573,#152574,#73844,.T.); #188179=EDGE_CURVE('',#152575,#152573,#73845,.T.); #188180=EDGE_CURVE('',#152576,#152574,#73846,.T.); #188181=EDGE_CURVE('',#152575,#152576,#73847,.T.); #188182=EDGE_CURVE('',#152577,#152575,#73848,.T.); #188183=EDGE_CURVE('',#152578,#152576,#73849,.T.); #188184=EDGE_CURVE('',#152577,#152578,#73850,.T.); #188185=EDGE_CURVE('',#152579,#152577,#73851,.T.); #188186=EDGE_CURVE('',#152580,#152578,#73852,.T.); #188187=EDGE_CURVE('',#152579,#152580,#73853,.T.); #188188=EDGE_CURVE('',#152581,#152579,#73854,.T.); #188189=EDGE_CURVE('',#152582,#152580,#73855,.T.); #188190=EDGE_CURVE('',#152581,#152582,#73856,.T.); #188191=EDGE_CURVE('',#152583,#152581,#73857,.T.); #188192=EDGE_CURVE('',#152584,#152582,#73858,.T.); #188193=EDGE_CURVE('',#152583,#152584,#73859,.T.); #188194=EDGE_CURVE('',#152585,#152583,#73860,.T.); #188195=EDGE_CURVE('',#152586,#152584,#73861,.T.); #188196=EDGE_CURVE('',#152585,#152586,#73862,.T.); #188197=EDGE_CURVE('',#152587,#152585,#73863,.T.); #188198=EDGE_CURVE('',#152588,#152586,#73864,.T.); #188199=EDGE_CURVE('',#152587,#152588,#73865,.T.); #188200=EDGE_CURVE('',#152589,#152587,#73866,.T.); #188201=EDGE_CURVE('',#152590,#152588,#73867,.T.); #188202=EDGE_CURVE('',#152589,#152590,#73868,.T.); #188203=EDGE_CURVE('',#152591,#152589,#73869,.T.); #188204=EDGE_CURVE('',#152592,#152590,#73870,.T.); #188205=EDGE_CURVE('',#152591,#152592,#73871,.T.); #188206=EDGE_CURVE('',#152593,#152591,#73872,.T.); #188207=EDGE_CURVE('',#152594,#152592,#73873,.T.); #188208=EDGE_CURVE('',#152593,#152594,#73874,.T.); #188209=EDGE_CURVE('',#152595,#152593,#73875,.T.); #188210=EDGE_CURVE('',#152596,#152594,#73876,.T.); #188211=EDGE_CURVE('',#152595,#152596,#73877,.T.); #188212=EDGE_CURVE('',#152597,#152595,#73878,.T.); #188213=EDGE_CURVE('',#152598,#152596,#73879,.T.); #188214=EDGE_CURVE('',#152597,#152598,#73880,.T.); #188215=EDGE_CURVE('',#152599,#152597,#73881,.T.); #188216=EDGE_CURVE('',#152600,#152598,#73882,.T.); #188217=EDGE_CURVE('',#152599,#152600,#73883,.T.); #188218=EDGE_CURVE('',#152601,#152599,#73884,.T.); #188219=EDGE_CURVE('',#152602,#152600,#73885,.T.); #188220=EDGE_CURVE('',#152601,#152602,#73886,.T.); #188221=EDGE_CURVE('',#152603,#152601,#73887,.T.); #188222=EDGE_CURVE('',#152604,#152602,#73888,.T.); #188223=EDGE_CURVE('',#152603,#152604,#73889,.T.); #188224=EDGE_CURVE('',#152605,#152603,#73890,.T.); #188225=EDGE_CURVE('',#152606,#152604,#73891,.T.); #188226=EDGE_CURVE('',#152605,#152606,#73892,.T.); #188227=EDGE_CURVE('',#152607,#152605,#73893,.T.); #188228=EDGE_CURVE('',#152608,#152606,#73894,.T.); #188229=EDGE_CURVE('',#152607,#152608,#73895,.T.); #188230=EDGE_CURVE('',#152570,#152607,#73896,.T.); #188231=EDGE_CURVE('',#152571,#152608,#73897,.T.); #188232=EDGE_CURVE('',#152609,#152610,#73898,.T.); #188233=EDGE_CURVE('',#152610,#152611,#73899,.T.); #188234=EDGE_CURVE('',#152612,#152611,#73900,.T.); #188235=EDGE_CURVE('',#152609,#152612,#73901,.T.); #188236=EDGE_CURVE('',#152613,#152609,#73902,.T.); #188237=EDGE_CURVE('',#152614,#152612,#73903,.T.); #188238=EDGE_CURVE('',#152613,#152614,#73904,.T.); #188239=EDGE_CURVE('',#152615,#152613,#73905,.T.); #188240=EDGE_CURVE('',#152616,#152614,#73906,.T.); #188241=EDGE_CURVE('',#152615,#152616,#73907,.T.); #188242=EDGE_CURVE('',#152617,#152615,#73908,.T.); #188243=EDGE_CURVE('',#152618,#152616,#73909,.T.); #188244=EDGE_CURVE('',#152617,#152618,#73910,.T.); #188245=EDGE_CURVE('',#152619,#152617,#73911,.T.); #188246=EDGE_CURVE('',#152620,#152618,#73912,.T.); #188247=EDGE_CURVE('',#152619,#152620,#73913,.T.); #188248=EDGE_CURVE('',#152621,#152619,#73914,.T.); #188249=EDGE_CURVE('',#152622,#152620,#73915,.T.); #188250=EDGE_CURVE('',#152621,#152622,#73916,.T.); #188251=EDGE_CURVE('',#152623,#152621,#73917,.T.); #188252=EDGE_CURVE('',#152624,#152622,#73918,.T.); #188253=EDGE_CURVE('',#152623,#152624,#73919,.T.); #188254=EDGE_CURVE('',#152625,#152623,#73920,.T.); #188255=EDGE_CURVE('',#152626,#152624,#73921,.T.); #188256=EDGE_CURVE('',#152625,#152626,#73922,.T.); #188257=EDGE_CURVE('',#152627,#152625,#73923,.T.); #188258=EDGE_CURVE('',#152628,#152626,#73924,.T.); #188259=EDGE_CURVE('',#152627,#152628,#73925,.T.); #188260=EDGE_CURVE('',#152629,#152627,#73926,.T.); #188261=EDGE_CURVE('',#152630,#152628,#73927,.T.); #188262=EDGE_CURVE('',#152629,#152630,#73928,.T.); #188263=EDGE_CURVE('',#152631,#152629,#73929,.T.); #188264=EDGE_CURVE('',#152632,#152630,#73930,.T.); #188265=EDGE_CURVE('',#152631,#152632,#73931,.T.); #188266=EDGE_CURVE('',#152633,#152631,#73932,.T.); #188267=EDGE_CURVE('',#152634,#152632,#73933,.T.); #188268=EDGE_CURVE('',#152633,#152634,#73934,.T.); #188269=EDGE_CURVE('',#152635,#152633,#73935,.T.); #188270=EDGE_CURVE('',#152636,#152634,#73936,.T.); #188271=EDGE_CURVE('',#152635,#152636,#73937,.T.); #188272=EDGE_CURVE('',#152637,#152635,#73938,.T.); #188273=EDGE_CURVE('',#152638,#152636,#73939,.T.); #188274=EDGE_CURVE('',#152637,#152638,#73940,.T.); #188275=EDGE_CURVE('',#152639,#152637,#73941,.T.); #188276=EDGE_CURVE('',#152640,#152638,#73942,.T.); #188277=EDGE_CURVE('',#152639,#152640,#73943,.T.); #188278=EDGE_CURVE('',#152641,#152639,#73944,.T.); #188279=EDGE_CURVE('',#152642,#152640,#73945,.T.); #188280=EDGE_CURVE('',#152641,#152642,#73946,.T.); #188281=EDGE_CURVE('',#152643,#152641,#73947,.T.); #188282=EDGE_CURVE('',#152644,#152642,#73948,.T.); #188283=EDGE_CURVE('',#152643,#152644,#73949,.T.); #188284=EDGE_CURVE('',#152645,#152643,#73950,.T.); #188285=EDGE_CURVE('',#152646,#152644,#73951,.T.); #188286=EDGE_CURVE('',#152645,#152646,#73952,.T.); #188287=EDGE_CURVE('',#152647,#152645,#73953,.T.); #188288=EDGE_CURVE('',#152648,#152646,#73954,.T.); #188289=EDGE_CURVE('',#152647,#152648,#73955,.T.); #188290=EDGE_CURVE('',#152610,#152647,#73956,.T.); #188291=EDGE_CURVE('',#152611,#152648,#73957,.T.); #188292=EDGE_CURVE('',#152649,#152650,#73958,.T.); #188293=EDGE_CURVE('',#152650,#152651,#73959,.T.); #188294=EDGE_CURVE('',#152652,#152651,#73960,.T.); #188295=EDGE_CURVE('',#152649,#152652,#73961,.T.); #188296=EDGE_CURVE('',#152653,#152649,#73962,.T.); #188297=EDGE_CURVE('',#152654,#152652,#73963,.T.); #188298=EDGE_CURVE('',#152653,#152654,#73964,.T.); #188299=EDGE_CURVE('',#152655,#152653,#73965,.T.); #188300=EDGE_CURVE('',#152656,#152654,#73966,.T.); #188301=EDGE_CURVE('',#152655,#152656,#73967,.T.); #188302=EDGE_CURVE('',#152657,#152655,#73968,.T.); #188303=EDGE_CURVE('',#152658,#152656,#73969,.T.); #188304=EDGE_CURVE('',#152657,#152658,#73970,.T.); #188305=EDGE_CURVE('',#152659,#152657,#73971,.T.); #188306=EDGE_CURVE('',#152660,#152658,#73972,.T.); #188307=EDGE_CURVE('',#152659,#152660,#73973,.T.); #188308=EDGE_CURVE('',#152661,#152659,#73974,.T.); #188309=EDGE_CURVE('',#152662,#152660,#73975,.T.); #188310=EDGE_CURVE('',#152661,#152662,#73976,.T.); #188311=EDGE_CURVE('',#152663,#152661,#73977,.T.); #188312=EDGE_CURVE('',#152664,#152662,#73978,.T.); #188313=EDGE_CURVE('',#152663,#152664,#73979,.T.); #188314=EDGE_CURVE('',#152665,#152663,#73980,.T.); #188315=EDGE_CURVE('',#152666,#152664,#73981,.T.); #188316=EDGE_CURVE('',#152665,#152666,#73982,.T.); #188317=EDGE_CURVE('',#152667,#152665,#73983,.T.); #188318=EDGE_CURVE('',#152668,#152666,#73984,.T.); #188319=EDGE_CURVE('',#152667,#152668,#73985,.T.); #188320=EDGE_CURVE('',#152669,#152667,#73986,.T.); #188321=EDGE_CURVE('',#152670,#152668,#73987,.T.); #188322=EDGE_CURVE('',#152669,#152670,#73988,.T.); #188323=EDGE_CURVE('',#152671,#152669,#73989,.T.); #188324=EDGE_CURVE('',#152672,#152670,#73990,.T.); #188325=EDGE_CURVE('',#152671,#152672,#73991,.T.); #188326=EDGE_CURVE('',#152673,#152671,#73992,.T.); #188327=EDGE_CURVE('',#152674,#152672,#73993,.T.); #188328=EDGE_CURVE('',#152673,#152674,#73994,.T.); #188329=EDGE_CURVE('',#152675,#152673,#73995,.T.); #188330=EDGE_CURVE('',#152676,#152674,#73996,.T.); #188331=EDGE_CURVE('',#152675,#152676,#73997,.T.); #188332=EDGE_CURVE('',#152677,#152675,#73998,.T.); #188333=EDGE_CURVE('',#152678,#152676,#73999,.T.); #188334=EDGE_CURVE('',#152677,#152678,#74000,.T.); #188335=EDGE_CURVE('',#152679,#152677,#74001,.T.); #188336=EDGE_CURVE('',#152680,#152678,#74002,.T.); #188337=EDGE_CURVE('',#152679,#152680,#74003,.T.); #188338=EDGE_CURVE('',#152681,#152679,#74004,.T.); #188339=EDGE_CURVE('',#152682,#152680,#74005,.T.); #188340=EDGE_CURVE('',#152681,#152682,#74006,.T.); #188341=EDGE_CURVE('',#152683,#152681,#74007,.T.); #188342=EDGE_CURVE('',#152684,#152682,#74008,.T.); #188343=EDGE_CURVE('',#152683,#152684,#74009,.T.); #188344=EDGE_CURVE('',#152685,#152683,#74010,.T.); #188345=EDGE_CURVE('',#152686,#152684,#74011,.T.); #188346=EDGE_CURVE('',#152685,#152686,#74012,.T.); #188347=EDGE_CURVE('',#152687,#152685,#74013,.T.); #188348=EDGE_CURVE('',#152688,#152686,#74014,.T.); #188349=EDGE_CURVE('',#152687,#152688,#74015,.T.); #188350=EDGE_CURVE('',#152689,#152687,#74016,.T.); #188351=EDGE_CURVE('',#152690,#152688,#74017,.T.); #188352=EDGE_CURVE('',#152689,#152690,#74018,.T.); #188353=EDGE_CURVE('',#152691,#152689,#74019,.T.); #188354=EDGE_CURVE('',#152692,#152690,#74020,.T.); #188355=EDGE_CURVE('',#152691,#152692,#74021,.T.); #188356=EDGE_CURVE('',#152693,#152691,#74022,.T.); #188357=EDGE_CURVE('',#152694,#152692,#74023,.T.); #188358=EDGE_CURVE('',#152693,#152694,#74024,.T.); #188359=EDGE_CURVE('',#152650,#152693,#74025,.T.); #188360=EDGE_CURVE('',#152651,#152694,#74026,.T.); #188361=EDGE_CURVE('',#152695,#152696,#74027,.T.); #188362=EDGE_CURVE('',#152696,#152697,#74028,.T.); #188363=EDGE_CURVE('',#152698,#152697,#74029,.T.); #188364=EDGE_CURVE('',#152695,#152698,#74030,.T.); #188365=EDGE_CURVE('',#152699,#152695,#74031,.T.); #188366=EDGE_CURVE('',#152700,#152698,#74032,.T.); #188367=EDGE_CURVE('',#152699,#152700,#74033,.T.); #188368=EDGE_CURVE('',#152701,#152699,#74034,.T.); #188369=EDGE_CURVE('',#152702,#152700,#74035,.T.); #188370=EDGE_CURVE('',#152701,#152702,#74036,.T.); #188371=EDGE_CURVE('',#152703,#152701,#74037,.T.); #188372=EDGE_CURVE('',#152704,#152702,#74038,.T.); #188373=EDGE_CURVE('',#152703,#152704,#74039,.T.); #188374=EDGE_CURVE('',#152705,#152703,#74040,.T.); #188375=EDGE_CURVE('',#152706,#152704,#74041,.T.); #188376=EDGE_CURVE('',#152705,#152706,#74042,.T.); #188377=EDGE_CURVE('',#152707,#152705,#74043,.T.); #188378=EDGE_CURVE('',#152708,#152706,#74044,.T.); #188379=EDGE_CURVE('',#152707,#152708,#74045,.T.); #188380=EDGE_CURVE('',#152709,#152707,#74046,.T.); #188381=EDGE_CURVE('',#152710,#152708,#74047,.T.); #188382=EDGE_CURVE('',#152709,#152710,#74048,.T.); #188383=EDGE_CURVE('',#152711,#152709,#74049,.T.); #188384=EDGE_CURVE('',#152712,#152710,#74050,.T.); #188385=EDGE_CURVE('',#152711,#152712,#74051,.T.); #188386=EDGE_CURVE('',#152713,#152711,#74052,.T.); #188387=EDGE_CURVE('',#152714,#152712,#74053,.T.); #188388=EDGE_CURVE('',#152713,#152714,#74054,.T.); #188389=EDGE_CURVE('',#152715,#152713,#74055,.T.); #188390=EDGE_CURVE('',#152716,#152714,#74056,.T.); #188391=EDGE_CURVE('',#152715,#152716,#74057,.T.); #188392=EDGE_CURVE('',#152717,#152715,#74058,.T.); #188393=EDGE_CURVE('',#152718,#152716,#74059,.T.); #188394=EDGE_CURVE('',#152717,#152718,#74060,.T.); #188395=EDGE_CURVE('',#152719,#152717,#74061,.T.); #188396=EDGE_CURVE('',#152720,#152718,#74062,.T.); #188397=EDGE_CURVE('',#152719,#152720,#74063,.T.); #188398=EDGE_CURVE('',#152721,#152719,#74064,.T.); #188399=EDGE_CURVE('',#152722,#152720,#74065,.T.); #188400=EDGE_CURVE('',#152721,#152722,#74066,.T.); #188401=EDGE_CURVE('',#152723,#152721,#74067,.T.); #188402=EDGE_CURVE('',#152724,#152722,#74068,.T.); #188403=EDGE_CURVE('',#152723,#152724,#74069,.T.); #188404=EDGE_CURVE('',#152725,#152723,#74070,.T.); #188405=EDGE_CURVE('',#152726,#152724,#74071,.T.); #188406=EDGE_CURVE('',#152725,#152726,#74072,.T.); #188407=EDGE_CURVE('',#152727,#152725,#74073,.T.); #188408=EDGE_CURVE('',#152728,#152726,#74074,.T.); #188409=EDGE_CURVE('',#152727,#152728,#74075,.T.); #188410=EDGE_CURVE('',#152729,#152727,#74076,.T.); #188411=EDGE_CURVE('',#152730,#152728,#74077,.T.); #188412=EDGE_CURVE('',#152729,#152730,#74078,.T.); #188413=EDGE_CURVE('',#152731,#152729,#74079,.T.); #188414=EDGE_CURVE('',#152732,#152730,#74080,.T.); #188415=EDGE_CURVE('',#152731,#152732,#74081,.T.); #188416=EDGE_CURVE('',#152733,#152731,#74082,.T.); #188417=EDGE_CURVE('',#152734,#152732,#74083,.T.); #188418=EDGE_CURVE('',#152733,#152734,#74084,.T.); #188419=EDGE_CURVE('',#152696,#152733,#74085,.T.); #188420=EDGE_CURVE('',#152697,#152734,#74086,.T.); #188421=EDGE_CURVE('',#152735,#152736,#74087,.T.); #188422=EDGE_CURVE('',#152736,#152737,#74088,.T.); #188423=EDGE_CURVE('',#152738,#152737,#74089,.T.); #188424=EDGE_CURVE('',#152735,#152738,#74090,.T.); #188425=EDGE_CURVE('',#152739,#152735,#74091,.T.); #188426=EDGE_CURVE('',#152740,#152738,#74092,.T.); #188427=EDGE_CURVE('',#152739,#152740,#74093,.T.); #188428=EDGE_CURVE('',#152741,#152739,#74094,.T.); #188429=EDGE_CURVE('',#152742,#152740,#74095,.T.); #188430=EDGE_CURVE('',#152741,#152742,#74096,.T.); #188431=EDGE_CURVE('',#152743,#152741,#74097,.T.); #188432=EDGE_CURVE('',#152744,#152742,#74098,.T.); #188433=EDGE_CURVE('',#152743,#152744,#74099,.T.); #188434=EDGE_CURVE('',#152745,#152743,#74100,.T.); #188435=EDGE_CURVE('',#152746,#152744,#74101,.T.); #188436=EDGE_CURVE('',#152745,#152746,#74102,.T.); #188437=EDGE_CURVE('',#152747,#152745,#74103,.T.); #188438=EDGE_CURVE('',#152748,#152746,#74104,.T.); #188439=EDGE_CURVE('',#152747,#152748,#74105,.T.); #188440=EDGE_CURVE('',#152749,#152747,#74106,.T.); #188441=EDGE_CURVE('',#152750,#152748,#74107,.T.); #188442=EDGE_CURVE('',#152749,#152750,#74108,.T.); #188443=EDGE_CURVE('',#152751,#152749,#74109,.T.); #188444=EDGE_CURVE('',#152752,#152750,#74110,.T.); #188445=EDGE_CURVE('',#152751,#152752,#74111,.T.); #188446=EDGE_CURVE('',#152753,#152751,#74112,.T.); #188447=EDGE_CURVE('',#152754,#152752,#74113,.T.); #188448=EDGE_CURVE('',#152753,#152754,#74114,.T.); #188449=EDGE_CURVE('',#152755,#152753,#74115,.T.); #188450=EDGE_CURVE('',#152756,#152754,#74116,.T.); #188451=EDGE_CURVE('',#152755,#152756,#74117,.T.); #188452=EDGE_CURVE('',#152757,#152755,#74118,.T.); #188453=EDGE_CURVE('',#152758,#152756,#74119,.T.); #188454=EDGE_CURVE('',#152757,#152758,#74120,.T.); #188455=EDGE_CURVE('',#152759,#152757,#74121,.T.); #188456=EDGE_CURVE('',#152760,#152758,#74122,.T.); #188457=EDGE_CURVE('',#152759,#152760,#74123,.T.); #188458=EDGE_CURVE('',#152761,#152759,#74124,.T.); #188459=EDGE_CURVE('',#152762,#152760,#74125,.T.); #188460=EDGE_CURVE('',#152761,#152762,#74126,.T.); #188461=EDGE_CURVE('',#152763,#152761,#74127,.T.); #188462=EDGE_CURVE('',#152764,#152762,#74128,.T.); #188463=EDGE_CURVE('',#152763,#152764,#74129,.T.); #188464=EDGE_CURVE('',#152765,#152763,#74130,.T.); #188465=EDGE_CURVE('',#152766,#152764,#74131,.T.); #188466=EDGE_CURVE('',#152765,#152766,#74132,.T.); #188467=EDGE_CURVE('',#152767,#152765,#74133,.T.); #188468=EDGE_CURVE('',#152768,#152766,#74134,.T.); #188469=EDGE_CURVE('',#152767,#152768,#74135,.T.); #188470=EDGE_CURVE('',#152769,#152767,#74136,.T.); #188471=EDGE_CURVE('',#152770,#152768,#74137,.T.); #188472=EDGE_CURVE('',#152769,#152770,#74138,.T.); #188473=EDGE_CURVE('',#152771,#152769,#74139,.T.); #188474=EDGE_CURVE('',#152772,#152770,#74140,.T.); #188475=EDGE_CURVE('',#152771,#152772,#74141,.T.); #188476=EDGE_CURVE('',#152773,#152771,#74142,.T.); #188477=EDGE_CURVE('',#152774,#152772,#74143,.T.); #188478=EDGE_CURVE('',#152773,#152774,#74144,.T.); #188479=EDGE_CURVE('',#152775,#152773,#74145,.T.); #188480=EDGE_CURVE('',#152776,#152774,#74146,.T.); #188481=EDGE_CURVE('',#152775,#152776,#74147,.T.); #188482=EDGE_CURVE('',#152736,#152775,#74148,.T.); #188483=EDGE_CURVE('',#152737,#152776,#74149,.T.); #188484=EDGE_CURVE('',#152777,#152777,#136195,.T.); #188485=EDGE_CURVE('',#152777,#152778,#74150,.T.); #188486=EDGE_CURVE('',#152778,#152778,#136196,.T.); #188487=EDGE_CURVE('',#152779,#152780,#74151,.T.); #188488=EDGE_CURVE('',#152780,#152781,#74152,.T.); #188489=EDGE_CURVE('',#152782,#152781,#74153,.T.); #188490=EDGE_CURVE('',#152779,#152782,#74154,.T.); #188491=EDGE_CURVE('',#152783,#152779,#136197,.T.); #188492=EDGE_CURVE('',#152784,#152782,#136198,.T.); #188493=EDGE_CURVE('',#152783,#152784,#74155,.T.); #188494=EDGE_CURVE('',#152780,#152783,#136199,.T.); #188495=EDGE_CURVE('',#152781,#152784,#136200,.T.); #188496=EDGE_CURVE('',#152785,#152785,#136201,.T.); #188497=EDGE_CURVE('',#152785,#152786,#74156,.T.); #188498=EDGE_CURVE('',#152786,#152786,#136202,.T.); #188499=EDGE_CURVE('',#152787,#152788,#74157,.T.); #188500=EDGE_CURVE('',#152788,#152789,#74158,.T.); #188501=EDGE_CURVE('',#152790,#152789,#74159,.T.); #188502=EDGE_CURVE('',#152787,#152790,#74160,.T.); #188503=EDGE_CURVE('',#152791,#152787,#136203,.T.); #188504=EDGE_CURVE('',#152792,#152790,#136204,.T.); #188505=EDGE_CURVE('',#152791,#152792,#74161,.T.); #188506=EDGE_CURVE('',#152788,#152791,#136205,.T.); #188507=EDGE_CURVE('',#152789,#152792,#136206,.T.); #188508=EDGE_CURVE('',#152793,#152793,#136207,.T.); #188509=EDGE_CURVE('',#152793,#152794,#74162,.T.); #188510=EDGE_CURVE('',#152794,#152794,#136208,.T.); #188511=EDGE_CURVE('',#152795,#152796,#74163,.T.); #188512=EDGE_CURVE('',#152796,#152797,#74164,.T.); #188513=EDGE_CURVE('',#152798,#152797,#74165,.T.); #188514=EDGE_CURVE('',#152795,#152798,#74166,.T.); #188515=EDGE_CURVE('',#152799,#152795,#136209,.T.); #188516=EDGE_CURVE('',#152800,#152798,#136210,.T.); #188517=EDGE_CURVE('',#152799,#152800,#74167,.T.); #188518=EDGE_CURVE('',#152796,#152799,#136211,.T.); #188519=EDGE_CURVE('',#152797,#152800,#136212,.T.); #188520=EDGE_CURVE('',#152801,#152801,#136213,.T.); #188521=EDGE_CURVE('',#152801,#152802,#74168,.T.); #188522=EDGE_CURVE('',#152802,#152802,#136214,.T.); #188523=EDGE_CURVE('',#152803,#152804,#74169,.T.); #188524=EDGE_CURVE('',#152804,#152805,#74170,.T.); #188525=EDGE_CURVE('',#152806,#152805,#74171,.T.); #188526=EDGE_CURVE('',#152803,#152806,#74172,.T.); #188527=EDGE_CURVE('',#152807,#152803,#136215,.T.); #188528=EDGE_CURVE('',#152808,#152806,#136216,.T.); #188529=EDGE_CURVE('',#152807,#152808,#74173,.T.); #188530=EDGE_CURVE('',#152804,#152807,#136217,.T.); #188531=EDGE_CURVE('',#152805,#152808,#136218,.T.); #188532=EDGE_CURVE('',#152809,#152809,#136219,.T.); #188533=EDGE_CURVE('',#152809,#152810,#74174,.T.); #188534=EDGE_CURVE('',#152810,#152810,#136220,.T.); #188535=EDGE_CURVE('',#152811,#152812,#74175,.T.); #188536=EDGE_CURVE('',#152812,#152813,#74176,.T.); #188537=EDGE_CURVE('',#152814,#152813,#74177,.T.); #188538=EDGE_CURVE('',#152811,#152814,#74178,.T.); #188539=EDGE_CURVE('',#152815,#152811,#74179,.T.); #188540=EDGE_CURVE('',#152816,#152814,#74180,.T.); #188541=EDGE_CURVE('',#152815,#152816,#74181,.T.); #188542=EDGE_CURVE('',#152817,#152815,#74182,.T.); #188543=EDGE_CURVE('',#152818,#152816,#74183,.T.); #188544=EDGE_CURVE('',#152817,#152818,#74184,.T.); #188545=EDGE_CURVE('',#152812,#152817,#74185,.T.); #188546=EDGE_CURVE('',#152813,#152818,#74186,.T.); #188547=EDGE_CURVE('',#152819,#152819,#136221,.T.); #188548=EDGE_CURVE('',#152819,#152820,#74187,.T.); #188549=EDGE_CURVE('',#152820,#152820,#136222,.T.); #188550=EDGE_CURVE('',#152821,#152822,#74188,.T.); #188551=EDGE_CURVE('',#152822,#152823,#74189,.T.); #188552=EDGE_CURVE('',#152824,#152823,#74190,.T.); #188553=EDGE_CURVE('',#152821,#152824,#74191,.T.); #188554=EDGE_CURVE('',#152825,#152821,#136223,.T.); #188555=EDGE_CURVE('',#152826,#152824,#136224,.T.); #188556=EDGE_CURVE('',#152825,#152826,#74192,.T.); #188557=EDGE_CURVE('',#152822,#152825,#136225,.T.); #188558=EDGE_CURVE('',#152823,#152826,#136226,.T.); #188559=EDGE_CURVE('',#152827,#152827,#136227,.T.); #188560=EDGE_CURVE('',#152827,#152828,#74193,.T.); #188561=EDGE_CURVE('',#152828,#152828,#136228,.T.); #188562=EDGE_CURVE('',#152829,#152830,#74194,.T.); #188563=EDGE_CURVE('',#152830,#152831,#74195,.T.); #188564=EDGE_CURVE('',#152832,#152831,#74196,.T.); #188565=EDGE_CURVE('',#152829,#152832,#74197,.T.); #188566=EDGE_CURVE('',#152833,#152829,#136229,.T.); #188567=EDGE_CURVE('',#152834,#152832,#136230,.T.); #188568=EDGE_CURVE('',#152833,#152834,#74198,.T.); #188569=EDGE_CURVE('',#152830,#152833,#136231,.T.); #188570=EDGE_CURVE('',#152831,#152834,#136232,.T.); #188571=EDGE_CURVE('',#152835,#152835,#136233,.T.); #188572=EDGE_CURVE('',#152835,#152836,#74199,.T.); #188573=EDGE_CURVE('',#152836,#152836,#136234,.T.); #188574=EDGE_CURVE('',#152837,#152838,#74200,.T.); #188575=EDGE_CURVE('',#152838,#152839,#74201,.T.); #188576=EDGE_CURVE('',#152840,#152839,#74202,.T.); #188577=EDGE_CURVE('',#152837,#152840,#74203,.T.); #188578=EDGE_CURVE('',#152841,#152837,#136235,.T.); #188579=EDGE_CURVE('',#152842,#152840,#136236,.T.); #188580=EDGE_CURVE('',#152841,#152842,#74204,.T.); #188581=EDGE_CURVE('',#152838,#152841,#136237,.T.); #188582=EDGE_CURVE('',#152839,#152842,#136238,.T.); #188583=EDGE_CURVE('',#152843,#152843,#136239,.T.); #188584=EDGE_CURVE('',#152843,#152844,#74205,.T.); #188585=EDGE_CURVE('',#152844,#152844,#136240,.T.); #188586=EDGE_CURVE('',#152845,#152846,#74206,.T.); #188587=EDGE_CURVE('',#152846,#152847,#74207,.T.); #188588=EDGE_CURVE('',#152848,#152847,#74208,.T.); #188589=EDGE_CURVE('',#152845,#152848,#74209,.T.); #188590=EDGE_CURVE('',#152849,#152845,#136241,.T.); #188591=EDGE_CURVE('',#152850,#152848,#136242,.T.); #188592=EDGE_CURVE('',#152849,#152850,#74210,.T.); #188593=EDGE_CURVE('',#152846,#152849,#136243,.T.); #188594=EDGE_CURVE('',#152847,#152850,#136244,.T.); #188595=EDGE_CURVE('',#152851,#152852,#74211,.T.); #188596=EDGE_CURVE('',#152853,#152851,#74212,.T.); #188597=EDGE_CURVE('',#152854,#152853,#74213,.T.); #188598=EDGE_CURVE('',#152854,#152852,#74214,.T.); #188599=EDGE_CURVE('',#152852,#152855,#136245,.T.); #188600=EDGE_CURVE('',#152856,#152854,#136246,.T.); #188601=EDGE_CURVE('',#152856,#152855,#74215,.T.); #188602=EDGE_CURVE('',#152855,#152857,#74216,.T.); #188603=EDGE_CURVE('',#152858,#152856,#74217,.T.); #188604=EDGE_CURVE('',#152858,#152857,#74218,.T.); #188605=EDGE_CURVE('',#152857,#152851,#136247,.T.); #188606=EDGE_CURVE('',#152853,#152858,#136248,.T.); #188607=EDGE_CURVE('',#152859,#152860,#74219,.T.); #188608=EDGE_CURVE('',#152860,#152861,#74220,.T.); #188609=EDGE_CURVE('',#152862,#152861,#74221,.T.); #188610=EDGE_CURVE('',#152859,#152862,#74222,.T.); #188611=EDGE_CURVE('',#152863,#152859,#74223,.T.); #188612=EDGE_CURVE('',#152864,#152862,#74224,.T.); #188613=EDGE_CURVE('',#152863,#152864,#74225,.T.); #188614=EDGE_CURVE('',#152865,#152863,#74226,.T.); #188615=EDGE_CURVE('',#152866,#152864,#74227,.T.); #188616=EDGE_CURVE('',#152865,#152866,#74228,.T.); #188617=EDGE_CURVE('',#152867,#152865,#74229,.T.); #188618=EDGE_CURVE('',#152868,#152866,#74230,.T.); #188619=EDGE_CURVE('',#152867,#152868,#74231,.T.); #188620=EDGE_CURVE('',#152869,#152867,#74232,.T.); #188621=EDGE_CURVE('',#152870,#152868,#74233,.T.); #188622=EDGE_CURVE('',#152869,#152870,#74234,.T.); #188623=EDGE_CURVE('',#152871,#152869,#74235,.T.); #188624=EDGE_CURVE('',#152872,#152870,#74236,.T.); #188625=EDGE_CURVE('',#152871,#152872,#74237,.T.); #188626=EDGE_CURVE('',#152873,#152871,#74238,.T.); #188627=EDGE_CURVE('',#152874,#152872,#74239,.T.); #188628=EDGE_CURVE('',#152873,#152874,#74240,.T.); #188629=EDGE_CURVE('',#152875,#152873,#74241,.T.); #188630=EDGE_CURVE('',#152876,#152874,#74242,.T.); #188631=EDGE_CURVE('',#152875,#152876,#74243,.T.); #188632=EDGE_CURVE('',#152877,#152875,#74244,.T.); #188633=EDGE_CURVE('',#152878,#152876,#74245,.T.); #188634=EDGE_CURVE('',#152877,#152878,#74246,.T.); #188635=EDGE_CURVE('',#152879,#152877,#74247,.T.); #188636=EDGE_CURVE('',#152880,#152878,#74248,.T.); #188637=EDGE_CURVE('',#152879,#152880,#74249,.T.); #188638=EDGE_CURVE('',#152881,#152879,#74250,.T.); #188639=EDGE_CURVE('',#152882,#152880,#74251,.T.); #188640=EDGE_CURVE('',#152881,#152882,#74252,.T.); #188641=EDGE_CURVE('',#152883,#152881,#74253,.T.); #188642=EDGE_CURVE('',#152884,#152882,#74254,.T.); #188643=EDGE_CURVE('',#152883,#152884,#74255,.T.); #188644=EDGE_CURVE('',#152885,#152883,#74256,.T.); #188645=EDGE_CURVE('',#152886,#152884,#74257,.T.); #188646=EDGE_CURVE('',#152885,#152886,#74258,.T.); #188647=EDGE_CURVE('',#152887,#152885,#74259,.T.); #188648=EDGE_CURVE('',#152888,#152886,#74260,.T.); #188649=EDGE_CURVE('',#152887,#152888,#74261,.T.); #188650=EDGE_CURVE('',#152889,#152887,#74262,.T.); #188651=EDGE_CURVE('',#152890,#152888,#74263,.T.); #188652=EDGE_CURVE('',#152889,#152890,#74264,.T.); #188653=EDGE_CURVE('',#152891,#152889,#74265,.T.); #188654=EDGE_CURVE('',#152892,#152890,#74266,.T.); #188655=EDGE_CURVE('',#152891,#152892,#74267,.T.); #188656=EDGE_CURVE('',#152893,#152891,#74268,.T.); #188657=EDGE_CURVE('',#152894,#152892,#74269,.T.); #188658=EDGE_CURVE('',#152893,#152894,#74270,.T.); #188659=EDGE_CURVE('',#152895,#152893,#74271,.T.); #188660=EDGE_CURVE('',#152896,#152894,#74272,.T.); #188661=EDGE_CURVE('',#152895,#152896,#74273,.T.); #188662=EDGE_CURVE('',#152897,#152895,#74274,.T.); #188663=EDGE_CURVE('',#152898,#152896,#74275,.T.); #188664=EDGE_CURVE('',#152897,#152898,#74276,.T.); #188665=EDGE_CURVE('',#152899,#152897,#74277,.T.); #188666=EDGE_CURVE('',#152900,#152898,#74278,.T.); #188667=EDGE_CURVE('',#152899,#152900,#74279,.T.); #188668=EDGE_CURVE('',#152901,#152899,#74280,.T.); #188669=EDGE_CURVE('',#152902,#152900,#74281,.T.); #188670=EDGE_CURVE('',#152901,#152902,#74282,.T.); #188671=EDGE_CURVE('',#152903,#152901,#74283,.T.); #188672=EDGE_CURVE('',#152904,#152902,#74284,.T.); #188673=EDGE_CURVE('',#152903,#152904,#74285,.T.); #188674=EDGE_CURVE('',#152905,#152903,#74286,.T.); #188675=EDGE_CURVE('',#152906,#152904,#74287,.T.); #188676=EDGE_CURVE('',#152905,#152906,#74288,.T.); #188677=EDGE_CURVE('',#152907,#152905,#74289,.T.); #188678=EDGE_CURVE('',#152908,#152906,#74290,.T.); #188679=EDGE_CURVE('',#152907,#152908,#74291,.T.); #188680=EDGE_CURVE('',#152909,#152907,#74292,.T.); #188681=EDGE_CURVE('',#152910,#152908,#74293,.T.); #188682=EDGE_CURVE('',#152909,#152910,#74294,.T.); #188683=EDGE_CURVE('',#152911,#152909,#74295,.T.); #188684=EDGE_CURVE('',#152912,#152910,#74296,.T.); #188685=EDGE_CURVE('',#152911,#152912,#74297,.T.); #188686=EDGE_CURVE('',#152913,#152911,#74298,.T.); #188687=EDGE_CURVE('',#152914,#152912,#74299,.T.); #188688=EDGE_CURVE('',#152913,#152914,#74300,.T.); #188689=EDGE_CURVE('',#152915,#152913,#74301,.T.); #188690=EDGE_CURVE('',#152916,#152914,#74302,.T.); #188691=EDGE_CURVE('',#152915,#152916,#74303,.T.); #188692=EDGE_CURVE('',#152917,#152915,#74304,.T.); #188693=EDGE_CURVE('',#152918,#152916,#74305,.T.); #188694=EDGE_CURVE('',#152917,#152918,#74306,.T.); #188695=EDGE_CURVE('',#152919,#152917,#74307,.T.); #188696=EDGE_CURVE('',#152920,#152918,#74308,.T.); #188697=EDGE_CURVE('',#152919,#152920,#74309,.T.); #188698=EDGE_CURVE('',#152921,#152919,#74310,.T.); #188699=EDGE_CURVE('',#152922,#152920,#74311,.T.); #188700=EDGE_CURVE('',#152921,#152922,#74312,.T.); #188701=EDGE_CURVE('',#152923,#152921,#74313,.T.); #188702=EDGE_CURVE('',#152924,#152922,#74314,.T.); #188703=EDGE_CURVE('',#152923,#152924,#74315,.T.); #188704=EDGE_CURVE('',#152925,#152923,#74316,.T.); #188705=EDGE_CURVE('',#152926,#152924,#74317,.T.); #188706=EDGE_CURVE('',#152925,#152926,#74318,.T.); #188707=EDGE_CURVE('',#152927,#152925,#74319,.T.); #188708=EDGE_CURVE('',#152928,#152926,#74320,.T.); #188709=EDGE_CURVE('',#152927,#152928,#74321,.T.); #188710=EDGE_CURVE('',#152929,#152927,#74322,.T.); #188711=EDGE_CURVE('',#152930,#152928,#74323,.T.); #188712=EDGE_CURVE('',#152929,#152930,#74324,.T.); #188713=EDGE_CURVE('',#152931,#152929,#74325,.T.); #188714=EDGE_CURVE('',#152932,#152930,#74326,.T.); #188715=EDGE_CURVE('',#152931,#152932,#74327,.T.); #188716=EDGE_CURVE('',#152933,#152931,#74328,.T.); #188717=EDGE_CURVE('',#152934,#152932,#74329,.T.); #188718=EDGE_CURVE('',#152933,#152934,#74330,.T.); #188719=EDGE_CURVE('',#152935,#152933,#74331,.T.); #188720=EDGE_CURVE('',#152936,#152934,#74332,.T.); #188721=EDGE_CURVE('',#152935,#152936,#74333,.T.); #188722=EDGE_CURVE('',#152937,#152935,#74334,.T.); #188723=EDGE_CURVE('',#152938,#152936,#74335,.T.); #188724=EDGE_CURVE('',#152937,#152938,#74336,.T.); #188725=EDGE_CURVE('',#152939,#152937,#74337,.T.); #188726=EDGE_CURVE('',#152940,#152938,#74338,.T.); #188727=EDGE_CURVE('',#152939,#152940,#74339,.T.); #188728=EDGE_CURVE('',#152941,#152939,#74340,.T.); #188729=EDGE_CURVE('',#152942,#152940,#74341,.T.); #188730=EDGE_CURVE('',#152941,#152942,#74342,.T.); #188731=EDGE_CURVE('',#152943,#152941,#74343,.T.); #188732=EDGE_CURVE('',#152944,#152942,#74344,.T.); #188733=EDGE_CURVE('',#152943,#152944,#74345,.T.); #188734=EDGE_CURVE('',#152945,#152943,#74346,.T.); #188735=EDGE_CURVE('',#152946,#152944,#74347,.T.); #188736=EDGE_CURVE('',#152945,#152946,#74348,.T.); #188737=EDGE_CURVE('',#152947,#152945,#74349,.T.); #188738=EDGE_CURVE('',#152948,#152946,#74350,.T.); #188739=EDGE_CURVE('',#152947,#152948,#74351,.T.); #188740=EDGE_CURVE('',#152949,#152947,#74352,.T.); #188741=EDGE_CURVE('',#152950,#152948,#74353,.T.); #188742=EDGE_CURVE('',#152949,#152950,#74354,.T.); #188743=EDGE_CURVE('',#152951,#152949,#74355,.T.); #188744=EDGE_CURVE('',#152952,#152950,#74356,.T.); #188745=EDGE_CURVE('',#152951,#152952,#74357,.T.); #188746=EDGE_CURVE('',#152953,#152951,#74358,.T.); #188747=EDGE_CURVE('',#152954,#152952,#74359,.T.); #188748=EDGE_CURVE('',#152953,#152954,#74360,.T.); #188749=EDGE_CURVE('',#152955,#152953,#74361,.T.); #188750=EDGE_CURVE('',#152956,#152954,#74362,.T.); #188751=EDGE_CURVE('',#152955,#152956,#74363,.T.); #188752=EDGE_CURVE('',#152957,#152955,#74364,.T.); #188753=EDGE_CURVE('',#152958,#152956,#74365,.T.); #188754=EDGE_CURVE('',#152957,#152958,#74366,.T.); #188755=EDGE_CURVE('',#152959,#152957,#74367,.T.); #188756=EDGE_CURVE('',#152960,#152958,#74368,.T.); #188757=EDGE_CURVE('',#152959,#152960,#74369,.T.); #188758=EDGE_CURVE('',#152961,#152959,#74370,.T.); #188759=EDGE_CURVE('',#152962,#152960,#74371,.T.); #188760=EDGE_CURVE('',#152961,#152962,#74372,.T.); #188761=EDGE_CURVE('',#152963,#152961,#74373,.T.); #188762=EDGE_CURVE('',#152964,#152962,#74374,.T.); #188763=EDGE_CURVE('',#152963,#152964,#74375,.T.); #188764=EDGE_CURVE('',#152965,#152963,#74376,.T.); #188765=EDGE_CURVE('',#152966,#152964,#74377,.T.); #188766=EDGE_CURVE('',#152965,#152966,#74378,.T.); #188767=EDGE_CURVE('',#152967,#152965,#74379,.T.); #188768=EDGE_CURVE('',#152968,#152966,#74380,.T.); #188769=EDGE_CURVE('',#152967,#152968,#74381,.T.); #188770=EDGE_CURVE('',#152969,#152967,#74382,.T.); #188771=EDGE_CURVE('',#152970,#152968,#74383,.T.); #188772=EDGE_CURVE('',#152969,#152970,#74384,.T.); #188773=EDGE_CURVE('',#152860,#152969,#74385,.T.); #188774=EDGE_CURVE('',#152861,#152970,#74386,.T.); #188775=EDGE_CURVE('',#152971,#152972,#74387,.T.); #188776=EDGE_CURVE('',#152973,#152971,#74388,.T.); #188777=EDGE_CURVE('',#152974,#152973,#74389,.T.); #188778=EDGE_CURVE('',#152974,#152972,#74390,.T.); #188779=EDGE_CURVE('',#152972,#152975,#136249,.T.); #188780=EDGE_CURVE('',#152976,#152974,#136250,.T.); #188781=EDGE_CURVE('',#152976,#152975,#74391,.T.); #188782=EDGE_CURVE('',#152975,#152977,#74392,.T.); #188783=EDGE_CURVE('',#152978,#152976,#74393,.T.); #188784=EDGE_CURVE('',#152978,#152977,#74394,.T.); #188785=EDGE_CURVE('',#152977,#152971,#136251,.T.); #188786=EDGE_CURVE('',#152973,#152978,#136252,.T.); #188787=EDGE_CURVE('',#152979,#152980,#74395,.T.); #188788=EDGE_CURVE('',#152980,#152981,#74396,.T.); #188789=EDGE_CURVE('',#152982,#152981,#74397,.T.); #188790=EDGE_CURVE('',#152979,#152982,#74398,.T.); #188791=EDGE_CURVE('',#152983,#152979,#74399,.T.); #188792=EDGE_CURVE('',#152984,#152982,#74400,.T.); #188793=EDGE_CURVE('',#152983,#152984,#74401,.T.); #188794=EDGE_CURVE('',#152985,#152983,#74402,.T.); #188795=EDGE_CURVE('',#152986,#152984,#74403,.T.); #188796=EDGE_CURVE('',#152985,#152986,#74404,.T.); #188797=EDGE_CURVE('',#152987,#152985,#74405,.T.); #188798=EDGE_CURVE('',#152988,#152986,#74406,.T.); #188799=EDGE_CURVE('',#152987,#152988,#74407,.T.); #188800=EDGE_CURVE('',#152989,#152987,#74408,.T.); #188801=EDGE_CURVE('',#152990,#152988,#74409,.T.); #188802=EDGE_CURVE('',#152989,#152990,#74410,.T.); #188803=EDGE_CURVE('',#152991,#152989,#74411,.T.); #188804=EDGE_CURVE('',#152992,#152990,#74412,.T.); #188805=EDGE_CURVE('',#152991,#152992,#74413,.T.); #188806=EDGE_CURVE('',#152993,#152991,#74414,.T.); #188807=EDGE_CURVE('',#152994,#152992,#74415,.T.); #188808=EDGE_CURVE('',#152993,#152994,#74416,.T.); #188809=EDGE_CURVE('',#152995,#152993,#74417,.T.); #188810=EDGE_CURVE('',#152996,#152994,#74418,.T.); #188811=EDGE_CURVE('',#152995,#152996,#74419,.T.); #188812=EDGE_CURVE('',#152997,#152995,#74420,.T.); #188813=EDGE_CURVE('',#152998,#152996,#74421,.T.); #188814=EDGE_CURVE('',#152997,#152998,#74422,.T.); #188815=EDGE_CURVE('',#152999,#152997,#74423,.T.); #188816=EDGE_CURVE('',#153000,#152998,#74424,.T.); #188817=EDGE_CURVE('',#152999,#153000,#74425,.T.); #188818=EDGE_CURVE('',#153001,#152999,#74426,.T.); #188819=EDGE_CURVE('',#153002,#153000,#74427,.T.); #188820=EDGE_CURVE('',#153001,#153002,#74428,.T.); #188821=EDGE_CURVE('',#153003,#153001,#74429,.T.); #188822=EDGE_CURVE('',#153004,#153002,#74430,.T.); #188823=EDGE_CURVE('',#153003,#153004,#74431,.T.); #188824=EDGE_CURVE('',#153005,#153003,#74432,.T.); #188825=EDGE_CURVE('',#153006,#153004,#74433,.T.); #188826=EDGE_CURVE('',#153005,#153006,#74434,.T.); #188827=EDGE_CURVE('',#153007,#153005,#74435,.T.); #188828=EDGE_CURVE('',#153008,#153006,#74436,.T.); #188829=EDGE_CURVE('',#153007,#153008,#74437,.T.); #188830=EDGE_CURVE('',#153009,#153007,#74438,.T.); #188831=EDGE_CURVE('',#153010,#153008,#74439,.T.); #188832=EDGE_CURVE('',#153009,#153010,#74440,.T.); #188833=EDGE_CURVE('',#153011,#153009,#74441,.T.); #188834=EDGE_CURVE('',#153012,#153010,#74442,.T.); #188835=EDGE_CURVE('',#153011,#153012,#74443,.T.); #188836=EDGE_CURVE('',#153013,#153011,#74444,.T.); #188837=EDGE_CURVE('',#153014,#153012,#74445,.T.); #188838=EDGE_CURVE('',#153013,#153014,#74446,.T.); #188839=EDGE_CURVE('',#153015,#153013,#74447,.T.); #188840=EDGE_CURVE('',#153016,#153014,#74448,.T.); #188841=EDGE_CURVE('',#153015,#153016,#74449,.T.); #188842=EDGE_CURVE('',#153017,#153015,#74450,.T.); #188843=EDGE_CURVE('',#153018,#153016,#74451,.T.); #188844=EDGE_CURVE('',#153017,#153018,#74452,.T.); #188845=EDGE_CURVE('',#153019,#153017,#74453,.T.); #188846=EDGE_CURVE('',#153020,#153018,#74454,.T.); #188847=EDGE_CURVE('',#153019,#153020,#74455,.T.); #188848=EDGE_CURVE('',#153021,#153019,#74456,.T.); #188849=EDGE_CURVE('',#153022,#153020,#74457,.T.); #188850=EDGE_CURVE('',#153021,#153022,#74458,.T.); #188851=EDGE_CURVE('',#153023,#153021,#74459,.T.); #188852=EDGE_CURVE('',#153024,#153022,#74460,.T.); #188853=EDGE_CURVE('',#153023,#153024,#74461,.T.); #188854=EDGE_CURVE('',#153025,#153023,#74462,.T.); #188855=EDGE_CURVE('',#153026,#153024,#74463,.T.); #188856=EDGE_CURVE('',#153025,#153026,#74464,.T.); #188857=EDGE_CURVE('',#153027,#153025,#74465,.T.); #188858=EDGE_CURVE('',#153028,#153026,#74466,.T.); #188859=EDGE_CURVE('',#153027,#153028,#74467,.T.); #188860=EDGE_CURVE('',#153029,#153027,#74468,.T.); #188861=EDGE_CURVE('',#153030,#153028,#74469,.T.); #188862=EDGE_CURVE('',#153029,#153030,#74470,.T.); #188863=EDGE_CURVE('',#153031,#153029,#74471,.T.); #188864=EDGE_CURVE('',#153032,#153030,#74472,.T.); #188865=EDGE_CURVE('',#153031,#153032,#74473,.T.); #188866=EDGE_CURVE('',#153033,#153031,#74474,.T.); #188867=EDGE_CURVE('',#153034,#153032,#74475,.T.); #188868=EDGE_CURVE('',#153033,#153034,#74476,.T.); #188869=EDGE_CURVE('',#153035,#153033,#74477,.T.); #188870=EDGE_CURVE('',#153036,#153034,#74478,.T.); #188871=EDGE_CURVE('',#153035,#153036,#74479,.T.); #188872=EDGE_CURVE('',#153037,#153035,#74480,.T.); #188873=EDGE_CURVE('',#153038,#153036,#74481,.T.); #188874=EDGE_CURVE('',#153037,#153038,#74482,.T.); #188875=EDGE_CURVE('',#153039,#153037,#74483,.T.); #188876=EDGE_CURVE('',#153040,#153038,#74484,.T.); #188877=EDGE_CURVE('',#153039,#153040,#74485,.T.); #188878=EDGE_CURVE('',#153041,#153039,#74486,.T.); #188879=EDGE_CURVE('',#153042,#153040,#74487,.T.); #188880=EDGE_CURVE('',#153041,#153042,#74488,.T.); #188881=EDGE_CURVE('',#153043,#153041,#74489,.T.); #188882=EDGE_CURVE('',#153044,#153042,#74490,.T.); #188883=EDGE_CURVE('',#153043,#153044,#74491,.T.); #188884=EDGE_CURVE('',#153045,#153043,#74492,.T.); #188885=EDGE_CURVE('',#153046,#153044,#74493,.T.); #188886=EDGE_CURVE('',#153045,#153046,#74494,.T.); #188887=EDGE_CURVE('',#153047,#153045,#74495,.T.); #188888=EDGE_CURVE('',#153048,#153046,#74496,.T.); #188889=EDGE_CURVE('',#153047,#153048,#74497,.T.); #188890=EDGE_CURVE('',#153049,#153047,#74498,.T.); #188891=EDGE_CURVE('',#153050,#153048,#74499,.T.); #188892=EDGE_CURVE('',#153049,#153050,#74500,.T.); #188893=EDGE_CURVE('',#153051,#153049,#74501,.T.); #188894=EDGE_CURVE('',#153052,#153050,#74502,.T.); #188895=EDGE_CURVE('',#153051,#153052,#74503,.T.); #188896=EDGE_CURVE('',#153053,#153051,#74504,.T.); #188897=EDGE_CURVE('',#153054,#153052,#74505,.T.); #188898=EDGE_CURVE('',#153053,#153054,#74506,.T.); #188899=EDGE_CURVE('',#153055,#153053,#74507,.T.); #188900=EDGE_CURVE('',#153056,#153054,#74508,.T.); #188901=EDGE_CURVE('',#153055,#153056,#74509,.T.); #188902=EDGE_CURVE('',#153057,#153055,#74510,.T.); #188903=EDGE_CURVE('',#153058,#153056,#74511,.T.); #188904=EDGE_CURVE('',#153057,#153058,#74512,.T.); #188905=EDGE_CURVE('',#153059,#153057,#74513,.T.); #188906=EDGE_CURVE('',#153060,#153058,#74514,.T.); #188907=EDGE_CURVE('',#153059,#153060,#74515,.T.); #188908=EDGE_CURVE('',#153061,#153059,#74516,.T.); #188909=EDGE_CURVE('',#153062,#153060,#74517,.T.); #188910=EDGE_CURVE('',#153061,#153062,#74518,.T.); #188911=EDGE_CURVE('',#153063,#153061,#74519,.T.); #188912=EDGE_CURVE('',#153064,#153062,#74520,.T.); #188913=EDGE_CURVE('',#153063,#153064,#74521,.T.); #188914=EDGE_CURVE('',#153065,#153063,#74522,.T.); #188915=EDGE_CURVE('',#153066,#153064,#74523,.T.); #188916=EDGE_CURVE('',#153065,#153066,#74524,.T.); #188917=EDGE_CURVE('',#153067,#153065,#74525,.T.); #188918=EDGE_CURVE('',#153068,#153066,#74526,.T.); #188919=EDGE_CURVE('',#153067,#153068,#74527,.T.); #188920=EDGE_CURVE('',#153069,#153067,#74528,.T.); #188921=EDGE_CURVE('',#153070,#153068,#74529,.T.); #188922=EDGE_CURVE('',#153069,#153070,#74530,.T.); #188923=EDGE_CURVE('',#153071,#153069,#74531,.T.); #188924=EDGE_CURVE('',#153072,#153070,#74532,.T.); #188925=EDGE_CURVE('',#153071,#153072,#74533,.T.); #188926=EDGE_CURVE('',#153073,#153071,#74534,.T.); #188927=EDGE_CURVE('',#153074,#153072,#74535,.T.); #188928=EDGE_CURVE('',#153073,#153074,#74536,.T.); #188929=EDGE_CURVE('',#153075,#153073,#74537,.T.); #188930=EDGE_CURVE('',#153076,#153074,#74538,.T.); #188931=EDGE_CURVE('',#153075,#153076,#74539,.T.); #188932=EDGE_CURVE('',#153077,#153075,#74540,.T.); #188933=EDGE_CURVE('',#153078,#153076,#74541,.T.); #188934=EDGE_CURVE('',#153077,#153078,#74542,.T.); #188935=EDGE_CURVE('',#153079,#153077,#74543,.T.); #188936=EDGE_CURVE('',#153080,#153078,#74544,.T.); #188937=EDGE_CURVE('',#153079,#153080,#74545,.T.); #188938=EDGE_CURVE('',#153081,#153079,#74546,.T.); #188939=EDGE_CURVE('',#153082,#153080,#74547,.T.); #188940=EDGE_CURVE('',#153081,#153082,#74548,.T.); #188941=EDGE_CURVE('',#153083,#153081,#74549,.T.); #188942=EDGE_CURVE('',#153084,#153082,#74550,.T.); #188943=EDGE_CURVE('',#153083,#153084,#74551,.T.); #188944=EDGE_CURVE('',#153085,#153083,#74552,.T.); #188945=EDGE_CURVE('',#153086,#153084,#74553,.T.); #188946=EDGE_CURVE('',#153085,#153086,#74554,.T.); #188947=EDGE_CURVE('',#153087,#153085,#74555,.T.); #188948=EDGE_CURVE('',#153088,#153086,#74556,.T.); #188949=EDGE_CURVE('',#153087,#153088,#74557,.T.); #188950=EDGE_CURVE('',#153089,#153087,#74558,.T.); #188951=EDGE_CURVE('',#153090,#153088,#74559,.T.); #188952=EDGE_CURVE('',#153089,#153090,#74560,.T.); #188953=EDGE_CURVE('',#153091,#153089,#74561,.T.); #188954=EDGE_CURVE('',#153092,#153090,#74562,.T.); #188955=EDGE_CURVE('',#153091,#153092,#74563,.T.); #188956=EDGE_CURVE('',#153093,#153091,#74564,.T.); #188957=EDGE_CURVE('',#153094,#153092,#74565,.T.); #188958=EDGE_CURVE('',#153093,#153094,#74566,.T.); #188959=EDGE_CURVE('',#153095,#153093,#74567,.T.); #188960=EDGE_CURVE('',#153096,#153094,#74568,.T.); #188961=EDGE_CURVE('',#153095,#153096,#74569,.T.); #188962=EDGE_CURVE('',#153097,#153095,#74570,.T.); #188963=EDGE_CURVE('',#153098,#153096,#74571,.T.); #188964=EDGE_CURVE('',#153097,#153098,#74572,.T.); #188965=EDGE_CURVE('',#153099,#153097,#74573,.T.); #188966=EDGE_CURVE('',#153100,#153098,#74574,.T.); #188967=EDGE_CURVE('',#153099,#153100,#74575,.T.); #188968=EDGE_CURVE('',#153101,#153099,#74576,.T.); #188969=EDGE_CURVE('',#153102,#153100,#74577,.T.); #188970=EDGE_CURVE('',#153101,#153102,#74578,.T.); #188971=EDGE_CURVE('',#153103,#153101,#74579,.T.); #188972=EDGE_CURVE('',#153104,#153102,#74580,.T.); #188973=EDGE_CURVE('',#153103,#153104,#74581,.T.); #188974=EDGE_CURVE('',#153105,#153103,#74582,.T.); #188975=EDGE_CURVE('',#153106,#153104,#74583,.T.); #188976=EDGE_CURVE('',#153105,#153106,#74584,.T.); #188977=EDGE_CURVE('',#153107,#153105,#74585,.T.); #188978=EDGE_CURVE('',#153108,#153106,#74586,.T.); #188979=EDGE_CURVE('',#153107,#153108,#74587,.T.); #188980=EDGE_CURVE('',#153109,#153107,#74588,.T.); #188981=EDGE_CURVE('',#153110,#153108,#74589,.T.); #188982=EDGE_CURVE('',#153109,#153110,#74590,.T.); #188983=EDGE_CURVE('',#153111,#153109,#74591,.T.); #188984=EDGE_CURVE('',#153112,#153110,#74592,.T.); #188985=EDGE_CURVE('',#153111,#153112,#74593,.T.); #188986=EDGE_CURVE('',#153113,#153111,#74594,.T.); #188987=EDGE_CURVE('',#153114,#153112,#74595,.T.); #188988=EDGE_CURVE('',#153113,#153114,#74596,.T.); #188989=EDGE_CURVE('',#153115,#153113,#74597,.T.); #188990=EDGE_CURVE('',#153116,#153114,#74598,.T.); #188991=EDGE_CURVE('',#153115,#153116,#74599,.T.); #188992=EDGE_CURVE('',#153117,#153115,#74600,.T.); #188993=EDGE_CURVE('',#153118,#153116,#74601,.T.); #188994=EDGE_CURVE('',#153117,#153118,#74602,.T.); #188995=EDGE_CURVE('',#153119,#153117,#74603,.T.); #188996=EDGE_CURVE('',#153120,#153118,#74604,.T.); #188997=EDGE_CURVE('',#153119,#153120,#74605,.T.); #188998=EDGE_CURVE('',#153121,#153119,#74606,.T.); #188999=EDGE_CURVE('',#153122,#153120,#74607,.T.); #189000=EDGE_CURVE('',#153121,#153122,#74608,.T.); #189001=EDGE_CURVE('',#152980,#153121,#74609,.T.); #189002=EDGE_CURVE('',#152981,#153122,#74610,.T.); #189003=EDGE_CURVE('',#153123,#153124,#74611,.T.); #189004=EDGE_CURVE('',#153125,#153123,#74612,.T.); #189005=EDGE_CURVE('',#153126,#153125,#74613,.T.); #189006=EDGE_CURVE('',#153126,#153124,#74614,.T.); #189007=EDGE_CURVE('',#153124,#153127,#136253,.T.); #189008=EDGE_CURVE('',#153128,#153126,#136254,.T.); #189009=EDGE_CURVE('',#153128,#153127,#74615,.T.); #189010=EDGE_CURVE('',#153127,#153129,#74616,.T.); #189011=EDGE_CURVE('',#153130,#153128,#74617,.T.); #189012=EDGE_CURVE('',#153130,#153129,#74618,.T.); #189013=EDGE_CURVE('',#153129,#153123,#136255,.T.); #189014=EDGE_CURVE('',#153125,#153130,#136256,.T.); #189015=EDGE_CURVE('',#153131,#153132,#74619,.T.); #189016=EDGE_CURVE('',#153132,#153133,#74620,.T.); #189017=EDGE_CURVE('',#153134,#153133,#74621,.T.); #189018=EDGE_CURVE('',#153131,#153134,#74622,.T.); #189019=EDGE_CURVE('',#153135,#153131,#74623,.T.); #189020=EDGE_CURVE('',#153136,#153134,#74624,.T.); #189021=EDGE_CURVE('',#153135,#153136,#74625,.T.); #189022=EDGE_CURVE('',#153137,#153135,#74626,.T.); #189023=EDGE_CURVE('',#153138,#153136,#74627,.T.); #189024=EDGE_CURVE('',#153137,#153138,#74628,.T.); #189025=EDGE_CURVE('',#153139,#153137,#74629,.T.); #189026=EDGE_CURVE('',#153140,#153138,#74630,.T.); #189027=EDGE_CURVE('',#153139,#153140,#74631,.T.); #189028=EDGE_CURVE('',#153141,#153139,#74632,.T.); #189029=EDGE_CURVE('',#153142,#153140,#74633,.T.); #189030=EDGE_CURVE('',#153141,#153142,#74634,.T.); #189031=EDGE_CURVE('',#153143,#153141,#74635,.T.); #189032=EDGE_CURVE('',#153144,#153142,#74636,.T.); #189033=EDGE_CURVE('',#153143,#153144,#74637,.T.); #189034=EDGE_CURVE('',#153145,#153143,#74638,.T.); #189035=EDGE_CURVE('',#153146,#153144,#74639,.T.); #189036=EDGE_CURVE('',#153145,#153146,#74640,.T.); #189037=EDGE_CURVE('',#153147,#153145,#74641,.T.); #189038=EDGE_CURVE('',#153148,#153146,#74642,.T.); #189039=EDGE_CURVE('',#153147,#153148,#74643,.T.); #189040=EDGE_CURVE('',#153149,#153147,#74644,.T.); #189041=EDGE_CURVE('',#153150,#153148,#74645,.T.); #189042=EDGE_CURVE('',#153149,#153150,#74646,.T.); #189043=EDGE_CURVE('',#153151,#153149,#74647,.T.); #189044=EDGE_CURVE('',#153152,#153150,#74648,.T.); #189045=EDGE_CURVE('',#153151,#153152,#74649,.T.); #189046=EDGE_CURVE('',#153153,#153151,#74650,.T.); #189047=EDGE_CURVE('',#153154,#153152,#74651,.T.); #189048=EDGE_CURVE('',#153153,#153154,#74652,.T.); #189049=EDGE_CURVE('',#153155,#153153,#74653,.T.); #189050=EDGE_CURVE('',#153156,#153154,#74654,.T.); #189051=EDGE_CURVE('',#153155,#153156,#74655,.T.); #189052=EDGE_CURVE('',#153157,#153155,#74656,.T.); #189053=EDGE_CURVE('',#153158,#153156,#74657,.T.); #189054=EDGE_CURVE('',#153157,#153158,#74658,.T.); #189055=EDGE_CURVE('',#153159,#153157,#74659,.T.); #189056=EDGE_CURVE('',#153160,#153158,#74660,.T.); #189057=EDGE_CURVE('',#153159,#153160,#74661,.T.); #189058=EDGE_CURVE('',#153161,#153159,#74662,.T.); #189059=EDGE_CURVE('',#153162,#153160,#74663,.T.); #189060=EDGE_CURVE('',#153161,#153162,#74664,.T.); #189061=EDGE_CURVE('',#153163,#153161,#74665,.T.); #189062=EDGE_CURVE('',#153164,#153162,#74666,.T.); #189063=EDGE_CURVE('',#153163,#153164,#74667,.T.); #189064=EDGE_CURVE('',#153165,#153163,#74668,.T.); #189065=EDGE_CURVE('',#153166,#153164,#74669,.T.); #189066=EDGE_CURVE('',#153165,#153166,#74670,.T.); #189067=EDGE_CURVE('',#153167,#153165,#74671,.T.); #189068=EDGE_CURVE('',#153168,#153166,#74672,.T.); #189069=EDGE_CURVE('',#153167,#153168,#74673,.T.); #189070=EDGE_CURVE('',#153169,#153167,#74674,.T.); #189071=EDGE_CURVE('',#153170,#153168,#74675,.T.); #189072=EDGE_CURVE('',#153169,#153170,#74676,.T.); #189073=EDGE_CURVE('',#153171,#153169,#74677,.T.); #189074=EDGE_CURVE('',#153172,#153170,#74678,.T.); #189075=EDGE_CURVE('',#153171,#153172,#74679,.T.); #189076=EDGE_CURVE('',#153173,#153171,#74680,.T.); #189077=EDGE_CURVE('',#153174,#153172,#74681,.T.); #189078=EDGE_CURVE('',#153173,#153174,#74682,.T.); #189079=EDGE_CURVE('',#153175,#153173,#74683,.T.); #189080=EDGE_CURVE('',#153176,#153174,#74684,.T.); #189081=EDGE_CURVE('',#153175,#153176,#74685,.T.); #189082=EDGE_CURVE('',#153177,#153175,#74686,.T.); #189083=EDGE_CURVE('',#153178,#153176,#74687,.T.); #189084=EDGE_CURVE('',#153177,#153178,#74688,.T.); #189085=EDGE_CURVE('',#153179,#153177,#74689,.T.); #189086=EDGE_CURVE('',#153180,#153178,#74690,.T.); #189087=EDGE_CURVE('',#153179,#153180,#74691,.T.); #189088=EDGE_CURVE('',#153181,#153179,#74692,.T.); #189089=EDGE_CURVE('',#153182,#153180,#74693,.T.); #189090=EDGE_CURVE('',#153181,#153182,#74694,.T.); #189091=EDGE_CURVE('',#153183,#153181,#74695,.T.); #189092=EDGE_CURVE('',#153184,#153182,#74696,.T.); #189093=EDGE_CURVE('',#153183,#153184,#74697,.T.); #189094=EDGE_CURVE('',#153185,#153183,#74698,.T.); #189095=EDGE_CURVE('',#153186,#153184,#74699,.T.); #189096=EDGE_CURVE('',#153185,#153186,#74700,.T.); #189097=EDGE_CURVE('',#153187,#153185,#74701,.T.); #189098=EDGE_CURVE('',#153188,#153186,#74702,.T.); #189099=EDGE_CURVE('',#153187,#153188,#74703,.T.); #189100=EDGE_CURVE('',#153189,#153187,#74704,.T.); #189101=EDGE_CURVE('',#153190,#153188,#74705,.T.); #189102=EDGE_CURVE('',#153189,#153190,#74706,.T.); #189103=EDGE_CURVE('',#153191,#153189,#74707,.T.); #189104=EDGE_CURVE('',#153192,#153190,#74708,.T.); #189105=EDGE_CURVE('',#153191,#153192,#74709,.T.); #189106=EDGE_CURVE('',#153193,#153191,#74710,.T.); #189107=EDGE_CURVE('',#153194,#153192,#74711,.T.); #189108=EDGE_CURVE('',#153193,#153194,#74712,.T.); #189109=EDGE_CURVE('',#153195,#153193,#74713,.T.); #189110=EDGE_CURVE('',#153196,#153194,#74714,.T.); #189111=EDGE_CURVE('',#153195,#153196,#74715,.T.); #189112=EDGE_CURVE('',#153197,#153195,#74716,.T.); #189113=EDGE_CURVE('',#153198,#153196,#74717,.T.); #189114=EDGE_CURVE('',#153197,#153198,#74718,.T.); #189115=EDGE_CURVE('',#153199,#153197,#74719,.T.); #189116=EDGE_CURVE('',#153200,#153198,#74720,.T.); #189117=EDGE_CURVE('',#153199,#153200,#74721,.T.); #189118=EDGE_CURVE('',#153201,#153199,#74722,.T.); #189119=EDGE_CURVE('',#153202,#153200,#74723,.T.); #189120=EDGE_CURVE('',#153201,#153202,#74724,.T.); #189121=EDGE_CURVE('',#153203,#153201,#74725,.T.); #189122=EDGE_CURVE('',#153204,#153202,#74726,.T.); #189123=EDGE_CURVE('',#153203,#153204,#74727,.T.); #189124=EDGE_CURVE('',#153205,#153203,#74728,.T.); #189125=EDGE_CURVE('',#153206,#153204,#74729,.T.); #189126=EDGE_CURVE('',#153205,#153206,#74730,.T.); #189127=EDGE_CURVE('',#153207,#153205,#74731,.T.); #189128=EDGE_CURVE('',#153208,#153206,#74732,.T.); #189129=EDGE_CURVE('',#153207,#153208,#74733,.T.); #189130=EDGE_CURVE('',#153209,#153207,#74734,.T.); #189131=EDGE_CURVE('',#153210,#153208,#74735,.T.); #189132=EDGE_CURVE('',#153209,#153210,#74736,.T.); #189133=EDGE_CURVE('',#153211,#153209,#74737,.T.); #189134=EDGE_CURVE('',#153212,#153210,#74738,.T.); #189135=EDGE_CURVE('',#153211,#153212,#74739,.T.); #189136=EDGE_CURVE('',#153213,#153211,#74740,.T.); #189137=EDGE_CURVE('',#153214,#153212,#74741,.T.); #189138=EDGE_CURVE('',#153213,#153214,#74742,.T.); #189139=EDGE_CURVE('',#153215,#153213,#74743,.T.); #189140=EDGE_CURVE('',#153216,#153214,#74744,.T.); #189141=EDGE_CURVE('',#153215,#153216,#74745,.T.); #189142=EDGE_CURVE('',#153217,#153215,#74746,.T.); #189143=EDGE_CURVE('',#153218,#153216,#74747,.T.); #189144=EDGE_CURVE('',#153217,#153218,#74748,.T.); #189145=EDGE_CURVE('',#153219,#153217,#74749,.T.); #189146=EDGE_CURVE('',#153220,#153218,#74750,.T.); #189147=EDGE_CURVE('',#153219,#153220,#74751,.T.); #189148=EDGE_CURVE('',#153221,#153219,#74752,.T.); #189149=EDGE_CURVE('',#153222,#153220,#74753,.T.); #189150=EDGE_CURVE('',#153221,#153222,#74754,.T.); #189151=EDGE_CURVE('',#153223,#153221,#74755,.T.); #189152=EDGE_CURVE('',#153224,#153222,#74756,.T.); #189153=EDGE_CURVE('',#153223,#153224,#74757,.T.); #189154=EDGE_CURVE('',#153225,#153223,#74758,.T.); #189155=EDGE_CURVE('',#153226,#153224,#74759,.T.); #189156=EDGE_CURVE('',#153225,#153226,#74760,.T.); #189157=EDGE_CURVE('',#153227,#153225,#74761,.T.); #189158=EDGE_CURVE('',#153228,#153226,#74762,.T.); #189159=EDGE_CURVE('',#153227,#153228,#74763,.T.); #189160=EDGE_CURVE('',#153229,#153227,#74764,.T.); #189161=EDGE_CURVE('',#153230,#153228,#74765,.T.); #189162=EDGE_CURVE('',#153229,#153230,#74766,.T.); #189163=EDGE_CURVE('',#153231,#153229,#74767,.T.); #189164=EDGE_CURVE('',#153232,#153230,#74768,.T.); #189165=EDGE_CURVE('',#153231,#153232,#74769,.T.); #189166=EDGE_CURVE('',#153233,#153231,#74770,.T.); #189167=EDGE_CURVE('',#153234,#153232,#74771,.T.); #189168=EDGE_CURVE('',#153233,#153234,#74772,.T.); #189169=EDGE_CURVE('',#153235,#153233,#74773,.T.); #189170=EDGE_CURVE('',#153236,#153234,#74774,.T.); #189171=EDGE_CURVE('',#153235,#153236,#74775,.T.); #189172=EDGE_CURVE('',#153237,#153235,#74776,.T.); #189173=EDGE_CURVE('',#153238,#153236,#74777,.T.); #189174=EDGE_CURVE('',#153237,#153238,#74778,.T.); #189175=EDGE_CURVE('',#153239,#153237,#74779,.T.); #189176=EDGE_CURVE('',#153240,#153238,#74780,.T.); #189177=EDGE_CURVE('',#153239,#153240,#74781,.T.); #189178=EDGE_CURVE('',#153241,#153239,#74782,.T.); #189179=EDGE_CURVE('',#153242,#153240,#74783,.T.); #189180=EDGE_CURVE('',#153241,#153242,#74784,.T.); #189181=EDGE_CURVE('',#153132,#153241,#74785,.T.); #189182=EDGE_CURVE('',#153133,#153242,#74786,.T.); #189183=EDGE_CURVE('',#153243,#153243,#136257,.T.); #189184=EDGE_CURVE('',#153243,#153244,#74787,.T.); #189185=EDGE_CURVE('',#153244,#153244,#136258,.T.); #189186=EDGE_CURVE('',#153245,#153246,#74788,.T.); #189187=EDGE_CURVE('',#153246,#153247,#74789,.T.); #189188=EDGE_CURVE('',#153248,#153247,#74790,.T.); #189189=EDGE_CURVE('',#153245,#153248,#74791,.T.); #189190=EDGE_CURVE('',#153249,#153245,#74792,.T.); #189191=EDGE_CURVE('',#153250,#153248,#74793,.T.); #189192=EDGE_CURVE('',#153249,#153250,#74794,.T.); #189193=EDGE_CURVE('',#153251,#153249,#74795,.T.); #189194=EDGE_CURVE('',#153252,#153250,#74796,.T.); #189195=EDGE_CURVE('',#153251,#153252,#74797,.T.); #189196=EDGE_CURVE('',#153246,#153251,#74798,.T.); #189197=EDGE_CURVE('',#153247,#153252,#74799,.T.); #189198=EDGE_CURVE('',#153253,#153254,#74800,.T.); #189199=EDGE_CURVE('',#153255,#153253,#74801,.T.); #189200=EDGE_CURVE('',#153256,#153255,#74802,.T.); #189201=EDGE_CURVE('',#153256,#153254,#74803,.T.); #189202=EDGE_CURVE('',#153254,#153257,#136259,.T.); #189203=EDGE_CURVE('',#153258,#153256,#136260,.T.); #189204=EDGE_CURVE('',#153258,#153257,#74804,.T.); #189205=EDGE_CURVE('',#153257,#153259,#74805,.T.); #189206=EDGE_CURVE('',#153260,#153258,#74806,.T.); #189207=EDGE_CURVE('',#153260,#153259,#74807,.T.); #189208=EDGE_CURVE('',#153259,#153253,#136261,.T.); #189209=EDGE_CURVE('',#153255,#153260,#136262,.T.); #189210=EDGE_CURVE('',#153261,#153262,#74808,.T.); #189211=EDGE_CURVE('',#153262,#153263,#74809,.T.); #189212=EDGE_CURVE('',#153264,#153263,#74810,.T.); #189213=EDGE_CURVE('',#153261,#153264,#74811,.T.); #189214=EDGE_CURVE('',#153265,#153261,#74812,.T.); #189215=EDGE_CURVE('',#153266,#153264,#74813,.T.); #189216=EDGE_CURVE('',#153265,#153266,#74814,.T.); #189217=EDGE_CURVE('',#153267,#153265,#74815,.T.); #189218=EDGE_CURVE('',#153268,#153266,#74816,.T.); #189219=EDGE_CURVE('',#153267,#153268,#74817,.T.); #189220=EDGE_CURVE('',#153269,#153267,#74818,.T.); #189221=EDGE_CURVE('',#153270,#153268,#74819,.T.); #189222=EDGE_CURVE('',#153269,#153270,#74820,.T.); #189223=EDGE_CURVE('',#153271,#153269,#74821,.T.); #189224=EDGE_CURVE('',#153272,#153270,#74822,.T.); #189225=EDGE_CURVE('',#153271,#153272,#74823,.T.); #189226=EDGE_CURVE('',#153273,#153271,#74824,.T.); #189227=EDGE_CURVE('',#153274,#153272,#74825,.T.); #189228=EDGE_CURVE('',#153273,#153274,#74826,.T.); #189229=EDGE_CURVE('',#153275,#153273,#74827,.T.); #189230=EDGE_CURVE('',#153276,#153274,#74828,.T.); #189231=EDGE_CURVE('',#153275,#153276,#74829,.T.); #189232=EDGE_CURVE('',#153277,#153275,#74830,.T.); #189233=EDGE_CURVE('',#153278,#153276,#74831,.T.); #189234=EDGE_CURVE('',#153277,#153278,#74832,.T.); #189235=EDGE_CURVE('',#153279,#153277,#74833,.T.); #189236=EDGE_CURVE('',#153280,#153278,#74834,.T.); #189237=EDGE_CURVE('',#153279,#153280,#74835,.T.); #189238=EDGE_CURVE('',#153281,#153279,#74836,.T.); #189239=EDGE_CURVE('',#153282,#153280,#74837,.T.); #189240=EDGE_CURVE('',#153281,#153282,#74838,.T.); #189241=EDGE_CURVE('',#153283,#153281,#74839,.T.); #189242=EDGE_CURVE('',#153284,#153282,#74840,.T.); #189243=EDGE_CURVE('',#153283,#153284,#74841,.T.); #189244=EDGE_CURVE('',#153285,#153283,#74842,.T.); #189245=EDGE_CURVE('',#153286,#153284,#74843,.T.); #189246=EDGE_CURVE('',#153285,#153286,#74844,.T.); #189247=EDGE_CURVE('',#153287,#153285,#74845,.T.); #189248=EDGE_CURVE('',#153288,#153286,#74846,.T.); #189249=EDGE_CURVE('',#153287,#153288,#74847,.T.); #189250=EDGE_CURVE('',#153289,#153287,#74848,.T.); #189251=EDGE_CURVE('',#153290,#153288,#74849,.T.); #189252=EDGE_CURVE('',#153289,#153290,#74850,.T.); #189253=EDGE_CURVE('',#153291,#153289,#74851,.T.); #189254=EDGE_CURVE('',#153292,#153290,#74852,.T.); #189255=EDGE_CURVE('',#153291,#153292,#74853,.T.); #189256=EDGE_CURVE('',#153293,#153291,#74854,.T.); #189257=EDGE_CURVE('',#153294,#153292,#74855,.T.); #189258=EDGE_CURVE('',#153293,#153294,#74856,.T.); #189259=EDGE_CURVE('',#153295,#153293,#74857,.T.); #189260=EDGE_CURVE('',#153296,#153294,#74858,.T.); #189261=EDGE_CURVE('',#153295,#153296,#74859,.T.); #189262=EDGE_CURVE('',#153297,#153295,#74860,.T.); #189263=EDGE_CURVE('',#153298,#153296,#74861,.T.); #189264=EDGE_CURVE('',#153297,#153298,#74862,.T.); #189265=EDGE_CURVE('',#153299,#153297,#74863,.T.); #189266=EDGE_CURVE('',#153300,#153298,#74864,.T.); #189267=EDGE_CURVE('',#153299,#153300,#74865,.T.); #189268=EDGE_CURVE('',#153301,#153299,#74866,.T.); #189269=EDGE_CURVE('',#153302,#153300,#74867,.T.); #189270=EDGE_CURVE('',#153301,#153302,#74868,.T.); #189271=EDGE_CURVE('',#153303,#153301,#74869,.T.); #189272=EDGE_CURVE('',#153304,#153302,#74870,.T.); #189273=EDGE_CURVE('',#153303,#153304,#74871,.T.); #189274=EDGE_CURVE('',#153305,#153303,#74872,.T.); #189275=EDGE_CURVE('',#153306,#153304,#74873,.T.); #189276=EDGE_CURVE('',#153305,#153306,#74874,.T.); #189277=EDGE_CURVE('',#153307,#153305,#74875,.T.); #189278=EDGE_CURVE('',#153308,#153306,#74876,.T.); #189279=EDGE_CURVE('',#153307,#153308,#74877,.T.); #189280=EDGE_CURVE('',#153309,#153307,#74878,.T.); #189281=EDGE_CURVE('',#153310,#153308,#74879,.T.); #189282=EDGE_CURVE('',#153309,#153310,#74880,.T.); #189283=EDGE_CURVE('',#153311,#153309,#74881,.T.); #189284=EDGE_CURVE('',#153312,#153310,#74882,.T.); #189285=EDGE_CURVE('',#153311,#153312,#74883,.T.); #189286=EDGE_CURVE('',#153313,#153311,#74884,.T.); #189287=EDGE_CURVE('',#153314,#153312,#74885,.T.); #189288=EDGE_CURVE('',#153313,#153314,#74886,.T.); #189289=EDGE_CURVE('',#153315,#153313,#74887,.T.); #189290=EDGE_CURVE('',#153316,#153314,#74888,.T.); #189291=EDGE_CURVE('',#153315,#153316,#74889,.T.); #189292=EDGE_CURVE('',#153317,#153315,#74890,.T.); #189293=EDGE_CURVE('',#153318,#153316,#74891,.T.); #189294=EDGE_CURVE('',#153317,#153318,#74892,.T.); #189295=EDGE_CURVE('',#153319,#153317,#74893,.T.); #189296=EDGE_CURVE('',#153320,#153318,#74894,.T.); #189297=EDGE_CURVE('',#153319,#153320,#74895,.T.); #189298=EDGE_CURVE('',#153321,#153319,#74896,.T.); #189299=EDGE_CURVE('',#153322,#153320,#74897,.T.); #189300=EDGE_CURVE('',#153321,#153322,#74898,.T.); #189301=EDGE_CURVE('',#153323,#153321,#74899,.T.); #189302=EDGE_CURVE('',#153324,#153322,#74900,.T.); #189303=EDGE_CURVE('',#153323,#153324,#74901,.T.); #189304=EDGE_CURVE('',#153325,#153323,#74902,.T.); #189305=EDGE_CURVE('',#153326,#153324,#74903,.T.); #189306=EDGE_CURVE('',#153325,#153326,#74904,.T.); #189307=EDGE_CURVE('',#153327,#153325,#74905,.T.); #189308=EDGE_CURVE('',#153328,#153326,#74906,.T.); #189309=EDGE_CURVE('',#153327,#153328,#74907,.T.); #189310=EDGE_CURVE('',#153329,#153327,#74908,.T.); #189311=EDGE_CURVE('',#153330,#153328,#74909,.T.); #189312=EDGE_CURVE('',#153329,#153330,#74910,.T.); #189313=EDGE_CURVE('',#153331,#153329,#74911,.T.); #189314=EDGE_CURVE('',#153332,#153330,#74912,.T.); #189315=EDGE_CURVE('',#153331,#153332,#74913,.T.); #189316=EDGE_CURVE('',#153333,#153331,#74914,.T.); #189317=EDGE_CURVE('',#153334,#153332,#74915,.T.); #189318=EDGE_CURVE('',#153333,#153334,#74916,.T.); #189319=EDGE_CURVE('',#153335,#153333,#74917,.T.); #189320=EDGE_CURVE('',#153336,#153334,#74918,.T.); #189321=EDGE_CURVE('',#153335,#153336,#74919,.T.); #189322=EDGE_CURVE('',#153337,#153335,#74920,.T.); #189323=EDGE_CURVE('',#153338,#153336,#74921,.T.); #189324=EDGE_CURVE('',#153337,#153338,#74922,.T.); #189325=EDGE_CURVE('',#153339,#153337,#74923,.T.); #189326=EDGE_CURVE('',#153340,#153338,#74924,.T.); #189327=EDGE_CURVE('',#153339,#153340,#74925,.T.); #189328=EDGE_CURVE('',#153341,#153339,#74926,.T.); #189329=EDGE_CURVE('',#153342,#153340,#74927,.T.); #189330=EDGE_CURVE('',#153341,#153342,#74928,.T.); #189331=EDGE_CURVE('',#153343,#153341,#74929,.T.); #189332=EDGE_CURVE('',#153344,#153342,#74930,.T.); #189333=EDGE_CURVE('',#153343,#153344,#74931,.T.); #189334=EDGE_CURVE('',#153345,#153343,#74932,.T.); #189335=EDGE_CURVE('',#153346,#153344,#74933,.T.); #189336=EDGE_CURVE('',#153345,#153346,#74934,.T.); #189337=EDGE_CURVE('',#153347,#153345,#74935,.T.); #189338=EDGE_CURVE('',#153348,#153346,#74936,.T.); #189339=EDGE_CURVE('',#153347,#153348,#74937,.T.); #189340=EDGE_CURVE('',#153349,#153347,#74938,.T.); #189341=EDGE_CURVE('',#153350,#153348,#74939,.T.); #189342=EDGE_CURVE('',#153349,#153350,#74940,.T.); #189343=EDGE_CURVE('',#153351,#153349,#74941,.T.); #189344=EDGE_CURVE('',#153352,#153350,#74942,.T.); #189345=EDGE_CURVE('',#153351,#153352,#74943,.T.); #189346=EDGE_CURVE('',#153353,#153351,#74944,.T.); #189347=EDGE_CURVE('',#153354,#153352,#74945,.T.); #189348=EDGE_CURVE('',#153353,#153354,#74946,.T.); #189349=EDGE_CURVE('',#153355,#153353,#74947,.T.); #189350=EDGE_CURVE('',#153356,#153354,#74948,.T.); #189351=EDGE_CURVE('',#153355,#153356,#74949,.T.); #189352=EDGE_CURVE('',#153357,#153355,#74950,.T.); #189353=EDGE_CURVE('',#153358,#153356,#74951,.T.); #189354=EDGE_CURVE('',#153357,#153358,#74952,.T.); #189355=EDGE_CURVE('',#153359,#153357,#74953,.T.); #189356=EDGE_CURVE('',#153360,#153358,#74954,.T.); #189357=EDGE_CURVE('',#153359,#153360,#74955,.T.); #189358=EDGE_CURVE('',#153361,#153359,#74956,.T.); #189359=EDGE_CURVE('',#153362,#153360,#74957,.T.); #189360=EDGE_CURVE('',#153361,#153362,#74958,.T.); #189361=EDGE_CURVE('',#153363,#153361,#74959,.T.); #189362=EDGE_CURVE('',#153364,#153362,#74960,.T.); #189363=EDGE_CURVE('',#153363,#153364,#74961,.T.); #189364=EDGE_CURVE('',#153365,#153363,#74962,.T.); #189365=EDGE_CURVE('',#153366,#153364,#74963,.T.); #189366=EDGE_CURVE('',#153365,#153366,#74964,.T.); #189367=EDGE_CURVE('',#153367,#153365,#74965,.T.); #189368=EDGE_CURVE('',#153368,#153366,#74966,.T.); #189369=EDGE_CURVE('',#153367,#153368,#74967,.T.); #189370=EDGE_CURVE('',#153369,#153367,#74968,.T.); #189371=EDGE_CURVE('',#153370,#153368,#74969,.T.); #189372=EDGE_CURVE('',#153369,#153370,#74970,.T.); #189373=EDGE_CURVE('',#153371,#153369,#74971,.T.); #189374=EDGE_CURVE('',#153372,#153370,#74972,.T.); #189375=EDGE_CURVE('',#153371,#153372,#74973,.T.); #189376=EDGE_CURVE('',#153262,#153371,#74974,.T.); #189377=EDGE_CURVE('',#153263,#153372,#74975,.T.); #189378=EDGE_CURVE('',#153373,#153374,#74976,.T.); #189379=EDGE_CURVE('',#153375,#153373,#74977,.T.); #189380=EDGE_CURVE('',#153376,#153375,#74978,.T.); #189381=EDGE_CURVE('',#153376,#153374,#74979,.T.); #189382=EDGE_CURVE('',#153374,#153377,#136263,.T.); #189383=EDGE_CURVE('',#153378,#153376,#136264,.T.); #189384=EDGE_CURVE('',#153378,#153377,#74980,.T.); #189385=EDGE_CURVE('',#153377,#153379,#74981,.T.); #189386=EDGE_CURVE('',#153380,#153378,#74982,.T.); #189387=EDGE_CURVE('',#153380,#153379,#74983,.T.); #189388=EDGE_CURVE('',#153379,#153373,#136265,.T.); #189389=EDGE_CURVE('',#153375,#153380,#136266,.T.); #189390=EDGE_CURVE('',#153381,#153382,#74984,.T.); #189391=EDGE_CURVE('',#153382,#153383,#74985,.T.); #189392=EDGE_CURVE('',#153384,#153383,#74986,.T.); #189393=EDGE_CURVE('',#153381,#153384,#74987,.T.); #189394=EDGE_CURVE('',#153385,#153381,#74988,.T.); #189395=EDGE_CURVE('',#153386,#153384,#74989,.T.); #189396=EDGE_CURVE('',#153385,#153386,#74990,.T.); #189397=EDGE_CURVE('',#153387,#153385,#74991,.T.); #189398=EDGE_CURVE('',#153388,#153386,#74992,.T.); #189399=EDGE_CURVE('',#153387,#153388,#74993,.T.); #189400=EDGE_CURVE('',#153389,#153387,#74994,.T.); #189401=EDGE_CURVE('',#153390,#153388,#74995,.T.); #189402=EDGE_CURVE('',#153389,#153390,#74996,.T.); #189403=EDGE_CURVE('',#153391,#153389,#74997,.T.); #189404=EDGE_CURVE('',#153392,#153390,#74998,.T.); #189405=EDGE_CURVE('',#153391,#153392,#74999,.T.); #189406=EDGE_CURVE('',#153393,#153391,#75000,.T.); #189407=EDGE_CURVE('',#153394,#153392,#75001,.T.); #189408=EDGE_CURVE('',#153393,#153394,#75002,.T.); #189409=EDGE_CURVE('',#153395,#153393,#75003,.T.); #189410=EDGE_CURVE('',#153396,#153394,#75004,.T.); #189411=EDGE_CURVE('',#153395,#153396,#75005,.T.); #189412=EDGE_CURVE('',#153397,#153395,#75006,.T.); #189413=EDGE_CURVE('',#153398,#153396,#75007,.T.); #189414=EDGE_CURVE('',#153397,#153398,#75008,.T.); #189415=EDGE_CURVE('',#153399,#153397,#75009,.T.); #189416=EDGE_CURVE('',#153400,#153398,#75010,.T.); #189417=EDGE_CURVE('',#153399,#153400,#75011,.T.); #189418=EDGE_CURVE('',#153401,#153399,#75012,.T.); #189419=EDGE_CURVE('',#153402,#153400,#75013,.T.); #189420=EDGE_CURVE('',#153401,#153402,#75014,.T.); #189421=EDGE_CURVE('',#153403,#153401,#75015,.T.); #189422=EDGE_CURVE('',#153404,#153402,#75016,.T.); #189423=EDGE_CURVE('',#153403,#153404,#75017,.T.); #189424=EDGE_CURVE('',#153405,#153403,#75018,.T.); #189425=EDGE_CURVE('',#153406,#153404,#75019,.T.); #189426=EDGE_CURVE('',#153405,#153406,#75020,.T.); #189427=EDGE_CURVE('',#153407,#153405,#75021,.T.); #189428=EDGE_CURVE('',#153408,#153406,#75022,.T.); #189429=EDGE_CURVE('',#153407,#153408,#75023,.T.); #189430=EDGE_CURVE('',#153409,#153407,#75024,.T.); #189431=EDGE_CURVE('',#153410,#153408,#75025,.T.); #189432=EDGE_CURVE('',#153409,#153410,#75026,.T.); #189433=EDGE_CURVE('',#153411,#153409,#75027,.T.); #189434=EDGE_CURVE('',#153412,#153410,#75028,.T.); #189435=EDGE_CURVE('',#153411,#153412,#75029,.T.); #189436=EDGE_CURVE('',#153413,#153411,#75030,.T.); #189437=EDGE_CURVE('',#153414,#153412,#75031,.T.); #189438=EDGE_CURVE('',#153413,#153414,#75032,.T.); #189439=EDGE_CURVE('',#153415,#153413,#75033,.T.); #189440=EDGE_CURVE('',#153416,#153414,#75034,.T.); #189441=EDGE_CURVE('',#153415,#153416,#75035,.T.); #189442=EDGE_CURVE('',#153417,#153415,#75036,.T.); #189443=EDGE_CURVE('',#153418,#153416,#75037,.T.); #189444=EDGE_CURVE('',#153417,#153418,#75038,.T.); #189445=EDGE_CURVE('',#153419,#153417,#75039,.T.); #189446=EDGE_CURVE('',#153420,#153418,#75040,.T.); #189447=EDGE_CURVE('',#153419,#153420,#75041,.T.); #189448=EDGE_CURVE('',#153421,#153419,#75042,.T.); #189449=EDGE_CURVE('',#153422,#153420,#75043,.T.); #189450=EDGE_CURVE('',#153421,#153422,#75044,.T.); #189451=EDGE_CURVE('',#153423,#153421,#75045,.T.); #189452=EDGE_CURVE('',#153424,#153422,#75046,.T.); #189453=EDGE_CURVE('',#153423,#153424,#75047,.T.); #189454=EDGE_CURVE('',#153425,#153423,#75048,.T.); #189455=EDGE_CURVE('',#153426,#153424,#75049,.T.); #189456=EDGE_CURVE('',#153425,#153426,#75050,.T.); #189457=EDGE_CURVE('',#153427,#153425,#75051,.T.); #189458=EDGE_CURVE('',#153428,#153426,#75052,.T.); #189459=EDGE_CURVE('',#153427,#153428,#75053,.T.); #189460=EDGE_CURVE('',#153429,#153427,#75054,.T.); #189461=EDGE_CURVE('',#153430,#153428,#75055,.T.); #189462=EDGE_CURVE('',#153429,#153430,#75056,.T.); #189463=EDGE_CURVE('',#153431,#153429,#75057,.T.); #189464=EDGE_CURVE('',#153432,#153430,#75058,.T.); #189465=EDGE_CURVE('',#153431,#153432,#75059,.T.); #189466=EDGE_CURVE('',#153433,#153431,#75060,.T.); #189467=EDGE_CURVE('',#153434,#153432,#75061,.T.); #189468=EDGE_CURVE('',#153433,#153434,#75062,.T.); #189469=EDGE_CURVE('',#153435,#153433,#75063,.T.); #189470=EDGE_CURVE('',#153436,#153434,#75064,.T.); #189471=EDGE_CURVE('',#153435,#153436,#75065,.T.); #189472=EDGE_CURVE('',#153437,#153435,#75066,.T.); #189473=EDGE_CURVE('',#153438,#153436,#75067,.T.); #189474=EDGE_CURVE('',#153437,#153438,#75068,.T.); #189475=EDGE_CURVE('',#153439,#153437,#75069,.T.); #189476=EDGE_CURVE('',#153440,#153438,#75070,.T.); #189477=EDGE_CURVE('',#153439,#153440,#75071,.T.); #189478=EDGE_CURVE('',#153441,#153439,#75072,.T.); #189479=EDGE_CURVE('',#153442,#153440,#75073,.T.); #189480=EDGE_CURVE('',#153441,#153442,#75074,.T.); #189481=EDGE_CURVE('',#153443,#153441,#75075,.T.); #189482=EDGE_CURVE('',#153444,#153442,#75076,.T.); #189483=EDGE_CURVE('',#153443,#153444,#75077,.T.); #189484=EDGE_CURVE('',#153445,#153443,#75078,.T.); #189485=EDGE_CURVE('',#153446,#153444,#75079,.T.); #189486=EDGE_CURVE('',#153445,#153446,#75080,.T.); #189487=EDGE_CURVE('',#153447,#153445,#75081,.T.); #189488=EDGE_CURVE('',#153448,#153446,#75082,.T.); #189489=EDGE_CURVE('',#153447,#153448,#75083,.T.); #189490=EDGE_CURVE('',#153449,#153447,#75084,.T.); #189491=EDGE_CURVE('',#153450,#153448,#75085,.T.); #189492=EDGE_CURVE('',#153449,#153450,#75086,.T.); #189493=EDGE_CURVE('',#153451,#153449,#75087,.T.); #189494=EDGE_CURVE('',#153452,#153450,#75088,.T.); #189495=EDGE_CURVE('',#153451,#153452,#75089,.T.); #189496=EDGE_CURVE('',#153453,#153451,#75090,.T.); #189497=EDGE_CURVE('',#153454,#153452,#75091,.T.); #189498=EDGE_CURVE('',#153453,#153454,#75092,.T.); #189499=EDGE_CURVE('',#153455,#153453,#75093,.T.); #189500=EDGE_CURVE('',#153456,#153454,#75094,.T.); #189501=EDGE_CURVE('',#153455,#153456,#75095,.T.); #189502=EDGE_CURVE('',#153457,#153455,#75096,.T.); #189503=EDGE_CURVE('',#153458,#153456,#75097,.T.); #189504=EDGE_CURVE('',#153457,#153458,#75098,.T.); #189505=EDGE_CURVE('',#153459,#153457,#75099,.T.); #189506=EDGE_CURVE('',#153460,#153458,#75100,.T.); #189507=EDGE_CURVE('',#153459,#153460,#75101,.T.); #189508=EDGE_CURVE('',#153461,#153459,#75102,.T.); #189509=EDGE_CURVE('',#153462,#153460,#75103,.T.); #189510=EDGE_CURVE('',#153461,#153462,#75104,.T.); #189511=EDGE_CURVE('',#153463,#153461,#75105,.T.); #189512=EDGE_CURVE('',#153464,#153462,#75106,.T.); #189513=EDGE_CURVE('',#153463,#153464,#75107,.T.); #189514=EDGE_CURVE('',#153465,#153463,#75108,.T.); #189515=EDGE_CURVE('',#153466,#153464,#75109,.T.); #189516=EDGE_CURVE('',#153465,#153466,#75110,.T.); #189517=EDGE_CURVE('',#153467,#153465,#75111,.T.); #189518=EDGE_CURVE('',#153468,#153466,#75112,.T.); #189519=EDGE_CURVE('',#153467,#153468,#75113,.T.); #189520=EDGE_CURVE('',#153469,#153467,#75114,.T.); #189521=EDGE_CURVE('',#153470,#153468,#75115,.T.); #189522=EDGE_CURVE('',#153469,#153470,#75116,.T.); #189523=EDGE_CURVE('',#153471,#153469,#75117,.T.); #189524=EDGE_CURVE('',#153472,#153470,#75118,.T.); #189525=EDGE_CURVE('',#153471,#153472,#75119,.T.); #189526=EDGE_CURVE('',#153473,#153471,#75120,.T.); #189527=EDGE_CURVE('',#153474,#153472,#75121,.T.); #189528=EDGE_CURVE('',#153473,#153474,#75122,.T.); #189529=EDGE_CURVE('',#153475,#153473,#75123,.T.); #189530=EDGE_CURVE('',#153476,#153474,#75124,.T.); #189531=EDGE_CURVE('',#153475,#153476,#75125,.T.); #189532=EDGE_CURVE('',#153477,#153475,#75126,.T.); #189533=EDGE_CURVE('',#153478,#153476,#75127,.T.); #189534=EDGE_CURVE('',#153477,#153478,#75128,.T.); #189535=EDGE_CURVE('',#153479,#153477,#75129,.T.); #189536=EDGE_CURVE('',#153480,#153478,#75130,.T.); #189537=EDGE_CURVE('',#153479,#153480,#75131,.T.); #189538=EDGE_CURVE('',#153481,#153479,#75132,.T.); #189539=EDGE_CURVE('',#153482,#153480,#75133,.T.); #189540=EDGE_CURVE('',#153481,#153482,#75134,.T.); #189541=EDGE_CURVE('',#153483,#153481,#75135,.T.); #189542=EDGE_CURVE('',#153484,#153482,#75136,.T.); #189543=EDGE_CURVE('',#153483,#153484,#75137,.T.); #189544=EDGE_CURVE('',#153485,#153483,#75138,.T.); #189545=EDGE_CURVE('',#153486,#153484,#75139,.T.); #189546=EDGE_CURVE('',#153485,#153486,#75140,.T.); #189547=EDGE_CURVE('',#153487,#153485,#75141,.T.); #189548=EDGE_CURVE('',#153488,#153486,#75142,.T.); #189549=EDGE_CURVE('',#153487,#153488,#75143,.T.); #189550=EDGE_CURVE('',#153489,#153487,#75144,.T.); #189551=EDGE_CURVE('',#153490,#153488,#75145,.T.); #189552=EDGE_CURVE('',#153489,#153490,#75146,.T.); #189553=EDGE_CURVE('',#153491,#153489,#75147,.T.); #189554=EDGE_CURVE('',#153492,#153490,#75148,.T.); #189555=EDGE_CURVE('',#153491,#153492,#75149,.T.); #189556=EDGE_CURVE('',#153493,#153491,#75150,.T.); #189557=EDGE_CURVE('',#153494,#153492,#75151,.T.); #189558=EDGE_CURVE('',#153493,#153494,#75152,.T.); #189559=EDGE_CURVE('',#153495,#153493,#75153,.T.); #189560=EDGE_CURVE('',#153496,#153494,#75154,.T.); #189561=EDGE_CURVE('',#153495,#153496,#75155,.T.); #189562=EDGE_CURVE('',#153497,#153495,#75156,.T.); #189563=EDGE_CURVE('',#153498,#153496,#75157,.T.); #189564=EDGE_CURVE('',#153497,#153498,#75158,.T.); #189565=EDGE_CURVE('',#153499,#153497,#75159,.T.); #189566=EDGE_CURVE('',#153500,#153498,#75160,.T.); #189567=EDGE_CURVE('',#153499,#153500,#75161,.T.); #189568=EDGE_CURVE('',#153501,#153499,#75162,.T.); #189569=EDGE_CURVE('',#153502,#153500,#75163,.T.); #189570=EDGE_CURVE('',#153501,#153502,#75164,.T.); #189571=EDGE_CURVE('',#153503,#153501,#75165,.T.); #189572=EDGE_CURVE('',#153504,#153502,#75166,.T.); #189573=EDGE_CURVE('',#153503,#153504,#75167,.T.); #189574=EDGE_CURVE('',#153505,#153503,#75168,.T.); #189575=EDGE_CURVE('',#153506,#153504,#75169,.T.); #189576=EDGE_CURVE('',#153505,#153506,#75170,.T.); #189577=EDGE_CURVE('',#153507,#153505,#75171,.T.); #189578=EDGE_CURVE('',#153508,#153506,#75172,.T.); #189579=EDGE_CURVE('',#153507,#153508,#75173,.T.); #189580=EDGE_CURVE('',#153509,#153507,#75174,.T.); #189581=EDGE_CURVE('',#153510,#153508,#75175,.T.); #189582=EDGE_CURVE('',#153509,#153510,#75176,.T.); #189583=EDGE_CURVE('',#153511,#153509,#75177,.T.); #189584=EDGE_CURVE('',#153512,#153510,#75178,.T.); #189585=EDGE_CURVE('',#153511,#153512,#75179,.T.); #189586=EDGE_CURVE('',#153513,#153511,#75180,.T.); #189587=EDGE_CURVE('',#153514,#153512,#75181,.T.); #189588=EDGE_CURVE('',#153513,#153514,#75182,.T.); #189589=EDGE_CURVE('',#153515,#153513,#75183,.T.); #189590=EDGE_CURVE('',#153516,#153514,#75184,.T.); #189591=EDGE_CURVE('',#153515,#153516,#75185,.T.); #189592=EDGE_CURVE('',#153517,#153515,#75186,.T.); #189593=EDGE_CURVE('',#153518,#153516,#75187,.T.); #189594=EDGE_CURVE('',#153517,#153518,#75188,.T.); #189595=EDGE_CURVE('',#153519,#153517,#75189,.T.); #189596=EDGE_CURVE('',#153520,#153518,#75190,.T.); #189597=EDGE_CURVE('',#153519,#153520,#75191,.T.); #189598=EDGE_CURVE('',#153521,#153519,#75192,.T.); #189599=EDGE_CURVE('',#153522,#153520,#75193,.T.); #189600=EDGE_CURVE('',#153521,#153522,#75194,.T.); #189601=EDGE_CURVE('',#153523,#153521,#75195,.T.); #189602=EDGE_CURVE('',#153524,#153522,#75196,.T.); #189603=EDGE_CURVE('',#153523,#153524,#75197,.T.); #189604=EDGE_CURVE('',#153382,#153523,#75198,.T.); #189605=EDGE_CURVE('',#153383,#153524,#75199,.T.); #189606=EDGE_CURVE('',#153525,#153525,#136267,.T.); #189607=EDGE_CURVE('',#153525,#153526,#75200,.T.); #189608=EDGE_CURVE('',#153526,#153526,#136268,.T.); #189609=EDGE_CURVE('',#153527,#153528,#75201,.T.); #189610=EDGE_CURVE('',#153528,#153529,#75202,.T.); #189611=EDGE_CURVE('',#153530,#153529,#75203,.T.); #189612=EDGE_CURVE('',#153527,#153530,#75204,.T.); #189613=EDGE_CURVE('',#153531,#153527,#136269,.T.); #189614=EDGE_CURVE('',#153532,#153530,#136270,.T.); #189615=EDGE_CURVE('',#153531,#153532,#75205,.T.); #189616=EDGE_CURVE('',#153528,#153531,#136271,.T.); #189617=EDGE_CURVE('',#153529,#153532,#136272,.T.); #189618=EDGE_CURVE('',#153533,#153533,#136273,.T.); #189619=EDGE_CURVE('',#153533,#153534,#75206,.T.); #189620=EDGE_CURVE('',#153534,#153534,#136274,.T.); #189621=EDGE_CURVE('',#153535,#153536,#75207,.T.); #189622=EDGE_CURVE('',#153536,#153537,#75208,.T.); #189623=EDGE_CURVE('',#153538,#153537,#75209,.T.); #189624=EDGE_CURVE('',#153535,#153538,#75210,.T.); #189625=EDGE_CURVE('',#153539,#153535,#136275,.T.); #189626=EDGE_CURVE('',#153540,#153538,#136276,.T.); #189627=EDGE_CURVE('',#153539,#153540,#75211,.T.); #189628=EDGE_CURVE('',#153536,#153539,#136277,.T.); #189629=EDGE_CURVE('',#153537,#153540,#136278,.T.); #189630=EDGE_CURVE('',#153541,#153542,#75212,.T.); #189631=EDGE_CURVE('',#153543,#153541,#75213,.T.); #189632=EDGE_CURVE('',#153544,#153543,#75214,.T.); #189633=EDGE_CURVE('',#153544,#153542,#75215,.T.); #189634=EDGE_CURVE('',#153542,#153545,#136279,.T.); #189635=EDGE_CURVE('',#153546,#153544,#136280,.T.); #189636=EDGE_CURVE('',#153546,#153545,#75216,.T.); #189637=EDGE_CURVE('',#153545,#153547,#75217,.T.); #189638=EDGE_CURVE('',#153548,#153546,#75218,.T.); #189639=EDGE_CURVE('',#153548,#153547,#75219,.T.); #189640=EDGE_CURVE('',#153547,#153541,#136281,.T.); #189641=EDGE_CURVE('',#153543,#153548,#136282,.T.); #189642=EDGE_CURVE('',#153549,#153550,#75220,.T.); #189643=EDGE_CURVE('',#153550,#153551,#75221,.T.); #189644=EDGE_CURVE('',#153552,#153551,#75222,.T.); #189645=EDGE_CURVE('',#153549,#153552,#75223,.T.); #189646=EDGE_CURVE('',#153553,#153549,#75224,.T.); #189647=EDGE_CURVE('',#153554,#153552,#75225,.T.); #189648=EDGE_CURVE('',#153553,#153554,#75226,.T.); #189649=EDGE_CURVE('',#153555,#153553,#75227,.T.); #189650=EDGE_CURVE('',#153556,#153554,#75228,.T.); #189651=EDGE_CURVE('',#153555,#153556,#75229,.T.); #189652=EDGE_CURVE('',#153557,#153555,#75230,.T.); #189653=EDGE_CURVE('',#153558,#153556,#75231,.T.); #189654=EDGE_CURVE('',#153557,#153558,#75232,.T.); #189655=EDGE_CURVE('',#153559,#153557,#75233,.T.); #189656=EDGE_CURVE('',#153560,#153558,#75234,.T.); #189657=EDGE_CURVE('',#153559,#153560,#75235,.T.); #189658=EDGE_CURVE('',#153561,#153559,#75236,.T.); #189659=EDGE_CURVE('',#153562,#153560,#75237,.T.); #189660=EDGE_CURVE('',#153561,#153562,#75238,.T.); #189661=EDGE_CURVE('',#153563,#153561,#75239,.T.); #189662=EDGE_CURVE('',#153564,#153562,#75240,.T.); #189663=EDGE_CURVE('',#153563,#153564,#75241,.T.); #189664=EDGE_CURVE('',#153565,#153563,#75242,.T.); #189665=EDGE_CURVE('',#153566,#153564,#75243,.T.); #189666=EDGE_CURVE('',#153565,#153566,#75244,.T.); #189667=EDGE_CURVE('',#153567,#153565,#75245,.T.); #189668=EDGE_CURVE('',#153568,#153566,#75246,.T.); #189669=EDGE_CURVE('',#153567,#153568,#75247,.T.); #189670=EDGE_CURVE('',#153569,#153567,#75248,.T.); #189671=EDGE_CURVE('',#153570,#153568,#75249,.T.); #189672=EDGE_CURVE('',#153569,#153570,#75250,.T.); #189673=EDGE_CURVE('',#153571,#153569,#75251,.T.); #189674=EDGE_CURVE('',#153572,#153570,#75252,.T.); #189675=EDGE_CURVE('',#153571,#153572,#75253,.T.); #189676=EDGE_CURVE('',#153573,#153571,#75254,.T.); #189677=EDGE_CURVE('',#153574,#153572,#75255,.T.); #189678=EDGE_CURVE('',#153573,#153574,#75256,.T.); #189679=EDGE_CURVE('',#153575,#153573,#75257,.T.); #189680=EDGE_CURVE('',#153576,#153574,#75258,.T.); #189681=EDGE_CURVE('',#153575,#153576,#75259,.T.); #189682=EDGE_CURVE('',#153577,#153575,#75260,.T.); #189683=EDGE_CURVE('',#153578,#153576,#75261,.T.); #189684=EDGE_CURVE('',#153577,#153578,#75262,.T.); #189685=EDGE_CURVE('',#153579,#153577,#75263,.T.); #189686=EDGE_CURVE('',#153580,#153578,#75264,.T.); #189687=EDGE_CURVE('',#153579,#153580,#75265,.T.); #189688=EDGE_CURVE('',#153581,#153579,#75266,.T.); #189689=EDGE_CURVE('',#153582,#153580,#75267,.T.); #189690=EDGE_CURVE('',#153581,#153582,#75268,.T.); #189691=EDGE_CURVE('',#153583,#153581,#75269,.T.); #189692=EDGE_CURVE('',#153584,#153582,#75270,.T.); #189693=EDGE_CURVE('',#153583,#153584,#75271,.T.); #189694=EDGE_CURVE('',#153585,#153583,#75272,.T.); #189695=EDGE_CURVE('',#153586,#153584,#75273,.T.); #189696=EDGE_CURVE('',#153585,#153586,#75274,.T.); #189697=EDGE_CURVE('',#153587,#153585,#75275,.T.); #189698=EDGE_CURVE('',#153588,#153586,#75276,.T.); #189699=EDGE_CURVE('',#153587,#153588,#75277,.T.); #189700=EDGE_CURVE('',#153589,#153587,#75278,.T.); #189701=EDGE_CURVE('',#153590,#153588,#75279,.T.); #189702=EDGE_CURVE('',#153589,#153590,#75280,.T.); #189703=EDGE_CURVE('',#153591,#153589,#75281,.T.); #189704=EDGE_CURVE('',#153592,#153590,#75282,.T.); #189705=EDGE_CURVE('',#153591,#153592,#75283,.T.); #189706=EDGE_CURVE('',#153593,#153591,#75284,.T.); #189707=EDGE_CURVE('',#153594,#153592,#75285,.T.); #189708=EDGE_CURVE('',#153593,#153594,#75286,.T.); #189709=EDGE_CURVE('',#153595,#153593,#75287,.T.); #189710=EDGE_CURVE('',#153596,#153594,#75288,.T.); #189711=EDGE_CURVE('',#153595,#153596,#75289,.T.); #189712=EDGE_CURVE('',#153597,#153595,#75290,.T.); #189713=EDGE_CURVE('',#153598,#153596,#75291,.T.); #189714=EDGE_CURVE('',#153597,#153598,#75292,.T.); #189715=EDGE_CURVE('',#153599,#153597,#75293,.T.); #189716=EDGE_CURVE('',#153600,#153598,#75294,.T.); #189717=EDGE_CURVE('',#153599,#153600,#75295,.T.); #189718=EDGE_CURVE('',#153601,#153599,#75296,.T.); #189719=EDGE_CURVE('',#153602,#153600,#75297,.T.); #189720=EDGE_CURVE('',#153601,#153602,#75298,.T.); #189721=EDGE_CURVE('',#153603,#153601,#75299,.T.); #189722=EDGE_CURVE('',#153604,#153602,#75300,.T.); #189723=EDGE_CURVE('',#153603,#153604,#75301,.T.); #189724=EDGE_CURVE('',#153605,#153603,#75302,.T.); #189725=EDGE_CURVE('',#153606,#153604,#75303,.T.); #189726=EDGE_CURVE('',#153605,#153606,#75304,.T.); #189727=EDGE_CURVE('',#153607,#153605,#75305,.T.); #189728=EDGE_CURVE('',#153608,#153606,#75306,.T.); #189729=EDGE_CURVE('',#153607,#153608,#75307,.T.); #189730=EDGE_CURVE('',#153609,#153607,#75308,.T.); #189731=EDGE_CURVE('',#153610,#153608,#75309,.T.); #189732=EDGE_CURVE('',#153609,#153610,#75310,.T.); #189733=EDGE_CURVE('',#153611,#153609,#75311,.T.); #189734=EDGE_CURVE('',#153612,#153610,#75312,.T.); #189735=EDGE_CURVE('',#153611,#153612,#75313,.T.); #189736=EDGE_CURVE('',#153613,#153611,#75314,.T.); #189737=EDGE_CURVE('',#153614,#153612,#75315,.T.); #189738=EDGE_CURVE('',#153613,#153614,#75316,.T.); #189739=EDGE_CURVE('',#153615,#153613,#75317,.T.); #189740=EDGE_CURVE('',#153616,#153614,#75318,.T.); #189741=EDGE_CURVE('',#153615,#153616,#75319,.T.); #189742=EDGE_CURVE('',#153617,#153615,#75320,.T.); #189743=EDGE_CURVE('',#153618,#153616,#75321,.T.); #189744=EDGE_CURVE('',#153617,#153618,#75322,.T.); #189745=EDGE_CURVE('',#153619,#153617,#75323,.T.); #189746=EDGE_CURVE('',#153620,#153618,#75324,.T.); #189747=EDGE_CURVE('',#153619,#153620,#75325,.T.); #189748=EDGE_CURVE('',#153621,#153619,#75326,.T.); #189749=EDGE_CURVE('',#153622,#153620,#75327,.T.); #189750=EDGE_CURVE('',#153621,#153622,#75328,.T.); #189751=EDGE_CURVE('',#153623,#153621,#75329,.T.); #189752=EDGE_CURVE('',#153624,#153622,#75330,.T.); #189753=EDGE_CURVE('',#153623,#153624,#75331,.T.); #189754=EDGE_CURVE('',#153625,#153623,#75332,.T.); #189755=EDGE_CURVE('',#153626,#153624,#75333,.T.); #189756=EDGE_CURVE('',#153625,#153626,#75334,.T.); #189757=EDGE_CURVE('',#153627,#153625,#75335,.T.); #189758=EDGE_CURVE('',#153628,#153626,#75336,.T.); #189759=EDGE_CURVE('',#153627,#153628,#75337,.T.); #189760=EDGE_CURVE('',#153629,#153627,#75338,.T.); #189761=EDGE_CURVE('',#153630,#153628,#75339,.T.); #189762=EDGE_CURVE('',#153629,#153630,#75340,.T.); #189763=EDGE_CURVE('',#153631,#153629,#75341,.T.); #189764=EDGE_CURVE('',#153632,#153630,#75342,.T.); #189765=EDGE_CURVE('',#153631,#153632,#75343,.T.); #189766=EDGE_CURVE('',#153633,#153631,#75344,.T.); #189767=EDGE_CURVE('',#153634,#153632,#75345,.T.); #189768=EDGE_CURVE('',#153633,#153634,#75346,.T.); #189769=EDGE_CURVE('',#153635,#153633,#75347,.T.); #189770=EDGE_CURVE('',#153636,#153634,#75348,.T.); #189771=EDGE_CURVE('',#153635,#153636,#75349,.T.); #189772=EDGE_CURVE('',#153637,#153635,#75350,.T.); #189773=EDGE_CURVE('',#153638,#153636,#75351,.T.); #189774=EDGE_CURVE('',#153637,#153638,#75352,.T.); #189775=EDGE_CURVE('',#153639,#153637,#75353,.T.); #189776=EDGE_CURVE('',#153640,#153638,#75354,.T.); #189777=EDGE_CURVE('',#153639,#153640,#75355,.T.); #189778=EDGE_CURVE('',#153641,#153639,#75356,.T.); #189779=EDGE_CURVE('',#153642,#153640,#75357,.T.); #189780=EDGE_CURVE('',#153641,#153642,#75358,.T.); #189781=EDGE_CURVE('',#153643,#153641,#75359,.T.); #189782=EDGE_CURVE('',#153644,#153642,#75360,.T.); #189783=EDGE_CURVE('',#153643,#153644,#75361,.T.); #189784=EDGE_CURVE('',#153645,#153643,#75362,.T.); #189785=EDGE_CURVE('',#153646,#153644,#75363,.T.); #189786=EDGE_CURVE('',#153645,#153646,#75364,.T.); #189787=EDGE_CURVE('',#153647,#153645,#75365,.T.); #189788=EDGE_CURVE('',#153648,#153646,#75366,.T.); #189789=EDGE_CURVE('',#153647,#153648,#75367,.T.); #189790=EDGE_CURVE('',#153649,#153647,#75368,.T.); #189791=EDGE_CURVE('',#153650,#153648,#75369,.T.); #189792=EDGE_CURVE('',#153649,#153650,#75370,.T.); #189793=EDGE_CURVE('',#153651,#153649,#75371,.T.); #189794=EDGE_CURVE('',#153652,#153650,#75372,.T.); #189795=EDGE_CURVE('',#153651,#153652,#75373,.T.); #189796=EDGE_CURVE('',#153653,#153651,#75374,.T.); #189797=EDGE_CURVE('',#153654,#153652,#75375,.T.); #189798=EDGE_CURVE('',#153653,#153654,#75376,.T.); #189799=EDGE_CURVE('',#153655,#153653,#75377,.T.); #189800=EDGE_CURVE('',#153656,#153654,#75378,.T.); #189801=EDGE_CURVE('',#153655,#153656,#75379,.T.); #189802=EDGE_CURVE('',#153657,#153655,#75380,.T.); #189803=EDGE_CURVE('',#153658,#153656,#75381,.T.); #189804=EDGE_CURVE('',#153657,#153658,#75382,.T.); #189805=EDGE_CURVE('',#153659,#153657,#75383,.T.); #189806=EDGE_CURVE('',#153660,#153658,#75384,.T.); #189807=EDGE_CURVE('',#153659,#153660,#75385,.T.); #189808=EDGE_CURVE('',#153550,#153659,#75386,.T.); #189809=EDGE_CURVE('',#153551,#153660,#75387,.T.); #189810=EDGE_CURVE('',#153661,#153661,#136283,.T.); #189811=EDGE_CURVE('',#153661,#153662,#75388,.T.); #189812=EDGE_CURVE('',#153662,#153662,#136284,.T.); #189813=EDGE_CURVE('',#153663,#153664,#75389,.T.); #189814=EDGE_CURVE('',#153664,#153665,#75390,.T.); #189815=EDGE_CURVE('',#153666,#153665,#75391,.T.); #189816=EDGE_CURVE('',#153663,#153666,#75392,.T.); #189817=EDGE_CURVE('',#153667,#153663,#136285,.T.); #189818=EDGE_CURVE('',#153668,#153666,#136286,.T.); #189819=EDGE_CURVE('',#153667,#153668,#75393,.T.); #189820=EDGE_CURVE('',#153664,#153667,#136287,.T.); #189821=EDGE_CURVE('',#153665,#153668,#136288,.T.); #189822=EDGE_CURVE('',#153669,#153669,#136289,.T.); #189823=EDGE_CURVE('',#153669,#153670,#75394,.T.); #189824=EDGE_CURVE('',#153670,#153670,#136290,.T.); #189825=EDGE_CURVE('',#153671,#153672,#75395,.T.); #189826=EDGE_CURVE('',#153672,#153673,#75396,.T.); #189827=EDGE_CURVE('',#153674,#153673,#75397,.T.); #189828=EDGE_CURVE('',#153671,#153674,#75398,.T.); #189829=EDGE_CURVE('',#153675,#153671,#136291,.T.); #189830=EDGE_CURVE('',#153676,#153674,#136292,.T.); #189831=EDGE_CURVE('',#153675,#153676,#75399,.T.); #189832=EDGE_CURVE('',#153672,#153675,#136293,.T.); #189833=EDGE_CURVE('',#153673,#153676,#136294,.T.); #189834=EDGE_CURVE('',#153677,#153677,#136295,.T.); #189835=EDGE_CURVE('',#153677,#153678,#75400,.T.); #189836=EDGE_CURVE('',#153678,#153678,#136296,.T.); #189837=EDGE_CURVE('',#153679,#153680,#75401,.T.); #189838=EDGE_CURVE('',#153680,#153681,#75402,.T.); #189839=EDGE_CURVE('',#153682,#153681,#75403,.T.); #189840=EDGE_CURVE('',#153679,#153682,#75404,.T.); #189841=EDGE_CURVE('',#153683,#153679,#136297,.T.); #189842=EDGE_CURVE('',#153684,#153682,#136298,.T.); #189843=EDGE_CURVE('',#153683,#153684,#75405,.T.); #189844=EDGE_CURVE('',#153680,#153683,#136299,.T.); #189845=EDGE_CURVE('',#153681,#153684,#136300,.T.); #189846=EDGE_CURVE('',#153685,#153685,#136301,.T.); #189847=EDGE_CURVE('',#153685,#153686,#75406,.T.); #189848=EDGE_CURVE('',#153686,#153686,#136302,.T.); #189849=EDGE_CURVE('',#153687,#153688,#75407,.T.); #189850=EDGE_CURVE('',#153688,#153689,#75408,.T.); #189851=EDGE_CURVE('',#153690,#153689,#75409,.T.); #189852=EDGE_CURVE('',#153687,#153690,#75410,.T.); #189853=EDGE_CURVE('',#153691,#153687,#75411,.T.); #189854=EDGE_CURVE('',#153692,#153690,#75412,.T.); #189855=EDGE_CURVE('',#153691,#153692,#75413,.T.); #189856=EDGE_CURVE('',#153693,#153691,#75414,.T.); #189857=EDGE_CURVE('',#153694,#153692,#75415,.T.); #189858=EDGE_CURVE('',#153693,#153694,#75416,.T.); #189859=EDGE_CURVE('',#153688,#153693,#75417,.T.); #189860=EDGE_CURVE('',#153689,#153694,#75418,.T.); #189861=EDGE_CURVE('',#153695,#153695,#136303,.T.); #189862=EDGE_CURVE('',#153695,#153696,#75419,.T.); #189863=EDGE_CURVE('',#153696,#153696,#136304,.T.); #189864=EDGE_CURVE('',#153697,#153698,#75420,.T.); #189865=EDGE_CURVE('',#153698,#153699,#75421,.T.); #189866=EDGE_CURVE('',#153700,#153699,#75422,.T.); #189867=EDGE_CURVE('',#153697,#153700,#75423,.T.); #189868=EDGE_CURVE('',#153701,#153697,#136305,.T.); #189869=EDGE_CURVE('',#153702,#153700,#136306,.T.); #189870=EDGE_CURVE('',#153701,#153702,#75424,.T.); #189871=EDGE_CURVE('',#153698,#153701,#136307,.T.); #189872=EDGE_CURVE('',#153699,#153702,#136308,.T.); #189873=EDGE_CURVE('',#153703,#153704,#75425,.T.); #189874=EDGE_CURVE('',#153704,#153705,#75426,.T.); #189875=EDGE_CURVE('',#153706,#153705,#75427,.T.); #189876=EDGE_CURVE('',#153703,#153706,#75428,.T.); #189877=EDGE_CURVE('',#153707,#153703,#75429,.T.); #189878=EDGE_CURVE('',#153708,#153706,#75430,.T.); #189879=EDGE_CURVE('',#153707,#153708,#75431,.T.); #189880=EDGE_CURVE('',#153709,#153707,#75432,.T.); #189881=EDGE_CURVE('',#153710,#153708,#75433,.T.); #189882=EDGE_CURVE('',#153709,#153710,#75434,.T.); #189883=EDGE_CURVE('',#153704,#153709,#75435,.T.); #189884=EDGE_CURVE('',#153705,#153710,#75436,.T.); #189885=EDGE_CURVE('',#153711,#153712,#75437,.T.); #189886=EDGE_CURVE('',#153712,#153713,#75438,.T.); #189887=EDGE_CURVE('',#153714,#153713,#75439,.T.); #189888=EDGE_CURVE('',#153711,#153714,#75440,.T.); #189889=EDGE_CURVE('',#153715,#153711,#75441,.T.); #189890=EDGE_CURVE('',#153716,#153714,#75442,.T.); #189891=EDGE_CURVE('',#153715,#153716,#75443,.T.); #189892=EDGE_CURVE('',#153717,#153715,#75444,.T.); #189893=EDGE_CURVE('',#153718,#153716,#75445,.T.); #189894=EDGE_CURVE('',#153717,#153718,#75446,.T.); #189895=EDGE_CURVE('',#153712,#153717,#75447,.T.); #189896=EDGE_CURVE('',#153713,#153718,#75448,.T.); #189897=EDGE_CURVE('',#153719,#153720,#75449,.T.); #189898=EDGE_CURVE('',#153720,#153721,#75450,.T.); #189899=EDGE_CURVE('',#153722,#153721,#75451,.T.); #189900=EDGE_CURVE('',#153719,#153722,#75452,.T.); #189901=EDGE_CURVE('',#153723,#153719,#75453,.T.); #189902=EDGE_CURVE('',#153724,#153722,#75454,.T.); #189903=EDGE_CURVE('',#153723,#153724,#75455,.T.); #189904=EDGE_CURVE('',#153725,#153723,#75456,.T.); #189905=EDGE_CURVE('',#153726,#153724,#75457,.T.); #189906=EDGE_CURVE('',#153725,#153726,#75458,.T.); #189907=EDGE_CURVE('',#153720,#153725,#75459,.T.); #189908=EDGE_CURVE('',#153721,#153726,#75460,.T.); #189909=EDGE_CURVE('',#153727,#153728,#75461,.T.); #189910=EDGE_CURVE('',#153728,#153729,#75462,.T.); #189911=EDGE_CURVE('',#153730,#153729,#75463,.T.); #189912=EDGE_CURVE('',#153727,#153730,#75464,.T.); #189913=EDGE_CURVE('',#153731,#153727,#75465,.T.); #189914=EDGE_CURVE('',#153732,#153730,#75466,.T.); #189915=EDGE_CURVE('',#153731,#153732,#75467,.T.); #189916=EDGE_CURVE('',#153733,#153731,#75468,.T.); #189917=EDGE_CURVE('',#153734,#153732,#75469,.T.); #189918=EDGE_CURVE('',#153733,#153734,#75470,.T.); #189919=EDGE_CURVE('',#153728,#153733,#75471,.T.); #189920=EDGE_CURVE('',#153729,#153734,#75472,.T.); #189921=EDGE_CURVE('',#153735,#153736,#75473,.T.); #189922=EDGE_CURVE('',#153736,#153737,#75474,.T.); #189923=EDGE_CURVE('',#153738,#153737,#75475,.T.); #189924=EDGE_CURVE('',#153735,#153738,#75476,.T.); #189925=EDGE_CURVE('',#153739,#153735,#75477,.T.); #189926=EDGE_CURVE('',#153740,#153738,#75478,.T.); #189927=EDGE_CURVE('',#153739,#153740,#75479,.T.); #189928=EDGE_CURVE('',#153741,#153739,#75480,.T.); #189929=EDGE_CURVE('',#153742,#153740,#75481,.T.); #189930=EDGE_CURVE('',#153741,#153742,#75482,.T.); #189931=EDGE_CURVE('',#153736,#153741,#75483,.T.); #189932=EDGE_CURVE('',#153737,#153742,#75484,.T.); #189933=EDGE_CURVE('',#153743,#153744,#75485,.T.); #189934=EDGE_CURVE('',#153744,#153745,#75486,.T.); #189935=EDGE_CURVE('',#153746,#153745,#75487,.T.); #189936=EDGE_CURVE('',#153743,#153746,#75488,.T.); #189937=EDGE_CURVE('',#153747,#153743,#75489,.T.); #189938=EDGE_CURVE('',#153748,#153746,#75490,.T.); #189939=EDGE_CURVE('',#153747,#153748,#75491,.T.); #189940=EDGE_CURVE('',#153749,#153747,#75492,.T.); #189941=EDGE_CURVE('',#153750,#153748,#75493,.T.); #189942=EDGE_CURVE('',#153749,#153750,#75494,.T.); #189943=EDGE_CURVE('',#153744,#153749,#75495,.T.); #189944=EDGE_CURVE('',#153745,#153750,#75496,.T.); #189945=EDGE_CURVE('',#153751,#153752,#75497,.T.); #189946=EDGE_CURVE('',#153752,#153753,#75498,.T.); #189947=EDGE_CURVE('',#153754,#153753,#75499,.T.); #189948=EDGE_CURVE('',#153751,#153754,#75500,.T.); #189949=EDGE_CURVE('',#153755,#153751,#75501,.T.); #189950=EDGE_CURVE('',#153756,#153754,#75502,.T.); #189951=EDGE_CURVE('',#153755,#153756,#75503,.T.); #189952=EDGE_CURVE('',#153757,#153755,#75504,.T.); #189953=EDGE_CURVE('',#153758,#153756,#75505,.T.); #189954=EDGE_CURVE('',#153757,#153758,#75506,.T.); #189955=EDGE_CURVE('',#153752,#153757,#75507,.T.); #189956=EDGE_CURVE('',#153753,#153758,#75508,.T.); #189957=EDGE_CURVE('',#153759,#153760,#75509,.T.); #189958=EDGE_CURVE('',#153760,#153761,#75510,.T.); #189959=EDGE_CURVE('',#153762,#153761,#75511,.T.); #189960=EDGE_CURVE('',#153759,#153762,#75512,.T.); #189961=EDGE_CURVE('',#153763,#153759,#75513,.T.); #189962=EDGE_CURVE('',#153764,#153762,#75514,.T.); #189963=EDGE_CURVE('',#153763,#153764,#75515,.T.); #189964=EDGE_CURVE('',#153765,#153763,#75516,.T.); #189965=EDGE_CURVE('',#153766,#153764,#75517,.T.); #189966=EDGE_CURVE('',#153765,#153766,#75518,.T.); #189967=EDGE_CURVE('',#153760,#153765,#75519,.T.); #189968=EDGE_CURVE('',#153761,#153766,#75520,.T.); #189969=EDGE_CURVE('',#153767,#153768,#75521,.T.); #189970=EDGE_CURVE('',#153768,#153769,#75522,.T.); #189971=EDGE_CURVE('',#153770,#153769,#75523,.T.); #189972=EDGE_CURVE('',#153767,#153770,#75524,.T.); #189973=EDGE_CURVE('',#153771,#153767,#75525,.T.); #189974=EDGE_CURVE('',#153772,#153770,#75526,.T.); #189975=EDGE_CURVE('',#153771,#153772,#75527,.T.); #189976=EDGE_CURVE('',#153773,#153771,#75528,.T.); #189977=EDGE_CURVE('',#153774,#153772,#75529,.T.); #189978=EDGE_CURVE('',#153773,#153774,#75530,.T.); #189979=EDGE_CURVE('',#153768,#153773,#75531,.T.); #189980=EDGE_CURVE('',#153769,#153774,#75532,.T.); #189981=EDGE_CURVE('',#153775,#153776,#75533,.T.); #189982=EDGE_CURVE('',#153776,#153777,#75534,.T.); #189983=EDGE_CURVE('',#153778,#153777,#75535,.T.); #189984=EDGE_CURVE('',#153775,#153778,#75536,.T.); #189985=EDGE_CURVE('',#153779,#153775,#75537,.T.); #189986=EDGE_CURVE('',#153780,#153778,#75538,.T.); #189987=EDGE_CURVE('',#153779,#153780,#75539,.T.); #189988=EDGE_CURVE('',#153781,#153779,#75540,.T.); #189989=EDGE_CURVE('',#153782,#153780,#75541,.T.); #189990=EDGE_CURVE('',#153781,#153782,#75542,.T.); #189991=EDGE_CURVE('',#153776,#153781,#75543,.T.); #189992=EDGE_CURVE('',#153777,#153782,#75544,.T.); #189993=EDGE_CURVE('',#153783,#153784,#75545,.T.); #189994=EDGE_CURVE('',#153784,#153785,#75546,.T.); #189995=EDGE_CURVE('',#153786,#153785,#75547,.T.); #189996=EDGE_CURVE('',#153783,#153786,#75548,.T.); #189997=EDGE_CURVE('',#153787,#153783,#75549,.T.); #189998=EDGE_CURVE('',#153788,#153786,#75550,.T.); #189999=EDGE_CURVE('',#153787,#153788,#75551,.T.); #190000=EDGE_CURVE('',#153789,#153787,#75552,.T.); #190001=EDGE_CURVE('',#153790,#153788,#75553,.T.); #190002=EDGE_CURVE('',#153789,#153790,#75554,.T.); #190003=EDGE_CURVE('',#153784,#153789,#75555,.T.); #190004=EDGE_CURVE('',#153785,#153790,#75556,.T.); #190005=EDGE_CURVE('',#153791,#153792,#75557,.T.); #190006=EDGE_CURVE('',#153792,#153793,#75558,.T.); #190007=EDGE_CURVE('',#153794,#153793,#75559,.T.); #190008=EDGE_CURVE('',#153791,#153794,#75560,.T.); #190009=EDGE_CURVE('',#153795,#153791,#75561,.T.); #190010=EDGE_CURVE('',#153796,#153794,#75562,.T.); #190011=EDGE_CURVE('',#153795,#153796,#75563,.T.); #190012=EDGE_CURVE('',#153797,#153795,#75564,.T.); #190013=EDGE_CURVE('',#153798,#153796,#75565,.T.); #190014=EDGE_CURVE('',#153797,#153798,#75566,.T.); #190015=EDGE_CURVE('',#153792,#153797,#75567,.T.); #190016=EDGE_CURVE('',#153793,#153798,#75568,.T.); #190017=EDGE_CURVE('',#153799,#153800,#75569,.T.); #190018=EDGE_CURVE('',#153800,#153801,#75570,.T.); #190019=EDGE_CURVE('',#153802,#153801,#75571,.T.); #190020=EDGE_CURVE('',#153799,#153802,#75572,.T.); #190021=EDGE_CURVE('',#153803,#153799,#75573,.T.); #190022=EDGE_CURVE('',#153804,#153802,#75574,.T.); #190023=EDGE_CURVE('',#153803,#153804,#75575,.T.); #190024=EDGE_CURVE('',#153805,#153803,#75576,.T.); #190025=EDGE_CURVE('',#153806,#153804,#75577,.T.); #190026=EDGE_CURVE('',#153805,#153806,#75578,.T.); #190027=EDGE_CURVE('',#153800,#153805,#75579,.T.); #190028=EDGE_CURVE('',#153801,#153806,#75580,.T.); #190029=EDGE_CURVE('',#153807,#153807,#136309,.T.); #190030=EDGE_CURVE('',#153807,#153808,#75581,.T.); #190031=EDGE_CURVE('',#153808,#153808,#136310,.T.); #190032=EDGE_CURVE('',#153809,#153809,#136311,.T.); #190033=EDGE_CURVE('',#153809,#153810,#75582,.T.); #190034=EDGE_CURVE('',#153810,#153810,#136312,.T.); #190035=EDGE_CURVE('',#153811,#153811,#136313,.T.); #190036=EDGE_CURVE('',#153811,#153812,#75583,.T.); #190037=EDGE_CURVE('',#153812,#153812,#136314,.T.); #190038=EDGE_CURVE('',#153813,#153813,#136315,.T.); #190039=EDGE_CURVE('',#153813,#153814,#75584,.T.); #190040=EDGE_CURVE('',#153814,#153814,#136316,.T.); #190041=EDGE_CURVE('',#153815,#153815,#136317,.T.); #190042=EDGE_CURVE('',#153815,#153816,#75585,.T.); #190043=EDGE_CURVE('',#153816,#153816,#136318,.T.); #190044=EDGE_CURVE('',#153817,#153817,#136319,.T.); #190045=EDGE_CURVE('',#153817,#153818,#75586,.T.); #190046=EDGE_CURVE('',#153818,#153818,#136320,.T.); #190047=EDGE_CURVE('',#153819,#153820,#75587,.T.); #190048=EDGE_CURVE('',#153820,#153821,#75588,.T.); #190049=EDGE_CURVE('',#153822,#153821,#75589,.T.); #190050=EDGE_CURVE('',#153819,#153822,#75590,.T.); #190051=EDGE_CURVE('',#153823,#153819,#75591,.T.); #190052=EDGE_CURVE('',#153824,#153822,#75592,.T.); #190053=EDGE_CURVE('',#153823,#153824,#75593,.T.); #190054=EDGE_CURVE('',#153825,#153823,#75594,.T.); #190055=EDGE_CURVE('',#153826,#153824,#75595,.T.); #190056=EDGE_CURVE('',#153825,#153826,#75596,.T.); #190057=EDGE_CURVE('',#153820,#153825,#75597,.T.); #190058=EDGE_CURVE('',#153821,#153826,#75598,.T.); #190059=EDGE_CURVE('',#153827,#153828,#75599,.T.); #190060=EDGE_CURVE('',#153828,#153829,#75600,.T.); #190061=EDGE_CURVE('',#153830,#153829,#75601,.T.); #190062=EDGE_CURVE('',#153827,#153830,#75602,.T.); #190063=EDGE_CURVE('',#153831,#153827,#75603,.T.); #190064=EDGE_CURVE('',#153832,#153830,#75604,.T.); #190065=EDGE_CURVE('',#153831,#153832,#75605,.T.); #190066=EDGE_CURVE('',#153833,#153831,#75606,.T.); #190067=EDGE_CURVE('',#153834,#153832,#75607,.T.); #190068=EDGE_CURVE('',#153833,#153834,#75608,.T.); #190069=EDGE_CURVE('',#153828,#153833,#75609,.T.); #190070=EDGE_CURVE('',#153829,#153834,#75610,.T.); #190071=EDGE_CURVE('',#153835,#153836,#75611,.T.); #190072=EDGE_CURVE('',#153836,#153837,#75612,.T.); #190073=EDGE_CURVE('',#153838,#153837,#75613,.T.); #190074=EDGE_CURVE('',#153835,#153838,#75614,.T.); #190075=EDGE_CURVE('',#153839,#153835,#75615,.T.); #190076=EDGE_CURVE('',#153840,#153838,#75616,.T.); #190077=EDGE_CURVE('',#153839,#153840,#75617,.T.); #190078=EDGE_CURVE('',#153841,#153839,#75618,.T.); #190079=EDGE_CURVE('',#153842,#153840,#75619,.T.); #190080=EDGE_CURVE('',#153841,#153842,#75620,.T.); #190081=EDGE_CURVE('',#153836,#153841,#75621,.T.); #190082=EDGE_CURVE('',#153837,#153842,#75622,.T.); #190083=EDGE_CURVE('',#153843,#153844,#75623,.T.); #190084=EDGE_CURVE('',#153844,#153845,#75624,.T.); #190085=EDGE_CURVE('',#153846,#153845,#75625,.T.); #190086=EDGE_CURVE('',#153843,#153846,#75626,.T.); #190087=EDGE_CURVE('',#153847,#153843,#75627,.T.); #190088=EDGE_CURVE('',#153848,#153846,#75628,.T.); #190089=EDGE_CURVE('',#153847,#153848,#75629,.T.); #190090=EDGE_CURVE('',#153849,#153847,#75630,.T.); #190091=EDGE_CURVE('',#153850,#153848,#75631,.T.); #190092=EDGE_CURVE('',#153849,#153850,#75632,.T.); #190093=EDGE_CURVE('',#153844,#153849,#75633,.T.); #190094=EDGE_CURVE('',#153845,#153850,#75634,.T.); #190095=EDGE_CURVE('',#153851,#153852,#75635,.T.); #190096=EDGE_CURVE('',#153852,#153853,#75636,.T.); #190097=EDGE_CURVE('',#153854,#153853,#75637,.T.); #190098=EDGE_CURVE('',#153851,#153854,#75638,.T.); #190099=EDGE_CURVE('',#153855,#153851,#75639,.T.); #190100=EDGE_CURVE('',#153856,#153854,#75640,.T.); #190101=EDGE_CURVE('',#153855,#153856,#75641,.T.); #190102=EDGE_CURVE('',#153857,#153855,#75642,.T.); #190103=EDGE_CURVE('',#153858,#153856,#75643,.T.); #190104=EDGE_CURVE('',#153857,#153858,#75644,.T.); #190105=EDGE_CURVE('',#153852,#153857,#75645,.T.); #190106=EDGE_CURVE('',#153853,#153858,#75646,.T.); #190107=EDGE_CURVE('',#153859,#153860,#75647,.T.); #190108=EDGE_CURVE('',#153860,#153861,#75648,.T.); #190109=EDGE_CURVE('',#153862,#153861,#75649,.T.); #190110=EDGE_CURVE('',#153859,#153862,#75650,.T.); #190111=EDGE_CURVE('',#153863,#153859,#75651,.T.); #190112=EDGE_CURVE('',#153864,#153862,#75652,.T.); #190113=EDGE_CURVE('',#153863,#153864,#75653,.T.); #190114=EDGE_CURVE('',#153865,#153863,#75654,.T.); #190115=EDGE_CURVE('',#153866,#153864,#75655,.T.); #190116=EDGE_CURVE('',#153865,#153866,#75656,.T.); #190117=EDGE_CURVE('',#153860,#153865,#75657,.T.); #190118=EDGE_CURVE('',#153861,#153866,#75658,.T.); #190119=EDGE_CURVE('',#153867,#153868,#75659,.T.); #190120=EDGE_CURVE('',#153868,#153869,#75660,.T.); #190121=EDGE_CURVE('',#153870,#153869,#75661,.T.); #190122=EDGE_CURVE('',#153867,#153870,#75662,.T.); #190123=EDGE_CURVE('',#153871,#153867,#75663,.T.); #190124=EDGE_CURVE('',#153872,#153870,#75664,.T.); #190125=EDGE_CURVE('',#153871,#153872,#75665,.T.); #190126=EDGE_CURVE('',#153873,#153871,#75666,.T.); #190127=EDGE_CURVE('',#153874,#153872,#75667,.T.); #190128=EDGE_CURVE('',#153873,#153874,#75668,.T.); #190129=EDGE_CURVE('',#153868,#153873,#75669,.T.); #190130=EDGE_CURVE('',#153869,#153874,#75670,.T.); #190131=EDGE_CURVE('',#153875,#153876,#75671,.T.); #190132=EDGE_CURVE('',#153876,#153877,#75672,.T.); #190133=EDGE_CURVE('',#153878,#153877,#75673,.T.); #190134=EDGE_CURVE('',#153875,#153878,#75674,.T.); #190135=EDGE_CURVE('',#153879,#153875,#75675,.T.); #190136=EDGE_CURVE('',#153880,#153878,#75676,.T.); #190137=EDGE_CURVE('',#153879,#153880,#75677,.T.); #190138=EDGE_CURVE('',#153881,#153879,#75678,.T.); #190139=EDGE_CURVE('',#153882,#153880,#75679,.T.); #190140=EDGE_CURVE('',#153881,#153882,#75680,.T.); #190141=EDGE_CURVE('',#153876,#153881,#75681,.T.); #190142=EDGE_CURVE('',#153877,#153882,#75682,.T.); #190143=EDGE_CURVE('',#153883,#153884,#75683,.T.); #190144=EDGE_CURVE('',#153884,#153885,#75684,.T.); #190145=EDGE_CURVE('',#153886,#153885,#75685,.T.); #190146=EDGE_CURVE('',#153883,#153886,#75686,.T.); #190147=EDGE_CURVE('',#153887,#153883,#75687,.T.); #190148=EDGE_CURVE('',#153888,#153886,#75688,.T.); #190149=EDGE_CURVE('',#153887,#153888,#75689,.T.); #190150=EDGE_CURVE('',#153889,#153887,#75690,.T.); #190151=EDGE_CURVE('',#153890,#153888,#75691,.T.); #190152=EDGE_CURVE('',#153889,#153890,#75692,.T.); #190153=EDGE_CURVE('',#153884,#153889,#75693,.T.); #190154=EDGE_CURVE('',#153885,#153890,#75694,.T.); #190155=EDGE_CURVE('',#153891,#153892,#75695,.T.); #190156=EDGE_CURVE('',#153892,#153893,#75696,.T.); #190157=EDGE_CURVE('',#153894,#153893,#75697,.T.); #190158=EDGE_CURVE('',#153891,#153894,#75698,.T.); #190159=EDGE_CURVE('',#153895,#153891,#75699,.T.); #190160=EDGE_CURVE('',#153896,#153894,#75700,.T.); #190161=EDGE_CURVE('',#153895,#153896,#75701,.T.); #190162=EDGE_CURVE('',#153897,#153895,#75702,.T.); #190163=EDGE_CURVE('',#153898,#153896,#75703,.T.); #190164=EDGE_CURVE('',#153897,#153898,#75704,.T.); #190165=EDGE_CURVE('',#153892,#153897,#75705,.T.); #190166=EDGE_CURVE('',#153893,#153898,#75706,.T.); #190167=EDGE_CURVE('',#153899,#153900,#75707,.T.); #190168=EDGE_CURVE('',#153900,#153901,#75708,.T.); #190169=EDGE_CURVE('',#153902,#153901,#75709,.T.); #190170=EDGE_CURVE('',#153899,#153902,#75710,.T.); #190171=EDGE_CURVE('',#153903,#153899,#75711,.T.); #190172=EDGE_CURVE('',#153904,#153902,#75712,.T.); #190173=EDGE_CURVE('',#153903,#153904,#75713,.T.); #190174=EDGE_CURVE('',#153905,#153903,#75714,.T.); #190175=EDGE_CURVE('',#153906,#153904,#75715,.T.); #190176=EDGE_CURVE('',#153905,#153906,#75716,.T.); #190177=EDGE_CURVE('',#153900,#153905,#75717,.T.); #190178=EDGE_CURVE('',#153901,#153906,#75718,.T.); #190179=EDGE_CURVE('',#153907,#153908,#75719,.T.); #190180=EDGE_CURVE('',#153908,#153909,#75720,.T.); #190181=EDGE_CURVE('',#153910,#153909,#75721,.T.); #190182=EDGE_CURVE('',#153907,#153910,#75722,.T.); #190183=EDGE_CURVE('',#153911,#153907,#75723,.T.); #190184=EDGE_CURVE('',#153912,#153910,#75724,.T.); #190185=EDGE_CURVE('',#153911,#153912,#75725,.T.); #190186=EDGE_CURVE('',#153913,#153911,#75726,.T.); #190187=EDGE_CURVE('',#153914,#153912,#75727,.T.); #190188=EDGE_CURVE('',#153913,#153914,#75728,.T.); #190189=EDGE_CURVE('',#153908,#153913,#75729,.T.); #190190=EDGE_CURVE('',#153909,#153914,#75730,.T.); #190191=EDGE_CURVE('',#153915,#153916,#75731,.T.); #190192=EDGE_CURVE('',#153916,#153917,#75732,.T.); #190193=EDGE_CURVE('',#153918,#153917,#75733,.T.); #190194=EDGE_CURVE('',#153915,#153918,#75734,.T.); #190195=EDGE_CURVE('',#153919,#153915,#75735,.T.); #190196=EDGE_CURVE('',#153920,#153918,#75736,.T.); #190197=EDGE_CURVE('',#153919,#153920,#75737,.T.); #190198=EDGE_CURVE('',#153921,#153919,#75738,.T.); #190199=EDGE_CURVE('',#153922,#153920,#75739,.T.); #190200=EDGE_CURVE('',#153921,#153922,#75740,.T.); #190201=EDGE_CURVE('',#153916,#153921,#75741,.T.); #190202=EDGE_CURVE('',#153917,#153922,#75742,.T.); #190203=EDGE_CURVE('',#153923,#153924,#75743,.T.); #190204=EDGE_CURVE('',#153924,#153925,#75744,.T.); #190205=EDGE_CURVE('',#153926,#153925,#75745,.T.); #190206=EDGE_CURVE('',#153923,#153926,#75746,.T.); #190207=EDGE_CURVE('',#153927,#153923,#75747,.T.); #190208=EDGE_CURVE('',#153928,#153926,#75748,.T.); #190209=EDGE_CURVE('',#153927,#153928,#75749,.T.); #190210=EDGE_CURVE('',#153929,#153927,#75750,.T.); #190211=EDGE_CURVE('',#153930,#153928,#75751,.T.); #190212=EDGE_CURVE('',#153929,#153930,#75752,.T.); #190213=EDGE_CURVE('',#153924,#153929,#75753,.T.); #190214=EDGE_CURVE('',#153925,#153930,#75754,.T.); #190215=EDGE_CURVE('',#153931,#153932,#75755,.T.); #190216=EDGE_CURVE('',#153932,#153933,#75756,.T.); #190217=EDGE_CURVE('',#153934,#153933,#75757,.T.); #190218=EDGE_CURVE('',#153931,#153934,#75758,.T.); #190219=EDGE_CURVE('',#153935,#153931,#75759,.T.); #190220=EDGE_CURVE('',#153936,#153934,#75760,.T.); #190221=EDGE_CURVE('',#153935,#153936,#75761,.T.); #190222=EDGE_CURVE('',#153937,#153935,#75762,.T.); #190223=EDGE_CURVE('',#153938,#153936,#75763,.T.); #190224=EDGE_CURVE('',#153937,#153938,#75764,.T.); #190225=EDGE_CURVE('',#153932,#153937,#75765,.T.); #190226=EDGE_CURVE('',#153933,#153938,#75766,.T.); #190227=EDGE_CURVE('',#153939,#153940,#75767,.T.); #190228=EDGE_CURVE('',#153940,#153941,#75768,.T.); #190229=EDGE_CURVE('',#153942,#153941,#75769,.T.); #190230=EDGE_CURVE('',#153939,#153942,#75770,.T.); #190231=EDGE_CURVE('',#153943,#153939,#75771,.T.); #190232=EDGE_CURVE('',#153944,#153942,#75772,.T.); #190233=EDGE_CURVE('',#153943,#153944,#75773,.T.); #190234=EDGE_CURVE('',#153945,#153943,#75774,.T.); #190235=EDGE_CURVE('',#153946,#153944,#75775,.T.); #190236=EDGE_CURVE('',#153945,#153946,#75776,.T.); #190237=EDGE_CURVE('',#153940,#153945,#75777,.T.); #190238=EDGE_CURVE('',#153941,#153946,#75778,.T.); #190239=EDGE_CURVE('',#153947,#153948,#75779,.T.); #190240=EDGE_CURVE('',#153948,#153949,#75780,.T.); #190241=EDGE_CURVE('',#153950,#153949,#75781,.T.); #190242=EDGE_CURVE('',#153947,#153950,#75782,.T.); #190243=EDGE_CURVE('',#153951,#153947,#75783,.T.); #190244=EDGE_CURVE('',#153952,#153950,#75784,.T.); #190245=EDGE_CURVE('',#153951,#153952,#75785,.T.); #190246=EDGE_CURVE('',#153953,#153951,#75786,.T.); #190247=EDGE_CURVE('',#153954,#153952,#75787,.T.); #190248=EDGE_CURVE('',#153953,#153954,#75788,.T.); #190249=EDGE_CURVE('',#153948,#153953,#75789,.T.); #190250=EDGE_CURVE('',#153949,#153954,#75790,.T.); #190251=EDGE_CURVE('',#153955,#153956,#75791,.T.); #190252=EDGE_CURVE('',#153956,#153957,#75792,.T.); #190253=EDGE_CURVE('',#153958,#153957,#75793,.T.); #190254=EDGE_CURVE('',#153955,#153958,#75794,.T.); #190255=EDGE_CURVE('',#153959,#153955,#75795,.T.); #190256=EDGE_CURVE('',#153960,#153958,#75796,.T.); #190257=EDGE_CURVE('',#153959,#153960,#75797,.T.); #190258=EDGE_CURVE('',#153961,#153959,#75798,.T.); #190259=EDGE_CURVE('',#153962,#153960,#75799,.T.); #190260=EDGE_CURVE('',#153961,#153962,#75800,.T.); #190261=EDGE_CURVE('',#153956,#153961,#75801,.T.); #190262=EDGE_CURVE('',#153957,#153962,#75802,.T.); #190263=EDGE_CURVE('',#153963,#153964,#75803,.T.); #190264=EDGE_CURVE('',#153964,#153965,#75804,.T.); #190265=EDGE_CURVE('',#153966,#153965,#75805,.T.); #190266=EDGE_CURVE('',#153963,#153966,#75806,.T.); #190267=EDGE_CURVE('',#153967,#153963,#75807,.T.); #190268=EDGE_CURVE('',#153968,#153966,#75808,.T.); #190269=EDGE_CURVE('',#153967,#153968,#75809,.T.); #190270=EDGE_CURVE('',#153969,#153967,#75810,.T.); #190271=EDGE_CURVE('',#153970,#153968,#75811,.T.); #190272=EDGE_CURVE('',#153969,#153970,#75812,.T.); #190273=EDGE_CURVE('',#153964,#153969,#75813,.T.); #190274=EDGE_CURVE('',#153965,#153970,#75814,.T.); #190275=EDGE_CURVE('',#153971,#153972,#75815,.T.); #190276=EDGE_CURVE('',#153972,#153973,#75816,.T.); #190277=EDGE_CURVE('',#153974,#153973,#75817,.T.); #190278=EDGE_CURVE('',#153971,#153974,#75818,.T.); #190279=EDGE_CURVE('',#153975,#153971,#75819,.T.); #190280=EDGE_CURVE('',#153976,#153974,#75820,.T.); #190281=EDGE_CURVE('',#153975,#153976,#75821,.T.); #190282=EDGE_CURVE('',#153977,#153975,#75822,.T.); #190283=EDGE_CURVE('',#153978,#153976,#75823,.T.); #190284=EDGE_CURVE('',#153977,#153978,#75824,.T.); #190285=EDGE_CURVE('',#153972,#153977,#75825,.T.); #190286=EDGE_CURVE('',#153973,#153978,#75826,.T.); #190287=EDGE_CURVE('',#153979,#153980,#75827,.T.); #190288=EDGE_CURVE('',#153980,#153981,#75828,.T.); #190289=EDGE_CURVE('',#153982,#153981,#75829,.T.); #190290=EDGE_CURVE('',#153979,#153982,#75830,.T.); #190291=EDGE_CURVE('',#153983,#153979,#75831,.T.); #190292=EDGE_CURVE('',#153984,#153982,#75832,.T.); #190293=EDGE_CURVE('',#153983,#153984,#75833,.T.); #190294=EDGE_CURVE('',#153985,#153983,#75834,.T.); #190295=EDGE_CURVE('',#153986,#153984,#75835,.T.); #190296=EDGE_CURVE('',#153985,#153986,#75836,.T.); #190297=EDGE_CURVE('',#153980,#153985,#75837,.T.); #190298=EDGE_CURVE('',#153981,#153986,#75838,.T.); #190299=EDGE_CURVE('',#153987,#153988,#75839,.T.); #190300=EDGE_CURVE('',#153988,#153989,#75840,.T.); #190301=EDGE_CURVE('',#153990,#153989,#75841,.T.); #190302=EDGE_CURVE('',#153987,#153990,#75842,.T.); #190303=EDGE_CURVE('',#153991,#153987,#75843,.T.); #190304=EDGE_CURVE('',#153992,#153990,#75844,.T.); #190305=EDGE_CURVE('',#153991,#153992,#75845,.T.); #190306=EDGE_CURVE('',#153993,#153991,#75846,.T.); #190307=EDGE_CURVE('',#153994,#153992,#75847,.T.); #190308=EDGE_CURVE('',#153993,#153994,#75848,.T.); #190309=EDGE_CURVE('',#153988,#153993,#75849,.T.); #190310=EDGE_CURVE('',#153989,#153994,#75850,.T.); #190311=EDGE_CURVE('',#153995,#153996,#75851,.T.); #190312=EDGE_CURVE('',#153996,#153997,#75852,.T.); #190313=EDGE_CURVE('',#153998,#153997,#75853,.T.); #190314=EDGE_CURVE('',#153995,#153998,#75854,.T.); #190315=EDGE_CURVE('',#153999,#153995,#75855,.T.); #190316=EDGE_CURVE('',#154000,#153998,#75856,.T.); #190317=EDGE_CURVE('',#153999,#154000,#75857,.T.); #190318=EDGE_CURVE('',#154001,#153999,#75858,.T.); #190319=EDGE_CURVE('',#154002,#154000,#75859,.T.); #190320=EDGE_CURVE('',#154001,#154002,#75860,.T.); #190321=EDGE_CURVE('',#153996,#154001,#75861,.T.); #190322=EDGE_CURVE('',#153997,#154002,#75862,.T.); #190323=EDGE_CURVE('',#154003,#154004,#75863,.T.); #190324=EDGE_CURVE('',#154004,#154005,#75864,.T.); #190325=EDGE_CURVE('',#154006,#154005,#75865,.T.); #190326=EDGE_CURVE('',#154003,#154006,#75866,.T.); #190327=EDGE_CURVE('',#154007,#154003,#75867,.T.); #190328=EDGE_CURVE('',#154008,#154006,#75868,.T.); #190329=EDGE_CURVE('',#154007,#154008,#75869,.T.); #190330=EDGE_CURVE('',#154009,#154007,#75870,.T.); #190331=EDGE_CURVE('',#154010,#154008,#75871,.T.); #190332=EDGE_CURVE('',#154009,#154010,#75872,.T.); #190333=EDGE_CURVE('',#154004,#154009,#75873,.T.); #190334=EDGE_CURVE('',#154005,#154010,#75874,.T.); #190335=EDGE_CURVE('',#154011,#154012,#75875,.T.); #190336=EDGE_CURVE('',#154012,#154013,#75876,.T.); #190337=EDGE_CURVE('',#154014,#154013,#75877,.T.); #190338=EDGE_CURVE('',#154011,#154014,#75878,.T.); #190339=EDGE_CURVE('',#154015,#154011,#75879,.T.); #190340=EDGE_CURVE('',#154016,#154014,#75880,.T.); #190341=EDGE_CURVE('',#154015,#154016,#75881,.T.); #190342=EDGE_CURVE('',#154017,#154015,#75882,.T.); #190343=EDGE_CURVE('',#154018,#154016,#75883,.T.); #190344=EDGE_CURVE('',#154017,#154018,#75884,.T.); #190345=EDGE_CURVE('',#154012,#154017,#75885,.T.); #190346=EDGE_CURVE('',#154013,#154018,#75886,.T.); #190347=EDGE_CURVE('',#154019,#154020,#75887,.T.); #190348=EDGE_CURVE('',#154020,#154021,#75888,.T.); #190349=EDGE_CURVE('',#154022,#154021,#75889,.T.); #190350=EDGE_CURVE('',#154019,#154022,#75890,.T.); #190351=EDGE_CURVE('',#154023,#154019,#75891,.T.); #190352=EDGE_CURVE('',#154024,#154022,#75892,.T.); #190353=EDGE_CURVE('',#154023,#154024,#75893,.T.); #190354=EDGE_CURVE('',#154025,#154023,#75894,.T.); #190355=EDGE_CURVE('',#154026,#154024,#75895,.T.); #190356=EDGE_CURVE('',#154025,#154026,#75896,.T.); #190357=EDGE_CURVE('',#154020,#154025,#75897,.T.); #190358=EDGE_CURVE('',#154021,#154026,#75898,.T.); #190359=EDGE_CURVE('',#154027,#154028,#75899,.T.); #190360=EDGE_CURVE('',#154028,#154029,#75900,.T.); #190361=EDGE_CURVE('',#154030,#154029,#75901,.T.); #190362=EDGE_CURVE('',#154027,#154030,#75902,.T.); #190363=EDGE_CURVE('',#154031,#154027,#75903,.T.); #190364=EDGE_CURVE('',#154032,#154030,#75904,.T.); #190365=EDGE_CURVE('',#154031,#154032,#75905,.T.); #190366=EDGE_CURVE('',#154033,#154031,#75906,.T.); #190367=EDGE_CURVE('',#154034,#154032,#75907,.T.); #190368=EDGE_CURVE('',#154033,#154034,#75908,.T.); #190369=EDGE_CURVE('',#154028,#154033,#75909,.T.); #190370=EDGE_CURVE('',#154029,#154034,#75910,.T.); #190371=EDGE_CURVE('',#154035,#154035,#136321,.T.); #190372=EDGE_CURVE('',#154035,#154036,#75911,.T.); #190373=EDGE_CURVE('',#154036,#154036,#136322,.T.); #190374=EDGE_CURVE('',#154037,#154037,#136323,.T.); #190375=EDGE_CURVE('',#154037,#154038,#75912,.T.); #190376=EDGE_CURVE('',#154038,#154038,#136324,.T.); #190377=EDGE_CURVE('',#154039,#154039,#136325,.T.); #190378=EDGE_CURVE('',#154039,#154040,#75913,.T.); #190379=EDGE_CURVE('',#154040,#154040,#136326,.T.); #190380=EDGE_CURVE('',#154041,#154041,#136327,.T.); #190381=EDGE_CURVE('',#154041,#154042,#75914,.T.); #190382=EDGE_CURVE('',#154042,#154042,#136328,.T.); #190383=EDGE_CURVE('',#154043,#154043,#136329,.T.); #190384=EDGE_CURVE('',#154043,#154044,#75915,.T.); #190385=EDGE_CURVE('',#154044,#154044,#136330,.T.); #190386=EDGE_CURVE('',#154045,#154045,#136331,.T.); #190387=EDGE_CURVE('',#154045,#154046,#75916,.T.); #190388=EDGE_CURVE('',#154046,#154046,#136332,.T.); #190389=EDGE_CURVE('',#154047,#154048,#75917,.T.); #190390=EDGE_CURVE('',#154048,#154049,#75918,.T.); #190391=EDGE_CURVE('',#154050,#154049,#75919,.T.); #190392=EDGE_CURVE('',#154047,#154050,#75920,.T.); #190393=EDGE_CURVE('',#154051,#154047,#75921,.T.); #190394=EDGE_CURVE('',#154052,#154050,#75922,.T.); #190395=EDGE_CURVE('',#154051,#154052,#75923,.T.); #190396=EDGE_CURVE('',#154053,#154051,#75924,.T.); #190397=EDGE_CURVE('',#154054,#154052,#75925,.T.); #190398=EDGE_CURVE('',#154053,#154054,#75926,.T.); #190399=EDGE_CURVE('',#154048,#154053,#75927,.T.); #190400=EDGE_CURVE('',#154049,#154054,#75928,.T.); #190401=EDGE_CURVE('',#154055,#154056,#75929,.T.); #190402=EDGE_CURVE('',#154056,#154057,#75930,.T.); #190403=EDGE_CURVE('',#154058,#154057,#75931,.T.); #190404=EDGE_CURVE('',#154055,#154058,#75932,.T.); #190405=EDGE_CURVE('',#154059,#154055,#75933,.T.); #190406=EDGE_CURVE('',#154060,#154058,#75934,.T.); #190407=EDGE_CURVE('',#154059,#154060,#75935,.T.); #190408=EDGE_CURVE('',#154061,#154059,#75936,.T.); #190409=EDGE_CURVE('',#154062,#154060,#75937,.T.); #190410=EDGE_CURVE('',#154061,#154062,#75938,.T.); #190411=EDGE_CURVE('',#154056,#154061,#75939,.T.); #190412=EDGE_CURVE('',#154057,#154062,#75940,.T.); #190413=EDGE_CURVE('',#154063,#154064,#75941,.T.); #190414=EDGE_CURVE('',#154064,#154065,#75942,.T.); #190415=EDGE_CURVE('',#154066,#154065,#75943,.T.); #190416=EDGE_CURVE('',#154063,#154066,#75944,.T.); #190417=EDGE_CURVE('',#154067,#154063,#75945,.T.); #190418=EDGE_CURVE('',#154068,#154066,#75946,.T.); #190419=EDGE_CURVE('',#154067,#154068,#75947,.T.); #190420=EDGE_CURVE('',#154069,#154067,#75948,.T.); #190421=EDGE_CURVE('',#154070,#154068,#75949,.T.); #190422=EDGE_CURVE('',#154069,#154070,#75950,.T.); #190423=EDGE_CURVE('',#154064,#154069,#75951,.T.); #190424=EDGE_CURVE('',#154065,#154070,#75952,.T.); #190425=EDGE_CURVE('',#154071,#154072,#75953,.T.); #190426=EDGE_CURVE('',#154072,#154073,#75954,.T.); #190427=EDGE_CURVE('',#154074,#154073,#75955,.T.); #190428=EDGE_CURVE('',#154071,#154074,#75956,.T.); #190429=EDGE_CURVE('',#154075,#154071,#75957,.T.); #190430=EDGE_CURVE('',#154076,#154074,#75958,.T.); #190431=EDGE_CURVE('',#154075,#154076,#75959,.T.); #190432=EDGE_CURVE('',#154077,#154075,#75960,.T.); #190433=EDGE_CURVE('',#154078,#154076,#75961,.T.); #190434=EDGE_CURVE('',#154077,#154078,#75962,.T.); #190435=EDGE_CURVE('',#154072,#154077,#75963,.T.); #190436=EDGE_CURVE('',#154073,#154078,#75964,.T.); #190437=EDGE_CURVE('',#154079,#154080,#75965,.T.); #190438=EDGE_CURVE('',#154080,#154081,#75966,.T.); #190439=EDGE_CURVE('',#154082,#154081,#75967,.T.); #190440=EDGE_CURVE('',#154079,#154082,#75968,.T.); #190441=EDGE_CURVE('',#154083,#154079,#75969,.T.); #190442=EDGE_CURVE('',#154084,#154082,#75970,.T.); #190443=EDGE_CURVE('',#154083,#154084,#75971,.T.); #190444=EDGE_CURVE('',#154085,#154083,#75972,.T.); #190445=EDGE_CURVE('',#154086,#154084,#75973,.T.); #190446=EDGE_CURVE('',#154085,#154086,#75974,.T.); #190447=EDGE_CURVE('',#154080,#154085,#75975,.T.); #190448=EDGE_CURVE('',#154081,#154086,#75976,.T.); #190449=EDGE_CURVE('',#154087,#154088,#75977,.T.); #190450=EDGE_CURVE('',#154088,#154089,#75978,.T.); #190451=EDGE_CURVE('',#154090,#154089,#75979,.T.); #190452=EDGE_CURVE('',#154087,#154090,#75980,.T.); #190453=EDGE_CURVE('',#154091,#154087,#75981,.T.); #190454=EDGE_CURVE('',#154092,#154090,#75982,.T.); #190455=EDGE_CURVE('',#154091,#154092,#75983,.T.); #190456=EDGE_CURVE('',#154093,#154091,#75984,.T.); #190457=EDGE_CURVE('',#154094,#154092,#75985,.T.); #190458=EDGE_CURVE('',#154093,#154094,#75986,.T.); #190459=EDGE_CURVE('',#154088,#154093,#75987,.T.); #190460=EDGE_CURVE('',#154089,#154094,#75988,.T.); #190461=EDGE_CURVE('',#154095,#154096,#75989,.T.); #190462=EDGE_CURVE('',#154096,#154097,#75990,.T.); #190463=EDGE_CURVE('',#154098,#154097,#75991,.T.); #190464=EDGE_CURVE('',#154095,#154098,#75992,.T.); #190465=EDGE_CURVE('',#154099,#154095,#75993,.T.); #190466=EDGE_CURVE('',#154100,#154098,#75994,.T.); #190467=EDGE_CURVE('',#154099,#154100,#75995,.T.); #190468=EDGE_CURVE('',#154101,#154099,#75996,.T.); #190469=EDGE_CURVE('',#154102,#154100,#75997,.T.); #190470=EDGE_CURVE('',#154101,#154102,#75998,.T.); #190471=EDGE_CURVE('',#154096,#154101,#75999,.T.); #190472=EDGE_CURVE('',#154097,#154102,#76000,.T.); #190473=EDGE_CURVE('',#154103,#154103,#136333,.T.); #190474=EDGE_CURVE('',#154103,#154104,#76001,.T.); #190475=EDGE_CURVE('',#154104,#154104,#136334,.T.); #190476=EDGE_CURVE('',#154105,#154105,#136335,.T.); #190477=EDGE_CURVE('',#154105,#154106,#76002,.T.); #190478=EDGE_CURVE('',#154106,#154106,#136336,.T.); #190479=EDGE_CURVE('',#154107,#154107,#136337,.T.); #190480=EDGE_CURVE('',#154107,#154108,#76003,.T.); #190481=EDGE_CURVE('',#154108,#154108,#136338,.T.); #190482=EDGE_CURVE('',#154109,#154109,#136339,.T.); #190483=EDGE_CURVE('',#154109,#154110,#76004,.T.); #190484=EDGE_CURVE('',#154110,#154110,#136340,.T.); #190485=EDGE_CURVE('',#154111,#154111,#136341,.T.); #190486=EDGE_CURVE('',#154111,#154112,#76005,.T.); #190487=EDGE_CURVE('',#154112,#154112,#136342,.T.); #190488=EDGE_CURVE('',#154113,#154113,#136343,.T.); #190489=EDGE_CURVE('',#154113,#154114,#76006,.T.); #190490=EDGE_CURVE('',#154114,#154114,#136344,.T.); #190491=EDGE_CURVE('',#154115,#154116,#76007,.T.); #190492=EDGE_CURVE('',#154116,#154117,#76008,.T.); #190493=EDGE_CURVE('',#154118,#154117,#76009,.T.); #190494=EDGE_CURVE('',#154115,#154118,#76010,.T.); #190495=EDGE_CURVE('',#154119,#154115,#76011,.T.); #190496=EDGE_CURVE('',#154120,#154118,#76012,.T.); #190497=EDGE_CURVE('',#154119,#154120,#76013,.T.); #190498=EDGE_CURVE('',#154121,#154119,#76014,.T.); #190499=EDGE_CURVE('',#154122,#154120,#76015,.T.); #190500=EDGE_CURVE('',#154121,#154122,#76016,.T.); #190501=EDGE_CURVE('',#154116,#154121,#76017,.T.); #190502=EDGE_CURVE('',#154117,#154122,#76018,.T.); #190503=EDGE_CURVE('',#154123,#154124,#76019,.T.); #190504=EDGE_CURVE('',#154124,#154125,#76020,.T.); #190505=EDGE_CURVE('',#154126,#154125,#76021,.T.); #190506=EDGE_CURVE('',#154123,#154126,#76022,.T.); #190507=EDGE_CURVE('',#154127,#154123,#76023,.T.); #190508=EDGE_CURVE('',#154128,#154126,#76024,.T.); #190509=EDGE_CURVE('',#154127,#154128,#76025,.T.); #190510=EDGE_CURVE('',#154129,#154127,#76026,.T.); #190511=EDGE_CURVE('',#154130,#154128,#76027,.T.); #190512=EDGE_CURVE('',#154129,#154130,#76028,.T.); #190513=EDGE_CURVE('',#154124,#154129,#76029,.T.); #190514=EDGE_CURVE('',#154125,#154130,#76030,.T.); #190515=EDGE_CURVE('',#154131,#154132,#76031,.T.); #190516=EDGE_CURVE('',#154132,#154133,#76032,.T.); #190517=EDGE_CURVE('',#154134,#154133,#76033,.T.); #190518=EDGE_CURVE('',#154131,#154134,#76034,.T.); #190519=EDGE_CURVE('',#154135,#154131,#76035,.T.); #190520=EDGE_CURVE('',#154136,#154134,#76036,.T.); #190521=EDGE_CURVE('',#154135,#154136,#76037,.T.); #190522=EDGE_CURVE('',#154137,#154135,#76038,.T.); #190523=EDGE_CURVE('',#154138,#154136,#76039,.T.); #190524=EDGE_CURVE('',#154137,#154138,#76040,.T.); #190525=EDGE_CURVE('',#154132,#154137,#76041,.T.); #190526=EDGE_CURVE('',#154133,#154138,#76042,.T.); #190527=EDGE_CURVE('',#154139,#154139,#136345,.T.); #190528=EDGE_CURVE('',#154139,#154140,#76043,.T.); #190529=EDGE_CURVE('',#154140,#154140,#136346,.T.); #190530=EDGE_CURVE('',#154141,#154141,#136347,.T.); #190531=EDGE_CURVE('',#154141,#154142,#76044,.T.); #190532=EDGE_CURVE('',#154142,#154142,#136348,.T.); #190533=EDGE_CURVE('',#154143,#154143,#136349,.T.); #190534=EDGE_CURVE('',#154143,#154144,#76045,.T.); #190535=EDGE_CURVE('',#154144,#154144,#136350,.T.); #190536=EDGE_CURVE('',#154145,#154145,#136351,.T.); #190537=EDGE_CURVE('',#154145,#154146,#76046,.T.); #190538=EDGE_CURVE('',#154146,#154146,#136352,.T.); #190539=EDGE_CURVE('',#154147,#154147,#136353,.T.); #190540=EDGE_CURVE('',#154147,#154148,#76047,.T.); #190541=EDGE_CURVE('',#154148,#154148,#136354,.T.); #190542=EDGE_CURVE('',#154149,#154149,#136355,.T.); #190543=EDGE_CURVE('',#154149,#154150,#76048,.T.); #190544=EDGE_CURVE('',#154150,#154150,#136356,.T.); #190545=EDGE_CURVE('',#154151,#154151,#136357,.T.); #190546=EDGE_CURVE('',#154151,#154152,#76049,.T.); #190547=EDGE_CURVE('',#154152,#154152,#136358,.T.); #190548=EDGE_CURVE('',#154153,#154153,#136359,.T.); #190549=EDGE_CURVE('',#154153,#154154,#76050,.T.); #190550=EDGE_CURVE('',#154154,#154154,#136360,.T.); #190551=EDGE_CURVE('',#154155,#154155,#136361,.T.); #190552=EDGE_CURVE('',#154155,#154156,#76051,.T.); #190553=EDGE_CURVE('',#154156,#154156,#136362,.T.); #190554=EDGE_CURVE('',#154157,#154157,#136363,.T.); #190555=EDGE_CURVE('',#154157,#154158,#76052,.T.); #190556=EDGE_CURVE('',#154158,#154158,#136364,.T.); #190557=EDGE_CURVE('',#154159,#154159,#136365,.T.); #190558=EDGE_CURVE('',#154159,#154160,#76053,.T.); #190559=EDGE_CURVE('',#154160,#154160,#136366,.T.); #190560=EDGE_CURVE('',#154161,#154161,#136367,.T.); #190561=EDGE_CURVE('',#154161,#154162,#76054,.T.); #190562=EDGE_CURVE('',#154162,#154162,#136368,.T.); #190563=EDGE_CURVE('',#154163,#154163,#136369,.T.); #190564=EDGE_CURVE('',#154163,#154164,#76055,.T.); #190565=EDGE_CURVE('',#154164,#154164,#136370,.T.); #190566=EDGE_CURVE('',#154165,#154165,#136371,.T.); #190567=EDGE_CURVE('',#154165,#154166,#76056,.T.); #190568=EDGE_CURVE('',#154166,#154166,#136372,.T.); #190569=EDGE_CURVE('',#154167,#154167,#136373,.T.); #190570=EDGE_CURVE('',#154167,#154168,#76057,.T.); #190571=EDGE_CURVE('',#154168,#154168,#136374,.T.); #190572=EDGE_CURVE('',#154169,#154169,#136375,.T.); #190573=EDGE_CURVE('',#154169,#154170,#76058,.T.); #190574=EDGE_CURVE('',#154170,#154170,#136376,.T.); #190575=EDGE_CURVE('',#154171,#154171,#136377,.T.); #190576=EDGE_CURVE('',#154171,#154172,#76059,.T.); #190577=EDGE_CURVE('',#154172,#154172,#136378,.T.); #190578=EDGE_CURVE('',#154173,#154173,#136379,.T.); #190579=EDGE_CURVE('',#154173,#154174,#76060,.T.); #190580=EDGE_CURVE('',#154174,#154174,#136380,.T.); #190581=EDGE_CURVE('',#154175,#154175,#136381,.T.); #190582=EDGE_CURVE('',#154175,#154176,#76061,.T.); #190583=EDGE_CURVE('',#154176,#154176,#136382,.T.); #190584=EDGE_CURVE('',#154177,#154177,#136383,.T.); #190585=EDGE_CURVE('',#154177,#154178,#76062,.T.); #190586=EDGE_CURVE('',#154178,#154178,#136384,.T.); #190587=EDGE_CURVE('',#154179,#154179,#136385,.T.); #190588=EDGE_CURVE('',#154179,#154180,#76063,.T.); #190589=EDGE_CURVE('',#154180,#154180,#136386,.T.); #190590=EDGE_CURVE('',#154181,#154181,#136387,.T.); #190591=EDGE_CURVE('',#154181,#154182,#76064,.T.); #190592=EDGE_CURVE('',#154182,#154182,#136388,.T.); #190593=EDGE_CURVE('',#154183,#154183,#136389,.T.); #190594=EDGE_CURVE('',#154183,#154184,#76065,.T.); #190595=EDGE_CURVE('',#154184,#154184,#136390,.T.); #190596=EDGE_CURVE('',#154185,#154185,#136391,.T.); #190597=EDGE_CURVE('',#154185,#154186,#76066,.T.); #190598=EDGE_CURVE('',#154186,#154186,#136392,.T.); #190599=EDGE_CURVE('',#154187,#154187,#136393,.T.); #190600=EDGE_CURVE('',#154187,#154188,#76067,.T.); #190601=EDGE_CURVE('',#154188,#154188,#136394,.T.); #190602=EDGE_CURVE('',#154189,#154189,#136395,.T.); #190603=EDGE_CURVE('',#154189,#154190,#76068,.T.); #190604=EDGE_CURVE('',#154190,#154190,#136396,.T.); #190605=EDGE_CURVE('',#154191,#154191,#136397,.T.); #190606=EDGE_CURVE('',#154191,#154192,#76069,.T.); #190607=EDGE_CURVE('',#154192,#154192,#136398,.T.); #190608=EDGE_CURVE('',#154193,#154193,#136399,.T.); #190609=EDGE_CURVE('',#154193,#154194,#76070,.T.); #190610=EDGE_CURVE('',#154194,#154194,#136400,.T.); #190611=EDGE_CURVE('',#154195,#154195,#136401,.T.); #190612=EDGE_CURVE('',#154195,#154196,#76071,.T.); #190613=EDGE_CURVE('',#154196,#154196,#136402,.T.); #190614=EDGE_CURVE('',#154197,#154197,#136403,.T.); #190615=EDGE_CURVE('',#154197,#154198,#76072,.T.); #190616=EDGE_CURVE('',#154198,#154198,#136404,.T.); #190617=EDGE_CURVE('',#154199,#154199,#136405,.T.); #190618=EDGE_CURVE('',#154199,#154200,#76073,.T.); #190619=EDGE_CURVE('',#154200,#154200,#136406,.T.); #190620=EDGE_CURVE('',#154201,#154201,#136407,.T.); #190621=EDGE_CURVE('',#154201,#154202,#76074,.T.); #190622=EDGE_CURVE('',#154202,#154202,#136408,.T.); #190623=EDGE_CURVE('',#154203,#154203,#136409,.T.); #190624=EDGE_CURVE('',#154203,#154204,#76075,.T.); #190625=EDGE_CURVE('',#154204,#154204,#136410,.T.); #190626=EDGE_CURVE('',#154205,#154205,#136411,.T.); #190627=EDGE_CURVE('',#154205,#154206,#76076,.T.); #190628=EDGE_CURVE('',#154206,#154206,#136412,.T.); #190629=EDGE_CURVE('',#154207,#154207,#136413,.T.); #190630=EDGE_CURVE('',#154207,#154208,#76077,.T.); #190631=EDGE_CURVE('',#154208,#154208,#136414,.T.); #190632=EDGE_CURVE('',#154209,#154209,#136415,.T.); #190633=EDGE_CURVE('',#154209,#154210,#76078,.T.); #190634=EDGE_CURVE('',#154210,#154210,#136416,.T.); #190635=EDGE_CURVE('',#154211,#154211,#136417,.T.); #190636=EDGE_CURVE('',#154211,#154212,#76079,.T.); #190637=EDGE_CURVE('',#154212,#154212,#136418,.T.); #190638=EDGE_CURVE('',#154213,#154213,#136419,.T.); #190639=EDGE_CURVE('',#154213,#154214,#76080,.T.); #190640=EDGE_CURVE('',#154214,#154214,#136420,.T.); #190641=EDGE_CURVE('',#154215,#154215,#136421,.T.); #190642=EDGE_CURVE('',#154215,#154216,#76081,.T.); #190643=EDGE_CURVE('',#154216,#154216,#136422,.T.); #190644=EDGE_CURVE('',#154217,#154217,#136423,.T.); #190645=EDGE_CURVE('',#154217,#154218,#76082,.T.); #190646=EDGE_CURVE('',#154218,#154218,#136424,.T.); #190647=EDGE_CURVE('',#154219,#154219,#136425,.T.); #190648=EDGE_CURVE('',#154219,#154220,#76083,.T.); #190649=EDGE_CURVE('',#154220,#154220,#136426,.T.); #190650=EDGE_CURVE('',#154221,#154221,#136427,.T.); #190651=EDGE_CURVE('',#154221,#154222,#76084,.T.); #190652=EDGE_CURVE('',#154222,#154222,#136428,.T.); #190653=EDGE_CURVE('',#154223,#154223,#136429,.T.); #190654=EDGE_CURVE('',#154223,#154224,#76085,.T.); #190655=EDGE_CURVE('',#154224,#154224,#136430,.T.); #190656=EDGE_CURVE('',#154225,#154225,#136431,.T.); #190657=EDGE_CURVE('',#154225,#154226,#76086,.T.); #190658=EDGE_CURVE('',#154226,#154226,#136432,.T.); #190659=EDGE_CURVE('',#154227,#154227,#136433,.T.); #190660=EDGE_CURVE('',#154227,#154228,#76087,.T.); #190661=EDGE_CURVE('',#154228,#154228,#136434,.T.); #190662=EDGE_CURVE('',#154229,#154229,#136435,.T.); #190663=EDGE_CURVE('',#154229,#154230,#76088,.T.); #190664=EDGE_CURVE('',#154230,#154230,#136436,.T.); #190665=EDGE_CURVE('',#154231,#154231,#136437,.T.); #190666=EDGE_CURVE('',#154231,#154232,#76089,.T.); #190667=EDGE_CURVE('',#154232,#154232,#136438,.T.); #190668=EDGE_CURVE('',#154233,#154233,#136439,.T.); #190669=EDGE_CURVE('',#154233,#154234,#76090,.T.); #190670=EDGE_CURVE('',#154234,#154234,#136440,.T.); #190671=EDGE_CURVE('',#154235,#154235,#136441,.T.); #190672=EDGE_CURVE('',#154235,#154236,#76091,.T.); #190673=EDGE_CURVE('',#154236,#154236,#136442,.T.); #190674=EDGE_CURVE('',#154237,#154238,#76092,.T.); #190675=EDGE_CURVE('',#154238,#154239,#76093,.T.); #190676=EDGE_CURVE('',#154240,#154239,#76094,.T.); #190677=EDGE_CURVE('',#154237,#154240,#76095,.T.); #190678=EDGE_CURVE('',#154241,#154237,#76096,.T.); #190679=EDGE_CURVE('',#154242,#154240,#76097,.T.); #190680=EDGE_CURVE('',#154241,#154242,#76098,.T.); #190681=EDGE_CURVE('',#154243,#154241,#76099,.T.); #190682=EDGE_CURVE('',#154244,#154242,#76100,.T.); #190683=EDGE_CURVE('',#154243,#154244,#76101,.T.); #190684=EDGE_CURVE('',#154238,#154243,#76102,.T.); #190685=EDGE_CURVE('',#154239,#154244,#76103,.T.); #190686=EDGE_CURVE('',#154245,#154246,#76104,.T.); #190687=EDGE_CURVE('',#154246,#154247,#76105,.T.); #190688=EDGE_CURVE('',#154248,#154247,#76106,.T.); #190689=EDGE_CURVE('',#154245,#154248,#76107,.T.); #190690=EDGE_CURVE('',#154249,#154245,#76108,.T.); #190691=EDGE_CURVE('',#154250,#154248,#76109,.T.); #190692=EDGE_CURVE('',#154249,#154250,#76110,.T.); #190693=EDGE_CURVE('',#154251,#154249,#76111,.T.); #190694=EDGE_CURVE('',#154252,#154250,#76112,.T.); #190695=EDGE_CURVE('',#154251,#154252,#76113,.T.); #190696=EDGE_CURVE('',#154246,#154251,#76114,.T.); #190697=EDGE_CURVE('',#154247,#154252,#76115,.T.); #190698=EDGE_CURVE('',#154253,#154254,#76116,.T.); #190699=EDGE_CURVE('',#154254,#154255,#76117,.T.); #190700=EDGE_CURVE('',#154256,#154255,#76118,.T.); #190701=EDGE_CURVE('',#154253,#154256,#76119,.T.); #190702=EDGE_CURVE('',#154257,#154253,#76120,.T.); #190703=EDGE_CURVE('',#154258,#154256,#76121,.T.); #190704=EDGE_CURVE('',#154257,#154258,#76122,.T.); #190705=EDGE_CURVE('',#154259,#154257,#76123,.T.); #190706=EDGE_CURVE('',#154260,#154258,#76124,.T.); #190707=EDGE_CURVE('',#154259,#154260,#76125,.T.); #190708=EDGE_CURVE('',#154254,#154259,#76126,.T.); #190709=EDGE_CURVE('',#154255,#154260,#76127,.T.); #190710=EDGE_CURVE('',#154261,#154262,#76128,.T.); #190711=EDGE_CURVE('',#154262,#154263,#76129,.T.); #190712=EDGE_CURVE('',#154264,#154263,#76130,.T.); #190713=EDGE_CURVE('',#154261,#154264,#76131,.T.); #190714=EDGE_CURVE('',#154265,#154261,#76132,.T.); #190715=EDGE_CURVE('',#154266,#154264,#76133,.T.); #190716=EDGE_CURVE('',#154265,#154266,#76134,.T.); #190717=EDGE_CURVE('',#154267,#154265,#76135,.T.); #190718=EDGE_CURVE('',#154268,#154266,#76136,.T.); #190719=EDGE_CURVE('',#154267,#154268,#76137,.T.); #190720=EDGE_CURVE('',#154262,#154267,#76138,.T.); #190721=EDGE_CURVE('',#154263,#154268,#76139,.T.); #190722=EDGE_CURVE('',#154269,#154270,#76140,.T.); #190723=EDGE_CURVE('',#154270,#154271,#76141,.T.); #190724=EDGE_CURVE('',#154272,#154271,#76142,.T.); #190725=EDGE_CURVE('',#154269,#154272,#76143,.T.); #190726=EDGE_CURVE('',#154273,#154269,#76144,.T.); #190727=EDGE_CURVE('',#154274,#154272,#76145,.T.); #190728=EDGE_CURVE('',#154273,#154274,#76146,.T.); #190729=EDGE_CURVE('',#154275,#154273,#76147,.T.); #190730=EDGE_CURVE('',#154276,#154274,#76148,.T.); #190731=EDGE_CURVE('',#154275,#154276,#76149,.T.); #190732=EDGE_CURVE('',#154270,#154275,#76150,.T.); #190733=EDGE_CURVE('',#154271,#154276,#76151,.T.); #190734=EDGE_CURVE('',#154277,#154278,#76152,.T.); #190735=EDGE_CURVE('',#154278,#154279,#76153,.T.); #190736=EDGE_CURVE('',#154280,#154279,#76154,.T.); #190737=EDGE_CURVE('',#154277,#154280,#76155,.T.); #190738=EDGE_CURVE('',#154281,#154277,#76156,.T.); #190739=EDGE_CURVE('',#154282,#154280,#76157,.T.); #190740=EDGE_CURVE('',#154281,#154282,#76158,.T.); #190741=EDGE_CURVE('',#154283,#154281,#76159,.T.); #190742=EDGE_CURVE('',#154284,#154282,#76160,.T.); #190743=EDGE_CURVE('',#154283,#154284,#76161,.T.); #190744=EDGE_CURVE('',#154278,#154283,#76162,.T.); #190745=EDGE_CURVE('',#154279,#154284,#76163,.T.); #190746=EDGE_CURVE('',#154285,#154286,#76164,.T.); #190747=EDGE_CURVE('',#154286,#154287,#76165,.T.); #190748=EDGE_CURVE('',#154288,#154287,#76166,.T.); #190749=EDGE_CURVE('',#154285,#154288,#76167,.T.); #190750=EDGE_CURVE('',#154289,#154285,#76168,.T.); #190751=EDGE_CURVE('',#154290,#154288,#76169,.T.); #190752=EDGE_CURVE('',#154289,#154290,#76170,.T.); #190753=EDGE_CURVE('',#154291,#154289,#76171,.T.); #190754=EDGE_CURVE('',#154292,#154290,#76172,.T.); #190755=EDGE_CURVE('',#154291,#154292,#76173,.T.); #190756=EDGE_CURVE('',#154286,#154291,#76174,.T.); #190757=EDGE_CURVE('',#154287,#154292,#76175,.T.); #190758=EDGE_CURVE('',#154293,#154294,#76176,.T.); #190759=EDGE_CURVE('',#154294,#154295,#76177,.T.); #190760=EDGE_CURVE('',#154296,#154295,#76178,.T.); #190761=EDGE_CURVE('',#154293,#154296,#76179,.T.); #190762=EDGE_CURVE('',#154297,#154293,#76180,.T.); #190763=EDGE_CURVE('',#154298,#154296,#76181,.T.); #190764=EDGE_CURVE('',#154297,#154298,#76182,.T.); #190765=EDGE_CURVE('',#154299,#154297,#76183,.T.); #190766=EDGE_CURVE('',#154300,#154298,#76184,.T.); #190767=EDGE_CURVE('',#154299,#154300,#76185,.T.); #190768=EDGE_CURVE('',#154294,#154299,#76186,.T.); #190769=EDGE_CURVE('',#154295,#154300,#76187,.T.); #190770=EDGE_CURVE('',#154301,#154302,#76188,.T.); #190771=EDGE_CURVE('',#154302,#154303,#76189,.T.); #190772=EDGE_CURVE('',#154304,#154303,#76190,.T.); #190773=EDGE_CURVE('',#154301,#154304,#76191,.T.); #190774=EDGE_CURVE('',#154305,#154301,#76192,.T.); #190775=EDGE_CURVE('',#154306,#154304,#76193,.T.); #190776=EDGE_CURVE('',#154305,#154306,#76194,.T.); #190777=EDGE_CURVE('',#154307,#154305,#76195,.T.); #190778=EDGE_CURVE('',#154308,#154306,#76196,.T.); #190779=EDGE_CURVE('',#154307,#154308,#76197,.T.); #190780=EDGE_CURVE('',#154302,#154307,#76198,.T.); #190781=EDGE_CURVE('',#154303,#154308,#76199,.T.); #190782=EDGE_CURVE('',#154309,#154310,#76200,.T.); #190783=EDGE_CURVE('',#154310,#154311,#76201,.T.); #190784=EDGE_CURVE('',#154312,#154311,#76202,.T.); #190785=EDGE_CURVE('',#154309,#154312,#76203,.T.); #190786=EDGE_CURVE('',#154313,#154309,#76204,.T.); #190787=EDGE_CURVE('',#154314,#154312,#76205,.T.); #190788=EDGE_CURVE('',#154313,#154314,#76206,.T.); #190789=EDGE_CURVE('',#154315,#154313,#76207,.T.); #190790=EDGE_CURVE('',#154316,#154314,#76208,.T.); #190791=EDGE_CURVE('',#154315,#154316,#76209,.T.); #190792=EDGE_CURVE('',#154310,#154315,#76210,.T.); #190793=EDGE_CURVE('',#154311,#154316,#76211,.T.); #190794=EDGE_CURVE('',#154317,#154318,#76212,.T.); #190795=EDGE_CURVE('',#154318,#154319,#76213,.T.); #190796=EDGE_CURVE('',#154320,#154319,#76214,.T.); #190797=EDGE_CURVE('',#154317,#154320,#76215,.T.); #190798=EDGE_CURVE('',#154321,#154317,#76216,.T.); #190799=EDGE_CURVE('',#154322,#154320,#76217,.T.); #190800=EDGE_CURVE('',#154321,#154322,#76218,.T.); #190801=EDGE_CURVE('',#154323,#154321,#76219,.T.); #190802=EDGE_CURVE('',#154324,#154322,#76220,.T.); #190803=EDGE_CURVE('',#154323,#154324,#76221,.T.); #190804=EDGE_CURVE('',#154318,#154323,#76222,.T.); #190805=EDGE_CURVE('',#154319,#154324,#76223,.T.); #190806=EDGE_CURVE('',#154325,#154326,#76224,.T.); #190807=EDGE_CURVE('',#154326,#154327,#76225,.T.); #190808=EDGE_CURVE('',#154328,#154327,#76226,.T.); #190809=EDGE_CURVE('',#154325,#154328,#76227,.T.); #190810=EDGE_CURVE('',#154329,#154325,#76228,.T.); #190811=EDGE_CURVE('',#154330,#154328,#76229,.T.); #190812=EDGE_CURVE('',#154329,#154330,#76230,.T.); #190813=EDGE_CURVE('',#154331,#154329,#76231,.T.); #190814=EDGE_CURVE('',#154332,#154330,#76232,.T.); #190815=EDGE_CURVE('',#154331,#154332,#76233,.T.); #190816=EDGE_CURVE('',#154326,#154331,#76234,.T.); #190817=EDGE_CURVE('',#154327,#154332,#76235,.T.); #190818=EDGE_CURVE('',#154333,#154334,#76236,.T.); #190819=EDGE_CURVE('',#154334,#154335,#76237,.T.); #190820=EDGE_CURVE('',#154336,#154335,#76238,.T.); #190821=EDGE_CURVE('',#154333,#154336,#76239,.T.); #190822=EDGE_CURVE('',#154337,#154333,#76240,.T.); #190823=EDGE_CURVE('',#154338,#154336,#76241,.T.); #190824=EDGE_CURVE('',#154337,#154338,#76242,.T.); #190825=EDGE_CURVE('',#154339,#154337,#76243,.T.); #190826=EDGE_CURVE('',#154340,#154338,#76244,.T.); #190827=EDGE_CURVE('',#154339,#154340,#76245,.T.); #190828=EDGE_CURVE('',#154334,#154339,#76246,.T.); #190829=EDGE_CURVE('',#154335,#154340,#76247,.T.); #190830=EDGE_CURVE('',#154341,#154342,#76248,.T.); #190831=EDGE_CURVE('',#154342,#154343,#76249,.T.); #190832=EDGE_CURVE('',#154344,#154343,#76250,.T.); #190833=EDGE_CURVE('',#154341,#154344,#76251,.T.); #190834=EDGE_CURVE('',#154345,#154341,#76252,.T.); #190835=EDGE_CURVE('',#154346,#154344,#76253,.T.); #190836=EDGE_CURVE('',#154345,#154346,#76254,.T.); #190837=EDGE_CURVE('',#154347,#154345,#76255,.T.); #190838=EDGE_CURVE('',#154348,#154346,#76256,.T.); #190839=EDGE_CURVE('',#154347,#154348,#76257,.T.); #190840=EDGE_CURVE('',#154342,#154347,#76258,.T.); #190841=EDGE_CURVE('',#154343,#154348,#76259,.T.); #190842=EDGE_CURVE('',#154349,#154350,#76260,.T.); #190843=EDGE_CURVE('',#154350,#154351,#76261,.T.); #190844=EDGE_CURVE('',#154352,#154351,#76262,.T.); #190845=EDGE_CURVE('',#154349,#154352,#76263,.T.); #190846=EDGE_CURVE('',#154353,#154349,#76264,.T.); #190847=EDGE_CURVE('',#154354,#154352,#76265,.T.); #190848=EDGE_CURVE('',#154353,#154354,#76266,.T.); #190849=EDGE_CURVE('',#154355,#154353,#76267,.T.); #190850=EDGE_CURVE('',#154356,#154354,#76268,.T.); #190851=EDGE_CURVE('',#154355,#154356,#76269,.T.); #190852=EDGE_CURVE('',#154350,#154355,#76270,.T.); #190853=EDGE_CURVE('',#154351,#154356,#76271,.T.); #190854=EDGE_CURVE('',#154357,#154358,#76272,.T.); #190855=EDGE_CURVE('',#154358,#154359,#76273,.T.); #190856=EDGE_CURVE('',#154360,#154359,#76274,.T.); #190857=EDGE_CURVE('',#154357,#154360,#76275,.T.); #190858=EDGE_CURVE('',#154361,#154357,#76276,.T.); #190859=EDGE_CURVE('',#154362,#154360,#76277,.T.); #190860=EDGE_CURVE('',#154361,#154362,#76278,.T.); #190861=EDGE_CURVE('',#154363,#154361,#76279,.T.); #190862=EDGE_CURVE('',#154364,#154362,#76280,.T.); #190863=EDGE_CURVE('',#154363,#154364,#76281,.T.); #190864=EDGE_CURVE('',#154358,#154363,#76282,.T.); #190865=EDGE_CURVE('',#154359,#154364,#76283,.T.); #190866=EDGE_CURVE('',#154365,#154366,#76284,.T.); #190867=EDGE_CURVE('',#154366,#154367,#76285,.T.); #190868=EDGE_CURVE('',#154368,#154367,#76286,.T.); #190869=EDGE_CURVE('',#154365,#154368,#76287,.T.); #190870=EDGE_CURVE('',#154369,#154365,#76288,.T.); #190871=EDGE_CURVE('',#154370,#154368,#76289,.T.); #190872=EDGE_CURVE('',#154369,#154370,#76290,.T.); #190873=EDGE_CURVE('',#154371,#154369,#76291,.T.); #190874=EDGE_CURVE('',#154372,#154370,#76292,.T.); #190875=EDGE_CURVE('',#154371,#154372,#76293,.T.); #190876=EDGE_CURVE('',#154366,#154371,#76294,.T.); #190877=EDGE_CURVE('',#154367,#154372,#76295,.T.); #190878=EDGE_CURVE('',#154373,#154374,#76296,.T.); #190879=EDGE_CURVE('',#154374,#154375,#76297,.T.); #190880=EDGE_CURVE('',#154376,#154375,#76298,.T.); #190881=EDGE_CURVE('',#154373,#154376,#76299,.T.); #190882=EDGE_CURVE('',#154377,#154373,#76300,.T.); #190883=EDGE_CURVE('',#154378,#154376,#76301,.T.); #190884=EDGE_CURVE('',#154377,#154378,#76302,.T.); #190885=EDGE_CURVE('',#154379,#154377,#76303,.T.); #190886=EDGE_CURVE('',#154380,#154378,#76304,.T.); #190887=EDGE_CURVE('',#154379,#154380,#76305,.T.); #190888=EDGE_CURVE('',#154374,#154379,#76306,.T.); #190889=EDGE_CURVE('',#154375,#154380,#76307,.T.); #190890=EDGE_CURVE('',#154381,#154382,#76308,.T.); #190891=EDGE_CURVE('',#154382,#154383,#76309,.T.); #190892=EDGE_CURVE('',#154384,#154383,#76310,.T.); #190893=EDGE_CURVE('',#154381,#154384,#76311,.T.); #190894=EDGE_CURVE('',#154385,#154381,#76312,.T.); #190895=EDGE_CURVE('',#154386,#154384,#76313,.T.); #190896=EDGE_CURVE('',#154385,#154386,#76314,.T.); #190897=EDGE_CURVE('',#154387,#154385,#76315,.T.); #190898=EDGE_CURVE('',#154388,#154386,#76316,.T.); #190899=EDGE_CURVE('',#154387,#154388,#76317,.T.); #190900=EDGE_CURVE('',#154382,#154387,#76318,.T.); #190901=EDGE_CURVE('',#154383,#154388,#76319,.T.); #190902=EDGE_CURVE('',#154389,#154389,#136443,.T.); #190903=EDGE_CURVE('',#154389,#154390,#76320,.T.); #190904=EDGE_CURVE('',#154390,#154390,#136444,.T.); #190905=EDGE_CURVE('',#154391,#154392,#76321,.T.); #190906=EDGE_CURVE('',#154392,#154393,#76322,.T.); #190907=EDGE_CURVE('',#154394,#154393,#76323,.T.); #190908=EDGE_CURVE('',#154391,#154394,#76324,.T.); #190909=EDGE_CURVE('',#154395,#154391,#76325,.T.); #190910=EDGE_CURVE('',#154396,#154394,#76326,.T.); #190911=EDGE_CURVE('',#154395,#154396,#76327,.T.); #190912=EDGE_CURVE('',#154397,#154395,#76328,.T.); #190913=EDGE_CURVE('',#154398,#154396,#76329,.T.); #190914=EDGE_CURVE('',#154397,#154398,#76330,.T.); #190915=EDGE_CURVE('',#154392,#154397,#76331,.T.); #190916=EDGE_CURVE('',#154393,#154398,#76332,.T.); #190917=EDGE_CURVE('',#154399,#154400,#76333,.T.); #190918=EDGE_CURVE('',#154400,#154401,#76334,.T.); #190919=EDGE_CURVE('',#154402,#154401,#76335,.T.); #190920=EDGE_CURVE('',#154399,#154402,#76336,.T.); #190921=EDGE_CURVE('',#154403,#154399,#76337,.T.); #190922=EDGE_CURVE('',#154404,#154402,#76338,.T.); #190923=EDGE_CURVE('',#154403,#154404,#76339,.T.); #190924=EDGE_CURVE('',#154405,#154403,#76340,.T.); #190925=EDGE_CURVE('',#154406,#154404,#76341,.T.); #190926=EDGE_CURVE('',#154405,#154406,#76342,.T.); #190927=EDGE_CURVE('',#154400,#154405,#76343,.T.); #190928=EDGE_CURVE('',#154401,#154406,#76344,.T.); #190929=EDGE_CURVE('',#154407,#154408,#76345,.T.); #190930=EDGE_CURVE('',#154408,#154409,#76346,.T.); #190931=EDGE_CURVE('',#154410,#154409,#76347,.T.); #190932=EDGE_CURVE('',#154407,#154410,#76348,.T.); #190933=EDGE_CURVE('',#154411,#154407,#76349,.T.); #190934=EDGE_CURVE('',#154412,#154410,#76350,.T.); #190935=EDGE_CURVE('',#154411,#154412,#76351,.T.); #190936=EDGE_CURVE('',#154413,#154411,#76352,.T.); #190937=EDGE_CURVE('',#154414,#154412,#76353,.T.); #190938=EDGE_CURVE('',#154413,#154414,#76354,.T.); #190939=EDGE_CURVE('',#154408,#154413,#76355,.T.); #190940=EDGE_CURVE('',#154409,#154414,#76356,.T.); #190941=EDGE_CURVE('',#154415,#154415,#136445,.T.); #190942=EDGE_CURVE('',#154415,#154416,#76357,.T.); #190943=EDGE_CURVE('',#154416,#154416,#136446,.T.); #190944=EDGE_CURVE('',#154417,#154418,#76358,.T.); #190945=EDGE_CURVE('',#154418,#154419,#76359,.T.); #190946=EDGE_CURVE('',#154420,#154419,#76360,.T.); #190947=EDGE_CURVE('',#154417,#154420,#76361,.T.); #190948=EDGE_CURVE('',#154421,#154417,#76362,.T.); #190949=EDGE_CURVE('',#154422,#154420,#76363,.T.); #190950=EDGE_CURVE('',#154421,#154422,#76364,.T.); #190951=EDGE_CURVE('',#154423,#154421,#76365,.T.); #190952=EDGE_CURVE('',#154424,#154422,#76366,.T.); #190953=EDGE_CURVE('',#154423,#154424,#76367,.T.); #190954=EDGE_CURVE('',#154418,#154423,#76368,.T.); #190955=EDGE_CURVE('',#154419,#154424,#76369,.T.); #190956=EDGE_CURVE('',#154425,#154426,#76370,.T.); #190957=EDGE_CURVE('',#154426,#154427,#76371,.T.); #190958=EDGE_CURVE('',#154428,#154427,#76372,.T.); #190959=EDGE_CURVE('',#154425,#154428,#76373,.T.); #190960=EDGE_CURVE('',#154429,#154425,#76374,.T.); #190961=EDGE_CURVE('',#154430,#154428,#76375,.T.); #190962=EDGE_CURVE('',#154429,#154430,#76376,.T.); #190963=EDGE_CURVE('',#154431,#154429,#76377,.T.); #190964=EDGE_CURVE('',#154432,#154430,#76378,.T.); #190965=EDGE_CURVE('',#154431,#154432,#76379,.T.); #190966=EDGE_CURVE('',#154426,#154431,#76380,.T.); #190967=EDGE_CURVE('',#154427,#154432,#76381,.T.); #190968=EDGE_CURVE('',#154433,#154434,#76382,.T.); #190969=EDGE_CURVE('',#154434,#154435,#76383,.T.); #190970=EDGE_CURVE('',#154436,#154435,#76384,.T.); #190971=EDGE_CURVE('',#154433,#154436,#76385,.T.); #190972=EDGE_CURVE('',#154437,#154433,#76386,.T.); #190973=EDGE_CURVE('',#154438,#154436,#76387,.T.); #190974=EDGE_CURVE('',#154437,#154438,#76388,.T.); #190975=EDGE_CURVE('',#154439,#154437,#76389,.T.); #190976=EDGE_CURVE('',#154440,#154438,#76390,.T.); #190977=EDGE_CURVE('',#154439,#154440,#76391,.T.); #190978=EDGE_CURVE('',#154434,#154439,#76392,.T.); #190979=EDGE_CURVE('',#154435,#154440,#76393,.T.); #190980=EDGE_CURVE('',#154441,#154442,#76394,.T.); #190981=EDGE_CURVE('',#154442,#154443,#76395,.T.); #190982=EDGE_CURVE('',#154444,#154443,#76396,.T.); #190983=EDGE_CURVE('',#154441,#154444,#76397,.T.); #190984=EDGE_CURVE('',#154445,#154441,#76398,.T.); #190985=EDGE_CURVE('',#154446,#154444,#76399,.T.); #190986=EDGE_CURVE('',#154445,#154446,#76400,.T.); #190987=EDGE_CURVE('',#154447,#154445,#76401,.T.); #190988=EDGE_CURVE('',#154448,#154446,#76402,.T.); #190989=EDGE_CURVE('',#154447,#154448,#76403,.T.); #190990=EDGE_CURVE('',#154442,#154447,#76404,.T.); #190991=EDGE_CURVE('',#154443,#154448,#76405,.T.); #190992=EDGE_CURVE('',#154449,#154450,#76406,.T.); #190993=EDGE_CURVE('',#154450,#154451,#76407,.T.); #190994=EDGE_CURVE('',#154452,#154451,#76408,.T.); #190995=EDGE_CURVE('',#154449,#154452,#76409,.T.); #190996=EDGE_CURVE('',#154453,#154449,#76410,.T.); #190997=EDGE_CURVE('',#154454,#154452,#76411,.T.); #190998=EDGE_CURVE('',#154453,#154454,#76412,.T.); #190999=EDGE_CURVE('',#154455,#154453,#76413,.T.); #191000=EDGE_CURVE('',#154456,#154454,#76414,.T.); #191001=EDGE_CURVE('',#154455,#154456,#76415,.T.); #191002=EDGE_CURVE('',#154450,#154455,#76416,.T.); #191003=EDGE_CURVE('',#154451,#154456,#76417,.T.); #191004=EDGE_CURVE('',#154457,#154458,#76418,.T.); #191005=EDGE_CURVE('',#154458,#154459,#76419,.T.); #191006=EDGE_CURVE('',#154460,#154459,#76420,.T.); #191007=EDGE_CURVE('',#154457,#154460,#76421,.T.); #191008=EDGE_CURVE('',#154461,#154457,#76422,.T.); #191009=EDGE_CURVE('',#154462,#154460,#76423,.T.); #191010=EDGE_CURVE('',#154461,#154462,#76424,.T.); #191011=EDGE_CURVE('',#154463,#154461,#76425,.T.); #191012=EDGE_CURVE('',#154464,#154462,#76426,.T.); #191013=EDGE_CURVE('',#154463,#154464,#76427,.T.); #191014=EDGE_CURVE('',#154458,#154463,#76428,.T.); #191015=EDGE_CURVE('',#154459,#154464,#76429,.T.); #191016=EDGE_CURVE('',#154465,#154466,#76430,.T.); #191017=EDGE_CURVE('',#154466,#154467,#76431,.T.); #191018=EDGE_CURVE('',#154468,#154467,#76432,.T.); #191019=EDGE_CURVE('',#154465,#154468,#76433,.T.); #191020=EDGE_CURVE('',#154469,#154465,#76434,.T.); #191021=EDGE_CURVE('',#154470,#154468,#76435,.T.); #191022=EDGE_CURVE('',#154469,#154470,#76436,.T.); #191023=EDGE_CURVE('',#154471,#154469,#76437,.T.); #191024=EDGE_CURVE('',#154472,#154470,#76438,.T.); #191025=EDGE_CURVE('',#154471,#154472,#76439,.T.); #191026=EDGE_CURVE('',#154466,#154471,#76440,.T.); #191027=EDGE_CURVE('',#154467,#154472,#76441,.T.); #191028=EDGE_CURVE('',#154473,#154474,#76442,.T.); #191029=EDGE_CURVE('',#154474,#154475,#76443,.T.); #191030=EDGE_CURVE('',#154476,#154475,#76444,.T.); #191031=EDGE_CURVE('',#154473,#154476,#76445,.T.); #191032=EDGE_CURVE('',#154477,#154473,#76446,.T.); #191033=EDGE_CURVE('',#154478,#154476,#76447,.T.); #191034=EDGE_CURVE('',#154477,#154478,#76448,.T.); #191035=EDGE_CURVE('',#154479,#154477,#76449,.T.); #191036=EDGE_CURVE('',#154480,#154478,#76450,.T.); #191037=EDGE_CURVE('',#154479,#154480,#76451,.T.); #191038=EDGE_CURVE('',#154474,#154479,#76452,.T.); #191039=EDGE_CURVE('',#154475,#154480,#76453,.T.); #191040=EDGE_CURVE('',#154481,#154482,#76454,.T.); #191041=EDGE_CURVE('',#154482,#154483,#76455,.T.); #191042=EDGE_CURVE('',#154484,#154483,#76456,.T.); #191043=EDGE_CURVE('',#154481,#154484,#76457,.T.); #191044=EDGE_CURVE('',#154485,#154481,#76458,.T.); #191045=EDGE_CURVE('',#154486,#154484,#76459,.T.); #191046=EDGE_CURVE('',#154485,#154486,#76460,.T.); #191047=EDGE_CURVE('',#154487,#154485,#76461,.T.); #191048=EDGE_CURVE('',#154488,#154486,#76462,.T.); #191049=EDGE_CURVE('',#154487,#154488,#76463,.T.); #191050=EDGE_CURVE('',#154482,#154487,#76464,.T.); #191051=EDGE_CURVE('',#154483,#154488,#76465,.T.); #191052=EDGE_CURVE('',#154489,#154490,#76466,.T.); #191053=EDGE_CURVE('',#154490,#154491,#76467,.T.); #191054=EDGE_CURVE('',#154492,#154491,#76468,.T.); #191055=EDGE_CURVE('',#154489,#154492,#76469,.T.); #191056=EDGE_CURVE('',#154493,#154489,#76470,.T.); #191057=EDGE_CURVE('',#154494,#154492,#76471,.T.); #191058=EDGE_CURVE('',#154493,#154494,#76472,.T.); #191059=EDGE_CURVE('',#154495,#154493,#76473,.T.); #191060=EDGE_CURVE('',#154496,#154494,#76474,.T.); #191061=EDGE_CURVE('',#154495,#154496,#76475,.T.); #191062=EDGE_CURVE('',#154490,#154495,#76476,.T.); #191063=EDGE_CURVE('',#154491,#154496,#76477,.T.); #191064=EDGE_CURVE('',#154497,#154498,#76478,.T.); #191065=EDGE_CURVE('',#154498,#154499,#76479,.T.); #191066=EDGE_CURVE('',#154500,#154499,#76480,.T.); #191067=EDGE_CURVE('',#154497,#154500,#76481,.T.); #191068=EDGE_CURVE('',#154501,#154497,#76482,.T.); #191069=EDGE_CURVE('',#154502,#154500,#76483,.T.); #191070=EDGE_CURVE('',#154501,#154502,#76484,.T.); #191071=EDGE_CURVE('',#154503,#154501,#76485,.T.); #191072=EDGE_CURVE('',#154504,#154502,#76486,.T.); #191073=EDGE_CURVE('',#154503,#154504,#76487,.T.); #191074=EDGE_CURVE('',#154498,#154503,#76488,.T.); #191075=EDGE_CURVE('',#154499,#154504,#76489,.T.); #191076=EDGE_CURVE('',#154505,#154506,#76490,.T.); #191077=EDGE_CURVE('',#154506,#154507,#76491,.T.); #191078=EDGE_CURVE('',#154508,#154507,#76492,.T.); #191079=EDGE_CURVE('',#154505,#154508,#76493,.T.); #191080=EDGE_CURVE('',#154509,#154505,#76494,.T.); #191081=EDGE_CURVE('',#154510,#154508,#76495,.T.); #191082=EDGE_CURVE('',#154509,#154510,#76496,.T.); #191083=EDGE_CURVE('',#154511,#154509,#76497,.T.); #191084=EDGE_CURVE('',#154512,#154510,#76498,.T.); #191085=EDGE_CURVE('',#154511,#154512,#76499,.T.); #191086=EDGE_CURVE('',#154506,#154511,#76500,.T.); #191087=EDGE_CURVE('',#154507,#154512,#76501,.T.); #191088=EDGE_CURVE('',#154513,#154514,#76502,.T.); #191089=EDGE_CURVE('',#154515,#154513,#136447,.T.); #191090=EDGE_CURVE('',#154516,#154515,#76503,.T.); #191091=EDGE_CURVE('',#154514,#154516,#136448,.T.); #191092=EDGE_CURVE('',#154517,#154518,#76504,.T.); #191093=EDGE_CURVE('',#154519,#154517,#136449,.T.); #191094=EDGE_CURVE('',#154520,#154519,#76505,.T.); #191095=EDGE_CURVE('',#154518,#154520,#136450,.T.); #191096=EDGE_CURVE('',#154521,#154521,#136451,.T.); #191097=EDGE_CURVE('',#154521,#154522,#76506,.T.); #191098=EDGE_CURVE('',#154522,#154522,#136452,.T.); #191099=EDGE_CURVE('',#154523,#154524,#76507,.T.); #191100=EDGE_CURVE('',#154525,#154523,#136453,.T.); #191101=EDGE_CURVE('',#154526,#154525,#76508,.T.); #191102=EDGE_CURVE('',#154524,#154526,#136454,.T.); #191103=EDGE_CURVE('',#154527,#154528,#76509,.T.); #191104=EDGE_CURVE('',#154529,#154527,#136455,.T.); #191105=EDGE_CURVE('',#154530,#154529,#76510,.T.); #191106=EDGE_CURVE('',#154528,#154530,#136456,.T.); #191107=EDGE_CURVE('',#154531,#154532,#76511,.T.); #191108=EDGE_CURVE('',#154533,#154531,#136457,.T.); #191109=EDGE_CURVE('',#154534,#154533,#76512,.T.); #191110=EDGE_CURVE('',#154532,#154534,#136458,.T.); #191111=EDGE_CURVE('',#154535,#154536,#76513,.T.); #191112=EDGE_CURVE('',#154537,#154535,#136459,.T.); #191113=EDGE_CURVE('',#154538,#154537,#76514,.T.); #191114=EDGE_CURVE('',#154536,#154538,#136460,.T.); #191115=EDGE_CURVE('',#154539,#154540,#76515,.T.); #191116=EDGE_CURVE('',#154541,#154539,#136461,.T.); #191117=EDGE_CURVE('',#154542,#154541,#76516,.T.); #191118=EDGE_CURVE('',#154540,#154542,#136462,.T.); #191119=EDGE_CURVE('',#154543,#154544,#76517,.T.); #191120=EDGE_CURVE('',#154545,#154543,#136463,.T.); #191121=EDGE_CURVE('',#154546,#154545,#76518,.T.); #191122=EDGE_CURVE('',#154544,#154546,#136464,.T.); #191123=EDGE_CURVE('',#154547,#154514,#76519,.T.); #191124=EDGE_CURVE('',#154548,#154547,#76520,.T.); #191125=EDGE_CURVE('',#154548,#154513,#76521,.T.); #191126=EDGE_CURVE('',#154515,#154517,#76522,.T.); #191127=EDGE_CURVE('',#154516,#154518,#76523,.T.); #191128=EDGE_CURVE('',#154549,#154532,#76524,.T.); #191129=EDGE_CURVE('',#154550,#154549,#76525,.T.); #191130=EDGE_CURVE('',#154550,#154531,#76526,.T.); #191131=EDGE_CURVE('',#154533,#154535,#76527,.T.); #191132=EDGE_CURVE('',#154534,#154536,#76528,.T.); #191133=EDGE_CURVE('',#154538,#154528,#76529,.T.); #191134=EDGE_CURVE('',#154537,#154527,#76530,.T.); #191135=EDGE_CURVE('',#154529,#154548,#76531,.T.); #191136=EDGE_CURVE('',#154530,#154547,#76532,.T.); #191137=EDGE_CURVE('',#154542,#154524,#76533,.T.); #191138=EDGE_CURVE('',#154541,#154523,#76534,.T.); #191139=EDGE_CURVE('',#154525,#154550,#76535,.T.); #191140=EDGE_CURVE('',#154526,#154549,#76536,.T.); #191141=EDGE_CURVE('',#154543,#154540,#76537,.T.); #191142=EDGE_CURVE('',#154544,#154539,#76538,.T.); #191143=EDGE_CURVE('',#154519,#154546,#76539,.T.); #191144=EDGE_CURVE('',#154520,#154545,#76540,.T.); #191145=EDGE_CURVE('',#154551,#154551,#136465,.T.); #191146=EDGE_CURVE('',#154551,#154552,#76541,.T.); #191147=EDGE_CURVE('',#154552,#154552,#136466,.T.); #191148=EDGE_CURVE('',#154553,#154554,#76542,.T.); #191149=EDGE_CURVE('',#154554,#154555,#76543,.T.); #191150=EDGE_CURVE('',#154556,#154555,#76544,.T.); #191151=EDGE_CURVE('',#154553,#154556,#76545,.T.); #191152=EDGE_CURVE('',#154557,#154553,#76546,.T.); #191153=EDGE_CURVE('',#154558,#154556,#76547,.T.); #191154=EDGE_CURVE('',#154557,#154558,#76548,.T.); #191155=EDGE_CURVE('',#154559,#154557,#76549,.T.); #191156=EDGE_CURVE('',#154560,#154558,#76550,.T.); #191157=EDGE_CURVE('',#154559,#154560,#76551,.T.); #191158=EDGE_CURVE('',#154554,#154559,#76552,.T.); #191159=EDGE_CURVE('',#154555,#154560,#76553,.T.); #191160=EDGE_CURVE('',#154561,#154562,#76554,.T.); #191161=EDGE_CURVE('',#154562,#154563,#76555,.T.); #191162=EDGE_CURVE('',#154564,#154563,#76556,.T.); #191163=EDGE_CURVE('',#154561,#154564,#76557,.T.); #191164=EDGE_CURVE('',#154565,#154561,#76558,.T.); #191165=EDGE_CURVE('',#154566,#154564,#76559,.T.); #191166=EDGE_CURVE('',#154565,#154566,#76560,.T.); #191167=EDGE_CURVE('',#154567,#154565,#76561,.T.); #191168=EDGE_CURVE('',#154568,#154566,#76562,.T.); #191169=EDGE_CURVE('',#154567,#154568,#76563,.T.); #191170=EDGE_CURVE('',#154562,#154567,#76564,.T.); #191171=EDGE_CURVE('',#154563,#154568,#76565,.T.); #191172=EDGE_CURVE('',#154569,#154570,#76566,.T.); #191173=EDGE_CURVE('',#154570,#154571,#76567,.T.); #191174=EDGE_CURVE('',#154572,#154571,#76568,.T.); #191175=EDGE_CURVE('',#154569,#154572,#76569,.T.); #191176=EDGE_CURVE('',#154573,#154569,#76570,.T.); #191177=EDGE_CURVE('',#154574,#154572,#76571,.T.); #191178=EDGE_CURVE('',#154573,#154574,#76572,.T.); #191179=EDGE_CURVE('',#154575,#154573,#76573,.T.); #191180=EDGE_CURVE('',#154576,#154574,#76574,.T.); #191181=EDGE_CURVE('',#154575,#154576,#76575,.T.); #191182=EDGE_CURVE('',#154570,#154575,#76576,.T.); #191183=EDGE_CURVE('',#154571,#154576,#76577,.T.); #191184=EDGE_CURVE('',#154577,#154578,#76578,.T.); #191185=EDGE_CURVE('',#154578,#154579,#76579,.T.); #191186=EDGE_CURVE('',#154580,#154579,#76580,.T.); #191187=EDGE_CURVE('',#154577,#154580,#76581,.T.); #191188=EDGE_CURVE('',#154581,#154577,#76582,.T.); #191189=EDGE_CURVE('',#154582,#154580,#76583,.T.); #191190=EDGE_CURVE('',#154581,#154582,#76584,.T.); #191191=EDGE_CURVE('',#154583,#154581,#76585,.T.); #191192=EDGE_CURVE('',#154584,#154582,#76586,.T.); #191193=EDGE_CURVE('',#154583,#154584,#76587,.T.); #191194=EDGE_CURVE('',#154578,#154583,#76588,.T.); #191195=EDGE_CURVE('',#154579,#154584,#76589,.T.); #191196=EDGE_CURVE('',#154585,#154586,#76590,.T.); #191197=EDGE_CURVE('',#154586,#154587,#76591,.T.); #191198=EDGE_CURVE('',#154588,#154587,#76592,.T.); #191199=EDGE_CURVE('',#154585,#154588,#76593,.T.); #191200=EDGE_CURVE('',#154589,#154585,#76594,.T.); #191201=EDGE_CURVE('',#154590,#154588,#76595,.T.); #191202=EDGE_CURVE('',#154589,#154590,#76596,.T.); #191203=EDGE_CURVE('',#154591,#154589,#76597,.T.); #191204=EDGE_CURVE('',#154592,#154590,#76598,.T.); #191205=EDGE_CURVE('',#154591,#154592,#76599,.T.); #191206=EDGE_CURVE('',#154586,#154591,#76600,.T.); #191207=EDGE_CURVE('',#154587,#154592,#76601,.T.); #191208=EDGE_CURVE('',#154593,#154594,#76602,.T.); #191209=EDGE_CURVE('',#154594,#154595,#76603,.T.); #191210=EDGE_CURVE('',#154596,#154595,#76604,.T.); #191211=EDGE_CURVE('',#154593,#154596,#76605,.T.); #191212=EDGE_CURVE('',#154597,#154593,#76606,.T.); #191213=EDGE_CURVE('',#154598,#154596,#76607,.T.); #191214=EDGE_CURVE('',#154597,#154598,#76608,.T.); #191215=EDGE_CURVE('',#154599,#154597,#76609,.T.); #191216=EDGE_CURVE('',#154600,#154598,#76610,.T.); #191217=EDGE_CURVE('',#154599,#154600,#76611,.T.); #191218=EDGE_CURVE('',#154594,#154599,#76612,.T.); #191219=EDGE_CURVE('',#154595,#154600,#76613,.T.); #191220=EDGE_CURVE('',#154601,#154601,#136467,.T.); #191221=EDGE_CURVE('',#154601,#154602,#76614,.T.); #191222=EDGE_CURVE('',#154602,#154602,#136468,.T.); #191223=EDGE_CURVE('',#154603,#154604,#76615,.T.); #191224=EDGE_CURVE('',#154604,#154605,#76616,.T.); #191225=EDGE_CURVE('',#154606,#154605,#76617,.T.); #191226=EDGE_CURVE('',#154603,#154606,#76618,.T.); #191227=EDGE_CURVE('',#154607,#154603,#76619,.T.); #191228=EDGE_CURVE('',#154608,#154606,#76620,.T.); #191229=EDGE_CURVE('',#154607,#154608,#76621,.T.); #191230=EDGE_CURVE('',#154609,#154607,#76622,.T.); #191231=EDGE_CURVE('',#154610,#154608,#76623,.T.); #191232=EDGE_CURVE('',#154609,#154610,#76624,.T.); #191233=EDGE_CURVE('',#154604,#154609,#76625,.T.); #191234=EDGE_CURVE('',#154605,#154610,#76626,.T.); #191235=EDGE_CURVE('',#154611,#154611,#136469,.T.); #191236=EDGE_CURVE('',#154611,#154612,#76627,.T.); #191237=EDGE_CURVE('',#154612,#154612,#136470,.T.); #191238=EDGE_CURVE('',#154613,#154614,#76628,.T.); #191239=EDGE_CURVE('',#154614,#154615,#76629,.T.); #191240=EDGE_CURVE('',#154616,#154615,#76630,.T.); #191241=EDGE_CURVE('',#154613,#154616,#76631,.T.); #191242=EDGE_CURVE('',#154617,#154613,#76632,.T.); #191243=EDGE_CURVE('',#154618,#154616,#76633,.T.); #191244=EDGE_CURVE('',#154617,#154618,#76634,.T.); #191245=EDGE_CURVE('',#154619,#154617,#76635,.T.); #191246=EDGE_CURVE('',#154620,#154618,#76636,.T.); #191247=EDGE_CURVE('',#154619,#154620,#76637,.T.); #191248=EDGE_CURVE('',#154614,#154619,#76638,.T.); #191249=EDGE_CURVE('',#154615,#154620,#76639,.T.); #191250=EDGE_CURVE('',#154621,#154622,#76640,.T.); #191251=EDGE_CURVE('',#154622,#154623,#76641,.T.); #191252=EDGE_CURVE('',#154624,#154623,#76642,.T.); #191253=EDGE_CURVE('',#154621,#154624,#76643,.T.); #191254=EDGE_CURVE('',#154625,#154621,#76644,.T.); #191255=EDGE_CURVE('',#154626,#154624,#76645,.T.); #191256=EDGE_CURVE('',#154625,#154626,#76646,.T.); #191257=EDGE_CURVE('',#154627,#154625,#76647,.T.); #191258=EDGE_CURVE('',#154628,#154626,#76648,.T.); #191259=EDGE_CURVE('',#154627,#154628,#76649,.T.); #191260=EDGE_CURVE('',#154622,#154627,#76650,.T.); #191261=EDGE_CURVE('',#154623,#154628,#76651,.T.); #191262=EDGE_CURVE('',#154629,#154630,#76652,.T.); #191263=EDGE_CURVE('',#154630,#154631,#76653,.T.); #191264=EDGE_CURVE('',#154632,#154631,#76654,.T.); #191265=EDGE_CURVE('',#154629,#154632,#76655,.T.); #191266=EDGE_CURVE('',#154633,#154629,#76656,.T.); #191267=EDGE_CURVE('',#154634,#154632,#76657,.T.); #191268=EDGE_CURVE('',#154633,#154634,#76658,.T.); #191269=EDGE_CURVE('',#154635,#154633,#76659,.T.); #191270=EDGE_CURVE('',#154636,#154634,#76660,.T.); #191271=EDGE_CURVE('',#154635,#154636,#76661,.T.); #191272=EDGE_CURVE('',#154630,#154635,#76662,.T.); #191273=EDGE_CURVE('',#154631,#154636,#76663,.T.); #191274=EDGE_CURVE('',#154637,#154638,#76664,.T.); #191275=EDGE_CURVE('',#154638,#154639,#76665,.T.); #191276=EDGE_CURVE('',#154640,#154639,#76666,.T.); #191277=EDGE_CURVE('',#154637,#154640,#76667,.T.); #191278=EDGE_CURVE('',#154641,#154637,#76668,.T.); #191279=EDGE_CURVE('',#154642,#154640,#76669,.T.); #191280=EDGE_CURVE('',#154641,#154642,#76670,.T.); #191281=EDGE_CURVE('',#154643,#154641,#76671,.T.); #191282=EDGE_CURVE('',#154644,#154642,#76672,.T.); #191283=EDGE_CURVE('',#154643,#154644,#76673,.T.); #191284=EDGE_CURVE('',#154638,#154643,#76674,.T.); #191285=EDGE_CURVE('',#154639,#154644,#76675,.T.); #191286=EDGE_CURVE('',#154645,#154646,#76676,.T.); #191287=EDGE_CURVE('',#154646,#154647,#76677,.T.); #191288=EDGE_CURVE('',#154648,#154647,#76678,.T.); #191289=EDGE_CURVE('',#154645,#154648,#76679,.T.); #191290=EDGE_CURVE('',#154649,#154645,#76680,.T.); #191291=EDGE_CURVE('',#154650,#154648,#76681,.T.); #191292=EDGE_CURVE('',#154649,#154650,#76682,.T.); #191293=EDGE_CURVE('',#154651,#154649,#76683,.T.); #191294=EDGE_CURVE('',#154652,#154650,#76684,.T.); #191295=EDGE_CURVE('',#154651,#154652,#76685,.T.); #191296=EDGE_CURVE('',#154646,#154651,#76686,.T.); #191297=EDGE_CURVE('',#154647,#154652,#76687,.T.); #191298=EDGE_CURVE('',#154653,#154654,#76688,.T.); #191299=EDGE_CURVE('',#154654,#154655,#76689,.T.); #191300=EDGE_CURVE('',#154656,#154655,#76690,.T.); #191301=EDGE_CURVE('',#154653,#154656,#76691,.T.); #191302=EDGE_CURVE('',#154657,#154653,#76692,.T.); #191303=EDGE_CURVE('',#154658,#154656,#76693,.T.); #191304=EDGE_CURVE('',#154657,#154658,#76694,.T.); #191305=EDGE_CURVE('',#154659,#154657,#76695,.T.); #191306=EDGE_CURVE('',#154660,#154658,#76696,.T.); #191307=EDGE_CURVE('',#154659,#154660,#76697,.T.); #191308=EDGE_CURVE('',#154654,#154659,#76698,.T.); #191309=EDGE_CURVE('',#154655,#154660,#76699,.T.); #191310=EDGE_CURVE('',#154661,#154662,#76700,.T.); #191311=EDGE_CURVE('',#154662,#154663,#76701,.T.); #191312=EDGE_CURVE('',#154664,#154663,#76702,.T.); #191313=EDGE_CURVE('',#154661,#154664,#76703,.T.); #191314=EDGE_CURVE('',#154665,#154661,#76704,.T.); #191315=EDGE_CURVE('',#154666,#154664,#76705,.T.); #191316=EDGE_CURVE('',#154665,#154666,#76706,.T.); #191317=EDGE_CURVE('',#154667,#154665,#76707,.T.); #191318=EDGE_CURVE('',#154668,#154666,#76708,.T.); #191319=EDGE_CURVE('',#154667,#154668,#76709,.T.); #191320=EDGE_CURVE('',#154662,#154667,#76710,.T.); #191321=EDGE_CURVE('',#154663,#154668,#76711,.T.); #191322=EDGE_CURVE('',#154669,#154670,#76712,.T.); #191323=EDGE_CURVE('',#154670,#154671,#76713,.T.); #191324=EDGE_CURVE('',#154672,#154671,#76714,.T.); #191325=EDGE_CURVE('',#154669,#154672,#76715,.T.); #191326=EDGE_CURVE('',#154673,#154669,#76716,.T.); #191327=EDGE_CURVE('',#154674,#154672,#76717,.T.); #191328=EDGE_CURVE('',#154673,#154674,#76718,.T.); #191329=EDGE_CURVE('',#154675,#154673,#76719,.T.); #191330=EDGE_CURVE('',#154676,#154674,#76720,.T.); #191331=EDGE_CURVE('',#154675,#154676,#76721,.T.); #191332=EDGE_CURVE('',#154670,#154675,#76722,.T.); #191333=EDGE_CURVE('',#154671,#154676,#76723,.T.); #191334=EDGE_CURVE('',#154677,#154678,#76724,.T.); #191335=EDGE_CURVE('',#154678,#154679,#76725,.T.); #191336=EDGE_CURVE('',#154680,#154679,#76726,.T.); #191337=EDGE_CURVE('',#154677,#154680,#76727,.T.); #191338=EDGE_CURVE('',#154681,#154677,#76728,.T.); #191339=EDGE_CURVE('',#154682,#154680,#76729,.T.); #191340=EDGE_CURVE('',#154681,#154682,#76730,.T.); #191341=EDGE_CURVE('',#154683,#154681,#76731,.T.); #191342=EDGE_CURVE('',#154684,#154682,#76732,.T.); #191343=EDGE_CURVE('',#154683,#154684,#76733,.T.); #191344=EDGE_CURVE('',#154678,#154683,#76734,.T.); #191345=EDGE_CURVE('',#154679,#154684,#76735,.T.); #191346=EDGE_CURVE('',#154685,#154686,#76736,.T.); #191347=EDGE_CURVE('',#154686,#154687,#76737,.T.); #191348=EDGE_CURVE('',#154688,#154687,#76738,.T.); #191349=EDGE_CURVE('',#154685,#154688,#76739,.T.); #191350=EDGE_CURVE('',#154689,#154685,#76740,.T.); #191351=EDGE_CURVE('',#154690,#154688,#76741,.T.); #191352=EDGE_CURVE('',#154689,#154690,#76742,.T.); #191353=EDGE_CURVE('',#154691,#154689,#76743,.T.); #191354=EDGE_CURVE('',#154692,#154690,#76744,.T.); #191355=EDGE_CURVE('',#154691,#154692,#76745,.T.); #191356=EDGE_CURVE('',#154686,#154691,#76746,.T.); #191357=EDGE_CURVE('',#154687,#154692,#76747,.T.); #191358=EDGE_CURVE('',#154693,#154694,#76748,.T.); #191359=EDGE_CURVE('',#154694,#154695,#76749,.T.); #191360=EDGE_CURVE('',#154696,#154695,#76750,.T.); #191361=EDGE_CURVE('',#154693,#154696,#76751,.T.); #191362=EDGE_CURVE('',#154697,#154693,#76752,.T.); #191363=EDGE_CURVE('',#154698,#154696,#76753,.T.); #191364=EDGE_CURVE('',#154697,#154698,#76754,.T.); #191365=EDGE_CURVE('',#154699,#154697,#76755,.T.); #191366=EDGE_CURVE('',#154700,#154698,#76756,.T.); #191367=EDGE_CURVE('',#154699,#154700,#76757,.T.); #191368=EDGE_CURVE('',#154694,#154699,#76758,.T.); #191369=EDGE_CURVE('',#154695,#154700,#76759,.T.); #191370=EDGE_CURVE('',#154701,#154702,#76760,.T.); #191371=EDGE_CURVE('',#154702,#154703,#76761,.T.); #191372=EDGE_CURVE('',#154704,#154703,#76762,.T.); #191373=EDGE_CURVE('',#154701,#154704,#76763,.T.); #191374=EDGE_CURVE('',#154705,#154701,#76764,.T.); #191375=EDGE_CURVE('',#154706,#154704,#76765,.T.); #191376=EDGE_CURVE('',#154705,#154706,#76766,.T.); #191377=EDGE_CURVE('',#154707,#154705,#76767,.T.); #191378=EDGE_CURVE('',#154708,#154706,#76768,.T.); #191379=EDGE_CURVE('',#154707,#154708,#76769,.T.); #191380=EDGE_CURVE('',#154702,#154707,#76770,.T.); #191381=EDGE_CURVE('',#154703,#154708,#76771,.T.); #191382=EDGE_CURVE('',#154709,#154709,#136471,.T.); #191383=EDGE_CURVE('',#154709,#154710,#76772,.T.); #191384=EDGE_CURVE('',#154710,#154710,#136472,.T.); #191385=EDGE_CURVE('',#154711,#154711,#136473,.T.); #191386=EDGE_CURVE('',#154711,#154712,#76773,.T.); #191387=EDGE_CURVE('',#154712,#154712,#136474,.T.); #191388=EDGE_CURVE('',#154713,#154713,#136475,.T.); #191389=EDGE_CURVE('',#154713,#154714,#76774,.T.); #191390=EDGE_CURVE('',#154714,#154714,#136476,.T.); #191391=EDGE_CURVE('',#154715,#154715,#136477,.T.); #191392=EDGE_CURVE('',#154715,#154716,#76775,.T.); #191393=EDGE_CURVE('',#154716,#154716,#136478,.T.); #191394=EDGE_CURVE('',#154717,#154717,#136479,.T.); #191395=EDGE_CURVE('',#154717,#154718,#76776,.T.); #191396=EDGE_CURVE('',#154718,#154718,#136480,.T.); #191397=EDGE_CURVE('',#154719,#154719,#136481,.T.); #191398=EDGE_CURVE('',#154719,#154720,#76777,.T.); #191399=EDGE_CURVE('',#154720,#154720,#136482,.T.); #191400=EDGE_CURVE('',#154721,#154722,#76778,.T.); #191401=EDGE_CURVE('',#154722,#154723,#76779,.T.); #191402=EDGE_CURVE('',#154724,#154723,#76780,.T.); #191403=EDGE_CURVE('',#154721,#154724,#76781,.T.); #191404=EDGE_CURVE('',#154725,#154721,#76782,.T.); #191405=EDGE_CURVE('',#154726,#154724,#76783,.T.); #191406=EDGE_CURVE('',#154725,#154726,#76784,.T.); #191407=EDGE_CURVE('',#154727,#154725,#76785,.T.); #191408=EDGE_CURVE('',#154728,#154726,#76786,.T.); #191409=EDGE_CURVE('',#154727,#154728,#76787,.T.); #191410=EDGE_CURVE('',#154722,#154727,#76788,.T.); #191411=EDGE_CURVE('',#154723,#154728,#76789,.T.); #191412=EDGE_CURVE('',#154729,#154730,#76790,.T.); #191413=EDGE_CURVE('',#154730,#154731,#76791,.T.); #191414=EDGE_CURVE('',#154732,#154731,#76792,.T.); #191415=EDGE_CURVE('',#154729,#154732,#76793,.T.); #191416=EDGE_CURVE('',#154733,#154729,#76794,.T.); #191417=EDGE_CURVE('',#154734,#154732,#76795,.T.); #191418=EDGE_CURVE('',#154733,#154734,#76796,.T.); #191419=EDGE_CURVE('',#154735,#154733,#76797,.T.); #191420=EDGE_CURVE('',#154736,#154734,#76798,.T.); #191421=EDGE_CURVE('',#154735,#154736,#76799,.T.); #191422=EDGE_CURVE('',#154730,#154735,#76800,.T.); #191423=EDGE_CURVE('',#154731,#154736,#76801,.T.); #191424=EDGE_CURVE('',#154737,#154738,#76802,.T.); #191425=EDGE_CURVE('',#154738,#154739,#76803,.T.); #191426=EDGE_CURVE('',#154740,#154739,#76804,.T.); #191427=EDGE_CURVE('',#154737,#154740,#76805,.T.); #191428=EDGE_CURVE('',#154741,#154737,#76806,.T.); #191429=EDGE_CURVE('',#154742,#154740,#76807,.T.); #191430=EDGE_CURVE('',#154741,#154742,#76808,.T.); #191431=EDGE_CURVE('',#154743,#154741,#76809,.T.); #191432=EDGE_CURVE('',#154744,#154742,#76810,.T.); #191433=EDGE_CURVE('',#154743,#154744,#76811,.T.); #191434=EDGE_CURVE('',#154738,#154743,#76812,.T.); #191435=EDGE_CURVE('',#154739,#154744,#76813,.T.); #191436=EDGE_CURVE('',#154745,#154746,#76814,.T.); #191437=EDGE_CURVE('',#154746,#154747,#76815,.T.); #191438=EDGE_CURVE('',#154748,#154747,#76816,.T.); #191439=EDGE_CURVE('',#154745,#154748,#76817,.T.); #191440=EDGE_CURVE('',#154749,#154745,#76818,.T.); #191441=EDGE_CURVE('',#154750,#154748,#76819,.T.); #191442=EDGE_CURVE('',#154749,#154750,#76820,.T.); #191443=EDGE_CURVE('',#154751,#154749,#76821,.T.); #191444=EDGE_CURVE('',#154752,#154750,#76822,.T.); #191445=EDGE_CURVE('',#154751,#154752,#76823,.T.); #191446=EDGE_CURVE('',#154746,#154751,#76824,.T.); #191447=EDGE_CURVE('',#154747,#154752,#76825,.T.); #191448=EDGE_CURVE('',#154753,#154754,#76826,.T.); #191449=EDGE_CURVE('',#154755,#154753,#136483,.T.); #191450=EDGE_CURVE('',#154756,#154755,#76827,.T.); #191451=EDGE_CURVE('',#154754,#154756,#136484,.T.); #191452=EDGE_CURVE('',#154757,#154757,#136485,.T.); #191453=EDGE_CURVE('',#154757,#154758,#76828,.T.); #191454=EDGE_CURVE('',#154758,#154758,#136486,.T.); #191455=EDGE_CURVE('',#154759,#154760,#76829,.T.); #191456=EDGE_CURVE('',#154761,#154759,#136487,.T.); #191457=EDGE_CURVE('',#154762,#154761,#76830,.T.); #191458=EDGE_CURVE('',#154760,#154762,#136488,.T.); #191459=EDGE_CURVE('',#154763,#154764,#76831,.T.); #191460=EDGE_CURVE('',#154765,#154763,#136489,.T.); #191461=EDGE_CURVE('',#154766,#154765,#76832,.T.); #191462=EDGE_CURVE('',#154764,#154766,#136490,.T.); #191463=EDGE_CURVE('',#154767,#154768,#76833,.T.); #191464=EDGE_CURVE('',#154769,#154767,#136491,.T.); #191465=EDGE_CURVE('',#154770,#154769,#76834,.T.); #191466=EDGE_CURVE('',#154768,#154770,#136492,.T.); #191467=EDGE_CURVE('',#154771,#154771,#136493,.T.); #191468=EDGE_CURVE('',#154771,#154772,#76835,.T.); #191469=EDGE_CURVE('',#154772,#154772,#136494,.T.); #191470=EDGE_CURVE('',#154765,#154773,#76836,.T.); #191471=EDGE_CURVE('',#154773,#154774,#76837,.T.); #191472=EDGE_CURVE('',#154766,#154774,#76838,.T.); #191473=EDGE_CURVE('',#154775,#154760,#76839,.T.); #191474=EDGE_CURVE('',#154776,#154775,#76840,.T.); #191475=EDGE_CURVE('',#154776,#154759,#76841,.T.); #191476=EDGE_CURVE('',#154761,#154763,#76842,.T.); #191477=EDGE_CURVE('',#154762,#154764,#76843,.T.); #191478=EDGE_CURVE('',#154769,#154777,#76844,.T.); #191479=EDGE_CURVE('',#154777,#154778,#76845,.T.); #191480=EDGE_CURVE('',#154770,#154778,#76846,.T.); #191481=EDGE_CURVE('',#154779,#154754,#76847,.T.); #191482=EDGE_CURVE('',#154780,#154779,#76848,.T.); #191483=EDGE_CURVE('',#154780,#154753,#76849,.T.); #191484=EDGE_CURVE('',#154755,#154767,#76850,.T.); #191485=EDGE_CURVE('',#154756,#154768,#76851,.T.); #191486=EDGE_CURVE('',#154773,#154780,#76852,.T.); #191487=EDGE_CURVE('',#154777,#154776,#76853,.T.); #191488=EDGE_CURVE('',#154774,#154779,#76854,.T.); #191489=EDGE_CURVE('',#154778,#154775,#76855,.T.); #191490=EDGE_CURVE('',#154781,#154782,#76856,.T.); #191491=EDGE_CURVE('',#154782,#154783,#76857,.T.); #191492=EDGE_CURVE('',#154784,#154783,#76858,.T.); #191493=EDGE_CURVE('',#154781,#154784,#76859,.T.); #191494=EDGE_CURVE('',#154785,#154781,#76860,.T.); #191495=EDGE_CURVE('',#154786,#154784,#76861,.T.); #191496=EDGE_CURVE('',#154785,#154786,#76862,.T.); #191497=EDGE_CURVE('',#154787,#154785,#76863,.T.); #191498=EDGE_CURVE('',#154788,#154786,#76864,.T.); #191499=EDGE_CURVE('',#154787,#154788,#76865,.T.); #191500=EDGE_CURVE('',#154782,#154787,#76866,.T.); #191501=EDGE_CURVE('',#154783,#154788,#76867,.T.); #191502=EDGE_CURVE('',#154789,#154790,#76868,.T.); #191503=EDGE_CURVE('',#154790,#154791,#76869,.T.); #191504=EDGE_CURVE('',#154792,#154791,#76870,.T.); #191505=EDGE_CURVE('',#154789,#154792,#76871,.T.); #191506=EDGE_CURVE('',#154793,#154789,#76872,.T.); #191507=EDGE_CURVE('',#154794,#154792,#76873,.T.); #191508=EDGE_CURVE('',#154793,#154794,#76874,.T.); #191509=EDGE_CURVE('',#154795,#154793,#76875,.T.); #191510=EDGE_CURVE('',#154796,#154794,#76876,.T.); #191511=EDGE_CURVE('',#154795,#154796,#76877,.T.); #191512=EDGE_CURVE('',#154790,#154795,#76878,.T.); #191513=EDGE_CURVE('',#154791,#154796,#76879,.T.); #191514=EDGE_CURVE('',#154797,#154798,#76880,.T.); #191515=EDGE_CURVE('',#154798,#154799,#76881,.T.); #191516=EDGE_CURVE('',#154800,#154799,#76882,.T.); #191517=EDGE_CURVE('',#154797,#154800,#76883,.T.); #191518=EDGE_CURVE('',#154801,#154797,#76884,.T.); #191519=EDGE_CURVE('',#154802,#154800,#76885,.T.); #191520=EDGE_CURVE('',#154801,#154802,#76886,.T.); #191521=EDGE_CURVE('',#154803,#154801,#76887,.T.); #191522=EDGE_CURVE('',#154804,#154802,#76888,.T.); #191523=EDGE_CURVE('',#154803,#154804,#76889,.T.); #191524=EDGE_CURVE('',#154798,#154803,#76890,.T.); #191525=EDGE_CURVE('',#154799,#154804,#76891,.T.); #191526=EDGE_CURVE('',#154805,#154806,#76892,.T.); #191527=EDGE_CURVE('',#154806,#154807,#76893,.T.); #191528=EDGE_CURVE('',#154808,#154807,#76894,.T.); #191529=EDGE_CURVE('',#154805,#154808,#76895,.T.); #191530=EDGE_CURVE('',#154809,#154805,#76896,.T.); #191531=EDGE_CURVE('',#154810,#154808,#76897,.T.); #191532=EDGE_CURVE('',#154809,#154810,#76898,.T.); #191533=EDGE_CURVE('',#154811,#154809,#76899,.T.); #191534=EDGE_CURVE('',#154812,#154810,#76900,.T.); #191535=EDGE_CURVE('',#154811,#154812,#76901,.T.); #191536=EDGE_CURVE('',#154806,#154811,#76902,.T.); #191537=EDGE_CURVE('',#154807,#154812,#76903,.T.); #191538=EDGE_CURVE('',#154813,#154814,#76904,.T.); #191539=EDGE_CURVE('',#154814,#154815,#76905,.T.); #191540=EDGE_CURVE('',#154816,#154815,#76906,.T.); #191541=EDGE_CURVE('',#154813,#154816,#76907,.T.); #191542=EDGE_CURVE('',#154817,#154813,#76908,.T.); #191543=EDGE_CURVE('',#154818,#154816,#76909,.T.); #191544=EDGE_CURVE('',#154817,#154818,#76910,.T.); #191545=EDGE_CURVE('',#154819,#154817,#76911,.T.); #191546=EDGE_CURVE('',#154820,#154818,#76912,.T.); #191547=EDGE_CURVE('',#154819,#154820,#76913,.T.); #191548=EDGE_CURVE('',#154814,#154819,#76914,.T.); #191549=EDGE_CURVE('',#154815,#154820,#76915,.T.); #191550=EDGE_CURVE('',#154821,#154822,#76916,.T.); #191551=EDGE_CURVE('',#154822,#154823,#76917,.T.); #191552=EDGE_CURVE('',#154824,#154823,#76918,.T.); #191553=EDGE_CURVE('',#154821,#154824,#76919,.T.); #191554=EDGE_CURVE('',#154825,#154821,#76920,.T.); #191555=EDGE_CURVE('',#154826,#154824,#76921,.T.); #191556=EDGE_CURVE('',#154825,#154826,#76922,.T.); #191557=EDGE_CURVE('',#154827,#154825,#76923,.T.); #191558=EDGE_CURVE('',#154828,#154826,#76924,.T.); #191559=EDGE_CURVE('',#154827,#154828,#76925,.T.); #191560=EDGE_CURVE('',#154822,#154827,#76926,.T.); #191561=EDGE_CURVE('',#154823,#154828,#76927,.T.); #191562=EDGE_CURVE('',#154829,#154830,#76928,.T.); #191563=EDGE_CURVE('',#154830,#154831,#76929,.T.); #191564=EDGE_CURVE('',#154832,#154831,#76930,.T.); #191565=EDGE_CURVE('',#154829,#154832,#76931,.T.); #191566=EDGE_CURVE('',#154833,#154829,#76932,.T.); #191567=EDGE_CURVE('',#154834,#154832,#76933,.T.); #191568=EDGE_CURVE('',#154833,#154834,#76934,.T.); #191569=EDGE_CURVE('',#154835,#154833,#76935,.T.); #191570=EDGE_CURVE('',#154836,#154834,#76936,.T.); #191571=EDGE_CURVE('',#154835,#154836,#76937,.T.); #191572=EDGE_CURVE('',#154830,#154835,#76938,.T.); #191573=EDGE_CURVE('',#154831,#154836,#76939,.T.); #191574=EDGE_CURVE('',#154837,#154838,#76940,.T.); #191575=EDGE_CURVE('',#154838,#154839,#76941,.T.); #191576=EDGE_CURVE('',#154840,#154839,#76942,.T.); #191577=EDGE_CURVE('',#154837,#154840,#76943,.T.); #191578=EDGE_CURVE('',#154841,#154837,#76944,.T.); #191579=EDGE_CURVE('',#154842,#154840,#76945,.T.); #191580=EDGE_CURVE('',#154841,#154842,#76946,.T.); #191581=EDGE_CURVE('',#154843,#154841,#76947,.T.); #191582=EDGE_CURVE('',#154844,#154842,#76948,.T.); #191583=EDGE_CURVE('',#154843,#154844,#76949,.T.); #191584=EDGE_CURVE('',#154838,#154843,#76950,.T.); #191585=EDGE_CURVE('',#154839,#154844,#76951,.T.); #191586=EDGE_CURVE('',#154845,#154846,#76952,.T.); #191587=EDGE_CURVE('',#154846,#154847,#76953,.T.); #191588=EDGE_CURVE('',#154848,#154847,#76954,.T.); #191589=EDGE_CURVE('',#154845,#154848,#76955,.T.); #191590=EDGE_CURVE('',#154849,#154845,#76956,.T.); #191591=EDGE_CURVE('',#154850,#154848,#76957,.T.); #191592=EDGE_CURVE('',#154849,#154850,#76958,.T.); #191593=EDGE_CURVE('',#154851,#154849,#76959,.T.); #191594=EDGE_CURVE('',#154852,#154850,#76960,.T.); #191595=EDGE_CURVE('',#154851,#154852,#76961,.T.); #191596=EDGE_CURVE('',#154846,#154851,#76962,.T.); #191597=EDGE_CURVE('',#154847,#154852,#76963,.T.); #191598=EDGE_CURVE('',#154853,#154854,#76964,.T.); #191599=EDGE_CURVE('',#154854,#154855,#76965,.T.); #191600=EDGE_CURVE('',#154856,#154855,#76966,.T.); #191601=EDGE_CURVE('',#154853,#154856,#76967,.T.); #191602=EDGE_CURVE('',#154857,#154853,#76968,.T.); #191603=EDGE_CURVE('',#154858,#154856,#76969,.T.); #191604=EDGE_CURVE('',#154857,#154858,#76970,.T.); #191605=EDGE_CURVE('',#154859,#154857,#76971,.T.); #191606=EDGE_CURVE('',#154860,#154858,#76972,.T.); #191607=EDGE_CURVE('',#154859,#154860,#76973,.T.); #191608=EDGE_CURVE('',#154854,#154859,#76974,.T.); #191609=EDGE_CURVE('',#154855,#154860,#76975,.T.); #191610=EDGE_CURVE('',#154861,#154862,#76976,.T.); #191611=EDGE_CURVE('',#154862,#154863,#76977,.T.); #191612=EDGE_CURVE('',#154864,#154863,#76978,.T.); #191613=EDGE_CURVE('',#154861,#154864,#76979,.T.); #191614=EDGE_CURVE('',#154865,#154861,#76980,.T.); #191615=EDGE_CURVE('',#154866,#154864,#76981,.T.); #191616=EDGE_CURVE('',#154865,#154866,#76982,.T.); #191617=EDGE_CURVE('',#154867,#154865,#76983,.T.); #191618=EDGE_CURVE('',#154868,#154866,#76984,.T.); #191619=EDGE_CURVE('',#154867,#154868,#76985,.T.); #191620=EDGE_CURVE('',#154862,#154867,#76986,.T.); #191621=EDGE_CURVE('',#154863,#154868,#76987,.T.); #191622=EDGE_CURVE('',#154869,#154870,#76988,.T.); #191623=EDGE_CURVE('',#154870,#154871,#76989,.T.); #191624=EDGE_CURVE('',#154872,#154871,#76990,.T.); #191625=EDGE_CURVE('',#154869,#154872,#76991,.T.); #191626=EDGE_CURVE('',#154873,#154869,#76992,.T.); #191627=EDGE_CURVE('',#154874,#154872,#76993,.T.); #191628=EDGE_CURVE('',#154873,#154874,#76994,.T.); #191629=EDGE_CURVE('',#154875,#154873,#76995,.T.); #191630=EDGE_CURVE('',#154876,#154874,#76996,.T.); #191631=EDGE_CURVE('',#154875,#154876,#76997,.T.); #191632=EDGE_CURVE('',#154870,#154875,#76998,.T.); #191633=EDGE_CURVE('',#154871,#154876,#76999,.T.); #191634=EDGE_CURVE('',#154877,#154878,#77000,.T.); #191635=EDGE_CURVE('',#154878,#154879,#77001,.T.); #191636=EDGE_CURVE('',#154880,#154879,#77002,.T.); #191637=EDGE_CURVE('',#154877,#154880,#77003,.T.); #191638=EDGE_CURVE('',#154881,#154877,#77004,.T.); #191639=EDGE_CURVE('',#154882,#154880,#77005,.T.); #191640=EDGE_CURVE('',#154881,#154882,#77006,.T.); #191641=EDGE_CURVE('',#154883,#154881,#77007,.T.); #191642=EDGE_CURVE('',#154884,#154882,#77008,.T.); #191643=EDGE_CURVE('',#154883,#154884,#77009,.T.); #191644=EDGE_CURVE('',#154878,#154883,#77010,.T.); #191645=EDGE_CURVE('',#154879,#154884,#77011,.T.); #191646=EDGE_CURVE('',#154885,#154886,#77012,.T.); #191647=EDGE_CURVE('',#154886,#154887,#77013,.T.); #191648=EDGE_CURVE('',#154888,#154887,#77014,.T.); #191649=EDGE_CURVE('',#154885,#154888,#77015,.T.); #191650=EDGE_CURVE('',#154889,#154885,#77016,.T.); #191651=EDGE_CURVE('',#154890,#154888,#77017,.T.); #191652=EDGE_CURVE('',#154889,#154890,#77018,.T.); #191653=EDGE_CURVE('',#154891,#154889,#77019,.T.); #191654=EDGE_CURVE('',#154892,#154890,#77020,.T.); #191655=EDGE_CURVE('',#154891,#154892,#77021,.T.); #191656=EDGE_CURVE('',#154886,#154891,#77022,.T.); #191657=EDGE_CURVE('',#154887,#154892,#77023,.T.); #191658=EDGE_CURVE('',#154893,#154894,#77024,.T.); #191659=EDGE_CURVE('',#154894,#154895,#77025,.T.); #191660=EDGE_CURVE('',#154896,#154895,#77026,.T.); #191661=EDGE_CURVE('',#154893,#154896,#77027,.T.); #191662=EDGE_CURVE('',#154897,#154893,#77028,.T.); #191663=EDGE_CURVE('',#154898,#154896,#77029,.T.); #191664=EDGE_CURVE('',#154897,#154898,#77030,.T.); #191665=EDGE_CURVE('',#154899,#154897,#77031,.T.); #191666=EDGE_CURVE('',#154900,#154898,#77032,.T.); #191667=EDGE_CURVE('',#154899,#154900,#77033,.T.); #191668=EDGE_CURVE('',#154894,#154899,#77034,.T.); #191669=EDGE_CURVE('',#154895,#154900,#77035,.T.); #191670=EDGE_CURVE('',#154901,#154902,#77036,.T.); #191671=EDGE_CURVE('',#154902,#154903,#77037,.T.); #191672=EDGE_CURVE('',#154904,#154903,#77038,.T.); #191673=EDGE_CURVE('',#154901,#154904,#77039,.T.); #191674=EDGE_CURVE('',#154905,#154901,#77040,.T.); #191675=EDGE_CURVE('',#154906,#154904,#77041,.T.); #191676=EDGE_CURVE('',#154905,#154906,#77042,.T.); #191677=EDGE_CURVE('',#154907,#154905,#77043,.T.); #191678=EDGE_CURVE('',#154908,#154906,#77044,.T.); #191679=EDGE_CURVE('',#154907,#154908,#77045,.T.); #191680=EDGE_CURVE('',#154902,#154907,#77046,.T.); #191681=EDGE_CURVE('',#154903,#154908,#77047,.T.); #191682=EDGE_CURVE('',#154909,#154910,#77048,.T.); #191683=EDGE_CURVE('',#154910,#154911,#77049,.T.); #191684=EDGE_CURVE('',#154912,#154911,#77050,.T.); #191685=EDGE_CURVE('',#154909,#154912,#77051,.T.); #191686=EDGE_CURVE('',#154913,#154909,#77052,.T.); #191687=EDGE_CURVE('',#154914,#154912,#77053,.T.); #191688=EDGE_CURVE('',#154913,#154914,#77054,.T.); #191689=EDGE_CURVE('',#154915,#154913,#77055,.T.); #191690=EDGE_CURVE('',#154916,#154914,#77056,.T.); #191691=EDGE_CURVE('',#154915,#154916,#77057,.T.); #191692=EDGE_CURVE('',#154910,#154915,#77058,.T.); #191693=EDGE_CURVE('',#154911,#154916,#77059,.T.); #191694=EDGE_CURVE('',#154917,#154918,#77060,.T.); #191695=EDGE_CURVE('',#154918,#154919,#77061,.T.); #191696=EDGE_CURVE('',#154920,#154919,#77062,.T.); #191697=EDGE_CURVE('',#154917,#154920,#77063,.T.); #191698=EDGE_CURVE('',#154921,#154917,#77064,.T.); #191699=EDGE_CURVE('',#154922,#154920,#77065,.T.); #191700=EDGE_CURVE('',#154921,#154922,#77066,.T.); #191701=EDGE_CURVE('',#154923,#154921,#77067,.T.); #191702=EDGE_CURVE('',#154924,#154922,#77068,.T.); #191703=EDGE_CURVE('',#154923,#154924,#77069,.T.); #191704=EDGE_CURVE('',#154918,#154923,#77070,.T.); #191705=EDGE_CURVE('',#154919,#154924,#77071,.T.); #191706=EDGE_CURVE('',#154925,#154926,#77072,.T.); #191707=EDGE_CURVE('',#154926,#154927,#77073,.T.); #191708=EDGE_CURVE('',#154928,#154927,#77074,.T.); #191709=EDGE_CURVE('',#154925,#154928,#77075,.T.); #191710=EDGE_CURVE('',#154929,#154925,#77076,.T.); #191711=EDGE_CURVE('',#154930,#154928,#77077,.T.); #191712=EDGE_CURVE('',#154929,#154930,#77078,.T.); #191713=EDGE_CURVE('',#154931,#154929,#77079,.T.); #191714=EDGE_CURVE('',#154932,#154930,#77080,.T.); #191715=EDGE_CURVE('',#154931,#154932,#77081,.T.); #191716=EDGE_CURVE('',#154926,#154931,#77082,.T.); #191717=EDGE_CURVE('',#154927,#154932,#77083,.T.); #191718=EDGE_CURVE('',#154933,#154934,#77084,.T.); #191719=EDGE_CURVE('',#154934,#154935,#77085,.T.); #191720=EDGE_CURVE('',#154936,#154935,#77086,.T.); #191721=EDGE_CURVE('',#154933,#154936,#77087,.T.); #191722=EDGE_CURVE('',#154937,#154933,#77088,.T.); #191723=EDGE_CURVE('',#154938,#154936,#77089,.T.); #191724=EDGE_CURVE('',#154937,#154938,#77090,.T.); #191725=EDGE_CURVE('',#154939,#154937,#77091,.T.); #191726=EDGE_CURVE('',#154940,#154938,#77092,.T.); #191727=EDGE_CURVE('',#154939,#154940,#77093,.T.); #191728=EDGE_CURVE('',#154934,#154939,#77094,.T.); #191729=EDGE_CURVE('',#154935,#154940,#77095,.T.); #191730=EDGE_CURVE('',#154941,#154942,#77096,.T.); #191731=EDGE_CURVE('',#154942,#154943,#77097,.T.); #191732=EDGE_CURVE('',#154944,#154943,#77098,.T.); #191733=EDGE_CURVE('',#154941,#154944,#77099,.T.); #191734=EDGE_CURVE('',#154945,#154941,#77100,.T.); #191735=EDGE_CURVE('',#154946,#154944,#77101,.T.); #191736=EDGE_CURVE('',#154945,#154946,#77102,.T.); #191737=EDGE_CURVE('',#154947,#154945,#77103,.T.); #191738=EDGE_CURVE('',#154948,#154946,#77104,.T.); #191739=EDGE_CURVE('',#154947,#154948,#77105,.T.); #191740=EDGE_CURVE('',#154942,#154947,#77106,.T.); #191741=EDGE_CURVE('',#154943,#154948,#77107,.T.); #191742=EDGE_CURVE('',#154949,#154949,#136495,.T.); #191743=EDGE_CURVE('',#154949,#154950,#77108,.T.); #191744=EDGE_CURVE('',#154950,#154950,#136496,.T.); #191745=EDGE_CURVE('',#154951,#154952,#77109,.T.); #191746=EDGE_CURVE('',#154952,#154953,#77110,.T.); #191747=EDGE_CURVE('',#154954,#154953,#77111,.T.); #191748=EDGE_CURVE('',#154951,#154954,#77112,.T.); #191749=EDGE_CURVE('',#154955,#154951,#136497,.T.); #191750=EDGE_CURVE('',#154956,#154954,#136498,.T.); #191751=EDGE_CURVE('',#154955,#154956,#77113,.T.); #191752=EDGE_CURVE('',#154952,#154955,#136499,.T.); #191753=EDGE_CURVE('',#154953,#154956,#136500,.T.); #191754=EDGE_CURVE('',#154957,#154958,#77114,.T.); #191755=EDGE_CURVE('',#154958,#154959,#77115,.T.); #191756=EDGE_CURVE('',#154960,#154959,#77116,.T.); #191757=EDGE_CURVE('',#154957,#154960,#77117,.T.); #191758=EDGE_CURVE('',#154961,#154957,#77118,.T.); #191759=EDGE_CURVE('',#154962,#154960,#77119,.T.); #191760=EDGE_CURVE('',#154961,#154962,#77120,.T.); #191761=EDGE_CURVE('',#154963,#154961,#77121,.T.); #191762=EDGE_CURVE('',#154964,#154962,#77122,.T.); #191763=EDGE_CURVE('',#154963,#154964,#77123,.T.); #191764=EDGE_CURVE('',#154958,#154963,#77124,.T.); #191765=EDGE_CURVE('',#154959,#154964,#77125,.T.); #191766=EDGE_CURVE('',#154965,#154966,#77126,.T.); #191767=EDGE_CURVE('',#154966,#154967,#77127,.T.); #191768=EDGE_CURVE('',#154968,#154967,#77128,.T.); #191769=EDGE_CURVE('',#154965,#154968,#77129,.T.); #191770=EDGE_CURVE('',#154969,#154965,#77130,.T.); #191771=EDGE_CURVE('',#154970,#154968,#77131,.T.); #191772=EDGE_CURVE('',#154969,#154970,#77132,.T.); #191773=EDGE_CURVE('',#154971,#154969,#77133,.T.); #191774=EDGE_CURVE('',#154972,#154970,#77134,.T.); #191775=EDGE_CURVE('',#154971,#154972,#77135,.T.); #191776=EDGE_CURVE('',#154966,#154971,#77136,.T.); #191777=EDGE_CURVE('',#154967,#154972,#77137,.T.); #191778=EDGE_CURVE('',#154973,#154973,#136501,.T.); #191779=EDGE_CURVE('',#154973,#154974,#77138,.T.); #191780=EDGE_CURVE('',#154974,#154974,#136502,.T.); #191781=EDGE_CURVE('',#154975,#154975,#136503,.T.); #191782=EDGE_CURVE('',#154975,#154976,#77139,.T.); #191783=EDGE_CURVE('',#154976,#154976,#136504,.T.); #191784=EDGE_CURVE('',#154977,#154978,#77140,.T.); #191785=EDGE_CURVE('',#154978,#154979,#77141,.T.); #191786=EDGE_CURVE('',#154980,#154979,#77142,.T.); #191787=EDGE_CURVE('',#154977,#154980,#77143,.T.); #191788=EDGE_CURVE('',#154981,#154977,#77144,.T.); #191789=EDGE_CURVE('',#154982,#154980,#77145,.T.); #191790=EDGE_CURVE('',#154981,#154982,#77146,.T.); #191791=EDGE_CURVE('',#154983,#154981,#77147,.T.); #191792=EDGE_CURVE('',#154984,#154982,#77148,.T.); #191793=EDGE_CURVE('',#154983,#154984,#77149,.T.); #191794=EDGE_CURVE('',#154978,#154983,#77150,.T.); #191795=EDGE_CURVE('',#154979,#154984,#77151,.T.); #191796=EDGE_CURVE('',#154985,#154986,#77152,.T.); #191797=EDGE_CURVE('',#154986,#154987,#77153,.T.); #191798=EDGE_CURVE('',#154988,#154987,#77154,.T.); #191799=EDGE_CURVE('',#154985,#154988,#77155,.T.); #191800=EDGE_CURVE('',#154989,#154985,#77156,.T.); #191801=EDGE_CURVE('',#154990,#154988,#77157,.T.); #191802=EDGE_CURVE('',#154989,#154990,#77158,.T.); #191803=EDGE_CURVE('',#154991,#154989,#77159,.T.); #191804=EDGE_CURVE('',#154992,#154990,#77160,.T.); #191805=EDGE_CURVE('',#154991,#154992,#77161,.T.); #191806=EDGE_CURVE('',#154986,#154991,#77162,.T.); #191807=EDGE_CURVE('',#154987,#154992,#77163,.T.); #191808=EDGE_CURVE('',#154993,#154993,#136505,.T.); #191809=EDGE_CURVE('',#154993,#154994,#77164,.T.); #191810=EDGE_CURVE('',#154994,#154994,#136506,.T.); #191811=EDGE_CURVE('',#154995,#154995,#136507,.T.); #191812=EDGE_CURVE('',#154995,#154996,#77165,.T.); #191813=EDGE_CURVE('',#154996,#154996,#136508,.T.); #191814=EDGE_CURVE('',#154997,#154998,#77166,.T.); #191815=EDGE_CURVE('',#154998,#154999,#77167,.T.); #191816=EDGE_CURVE('',#155000,#154999,#77168,.T.); #191817=EDGE_CURVE('',#154997,#155000,#77169,.T.); #191818=EDGE_CURVE('',#155001,#154997,#77170,.T.); #191819=EDGE_CURVE('',#155002,#155000,#77171,.T.); #191820=EDGE_CURVE('',#155001,#155002,#77172,.T.); #191821=EDGE_CURVE('',#155003,#155001,#77173,.T.); #191822=EDGE_CURVE('',#155004,#155002,#77174,.T.); #191823=EDGE_CURVE('',#155003,#155004,#77175,.T.); #191824=EDGE_CURVE('',#154998,#155003,#77176,.T.); #191825=EDGE_CURVE('',#154999,#155004,#77177,.T.); #191826=EDGE_CURVE('',#155005,#155006,#77178,.T.); #191827=EDGE_CURVE('',#155006,#155007,#77179,.T.); #191828=EDGE_CURVE('',#155008,#155007,#77180,.T.); #191829=EDGE_CURVE('',#155005,#155008,#77181,.T.); #191830=EDGE_CURVE('',#155009,#155005,#77182,.T.); #191831=EDGE_CURVE('',#155010,#155008,#77183,.T.); #191832=EDGE_CURVE('',#155009,#155010,#77184,.T.); #191833=EDGE_CURVE('',#155011,#155009,#77185,.T.); #191834=EDGE_CURVE('',#155012,#155010,#77186,.T.); #191835=EDGE_CURVE('',#155011,#155012,#77187,.T.); #191836=EDGE_CURVE('',#155006,#155011,#77188,.T.); #191837=EDGE_CURVE('',#155007,#155012,#77189,.T.); #191838=EDGE_CURVE('',#155013,#155013,#136509,.T.); #191839=EDGE_CURVE('',#155013,#155014,#77190,.T.); #191840=EDGE_CURVE('',#155014,#155014,#136510,.T.); #191841=EDGE_CURVE('',#155015,#155015,#136511,.T.); #191842=EDGE_CURVE('',#155015,#155016,#77191,.T.); #191843=EDGE_CURVE('',#155016,#155016,#136512,.T.); #191844=EDGE_CURVE('',#155017,#155018,#77192,.T.); #191845=EDGE_CURVE('',#155018,#155019,#77193,.T.); #191846=EDGE_CURVE('',#155020,#155019,#77194,.T.); #191847=EDGE_CURVE('',#155017,#155020,#77195,.T.); #191848=EDGE_CURVE('',#155021,#155017,#77196,.T.); #191849=EDGE_CURVE('',#155022,#155020,#77197,.T.); #191850=EDGE_CURVE('',#155021,#155022,#77198,.T.); #191851=EDGE_CURVE('',#155023,#155021,#77199,.T.); #191852=EDGE_CURVE('',#155024,#155022,#77200,.T.); #191853=EDGE_CURVE('',#155023,#155024,#77201,.T.); #191854=EDGE_CURVE('',#155018,#155023,#77202,.T.); #191855=EDGE_CURVE('',#155019,#155024,#77203,.T.); #191856=EDGE_CURVE('',#155025,#155026,#77204,.T.); #191857=EDGE_CURVE('',#155026,#155027,#77205,.T.); #191858=EDGE_CURVE('',#155028,#155027,#77206,.T.); #191859=EDGE_CURVE('',#155025,#155028,#77207,.T.); #191860=EDGE_CURVE('',#155029,#155025,#77208,.T.); #191861=EDGE_CURVE('',#155030,#155028,#77209,.T.); #191862=EDGE_CURVE('',#155029,#155030,#77210,.T.); #191863=EDGE_CURVE('',#155031,#155029,#77211,.T.); #191864=EDGE_CURVE('',#155032,#155030,#77212,.T.); #191865=EDGE_CURVE('',#155031,#155032,#77213,.T.); #191866=EDGE_CURVE('',#155026,#155031,#77214,.T.); #191867=EDGE_CURVE('',#155027,#155032,#77215,.T.); #191868=EDGE_CURVE('',#155033,#155033,#136513,.T.); #191869=EDGE_CURVE('',#155033,#155034,#77216,.T.); #191870=EDGE_CURVE('',#155034,#155034,#136514,.T.); #191871=EDGE_CURVE('',#155035,#155035,#136515,.T.); #191872=EDGE_CURVE('',#155035,#155036,#77217,.T.); #191873=EDGE_CURVE('',#155036,#155036,#136516,.T.); #191874=EDGE_CURVE('',#155037,#155038,#77218,.T.); #191875=EDGE_CURVE('',#155038,#155039,#77219,.T.); #191876=EDGE_CURVE('',#155040,#155039,#77220,.T.); #191877=EDGE_CURVE('',#155037,#155040,#77221,.T.); #191878=EDGE_CURVE('',#155041,#155037,#77222,.T.); #191879=EDGE_CURVE('',#155042,#155040,#77223,.T.); #191880=EDGE_CURVE('',#155041,#155042,#77224,.T.); #191881=EDGE_CURVE('',#155043,#155041,#77225,.T.); #191882=EDGE_CURVE('',#155044,#155042,#77226,.T.); #191883=EDGE_CURVE('',#155043,#155044,#77227,.T.); #191884=EDGE_CURVE('',#155038,#155043,#77228,.T.); #191885=EDGE_CURVE('',#155039,#155044,#77229,.T.); #191886=EDGE_CURVE('',#155045,#155046,#77230,.T.); #191887=EDGE_CURVE('',#155046,#155047,#77231,.T.); #191888=EDGE_CURVE('',#155048,#155047,#77232,.T.); #191889=EDGE_CURVE('',#155045,#155048,#77233,.T.); #191890=EDGE_CURVE('',#155049,#155045,#77234,.T.); #191891=EDGE_CURVE('',#155050,#155048,#77235,.T.); #191892=EDGE_CURVE('',#155049,#155050,#77236,.T.); #191893=EDGE_CURVE('',#155051,#155049,#77237,.T.); #191894=EDGE_CURVE('',#155052,#155050,#77238,.T.); #191895=EDGE_CURVE('',#155051,#155052,#77239,.T.); #191896=EDGE_CURVE('',#155046,#155051,#77240,.T.); #191897=EDGE_CURVE('',#155047,#155052,#77241,.T.); #191898=EDGE_CURVE('',#155053,#155054,#77242,.T.); #191899=EDGE_CURVE('',#155054,#155055,#77243,.T.); #191900=EDGE_CURVE('',#155056,#155055,#77244,.T.); #191901=EDGE_CURVE('',#155053,#155056,#77245,.T.); #191902=EDGE_CURVE('',#155057,#155053,#77246,.T.); #191903=EDGE_CURVE('',#155058,#155056,#77247,.T.); #191904=EDGE_CURVE('',#155057,#155058,#77248,.T.); #191905=EDGE_CURVE('',#155059,#155057,#77249,.T.); #191906=EDGE_CURVE('',#155060,#155058,#77250,.T.); #191907=EDGE_CURVE('',#155059,#155060,#77251,.T.); #191908=EDGE_CURVE('',#155061,#155059,#77252,.T.); #191909=EDGE_CURVE('',#155062,#155060,#77253,.T.); #191910=EDGE_CURVE('',#155061,#155062,#77254,.T.); #191911=EDGE_CURVE('',#155063,#155061,#77255,.T.); #191912=EDGE_CURVE('',#155064,#155062,#77256,.T.); #191913=EDGE_CURVE('',#155063,#155064,#77257,.T.); #191914=EDGE_CURVE('',#155065,#155063,#77258,.T.); #191915=EDGE_CURVE('',#155066,#155064,#77259,.T.); #191916=EDGE_CURVE('',#155065,#155066,#77260,.T.); #191917=EDGE_CURVE('',#155067,#155065,#136517,.T.); #191918=EDGE_CURVE('',#155068,#155066,#136518,.T.); #191919=EDGE_CURVE('',#155067,#155068,#77261,.T.); #191920=EDGE_CURVE('',#155069,#155067,#77262,.T.); #191921=EDGE_CURVE('',#155070,#155068,#77263,.T.); #191922=EDGE_CURVE('',#155069,#155070,#77264,.T.); #191923=EDGE_CURVE('',#155071,#155069,#77265,.T.); #191924=EDGE_CURVE('',#155072,#155070,#77266,.T.); #191925=EDGE_CURVE('',#155071,#155072,#77267,.T.); #191926=EDGE_CURVE('',#155073,#155071,#77268,.T.); #191927=EDGE_CURVE('',#155074,#155072,#77269,.T.); #191928=EDGE_CURVE('',#155073,#155074,#77270,.T.); #191929=EDGE_CURVE('',#155075,#155073,#77271,.T.); #191930=EDGE_CURVE('',#155076,#155074,#77272,.T.); #191931=EDGE_CURVE('',#155075,#155076,#77273,.T.); #191932=EDGE_CURVE('',#155077,#155075,#77274,.T.); #191933=EDGE_CURVE('',#155078,#155076,#77275,.T.); #191934=EDGE_CURVE('',#155077,#155078,#77276,.T.); #191935=EDGE_CURVE('',#155079,#155077,#136519,.T.); #191936=EDGE_CURVE('',#155080,#155078,#136520,.T.); #191937=EDGE_CURVE('',#155079,#155080,#77277,.T.); #191938=EDGE_CURVE('',#155081,#155079,#77278,.T.); #191939=EDGE_CURVE('',#155082,#155080,#77279,.T.); #191940=EDGE_CURVE('',#155081,#155082,#77280,.T.); #191941=EDGE_CURVE('',#155083,#155081,#77281,.T.); #191942=EDGE_CURVE('',#155084,#155082,#77282,.T.); #191943=EDGE_CURVE('',#155083,#155084,#77283,.T.); #191944=EDGE_CURVE('',#155085,#155083,#136521,.T.); #191945=EDGE_CURVE('',#155086,#155084,#136522,.T.); #191946=EDGE_CURVE('',#155085,#155086,#77284,.T.); #191947=EDGE_CURVE('',#155087,#155085,#77285,.T.); #191948=EDGE_CURVE('',#155088,#155086,#77286,.T.); #191949=EDGE_CURVE('',#155087,#155088,#77287,.T.); #191950=EDGE_CURVE('',#155089,#155087,#77288,.T.); #191951=EDGE_CURVE('',#155090,#155088,#77289,.T.); #191952=EDGE_CURVE('',#155089,#155090,#77290,.T.); #191953=EDGE_CURVE('',#155091,#155089,#77291,.T.); #191954=EDGE_CURVE('',#155092,#155090,#77292,.T.); #191955=EDGE_CURVE('',#155091,#155092,#77293,.T.); #191956=EDGE_CURVE('',#155093,#155091,#77294,.T.); #191957=EDGE_CURVE('',#155094,#155092,#77295,.T.); #191958=EDGE_CURVE('',#155093,#155094,#77296,.T.); #191959=EDGE_CURVE('',#155095,#155093,#77297,.T.); #191960=EDGE_CURVE('',#155096,#155094,#77298,.T.); #191961=EDGE_CURVE('',#155095,#155096,#77299,.T.); #191962=EDGE_CURVE('',#155097,#155095,#77300,.T.); #191963=EDGE_CURVE('',#155098,#155096,#77301,.T.); #191964=EDGE_CURVE('',#155097,#155098,#77302,.T.); #191965=EDGE_CURVE('',#155099,#155097,#77303,.T.); #191966=EDGE_CURVE('',#155100,#155098,#77304,.T.); #191967=EDGE_CURVE('',#155099,#155100,#77305,.T.); #191968=EDGE_CURVE('',#155101,#155099,#136523,.T.); #191969=EDGE_CURVE('',#155102,#155100,#136524,.T.); #191970=EDGE_CURVE('',#155101,#155102,#77306,.T.); #191971=EDGE_CURVE('',#155103,#155101,#77307,.T.); #191972=EDGE_CURVE('',#155104,#155102,#77308,.T.); #191973=EDGE_CURVE('',#155103,#155104,#77309,.T.); #191974=EDGE_CURVE('',#155105,#155103,#136525,.T.); #191975=EDGE_CURVE('',#155106,#155104,#136526,.T.); #191976=EDGE_CURVE('',#155105,#155106,#77310,.T.); #191977=EDGE_CURVE('',#155107,#155105,#77311,.T.); #191978=EDGE_CURVE('',#155108,#155106,#77312,.T.); #191979=EDGE_CURVE('',#155107,#155108,#77313,.T.); #191980=EDGE_CURVE('',#155109,#155107,#77314,.T.); #191981=EDGE_CURVE('',#155110,#155108,#77315,.T.); #191982=EDGE_CURVE('',#155109,#155110,#77316,.T.); #191983=EDGE_CURVE('',#155111,#155109,#77317,.T.); #191984=EDGE_CURVE('',#155112,#155110,#77318,.T.); #191985=EDGE_CURVE('',#155111,#155112,#77319,.T.); #191986=EDGE_CURVE('',#155113,#155111,#77320,.T.); #191987=EDGE_CURVE('',#155114,#155112,#77321,.T.); #191988=EDGE_CURVE('',#155113,#155114,#77322,.T.); #191989=EDGE_CURVE('',#155115,#155113,#77323,.T.); #191990=EDGE_CURVE('',#155116,#155114,#77324,.T.); #191991=EDGE_CURVE('',#155115,#155116,#77325,.T.); #191992=EDGE_CURVE('',#155117,#155115,#77326,.T.); #191993=EDGE_CURVE('',#155118,#155116,#77327,.T.); #191994=EDGE_CURVE('',#155117,#155118,#77328,.T.); #191995=EDGE_CURVE('',#155119,#155117,#77329,.T.); #191996=EDGE_CURVE('',#155120,#155118,#77330,.T.); #191997=EDGE_CURVE('',#155119,#155120,#77331,.T.); #191998=EDGE_CURVE('',#155121,#155119,#77332,.T.); #191999=EDGE_CURVE('',#155122,#155120,#77333,.T.); #192000=EDGE_CURVE('',#155121,#155122,#77334,.T.); #192001=EDGE_CURVE('',#155123,#155121,#136527,.T.); #192002=EDGE_CURVE('',#155124,#155122,#136528,.T.); #192003=EDGE_CURVE('',#155123,#155124,#77335,.T.); #192004=EDGE_CURVE('',#155125,#155123,#77336,.T.); #192005=EDGE_CURVE('',#155126,#155124,#77337,.T.); #192006=EDGE_CURVE('',#155125,#155126,#77338,.T.); #192007=EDGE_CURVE('',#155127,#155125,#136529,.T.); #192008=EDGE_CURVE('',#155128,#155126,#136530,.T.); #192009=EDGE_CURVE('',#155127,#155128,#77339,.T.); #192010=EDGE_CURVE('',#155129,#155127,#77340,.T.); #192011=EDGE_CURVE('',#155130,#155128,#77341,.T.); #192012=EDGE_CURVE('',#155129,#155130,#77342,.T.); #192013=EDGE_CURVE('',#155131,#155129,#77343,.T.); #192014=EDGE_CURVE('',#155132,#155130,#77344,.T.); #192015=EDGE_CURVE('',#155131,#155132,#77345,.T.); #192016=EDGE_CURVE('',#155133,#155131,#77346,.T.); #192017=EDGE_CURVE('',#155134,#155132,#77347,.T.); #192018=EDGE_CURVE('',#155133,#155134,#77348,.T.); #192019=EDGE_CURVE('',#155135,#155133,#136531,.T.); #192020=EDGE_CURVE('',#155136,#155134,#136532,.T.); #192021=EDGE_CURVE('',#155135,#155136,#77349,.T.); #192022=EDGE_CURVE('',#155137,#155135,#77350,.T.); #192023=EDGE_CURVE('',#155138,#155136,#77351,.T.); #192024=EDGE_CURVE('',#155137,#155138,#77352,.T.); #192025=EDGE_CURVE('',#155139,#155137,#136533,.T.); #192026=EDGE_CURVE('',#155140,#155138,#136534,.T.); #192027=EDGE_CURVE('',#155139,#155140,#77353,.T.); #192028=EDGE_CURVE('',#155141,#155139,#77354,.T.); #192029=EDGE_CURVE('',#155142,#155140,#77355,.T.); #192030=EDGE_CURVE('',#155141,#155142,#77356,.T.); #192031=EDGE_CURVE('',#155143,#155141,#77357,.T.); #192032=EDGE_CURVE('',#155144,#155142,#77358,.T.); #192033=EDGE_CURVE('',#155143,#155144,#77359,.T.); #192034=EDGE_CURVE('',#155145,#155143,#77360,.T.); #192035=EDGE_CURVE('',#155146,#155144,#77361,.T.); #192036=EDGE_CURVE('',#155145,#155146,#77362,.T.); #192037=EDGE_CURVE('',#155147,#155145,#77363,.T.); #192038=EDGE_CURVE('',#155148,#155146,#77364,.T.); #192039=EDGE_CURVE('',#155147,#155148,#77365,.T.); #192040=EDGE_CURVE('',#155149,#155147,#77366,.T.); #192041=EDGE_CURVE('',#155150,#155148,#77367,.T.); #192042=EDGE_CURVE('',#155149,#155150,#77368,.T.); #192043=EDGE_CURVE('',#155151,#155149,#136535,.T.); #192044=EDGE_CURVE('',#155152,#155150,#136536,.T.); #192045=EDGE_CURVE('',#155151,#155152,#77369,.T.); #192046=EDGE_CURVE('',#155153,#155151,#77370,.T.); #192047=EDGE_CURVE('',#155154,#155152,#77371,.T.); #192048=EDGE_CURVE('',#155153,#155154,#77372,.T.); #192049=EDGE_CURVE('',#155155,#155153,#77373,.T.); #192050=EDGE_CURVE('',#155156,#155154,#77374,.T.); #192051=EDGE_CURVE('',#155155,#155156,#77375,.T.); #192052=EDGE_CURVE('',#155157,#155155,#77376,.T.); #192053=EDGE_CURVE('',#155158,#155156,#77377,.T.); #192054=EDGE_CURVE('',#155157,#155158,#77378,.T.); #192055=EDGE_CURVE('',#155159,#155157,#77379,.T.); #192056=EDGE_CURVE('',#155160,#155158,#77380,.T.); #192057=EDGE_CURVE('',#155159,#155160,#77381,.T.); #192058=EDGE_CURVE('',#155161,#155159,#77382,.T.); #192059=EDGE_CURVE('',#155162,#155160,#77383,.T.); #192060=EDGE_CURVE('',#155161,#155162,#77384,.T.); #192061=EDGE_CURVE('',#155163,#155161,#77385,.T.); #192062=EDGE_CURVE('',#155164,#155162,#77386,.T.); #192063=EDGE_CURVE('',#155163,#155164,#77387,.T.); #192064=EDGE_CURVE('',#155165,#155163,#136537,.T.); #192065=EDGE_CURVE('',#155166,#155164,#136538,.T.); #192066=EDGE_CURVE('',#155165,#155166,#77388,.T.); #192067=EDGE_CURVE('',#155167,#155165,#77389,.T.); #192068=EDGE_CURVE('',#155168,#155166,#77390,.T.); #192069=EDGE_CURVE('',#155167,#155168,#77391,.T.); #192070=EDGE_CURVE('',#155054,#155167,#77392,.T.); #192071=EDGE_CURVE('',#155055,#155168,#77393,.T.); #192072=EDGE_CURVE('',#155169,#155169,#136539,.T.); #192073=EDGE_CURVE('',#155169,#155170,#77394,.T.); #192074=EDGE_CURVE('',#155170,#155170,#136540,.T.); #192075=EDGE_CURVE('',#155171,#155171,#136541,.T.); #192076=EDGE_CURVE('',#155171,#155172,#77395,.T.); #192077=EDGE_CURVE('',#155172,#155172,#136542,.T.); #192078=EDGE_CURVE('',#155173,#155174,#77396,.T.); #192079=EDGE_CURVE('',#155174,#155175,#77397,.T.); #192080=EDGE_CURVE('',#155176,#155175,#77398,.T.); #192081=EDGE_CURVE('',#155173,#155176,#77399,.T.); #192082=EDGE_CURVE('',#155177,#155173,#136543,.T.); #192083=EDGE_CURVE('',#155178,#155176,#136544,.T.); #192084=EDGE_CURVE('',#155177,#155178,#77400,.T.); #192085=EDGE_CURVE('',#155179,#155177,#136545,.T.); #192086=EDGE_CURVE('',#155180,#155178,#136546,.T.); #192087=EDGE_CURVE('',#155179,#155180,#77401,.T.); #192088=EDGE_CURVE('',#155181,#155179,#77402,.T.); #192089=EDGE_CURVE('',#155182,#155180,#77403,.T.); #192090=EDGE_CURVE('',#155181,#155182,#77404,.T.); #192091=EDGE_CURVE('',#155183,#155181,#136547,.T.); #192092=EDGE_CURVE('',#155184,#155182,#136548,.T.); #192093=EDGE_CURVE('',#155183,#155184,#77405,.T.); #192094=EDGE_CURVE('',#155185,#155183,#136549,.T.); #192095=EDGE_CURVE('',#155186,#155184,#136550,.T.); #192096=EDGE_CURVE('',#155185,#155186,#77406,.T.); #192097=EDGE_CURVE('',#155187,#155185,#77407,.T.); #192098=EDGE_CURVE('',#155188,#155186,#77408,.T.); #192099=EDGE_CURVE('',#155187,#155188,#77409,.T.); #192100=EDGE_CURVE('',#155174,#155187,#136551,.T.); #192101=EDGE_CURVE('',#155175,#155188,#136552,.T.); #192102=EDGE_CURVE('',#155189,#155189,#136553,.T.); #192103=EDGE_CURVE('',#155189,#155190,#77410,.T.); #192104=EDGE_CURVE('',#155190,#155190,#136554,.T.); #192105=EDGE_CURVE('',#155191,#155192,#77411,.T.); #192106=EDGE_CURVE('',#155192,#155193,#77412,.T.); #192107=EDGE_CURVE('',#155194,#155193,#77413,.T.); #192108=EDGE_CURVE('',#155191,#155194,#77414,.T.); #192109=EDGE_CURVE('',#155195,#155191,#136555,.T.); #192110=EDGE_CURVE('',#155196,#155194,#136556,.T.); #192111=EDGE_CURVE('',#155195,#155196,#77415,.T.); #192112=EDGE_CURVE('',#155197,#155195,#77416,.T.); #192113=EDGE_CURVE('',#155198,#155196,#77417,.T.); #192114=EDGE_CURVE('',#155197,#155198,#77418,.T.); #192115=EDGE_CURVE('',#155199,#155197,#77419,.T.); #192116=EDGE_CURVE('',#155200,#155198,#77420,.T.); #192117=EDGE_CURVE('',#155199,#155200,#77421,.T.); #192118=EDGE_CURVE('',#155201,#155199,#77422,.T.); #192119=EDGE_CURVE('',#155202,#155200,#77423,.T.); #192120=EDGE_CURVE('',#155201,#155202,#77424,.T.); #192121=EDGE_CURVE('',#155203,#155201,#77425,.T.); #192122=EDGE_CURVE('',#155204,#155202,#77426,.T.); #192123=EDGE_CURVE('',#155203,#155204,#77427,.T.); #192124=EDGE_CURVE('',#155205,#155203,#77428,.T.); #192125=EDGE_CURVE('',#155206,#155204,#77429,.T.); #192126=EDGE_CURVE('',#155205,#155206,#77430,.T.); #192127=EDGE_CURVE('',#155207,#155205,#77431,.T.); #192128=EDGE_CURVE('',#155208,#155206,#77432,.T.); #192129=EDGE_CURVE('',#155207,#155208,#77433,.T.); #192130=EDGE_CURVE('',#155209,#155207,#77434,.T.); #192131=EDGE_CURVE('',#155210,#155208,#77435,.T.); #192132=EDGE_CURVE('',#155209,#155210,#77436,.T.); #192133=EDGE_CURVE('',#155192,#155209,#136557,.T.); #192134=EDGE_CURVE('',#155193,#155210,#136558,.T.); #192135=EDGE_CURVE('',#155211,#155211,#136559,.T.); #192136=EDGE_CURVE('',#155211,#155212,#77437,.T.); #192137=EDGE_CURVE('',#155212,#155212,#136560,.T.); #192138=EDGE_CURVE('',#155213,#155214,#77438,.T.); #192139=EDGE_CURVE('',#155214,#155215,#77439,.T.); #192140=EDGE_CURVE('',#155216,#155215,#77440,.T.); #192141=EDGE_CURVE('',#155213,#155216,#77441,.T.); #192142=EDGE_CURVE('',#155217,#155213,#77442,.T.); #192143=EDGE_CURVE('',#155218,#155216,#77443,.T.); #192144=EDGE_CURVE('',#155217,#155218,#77444,.T.); #192145=EDGE_CURVE('',#155219,#155217,#77445,.T.); #192146=EDGE_CURVE('',#155220,#155218,#77446,.T.); #192147=EDGE_CURVE('',#155219,#155220,#77447,.T.); #192148=EDGE_CURVE('',#155221,#155219,#77448,.T.); #192149=EDGE_CURVE('',#155222,#155220,#77449,.T.); #192150=EDGE_CURVE('',#155221,#155222,#77450,.T.); #192151=EDGE_CURVE('',#155223,#155221,#77451,.T.); #192152=EDGE_CURVE('',#155224,#155222,#77452,.T.); #192153=EDGE_CURVE('',#155223,#155224,#77453,.T.); #192154=EDGE_CURVE('',#155225,#155223,#136561,.T.); #192155=EDGE_CURVE('',#155226,#155224,#136562,.T.); #192156=EDGE_CURVE('',#155225,#155226,#77454,.T.); #192157=EDGE_CURVE('',#155227,#155225,#77455,.T.); #192158=EDGE_CURVE('',#155228,#155226,#77456,.T.); #192159=EDGE_CURVE('',#155227,#155228,#77457,.T.); #192160=EDGE_CURVE('',#155229,#155227,#136563,.T.); #192161=EDGE_CURVE('',#155230,#155228,#136564,.T.); #192162=EDGE_CURVE('',#155229,#155230,#77458,.T.); #192163=EDGE_CURVE('',#155231,#155229,#136565,.T.); #192164=EDGE_CURVE('',#155232,#155230,#136566,.T.); #192165=EDGE_CURVE('',#155231,#155232,#77459,.T.); #192166=EDGE_CURVE('',#155233,#155231,#136567,.T.); #192167=EDGE_CURVE('',#155234,#155232,#136568,.T.); #192168=EDGE_CURVE('',#155233,#155234,#77460,.T.); #192169=EDGE_CURVE('',#155235,#155233,#77461,.T.); #192170=EDGE_CURVE('',#155236,#155234,#77462,.T.); #192171=EDGE_CURVE('',#155235,#155236,#77463,.T.); #192172=EDGE_CURVE('',#155237,#155235,#77464,.T.); #192173=EDGE_CURVE('',#155238,#155236,#77465,.T.); #192174=EDGE_CURVE('',#155237,#155238,#77466,.T.); #192175=EDGE_CURVE('',#155214,#155237,#77467,.T.); #192176=EDGE_CURVE('',#155215,#155238,#77468,.T.); #192177=EDGE_CURVE('',#155239,#155239,#136569,.T.); #192178=EDGE_CURVE('',#155239,#155240,#77469,.T.); #192179=EDGE_CURVE('',#155240,#155240,#136570,.T.); #192180=EDGE_CURVE('',#155241,#155241,#136571,.T.); #192181=EDGE_CURVE('',#155241,#155242,#77470,.T.); #192182=EDGE_CURVE('',#155242,#155242,#136572,.T.); #192183=EDGE_CURVE('',#155243,#155244,#77471,.T.); #192184=EDGE_CURVE('',#155244,#155245,#77472,.T.); #192185=EDGE_CURVE('',#155246,#155245,#77473,.T.); #192186=EDGE_CURVE('',#155243,#155246,#77474,.T.); #192187=EDGE_CURVE('',#155247,#155243,#136573,.T.); #192188=EDGE_CURVE('',#155248,#155246,#136574,.T.); #192189=EDGE_CURVE('',#155247,#155248,#77475,.T.); #192190=EDGE_CURVE('',#155249,#155247,#136575,.T.); #192191=EDGE_CURVE('',#155250,#155248,#136576,.T.); #192192=EDGE_CURVE('',#155249,#155250,#77476,.T.); #192193=EDGE_CURVE('',#155251,#155249,#77477,.T.); #192194=EDGE_CURVE('',#155252,#155250,#77478,.T.); #192195=EDGE_CURVE('',#155251,#155252,#77479,.T.); #192196=EDGE_CURVE('',#155253,#155251,#77480,.T.); #192197=EDGE_CURVE('',#155254,#155252,#77481,.T.); #192198=EDGE_CURVE('',#155253,#155254,#77482,.T.); #192199=EDGE_CURVE('',#155255,#155253,#77483,.T.); #192200=EDGE_CURVE('',#155256,#155254,#77484,.T.); #192201=EDGE_CURVE('',#155255,#155256,#77485,.T.); #192202=EDGE_CURVE('',#155257,#155255,#77486,.T.); #192203=EDGE_CURVE('',#155258,#155256,#77487,.T.); #192204=EDGE_CURVE('',#155257,#155258,#77488,.T.); #192205=EDGE_CURVE('',#155259,#155257,#77489,.T.); #192206=EDGE_CURVE('',#155260,#155258,#77490,.T.); #192207=EDGE_CURVE('',#155259,#155260,#77491,.T.); #192208=EDGE_CURVE('',#155261,#155259,#136577,.T.); #192209=EDGE_CURVE('',#155262,#155260,#136578,.T.); #192210=EDGE_CURVE('',#155261,#155262,#77492,.T.); #192211=EDGE_CURVE('',#155263,#155261,#77493,.T.); #192212=EDGE_CURVE('',#155264,#155262,#77494,.T.); #192213=EDGE_CURVE('',#155263,#155264,#77495,.T.); #192214=EDGE_CURVE('',#155265,#155263,#77496,.T.); #192215=EDGE_CURVE('',#155266,#155264,#77497,.T.); #192216=EDGE_CURVE('',#155265,#155266,#77498,.T.); #192217=EDGE_CURVE('',#155267,#155265,#136579,.T.); #192218=EDGE_CURVE('',#155268,#155266,#136580,.T.); #192219=EDGE_CURVE('',#155267,#155268,#77499,.T.); #192220=EDGE_CURVE('',#155269,#155267,#77500,.T.); #192221=EDGE_CURVE('',#155270,#155268,#77501,.T.); #192222=EDGE_CURVE('',#155269,#155270,#77502,.T.); #192223=EDGE_CURVE('',#155271,#155269,#136581,.T.); #192224=EDGE_CURVE('',#155272,#155270,#136582,.T.); #192225=EDGE_CURVE('',#155271,#155272,#77503,.T.); #192226=EDGE_CURVE('',#155273,#155271,#77504,.T.); #192227=EDGE_CURVE('',#155274,#155272,#77505,.T.); #192228=EDGE_CURVE('',#155273,#155274,#77506,.T.); #192229=EDGE_CURVE('',#155275,#155273,#77507,.T.); #192230=EDGE_CURVE('',#155276,#155274,#77508,.T.); #192231=EDGE_CURVE('',#155275,#155276,#77509,.T.); #192232=EDGE_CURVE('',#155277,#155275,#77510,.T.); #192233=EDGE_CURVE('',#155278,#155276,#77511,.T.); #192234=EDGE_CURVE('',#155277,#155278,#77512,.T.); #192235=EDGE_CURVE('',#155279,#155277,#136583,.T.); #192236=EDGE_CURVE('',#155280,#155278,#136584,.T.); #192237=EDGE_CURVE('',#155279,#155280,#77513,.T.); #192238=EDGE_CURVE('',#155281,#155279,#77514,.T.); #192239=EDGE_CURVE('',#155282,#155280,#77515,.T.); #192240=EDGE_CURVE('',#155281,#155282,#77516,.T.); #192241=EDGE_CURVE('',#155283,#155281,#136585,.T.); #192242=EDGE_CURVE('',#155284,#155282,#136586,.T.); #192243=EDGE_CURVE('',#155283,#155284,#77517,.T.); #192244=EDGE_CURVE('',#155285,#155283,#77518,.T.); #192245=EDGE_CURVE('',#155286,#155284,#77519,.T.); #192246=EDGE_CURVE('',#155285,#155286,#77520,.T.); #192247=EDGE_CURVE('',#155287,#155285,#136587,.T.); #192248=EDGE_CURVE('',#155288,#155286,#136588,.T.); #192249=EDGE_CURVE('',#155287,#155288,#77521,.T.); #192250=EDGE_CURVE('',#155289,#155287,#136589,.T.); #192251=EDGE_CURVE('',#155290,#155288,#136590,.T.); #192252=EDGE_CURVE('',#155289,#155290,#77522,.T.); #192253=EDGE_CURVE('',#155291,#155289,#77523,.T.); #192254=EDGE_CURVE('',#155292,#155290,#77524,.T.); #192255=EDGE_CURVE('',#155291,#155292,#77525,.T.); #192256=EDGE_CURVE('',#155293,#155291,#77526,.T.); #192257=EDGE_CURVE('',#155294,#155292,#77527,.T.); #192258=EDGE_CURVE('',#155293,#155294,#77528,.T.); #192259=EDGE_CURVE('',#155295,#155293,#77529,.T.); #192260=EDGE_CURVE('',#155296,#155294,#77530,.T.); #192261=EDGE_CURVE('',#155295,#155296,#77531,.T.); #192262=EDGE_CURVE('',#155297,#155295,#136591,.T.); #192263=EDGE_CURVE('',#155298,#155296,#136592,.T.); #192264=EDGE_CURVE('',#155297,#155298,#77532,.T.); #192265=EDGE_CURVE('',#155299,#155297,#77533,.T.); #192266=EDGE_CURVE('',#155300,#155298,#77534,.T.); #192267=EDGE_CURVE('',#155299,#155300,#77535,.T.); #192268=EDGE_CURVE('',#155301,#155299,#136593,.T.); #192269=EDGE_CURVE('',#155302,#155300,#136594,.T.); #192270=EDGE_CURVE('',#155301,#155302,#77536,.T.); #192271=EDGE_CURVE('',#155303,#155301,#77537,.T.); #192272=EDGE_CURVE('',#155304,#155302,#77538,.T.); #192273=EDGE_CURVE('',#155303,#155304,#77539,.T.); #192274=EDGE_CURVE('',#155305,#155303,#77540,.T.); #192275=EDGE_CURVE('',#155306,#155304,#77541,.T.); #192276=EDGE_CURVE('',#155305,#155306,#77542,.T.); #192277=EDGE_CURVE('',#155307,#155305,#77543,.T.); #192278=EDGE_CURVE('',#155308,#155306,#77544,.T.); #192279=EDGE_CURVE('',#155307,#155308,#77545,.T.); #192280=EDGE_CURVE('',#155309,#155307,#136595,.T.); #192281=EDGE_CURVE('',#155310,#155308,#136596,.T.); #192282=EDGE_CURVE('',#155309,#155310,#77546,.T.); #192283=EDGE_CURVE('',#155311,#155309,#77547,.T.); #192284=EDGE_CURVE('',#155312,#155310,#77548,.T.); #192285=EDGE_CURVE('',#155311,#155312,#77549,.T.); #192286=EDGE_CURVE('',#155313,#155311,#77550,.T.); #192287=EDGE_CURVE('',#155314,#155312,#77551,.T.); #192288=EDGE_CURVE('',#155313,#155314,#77552,.T.); #192289=EDGE_CURVE('',#155315,#155313,#136597,.T.); #192290=EDGE_CURVE('',#155316,#155314,#136598,.T.); #192291=EDGE_CURVE('',#155315,#155316,#77553,.T.); #192292=EDGE_CURVE('',#155317,#155315,#77554,.T.); #192293=EDGE_CURVE('',#155318,#155316,#77555,.T.); #192294=EDGE_CURVE('',#155317,#155318,#77556,.T.); #192295=EDGE_CURVE('',#155319,#155317,#136599,.T.); #192296=EDGE_CURVE('',#155320,#155318,#136600,.T.); #192297=EDGE_CURVE('',#155319,#155320,#77557,.T.); #192298=EDGE_CURVE('',#155321,#155319,#77558,.T.); #192299=EDGE_CURVE('',#155322,#155320,#77559,.T.); #192300=EDGE_CURVE('',#155321,#155322,#77560,.T.); #192301=EDGE_CURVE('',#155323,#155321,#136601,.T.); #192302=EDGE_CURVE('',#155324,#155322,#136602,.T.); #192303=EDGE_CURVE('',#155323,#155324,#77561,.T.); #192304=EDGE_CURVE('',#155325,#155323,#77562,.T.); #192305=EDGE_CURVE('',#155326,#155324,#77563,.T.); #192306=EDGE_CURVE('',#155325,#155326,#77564,.T.); #192307=EDGE_CURVE('',#155327,#155325,#136603,.T.); #192308=EDGE_CURVE('',#155328,#155326,#136604,.T.); #192309=EDGE_CURVE('',#155327,#155328,#77565,.T.); #192310=EDGE_CURVE('',#155329,#155327,#77566,.T.); #192311=EDGE_CURVE('',#155330,#155328,#77567,.T.); #192312=EDGE_CURVE('',#155329,#155330,#77568,.T.); #192313=EDGE_CURVE('',#155244,#155329,#136605,.T.); #192314=EDGE_CURVE('',#155245,#155330,#136606,.T.); #192315=EDGE_CURVE('',#155331,#155331,#136607,.T.); #192316=EDGE_CURVE('',#155331,#155332,#77569,.T.); #192317=EDGE_CURVE('',#155332,#155332,#136608,.T.); #192318=EDGE_CURVE('',#155333,#155333,#136609,.T.); #192319=EDGE_CURVE('',#155333,#155334,#77570,.T.); #192320=EDGE_CURVE('',#155334,#155334,#136610,.T.); #192321=EDGE_CURVE('',#155335,#155336,#77571,.T.); #192322=EDGE_CURVE('',#155336,#155337,#77572,.T.); #192323=EDGE_CURVE('',#155338,#155337,#77573,.T.); #192324=EDGE_CURVE('',#155335,#155338,#77574,.T.); #192325=EDGE_CURVE('',#155339,#155335,#136611,.T.); #192326=EDGE_CURVE('',#155340,#155338,#136612,.T.); #192327=EDGE_CURVE('',#155339,#155340,#77575,.T.); #192328=EDGE_CURVE('',#155341,#155339,#136613,.T.); #192329=EDGE_CURVE('',#155342,#155340,#136614,.T.); #192330=EDGE_CURVE('',#155341,#155342,#77576,.T.); #192331=EDGE_CURVE('',#155343,#155341,#77577,.T.); #192332=EDGE_CURVE('',#155344,#155342,#77578,.T.); #192333=EDGE_CURVE('',#155343,#155344,#77579,.T.); #192334=EDGE_CURVE('',#155345,#155343,#77580,.T.); #192335=EDGE_CURVE('',#155346,#155344,#77581,.T.); #192336=EDGE_CURVE('',#155345,#155346,#77582,.T.); #192337=EDGE_CURVE('',#155347,#155345,#136615,.T.); #192338=EDGE_CURVE('',#155348,#155346,#136616,.T.); #192339=EDGE_CURVE('',#155347,#155348,#77583,.T.); #192340=EDGE_CURVE('',#155349,#155347,#136617,.T.); #192341=EDGE_CURVE('',#155350,#155348,#136618,.T.); #192342=EDGE_CURVE('',#155349,#155350,#77584,.T.); #192343=EDGE_CURVE('',#155351,#155349,#77585,.T.); #192344=EDGE_CURVE('',#155352,#155350,#77586,.T.); #192345=EDGE_CURVE('',#155351,#155352,#77587,.T.); #192346=EDGE_CURVE('',#155353,#155351,#136619,.T.); #192347=EDGE_CURVE('',#155354,#155352,#136620,.T.); #192348=EDGE_CURVE('',#155353,#155354,#77588,.T.); #192349=EDGE_CURVE('',#155355,#155353,#77589,.T.); #192350=EDGE_CURVE('',#155356,#155354,#77590,.T.); #192351=EDGE_CURVE('',#155355,#155356,#77591,.T.); #192352=EDGE_CURVE('',#155336,#155355,#136621,.T.); #192353=EDGE_CURVE('',#155337,#155356,#136622,.T.); #192354=EDGE_CURVE('',#155357,#155357,#136623,.T.); #192355=EDGE_CURVE('',#155357,#155358,#77592,.T.); #192356=EDGE_CURVE('',#155358,#155358,#136624,.T.); #192357=EDGE_CURVE('',#155359,#155360,#77593,.T.); #192358=EDGE_CURVE('',#155360,#155361,#77594,.T.); #192359=EDGE_CURVE('',#155362,#155361,#77595,.T.); #192360=EDGE_CURVE('',#155359,#155362,#77596,.T.); #192361=EDGE_CURVE('',#155363,#155359,#77597,.T.); #192362=EDGE_CURVE('',#155364,#155362,#77598,.T.); #192363=EDGE_CURVE('',#155363,#155364,#77599,.T.); #192364=EDGE_CURVE('',#155365,#155363,#77600,.T.); #192365=EDGE_CURVE('',#155366,#155364,#77601,.T.); #192366=EDGE_CURVE('',#155365,#155366,#77602,.T.); #192367=EDGE_CURVE('',#155367,#155365,#77603,.T.); #192368=EDGE_CURVE('',#155368,#155366,#77604,.T.); #192369=EDGE_CURVE('',#155367,#155368,#77605,.T.); #192370=EDGE_CURVE('',#155369,#155367,#77606,.T.); #192371=EDGE_CURVE('',#155370,#155368,#77607,.T.); #192372=EDGE_CURVE('',#155369,#155370,#77608,.T.); #192373=EDGE_CURVE('',#155371,#155369,#136625,.T.); #192374=EDGE_CURVE('',#155372,#155370,#136626,.T.); #192375=EDGE_CURVE('',#155371,#155372,#77609,.T.); #192376=EDGE_CURVE('',#155373,#155371,#77610,.T.); #192377=EDGE_CURVE('',#155374,#155372,#77611,.T.); #192378=EDGE_CURVE('',#155373,#155374,#77612,.T.); #192379=EDGE_CURVE('',#155375,#155373,#136627,.T.); #192380=EDGE_CURVE('',#155376,#155374,#136628,.T.); #192381=EDGE_CURVE('',#155375,#155376,#77613,.T.); #192382=EDGE_CURVE('',#155377,#155375,#136629,.T.); #192383=EDGE_CURVE('',#155378,#155376,#136630,.T.); #192384=EDGE_CURVE('',#155377,#155378,#77614,.T.); #192385=EDGE_CURVE('',#155379,#155377,#136631,.T.); #192386=EDGE_CURVE('',#155380,#155378,#136632,.T.); #192387=EDGE_CURVE('',#155379,#155380,#77615,.T.); #192388=EDGE_CURVE('',#155381,#155379,#77616,.T.); #192389=EDGE_CURVE('',#155382,#155380,#77617,.T.); #192390=EDGE_CURVE('',#155381,#155382,#77618,.T.); #192391=EDGE_CURVE('',#155383,#155381,#136633,.T.); #192392=EDGE_CURVE('',#155384,#155382,#136634,.T.); #192393=EDGE_CURVE('',#155383,#155384,#77619,.T.); #192394=EDGE_CURVE('',#155385,#155383,#77620,.T.); #192395=EDGE_CURVE('',#155386,#155384,#77621,.T.); #192396=EDGE_CURVE('',#155385,#155386,#77622,.T.); #192397=EDGE_CURVE('',#155387,#155385,#77623,.T.); #192398=EDGE_CURVE('',#155388,#155386,#77624,.T.); #192399=EDGE_CURVE('',#155387,#155388,#77625,.T.); #192400=EDGE_CURVE('',#155389,#155387,#77626,.T.); #192401=EDGE_CURVE('',#155390,#155388,#77627,.T.); #192402=EDGE_CURVE('',#155389,#155390,#77628,.T.); #192403=EDGE_CURVE('',#155391,#155389,#77629,.T.); #192404=EDGE_CURVE('',#155392,#155390,#77630,.T.); #192405=EDGE_CURVE('',#155391,#155392,#77631,.T.); #192406=EDGE_CURVE('',#155393,#155391,#77632,.T.); #192407=EDGE_CURVE('',#155394,#155392,#77633,.T.); #192408=EDGE_CURVE('',#155393,#155394,#77634,.T.); #192409=EDGE_CURVE('',#155395,#155393,#77635,.T.); #192410=EDGE_CURVE('',#155396,#155394,#77636,.T.); #192411=EDGE_CURVE('',#155395,#155396,#77637,.T.); #192412=EDGE_CURVE('',#155397,#155395,#77638,.T.); #192413=EDGE_CURVE('',#155398,#155396,#77639,.T.); #192414=EDGE_CURVE('',#155397,#155398,#77640,.T.); #192415=EDGE_CURVE('',#155399,#155397,#77641,.T.); #192416=EDGE_CURVE('',#155400,#155398,#77642,.T.); #192417=EDGE_CURVE('',#155399,#155400,#77643,.T.); #192418=EDGE_CURVE('',#155401,#155399,#136635,.T.); #192419=EDGE_CURVE('',#155402,#155400,#136636,.T.); #192420=EDGE_CURVE('',#155401,#155402,#77644,.T.); #192421=EDGE_CURVE('',#155403,#155401,#77645,.T.); #192422=EDGE_CURVE('',#155404,#155402,#77646,.T.); #192423=EDGE_CURVE('',#155403,#155404,#77647,.T.); #192424=EDGE_CURVE('',#155405,#155403,#77648,.T.); #192425=EDGE_CURVE('',#155406,#155404,#77649,.T.); #192426=EDGE_CURVE('',#155405,#155406,#77650,.T.); #192427=EDGE_CURVE('',#155360,#155405,#77651,.T.); #192428=EDGE_CURVE('',#155361,#155406,#77652,.T.); #192429=EDGE_CURVE('',#155407,#155407,#136637,.T.); #192430=EDGE_CURVE('',#155407,#155408,#77653,.T.); #192431=EDGE_CURVE('',#155408,#155408,#136638,.T.); #192432=EDGE_CURVE('',#155409,#155410,#77654,.T.); #192433=EDGE_CURVE('',#155410,#155411,#77655,.T.); #192434=EDGE_CURVE('',#155412,#155411,#77656,.T.); #192435=EDGE_CURVE('',#155409,#155412,#77657,.T.); #192436=EDGE_CURVE('',#155413,#155409,#136639,.T.); #192437=EDGE_CURVE('',#155414,#155412,#136640,.T.); #192438=EDGE_CURVE('',#155413,#155414,#77658,.T.); #192439=EDGE_CURVE('',#155415,#155413,#136641,.T.); #192440=EDGE_CURVE('',#155416,#155414,#136642,.T.); #192441=EDGE_CURVE('',#155415,#155416,#77659,.T.); #192442=EDGE_CURVE('',#155417,#155415,#77660,.T.); #192443=EDGE_CURVE('',#155418,#155416,#77661,.T.); #192444=EDGE_CURVE('',#155417,#155418,#77662,.T.); #192445=EDGE_CURVE('',#155419,#155417,#136643,.T.); #192446=EDGE_CURVE('',#155420,#155418,#136644,.T.); #192447=EDGE_CURVE('',#155419,#155420,#77663,.T.); #192448=EDGE_CURVE('',#155421,#155419,#77664,.T.); #192449=EDGE_CURVE('',#155422,#155420,#77665,.T.); #192450=EDGE_CURVE('',#155421,#155422,#77666,.T.); #192451=EDGE_CURVE('',#155423,#155421,#77667,.T.); #192452=EDGE_CURVE('',#155424,#155422,#77668,.T.); #192453=EDGE_CURVE('',#155423,#155424,#77669,.T.); #192454=EDGE_CURVE('',#155425,#155423,#77670,.T.); #192455=EDGE_CURVE('',#155426,#155424,#77671,.T.); #192456=EDGE_CURVE('',#155425,#155426,#77672,.T.); #192457=EDGE_CURVE('',#155427,#155425,#77673,.T.); #192458=EDGE_CURVE('',#155428,#155426,#77674,.T.); #192459=EDGE_CURVE('',#155427,#155428,#77675,.T.); #192460=EDGE_CURVE('',#155429,#155427,#77676,.T.); #192461=EDGE_CURVE('',#155430,#155428,#77677,.T.); #192462=EDGE_CURVE('',#155429,#155430,#77678,.T.); #192463=EDGE_CURVE('',#155431,#155429,#77679,.T.); #192464=EDGE_CURVE('',#155432,#155430,#77680,.T.); #192465=EDGE_CURVE('',#155431,#155432,#77681,.T.); #192466=EDGE_CURVE('',#155433,#155431,#77682,.T.); #192467=EDGE_CURVE('',#155434,#155432,#77683,.T.); #192468=EDGE_CURVE('',#155433,#155434,#77684,.T.); #192469=EDGE_CURVE('',#155435,#155433,#77685,.T.); #192470=EDGE_CURVE('',#155436,#155434,#77686,.T.); #192471=EDGE_CURVE('',#155435,#155436,#77687,.T.); #192472=EDGE_CURVE('',#155437,#155435,#136645,.T.); #192473=EDGE_CURVE('',#155438,#155436,#136646,.T.); #192474=EDGE_CURVE('',#155437,#155438,#77688,.T.); #192475=EDGE_CURVE('',#155439,#155437,#77689,.T.); #192476=EDGE_CURVE('',#155440,#155438,#77690,.T.); #192477=EDGE_CURVE('',#155439,#155440,#77691,.T.); #192478=EDGE_CURVE('',#155441,#155439,#77692,.T.); #192479=EDGE_CURVE('',#155442,#155440,#77693,.T.); #192480=EDGE_CURVE('',#155441,#155442,#77694,.T.); #192481=EDGE_CURVE('',#155443,#155441,#77695,.T.); #192482=EDGE_CURVE('',#155444,#155442,#77696,.T.); #192483=EDGE_CURVE('',#155443,#155444,#77697,.T.); #192484=EDGE_CURVE('',#155445,#155443,#77698,.T.); #192485=EDGE_CURVE('',#155446,#155444,#77699,.T.); #192486=EDGE_CURVE('',#155445,#155446,#77700,.T.); #192487=EDGE_CURVE('',#155447,#155445,#77701,.T.); #192488=EDGE_CURVE('',#155448,#155446,#77702,.T.); #192489=EDGE_CURVE('',#155447,#155448,#77703,.T.); #192490=EDGE_CURVE('',#155449,#155447,#77704,.T.); #192491=EDGE_CURVE('',#155450,#155448,#77705,.T.); #192492=EDGE_CURVE('',#155449,#155450,#77706,.T.); #192493=EDGE_CURVE('',#155451,#155449,#77707,.T.); #192494=EDGE_CURVE('',#155452,#155450,#77708,.T.); #192495=EDGE_CURVE('',#155451,#155452,#77709,.T.); #192496=EDGE_CURVE('',#155453,#155451,#77710,.T.); #192497=EDGE_CURVE('',#155454,#155452,#77711,.T.); #192498=EDGE_CURVE('',#155453,#155454,#77712,.T.); #192499=EDGE_CURVE('',#155455,#155453,#136647,.T.); #192500=EDGE_CURVE('',#155456,#155454,#136648,.T.); #192501=EDGE_CURVE('',#155455,#155456,#77713,.T.); #192502=EDGE_CURVE('',#155457,#155455,#77714,.T.); #192503=EDGE_CURVE('',#155458,#155456,#77715,.T.); #192504=EDGE_CURVE('',#155457,#155458,#77716,.T.); #192505=EDGE_CURVE('',#155459,#155457,#136649,.T.); #192506=EDGE_CURVE('',#155460,#155458,#136650,.T.); #192507=EDGE_CURVE('',#155459,#155460,#77717,.T.); #192508=EDGE_CURVE('',#155410,#155459,#136651,.T.); #192509=EDGE_CURVE('',#155411,#155460,#136652,.T.); #192510=EDGE_CURVE('',#155461,#155461,#136653,.T.); #192511=EDGE_CURVE('',#155461,#155462,#77718,.T.); #192512=EDGE_CURVE('',#155462,#155462,#136654,.T.); #192513=EDGE_CURVE('',#155463,#155463,#136655,.T.); #192514=EDGE_CURVE('',#155463,#155464,#77719,.T.); #192515=EDGE_CURVE('',#155464,#155464,#136656,.T.); #192516=EDGE_CURVE('',#155465,#155466,#77720,.T.); #192517=EDGE_CURVE('',#155466,#155467,#77721,.T.); #192518=EDGE_CURVE('',#155468,#155467,#77722,.T.); #192519=EDGE_CURVE('',#155465,#155468,#77723,.T.); #192520=EDGE_CURVE('',#155469,#155465,#77724,.T.); #192521=EDGE_CURVE('',#155470,#155468,#77725,.T.); #192522=EDGE_CURVE('',#155469,#155470,#77726,.T.); #192523=EDGE_CURVE('',#155471,#155469,#136657,.T.); #192524=EDGE_CURVE('',#155472,#155470,#136658,.T.); #192525=EDGE_CURVE('',#155471,#155472,#77727,.T.); #192526=EDGE_CURVE('',#155473,#155471,#77728,.T.); #192527=EDGE_CURVE('',#155474,#155472,#77729,.T.); #192528=EDGE_CURVE('',#155473,#155474,#77730,.T.); #192529=EDGE_CURVE('',#155475,#155473,#77731,.T.); #192530=EDGE_CURVE('',#155476,#155474,#77732,.T.); #192531=EDGE_CURVE('',#155475,#155476,#77733,.T.); #192532=EDGE_CURVE('',#155477,#155475,#136659,.T.); #192533=EDGE_CURVE('',#155478,#155476,#136660,.T.); #192534=EDGE_CURVE('',#155477,#155478,#77734,.T.); #192535=EDGE_CURVE('',#155479,#155477,#136661,.T.); #192536=EDGE_CURVE('',#155480,#155478,#136662,.T.); #192537=EDGE_CURVE('',#155479,#155480,#77735,.T.); #192538=EDGE_CURVE('',#155481,#155479,#77736,.T.); #192539=EDGE_CURVE('',#155482,#155480,#77737,.T.); #192540=EDGE_CURVE('',#155481,#155482,#77738,.T.); #192541=EDGE_CURVE('',#155483,#155481,#136663,.T.); #192542=EDGE_CURVE('',#155484,#155482,#136664,.T.); #192543=EDGE_CURVE('',#155483,#155484,#77739,.T.); #192544=EDGE_CURVE('',#155485,#155483,#77740,.T.); #192545=EDGE_CURVE('',#155486,#155484,#77741,.T.); #192546=EDGE_CURVE('',#155485,#155486,#77742,.T.); #192547=EDGE_CURVE('',#155487,#155485,#77743,.T.); #192548=EDGE_CURVE('',#155488,#155486,#77744,.T.); #192549=EDGE_CURVE('',#155487,#155488,#77745,.T.); #192550=EDGE_CURVE('',#155489,#155487,#77746,.T.); #192551=EDGE_CURVE('',#155490,#155488,#77747,.T.); #192552=EDGE_CURVE('',#155489,#155490,#77748,.T.); #192553=EDGE_CURVE('',#155491,#155489,#136665,.T.); #192554=EDGE_CURVE('',#155492,#155490,#136666,.T.); #192555=EDGE_CURVE('',#155491,#155492,#77749,.T.); #192556=EDGE_CURVE('',#155493,#155491,#77750,.T.); #192557=EDGE_CURVE('',#155494,#155492,#77751,.T.); #192558=EDGE_CURVE('',#155493,#155494,#77752,.T.); #192559=EDGE_CURVE('',#155495,#155493,#77753,.T.); #192560=EDGE_CURVE('',#155496,#155494,#77754,.T.); #192561=EDGE_CURVE('',#155495,#155496,#77755,.T.); #192562=EDGE_CURVE('',#155497,#155495,#77756,.T.); #192563=EDGE_CURVE('',#155498,#155496,#77757,.T.); #192564=EDGE_CURVE('',#155497,#155498,#77758,.T.); #192565=EDGE_CURVE('',#155499,#155497,#77759,.T.); #192566=EDGE_CURVE('',#155500,#155498,#77760,.T.); #192567=EDGE_CURVE('',#155499,#155500,#77761,.T.); #192568=EDGE_CURVE('',#155501,#155499,#77762,.T.); #192569=EDGE_CURVE('',#155502,#155500,#77763,.T.); #192570=EDGE_CURVE('',#155501,#155502,#77764,.T.); #192571=EDGE_CURVE('',#155503,#155501,#136667,.T.); #192572=EDGE_CURVE('',#155504,#155502,#136668,.T.); #192573=EDGE_CURVE('',#155503,#155504,#77765,.T.); #192574=EDGE_CURVE('',#155505,#155503,#77766,.T.); #192575=EDGE_CURVE('',#155506,#155504,#77767,.T.); #192576=EDGE_CURVE('',#155505,#155506,#77768,.T.); #192577=EDGE_CURVE('',#155507,#155505,#77769,.T.); #192578=EDGE_CURVE('',#155508,#155506,#77770,.T.); #192579=EDGE_CURVE('',#155507,#155508,#77771,.T.); #192580=EDGE_CURVE('',#155509,#155507,#136669,.T.); #192581=EDGE_CURVE('',#155510,#155508,#136670,.T.); #192582=EDGE_CURVE('',#155509,#155510,#77772,.T.); #192583=EDGE_CURVE('',#155511,#155509,#77773,.T.); #192584=EDGE_CURVE('',#155512,#155510,#77774,.T.); #192585=EDGE_CURVE('',#155511,#155512,#77775,.T.); #192586=EDGE_CURVE('',#155513,#155511,#136671,.T.); #192587=EDGE_CURVE('',#155514,#155512,#136672,.T.); #192588=EDGE_CURVE('',#155513,#155514,#77776,.T.); #192589=EDGE_CURVE('',#155515,#155513,#77777,.T.); #192590=EDGE_CURVE('',#155516,#155514,#77778,.T.); #192591=EDGE_CURVE('',#155515,#155516,#77779,.T.); #192592=EDGE_CURVE('',#155517,#155515,#77780,.T.); #192593=EDGE_CURVE('',#155518,#155516,#77781,.T.); #192594=EDGE_CURVE('',#155517,#155518,#77782,.T.); #192595=EDGE_CURVE('',#155519,#155517,#77783,.T.); #192596=EDGE_CURVE('',#155520,#155518,#77784,.T.); #192597=EDGE_CURVE('',#155519,#155520,#77785,.T.); #192598=EDGE_CURVE('',#155521,#155519,#136673,.T.); #192599=EDGE_CURVE('',#155522,#155520,#136674,.T.); #192600=EDGE_CURVE('',#155521,#155522,#77786,.T.); #192601=EDGE_CURVE('',#155523,#155521,#77787,.T.); #192602=EDGE_CURVE('',#155524,#155522,#77788,.T.); #192603=EDGE_CURVE('',#155523,#155524,#77789,.T.); #192604=EDGE_CURVE('',#155525,#155523,#136675,.T.); #192605=EDGE_CURVE('',#155526,#155524,#136676,.T.); #192606=EDGE_CURVE('',#155525,#155526,#77790,.T.); #192607=EDGE_CURVE('',#155527,#155525,#77791,.T.); #192608=EDGE_CURVE('',#155528,#155526,#77792,.T.); #192609=EDGE_CURVE('',#155527,#155528,#77793,.T.); #192610=EDGE_CURVE('',#155529,#155527,#136677,.T.); #192611=EDGE_CURVE('',#155530,#155528,#136678,.T.); #192612=EDGE_CURVE('',#155529,#155530,#77794,.T.); #192613=EDGE_CURVE('',#155531,#155529,#77795,.T.); #192614=EDGE_CURVE('',#155532,#155530,#77796,.T.); #192615=EDGE_CURVE('',#155531,#155532,#77797,.T.); #192616=EDGE_CURVE('',#155533,#155531,#77798,.T.); #192617=EDGE_CURVE('',#155534,#155532,#77799,.T.); #192618=EDGE_CURVE('',#155533,#155534,#77800,.T.); #192619=EDGE_CURVE('',#155535,#155533,#136679,.T.); #192620=EDGE_CURVE('',#155536,#155534,#136680,.T.); #192621=EDGE_CURVE('',#155535,#155536,#77801,.T.); #192622=EDGE_CURVE('',#155537,#155535,#136681,.T.); #192623=EDGE_CURVE('',#155538,#155536,#136682,.T.); #192624=EDGE_CURVE('',#155537,#155538,#77802,.T.); #192625=EDGE_CURVE('',#155539,#155537,#77803,.T.); #192626=EDGE_CURVE('',#155540,#155538,#77804,.T.); #192627=EDGE_CURVE('',#155539,#155540,#77805,.T.); #192628=EDGE_CURVE('',#155541,#155539,#136683,.T.); #192629=EDGE_CURVE('',#155542,#155540,#136684,.T.); #192630=EDGE_CURVE('',#155541,#155542,#77806,.T.); #192631=EDGE_CURVE('',#155543,#155541,#77807,.T.); #192632=EDGE_CURVE('',#155544,#155542,#77808,.T.); #192633=EDGE_CURVE('',#155543,#155544,#77809,.T.); #192634=EDGE_CURVE('',#155545,#155543,#77810,.T.); #192635=EDGE_CURVE('',#155546,#155544,#77811,.T.); #192636=EDGE_CURVE('',#155545,#155546,#77812,.T.); #192637=EDGE_CURVE('',#155547,#155545,#77813,.T.); #192638=EDGE_CURVE('',#155548,#155546,#77814,.T.); #192639=EDGE_CURVE('',#155547,#155548,#77815,.T.); #192640=EDGE_CURVE('',#155549,#155547,#77816,.T.); #192641=EDGE_CURVE('',#155550,#155548,#77817,.T.); #192642=EDGE_CURVE('',#155549,#155550,#77818,.T.); #192643=EDGE_CURVE('',#155551,#155549,#136685,.T.); #192644=EDGE_CURVE('',#155552,#155550,#136686,.T.); #192645=EDGE_CURVE('',#155551,#155552,#77819,.T.); #192646=EDGE_CURVE('',#155553,#155551,#77820,.T.); #192647=EDGE_CURVE('',#155554,#155552,#77821,.T.); #192648=EDGE_CURVE('',#155553,#155554,#77822,.T.); #192649=EDGE_CURVE('',#155555,#155553,#136687,.T.); #192650=EDGE_CURVE('',#155556,#155554,#136688,.T.); #192651=EDGE_CURVE('',#155555,#155556,#77823,.T.); #192652=EDGE_CURVE('',#155557,#155555,#77824,.T.); #192653=EDGE_CURVE('',#155558,#155556,#77825,.T.); #192654=EDGE_CURVE('',#155557,#155558,#77826,.T.); #192655=EDGE_CURVE('',#155559,#155557,#77827,.T.); #192656=EDGE_CURVE('',#155560,#155558,#77828,.T.); #192657=EDGE_CURVE('',#155559,#155560,#77829,.T.); #192658=EDGE_CURVE('',#155561,#155559,#77830,.T.); #192659=EDGE_CURVE('',#155562,#155560,#77831,.T.); #192660=EDGE_CURVE('',#155561,#155562,#77832,.T.); #192661=EDGE_CURVE('',#155563,#155561,#136689,.T.); #192662=EDGE_CURVE('',#155564,#155562,#136690,.T.); #192663=EDGE_CURVE('',#155563,#155564,#77833,.T.); #192664=EDGE_CURVE('',#155565,#155563,#77834,.T.); #192665=EDGE_CURVE('',#155566,#155564,#77835,.T.); #192666=EDGE_CURVE('',#155565,#155566,#77836,.T.); #192667=EDGE_CURVE('',#155567,#155565,#77837,.T.); #192668=EDGE_CURVE('',#155568,#155566,#77838,.T.); #192669=EDGE_CURVE('',#155567,#155568,#77839,.T.); #192670=EDGE_CURVE('',#155569,#155567,#136691,.T.); #192671=EDGE_CURVE('',#155570,#155568,#136692,.T.); #192672=EDGE_CURVE('',#155569,#155570,#77840,.T.); #192673=EDGE_CURVE('',#155571,#155569,#77841,.T.); #192674=EDGE_CURVE('',#155572,#155570,#77842,.T.); #192675=EDGE_CURVE('',#155571,#155572,#77843,.T.); #192676=EDGE_CURVE('',#155573,#155571,#136693,.T.); #192677=EDGE_CURVE('',#155574,#155572,#136694,.T.); #192678=EDGE_CURVE('',#155573,#155574,#77844,.T.); #192679=EDGE_CURVE('',#155575,#155573,#77845,.T.); #192680=EDGE_CURVE('',#155576,#155574,#77846,.T.); #192681=EDGE_CURVE('',#155575,#155576,#77847,.T.); #192682=EDGE_CURVE('',#155577,#155575,#136695,.T.); #192683=EDGE_CURVE('',#155578,#155576,#136696,.T.); #192684=EDGE_CURVE('',#155577,#155578,#77848,.T.); #192685=EDGE_CURVE('',#155579,#155577,#77849,.T.); #192686=EDGE_CURVE('',#155580,#155578,#77850,.T.); #192687=EDGE_CURVE('',#155579,#155580,#77851,.T.); #192688=EDGE_CURVE('',#155581,#155579,#136697,.T.); #192689=EDGE_CURVE('',#155582,#155580,#136698,.T.); #192690=EDGE_CURVE('',#155581,#155582,#77852,.T.); #192691=EDGE_CURVE('',#155583,#155581,#77853,.T.); #192692=EDGE_CURVE('',#155584,#155582,#77854,.T.); #192693=EDGE_CURVE('',#155583,#155584,#77855,.T.); #192694=EDGE_CURVE('',#155585,#155583,#77856,.T.); #192695=EDGE_CURVE('',#155586,#155584,#77857,.T.); #192696=EDGE_CURVE('',#155585,#155586,#77858,.T.); #192697=EDGE_CURVE('',#155466,#155585,#136699,.T.); #192698=EDGE_CURVE('',#155467,#155586,#136700,.T.); #192699=EDGE_CURVE('',#155587,#155587,#136701,.T.); #192700=EDGE_CURVE('',#155587,#155588,#77859,.T.); #192701=EDGE_CURVE('',#155588,#155588,#136702,.T.); #192702=EDGE_CURVE('',#155589,#155589,#136703,.T.); #192703=EDGE_CURVE('',#155589,#155590,#77860,.T.); #192704=EDGE_CURVE('',#155590,#155590,#136704,.T.); #192705=EDGE_CURVE('',#155591,#155592,#77861,.T.); #192706=EDGE_CURVE('',#155592,#155593,#77862,.T.); #192707=EDGE_CURVE('',#155594,#155593,#77863,.T.); #192708=EDGE_CURVE('',#155591,#155594,#77864,.T.); #192709=EDGE_CURVE('',#155595,#155591,#136705,.T.); #192710=EDGE_CURVE('',#155596,#155594,#136706,.T.); #192711=EDGE_CURVE('',#155595,#155596,#77865,.T.); #192712=EDGE_CURVE('',#155597,#155595,#136707,.T.); #192713=EDGE_CURVE('',#155598,#155596,#136708,.T.); #192714=EDGE_CURVE('',#155597,#155598,#77866,.T.); #192715=EDGE_CURVE('',#155599,#155597,#77867,.T.); #192716=EDGE_CURVE('',#155600,#155598,#77868,.T.); #192717=EDGE_CURVE('',#155599,#155600,#77869,.T.); #192718=EDGE_CURVE('',#155601,#155599,#136709,.T.); #192719=EDGE_CURVE('',#155602,#155600,#136710,.T.); #192720=EDGE_CURVE('',#155601,#155602,#77870,.T.); #192721=EDGE_CURVE('',#155603,#155601,#136711,.T.); #192722=EDGE_CURVE('',#155604,#155602,#136712,.T.); #192723=EDGE_CURVE('',#155603,#155604,#77871,.T.); #192724=EDGE_CURVE('',#155605,#155603,#77872,.T.); #192725=EDGE_CURVE('',#155606,#155604,#77873,.T.); #192726=EDGE_CURVE('',#155605,#155606,#77874,.T.); #192727=EDGE_CURVE('',#155592,#155605,#136713,.T.); #192728=EDGE_CURVE('',#155593,#155606,#136714,.T.); #192729=EDGE_CURVE('',#155607,#155607,#136715,.T.); #192730=EDGE_CURVE('',#155607,#155608,#77875,.T.); #192731=EDGE_CURVE('',#155608,#155608,#136716,.T.); #192732=EDGE_CURVE('',#155609,#155609,#136717,.T.); #192733=EDGE_CURVE('',#155609,#155610,#77876,.T.); #192734=EDGE_CURVE('',#155610,#155610,#136718,.T.); #192735=EDGE_CURVE('',#155611,#155612,#77877,.T.); #192736=EDGE_CURVE('',#155612,#155613,#77878,.T.); #192737=EDGE_CURVE('',#155614,#155613,#77879,.T.); #192738=EDGE_CURVE('',#155611,#155614,#77880,.T.); #192739=EDGE_CURVE('',#155615,#155611,#136719,.T.); #192740=EDGE_CURVE('',#155616,#155614,#136720,.T.); #192741=EDGE_CURVE('',#155615,#155616,#77881,.T.); #192742=EDGE_CURVE('',#155617,#155615,#136721,.T.); #192743=EDGE_CURVE('',#155618,#155616,#136722,.T.); #192744=EDGE_CURVE('',#155617,#155618,#77882,.T.); #192745=EDGE_CURVE('',#155619,#155617,#77883,.T.); #192746=EDGE_CURVE('',#155620,#155618,#77884,.T.); #192747=EDGE_CURVE('',#155619,#155620,#77885,.T.); #192748=EDGE_CURVE('',#155621,#155619,#136723,.T.); #192749=EDGE_CURVE('',#155622,#155620,#136724,.T.); #192750=EDGE_CURVE('',#155621,#155622,#77886,.T.); #192751=EDGE_CURVE('',#155623,#155621,#136725,.T.); #192752=EDGE_CURVE('',#155624,#155622,#136726,.T.); #192753=EDGE_CURVE('',#155623,#155624,#77887,.T.); #192754=EDGE_CURVE('',#155625,#155623,#77888,.T.); #192755=EDGE_CURVE('',#155626,#155624,#77889,.T.); #192756=EDGE_CURVE('',#155625,#155626,#77890,.T.); #192757=EDGE_CURVE('',#155612,#155625,#136727,.T.); #192758=EDGE_CURVE('',#155613,#155626,#136728,.T.); #192759=EDGE_CURVE('',#155627,#155627,#136729,.T.); #192760=EDGE_CURVE('',#155627,#155628,#77891,.T.); #192761=EDGE_CURVE('',#155628,#155628,#136730,.T.); #192762=EDGE_CURVE('',#155629,#155629,#136731,.T.); #192763=EDGE_CURVE('',#155629,#155630,#77892,.T.); #192764=EDGE_CURVE('',#155630,#155630,#136732,.T.); #192765=EDGE_CURVE('',#155631,#155632,#77893,.T.); #192766=EDGE_CURVE('',#155632,#155633,#77894,.T.); #192767=EDGE_CURVE('',#155634,#155633,#77895,.T.); #192768=EDGE_CURVE('',#155631,#155634,#77896,.T.); #192769=EDGE_CURVE('',#155635,#155631,#136733,.T.); #192770=EDGE_CURVE('',#155636,#155634,#136734,.T.); #192771=EDGE_CURVE('',#155635,#155636,#77897,.T.); #192772=EDGE_CURVE('',#155637,#155635,#136735,.T.); #192773=EDGE_CURVE('',#155638,#155636,#136736,.T.); #192774=EDGE_CURVE('',#155637,#155638,#77898,.T.); #192775=EDGE_CURVE('',#155639,#155637,#77899,.T.); #192776=EDGE_CURVE('',#155640,#155638,#77900,.T.); #192777=EDGE_CURVE('',#155639,#155640,#77901,.T.); #192778=EDGE_CURVE('',#155641,#155639,#136737,.T.); #192779=EDGE_CURVE('',#155642,#155640,#136738,.T.); #192780=EDGE_CURVE('',#155641,#155642,#77902,.T.); #192781=EDGE_CURVE('',#155643,#155641,#136739,.T.); #192782=EDGE_CURVE('',#155644,#155642,#136740,.T.); #192783=EDGE_CURVE('',#155643,#155644,#77903,.T.); #192784=EDGE_CURVE('',#155645,#155643,#77904,.T.); #192785=EDGE_CURVE('',#155646,#155644,#77905,.T.); #192786=EDGE_CURVE('',#155645,#155646,#77906,.T.); #192787=EDGE_CURVE('',#155632,#155645,#136741,.T.); #192788=EDGE_CURVE('',#155633,#155646,#136742,.T.); #192789=EDGE_CURVE('',#155647,#155647,#136743,.T.); #192790=EDGE_CURVE('',#155647,#155648,#77907,.T.); #192791=EDGE_CURVE('',#155648,#155648,#136744,.T.); #192792=EDGE_CURVE('',#155649,#155649,#136745,.T.); #192793=EDGE_CURVE('',#155649,#155650,#77908,.T.); #192794=EDGE_CURVE('',#155650,#155650,#136746,.T.); #192795=EDGE_CURVE('',#155651,#155652,#77909,.T.); #192796=EDGE_CURVE('',#155652,#155653,#77910,.T.); #192797=EDGE_CURVE('',#155654,#155653,#77911,.T.); #192798=EDGE_CURVE('',#155651,#155654,#77912,.T.); #192799=EDGE_CURVE('',#155655,#155651,#136747,.T.); #192800=EDGE_CURVE('',#155656,#155654,#136748,.T.); #192801=EDGE_CURVE('',#155655,#155656,#77913,.T.); #192802=EDGE_CURVE('',#155657,#155655,#77914,.T.); #192803=EDGE_CURVE('',#155658,#155656,#77915,.T.); #192804=EDGE_CURVE('',#155657,#155658,#77916,.T.); #192805=EDGE_CURVE('',#155659,#155657,#77917,.T.); #192806=EDGE_CURVE('',#155660,#155658,#77918,.T.); #192807=EDGE_CURVE('',#155659,#155660,#77919,.T.); #192808=EDGE_CURVE('',#155661,#155659,#77920,.T.); #192809=EDGE_CURVE('',#155662,#155660,#77921,.T.); #192810=EDGE_CURVE('',#155661,#155662,#77922,.T.); #192811=EDGE_CURVE('',#155663,#155661,#136749,.T.); #192812=EDGE_CURVE('',#155664,#155662,#136750,.T.); #192813=EDGE_CURVE('',#155663,#155664,#77923,.T.); #192814=EDGE_CURVE('',#155665,#155663,#136751,.T.); #192815=EDGE_CURVE('',#155666,#155664,#136752,.T.); #192816=EDGE_CURVE('',#155665,#155666,#77924,.T.); #192817=EDGE_CURVE('',#155667,#155665,#77925,.T.); #192818=EDGE_CURVE('',#155668,#155666,#77926,.T.); #192819=EDGE_CURVE('',#155667,#155668,#77927,.T.); #192820=EDGE_CURVE('',#155669,#155667,#136753,.T.); #192821=EDGE_CURVE('',#155670,#155668,#136754,.T.); #192822=EDGE_CURVE('',#155669,#155670,#77928,.T.); #192823=EDGE_CURVE('',#155671,#155669,#77929,.T.); #192824=EDGE_CURVE('',#155672,#155670,#77930,.T.); #192825=EDGE_CURVE('',#155671,#155672,#77931,.T.); #192826=EDGE_CURVE('',#155673,#155671,#136755,.T.); #192827=EDGE_CURVE('',#155674,#155672,#136756,.T.); #192828=EDGE_CURVE('',#155673,#155674,#77932,.T.); #192829=EDGE_CURVE('',#155675,#155673,#77933,.T.); #192830=EDGE_CURVE('',#155676,#155674,#77934,.T.); #192831=EDGE_CURVE('',#155675,#155676,#77935,.T.); #192832=EDGE_CURVE('',#155652,#155675,#136757,.T.); #192833=EDGE_CURVE('',#155653,#155676,#136758,.T.); #192834=EDGE_CURVE('',#155677,#155677,#136759,.T.); #192835=EDGE_CURVE('',#155677,#155678,#77936,.T.); #192836=EDGE_CURVE('',#155678,#155678,#136760,.T.); #192837=EDGE_CURVE('',#155679,#155680,#77937,.T.); #192838=EDGE_CURVE('',#155680,#155681,#77938,.T.); #192839=EDGE_CURVE('',#155682,#155681,#77939,.T.); #192840=EDGE_CURVE('',#155679,#155682,#77940,.T.); #192841=EDGE_CURVE('',#155683,#155679,#77941,.T.); #192842=EDGE_CURVE('',#155684,#155682,#77942,.T.); #192843=EDGE_CURVE('',#155683,#155684,#77943,.T.); #192844=EDGE_CURVE('',#155685,#155683,#77944,.T.); #192845=EDGE_CURVE('',#155686,#155684,#77945,.T.); #192846=EDGE_CURVE('',#155685,#155686,#77946,.T.); #192847=EDGE_CURVE('',#155680,#155685,#77947,.T.); #192848=EDGE_CURVE('',#155681,#155686,#77948,.T.); #192849=EDGE_CURVE('',#155687,#155687,#136761,.T.); #192850=EDGE_CURVE('',#155687,#155688,#77949,.T.); #192851=EDGE_CURVE('',#155688,#155688,#136762,.T.); #192852=EDGE_CURVE('',#155689,#155690,#77950,.T.); #192853=EDGE_CURVE('',#155690,#155691,#77951,.T.); #192854=EDGE_CURVE('',#155692,#155691,#77952,.T.); #192855=EDGE_CURVE('',#155689,#155692,#77953,.T.); #192856=EDGE_CURVE('',#155693,#155689,#77954,.T.); #192857=EDGE_CURVE('',#155694,#155692,#77955,.T.); #192858=EDGE_CURVE('',#155693,#155694,#77956,.T.); #192859=EDGE_CURVE('',#155695,#155693,#77957,.T.); #192860=EDGE_CURVE('',#155696,#155694,#77958,.T.); #192861=EDGE_CURVE('',#155695,#155696,#77959,.T.); #192862=EDGE_CURVE('',#155690,#155695,#77960,.T.); #192863=EDGE_CURVE('',#155691,#155696,#77961,.T.); #192864=EDGE_CURVE('',#155697,#155697,#136763,.T.); #192865=EDGE_CURVE('',#155697,#155698,#77962,.T.); #192866=EDGE_CURVE('',#155698,#155698,#136764,.T.); #192867=EDGE_CURVE('',#155699,#155700,#77963,.T.); #192868=EDGE_CURVE('',#155700,#155701,#77964,.T.); #192869=EDGE_CURVE('',#155702,#155701,#77965,.T.); #192870=EDGE_CURVE('',#155699,#155702,#77966,.T.); #192871=EDGE_CURVE('',#155703,#155699,#77967,.T.); #192872=EDGE_CURVE('',#155704,#155702,#77968,.T.); #192873=EDGE_CURVE('',#155703,#155704,#77969,.T.); #192874=EDGE_CURVE('',#155705,#155703,#77970,.T.); #192875=EDGE_CURVE('',#155706,#155704,#77971,.T.); #192876=EDGE_CURVE('',#155705,#155706,#77972,.T.); #192877=EDGE_CURVE('',#155700,#155705,#77973,.T.); #192878=EDGE_CURVE('',#155701,#155706,#77974,.T.); #192879=EDGE_CURVE('',#155707,#155707,#136765,.T.); #192880=EDGE_CURVE('',#155707,#155708,#77975,.T.); #192881=EDGE_CURVE('',#155708,#155708,#136766,.T.); #192882=EDGE_CURVE('',#155709,#155710,#77976,.T.); #192883=EDGE_CURVE('',#155710,#155711,#77977,.T.); #192884=EDGE_CURVE('',#155712,#155711,#77978,.T.); #192885=EDGE_CURVE('',#155709,#155712,#77979,.T.); #192886=EDGE_CURVE('',#155713,#155709,#136767,.T.); #192887=EDGE_CURVE('',#155714,#155712,#136768,.T.); #192888=EDGE_CURVE('',#155713,#155714,#77980,.T.); #192889=EDGE_CURVE('',#155715,#155713,#77981,.T.); #192890=EDGE_CURVE('',#155716,#155714,#77982,.T.); #192891=EDGE_CURVE('',#155715,#155716,#77983,.T.); #192892=EDGE_CURVE('',#155717,#155715,#77984,.T.); #192893=EDGE_CURVE('',#155718,#155716,#77985,.T.); #192894=EDGE_CURVE('',#155717,#155718,#77986,.T.); #192895=EDGE_CURVE('',#155719,#155717,#77987,.T.); #192896=EDGE_CURVE('',#155720,#155718,#77988,.T.); #192897=EDGE_CURVE('',#155719,#155720,#77989,.T.); #192898=EDGE_CURVE('',#155721,#155719,#77990,.T.); #192899=EDGE_CURVE('',#155722,#155720,#77991,.T.); #192900=EDGE_CURVE('',#155721,#155722,#77992,.T.); #192901=EDGE_CURVE('',#155723,#155721,#77993,.T.); #192902=EDGE_CURVE('',#155724,#155722,#77994,.T.); #192903=EDGE_CURVE('',#155723,#155724,#77995,.T.); #192904=EDGE_CURVE('',#155725,#155723,#77996,.T.); #192905=EDGE_CURVE('',#155726,#155724,#77997,.T.); #192906=EDGE_CURVE('',#155725,#155726,#77998,.T.); #192907=EDGE_CURVE('',#155727,#155725,#77999,.T.); #192908=EDGE_CURVE('',#155728,#155726,#78000,.T.); #192909=EDGE_CURVE('',#155727,#155728,#78001,.T.); #192910=EDGE_CURVE('',#155710,#155727,#136769,.T.); #192911=EDGE_CURVE('',#155711,#155728,#136770,.T.); #192912=EDGE_CURVE('',#155729,#155729,#136771,.T.); #192913=EDGE_CURVE('',#155729,#155730,#78002,.T.); #192914=EDGE_CURVE('',#155730,#155730,#136772,.T.); #192915=EDGE_CURVE('',#155731,#155731,#136773,.T.); #192916=EDGE_CURVE('',#155731,#155732,#78003,.T.); #192917=EDGE_CURVE('',#155732,#155732,#136774,.T.); #192918=EDGE_CURVE('',#155733,#155734,#78004,.T.); #192919=EDGE_CURVE('',#155734,#155735,#78005,.T.); #192920=EDGE_CURVE('',#155736,#155735,#78006,.T.); #192921=EDGE_CURVE('',#155733,#155736,#78007,.T.); #192922=EDGE_CURVE('',#155737,#155733,#78008,.T.); #192923=EDGE_CURVE('',#155738,#155736,#78009,.T.); #192924=EDGE_CURVE('',#155737,#155738,#78010,.T.); #192925=EDGE_CURVE('',#155739,#155737,#136775,.T.); #192926=EDGE_CURVE('',#155740,#155738,#136776,.T.); #192927=EDGE_CURVE('',#155739,#155740,#78011,.T.); #192928=EDGE_CURVE('',#155741,#155739,#78012,.T.); #192929=EDGE_CURVE('',#155742,#155740,#78013,.T.); #192930=EDGE_CURVE('',#155741,#155742,#78014,.T.); #192931=EDGE_CURVE('',#155743,#155741,#78015,.T.); #192932=EDGE_CURVE('',#155744,#155742,#78016,.T.); #192933=EDGE_CURVE('',#155743,#155744,#78017,.T.); #192934=EDGE_CURVE('',#155745,#155743,#136777,.T.); #192935=EDGE_CURVE('',#155746,#155744,#136778,.T.); #192936=EDGE_CURVE('',#155745,#155746,#78018,.T.); #192937=EDGE_CURVE('',#155747,#155745,#78019,.T.); #192938=EDGE_CURVE('',#155748,#155746,#78020,.T.); #192939=EDGE_CURVE('',#155747,#155748,#78021,.T.); #192940=EDGE_CURVE('',#155749,#155747,#78022,.T.); #192941=EDGE_CURVE('',#155750,#155748,#78023,.T.); #192942=EDGE_CURVE('',#155749,#155750,#78024,.T.); #192943=EDGE_CURVE('',#155751,#155749,#136779,.T.); #192944=EDGE_CURVE('',#155752,#155750,#136780,.T.); #192945=EDGE_CURVE('',#155751,#155752,#78025,.T.); #192946=EDGE_CURVE('',#155753,#155751,#136781,.T.); #192947=EDGE_CURVE('',#155754,#155752,#136782,.T.); #192948=EDGE_CURVE('',#155753,#155754,#78026,.T.); #192949=EDGE_CURVE('',#155755,#155753,#78027,.T.); #192950=EDGE_CURVE('',#155756,#155754,#78028,.T.); #192951=EDGE_CURVE('',#155755,#155756,#78029,.T.); #192952=EDGE_CURVE('',#155757,#155755,#136783,.T.); #192953=EDGE_CURVE('',#155758,#155756,#136784,.T.); #192954=EDGE_CURVE('',#155757,#155758,#78030,.T.); #192955=EDGE_CURVE('',#155759,#155757,#78031,.T.); #192956=EDGE_CURVE('',#155760,#155758,#78032,.T.); #192957=EDGE_CURVE('',#155759,#155760,#78033,.T.); #192958=EDGE_CURVE('',#155761,#155759,#78034,.T.); #192959=EDGE_CURVE('',#155762,#155760,#78035,.T.); #192960=EDGE_CURVE('',#155761,#155762,#78036,.T.); #192961=EDGE_CURVE('',#155763,#155761,#136785,.T.); #192962=EDGE_CURVE('',#155764,#155762,#136786,.T.); #192963=EDGE_CURVE('',#155763,#155764,#78037,.T.); #192964=EDGE_CURVE('',#155765,#155763,#78038,.T.); #192965=EDGE_CURVE('',#155766,#155764,#78039,.T.); #192966=EDGE_CURVE('',#155765,#155766,#78040,.T.); #192967=EDGE_CURVE('',#155767,#155765,#78041,.T.); #192968=EDGE_CURVE('',#155768,#155766,#78042,.T.); #192969=EDGE_CURVE('',#155767,#155768,#78043,.T.); #192970=EDGE_CURVE('',#155769,#155767,#78044,.T.); #192971=EDGE_CURVE('',#155770,#155768,#78045,.T.); #192972=EDGE_CURVE('',#155769,#155770,#78046,.T.); #192973=EDGE_CURVE('',#155771,#155769,#78047,.T.); #192974=EDGE_CURVE('',#155772,#155770,#78048,.T.); #192975=EDGE_CURVE('',#155771,#155772,#78049,.T.); #192976=EDGE_CURVE('',#155773,#155771,#78050,.T.); #192977=EDGE_CURVE('',#155774,#155772,#78051,.T.); #192978=EDGE_CURVE('',#155773,#155774,#78052,.T.); #192979=EDGE_CURVE('',#155775,#155773,#78053,.T.); #192980=EDGE_CURVE('',#155776,#155774,#78054,.T.); #192981=EDGE_CURVE('',#155775,#155776,#78055,.T.); #192982=EDGE_CURVE('',#155777,#155775,#136787,.T.); #192983=EDGE_CURVE('',#155778,#155776,#136788,.T.); #192984=EDGE_CURVE('',#155777,#155778,#78056,.T.); #192985=EDGE_CURVE('',#155779,#155777,#78057,.T.); #192986=EDGE_CURVE('',#155780,#155778,#78058,.T.); #192987=EDGE_CURVE('',#155779,#155780,#78059,.T.); #192988=EDGE_CURVE('',#155781,#155779,#78060,.T.); #192989=EDGE_CURVE('',#155782,#155780,#78061,.T.); #192990=EDGE_CURVE('',#155781,#155782,#78062,.T.); #192991=EDGE_CURVE('',#155783,#155781,#136789,.T.); #192992=EDGE_CURVE('',#155784,#155782,#136790,.T.); #192993=EDGE_CURVE('',#155783,#155784,#78063,.T.); #192994=EDGE_CURVE('',#155785,#155783,#78064,.T.); #192995=EDGE_CURVE('',#155786,#155784,#78065,.T.); #192996=EDGE_CURVE('',#155785,#155786,#78066,.T.); #192997=EDGE_CURVE('',#155787,#155785,#136791,.T.); #192998=EDGE_CURVE('',#155788,#155786,#136792,.T.); #192999=EDGE_CURVE('',#155787,#155788,#78067,.T.); #193000=EDGE_CURVE('',#155789,#155787,#78068,.T.); #193001=EDGE_CURVE('',#155790,#155788,#78069,.T.); #193002=EDGE_CURVE('',#155789,#155790,#78070,.T.); #193003=EDGE_CURVE('',#155791,#155789,#78071,.T.); #193004=EDGE_CURVE('',#155792,#155790,#78072,.T.); #193005=EDGE_CURVE('',#155791,#155792,#78073,.T.); #193006=EDGE_CURVE('',#155793,#155791,#78074,.T.); #193007=EDGE_CURVE('',#155794,#155792,#78075,.T.); #193008=EDGE_CURVE('',#155793,#155794,#78076,.T.); #193009=EDGE_CURVE('',#155795,#155793,#136793,.T.); #193010=EDGE_CURVE('',#155796,#155794,#136794,.T.); #193011=EDGE_CURVE('',#155795,#155796,#78077,.T.); #193012=EDGE_CURVE('',#155797,#155795,#78078,.T.); #193013=EDGE_CURVE('',#155798,#155796,#78079,.T.); #193014=EDGE_CURVE('',#155797,#155798,#78080,.T.); #193015=EDGE_CURVE('',#155799,#155797,#136795,.T.); #193016=EDGE_CURVE('',#155800,#155798,#136796,.T.); #193017=EDGE_CURVE('',#155799,#155800,#78081,.T.); #193018=EDGE_CURVE('',#155801,#155799,#78082,.T.); #193019=EDGE_CURVE('',#155802,#155800,#78083,.T.); #193020=EDGE_CURVE('',#155801,#155802,#78084,.T.); #193021=EDGE_CURVE('',#155803,#155801,#78085,.T.); #193022=EDGE_CURVE('',#155804,#155802,#78086,.T.); #193023=EDGE_CURVE('',#155803,#155804,#78087,.T.); #193024=EDGE_CURVE('',#155805,#155803,#136797,.T.); #193025=EDGE_CURVE('',#155806,#155804,#136798,.T.); #193026=EDGE_CURVE('',#155805,#155806,#78088,.T.); #193027=EDGE_CURVE('',#155807,#155805,#78089,.T.); #193028=EDGE_CURVE('',#155808,#155806,#78090,.T.); #193029=EDGE_CURVE('',#155807,#155808,#78091,.T.); #193030=EDGE_CURVE('',#155809,#155807,#136799,.T.); #193031=EDGE_CURVE('',#155810,#155808,#136800,.T.); #193032=EDGE_CURVE('',#155809,#155810,#78092,.T.); #193033=EDGE_CURVE('',#155811,#155809,#136801,.T.); #193034=EDGE_CURVE('',#155812,#155810,#136802,.T.); #193035=EDGE_CURVE('',#155811,#155812,#78093,.T.); #193036=EDGE_CURVE('',#155813,#155811,#78094,.T.); #193037=EDGE_CURVE('',#155814,#155812,#78095,.T.); #193038=EDGE_CURVE('',#155813,#155814,#78096,.T.); #193039=EDGE_CURVE('',#155815,#155813,#78097,.T.); #193040=EDGE_CURVE('',#155816,#155814,#78098,.T.); #193041=EDGE_CURVE('',#155815,#155816,#78099,.T.); #193042=EDGE_CURVE('',#155817,#155815,#136803,.T.); #193043=EDGE_CURVE('',#155818,#155816,#136804,.T.); #193044=EDGE_CURVE('',#155817,#155818,#78100,.T.); #193045=EDGE_CURVE('',#155819,#155817,#78101,.T.); #193046=EDGE_CURVE('',#155820,#155818,#78102,.T.); #193047=EDGE_CURVE('',#155819,#155820,#78103,.T.); #193048=EDGE_CURVE('',#155821,#155819,#78104,.T.); #193049=EDGE_CURVE('',#155822,#155820,#78105,.T.); #193050=EDGE_CURVE('',#155821,#155822,#78106,.T.); #193051=EDGE_CURVE('',#155823,#155821,#78107,.T.); #193052=EDGE_CURVE('',#155824,#155822,#78108,.T.); #193053=EDGE_CURVE('',#155823,#155824,#78109,.T.); #193054=EDGE_CURVE('',#155825,#155823,#136805,.T.); #193055=EDGE_CURVE('',#155826,#155824,#136806,.T.); #193056=EDGE_CURVE('',#155825,#155826,#78110,.T.); #193057=EDGE_CURVE('',#155827,#155825,#78111,.T.); #193058=EDGE_CURVE('',#155828,#155826,#78112,.T.); #193059=EDGE_CURVE('',#155827,#155828,#78113,.T.); #193060=EDGE_CURVE('',#155829,#155827,#136807,.T.); #193061=EDGE_CURVE('',#155830,#155828,#136808,.T.); #193062=EDGE_CURVE('',#155829,#155830,#78114,.T.); #193063=EDGE_CURVE('',#155831,#155829,#78115,.T.); #193064=EDGE_CURVE('',#155832,#155830,#78116,.T.); #193065=EDGE_CURVE('',#155831,#155832,#78117,.T.); #193066=EDGE_CURVE('',#155833,#155831,#78118,.T.); #193067=EDGE_CURVE('',#155834,#155832,#78119,.T.); #193068=EDGE_CURVE('',#155833,#155834,#78120,.T.); #193069=EDGE_CURVE('',#155835,#155833,#78121,.T.); #193070=EDGE_CURVE('',#155836,#155834,#78122,.T.); #193071=EDGE_CURVE('',#155835,#155836,#78123,.T.); #193072=EDGE_CURVE('',#155837,#155835,#136809,.T.); #193073=EDGE_CURVE('',#155838,#155836,#136810,.T.); #193074=EDGE_CURVE('',#155837,#155838,#78124,.T.); #193075=EDGE_CURVE('',#155839,#155837,#78125,.T.); #193076=EDGE_CURVE('',#155840,#155838,#78126,.T.); #193077=EDGE_CURVE('',#155839,#155840,#78127,.T.); #193078=EDGE_CURVE('',#155841,#155839,#78128,.T.); #193079=EDGE_CURVE('',#155842,#155840,#78129,.T.); #193080=EDGE_CURVE('',#155841,#155842,#78130,.T.); #193081=EDGE_CURVE('',#155843,#155841,#136811,.T.); #193082=EDGE_CURVE('',#155844,#155842,#136812,.T.); #193083=EDGE_CURVE('',#155843,#155844,#78131,.T.); #193084=EDGE_CURVE('',#155845,#155843,#78132,.T.); #193085=EDGE_CURVE('',#155846,#155844,#78133,.T.); #193086=EDGE_CURVE('',#155845,#155846,#78134,.T.); #193087=EDGE_CURVE('',#155847,#155845,#136813,.T.); #193088=EDGE_CURVE('',#155848,#155846,#136814,.T.); #193089=EDGE_CURVE('',#155847,#155848,#78135,.T.); #193090=EDGE_CURVE('',#155849,#155847,#78136,.T.); #193091=EDGE_CURVE('',#155850,#155848,#78137,.T.); #193092=EDGE_CURVE('',#155849,#155850,#78138,.T.); #193093=EDGE_CURVE('',#155851,#155849,#136815,.T.); #193094=EDGE_CURVE('',#155852,#155850,#136816,.T.); #193095=EDGE_CURVE('',#155851,#155852,#78139,.T.); #193096=EDGE_CURVE('',#155853,#155851,#78140,.T.); #193097=EDGE_CURVE('',#155854,#155852,#78141,.T.); #193098=EDGE_CURVE('',#155853,#155854,#78142,.T.); #193099=EDGE_CURVE('',#155734,#155853,#136817,.T.); #193100=EDGE_CURVE('',#155735,#155854,#136818,.T.); #193101=EDGE_CURVE('',#155855,#155855,#136819,.T.); #193102=EDGE_CURVE('',#155855,#155856,#78143,.T.); #193103=EDGE_CURVE('',#155856,#155856,#136820,.T.); #193104=EDGE_CURVE('',#155857,#155857,#136821,.T.); #193105=EDGE_CURVE('',#155857,#155858,#78144,.T.); #193106=EDGE_CURVE('',#155858,#155858,#136822,.T.); #193107=EDGE_CURVE('',#155859,#155860,#78145,.T.); #193108=EDGE_CURVE('',#155860,#155861,#78146,.T.); #193109=EDGE_CURVE('',#155862,#155861,#78147,.T.); #193110=EDGE_CURVE('',#155859,#155862,#78148,.T.); #193111=EDGE_CURVE('',#155863,#155859,#136823,.T.); #193112=EDGE_CURVE('',#155864,#155862,#136824,.T.); #193113=EDGE_CURVE('',#155863,#155864,#78149,.T.); #193114=EDGE_CURVE('',#155865,#155863,#78150,.T.); #193115=EDGE_CURVE('',#155866,#155864,#78151,.T.); #193116=EDGE_CURVE('',#155865,#155866,#78152,.T.); #193117=EDGE_CURVE('',#155867,#155865,#136825,.T.); #193118=EDGE_CURVE('',#155868,#155866,#136826,.T.); #193119=EDGE_CURVE('',#155867,#155868,#78153,.T.); #193120=EDGE_CURVE('',#155869,#155867,#136827,.T.); #193121=EDGE_CURVE('',#155870,#155868,#136828,.T.); #193122=EDGE_CURVE('',#155869,#155870,#78154,.T.); #193123=EDGE_CURVE('',#155871,#155869,#78155,.T.); #193124=EDGE_CURVE('',#155872,#155870,#78156,.T.); #193125=EDGE_CURVE('',#155871,#155872,#78157,.T.); #193126=EDGE_CURVE('',#155860,#155871,#136829,.T.); #193127=EDGE_CURVE('',#155861,#155872,#136830,.T.); #193128=EDGE_CURVE('',#155873,#155873,#136831,.T.); #193129=EDGE_CURVE('',#155873,#155874,#78158,.T.); #193130=EDGE_CURVE('',#155874,#155874,#136832,.T.); #193131=EDGE_CURVE('',#155875,#155876,#78159,.T.); #193132=EDGE_CURVE('',#155876,#155877,#78160,.T.); #193133=EDGE_CURVE('',#155878,#155877,#78161,.T.); #193134=EDGE_CURVE('',#155875,#155878,#78162,.T.); #193135=EDGE_CURVE('',#155879,#155875,#136833,.T.); #193136=EDGE_CURVE('',#155880,#155878,#136834,.T.); #193137=EDGE_CURVE('',#155879,#155880,#78163,.T.); #193138=EDGE_CURVE('',#155881,#155879,#78164,.T.); #193139=EDGE_CURVE('',#155882,#155880,#78165,.T.); #193140=EDGE_CURVE('',#155881,#155882,#78166,.T.); #193141=EDGE_CURVE('',#155883,#155881,#78167,.T.); #193142=EDGE_CURVE('',#155884,#155882,#78168,.T.); #193143=EDGE_CURVE('',#155883,#155884,#78169,.T.); #193144=EDGE_CURVE('',#155885,#155883,#78170,.T.); #193145=EDGE_CURVE('',#155886,#155884,#78171,.T.); #193146=EDGE_CURVE('',#155885,#155886,#78172,.T.); #193147=EDGE_CURVE('',#155887,#155885,#78173,.T.); #193148=EDGE_CURVE('',#155888,#155886,#78174,.T.); #193149=EDGE_CURVE('',#155887,#155888,#78175,.T.); #193150=EDGE_CURVE('',#155889,#155887,#78176,.T.); #193151=EDGE_CURVE('',#155890,#155888,#78177,.T.); #193152=EDGE_CURVE('',#155889,#155890,#78178,.T.); #193153=EDGE_CURVE('',#155891,#155889,#78179,.T.); #193154=EDGE_CURVE('',#155892,#155890,#78180,.T.); #193155=EDGE_CURVE('',#155891,#155892,#78181,.T.); #193156=EDGE_CURVE('',#155893,#155891,#78182,.T.); #193157=EDGE_CURVE('',#155894,#155892,#78183,.T.); #193158=EDGE_CURVE('',#155893,#155894,#78184,.T.); #193159=EDGE_CURVE('',#155876,#155893,#136835,.T.); #193160=EDGE_CURVE('',#155877,#155894,#136836,.T.); #193161=EDGE_CURVE('',#155895,#155895,#136837,.T.); #193162=EDGE_CURVE('',#155895,#155896,#78185,.T.); #193163=EDGE_CURVE('',#155896,#155896,#136838,.T.); #193164=EDGE_CURVE('',#155897,#155898,#78186,.T.); #193165=EDGE_CURVE('',#155898,#155899,#78187,.T.); #193166=EDGE_CURVE('',#155900,#155899,#78188,.T.); #193167=EDGE_CURVE('',#155897,#155900,#78189,.T.); #193168=EDGE_CURVE('',#155901,#155897,#136839,.T.); #193169=EDGE_CURVE('',#155902,#155900,#136840,.T.); #193170=EDGE_CURVE('',#155901,#155902,#78190,.T.); #193171=EDGE_CURVE('',#155903,#155901,#78191,.T.); #193172=EDGE_CURVE('',#155904,#155902,#78192,.T.); #193173=EDGE_CURVE('',#155903,#155904,#78193,.T.); #193174=EDGE_CURVE('',#155905,#155903,#78194,.T.); #193175=EDGE_CURVE('',#155906,#155904,#78195,.T.); #193176=EDGE_CURVE('',#155905,#155906,#78196,.T.); #193177=EDGE_CURVE('',#155907,#155905,#78197,.T.); #193178=EDGE_CURVE('',#155908,#155906,#78198,.T.); #193179=EDGE_CURVE('',#155907,#155908,#78199,.T.); #193180=EDGE_CURVE('',#155909,#155907,#78200,.T.); #193181=EDGE_CURVE('',#155910,#155908,#78201,.T.); #193182=EDGE_CURVE('',#155909,#155910,#78202,.T.); #193183=EDGE_CURVE('',#155911,#155909,#78203,.T.); #193184=EDGE_CURVE('',#155912,#155910,#78204,.T.); #193185=EDGE_CURVE('',#155911,#155912,#78205,.T.); #193186=EDGE_CURVE('',#155913,#155911,#78206,.T.); #193187=EDGE_CURVE('',#155914,#155912,#78207,.T.); #193188=EDGE_CURVE('',#155913,#155914,#78208,.T.); #193189=EDGE_CURVE('',#155915,#155913,#78209,.T.); #193190=EDGE_CURVE('',#155916,#155914,#78210,.T.); #193191=EDGE_CURVE('',#155915,#155916,#78211,.T.); #193192=EDGE_CURVE('',#155898,#155915,#136841,.T.); #193193=EDGE_CURVE('',#155899,#155916,#136842,.T.); #193194=EDGE_CURVE('',#155917,#155917,#136843,.T.); #193195=EDGE_CURVE('',#155917,#155918,#78212,.T.); #193196=EDGE_CURVE('',#155918,#155918,#136844,.T.); #193197=EDGE_CURVE('',#155919,#155920,#78213,.T.); #193198=EDGE_CURVE('',#155920,#155921,#78214,.T.); #193199=EDGE_CURVE('',#155922,#155921,#78215,.T.); #193200=EDGE_CURVE('',#155919,#155922,#78216,.T.); #193201=EDGE_CURVE('',#155923,#155919,#136845,.T.); #193202=EDGE_CURVE('',#155924,#155922,#136846,.T.); #193203=EDGE_CURVE('',#155923,#155924,#78217,.T.); #193204=EDGE_CURVE('',#155925,#155923,#78218,.T.); #193205=EDGE_CURVE('',#155926,#155924,#78219,.T.); #193206=EDGE_CURVE('',#155925,#155926,#78220,.T.); #193207=EDGE_CURVE('',#155927,#155925,#136847,.T.); #193208=EDGE_CURVE('',#155928,#155926,#136848,.T.); #193209=EDGE_CURVE('',#155927,#155928,#78221,.T.); #193210=EDGE_CURVE('',#155929,#155927,#78222,.T.); #193211=EDGE_CURVE('',#155930,#155928,#78223,.T.); #193212=EDGE_CURVE('',#155929,#155930,#78224,.T.); #193213=EDGE_CURVE('',#155931,#155929,#136849,.T.); #193214=EDGE_CURVE('',#155932,#155930,#136850,.T.); #193215=EDGE_CURVE('',#155931,#155932,#78225,.T.); #193216=EDGE_CURVE('',#155933,#155931,#78226,.T.); #193217=EDGE_CURVE('',#155934,#155932,#78227,.T.); #193218=EDGE_CURVE('',#155933,#155934,#78228,.T.); #193219=EDGE_CURVE('',#155935,#155933,#78229,.T.); #193220=EDGE_CURVE('',#155936,#155934,#78230,.T.); #193221=EDGE_CURVE('',#155935,#155936,#78231,.T.); #193222=EDGE_CURVE('',#155937,#155935,#78232,.T.); #193223=EDGE_CURVE('',#155938,#155936,#78233,.T.); #193224=EDGE_CURVE('',#155937,#155938,#78234,.T.); #193225=EDGE_CURVE('',#155939,#155937,#78235,.T.); #193226=EDGE_CURVE('',#155940,#155938,#78236,.T.); #193227=EDGE_CURVE('',#155939,#155940,#78237,.T.); #193228=EDGE_CURVE('',#155941,#155939,#78238,.T.); #193229=EDGE_CURVE('',#155942,#155940,#78239,.T.); #193230=EDGE_CURVE('',#155941,#155942,#78240,.T.); #193231=EDGE_CURVE('',#155943,#155941,#78241,.T.); #193232=EDGE_CURVE('',#155944,#155942,#78242,.T.); #193233=EDGE_CURVE('',#155943,#155944,#78243,.T.); #193234=EDGE_CURVE('',#155945,#155943,#78244,.T.); #193235=EDGE_CURVE('',#155946,#155944,#78245,.T.); #193236=EDGE_CURVE('',#155945,#155946,#78246,.T.); #193237=EDGE_CURVE('',#155947,#155945,#78247,.T.); #193238=EDGE_CURVE('',#155948,#155946,#78248,.T.); #193239=EDGE_CURVE('',#155947,#155948,#78249,.T.); #193240=EDGE_CURVE('',#155949,#155947,#78250,.T.); #193241=EDGE_CURVE('',#155950,#155948,#78251,.T.); #193242=EDGE_CURVE('',#155949,#155950,#78252,.T.); #193243=EDGE_CURVE('',#155951,#155949,#136851,.T.); #193244=EDGE_CURVE('',#155952,#155950,#136852,.T.); #193245=EDGE_CURVE('',#155951,#155952,#78253,.T.); #193246=EDGE_CURVE('',#155920,#155951,#136853,.T.); #193247=EDGE_CURVE('',#155921,#155952,#136854,.T.); #193248=EDGE_CURVE('',#155953,#155953,#136855,.T.); #193249=EDGE_CURVE('',#155953,#155954,#78254,.T.); #193250=EDGE_CURVE('',#155954,#155954,#136856,.T.); #193251=EDGE_CURVE('',#155955,#155956,#78255,.T.); #193252=EDGE_CURVE('',#155956,#155957,#78256,.T.); #193253=EDGE_CURVE('',#155958,#155957,#78257,.T.); #193254=EDGE_CURVE('',#155955,#155958,#78258,.T.); #193255=EDGE_CURVE('',#155959,#155955,#78259,.T.); #193256=EDGE_CURVE('',#155960,#155958,#78260,.T.); #193257=EDGE_CURVE('',#155959,#155960,#78261,.T.); #193258=EDGE_CURVE('',#155961,#155959,#78262,.T.); #193259=EDGE_CURVE('',#155962,#155960,#78263,.T.); #193260=EDGE_CURVE('',#155961,#155962,#78264,.T.); #193261=EDGE_CURVE('',#155963,#155961,#78265,.T.); #193262=EDGE_CURVE('',#155964,#155962,#78266,.T.); #193263=EDGE_CURVE('',#155963,#155964,#78267,.T.); #193264=EDGE_CURVE('',#155965,#155963,#78268,.T.); #193265=EDGE_CURVE('',#155966,#155964,#78269,.T.); #193266=EDGE_CURVE('',#155965,#155966,#78270,.T.); #193267=EDGE_CURVE('',#155967,#155965,#78271,.T.); #193268=EDGE_CURVE('',#155968,#155966,#78272,.T.); #193269=EDGE_CURVE('',#155967,#155968,#78273,.T.); #193270=EDGE_CURVE('',#155969,#155967,#78274,.T.); #193271=EDGE_CURVE('',#155970,#155968,#78275,.T.); #193272=EDGE_CURVE('',#155969,#155970,#78276,.T.); #193273=EDGE_CURVE('',#155971,#155969,#78277,.T.); #193274=EDGE_CURVE('',#155972,#155970,#78278,.T.); #193275=EDGE_CURVE('',#155971,#155972,#78279,.T.); #193276=EDGE_CURVE('',#155973,#155971,#136857,.T.); #193277=EDGE_CURVE('',#155974,#155972,#136858,.T.); #193278=EDGE_CURVE('',#155973,#155974,#78280,.T.); #193279=EDGE_CURVE('',#155975,#155973,#136859,.T.); #193280=EDGE_CURVE('',#155976,#155974,#136860,.T.); #193281=EDGE_CURVE('',#155975,#155976,#78281,.T.); #193282=EDGE_CURVE('',#155977,#155975,#78282,.T.); #193283=EDGE_CURVE('',#155978,#155976,#78283,.T.); #193284=EDGE_CURVE('',#155977,#155978,#78284,.T.); #193285=EDGE_CURVE('',#155979,#155977,#136861,.T.); #193286=EDGE_CURVE('',#155980,#155978,#136862,.T.); #193287=EDGE_CURVE('',#155979,#155980,#78285,.T.); #193288=EDGE_CURVE('',#155981,#155979,#78286,.T.); #193289=EDGE_CURVE('',#155982,#155980,#78287,.T.); #193290=EDGE_CURVE('',#155981,#155982,#78288,.T.); #193291=EDGE_CURVE('',#155983,#155981,#136863,.T.); #193292=EDGE_CURVE('',#155984,#155982,#136864,.T.); #193293=EDGE_CURVE('',#155983,#155984,#78289,.T.); #193294=EDGE_CURVE('',#155956,#155983,#78290,.T.); #193295=EDGE_CURVE('',#155957,#155984,#78291,.T.); #193296=EDGE_CURVE('',#155985,#155985,#136865,.T.); #193297=EDGE_CURVE('',#155985,#155986,#78292,.T.); #193298=EDGE_CURVE('',#155986,#155986,#136866,.T.); #193299=EDGE_CURVE('',#155987,#155988,#78293,.T.); #193300=EDGE_CURVE('',#155988,#155989,#78294,.T.); #193301=EDGE_CURVE('',#155990,#155989,#78295,.T.); #193302=EDGE_CURVE('',#155987,#155990,#78296,.T.); #193303=EDGE_CURVE('',#155991,#155987,#136867,.T.); #193304=EDGE_CURVE('',#155992,#155990,#136868,.T.); #193305=EDGE_CURVE('',#155991,#155992,#78297,.T.); #193306=EDGE_CURVE('',#155988,#155991,#136869,.T.); #193307=EDGE_CURVE('',#155989,#155992,#136870,.T.); #193308=EDGE_CURVE('',#155993,#155993,#136871,.T.); #193309=EDGE_CURVE('',#155993,#155994,#78298,.T.); #193310=EDGE_CURVE('',#155994,#155994,#136872,.T.); #193311=EDGE_CURVE('',#155995,#155996,#78299,.T.); #193312=EDGE_CURVE('',#155996,#155997,#78300,.T.); #193313=EDGE_CURVE('',#155998,#155997,#78301,.T.); #193314=EDGE_CURVE('',#155995,#155998,#78302,.T.); #193315=EDGE_CURVE('',#155999,#155995,#78303,.T.); #193316=EDGE_CURVE('',#156000,#155998,#78304,.T.); #193317=EDGE_CURVE('',#155999,#156000,#78305,.T.); #193318=EDGE_CURVE('',#156001,#155999,#78306,.T.); #193319=EDGE_CURVE('',#156002,#156000,#78307,.T.); #193320=EDGE_CURVE('',#156001,#156002,#78308,.T.); #193321=EDGE_CURVE('',#156003,#156001,#78309,.T.); #193322=EDGE_CURVE('',#156004,#156002,#78310,.T.); #193323=EDGE_CURVE('',#156003,#156004,#78311,.T.); #193324=EDGE_CURVE('',#156005,#156003,#78312,.T.); #193325=EDGE_CURVE('',#156006,#156004,#78313,.T.); #193326=EDGE_CURVE('',#156005,#156006,#78314,.T.); #193327=EDGE_CURVE('',#156007,#156005,#78315,.T.); #193328=EDGE_CURVE('',#156008,#156006,#78316,.T.); #193329=EDGE_CURVE('',#156007,#156008,#78317,.T.); #193330=EDGE_CURVE('',#156009,#156007,#78318,.T.); #193331=EDGE_CURVE('',#156010,#156008,#78319,.T.); #193332=EDGE_CURVE('',#156009,#156010,#78320,.T.); #193333=EDGE_CURVE('',#156011,#156009,#136873,.T.); #193334=EDGE_CURVE('',#156012,#156010,#136874,.T.); #193335=EDGE_CURVE('',#156011,#156012,#78321,.T.); #193336=EDGE_CURVE('',#156013,#156011,#78322,.T.); #193337=EDGE_CURVE('',#156014,#156012,#78323,.T.); #193338=EDGE_CURVE('',#156013,#156014,#78324,.T.); #193339=EDGE_CURVE('',#156015,#156013,#78325,.T.); #193340=EDGE_CURVE('',#156016,#156014,#78326,.T.); #193341=EDGE_CURVE('',#156015,#156016,#78327,.T.); #193342=EDGE_CURVE('',#156017,#156015,#136875,.T.); #193343=EDGE_CURVE('',#156018,#156016,#136876,.T.); #193344=EDGE_CURVE('',#156017,#156018,#78328,.T.); #193345=EDGE_CURVE('',#156019,#156017,#136877,.T.); #193346=EDGE_CURVE('',#156020,#156018,#136878,.T.); #193347=EDGE_CURVE('',#156019,#156020,#78329,.T.); #193348=EDGE_CURVE('',#156021,#156019,#78330,.T.); #193349=EDGE_CURVE('',#156022,#156020,#78331,.T.); #193350=EDGE_CURVE('',#156021,#156022,#78332,.T.); #193351=EDGE_CURVE('',#156023,#156021,#136879,.T.); #193352=EDGE_CURVE('',#156024,#156022,#136880,.T.); #193353=EDGE_CURVE('',#156023,#156024,#78333,.T.); #193354=EDGE_CURVE('',#156025,#156023,#78334,.T.); #193355=EDGE_CURVE('',#156026,#156024,#78335,.T.); #193356=EDGE_CURVE('',#156025,#156026,#78336,.T.); #193357=EDGE_CURVE('',#156027,#156025,#78337,.T.); #193358=EDGE_CURVE('',#156028,#156026,#78338,.T.); #193359=EDGE_CURVE('',#156027,#156028,#78339,.T.); #193360=EDGE_CURVE('',#156029,#156027,#136881,.T.); #193361=EDGE_CURVE('',#156030,#156028,#136882,.T.); #193362=EDGE_CURVE('',#156029,#156030,#78340,.T.); #193363=EDGE_CURVE('',#156031,#156029,#78341,.T.); #193364=EDGE_CURVE('',#156032,#156030,#78342,.T.); #193365=EDGE_CURVE('',#156031,#156032,#78343,.T.); #193366=EDGE_CURVE('',#156033,#156031,#136883,.T.); #193367=EDGE_CURVE('',#156034,#156032,#136884,.T.); #193368=EDGE_CURVE('',#156033,#156034,#78344,.T.); #193369=EDGE_CURVE('',#156035,#156033,#78345,.T.); #193370=EDGE_CURVE('',#156036,#156034,#78346,.T.); #193371=EDGE_CURVE('',#156035,#156036,#78347,.T.); #193372=EDGE_CURVE('',#155996,#156035,#78348,.T.); #193373=EDGE_CURVE('',#155997,#156036,#78349,.T.); #193374=EDGE_CURVE('',#156037,#156037,#136885,.T.); #193375=EDGE_CURVE('',#156037,#156038,#78350,.T.); #193376=EDGE_CURVE('',#156038,#156038,#136886,.T.); #193377=EDGE_CURVE('',#156039,#156040,#78351,.T.); #193378=EDGE_CURVE('',#156040,#156041,#78352,.T.); #193379=EDGE_CURVE('',#156042,#156041,#78353,.T.); #193380=EDGE_CURVE('',#156039,#156042,#78354,.T.); #193381=EDGE_CURVE('',#156043,#156039,#78355,.T.); #193382=EDGE_CURVE('',#156044,#156042,#78356,.T.); #193383=EDGE_CURVE('',#156043,#156044,#78357,.T.); #193384=EDGE_CURVE('',#156045,#156043,#78358,.T.); #193385=EDGE_CURVE('',#156046,#156044,#78359,.T.); #193386=EDGE_CURVE('',#156045,#156046,#78360,.T.); #193387=EDGE_CURVE('',#156047,#156045,#78361,.T.); #193388=EDGE_CURVE('',#156048,#156046,#78362,.T.); #193389=EDGE_CURVE('',#156047,#156048,#78363,.T.); #193390=EDGE_CURVE('',#156049,#156047,#136887,.T.); #193391=EDGE_CURVE('',#156050,#156048,#136888,.T.); #193392=EDGE_CURVE('',#156049,#156050,#78364,.T.); #193393=EDGE_CURVE('',#156051,#156049,#78365,.T.); #193394=EDGE_CURVE('',#156052,#156050,#78366,.T.); #193395=EDGE_CURVE('',#156051,#156052,#78367,.T.); #193396=EDGE_CURVE('',#156053,#156051,#136889,.T.); #193397=EDGE_CURVE('',#156054,#156052,#136890,.T.); #193398=EDGE_CURVE('',#156053,#156054,#78368,.T.); #193399=EDGE_CURVE('',#156055,#156053,#78369,.T.); #193400=EDGE_CURVE('',#156056,#156054,#78370,.T.); #193401=EDGE_CURVE('',#156055,#156056,#78371,.T.); #193402=EDGE_CURVE('',#156057,#156055,#136891,.T.); #193403=EDGE_CURVE('',#156058,#156056,#136892,.T.); #193404=EDGE_CURVE('',#156057,#156058,#78372,.T.); #193405=EDGE_CURVE('',#156059,#156057,#136893,.T.); #193406=EDGE_CURVE('',#156060,#156058,#136894,.T.); #193407=EDGE_CURVE('',#156059,#156060,#78373,.T.); #193408=EDGE_CURVE('',#156061,#156059,#78374,.T.); #193409=EDGE_CURVE('',#156062,#156060,#78375,.T.); #193410=EDGE_CURVE('',#156061,#156062,#78376,.T.); #193411=EDGE_CURVE('',#156063,#156061,#78377,.T.); #193412=EDGE_CURVE('',#156064,#156062,#78378,.T.); #193413=EDGE_CURVE('',#156063,#156064,#78379,.T.); #193414=EDGE_CURVE('',#156065,#156063,#78380,.T.); #193415=EDGE_CURVE('',#156066,#156064,#78381,.T.); #193416=EDGE_CURVE('',#156065,#156066,#78382,.T.); #193417=EDGE_CURVE('',#156067,#156065,#78383,.T.); #193418=EDGE_CURVE('',#156068,#156066,#78384,.T.); #193419=EDGE_CURVE('',#156067,#156068,#78385,.T.); #193420=EDGE_CURVE('',#156040,#156067,#78386,.T.); #193421=EDGE_CURVE('',#156041,#156068,#78387,.T.); #193422=EDGE_CURVE('',#156069,#156070,#78388,.T.); #193423=EDGE_CURVE('',#156070,#156071,#78389,.T.); #193424=EDGE_CURVE('',#156072,#156071,#78390,.T.); #193425=EDGE_CURVE('',#156069,#156072,#78391,.T.); #193426=EDGE_CURVE('',#156073,#156069,#78392,.T.); #193427=EDGE_CURVE('',#156074,#156072,#78393,.T.); #193428=EDGE_CURVE('',#156073,#156074,#78394,.T.); #193429=EDGE_CURVE('',#156075,#156073,#78395,.T.); #193430=EDGE_CURVE('',#156076,#156074,#78396,.T.); #193431=EDGE_CURVE('',#156075,#156076,#78397,.T.); #193432=EDGE_CURVE('',#156077,#156075,#78398,.T.); #193433=EDGE_CURVE('',#156078,#156076,#78399,.T.); #193434=EDGE_CURVE('',#156077,#156078,#78400,.T.); #193435=EDGE_CURVE('',#156079,#156077,#78401,.T.); #193436=EDGE_CURVE('',#156080,#156078,#78402,.T.); #193437=EDGE_CURVE('',#156079,#156080,#78403,.T.); #193438=EDGE_CURVE('',#156081,#156079,#136895,.T.); #193439=EDGE_CURVE('',#156082,#156080,#136896,.T.); #193440=EDGE_CURVE('',#156081,#156082,#78404,.T.); #193441=EDGE_CURVE('',#156083,#156081,#78405,.T.); #193442=EDGE_CURVE('',#156084,#156082,#78406,.T.); #193443=EDGE_CURVE('',#156083,#156084,#78407,.T.); #193444=EDGE_CURVE('',#156085,#156083,#78408,.T.); #193445=EDGE_CURVE('',#156086,#156084,#78409,.T.); #193446=EDGE_CURVE('',#156085,#156086,#78410,.T.); #193447=EDGE_CURVE('',#156087,#156085,#78411,.T.); #193448=EDGE_CURVE('',#156088,#156086,#78412,.T.); #193449=EDGE_CURVE('',#156087,#156088,#78413,.T.); #193450=EDGE_CURVE('',#156089,#156087,#78414,.T.); #193451=EDGE_CURVE('',#156090,#156088,#78415,.T.); #193452=EDGE_CURVE('',#156089,#156090,#78416,.T.); #193453=EDGE_CURVE('',#156091,#156089,#78417,.T.); #193454=EDGE_CURVE('',#156092,#156090,#78418,.T.); #193455=EDGE_CURVE('',#156091,#156092,#78419,.T.); #193456=EDGE_CURVE('',#156093,#156091,#78420,.T.); #193457=EDGE_CURVE('',#156094,#156092,#78421,.T.); #193458=EDGE_CURVE('',#156093,#156094,#78422,.T.); #193459=EDGE_CURVE('',#156095,#156093,#78423,.T.); #193460=EDGE_CURVE('',#156096,#156094,#78424,.T.); #193461=EDGE_CURVE('',#156095,#156096,#78425,.T.); #193462=EDGE_CURVE('',#156070,#156095,#78426,.T.); #193463=EDGE_CURVE('',#156071,#156096,#78427,.T.); #193464=EDGE_CURVE('',#156097,#156098,#78428,.T.); #193465=EDGE_CURVE('',#156098,#156099,#78429,.T.); #193466=EDGE_CURVE('',#156100,#156099,#78430,.T.); #193467=EDGE_CURVE('',#156097,#156100,#78431,.T.); #193468=EDGE_CURVE('',#156101,#156097,#78432,.T.); #193469=EDGE_CURVE('',#156102,#156100,#78433,.T.); #193470=EDGE_CURVE('',#156101,#156102,#78434,.T.); #193471=EDGE_CURVE('',#156103,#156101,#78435,.T.); #193472=EDGE_CURVE('',#156104,#156102,#78436,.T.); #193473=EDGE_CURVE('',#156103,#156104,#78437,.T.); #193474=EDGE_CURVE('',#156105,#156103,#78438,.T.); #193475=EDGE_CURVE('',#156106,#156104,#78439,.T.); #193476=EDGE_CURVE('',#156105,#156106,#78440,.T.); #193477=EDGE_CURVE('',#156107,#156105,#78441,.T.); #193478=EDGE_CURVE('',#156108,#156106,#78442,.T.); #193479=EDGE_CURVE('',#156107,#156108,#78443,.T.); #193480=EDGE_CURVE('',#156109,#156107,#78444,.T.); #193481=EDGE_CURVE('',#156110,#156108,#78445,.T.); #193482=EDGE_CURVE('',#156109,#156110,#78446,.T.); #193483=EDGE_CURVE('',#156111,#156109,#78447,.T.); #193484=EDGE_CURVE('',#156112,#156110,#78448,.T.); #193485=EDGE_CURVE('',#156111,#156112,#78449,.T.); #193486=EDGE_CURVE('',#156113,#156111,#78450,.T.); #193487=EDGE_CURVE('',#156114,#156112,#78451,.T.); #193488=EDGE_CURVE('',#156113,#156114,#78452,.T.); #193489=EDGE_CURVE('',#156115,#156113,#78453,.T.); #193490=EDGE_CURVE('',#156116,#156114,#78454,.T.); #193491=EDGE_CURVE('',#156115,#156116,#78455,.T.); #193492=EDGE_CURVE('',#156117,#156115,#78456,.T.); #193493=EDGE_CURVE('',#156118,#156116,#78457,.T.); #193494=EDGE_CURVE('',#156117,#156118,#78458,.T.); #193495=EDGE_CURVE('',#156119,#156117,#78459,.T.); #193496=EDGE_CURVE('',#156120,#156118,#78460,.T.); #193497=EDGE_CURVE('',#156119,#156120,#78461,.T.); #193498=EDGE_CURVE('',#156121,#156119,#136897,.T.); #193499=EDGE_CURVE('',#156122,#156120,#136898,.T.); #193500=EDGE_CURVE('',#156121,#156122,#78462,.T.); #193501=EDGE_CURVE('',#156123,#156121,#78463,.T.); #193502=EDGE_CURVE('',#156124,#156122,#78464,.T.); #193503=EDGE_CURVE('',#156123,#156124,#78465,.T.); #193504=EDGE_CURVE('',#156098,#156123,#78466,.T.); #193505=EDGE_CURVE('',#156099,#156124,#78467,.T.); #193506=EDGE_CURVE('',#156125,#156125,#136899,.T.); #193507=EDGE_CURVE('',#156125,#156126,#78468,.T.); #193508=EDGE_CURVE('',#156126,#156126,#136900,.T.); #193509=EDGE_CURVE('',#156127,#156128,#78469,.T.); #193510=EDGE_CURVE('',#156128,#156129,#78470,.T.); #193511=EDGE_CURVE('',#156130,#156129,#78471,.T.); #193512=EDGE_CURVE('',#156127,#156130,#78472,.T.); #193513=EDGE_CURVE('',#156131,#156127,#78473,.T.); #193514=EDGE_CURVE('',#156132,#156130,#78474,.T.); #193515=EDGE_CURVE('',#156131,#156132,#78475,.T.); #193516=EDGE_CURVE('',#156133,#156131,#136901,.T.); #193517=EDGE_CURVE('',#156134,#156132,#136902,.T.); #193518=EDGE_CURVE('',#156133,#156134,#78476,.T.); #193519=EDGE_CURVE('',#156135,#156133,#78477,.T.); #193520=EDGE_CURVE('',#156136,#156134,#78478,.T.); #193521=EDGE_CURVE('',#156135,#156136,#78479,.T.); #193522=EDGE_CURVE('',#156137,#156135,#136903,.T.); #193523=EDGE_CURVE('',#156138,#156136,#136904,.T.); #193524=EDGE_CURVE('',#156137,#156138,#78480,.T.); #193525=EDGE_CURVE('',#156139,#156137,#78481,.T.); #193526=EDGE_CURVE('',#156140,#156138,#78482,.T.); #193527=EDGE_CURVE('',#156139,#156140,#78483,.T.); #193528=EDGE_CURVE('',#156141,#156139,#78484,.T.); #193529=EDGE_CURVE('',#156142,#156140,#78485,.T.); #193530=EDGE_CURVE('',#156141,#156142,#78486,.T.); #193531=EDGE_CURVE('',#156143,#156141,#136905,.T.); #193532=EDGE_CURVE('',#156144,#156142,#136906,.T.); #193533=EDGE_CURVE('',#156143,#156144,#78487,.T.); #193534=EDGE_CURVE('',#156145,#156143,#78488,.T.); #193535=EDGE_CURVE('',#156146,#156144,#78489,.T.); #193536=EDGE_CURVE('',#156145,#156146,#78490,.T.); #193537=EDGE_CURVE('',#156147,#156145,#78491,.T.); #193538=EDGE_CURVE('',#156148,#156146,#78492,.T.); #193539=EDGE_CURVE('',#156147,#156148,#78493,.T.); #193540=EDGE_CURVE('',#156149,#156147,#78494,.T.); #193541=EDGE_CURVE('',#156150,#156148,#78495,.T.); #193542=EDGE_CURVE('',#156149,#156150,#78496,.T.); #193543=EDGE_CURVE('',#156151,#156149,#78497,.T.); #193544=EDGE_CURVE('',#156152,#156150,#78498,.T.); #193545=EDGE_CURVE('',#156151,#156152,#78499,.T.); #193546=EDGE_CURVE('',#156153,#156151,#78500,.T.); #193547=EDGE_CURVE('',#156154,#156152,#78501,.T.); #193548=EDGE_CURVE('',#156153,#156154,#78502,.T.); #193549=EDGE_CURVE('',#156155,#156153,#78503,.T.); #193550=EDGE_CURVE('',#156156,#156154,#78504,.T.); #193551=EDGE_CURVE('',#156155,#156156,#78505,.T.); #193552=EDGE_CURVE('',#156157,#156155,#78506,.T.); #193553=EDGE_CURVE('',#156158,#156156,#78507,.T.); #193554=EDGE_CURVE('',#156157,#156158,#78508,.T.); #193555=EDGE_CURVE('',#156159,#156157,#78509,.T.); #193556=EDGE_CURVE('',#156160,#156158,#78510,.T.); #193557=EDGE_CURVE('',#156159,#156160,#78511,.T.); #193558=EDGE_CURVE('',#156161,#156159,#136907,.T.); #193559=EDGE_CURVE('',#156162,#156160,#136908,.T.); #193560=EDGE_CURVE('',#156161,#156162,#78512,.T.); #193561=EDGE_CURVE('',#156163,#156161,#78513,.T.); #193562=EDGE_CURVE('',#156164,#156162,#78514,.T.); #193563=EDGE_CURVE('',#156163,#156164,#78515,.T.); #193564=EDGE_CURVE('',#156165,#156163,#78516,.T.); #193565=EDGE_CURVE('',#156166,#156164,#78517,.T.); #193566=EDGE_CURVE('',#156165,#156166,#78518,.T.); #193567=EDGE_CURVE('',#156167,#156165,#78519,.T.); #193568=EDGE_CURVE('',#156168,#156166,#78520,.T.); #193569=EDGE_CURVE('',#156167,#156168,#78521,.T.); #193570=EDGE_CURVE('',#156169,#156167,#78522,.T.); #193571=EDGE_CURVE('',#156170,#156168,#78523,.T.); #193572=EDGE_CURVE('',#156169,#156170,#78524,.T.); #193573=EDGE_CURVE('',#156171,#156169,#136909,.T.); #193574=EDGE_CURVE('',#156172,#156170,#136910,.T.); #193575=EDGE_CURVE('',#156171,#156172,#78525,.T.); #193576=EDGE_CURVE('',#156173,#156171,#78526,.T.); #193577=EDGE_CURVE('',#156174,#156172,#78527,.T.); #193578=EDGE_CURVE('',#156173,#156174,#78528,.T.); #193579=EDGE_CURVE('',#156175,#156173,#78529,.T.); #193580=EDGE_CURVE('',#156176,#156174,#78530,.T.); #193581=EDGE_CURVE('',#156175,#156176,#78531,.T.); #193582=EDGE_CURVE('',#156177,#156175,#78532,.T.); #193583=EDGE_CURVE('',#156178,#156176,#78533,.T.); #193584=EDGE_CURVE('',#156177,#156178,#78534,.T.); #193585=EDGE_CURVE('',#156179,#156177,#78535,.T.); #193586=EDGE_CURVE('',#156180,#156178,#78536,.T.); #193587=EDGE_CURVE('',#156179,#156180,#78537,.T.); #193588=EDGE_CURVE('',#156181,#156179,#78538,.T.); #193589=EDGE_CURVE('',#156182,#156180,#78539,.T.); #193590=EDGE_CURVE('',#156181,#156182,#78540,.T.); #193591=EDGE_CURVE('',#156183,#156181,#78541,.T.); #193592=EDGE_CURVE('',#156184,#156182,#78542,.T.); #193593=EDGE_CURVE('',#156183,#156184,#78543,.T.); #193594=EDGE_CURVE('',#156185,#156183,#78544,.T.); #193595=EDGE_CURVE('',#156186,#156184,#78545,.T.); #193596=EDGE_CURVE('',#156185,#156186,#78546,.T.); #193597=EDGE_CURVE('',#156187,#156185,#78547,.T.); #193598=EDGE_CURVE('',#156188,#156186,#78548,.T.); #193599=EDGE_CURVE('',#156187,#156188,#78549,.T.); #193600=EDGE_CURVE('',#156189,#156187,#78550,.T.); #193601=EDGE_CURVE('',#156190,#156188,#78551,.T.); #193602=EDGE_CURVE('',#156189,#156190,#78552,.T.); #193603=EDGE_CURVE('',#156191,#156189,#78553,.T.); #193604=EDGE_CURVE('',#156192,#156190,#78554,.T.); #193605=EDGE_CURVE('',#156191,#156192,#78555,.T.); #193606=EDGE_CURVE('',#156193,#156191,#78556,.T.); #193607=EDGE_CURVE('',#156194,#156192,#78557,.T.); #193608=EDGE_CURVE('',#156193,#156194,#78558,.T.); #193609=EDGE_CURVE('',#156195,#156193,#78559,.T.); #193610=EDGE_CURVE('',#156196,#156194,#78560,.T.); #193611=EDGE_CURVE('',#156195,#156196,#78561,.T.); #193612=EDGE_CURVE('',#156197,#156195,#78562,.T.); #193613=EDGE_CURVE('',#156198,#156196,#78563,.T.); #193614=EDGE_CURVE('',#156197,#156198,#78564,.T.); #193615=EDGE_CURVE('',#156199,#156197,#78565,.T.); #193616=EDGE_CURVE('',#156200,#156198,#78566,.T.); #193617=EDGE_CURVE('',#156199,#156200,#78567,.T.); #193618=EDGE_CURVE('',#156201,#156199,#78568,.T.); #193619=EDGE_CURVE('',#156202,#156200,#78569,.T.); #193620=EDGE_CURVE('',#156201,#156202,#78570,.T.); #193621=EDGE_CURVE('',#156203,#156201,#78571,.T.); #193622=EDGE_CURVE('',#156204,#156202,#78572,.T.); #193623=EDGE_CURVE('',#156203,#156204,#78573,.T.); #193624=EDGE_CURVE('',#156128,#156203,#136911,.T.); #193625=EDGE_CURVE('',#156129,#156204,#136912,.T.); #193626=EDGE_CURVE('',#156205,#156205,#136913,.T.); #193627=EDGE_CURVE('',#156205,#156206,#78574,.T.); #193628=EDGE_CURVE('',#156206,#156206,#136914,.T.); #193629=EDGE_CURVE('',#156207,#156207,#136915,.T.); #193630=EDGE_CURVE('',#156207,#156208,#78575,.T.); #193631=EDGE_CURVE('',#156208,#156208,#136916,.T.); #193632=EDGE_CURVE('',#156209,#156210,#78576,.T.); #193633=EDGE_CURVE('',#156210,#156211,#78577,.T.); #193634=EDGE_CURVE('',#156212,#156211,#78578,.T.); #193635=EDGE_CURVE('',#156209,#156212,#78579,.T.); #193636=EDGE_CURVE('',#156213,#156209,#136917,.T.); #193637=EDGE_CURVE('',#156214,#156212,#136918,.T.); #193638=EDGE_CURVE('',#156213,#156214,#78580,.T.); #193639=EDGE_CURVE('',#156215,#156213,#78581,.T.); #193640=EDGE_CURVE('',#156216,#156214,#78582,.T.); #193641=EDGE_CURVE('',#156215,#156216,#78583,.T.); #193642=EDGE_CURVE('',#156217,#156215,#136919,.T.); #193643=EDGE_CURVE('',#156218,#156216,#136920,.T.); #193644=EDGE_CURVE('',#156217,#156218,#78584,.T.); #193645=EDGE_CURVE('',#156219,#156217,#136921,.T.); #193646=EDGE_CURVE('',#156220,#156218,#136922,.T.); #193647=EDGE_CURVE('',#156219,#156220,#78585,.T.); #193648=EDGE_CURVE('',#156221,#156219,#78586,.T.); #193649=EDGE_CURVE('',#156222,#156220,#78587,.T.); #193650=EDGE_CURVE('',#156221,#156222,#78588,.T.); #193651=EDGE_CURVE('',#156210,#156221,#136923,.T.); #193652=EDGE_CURVE('',#156211,#156222,#136924,.T.); #193653=EDGE_CURVE('',#156223,#156223,#136925,.T.); #193654=EDGE_CURVE('',#156223,#156224,#78589,.T.); #193655=EDGE_CURVE('',#156224,#156224,#136926,.T.); #193656=EDGE_CURVE('',#156225,#156226,#78590,.T.); #193657=EDGE_CURVE('',#156226,#156227,#78591,.T.); #193658=EDGE_CURVE('',#156228,#156227,#78592,.T.); #193659=EDGE_CURVE('',#156225,#156228,#78593,.T.); #193660=EDGE_CURVE('',#156229,#156225,#78594,.T.); #193661=EDGE_CURVE('',#156230,#156228,#78595,.T.); #193662=EDGE_CURVE('',#156229,#156230,#78596,.T.); #193663=EDGE_CURVE('',#156231,#156229,#78597,.T.); #193664=EDGE_CURVE('',#156232,#156230,#78598,.T.); #193665=EDGE_CURVE('',#156231,#156232,#78599,.T.); #193666=EDGE_CURVE('',#156226,#156231,#78600,.T.); #193667=EDGE_CURVE('',#156227,#156232,#78601,.T.); #193668=EDGE_CURVE('',#156233,#156233,#136927,.T.); #193669=EDGE_CURVE('',#156233,#156234,#78602,.T.); #193670=EDGE_CURVE('',#156234,#156234,#136928,.T.); #193671=EDGE_CURVE('',#156235,#156235,#136929,.T.); #193672=EDGE_CURVE('',#156235,#156236,#78603,.T.); #193673=EDGE_CURVE('',#156236,#156236,#136930,.T.); #193674=EDGE_CURVE('',#156237,#156238,#78604,.T.); #193675=EDGE_CURVE('',#156238,#156239,#78605,.T.); #193676=EDGE_CURVE('',#156240,#156239,#78606,.T.); #193677=EDGE_CURVE('',#156237,#156240,#78607,.T.); #193678=EDGE_CURVE('',#156241,#156237,#136931,.T.); #193679=EDGE_CURVE('',#156242,#156240,#136932,.T.); #193680=EDGE_CURVE('',#156241,#156242,#78608,.T.); #193681=EDGE_CURVE('',#156243,#156241,#78609,.T.); #193682=EDGE_CURVE('',#156244,#156242,#78610,.T.); #193683=EDGE_CURVE('',#156243,#156244,#78611,.T.); #193684=EDGE_CURVE('',#156245,#156243,#136933,.T.); #193685=EDGE_CURVE('',#156246,#156244,#136934,.T.); #193686=EDGE_CURVE('',#156245,#156246,#78612,.T.); #193687=EDGE_CURVE('',#156247,#156245,#78613,.T.); #193688=EDGE_CURVE('',#156248,#156246,#78614,.T.); #193689=EDGE_CURVE('',#156247,#156248,#78615,.T.); #193690=EDGE_CURVE('',#156249,#156247,#136935,.T.); #193691=EDGE_CURVE('',#156250,#156248,#136936,.T.); #193692=EDGE_CURVE('',#156249,#156250,#78616,.T.); #193693=EDGE_CURVE('',#156251,#156249,#78617,.T.); #193694=EDGE_CURVE('',#156252,#156250,#78618,.T.); #193695=EDGE_CURVE('',#156251,#156252,#78619,.T.); #193696=EDGE_CURVE('',#156253,#156251,#136937,.T.); #193697=EDGE_CURVE('',#156254,#156252,#136938,.T.); #193698=EDGE_CURVE('',#156253,#156254,#78620,.T.); #193699=EDGE_CURVE('',#156255,#156253,#78621,.T.); #193700=EDGE_CURVE('',#156256,#156254,#78622,.T.); #193701=EDGE_CURVE('',#156255,#156256,#78623,.T.); #193702=EDGE_CURVE('',#156257,#156255,#136939,.T.); #193703=EDGE_CURVE('',#156258,#156256,#136940,.T.); #193704=EDGE_CURVE('',#156257,#156258,#78624,.T.); #193705=EDGE_CURVE('',#156259,#156257,#78625,.T.); #193706=EDGE_CURVE('',#156260,#156258,#78626,.T.); #193707=EDGE_CURVE('',#156259,#156260,#78627,.T.); #193708=EDGE_CURVE('',#156261,#156259,#136941,.T.); #193709=EDGE_CURVE('',#156262,#156260,#136942,.T.); #193710=EDGE_CURVE('',#156261,#156262,#78628,.T.); #193711=EDGE_CURVE('',#156263,#156261,#78629,.T.); #193712=EDGE_CURVE('',#156264,#156262,#78630,.T.); #193713=EDGE_CURVE('',#156263,#156264,#78631,.T.); #193714=EDGE_CURVE('',#156265,#156263,#136943,.T.); #193715=EDGE_CURVE('',#156266,#156264,#136944,.T.); #193716=EDGE_CURVE('',#156265,#156266,#78632,.T.); #193717=EDGE_CURVE('',#156267,#156265,#136945,.T.); #193718=EDGE_CURVE('',#156268,#156266,#136946,.T.); #193719=EDGE_CURVE('',#156267,#156268,#78633,.T.); #193720=EDGE_CURVE('',#156269,#156267,#78634,.T.); #193721=EDGE_CURVE('',#156270,#156268,#78635,.T.); #193722=EDGE_CURVE('',#156269,#156270,#78636,.T.); #193723=EDGE_CURVE('',#156271,#156269,#78637,.T.); #193724=EDGE_CURVE('',#156272,#156270,#78638,.T.); #193725=EDGE_CURVE('',#156271,#156272,#78639,.T.); #193726=EDGE_CURVE('',#156273,#156271,#78640,.T.); #193727=EDGE_CURVE('',#156274,#156272,#78641,.T.); #193728=EDGE_CURVE('',#156273,#156274,#78642,.T.); #193729=EDGE_CURVE('',#156275,#156273,#78643,.T.); #193730=EDGE_CURVE('',#156276,#156274,#78644,.T.); #193731=EDGE_CURVE('',#156275,#156276,#78645,.T.); #193732=EDGE_CURVE('',#156277,#156275,#78646,.T.); #193733=EDGE_CURVE('',#156278,#156276,#78647,.T.); #193734=EDGE_CURVE('',#156277,#156278,#78648,.T.); #193735=EDGE_CURVE('',#156279,#156277,#78649,.T.); #193736=EDGE_CURVE('',#156280,#156278,#78650,.T.); #193737=EDGE_CURVE('',#156279,#156280,#78651,.T.); #193738=EDGE_CURVE('',#156281,#156279,#136947,.T.); #193739=EDGE_CURVE('',#156282,#156280,#136948,.T.); #193740=EDGE_CURVE('',#156281,#156282,#78652,.T.); #193741=EDGE_CURVE('',#156238,#156281,#136949,.T.); #193742=EDGE_CURVE('',#156239,#156282,#136950,.T.); #193743=EDGE_CURVE('',#156283,#156283,#136951,.T.); #193744=EDGE_CURVE('',#156283,#156284,#78653,.T.); #193745=EDGE_CURVE('',#156284,#156284,#136952,.T.); #193746=EDGE_CURVE('',#156285,#156285,#136953,.T.); #193747=EDGE_CURVE('',#156285,#156286,#78654,.T.); #193748=EDGE_CURVE('',#156286,#156286,#136954,.T.); #193749=EDGE_CURVE('',#156287,#156288,#78655,.T.); #193750=EDGE_CURVE('',#156288,#156289,#78656,.T.); #193751=EDGE_CURVE('',#156290,#156289,#78657,.T.); #193752=EDGE_CURVE('',#156287,#156290,#78658,.T.); #193753=EDGE_CURVE('',#156291,#156287,#136955,.T.); #193754=EDGE_CURVE('',#156292,#156290,#136956,.T.); #193755=EDGE_CURVE('',#156291,#156292,#78659,.T.); #193756=EDGE_CURVE('',#156293,#156291,#78660,.T.); #193757=EDGE_CURVE('',#156294,#156292,#78661,.T.); #193758=EDGE_CURVE('',#156293,#156294,#78662,.T.); #193759=EDGE_CURVE('',#156295,#156293,#136957,.T.); #193760=EDGE_CURVE('',#156296,#156294,#136958,.T.); #193761=EDGE_CURVE('',#156295,#156296,#78663,.T.); #193762=EDGE_CURVE('',#156297,#156295,#136959,.T.); #193763=EDGE_CURVE('',#156298,#156296,#136960,.T.); #193764=EDGE_CURVE('',#156297,#156298,#78664,.T.); #193765=EDGE_CURVE('',#156299,#156297,#78665,.T.); #193766=EDGE_CURVE('',#156300,#156298,#78666,.T.); #193767=EDGE_CURVE('',#156299,#156300,#78667,.T.); #193768=EDGE_CURVE('',#156301,#156299,#78668,.T.); #193769=EDGE_CURVE('',#156302,#156300,#78669,.T.); #193770=EDGE_CURVE('',#156301,#156302,#78670,.T.); #193771=EDGE_CURVE('',#156288,#156301,#136961,.T.); #193772=EDGE_CURVE('',#156289,#156302,#136962,.T.); #193773=EDGE_CURVE('',#156303,#156303,#136963,.T.); #193774=EDGE_CURVE('',#156303,#156304,#78671,.T.); #193775=EDGE_CURVE('',#156304,#156304,#136964,.T.); #193776=EDGE_CURVE('',#156305,#156306,#78672,.T.); #193777=EDGE_CURVE('',#156306,#156307,#78673,.T.); #193778=EDGE_CURVE('',#156308,#156307,#78674,.T.); #193779=EDGE_CURVE('',#156305,#156308,#78675,.T.); #193780=EDGE_CURVE('',#156309,#156305,#78676,.T.); #193781=EDGE_CURVE('',#156310,#156308,#78677,.T.); #193782=EDGE_CURVE('',#156309,#156310,#78678,.T.); #193783=EDGE_CURVE('',#156311,#156309,#78679,.T.); #193784=EDGE_CURVE('',#156312,#156310,#78680,.T.); #193785=EDGE_CURVE('',#156311,#156312,#78681,.T.); #193786=EDGE_CURVE('',#156306,#156311,#78682,.T.); #193787=EDGE_CURVE('',#156307,#156312,#78683,.T.); #193788=EDGE_CURVE('',#156313,#156313,#136965,.T.); #193789=EDGE_CURVE('',#156313,#156314,#78684,.T.); #193790=EDGE_CURVE('',#156314,#156314,#136966,.T.); #193791=EDGE_CURVE('',#156315,#156315,#136967,.T.); #193792=EDGE_CURVE('',#156315,#156316,#78685,.T.); #193793=EDGE_CURVE('',#156316,#156316,#136968,.T.); #193794=EDGE_CURVE('',#156317,#156317,#136969,.T.); #193795=EDGE_CURVE('',#156317,#156318,#78686,.T.); #193796=EDGE_CURVE('',#156318,#156318,#136970,.T.); #193797=EDGE_CURVE('',#156319,#156319,#136971,.T.); #193798=EDGE_CURVE('',#156319,#156320,#78687,.T.); #193799=EDGE_CURVE('',#156320,#156320,#136972,.T.); #193800=EDGE_CURVE('',#156321,#156322,#78688,.T.); #193801=EDGE_CURVE('',#156322,#156323,#78689,.T.); #193802=EDGE_CURVE('',#156324,#156323,#78690,.T.); #193803=EDGE_CURVE('',#156321,#156324,#78691,.T.); #193804=EDGE_CURVE('',#156325,#156321,#78692,.T.); #193805=EDGE_CURVE('',#156326,#156324,#78693,.T.); #193806=EDGE_CURVE('',#156325,#156326,#78694,.T.); #193807=EDGE_CURVE('',#156327,#156325,#78695,.T.); #193808=EDGE_CURVE('',#156328,#156326,#78696,.T.); #193809=EDGE_CURVE('',#156327,#156328,#78697,.T.); #193810=EDGE_CURVE('',#156329,#156327,#78698,.T.); #193811=EDGE_CURVE('',#156330,#156328,#78699,.T.); #193812=EDGE_CURVE('',#156329,#156330,#78700,.T.); #193813=EDGE_CURVE('',#156331,#156329,#78701,.T.); #193814=EDGE_CURVE('',#156332,#156330,#78702,.T.); #193815=EDGE_CURVE('',#156331,#156332,#78703,.T.); #193816=EDGE_CURVE('',#156333,#156331,#78704,.T.); #193817=EDGE_CURVE('',#156334,#156332,#78705,.T.); #193818=EDGE_CURVE('',#156333,#156334,#78706,.T.); #193819=EDGE_CURVE('',#156335,#156333,#78707,.T.); #193820=EDGE_CURVE('',#156336,#156334,#78708,.T.); #193821=EDGE_CURVE('',#156335,#156336,#78709,.T.); #193822=EDGE_CURVE('',#156337,#156335,#78710,.T.); #193823=EDGE_CURVE('',#156338,#156336,#78711,.T.); #193824=EDGE_CURVE('',#156337,#156338,#78712,.T.); #193825=EDGE_CURVE('',#156339,#156337,#78713,.T.); #193826=EDGE_CURVE('',#156340,#156338,#78714,.T.); #193827=EDGE_CURVE('',#156339,#156340,#78715,.T.); #193828=EDGE_CURVE('',#156341,#156339,#78716,.T.); #193829=EDGE_CURVE('',#156342,#156340,#78717,.T.); #193830=EDGE_CURVE('',#156341,#156342,#78718,.T.); #193831=EDGE_CURVE('',#156343,#156341,#78719,.T.); #193832=EDGE_CURVE('',#156344,#156342,#78720,.T.); #193833=EDGE_CURVE('',#156343,#156344,#78721,.T.); #193834=EDGE_CURVE('',#156345,#156343,#78722,.T.); #193835=EDGE_CURVE('',#156346,#156344,#78723,.T.); #193836=EDGE_CURVE('',#156345,#156346,#78724,.T.); #193837=EDGE_CURVE('',#156347,#156345,#78725,.T.); #193838=EDGE_CURVE('',#156348,#156346,#78726,.T.); #193839=EDGE_CURVE('',#156347,#156348,#78727,.T.); #193840=EDGE_CURVE('',#156349,#156347,#78728,.T.); #193841=EDGE_CURVE('',#156350,#156348,#78729,.T.); #193842=EDGE_CURVE('',#156349,#156350,#78730,.T.); #193843=EDGE_CURVE('',#156351,#156349,#78731,.T.); #193844=EDGE_CURVE('',#156352,#156350,#78732,.T.); #193845=EDGE_CURVE('',#156351,#156352,#78733,.T.); #193846=EDGE_CURVE('',#156322,#156351,#78734,.T.); #193847=EDGE_CURVE('',#156323,#156352,#78735,.T.); #193848=EDGE_CURVE('',#156353,#156354,#78736,.T.); #193849=EDGE_CURVE('',#156354,#156355,#78737,.T.); #193850=EDGE_CURVE('',#156356,#156355,#78738,.T.); #193851=EDGE_CURVE('',#156353,#156356,#78739,.T.); #193852=EDGE_CURVE('',#156357,#156353,#78740,.T.); #193853=EDGE_CURVE('',#156358,#156356,#78741,.T.); #193854=EDGE_CURVE('',#156357,#156358,#78742,.T.); #193855=EDGE_CURVE('',#156359,#156357,#78743,.T.); #193856=EDGE_CURVE('',#156360,#156358,#78744,.T.); #193857=EDGE_CURVE('',#156359,#156360,#78745,.T.); #193858=EDGE_CURVE('',#156361,#156359,#78746,.T.); #193859=EDGE_CURVE('',#156362,#156360,#78747,.T.); #193860=EDGE_CURVE('',#156361,#156362,#78748,.T.); #193861=EDGE_CURVE('',#156363,#156361,#78749,.T.); #193862=EDGE_CURVE('',#156364,#156362,#78750,.T.); #193863=EDGE_CURVE('',#156363,#156364,#78751,.T.); #193864=EDGE_CURVE('',#156365,#156363,#78752,.T.); #193865=EDGE_CURVE('',#156366,#156364,#78753,.T.); #193866=EDGE_CURVE('',#156365,#156366,#78754,.T.); #193867=EDGE_CURVE('',#156367,#156365,#78755,.T.); #193868=EDGE_CURVE('',#156368,#156366,#78756,.T.); #193869=EDGE_CURVE('',#156367,#156368,#78757,.T.); #193870=EDGE_CURVE('',#156369,#156367,#78758,.T.); #193871=EDGE_CURVE('',#156370,#156368,#78759,.T.); #193872=EDGE_CURVE('',#156369,#156370,#78760,.T.); #193873=EDGE_CURVE('',#156371,#156369,#78761,.T.); #193874=EDGE_CURVE('',#156372,#156370,#78762,.T.); #193875=EDGE_CURVE('',#156371,#156372,#78763,.T.); #193876=EDGE_CURVE('',#156373,#156371,#78764,.T.); #193877=EDGE_CURVE('',#156374,#156372,#78765,.T.); #193878=EDGE_CURVE('',#156373,#156374,#78766,.T.); #193879=EDGE_CURVE('',#156375,#156373,#78767,.T.); #193880=EDGE_CURVE('',#156376,#156374,#78768,.T.); #193881=EDGE_CURVE('',#156375,#156376,#78769,.T.); #193882=EDGE_CURVE('',#156377,#156375,#78770,.T.); #193883=EDGE_CURVE('',#156378,#156376,#78771,.T.); #193884=EDGE_CURVE('',#156377,#156378,#78772,.T.); #193885=EDGE_CURVE('',#156379,#156377,#78773,.T.); #193886=EDGE_CURVE('',#156380,#156378,#78774,.T.); #193887=EDGE_CURVE('',#156379,#156380,#78775,.T.); #193888=EDGE_CURVE('',#156381,#156379,#78776,.T.); #193889=EDGE_CURVE('',#156382,#156380,#78777,.T.); #193890=EDGE_CURVE('',#156381,#156382,#78778,.T.); #193891=EDGE_CURVE('',#156383,#156381,#78779,.T.); #193892=EDGE_CURVE('',#156384,#156382,#78780,.T.); #193893=EDGE_CURVE('',#156383,#156384,#78781,.T.); #193894=EDGE_CURVE('',#156385,#156383,#78782,.T.); #193895=EDGE_CURVE('',#156386,#156384,#78783,.T.); #193896=EDGE_CURVE('',#156385,#156386,#78784,.T.); #193897=EDGE_CURVE('',#156387,#156385,#78785,.T.); #193898=EDGE_CURVE('',#156388,#156386,#78786,.T.); #193899=EDGE_CURVE('',#156387,#156388,#78787,.T.); #193900=EDGE_CURVE('',#156389,#156387,#78788,.T.); #193901=EDGE_CURVE('',#156390,#156388,#78789,.T.); #193902=EDGE_CURVE('',#156389,#156390,#78790,.T.); #193903=EDGE_CURVE('',#156391,#156389,#78791,.T.); #193904=EDGE_CURVE('',#156392,#156390,#78792,.T.); #193905=EDGE_CURVE('',#156391,#156392,#78793,.T.); #193906=EDGE_CURVE('',#156393,#156391,#78794,.T.); #193907=EDGE_CURVE('',#156394,#156392,#78795,.T.); #193908=EDGE_CURVE('',#156393,#156394,#78796,.T.); #193909=EDGE_CURVE('',#156395,#156393,#78797,.T.); #193910=EDGE_CURVE('',#156396,#156394,#78798,.T.); #193911=EDGE_CURVE('',#156395,#156396,#78799,.T.); #193912=EDGE_CURVE('',#156397,#156395,#78800,.T.); #193913=EDGE_CURVE('',#156398,#156396,#78801,.T.); #193914=EDGE_CURVE('',#156397,#156398,#78802,.T.); #193915=EDGE_CURVE('',#156399,#156397,#78803,.T.); #193916=EDGE_CURVE('',#156400,#156398,#78804,.T.); #193917=EDGE_CURVE('',#156399,#156400,#78805,.T.); #193918=EDGE_CURVE('',#156401,#156399,#78806,.T.); #193919=EDGE_CURVE('',#156402,#156400,#78807,.T.); #193920=EDGE_CURVE('',#156401,#156402,#78808,.T.); #193921=EDGE_CURVE('',#156403,#156401,#78809,.T.); #193922=EDGE_CURVE('',#156404,#156402,#78810,.T.); #193923=EDGE_CURVE('',#156403,#156404,#78811,.T.); #193924=EDGE_CURVE('',#156405,#156403,#78812,.T.); #193925=EDGE_CURVE('',#156406,#156404,#78813,.T.); #193926=EDGE_CURVE('',#156405,#156406,#78814,.T.); #193927=EDGE_CURVE('',#156407,#156405,#78815,.T.); #193928=EDGE_CURVE('',#156408,#156406,#78816,.T.); #193929=EDGE_CURVE('',#156407,#156408,#78817,.T.); #193930=EDGE_CURVE('',#156409,#156407,#78818,.T.); #193931=EDGE_CURVE('',#156410,#156408,#78819,.T.); #193932=EDGE_CURVE('',#156409,#156410,#78820,.T.); #193933=EDGE_CURVE('',#156411,#156409,#78821,.T.); #193934=EDGE_CURVE('',#156412,#156410,#78822,.T.); #193935=EDGE_CURVE('',#156411,#156412,#78823,.T.); #193936=EDGE_CURVE('',#156413,#156411,#78824,.T.); #193937=EDGE_CURVE('',#156414,#156412,#78825,.T.); #193938=EDGE_CURVE('',#156413,#156414,#78826,.T.); #193939=EDGE_CURVE('',#156415,#156413,#78827,.T.); #193940=EDGE_CURVE('',#156416,#156414,#78828,.T.); #193941=EDGE_CURVE('',#156415,#156416,#78829,.T.); #193942=EDGE_CURVE('',#156417,#156415,#78830,.T.); #193943=EDGE_CURVE('',#156418,#156416,#78831,.T.); #193944=EDGE_CURVE('',#156417,#156418,#78832,.T.); #193945=EDGE_CURVE('',#156419,#156417,#78833,.T.); #193946=EDGE_CURVE('',#156420,#156418,#78834,.T.); #193947=EDGE_CURVE('',#156419,#156420,#78835,.T.); #193948=EDGE_CURVE('',#156421,#156419,#78836,.T.); #193949=EDGE_CURVE('',#156422,#156420,#78837,.T.); #193950=EDGE_CURVE('',#156421,#156422,#78838,.T.); #193951=EDGE_CURVE('',#156423,#156421,#78839,.T.); #193952=EDGE_CURVE('',#156424,#156422,#78840,.T.); #193953=EDGE_CURVE('',#156423,#156424,#78841,.T.); #193954=EDGE_CURVE('',#156425,#156423,#78842,.T.); #193955=EDGE_CURVE('',#156426,#156424,#78843,.T.); #193956=EDGE_CURVE('',#156425,#156426,#78844,.T.); #193957=EDGE_CURVE('',#156427,#156425,#78845,.T.); #193958=EDGE_CURVE('',#156428,#156426,#78846,.T.); #193959=EDGE_CURVE('',#156427,#156428,#78847,.T.); #193960=EDGE_CURVE('',#156429,#156427,#78848,.T.); #193961=EDGE_CURVE('',#156430,#156428,#78849,.T.); #193962=EDGE_CURVE('',#156429,#156430,#78850,.T.); #193963=EDGE_CURVE('',#156431,#156429,#78851,.T.); #193964=EDGE_CURVE('',#156432,#156430,#78852,.T.); #193965=EDGE_CURVE('',#156431,#156432,#78853,.T.); #193966=EDGE_CURVE('',#156433,#156431,#78854,.T.); #193967=EDGE_CURVE('',#156434,#156432,#78855,.T.); #193968=EDGE_CURVE('',#156433,#156434,#78856,.T.); #193969=EDGE_CURVE('',#156435,#156433,#78857,.T.); #193970=EDGE_CURVE('',#156436,#156434,#78858,.T.); #193971=EDGE_CURVE('',#156435,#156436,#78859,.T.); #193972=EDGE_CURVE('',#156437,#156435,#78860,.T.); #193973=EDGE_CURVE('',#156438,#156436,#78861,.T.); #193974=EDGE_CURVE('',#156437,#156438,#78862,.T.); #193975=EDGE_CURVE('',#156439,#156437,#78863,.T.); #193976=EDGE_CURVE('',#156440,#156438,#78864,.T.); #193977=EDGE_CURVE('',#156439,#156440,#78865,.T.); #193978=EDGE_CURVE('',#156441,#156439,#78866,.T.); #193979=EDGE_CURVE('',#156442,#156440,#78867,.T.); #193980=EDGE_CURVE('',#156441,#156442,#78868,.T.); #193981=EDGE_CURVE('',#156443,#156441,#78869,.T.); #193982=EDGE_CURVE('',#156444,#156442,#78870,.T.); #193983=EDGE_CURVE('',#156443,#156444,#78871,.T.); #193984=EDGE_CURVE('',#156445,#156443,#78872,.T.); #193985=EDGE_CURVE('',#156446,#156444,#78873,.T.); #193986=EDGE_CURVE('',#156445,#156446,#78874,.T.); #193987=EDGE_CURVE('',#156447,#156445,#78875,.T.); #193988=EDGE_CURVE('',#156448,#156446,#78876,.T.); #193989=EDGE_CURVE('',#156447,#156448,#78877,.T.); #193990=EDGE_CURVE('',#156449,#156447,#78878,.T.); #193991=EDGE_CURVE('',#156450,#156448,#78879,.T.); #193992=EDGE_CURVE('',#156449,#156450,#78880,.T.); #193993=EDGE_CURVE('',#156451,#156449,#78881,.T.); #193994=EDGE_CURVE('',#156452,#156450,#78882,.T.); #193995=EDGE_CURVE('',#156451,#156452,#78883,.T.); #193996=EDGE_CURVE('',#156453,#156451,#78884,.T.); #193997=EDGE_CURVE('',#156454,#156452,#78885,.T.); #193998=EDGE_CURVE('',#156453,#156454,#78886,.T.); #193999=EDGE_CURVE('',#156455,#156453,#78887,.T.); #194000=EDGE_CURVE('',#156456,#156454,#78888,.T.); #194001=EDGE_CURVE('',#156455,#156456,#78889,.T.); #194002=EDGE_CURVE('',#156457,#156455,#78890,.T.); #194003=EDGE_CURVE('',#156458,#156456,#78891,.T.); #194004=EDGE_CURVE('',#156457,#156458,#78892,.T.); #194005=EDGE_CURVE('',#156459,#156457,#78893,.T.); #194006=EDGE_CURVE('',#156460,#156458,#78894,.T.); #194007=EDGE_CURVE('',#156459,#156460,#78895,.T.); #194008=EDGE_CURVE('',#156461,#156459,#78896,.T.); #194009=EDGE_CURVE('',#156462,#156460,#78897,.T.); #194010=EDGE_CURVE('',#156461,#156462,#78898,.T.); #194011=EDGE_CURVE('',#156463,#156461,#136973,.T.); #194012=EDGE_CURVE('',#156464,#156462,#136974,.T.); #194013=EDGE_CURVE('',#156463,#156464,#78899,.T.); #194014=EDGE_CURVE('',#156465,#156463,#78900,.T.); #194015=EDGE_CURVE('',#156466,#156464,#78901,.T.); #194016=EDGE_CURVE('',#156465,#156466,#78902,.T.); #194017=EDGE_CURVE('',#156467,#156465,#78903,.T.); #194018=EDGE_CURVE('',#156468,#156466,#78904,.T.); #194019=EDGE_CURVE('',#156467,#156468,#78905,.T.); #194020=EDGE_CURVE('',#156469,#156467,#78906,.T.); #194021=EDGE_CURVE('',#156470,#156468,#78907,.T.); #194022=EDGE_CURVE('',#156469,#156470,#78908,.T.); #194023=EDGE_CURVE('',#156471,#156469,#136975,.T.); #194024=EDGE_CURVE('',#156472,#156470,#136976,.T.); #194025=EDGE_CURVE('',#156471,#156472,#78909,.T.); #194026=EDGE_CURVE('',#156473,#156471,#136977,.T.); #194027=EDGE_CURVE('',#156474,#156472,#136978,.T.); #194028=EDGE_CURVE('',#156473,#156474,#78910,.T.); #194029=EDGE_CURVE('',#156475,#156473,#78911,.T.); #194030=EDGE_CURVE('',#156476,#156474,#78912,.T.); #194031=EDGE_CURVE('',#156475,#156476,#78913,.T.); #194032=EDGE_CURVE('',#156477,#156475,#136979,.T.); #194033=EDGE_CURVE('',#156478,#156476,#136980,.T.); #194034=EDGE_CURVE('',#156477,#156478,#78914,.T.); #194035=EDGE_CURVE('',#156479,#156477,#78915,.T.); #194036=EDGE_CURVE('',#156480,#156478,#78916,.T.); #194037=EDGE_CURVE('',#156479,#156480,#78917,.T.); #194038=EDGE_CURVE('',#156481,#156479,#136981,.T.); #194039=EDGE_CURVE('',#156482,#156480,#136982,.T.); #194040=EDGE_CURVE('',#156481,#156482,#78918,.T.); #194041=EDGE_CURVE('',#156483,#156481,#78919,.T.); #194042=EDGE_CURVE('',#156484,#156482,#78920,.T.); #194043=EDGE_CURVE('',#156483,#156484,#78921,.T.); #194044=EDGE_CURVE('',#156485,#156483,#136983,.T.); #194045=EDGE_CURVE('',#156486,#156484,#136984,.T.); #194046=EDGE_CURVE('',#156485,#156486,#78922,.T.); #194047=EDGE_CURVE('',#156487,#156485,#78923,.T.); #194048=EDGE_CURVE('',#156488,#156486,#78924,.T.); #194049=EDGE_CURVE('',#156487,#156488,#78925,.T.); #194050=EDGE_CURVE('',#156489,#156487,#78926,.T.); #194051=EDGE_CURVE('',#156490,#156488,#78927,.T.); #194052=EDGE_CURVE('',#156489,#156490,#78928,.T.); #194053=EDGE_CURVE('',#156491,#156489,#78929,.T.); #194054=EDGE_CURVE('',#156492,#156490,#78930,.T.); #194055=EDGE_CURVE('',#156491,#156492,#78931,.T.); #194056=EDGE_CURVE('',#156493,#156491,#78932,.T.); #194057=EDGE_CURVE('',#156494,#156492,#78933,.T.); #194058=EDGE_CURVE('',#156493,#156494,#78934,.T.); #194059=EDGE_CURVE('',#156495,#156493,#78935,.T.); #194060=EDGE_CURVE('',#156496,#156494,#78936,.T.); #194061=EDGE_CURVE('',#156495,#156496,#78937,.T.); #194062=EDGE_CURVE('',#156497,#156495,#78938,.T.); #194063=EDGE_CURVE('',#156498,#156496,#78939,.T.); #194064=EDGE_CURVE('',#156497,#156498,#78940,.T.); #194065=EDGE_CURVE('',#156499,#156497,#78941,.T.); #194066=EDGE_CURVE('',#156500,#156498,#78942,.T.); #194067=EDGE_CURVE('',#156499,#156500,#78943,.T.); #194068=EDGE_CURVE('',#156354,#156499,#78944,.T.); #194069=EDGE_CURVE('',#156355,#156500,#78945,.T.); #194070=EDGE_CURVE('',#156501,#156501,#136985,.T.); #194071=EDGE_CURVE('',#156501,#156502,#78946,.T.); #194072=EDGE_CURVE('',#156502,#156502,#136986,.T.); #194073=EDGE_CURVE('',#156503,#156504,#78947,.T.); #194074=EDGE_CURVE('',#156504,#156505,#78948,.T.); #194075=EDGE_CURVE('',#156506,#156505,#78949,.T.); #194076=EDGE_CURVE('',#156503,#156506,#78950,.T.); #194077=EDGE_CURVE('',#156507,#156503,#78951,.T.); #194078=EDGE_CURVE('',#156508,#156506,#78952,.T.); #194079=EDGE_CURVE('',#156507,#156508,#78953,.T.); #194080=EDGE_CURVE('',#156509,#156507,#136987,.T.); #194081=EDGE_CURVE('',#156510,#156508,#136988,.T.); #194082=EDGE_CURVE('',#156509,#156510,#78954,.T.); #194083=EDGE_CURVE('',#156511,#156509,#78955,.T.); #194084=EDGE_CURVE('',#156512,#156510,#78956,.T.); #194085=EDGE_CURVE('',#156511,#156512,#78957,.T.); #194086=EDGE_CURVE('',#156513,#156511,#78958,.T.); #194087=EDGE_CURVE('',#156514,#156512,#78959,.T.); #194088=EDGE_CURVE('',#156513,#156514,#78960,.T.); #194089=EDGE_CURVE('',#156515,#156513,#78961,.T.); #194090=EDGE_CURVE('',#156516,#156514,#78962,.T.); #194091=EDGE_CURVE('',#156515,#156516,#78963,.T.); #194092=EDGE_CURVE('',#156517,#156515,#78964,.T.); #194093=EDGE_CURVE('',#156518,#156516,#78965,.T.); #194094=EDGE_CURVE('',#156517,#156518,#78966,.T.); #194095=EDGE_CURVE('',#156519,#156517,#78967,.T.); #194096=EDGE_CURVE('',#156520,#156518,#78968,.T.); #194097=EDGE_CURVE('',#156519,#156520,#78969,.T.); #194098=EDGE_CURVE('',#156521,#156519,#78970,.T.); #194099=EDGE_CURVE('',#156522,#156520,#78971,.T.); #194100=EDGE_CURVE('',#156521,#156522,#78972,.T.); #194101=EDGE_CURVE('',#156523,#156521,#78973,.T.); #194102=EDGE_CURVE('',#156524,#156522,#78974,.T.); #194103=EDGE_CURVE('',#156523,#156524,#78975,.T.); #194104=EDGE_CURVE('',#156525,#156523,#78976,.T.); #194105=EDGE_CURVE('',#156526,#156524,#78977,.T.); #194106=EDGE_CURVE('',#156525,#156526,#78978,.T.); #194107=EDGE_CURVE('',#156527,#156525,#78979,.T.); #194108=EDGE_CURVE('',#156528,#156526,#78980,.T.); #194109=EDGE_CURVE('',#156527,#156528,#78981,.T.); #194110=EDGE_CURVE('',#156529,#156527,#78982,.T.); #194111=EDGE_CURVE('',#156530,#156528,#78983,.T.); #194112=EDGE_CURVE('',#156529,#156530,#78984,.T.); #194113=EDGE_CURVE('',#156531,#156529,#78985,.T.); #194114=EDGE_CURVE('',#156532,#156530,#78986,.T.); #194115=EDGE_CURVE('',#156531,#156532,#78987,.T.); #194116=EDGE_CURVE('',#156533,#156531,#78988,.T.); #194117=EDGE_CURVE('',#156534,#156532,#78989,.T.); #194118=EDGE_CURVE('',#156533,#156534,#78990,.T.); #194119=EDGE_CURVE('',#156535,#156533,#78991,.T.); #194120=EDGE_CURVE('',#156536,#156534,#78992,.T.); #194121=EDGE_CURVE('',#156535,#156536,#78993,.T.); #194122=EDGE_CURVE('',#156537,#156535,#78994,.T.); #194123=EDGE_CURVE('',#156538,#156536,#78995,.T.); #194124=EDGE_CURVE('',#156537,#156538,#78996,.T.); #194125=EDGE_CURVE('',#156539,#156537,#78997,.T.); #194126=EDGE_CURVE('',#156540,#156538,#78998,.T.); #194127=EDGE_CURVE('',#156539,#156540,#78999,.T.); #194128=EDGE_CURVE('',#156541,#156539,#79000,.T.); #194129=EDGE_CURVE('',#156542,#156540,#79001,.T.); #194130=EDGE_CURVE('',#156541,#156542,#79002,.T.); #194131=EDGE_CURVE('',#156543,#156541,#79003,.T.); #194132=EDGE_CURVE('',#156544,#156542,#79004,.T.); #194133=EDGE_CURVE('',#156543,#156544,#79005,.T.); #194134=EDGE_CURVE('',#156545,#156543,#79006,.T.); #194135=EDGE_CURVE('',#156546,#156544,#79007,.T.); #194136=EDGE_CURVE('',#156545,#156546,#79008,.T.); #194137=EDGE_CURVE('',#156547,#156545,#79009,.T.); #194138=EDGE_CURVE('',#156548,#156546,#79010,.T.); #194139=EDGE_CURVE('',#156547,#156548,#79011,.T.); #194140=EDGE_CURVE('',#156549,#156547,#79012,.T.); #194141=EDGE_CURVE('',#156550,#156548,#79013,.T.); #194142=EDGE_CURVE('',#156549,#156550,#79014,.T.); #194143=EDGE_CURVE('',#156551,#156549,#136989,.T.); #194144=EDGE_CURVE('',#156552,#156550,#136990,.T.); #194145=EDGE_CURVE('',#156551,#156552,#79015,.T.); #194146=EDGE_CURVE('',#156553,#156551,#79016,.T.); #194147=EDGE_CURVE('',#156554,#156552,#79017,.T.); #194148=EDGE_CURVE('',#156553,#156554,#79018,.T.); #194149=EDGE_CURVE('',#156555,#156553,#79019,.T.); #194150=EDGE_CURVE('',#156556,#156554,#79020,.T.); #194151=EDGE_CURVE('',#156555,#156556,#79021,.T.); #194152=EDGE_CURVE('',#156557,#156555,#79022,.T.); #194153=EDGE_CURVE('',#156558,#156556,#79023,.T.); #194154=EDGE_CURVE('',#156557,#156558,#79024,.T.); #194155=EDGE_CURVE('',#156559,#156557,#136991,.T.); #194156=EDGE_CURVE('',#156560,#156558,#136992,.T.); #194157=EDGE_CURVE('',#156559,#156560,#79025,.T.); #194158=EDGE_CURVE('',#156561,#156559,#79026,.T.); #194159=EDGE_CURVE('',#156562,#156560,#79027,.T.); #194160=EDGE_CURVE('',#156561,#156562,#79028,.T.); #194161=EDGE_CURVE('',#156563,#156561,#79029,.T.); #194162=EDGE_CURVE('',#156564,#156562,#79030,.T.); #194163=EDGE_CURVE('',#156563,#156564,#79031,.T.); #194164=EDGE_CURVE('',#156565,#156563,#136993,.T.); #194165=EDGE_CURVE('',#156566,#156564,#136994,.T.); #194166=EDGE_CURVE('',#156565,#156566,#79032,.T.); #194167=EDGE_CURVE('',#156567,#156565,#79033,.T.); #194168=EDGE_CURVE('',#156568,#156566,#79034,.T.); #194169=EDGE_CURVE('',#156567,#156568,#79035,.T.); #194170=EDGE_CURVE('',#156569,#156567,#136995,.T.); #194171=EDGE_CURVE('',#156570,#156568,#136996,.T.); #194172=EDGE_CURVE('',#156569,#156570,#79036,.T.); #194173=EDGE_CURVE('',#156571,#156569,#136997,.T.); #194174=EDGE_CURVE('',#156572,#156570,#136998,.T.); #194175=EDGE_CURVE('',#156571,#156572,#79037,.T.); #194176=EDGE_CURVE('',#156573,#156571,#79038,.T.); #194177=EDGE_CURVE('',#156574,#156572,#79039,.T.); #194178=EDGE_CURVE('',#156573,#156574,#79040,.T.); #194179=EDGE_CURVE('',#156575,#156573,#79041,.T.); #194180=EDGE_CURVE('',#156576,#156574,#79042,.T.); #194181=EDGE_CURVE('',#156575,#156576,#79043,.T.); #194182=EDGE_CURVE('',#156577,#156575,#136999,.T.); #194183=EDGE_CURVE('',#156578,#156576,#137000,.T.); #194184=EDGE_CURVE('',#156577,#156578,#79044,.T.); #194185=EDGE_CURVE('',#156579,#156577,#79045,.T.); #194186=EDGE_CURVE('',#156580,#156578,#79046,.T.); #194187=EDGE_CURVE('',#156579,#156580,#79047,.T.); #194188=EDGE_CURVE('',#156581,#156579,#79048,.T.); #194189=EDGE_CURVE('',#156582,#156580,#79049,.T.); #194190=EDGE_CURVE('',#156581,#156582,#79050,.T.); #194191=EDGE_CURVE('',#156583,#156581,#137001,.T.); #194192=EDGE_CURVE('',#156584,#156582,#137002,.T.); #194193=EDGE_CURVE('',#156583,#156584,#79051,.T.); #194194=EDGE_CURVE('',#156585,#156583,#79052,.T.); #194195=EDGE_CURVE('',#156586,#156584,#79053,.T.); #194196=EDGE_CURVE('',#156585,#156586,#79054,.T.); #194197=EDGE_CURVE('',#156587,#156585,#137003,.T.); #194198=EDGE_CURVE('',#156588,#156586,#137004,.T.); #194199=EDGE_CURVE('',#156587,#156588,#79055,.T.); #194200=EDGE_CURVE('',#156589,#156587,#79056,.T.); #194201=EDGE_CURVE('',#156590,#156588,#79057,.T.); #194202=EDGE_CURVE('',#156589,#156590,#79058,.T.); #194203=EDGE_CURVE('',#156591,#156589,#79059,.T.); #194204=EDGE_CURVE('',#156592,#156590,#79060,.T.); #194205=EDGE_CURVE('',#156591,#156592,#79061,.T.); #194206=EDGE_CURVE('',#156593,#156591,#79062,.T.); #194207=EDGE_CURVE('',#156594,#156592,#79063,.T.); #194208=EDGE_CURVE('',#156593,#156594,#79064,.T.); #194209=EDGE_CURVE('',#156595,#156593,#79065,.T.); #194210=EDGE_CURVE('',#156596,#156594,#79066,.T.); #194211=EDGE_CURVE('',#156595,#156596,#79067,.T.); #194212=EDGE_CURVE('',#156597,#156595,#79068,.T.); #194213=EDGE_CURVE('',#156598,#156596,#79069,.T.); #194214=EDGE_CURVE('',#156597,#156598,#79070,.T.); #194215=EDGE_CURVE('',#156504,#156597,#137005,.T.); #194216=EDGE_CURVE('',#156505,#156598,#137006,.T.); #194217=EDGE_CURVE('',#156599,#156599,#137007,.T.); #194218=EDGE_CURVE('',#156599,#156600,#79071,.T.); #194219=EDGE_CURVE('',#156600,#156600,#137008,.T.); #194220=EDGE_CURVE('',#156601,#156601,#137009,.T.); #194221=EDGE_CURVE('',#156601,#156602,#79072,.T.); #194222=EDGE_CURVE('',#156602,#156602,#137010,.T.); #194223=EDGE_CURVE('',#156603,#156603,#137011,.T.); #194224=EDGE_CURVE('',#156603,#156604,#79073,.T.); #194225=EDGE_CURVE('',#156604,#156604,#137012,.T.); #194226=EDGE_CURVE('',#156605,#156605,#137013,.T.); #194227=EDGE_CURVE('',#156605,#156606,#79074,.T.); #194228=EDGE_CURVE('',#156606,#156606,#137014,.T.); #194229=EDGE_CURVE('',#156607,#156607,#137015,.T.); #194230=EDGE_CURVE('',#156607,#156608,#79075,.T.); #194231=EDGE_CURVE('',#156608,#156608,#137016,.T.); #194232=EDGE_CURVE('',#156609,#156609,#137017,.T.); #194233=EDGE_CURVE('',#156609,#156610,#79076,.T.); #194234=EDGE_CURVE('',#156610,#156610,#137018,.T.); #194235=EDGE_CURVE('',#156611,#156611,#137019,.T.); #194236=EDGE_CURVE('',#156611,#156612,#79077,.T.); #194237=EDGE_CURVE('',#156612,#156612,#137020,.T.); #194238=EDGE_CURVE('',#156613,#156613,#137021,.T.); #194239=EDGE_CURVE('',#156613,#156614,#79078,.T.); #194240=EDGE_CURVE('',#156614,#156614,#137022,.T.); #194241=EDGE_CURVE('',#156615,#156615,#137023,.T.); #194242=EDGE_CURVE('',#156615,#156616,#79079,.T.); #194243=EDGE_CURVE('',#156616,#156616,#137024,.T.); #194244=EDGE_CURVE('',#156617,#156617,#137025,.T.); #194245=EDGE_CURVE('',#156617,#156618,#79080,.T.); #194246=EDGE_CURVE('',#156618,#156618,#137026,.T.); #194247=EDGE_CURVE('',#156619,#156619,#137027,.T.); #194248=EDGE_CURVE('',#156619,#156620,#79081,.T.); #194249=EDGE_CURVE('',#156620,#156620,#137028,.T.); #194250=EDGE_CURVE('',#156621,#156621,#137029,.T.); #194251=EDGE_CURVE('',#156621,#156622,#79082,.T.); #194252=EDGE_CURVE('',#156622,#156622,#137030,.T.); #194253=EDGE_CURVE('',#156623,#156624,#79083,.T.); #194254=EDGE_CURVE('',#156624,#156625,#79084,.T.); #194255=EDGE_CURVE('',#156626,#156625,#79085,.T.); #194256=EDGE_CURVE('',#156623,#156626,#79086,.T.); #194257=EDGE_CURVE('',#156627,#156623,#79087,.T.); #194258=EDGE_CURVE('',#156628,#156626,#79088,.T.); #194259=EDGE_CURVE('',#156627,#156628,#79089,.T.); #194260=EDGE_CURVE('',#156629,#156627,#79090,.T.); #194261=EDGE_CURVE('',#156630,#156628,#79091,.T.); #194262=EDGE_CURVE('',#156629,#156630,#79092,.T.); #194263=EDGE_CURVE('',#156631,#156629,#79093,.T.); #194264=EDGE_CURVE('',#156632,#156630,#79094,.T.); #194265=EDGE_CURVE('',#156631,#156632,#79095,.T.); #194266=EDGE_CURVE('',#156633,#156631,#79096,.T.); #194267=EDGE_CURVE('',#156634,#156632,#79097,.T.); #194268=EDGE_CURVE('',#156633,#156634,#79098,.T.); #194269=EDGE_CURVE('',#156635,#156633,#79099,.T.); #194270=EDGE_CURVE('',#156636,#156634,#79100,.T.); #194271=EDGE_CURVE('',#156635,#156636,#79101,.T.); #194272=EDGE_CURVE('',#156637,#156635,#79102,.T.); #194273=EDGE_CURVE('',#156638,#156636,#79103,.T.); #194274=EDGE_CURVE('',#156637,#156638,#79104,.T.); #194275=EDGE_CURVE('',#156639,#156637,#79105,.T.); #194276=EDGE_CURVE('',#156640,#156638,#79106,.T.); #194277=EDGE_CURVE('',#156639,#156640,#79107,.T.); #194278=EDGE_CURVE('',#156641,#156639,#79108,.T.); #194279=EDGE_CURVE('',#156642,#156640,#79109,.T.); #194280=EDGE_CURVE('',#156641,#156642,#79110,.T.); #194281=EDGE_CURVE('',#156643,#156641,#79111,.T.); #194282=EDGE_CURVE('',#156644,#156642,#79112,.T.); #194283=EDGE_CURVE('',#156643,#156644,#79113,.T.); #194284=EDGE_CURVE('',#156645,#156643,#79114,.T.); #194285=EDGE_CURVE('',#156646,#156644,#79115,.T.); #194286=EDGE_CURVE('',#156645,#156646,#79116,.T.); #194287=EDGE_CURVE('',#156647,#156645,#79117,.T.); #194288=EDGE_CURVE('',#156648,#156646,#79118,.T.); #194289=EDGE_CURVE('',#156647,#156648,#79119,.T.); #194290=EDGE_CURVE('',#156649,#156647,#79120,.T.); #194291=EDGE_CURVE('',#156650,#156648,#79121,.T.); #194292=EDGE_CURVE('',#156649,#156650,#79122,.T.); #194293=EDGE_CURVE('',#156651,#156649,#79123,.T.); #194294=EDGE_CURVE('',#156652,#156650,#79124,.T.); #194295=EDGE_CURVE('',#156651,#156652,#79125,.T.); #194296=EDGE_CURVE('',#156653,#156651,#79126,.T.); #194297=EDGE_CURVE('',#156654,#156652,#79127,.T.); #194298=EDGE_CURVE('',#156653,#156654,#79128,.T.); #194299=EDGE_CURVE('',#156655,#156653,#79129,.T.); #194300=EDGE_CURVE('',#156656,#156654,#79130,.T.); #194301=EDGE_CURVE('',#156655,#156656,#79131,.T.); #194302=EDGE_CURVE('',#156657,#156655,#79132,.T.); #194303=EDGE_CURVE('',#156658,#156656,#79133,.T.); #194304=EDGE_CURVE('',#156657,#156658,#79134,.T.); #194305=EDGE_CURVE('',#156659,#156657,#79135,.T.); #194306=EDGE_CURVE('',#156660,#156658,#79136,.T.); #194307=EDGE_CURVE('',#156659,#156660,#79137,.T.); #194308=EDGE_CURVE('',#156661,#156659,#79138,.T.); #194309=EDGE_CURVE('',#156662,#156660,#79139,.T.); #194310=EDGE_CURVE('',#156661,#156662,#79140,.T.); #194311=EDGE_CURVE('',#156663,#156661,#79141,.T.); #194312=EDGE_CURVE('',#156664,#156662,#79142,.T.); #194313=EDGE_CURVE('',#156663,#156664,#79143,.T.); #194314=EDGE_CURVE('',#156665,#156663,#79144,.T.); #194315=EDGE_CURVE('',#156666,#156664,#79145,.T.); #194316=EDGE_CURVE('',#156665,#156666,#79146,.T.); #194317=EDGE_CURVE('',#156667,#156665,#79147,.T.); #194318=EDGE_CURVE('',#156668,#156666,#79148,.T.); #194319=EDGE_CURVE('',#156667,#156668,#79149,.T.); #194320=EDGE_CURVE('',#156669,#156667,#79150,.T.); #194321=EDGE_CURVE('',#156670,#156668,#79151,.T.); #194322=EDGE_CURVE('',#156669,#156670,#79152,.T.); #194323=EDGE_CURVE('',#156671,#156669,#79153,.T.); #194324=EDGE_CURVE('',#156672,#156670,#79154,.T.); #194325=EDGE_CURVE('',#156671,#156672,#79155,.T.); #194326=EDGE_CURVE('',#156673,#156671,#79156,.T.); #194327=EDGE_CURVE('',#156674,#156672,#79157,.T.); #194328=EDGE_CURVE('',#156673,#156674,#79158,.T.); #194329=EDGE_CURVE('',#156675,#156673,#79159,.T.); #194330=EDGE_CURVE('',#156676,#156674,#79160,.T.); #194331=EDGE_CURVE('',#156675,#156676,#79161,.T.); #194332=EDGE_CURVE('',#156677,#156675,#79162,.T.); #194333=EDGE_CURVE('',#156678,#156676,#79163,.T.); #194334=EDGE_CURVE('',#156677,#156678,#79164,.T.); #194335=EDGE_CURVE('',#156679,#156677,#79165,.T.); #194336=EDGE_CURVE('',#156680,#156678,#79166,.T.); #194337=EDGE_CURVE('',#156679,#156680,#79167,.T.); #194338=EDGE_CURVE('',#156681,#156679,#79168,.T.); #194339=EDGE_CURVE('',#156682,#156680,#79169,.T.); #194340=EDGE_CURVE('',#156681,#156682,#79170,.T.); #194341=EDGE_CURVE('',#156683,#156681,#79171,.T.); #194342=EDGE_CURVE('',#156684,#156682,#79172,.T.); #194343=EDGE_CURVE('',#156683,#156684,#79173,.T.); #194344=EDGE_CURVE('',#156685,#156683,#79174,.T.); #194345=EDGE_CURVE('',#156686,#156684,#79175,.T.); #194346=EDGE_CURVE('',#156685,#156686,#79176,.T.); #194347=EDGE_CURVE('',#156687,#156685,#79177,.T.); #194348=EDGE_CURVE('',#156688,#156686,#79178,.T.); #194349=EDGE_CURVE('',#156687,#156688,#79179,.T.); #194350=EDGE_CURVE('',#156689,#156687,#79180,.T.); #194351=EDGE_CURVE('',#156690,#156688,#79181,.T.); #194352=EDGE_CURVE('',#156689,#156690,#79182,.T.); #194353=EDGE_CURVE('',#156691,#156689,#79183,.T.); #194354=EDGE_CURVE('',#156692,#156690,#79184,.T.); #194355=EDGE_CURVE('',#156691,#156692,#79185,.T.); #194356=EDGE_CURVE('',#156693,#156691,#79186,.T.); #194357=EDGE_CURVE('',#156694,#156692,#79187,.T.); #194358=EDGE_CURVE('',#156693,#156694,#79188,.T.); #194359=EDGE_CURVE('',#156695,#156693,#79189,.T.); #194360=EDGE_CURVE('',#156696,#156694,#79190,.T.); #194361=EDGE_CURVE('',#156695,#156696,#79191,.T.); #194362=EDGE_CURVE('',#156697,#156695,#79192,.T.); #194363=EDGE_CURVE('',#156698,#156696,#79193,.T.); #194364=EDGE_CURVE('',#156697,#156698,#79194,.T.); #194365=EDGE_CURVE('',#156699,#156697,#79195,.T.); #194366=EDGE_CURVE('',#156700,#156698,#79196,.T.); #194367=EDGE_CURVE('',#156699,#156700,#79197,.T.); #194368=EDGE_CURVE('',#156701,#156699,#79198,.T.); #194369=EDGE_CURVE('',#156702,#156700,#79199,.T.); #194370=EDGE_CURVE('',#156701,#156702,#79200,.T.); #194371=EDGE_CURVE('',#156703,#156701,#79201,.T.); #194372=EDGE_CURVE('',#156704,#156702,#79202,.T.); #194373=EDGE_CURVE('',#156703,#156704,#79203,.T.); #194374=EDGE_CURVE('',#156705,#156703,#79204,.T.); #194375=EDGE_CURVE('',#156706,#156704,#79205,.T.); #194376=EDGE_CURVE('',#156705,#156706,#79206,.T.); #194377=EDGE_CURVE('',#156707,#156705,#79207,.T.); #194378=EDGE_CURVE('',#156708,#156706,#79208,.T.); #194379=EDGE_CURVE('',#156707,#156708,#79209,.T.); #194380=EDGE_CURVE('',#156709,#156707,#79210,.T.); #194381=EDGE_CURVE('',#156710,#156708,#79211,.T.); #194382=EDGE_CURVE('',#156709,#156710,#79212,.T.); #194383=EDGE_CURVE('',#156711,#156709,#79213,.T.); #194384=EDGE_CURVE('',#156712,#156710,#79214,.T.); #194385=EDGE_CURVE('',#156711,#156712,#79215,.T.); #194386=EDGE_CURVE('',#156713,#156711,#79216,.T.); #194387=EDGE_CURVE('',#156714,#156712,#79217,.T.); #194388=EDGE_CURVE('',#156713,#156714,#79218,.T.); #194389=EDGE_CURVE('',#156715,#156713,#79219,.T.); #194390=EDGE_CURVE('',#156716,#156714,#79220,.T.); #194391=EDGE_CURVE('',#156715,#156716,#79221,.T.); #194392=EDGE_CURVE('',#156717,#156715,#79222,.T.); #194393=EDGE_CURVE('',#156718,#156716,#79223,.T.); #194394=EDGE_CURVE('',#156717,#156718,#79224,.T.); #194395=EDGE_CURVE('',#156719,#156717,#79225,.T.); #194396=EDGE_CURVE('',#156720,#156718,#79226,.T.); #194397=EDGE_CURVE('',#156719,#156720,#79227,.T.); #194398=EDGE_CURVE('',#156721,#156719,#79228,.T.); #194399=EDGE_CURVE('',#156722,#156720,#79229,.T.); #194400=EDGE_CURVE('',#156721,#156722,#79230,.T.); #194401=EDGE_CURVE('',#156723,#156721,#79231,.T.); #194402=EDGE_CURVE('',#156724,#156722,#79232,.T.); #194403=EDGE_CURVE('',#156723,#156724,#79233,.T.); #194404=EDGE_CURVE('',#156725,#156723,#79234,.T.); #194405=EDGE_CURVE('',#156726,#156724,#79235,.T.); #194406=EDGE_CURVE('',#156725,#156726,#79236,.T.); #194407=EDGE_CURVE('',#156727,#156725,#79237,.T.); #194408=EDGE_CURVE('',#156728,#156726,#79238,.T.); #194409=EDGE_CURVE('',#156727,#156728,#79239,.T.); #194410=EDGE_CURVE('',#156729,#156727,#79240,.T.); #194411=EDGE_CURVE('',#156730,#156728,#79241,.T.); #194412=EDGE_CURVE('',#156729,#156730,#79242,.T.); #194413=EDGE_CURVE('',#156731,#156729,#79243,.T.); #194414=EDGE_CURVE('',#156732,#156730,#79244,.T.); #194415=EDGE_CURVE('',#156731,#156732,#79245,.T.); #194416=EDGE_CURVE('',#156733,#156731,#79246,.T.); #194417=EDGE_CURVE('',#156734,#156732,#79247,.T.); #194418=EDGE_CURVE('',#156733,#156734,#79248,.T.); #194419=EDGE_CURVE('',#156735,#156733,#79249,.T.); #194420=EDGE_CURVE('',#156736,#156734,#79250,.T.); #194421=EDGE_CURVE('',#156735,#156736,#79251,.T.); #194422=EDGE_CURVE('',#156737,#156735,#79252,.T.); #194423=EDGE_CURVE('',#156738,#156736,#79253,.T.); #194424=EDGE_CURVE('',#156737,#156738,#79254,.T.); #194425=EDGE_CURVE('',#156739,#156737,#79255,.T.); #194426=EDGE_CURVE('',#156740,#156738,#79256,.T.); #194427=EDGE_CURVE('',#156739,#156740,#79257,.T.); #194428=EDGE_CURVE('',#156741,#156739,#79258,.T.); #194429=EDGE_CURVE('',#156742,#156740,#79259,.T.); #194430=EDGE_CURVE('',#156741,#156742,#79260,.T.); #194431=EDGE_CURVE('',#156743,#156741,#79261,.T.); #194432=EDGE_CURVE('',#156744,#156742,#79262,.T.); #194433=EDGE_CURVE('',#156743,#156744,#79263,.T.); #194434=EDGE_CURVE('',#156745,#156743,#79264,.T.); #194435=EDGE_CURVE('',#156746,#156744,#79265,.T.); #194436=EDGE_CURVE('',#156745,#156746,#79266,.T.); #194437=EDGE_CURVE('',#156747,#156745,#79267,.T.); #194438=EDGE_CURVE('',#156748,#156746,#79268,.T.); #194439=EDGE_CURVE('',#156747,#156748,#79269,.T.); #194440=EDGE_CURVE('',#156749,#156747,#79270,.T.); #194441=EDGE_CURVE('',#156750,#156748,#79271,.T.); #194442=EDGE_CURVE('',#156749,#156750,#79272,.T.); #194443=EDGE_CURVE('',#156751,#156749,#79273,.T.); #194444=EDGE_CURVE('',#156752,#156750,#79274,.T.); #194445=EDGE_CURVE('',#156751,#156752,#79275,.T.); #194446=EDGE_CURVE('',#156753,#156751,#79276,.T.); #194447=EDGE_CURVE('',#156754,#156752,#79277,.T.); #194448=EDGE_CURVE('',#156753,#156754,#79278,.T.); #194449=EDGE_CURVE('',#156755,#156753,#79279,.T.); #194450=EDGE_CURVE('',#156756,#156754,#79280,.T.); #194451=EDGE_CURVE('',#156755,#156756,#79281,.T.); #194452=EDGE_CURVE('',#156757,#156755,#79282,.T.); #194453=EDGE_CURVE('',#156758,#156756,#79283,.T.); #194454=EDGE_CURVE('',#156757,#156758,#79284,.T.); #194455=EDGE_CURVE('',#156759,#156757,#79285,.T.); #194456=EDGE_CURVE('',#156760,#156758,#79286,.T.); #194457=EDGE_CURVE('',#156759,#156760,#79287,.T.); #194458=EDGE_CURVE('',#156761,#156759,#79288,.T.); #194459=EDGE_CURVE('',#156762,#156760,#79289,.T.); #194460=EDGE_CURVE('',#156761,#156762,#79290,.T.); #194461=EDGE_CURVE('',#156763,#156761,#79291,.T.); #194462=EDGE_CURVE('',#156764,#156762,#79292,.T.); #194463=EDGE_CURVE('',#156763,#156764,#79293,.T.); #194464=EDGE_CURVE('',#156765,#156763,#79294,.T.); #194465=EDGE_CURVE('',#156766,#156764,#79295,.T.); #194466=EDGE_CURVE('',#156765,#156766,#79296,.T.); #194467=EDGE_CURVE('',#156767,#156765,#79297,.T.); #194468=EDGE_CURVE('',#156768,#156766,#79298,.T.); #194469=EDGE_CURVE('',#156767,#156768,#79299,.T.); #194470=EDGE_CURVE('',#156769,#156767,#79300,.T.); #194471=EDGE_CURVE('',#156770,#156768,#79301,.T.); #194472=EDGE_CURVE('',#156769,#156770,#79302,.T.); #194473=EDGE_CURVE('',#156771,#156769,#79303,.T.); #194474=EDGE_CURVE('',#156772,#156770,#79304,.T.); #194475=EDGE_CURVE('',#156771,#156772,#79305,.T.); #194476=EDGE_CURVE('',#156773,#156771,#79306,.T.); #194477=EDGE_CURVE('',#156774,#156772,#79307,.T.); #194478=EDGE_CURVE('',#156773,#156774,#79308,.T.); #194479=EDGE_CURVE('',#156775,#156773,#79309,.T.); #194480=EDGE_CURVE('',#156776,#156774,#79310,.T.); #194481=EDGE_CURVE('',#156775,#156776,#79311,.T.); #194482=EDGE_CURVE('',#156777,#156775,#79312,.T.); #194483=EDGE_CURVE('',#156778,#156776,#79313,.T.); #194484=EDGE_CURVE('',#156777,#156778,#79314,.T.); #194485=EDGE_CURVE('',#156779,#156777,#79315,.T.); #194486=EDGE_CURVE('',#156780,#156778,#79316,.T.); #194487=EDGE_CURVE('',#156779,#156780,#79317,.T.); #194488=EDGE_CURVE('',#156781,#156779,#79318,.T.); #194489=EDGE_CURVE('',#156782,#156780,#79319,.T.); #194490=EDGE_CURVE('',#156781,#156782,#79320,.T.); #194491=EDGE_CURVE('',#156783,#156781,#79321,.T.); #194492=EDGE_CURVE('',#156784,#156782,#79322,.T.); #194493=EDGE_CURVE('',#156783,#156784,#79323,.T.); #194494=EDGE_CURVE('',#156785,#156783,#79324,.T.); #194495=EDGE_CURVE('',#156786,#156784,#79325,.T.); #194496=EDGE_CURVE('',#156785,#156786,#79326,.T.); #194497=EDGE_CURVE('',#156787,#156785,#79327,.T.); #194498=EDGE_CURVE('',#156788,#156786,#79328,.T.); #194499=EDGE_CURVE('',#156787,#156788,#79329,.T.); #194500=EDGE_CURVE('',#156789,#156787,#79330,.T.); #194501=EDGE_CURVE('',#156790,#156788,#79331,.T.); #194502=EDGE_CURVE('',#156789,#156790,#79332,.T.); #194503=EDGE_CURVE('',#156791,#156789,#79333,.T.); #194504=EDGE_CURVE('',#156792,#156790,#79334,.T.); #194505=EDGE_CURVE('',#156791,#156792,#79335,.T.); #194506=EDGE_CURVE('',#156793,#156791,#79336,.T.); #194507=EDGE_CURVE('',#156794,#156792,#79337,.T.); #194508=EDGE_CURVE('',#156793,#156794,#79338,.T.); #194509=EDGE_CURVE('',#156795,#156793,#79339,.T.); #194510=EDGE_CURVE('',#156796,#156794,#79340,.T.); #194511=EDGE_CURVE('',#156795,#156796,#79341,.T.); #194512=EDGE_CURVE('',#156797,#156795,#79342,.T.); #194513=EDGE_CURVE('',#156798,#156796,#79343,.T.); #194514=EDGE_CURVE('',#156797,#156798,#79344,.T.); #194515=EDGE_CURVE('',#156799,#156797,#79345,.T.); #194516=EDGE_CURVE('',#156800,#156798,#79346,.T.); #194517=EDGE_CURVE('',#156799,#156800,#79347,.T.); #194518=EDGE_CURVE('',#156801,#156799,#79348,.T.); #194519=EDGE_CURVE('',#156802,#156800,#79349,.T.); #194520=EDGE_CURVE('',#156801,#156802,#79350,.T.); #194521=EDGE_CURVE('',#156803,#156801,#79351,.T.); #194522=EDGE_CURVE('',#156804,#156802,#79352,.T.); #194523=EDGE_CURVE('',#156803,#156804,#79353,.T.); #194524=EDGE_CURVE('',#156805,#156803,#79354,.T.); #194525=EDGE_CURVE('',#156806,#156804,#79355,.T.); #194526=EDGE_CURVE('',#156805,#156806,#79356,.T.); #194527=EDGE_CURVE('',#156807,#156805,#79357,.T.); #194528=EDGE_CURVE('',#156808,#156806,#79358,.T.); #194529=EDGE_CURVE('',#156807,#156808,#79359,.T.); #194530=EDGE_CURVE('',#156809,#156807,#79360,.T.); #194531=EDGE_CURVE('',#156810,#156808,#79361,.T.); #194532=EDGE_CURVE('',#156809,#156810,#79362,.T.); #194533=EDGE_CURVE('',#156811,#156809,#79363,.T.); #194534=EDGE_CURVE('',#156812,#156810,#79364,.T.); #194535=EDGE_CURVE('',#156811,#156812,#79365,.T.); #194536=EDGE_CURVE('',#156813,#156811,#79366,.T.); #194537=EDGE_CURVE('',#156814,#156812,#79367,.T.); #194538=EDGE_CURVE('',#156813,#156814,#79368,.T.); #194539=EDGE_CURVE('',#156815,#156813,#79369,.T.); #194540=EDGE_CURVE('',#156816,#156814,#79370,.T.); #194541=EDGE_CURVE('',#156815,#156816,#79371,.T.); #194542=EDGE_CURVE('',#156624,#156815,#79372,.T.); #194543=EDGE_CURVE('',#156625,#156816,#79373,.T.); #194544=EDGE_CURVE('',#156817,#156818,#79374,.T.); #194545=EDGE_CURVE('',#156818,#156819,#79375,.T.); #194546=EDGE_CURVE('',#156820,#156819,#79376,.T.); #194547=EDGE_CURVE('',#156817,#156820,#79377,.T.); #194548=EDGE_CURVE('',#156821,#156817,#79378,.T.); #194549=EDGE_CURVE('',#156822,#156820,#79379,.T.); #194550=EDGE_CURVE('',#156821,#156822,#79380,.T.); #194551=EDGE_CURVE('',#156823,#156821,#79381,.T.); #194552=EDGE_CURVE('',#156824,#156822,#79382,.T.); #194553=EDGE_CURVE('',#156823,#156824,#79383,.T.); #194554=EDGE_CURVE('',#156825,#156823,#79384,.T.); #194555=EDGE_CURVE('',#156826,#156824,#79385,.T.); #194556=EDGE_CURVE('',#156825,#156826,#79386,.T.); #194557=EDGE_CURVE('',#156827,#156825,#79387,.T.); #194558=EDGE_CURVE('',#156828,#156826,#79388,.T.); #194559=EDGE_CURVE('',#156827,#156828,#79389,.T.); #194560=EDGE_CURVE('',#156829,#156827,#79390,.T.); #194561=EDGE_CURVE('',#156830,#156828,#79391,.T.); #194562=EDGE_CURVE('',#156829,#156830,#79392,.T.); #194563=EDGE_CURVE('',#156831,#156829,#79393,.T.); #194564=EDGE_CURVE('',#156832,#156830,#79394,.T.); #194565=EDGE_CURVE('',#156831,#156832,#79395,.T.); #194566=EDGE_CURVE('',#156833,#156831,#79396,.T.); #194567=EDGE_CURVE('',#156834,#156832,#79397,.T.); #194568=EDGE_CURVE('',#156833,#156834,#79398,.T.); #194569=EDGE_CURVE('',#156835,#156833,#79399,.T.); #194570=EDGE_CURVE('',#156836,#156834,#79400,.T.); #194571=EDGE_CURVE('',#156835,#156836,#79401,.T.); #194572=EDGE_CURVE('',#156837,#156835,#79402,.T.); #194573=EDGE_CURVE('',#156838,#156836,#79403,.T.); #194574=EDGE_CURVE('',#156837,#156838,#79404,.T.); #194575=EDGE_CURVE('',#156839,#156837,#79405,.T.); #194576=EDGE_CURVE('',#156840,#156838,#79406,.T.); #194577=EDGE_CURVE('',#156839,#156840,#79407,.T.); #194578=EDGE_CURVE('',#156841,#156839,#79408,.T.); #194579=EDGE_CURVE('',#156842,#156840,#79409,.T.); #194580=EDGE_CURVE('',#156841,#156842,#79410,.T.); #194581=EDGE_CURVE('',#156843,#156841,#79411,.T.); #194582=EDGE_CURVE('',#156844,#156842,#79412,.T.); #194583=EDGE_CURVE('',#156843,#156844,#79413,.T.); #194584=EDGE_CURVE('',#156845,#156843,#79414,.T.); #194585=EDGE_CURVE('',#156846,#156844,#79415,.T.); #194586=EDGE_CURVE('',#156845,#156846,#79416,.T.); #194587=EDGE_CURVE('',#156847,#156845,#79417,.T.); #194588=EDGE_CURVE('',#156848,#156846,#79418,.T.); #194589=EDGE_CURVE('',#156847,#156848,#79419,.T.); #194590=EDGE_CURVE('',#156849,#156847,#79420,.T.); #194591=EDGE_CURVE('',#156850,#156848,#79421,.T.); #194592=EDGE_CURVE('',#156849,#156850,#79422,.T.); #194593=EDGE_CURVE('',#156851,#156849,#79423,.T.); #194594=EDGE_CURVE('',#156852,#156850,#79424,.T.); #194595=EDGE_CURVE('',#156851,#156852,#79425,.T.); #194596=EDGE_CURVE('',#156853,#156851,#79426,.T.); #194597=EDGE_CURVE('',#156854,#156852,#79427,.T.); #194598=EDGE_CURVE('',#156853,#156854,#79428,.T.); #194599=EDGE_CURVE('',#156855,#156853,#79429,.T.); #194600=EDGE_CURVE('',#156856,#156854,#79430,.T.); #194601=EDGE_CURVE('',#156855,#156856,#79431,.T.); #194602=EDGE_CURVE('',#156857,#156855,#79432,.T.); #194603=EDGE_CURVE('',#156858,#156856,#79433,.T.); #194604=EDGE_CURVE('',#156857,#156858,#79434,.T.); #194605=EDGE_CURVE('',#156818,#156857,#79435,.T.); #194606=EDGE_CURVE('',#156819,#156858,#79436,.T.); #194607=EDGE_CURVE('',#156859,#156860,#79437,.T.); #194608=EDGE_CURVE('',#156860,#156861,#79438,.T.); #194609=EDGE_CURVE('',#156862,#156861,#79439,.T.); #194610=EDGE_CURVE('',#156859,#156862,#79440,.T.); #194611=EDGE_CURVE('',#156863,#156859,#79441,.T.); #194612=EDGE_CURVE('',#156864,#156862,#79442,.T.); #194613=EDGE_CURVE('',#156863,#156864,#79443,.T.); #194614=EDGE_CURVE('',#156865,#156863,#79444,.T.); #194615=EDGE_CURVE('',#156866,#156864,#79445,.T.); #194616=EDGE_CURVE('',#156865,#156866,#79446,.T.); #194617=EDGE_CURVE('',#156867,#156865,#79447,.T.); #194618=EDGE_CURVE('',#156868,#156866,#79448,.T.); #194619=EDGE_CURVE('',#156867,#156868,#79449,.T.); #194620=EDGE_CURVE('',#156869,#156867,#79450,.T.); #194621=EDGE_CURVE('',#156870,#156868,#79451,.T.); #194622=EDGE_CURVE('',#156869,#156870,#79452,.T.); #194623=EDGE_CURVE('',#156871,#156869,#79453,.T.); #194624=EDGE_CURVE('',#156872,#156870,#79454,.T.); #194625=EDGE_CURVE('',#156871,#156872,#79455,.T.); #194626=EDGE_CURVE('',#156873,#156871,#79456,.T.); #194627=EDGE_CURVE('',#156874,#156872,#79457,.T.); #194628=EDGE_CURVE('',#156873,#156874,#79458,.T.); #194629=EDGE_CURVE('',#156875,#156873,#79459,.T.); #194630=EDGE_CURVE('',#156876,#156874,#79460,.T.); #194631=EDGE_CURVE('',#156875,#156876,#79461,.T.); #194632=EDGE_CURVE('',#156877,#156875,#79462,.T.); #194633=EDGE_CURVE('',#156878,#156876,#79463,.T.); #194634=EDGE_CURVE('',#156877,#156878,#79464,.T.); #194635=EDGE_CURVE('',#156879,#156877,#79465,.T.); #194636=EDGE_CURVE('',#156880,#156878,#79466,.T.); #194637=EDGE_CURVE('',#156879,#156880,#79467,.T.); #194638=EDGE_CURVE('',#156881,#156879,#79468,.T.); #194639=EDGE_CURVE('',#156882,#156880,#79469,.T.); #194640=EDGE_CURVE('',#156881,#156882,#79470,.T.); #194641=EDGE_CURVE('',#156883,#156881,#79471,.T.); #194642=EDGE_CURVE('',#156884,#156882,#79472,.T.); #194643=EDGE_CURVE('',#156883,#156884,#79473,.T.); #194644=EDGE_CURVE('',#156885,#156883,#79474,.T.); #194645=EDGE_CURVE('',#156886,#156884,#79475,.T.); #194646=EDGE_CURVE('',#156885,#156886,#79476,.T.); #194647=EDGE_CURVE('',#156887,#156885,#79477,.T.); #194648=EDGE_CURVE('',#156888,#156886,#79478,.T.); #194649=EDGE_CURVE('',#156887,#156888,#79479,.T.); #194650=EDGE_CURVE('',#156889,#156887,#79480,.T.); #194651=EDGE_CURVE('',#156890,#156888,#79481,.T.); #194652=EDGE_CURVE('',#156889,#156890,#79482,.T.); #194653=EDGE_CURVE('',#156891,#156889,#79483,.T.); #194654=EDGE_CURVE('',#156892,#156890,#79484,.T.); #194655=EDGE_CURVE('',#156891,#156892,#79485,.T.); #194656=EDGE_CURVE('',#156893,#156891,#79486,.T.); #194657=EDGE_CURVE('',#156894,#156892,#79487,.T.); #194658=EDGE_CURVE('',#156893,#156894,#79488,.T.); #194659=EDGE_CURVE('',#156895,#156893,#79489,.T.); #194660=EDGE_CURVE('',#156896,#156894,#79490,.T.); #194661=EDGE_CURVE('',#156895,#156896,#79491,.T.); #194662=EDGE_CURVE('',#156897,#156895,#79492,.T.); #194663=EDGE_CURVE('',#156898,#156896,#79493,.T.); #194664=EDGE_CURVE('',#156897,#156898,#79494,.T.); #194665=EDGE_CURVE('',#156860,#156897,#79495,.T.); #194666=EDGE_CURVE('',#156861,#156898,#79496,.T.); #194667=EDGE_CURVE('',#156899,#156900,#79497,.T.); #194668=EDGE_CURVE('',#156900,#156901,#79498,.T.); #194669=EDGE_CURVE('',#156902,#156901,#79499,.T.); #194670=EDGE_CURVE('',#156899,#156902,#79500,.T.); #194671=EDGE_CURVE('',#156903,#156899,#79501,.T.); #194672=EDGE_CURVE('',#156904,#156902,#79502,.T.); #194673=EDGE_CURVE('',#156903,#156904,#79503,.T.); #194674=EDGE_CURVE('',#156905,#156903,#79504,.T.); #194675=EDGE_CURVE('',#156906,#156904,#79505,.T.); #194676=EDGE_CURVE('',#156905,#156906,#79506,.T.); #194677=EDGE_CURVE('',#156907,#156905,#79507,.T.); #194678=EDGE_CURVE('',#156908,#156906,#79508,.T.); #194679=EDGE_CURVE('',#156907,#156908,#79509,.T.); #194680=EDGE_CURVE('',#156909,#156907,#79510,.T.); #194681=EDGE_CURVE('',#156910,#156908,#79511,.T.); #194682=EDGE_CURVE('',#156909,#156910,#79512,.T.); #194683=EDGE_CURVE('',#156911,#156909,#79513,.T.); #194684=EDGE_CURVE('',#156912,#156910,#79514,.T.); #194685=EDGE_CURVE('',#156911,#156912,#79515,.T.); #194686=EDGE_CURVE('',#156913,#156911,#79516,.T.); #194687=EDGE_CURVE('',#156914,#156912,#79517,.T.); #194688=EDGE_CURVE('',#156913,#156914,#79518,.T.); #194689=EDGE_CURVE('',#156915,#156913,#79519,.T.); #194690=EDGE_CURVE('',#156916,#156914,#79520,.T.); #194691=EDGE_CURVE('',#156915,#156916,#79521,.T.); #194692=EDGE_CURVE('',#156917,#156915,#79522,.T.); #194693=EDGE_CURVE('',#156918,#156916,#79523,.T.); #194694=EDGE_CURVE('',#156917,#156918,#79524,.T.); #194695=EDGE_CURVE('',#156919,#156917,#79525,.T.); #194696=EDGE_CURVE('',#156920,#156918,#79526,.T.); #194697=EDGE_CURVE('',#156919,#156920,#79527,.T.); #194698=EDGE_CURVE('',#156921,#156919,#79528,.T.); #194699=EDGE_CURVE('',#156922,#156920,#79529,.T.); #194700=EDGE_CURVE('',#156921,#156922,#79530,.T.); #194701=EDGE_CURVE('',#156923,#156921,#79531,.T.); #194702=EDGE_CURVE('',#156924,#156922,#79532,.T.); #194703=EDGE_CURVE('',#156923,#156924,#79533,.T.); #194704=EDGE_CURVE('',#156925,#156923,#79534,.T.); #194705=EDGE_CURVE('',#156926,#156924,#79535,.T.); #194706=EDGE_CURVE('',#156925,#156926,#79536,.T.); #194707=EDGE_CURVE('',#156927,#156925,#79537,.T.); #194708=EDGE_CURVE('',#156928,#156926,#79538,.T.); #194709=EDGE_CURVE('',#156927,#156928,#79539,.T.); #194710=EDGE_CURVE('',#156929,#156927,#79540,.T.); #194711=EDGE_CURVE('',#156930,#156928,#79541,.T.); #194712=EDGE_CURVE('',#156929,#156930,#79542,.T.); #194713=EDGE_CURVE('',#156931,#156929,#79543,.T.); #194714=EDGE_CURVE('',#156932,#156930,#79544,.T.); #194715=EDGE_CURVE('',#156931,#156932,#79545,.T.); #194716=EDGE_CURVE('',#156933,#156931,#79546,.T.); #194717=EDGE_CURVE('',#156934,#156932,#79547,.T.); #194718=EDGE_CURVE('',#156933,#156934,#79548,.T.); #194719=EDGE_CURVE('',#156935,#156933,#79549,.T.); #194720=EDGE_CURVE('',#156936,#156934,#79550,.T.); #194721=EDGE_CURVE('',#156935,#156936,#79551,.T.); #194722=EDGE_CURVE('',#156937,#156935,#79552,.T.); #194723=EDGE_CURVE('',#156938,#156936,#79553,.T.); #194724=EDGE_CURVE('',#156937,#156938,#79554,.T.); #194725=EDGE_CURVE('',#156939,#156937,#79555,.T.); #194726=EDGE_CURVE('',#156940,#156938,#79556,.T.); #194727=EDGE_CURVE('',#156939,#156940,#79557,.T.); #194728=EDGE_CURVE('',#156941,#156939,#79558,.T.); #194729=EDGE_CURVE('',#156942,#156940,#79559,.T.); #194730=EDGE_CURVE('',#156941,#156942,#79560,.T.); #194731=EDGE_CURVE('',#156943,#156941,#79561,.T.); #194732=EDGE_CURVE('',#156944,#156942,#79562,.T.); #194733=EDGE_CURVE('',#156943,#156944,#79563,.T.); #194734=EDGE_CURVE('',#156945,#156943,#79564,.T.); #194735=EDGE_CURVE('',#156946,#156944,#79565,.T.); #194736=EDGE_CURVE('',#156945,#156946,#79566,.T.); #194737=EDGE_CURVE('',#156900,#156945,#79567,.T.); #194738=EDGE_CURVE('',#156901,#156946,#79568,.T.); #194739=EDGE_CURVE('',#156947,#156948,#79569,.T.); #194740=EDGE_CURVE('',#156948,#156949,#79570,.T.); #194741=EDGE_CURVE('',#156950,#156949,#79571,.T.); #194742=EDGE_CURVE('',#156947,#156950,#79572,.T.); #194743=EDGE_CURVE('',#156951,#156947,#79573,.T.); #194744=EDGE_CURVE('',#156952,#156950,#79574,.T.); #194745=EDGE_CURVE('',#156951,#156952,#79575,.T.); #194746=EDGE_CURVE('',#156953,#156951,#79576,.T.); #194747=EDGE_CURVE('',#156954,#156952,#79577,.T.); #194748=EDGE_CURVE('',#156953,#156954,#79578,.T.); #194749=EDGE_CURVE('',#156955,#156953,#79579,.T.); #194750=EDGE_CURVE('',#156956,#156954,#79580,.T.); #194751=EDGE_CURVE('',#156955,#156956,#79581,.T.); #194752=EDGE_CURVE('',#156957,#156955,#79582,.T.); #194753=EDGE_CURVE('',#156958,#156956,#79583,.T.); #194754=EDGE_CURVE('',#156957,#156958,#79584,.T.); #194755=EDGE_CURVE('',#156959,#156957,#79585,.T.); #194756=EDGE_CURVE('',#156960,#156958,#79586,.T.); #194757=EDGE_CURVE('',#156959,#156960,#79587,.T.); #194758=EDGE_CURVE('',#156961,#156959,#79588,.T.); #194759=EDGE_CURVE('',#156962,#156960,#79589,.T.); #194760=EDGE_CURVE('',#156961,#156962,#79590,.T.); #194761=EDGE_CURVE('',#156963,#156961,#79591,.T.); #194762=EDGE_CURVE('',#156964,#156962,#79592,.T.); #194763=EDGE_CURVE('',#156963,#156964,#79593,.T.); #194764=EDGE_CURVE('',#156965,#156963,#79594,.T.); #194765=EDGE_CURVE('',#156966,#156964,#79595,.T.); #194766=EDGE_CURVE('',#156965,#156966,#79596,.T.); #194767=EDGE_CURVE('',#156967,#156965,#79597,.T.); #194768=EDGE_CURVE('',#156968,#156966,#79598,.T.); #194769=EDGE_CURVE('',#156967,#156968,#79599,.T.); #194770=EDGE_CURVE('',#156969,#156967,#79600,.T.); #194771=EDGE_CURVE('',#156970,#156968,#79601,.T.); #194772=EDGE_CURVE('',#156969,#156970,#79602,.T.); #194773=EDGE_CURVE('',#156971,#156969,#79603,.T.); #194774=EDGE_CURVE('',#156972,#156970,#79604,.T.); #194775=EDGE_CURVE('',#156971,#156972,#79605,.T.); #194776=EDGE_CURVE('',#156973,#156971,#79606,.T.); #194777=EDGE_CURVE('',#156974,#156972,#79607,.T.); #194778=EDGE_CURVE('',#156973,#156974,#79608,.T.); #194779=EDGE_CURVE('',#156975,#156973,#79609,.T.); #194780=EDGE_CURVE('',#156976,#156974,#79610,.T.); #194781=EDGE_CURVE('',#156975,#156976,#79611,.T.); #194782=EDGE_CURVE('',#156977,#156975,#79612,.T.); #194783=EDGE_CURVE('',#156978,#156976,#79613,.T.); #194784=EDGE_CURVE('',#156977,#156978,#79614,.T.); #194785=EDGE_CURVE('',#156979,#156977,#79615,.T.); #194786=EDGE_CURVE('',#156980,#156978,#79616,.T.); #194787=EDGE_CURVE('',#156979,#156980,#79617,.T.); #194788=EDGE_CURVE('',#156981,#156979,#79618,.T.); #194789=EDGE_CURVE('',#156982,#156980,#79619,.T.); #194790=EDGE_CURVE('',#156981,#156982,#79620,.T.); #194791=EDGE_CURVE('',#156983,#156981,#79621,.T.); #194792=EDGE_CURVE('',#156984,#156982,#79622,.T.); #194793=EDGE_CURVE('',#156983,#156984,#79623,.T.); #194794=EDGE_CURVE('',#156985,#156983,#79624,.T.); #194795=EDGE_CURVE('',#156986,#156984,#79625,.T.); #194796=EDGE_CURVE('',#156985,#156986,#79626,.T.); #194797=EDGE_CURVE('',#156987,#156985,#79627,.T.); #194798=EDGE_CURVE('',#156988,#156986,#79628,.T.); #194799=EDGE_CURVE('',#156987,#156988,#79629,.T.); #194800=EDGE_CURVE('',#156948,#156987,#79630,.T.); #194801=EDGE_CURVE('',#156949,#156988,#79631,.T.); #194802=EDGE_CURVE('',#156989,#156990,#79632,.T.); #194803=EDGE_CURVE('',#156990,#156991,#79633,.T.); #194804=EDGE_CURVE('',#156992,#156991,#79634,.T.); #194805=EDGE_CURVE('',#156989,#156992,#79635,.T.); #194806=EDGE_CURVE('',#156993,#156989,#79636,.T.); #194807=EDGE_CURVE('',#156994,#156992,#79637,.T.); #194808=EDGE_CURVE('',#156993,#156994,#79638,.T.); #194809=EDGE_CURVE('',#156995,#156993,#79639,.T.); #194810=EDGE_CURVE('',#156996,#156994,#79640,.T.); #194811=EDGE_CURVE('',#156995,#156996,#79641,.T.); #194812=EDGE_CURVE('',#156997,#156995,#79642,.T.); #194813=EDGE_CURVE('',#156998,#156996,#79643,.T.); #194814=EDGE_CURVE('',#156997,#156998,#79644,.T.); #194815=EDGE_CURVE('',#156999,#156997,#79645,.T.); #194816=EDGE_CURVE('',#157000,#156998,#79646,.T.); #194817=EDGE_CURVE('',#156999,#157000,#79647,.T.); #194818=EDGE_CURVE('',#157001,#156999,#79648,.T.); #194819=EDGE_CURVE('',#157002,#157000,#79649,.T.); #194820=EDGE_CURVE('',#157001,#157002,#79650,.T.); #194821=EDGE_CURVE('',#157003,#157001,#79651,.T.); #194822=EDGE_CURVE('',#157004,#157002,#79652,.T.); #194823=EDGE_CURVE('',#157003,#157004,#79653,.T.); #194824=EDGE_CURVE('',#157005,#157003,#79654,.T.); #194825=EDGE_CURVE('',#157006,#157004,#79655,.T.); #194826=EDGE_CURVE('',#157005,#157006,#79656,.T.); #194827=EDGE_CURVE('',#157007,#157005,#79657,.T.); #194828=EDGE_CURVE('',#157008,#157006,#79658,.T.); #194829=EDGE_CURVE('',#157007,#157008,#79659,.T.); #194830=EDGE_CURVE('',#157009,#157007,#79660,.T.); #194831=EDGE_CURVE('',#157010,#157008,#79661,.T.); #194832=EDGE_CURVE('',#157009,#157010,#79662,.T.); #194833=EDGE_CURVE('',#157011,#157009,#79663,.T.); #194834=EDGE_CURVE('',#157012,#157010,#79664,.T.); #194835=EDGE_CURVE('',#157011,#157012,#79665,.T.); #194836=EDGE_CURVE('',#157013,#157011,#79666,.T.); #194837=EDGE_CURVE('',#157014,#157012,#79667,.T.); #194838=EDGE_CURVE('',#157013,#157014,#79668,.T.); #194839=EDGE_CURVE('',#157015,#157013,#79669,.T.); #194840=EDGE_CURVE('',#157016,#157014,#79670,.T.); #194841=EDGE_CURVE('',#157015,#157016,#79671,.T.); #194842=EDGE_CURVE('',#157017,#157015,#79672,.T.); #194843=EDGE_CURVE('',#157018,#157016,#79673,.T.); #194844=EDGE_CURVE('',#157017,#157018,#79674,.T.); #194845=EDGE_CURVE('',#157019,#157017,#79675,.T.); #194846=EDGE_CURVE('',#157020,#157018,#79676,.T.); #194847=EDGE_CURVE('',#157019,#157020,#79677,.T.); #194848=EDGE_CURVE('',#157021,#157019,#79678,.T.); #194849=EDGE_CURVE('',#157022,#157020,#79679,.T.); #194850=EDGE_CURVE('',#157021,#157022,#79680,.T.); #194851=EDGE_CURVE('',#157023,#157021,#79681,.T.); #194852=EDGE_CURVE('',#157024,#157022,#79682,.T.); #194853=EDGE_CURVE('',#157023,#157024,#79683,.T.); #194854=EDGE_CURVE('',#157025,#157023,#79684,.T.); #194855=EDGE_CURVE('',#157026,#157024,#79685,.T.); #194856=EDGE_CURVE('',#157025,#157026,#79686,.T.); #194857=EDGE_CURVE('',#157027,#157025,#79687,.T.); #194858=EDGE_CURVE('',#157028,#157026,#79688,.T.); #194859=EDGE_CURVE('',#157027,#157028,#79689,.T.); #194860=EDGE_CURVE('',#157029,#157027,#79690,.T.); #194861=EDGE_CURVE('',#157030,#157028,#79691,.T.); #194862=EDGE_CURVE('',#157029,#157030,#79692,.T.); #194863=EDGE_CURVE('',#157031,#157029,#79693,.T.); #194864=EDGE_CURVE('',#157032,#157030,#79694,.T.); #194865=EDGE_CURVE('',#157031,#157032,#79695,.T.); #194866=EDGE_CURVE('',#157033,#157031,#79696,.T.); #194867=EDGE_CURVE('',#157034,#157032,#79697,.T.); #194868=EDGE_CURVE('',#157033,#157034,#79698,.T.); #194869=EDGE_CURVE('',#157035,#157033,#79699,.T.); #194870=EDGE_CURVE('',#157036,#157034,#79700,.T.); #194871=EDGE_CURVE('',#157035,#157036,#79701,.T.); #194872=EDGE_CURVE('',#157037,#157035,#79702,.T.); #194873=EDGE_CURVE('',#157038,#157036,#79703,.T.); #194874=EDGE_CURVE('',#157037,#157038,#79704,.T.); #194875=EDGE_CURVE('',#157039,#157037,#79705,.T.); #194876=EDGE_CURVE('',#157040,#157038,#79706,.T.); #194877=EDGE_CURVE('',#157039,#157040,#79707,.T.); #194878=EDGE_CURVE('',#157041,#157039,#79708,.T.); #194879=EDGE_CURVE('',#157042,#157040,#79709,.T.); #194880=EDGE_CURVE('',#157041,#157042,#79710,.T.); #194881=EDGE_CURVE('',#157043,#157041,#79711,.T.); #194882=EDGE_CURVE('',#157044,#157042,#79712,.T.); #194883=EDGE_CURVE('',#157043,#157044,#79713,.T.); #194884=EDGE_CURVE('',#157045,#157043,#79714,.T.); #194885=EDGE_CURVE('',#157046,#157044,#79715,.T.); #194886=EDGE_CURVE('',#157045,#157046,#79716,.T.); #194887=EDGE_CURVE('',#157047,#157045,#79717,.T.); #194888=EDGE_CURVE('',#157048,#157046,#79718,.T.); #194889=EDGE_CURVE('',#157047,#157048,#79719,.T.); #194890=EDGE_CURVE('',#157049,#157047,#79720,.T.); #194891=EDGE_CURVE('',#157050,#157048,#79721,.T.); #194892=EDGE_CURVE('',#157049,#157050,#79722,.T.); #194893=EDGE_CURVE('',#157051,#157049,#79723,.T.); #194894=EDGE_CURVE('',#157052,#157050,#79724,.T.); #194895=EDGE_CURVE('',#157051,#157052,#79725,.T.); #194896=EDGE_CURVE('',#157053,#157051,#79726,.T.); #194897=EDGE_CURVE('',#157054,#157052,#79727,.T.); #194898=EDGE_CURVE('',#157053,#157054,#79728,.T.); #194899=EDGE_CURVE('',#157055,#157053,#79729,.T.); #194900=EDGE_CURVE('',#157056,#157054,#79730,.T.); #194901=EDGE_CURVE('',#157055,#157056,#79731,.T.); #194902=EDGE_CURVE('',#157057,#157055,#79732,.T.); #194903=EDGE_CURVE('',#157058,#157056,#79733,.T.); #194904=EDGE_CURVE('',#157057,#157058,#79734,.T.); #194905=EDGE_CURVE('',#156990,#157057,#79735,.T.); #194906=EDGE_CURVE('',#156991,#157058,#79736,.T.); #194907=EDGE_CURVE('',#157059,#157060,#79737,.T.); #194908=EDGE_CURVE('',#157060,#157061,#79738,.T.); #194909=EDGE_CURVE('',#157062,#157061,#79739,.T.); #194910=EDGE_CURVE('',#157059,#157062,#79740,.T.); #194911=EDGE_CURVE('',#157063,#157059,#79741,.T.); #194912=EDGE_CURVE('',#157064,#157062,#79742,.T.); #194913=EDGE_CURVE('',#157063,#157064,#79743,.T.); #194914=EDGE_CURVE('',#157065,#157063,#79744,.T.); #194915=EDGE_CURVE('',#157066,#157064,#79745,.T.); #194916=EDGE_CURVE('',#157065,#157066,#79746,.T.); #194917=EDGE_CURVE('',#157067,#157065,#79747,.T.); #194918=EDGE_CURVE('',#157068,#157066,#79748,.T.); #194919=EDGE_CURVE('',#157067,#157068,#79749,.T.); #194920=EDGE_CURVE('',#157069,#157067,#79750,.T.); #194921=EDGE_CURVE('',#157070,#157068,#79751,.T.); #194922=EDGE_CURVE('',#157069,#157070,#79752,.T.); #194923=EDGE_CURVE('',#157071,#157069,#79753,.T.); #194924=EDGE_CURVE('',#157072,#157070,#79754,.T.); #194925=EDGE_CURVE('',#157071,#157072,#79755,.T.); #194926=EDGE_CURVE('',#157073,#157071,#79756,.T.); #194927=EDGE_CURVE('',#157074,#157072,#79757,.T.); #194928=EDGE_CURVE('',#157073,#157074,#79758,.T.); #194929=EDGE_CURVE('',#157075,#157073,#79759,.T.); #194930=EDGE_CURVE('',#157076,#157074,#79760,.T.); #194931=EDGE_CURVE('',#157075,#157076,#79761,.T.); #194932=EDGE_CURVE('',#157077,#157075,#79762,.T.); #194933=EDGE_CURVE('',#157078,#157076,#79763,.T.); #194934=EDGE_CURVE('',#157077,#157078,#79764,.T.); #194935=EDGE_CURVE('',#157079,#157077,#79765,.T.); #194936=EDGE_CURVE('',#157080,#157078,#79766,.T.); #194937=EDGE_CURVE('',#157079,#157080,#79767,.T.); #194938=EDGE_CURVE('',#157081,#157079,#79768,.T.); #194939=EDGE_CURVE('',#157082,#157080,#79769,.T.); #194940=EDGE_CURVE('',#157081,#157082,#79770,.T.); #194941=EDGE_CURVE('',#157083,#157081,#79771,.T.); #194942=EDGE_CURVE('',#157084,#157082,#79772,.T.); #194943=EDGE_CURVE('',#157083,#157084,#79773,.T.); #194944=EDGE_CURVE('',#157085,#157083,#79774,.T.); #194945=EDGE_CURVE('',#157086,#157084,#79775,.T.); #194946=EDGE_CURVE('',#157085,#157086,#79776,.T.); #194947=EDGE_CURVE('',#157087,#157085,#79777,.T.); #194948=EDGE_CURVE('',#157088,#157086,#79778,.T.); #194949=EDGE_CURVE('',#157087,#157088,#79779,.T.); #194950=EDGE_CURVE('',#157089,#157087,#79780,.T.); #194951=EDGE_CURVE('',#157090,#157088,#79781,.T.); #194952=EDGE_CURVE('',#157089,#157090,#79782,.T.); #194953=EDGE_CURVE('',#157091,#157089,#79783,.T.); #194954=EDGE_CURVE('',#157092,#157090,#79784,.T.); #194955=EDGE_CURVE('',#157091,#157092,#79785,.T.); #194956=EDGE_CURVE('',#157093,#157091,#79786,.T.); #194957=EDGE_CURVE('',#157094,#157092,#79787,.T.); #194958=EDGE_CURVE('',#157093,#157094,#79788,.T.); #194959=EDGE_CURVE('',#157095,#157093,#79789,.T.); #194960=EDGE_CURVE('',#157096,#157094,#79790,.T.); #194961=EDGE_CURVE('',#157095,#157096,#79791,.T.); #194962=EDGE_CURVE('',#157097,#157095,#79792,.T.); #194963=EDGE_CURVE('',#157098,#157096,#79793,.T.); #194964=EDGE_CURVE('',#157097,#157098,#79794,.T.); #194965=EDGE_CURVE('',#157099,#157097,#79795,.T.); #194966=EDGE_CURVE('',#157100,#157098,#79796,.T.); #194967=EDGE_CURVE('',#157099,#157100,#79797,.T.); #194968=EDGE_CURVE('',#157060,#157099,#79798,.T.); #194969=EDGE_CURVE('',#157061,#157100,#79799,.T.); #194970=EDGE_CURVE('',#157101,#157102,#79800,.T.); #194971=EDGE_CURVE('',#157102,#157103,#79801,.T.); #194972=EDGE_CURVE('',#157104,#157103,#79802,.T.); #194973=EDGE_CURVE('',#157101,#157104,#79803,.T.); #194974=EDGE_CURVE('',#157105,#157101,#79804,.T.); #194975=EDGE_CURVE('',#157106,#157104,#79805,.T.); #194976=EDGE_CURVE('',#157105,#157106,#79806,.T.); #194977=EDGE_CURVE('',#157107,#157105,#79807,.T.); #194978=EDGE_CURVE('',#157108,#157106,#79808,.T.); #194979=EDGE_CURVE('',#157107,#157108,#79809,.T.); #194980=EDGE_CURVE('',#157109,#157107,#79810,.T.); #194981=EDGE_CURVE('',#157110,#157108,#79811,.T.); #194982=EDGE_CURVE('',#157109,#157110,#79812,.T.); #194983=EDGE_CURVE('',#157111,#157109,#79813,.T.); #194984=EDGE_CURVE('',#157112,#157110,#79814,.T.); #194985=EDGE_CURVE('',#157111,#157112,#79815,.T.); #194986=EDGE_CURVE('',#157113,#157111,#79816,.T.); #194987=EDGE_CURVE('',#157114,#157112,#79817,.T.); #194988=EDGE_CURVE('',#157113,#157114,#79818,.T.); #194989=EDGE_CURVE('',#157115,#157113,#79819,.T.); #194990=EDGE_CURVE('',#157116,#157114,#79820,.T.); #194991=EDGE_CURVE('',#157115,#157116,#79821,.T.); #194992=EDGE_CURVE('',#157117,#157115,#79822,.T.); #194993=EDGE_CURVE('',#157118,#157116,#79823,.T.); #194994=EDGE_CURVE('',#157117,#157118,#79824,.T.); #194995=EDGE_CURVE('',#157119,#157117,#79825,.T.); #194996=EDGE_CURVE('',#157120,#157118,#79826,.T.); #194997=EDGE_CURVE('',#157119,#157120,#79827,.T.); #194998=EDGE_CURVE('',#157121,#157119,#79828,.T.); #194999=EDGE_CURVE('',#157122,#157120,#79829,.T.); #195000=EDGE_CURVE('',#157121,#157122,#79830,.T.); #195001=EDGE_CURVE('',#157123,#157121,#79831,.T.); #195002=EDGE_CURVE('',#157124,#157122,#79832,.T.); #195003=EDGE_CURVE('',#157123,#157124,#79833,.T.); #195004=EDGE_CURVE('',#157125,#157123,#79834,.T.); #195005=EDGE_CURVE('',#157126,#157124,#79835,.T.); #195006=EDGE_CURVE('',#157125,#157126,#79836,.T.); #195007=EDGE_CURVE('',#157127,#157125,#79837,.T.); #195008=EDGE_CURVE('',#157128,#157126,#79838,.T.); #195009=EDGE_CURVE('',#157127,#157128,#79839,.T.); #195010=EDGE_CURVE('',#157129,#157127,#79840,.T.); #195011=EDGE_CURVE('',#157130,#157128,#79841,.T.); #195012=EDGE_CURVE('',#157129,#157130,#79842,.T.); #195013=EDGE_CURVE('',#157131,#157129,#79843,.T.); #195014=EDGE_CURVE('',#157132,#157130,#79844,.T.); #195015=EDGE_CURVE('',#157131,#157132,#79845,.T.); #195016=EDGE_CURVE('',#157133,#157131,#79846,.T.); #195017=EDGE_CURVE('',#157134,#157132,#79847,.T.); #195018=EDGE_CURVE('',#157133,#157134,#79848,.T.); #195019=EDGE_CURVE('',#157135,#157133,#79849,.T.); #195020=EDGE_CURVE('',#157136,#157134,#79850,.T.); #195021=EDGE_CURVE('',#157135,#157136,#79851,.T.); #195022=EDGE_CURVE('',#157137,#157135,#79852,.T.); #195023=EDGE_CURVE('',#157138,#157136,#79853,.T.); #195024=EDGE_CURVE('',#157137,#157138,#79854,.T.); #195025=EDGE_CURVE('',#157139,#157137,#79855,.T.); #195026=EDGE_CURVE('',#157140,#157138,#79856,.T.); #195027=EDGE_CURVE('',#157139,#157140,#79857,.T.); #195028=EDGE_CURVE('',#157141,#157139,#79858,.T.); #195029=EDGE_CURVE('',#157142,#157140,#79859,.T.); #195030=EDGE_CURVE('',#157141,#157142,#79860,.T.); #195031=EDGE_CURVE('',#157143,#157141,#79861,.T.); #195032=EDGE_CURVE('',#157144,#157142,#79862,.T.); #195033=EDGE_CURVE('',#157143,#157144,#79863,.T.); #195034=EDGE_CURVE('',#157145,#157143,#79864,.T.); #195035=EDGE_CURVE('',#157146,#157144,#79865,.T.); #195036=EDGE_CURVE('',#157145,#157146,#79866,.T.); #195037=EDGE_CURVE('',#157147,#157145,#79867,.T.); #195038=EDGE_CURVE('',#157148,#157146,#79868,.T.); #195039=EDGE_CURVE('',#157147,#157148,#79869,.T.); #195040=EDGE_CURVE('',#157149,#157147,#79870,.T.); #195041=EDGE_CURVE('',#157150,#157148,#79871,.T.); #195042=EDGE_CURVE('',#157149,#157150,#79872,.T.); #195043=EDGE_CURVE('',#157151,#157149,#79873,.T.); #195044=EDGE_CURVE('',#157152,#157150,#79874,.T.); #195045=EDGE_CURVE('',#157151,#157152,#79875,.T.); #195046=EDGE_CURVE('',#157153,#157151,#79876,.T.); #195047=EDGE_CURVE('',#157154,#157152,#79877,.T.); #195048=EDGE_CURVE('',#157153,#157154,#79878,.T.); #195049=EDGE_CURVE('',#157155,#157153,#79879,.T.); #195050=EDGE_CURVE('',#157156,#157154,#79880,.T.); #195051=EDGE_CURVE('',#157155,#157156,#79881,.T.); #195052=EDGE_CURVE('',#157157,#157155,#79882,.T.); #195053=EDGE_CURVE('',#157158,#157156,#79883,.T.); #195054=EDGE_CURVE('',#157157,#157158,#79884,.T.); #195055=EDGE_CURVE('',#157159,#157157,#79885,.T.); #195056=EDGE_CURVE('',#157160,#157158,#79886,.T.); #195057=EDGE_CURVE('',#157159,#157160,#79887,.T.); #195058=EDGE_CURVE('',#157161,#157159,#79888,.T.); #195059=EDGE_CURVE('',#157162,#157160,#79889,.T.); #195060=EDGE_CURVE('',#157161,#157162,#79890,.T.); #195061=EDGE_CURVE('',#157102,#157161,#79891,.T.); #195062=EDGE_CURVE('',#157103,#157162,#79892,.T.); #195063=EDGE_CURVE('',#157163,#157164,#79893,.T.); #195064=EDGE_CURVE('',#157164,#157165,#79894,.T.); #195065=EDGE_CURVE('',#157166,#157165,#79895,.T.); #195066=EDGE_CURVE('',#157163,#157166,#79896,.T.); #195067=EDGE_CURVE('',#157167,#157163,#79897,.T.); #195068=EDGE_CURVE('',#157168,#157166,#79898,.T.); #195069=EDGE_CURVE('',#157167,#157168,#79899,.T.); #195070=EDGE_CURVE('',#157169,#157167,#79900,.T.); #195071=EDGE_CURVE('',#157170,#157168,#79901,.T.); #195072=EDGE_CURVE('',#157169,#157170,#79902,.T.); #195073=EDGE_CURVE('',#157171,#157169,#79903,.T.); #195074=EDGE_CURVE('',#157172,#157170,#79904,.T.); #195075=EDGE_CURVE('',#157171,#157172,#79905,.T.); #195076=EDGE_CURVE('',#157173,#157171,#79906,.T.); #195077=EDGE_CURVE('',#157174,#157172,#79907,.T.); #195078=EDGE_CURVE('',#157173,#157174,#79908,.T.); #195079=EDGE_CURVE('',#157175,#157173,#79909,.T.); #195080=EDGE_CURVE('',#157176,#157174,#79910,.T.); #195081=EDGE_CURVE('',#157175,#157176,#79911,.T.); #195082=EDGE_CURVE('',#157177,#157175,#79912,.T.); #195083=EDGE_CURVE('',#157178,#157176,#79913,.T.); #195084=EDGE_CURVE('',#157177,#157178,#79914,.T.); #195085=EDGE_CURVE('',#157179,#157177,#79915,.T.); #195086=EDGE_CURVE('',#157180,#157178,#79916,.T.); #195087=EDGE_CURVE('',#157179,#157180,#79917,.T.); #195088=EDGE_CURVE('',#157181,#157179,#79918,.T.); #195089=EDGE_CURVE('',#157182,#157180,#79919,.T.); #195090=EDGE_CURVE('',#157181,#157182,#79920,.T.); #195091=EDGE_CURVE('',#157183,#157181,#79921,.T.); #195092=EDGE_CURVE('',#157184,#157182,#79922,.T.); #195093=EDGE_CURVE('',#157183,#157184,#79923,.T.); #195094=EDGE_CURVE('',#157185,#157183,#79924,.T.); #195095=EDGE_CURVE('',#157186,#157184,#79925,.T.); #195096=EDGE_CURVE('',#157185,#157186,#79926,.T.); #195097=EDGE_CURVE('',#157187,#157185,#79927,.T.); #195098=EDGE_CURVE('',#157188,#157186,#79928,.T.); #195099=EDGE_CURVE('',#157187,#157188,#79929,.T.); #195100=EDGE_CURVE('',#157189,#157187,#79930,.T.); #195101=EDGE_CURVE('',#157190,#157188,#79931,.T.); #195102=EDGE_CURVE('',#157189,#157190,#79932,.T.); #195103=EDGE_CURVE('',#157191,#157189,#79933,.T.); #195104=EDGE_CURVE('',#157192,#157190,#79934,.T.); #195105=EDGE_CURVE('',#157191,#157192,#79935,.T.); #195106=EDGE_CURVE('',#157193,#157191,#79936,.T.); #195107=EDGE_CURVE('',#157194,#157192,#79937,.T.); #195108=EDGE_CURVE('',#157193,#157194,#79938,.T.); #195109=EDGE_CURVE('',#157195,#157193,#79939,.T.); #195110=EDGE_CURVE('',#157196,#157194,#79940,.T.); #195111=EDGE_CURVE('',#157195,#157196,#79941,.T.); #195112=EDGE_CURVE('',#157197,#157195,#79942,.T.); #195113=EDGE_CURVE('',#157198,#157196,#79943,.T.); #195114=EDGE_CURVE('',#157197,#157198,#79944,.T.); #195115=EDGE_CURVE('',#157199,#157197,#79945,.T.); #195116=EDGE_CURVE('',#157200,#157198,#79946,.T.); #195117=EDGE_CURVE('',#157199,#157200,#79947,.T.); #195118=EDGE_CURVE('',#157201,#157199,#79948,.T.); #195119=EDGE_CURVE('',#157202,#157200,#79949,.T.); #195120=EDGE_CURVE('',#157201,#157202,#79950,.T.); #195121=EDGE_CURVE('',#157203,#157201,#79951,.T.); #195122=EDGE_CURVE('',#157204,#157202,#79952,.T.); #195123=EDGE_CURVE('',#157203,#157204,#79953,.T.); #195124=EDGE_CURVE('',#157205,#157203,#79954,.T.); #195125=EDGE_CURVE('',#157206,#157204,#79955,.T.); #195126=EDGE_CURVE('',#157205,#157206,#79956,.T.); #195127=EDGE_CURVE('',#157164,#157205,#79957,.T.); #195128=EDGE_CURVE('',#157165,#157206,#79958,.T.); #195129=EDGE_CURVE('',#157207,#157208,#79959,.T.); #195130=EDGE_CURVE('',#157208,#157209,#79960,.T.); #195131=EDGE_CURVE('',#157210,#157209,#79961,.T.); #195132=EDGE_CURVE('',#157207,#157210,#79962,.T.); #195133=EDGE_CURVE('',#157211,#157207,#79963,.T.); #195134=EDGE_CURVE('',#157212,#157210,#79964,.T.); #195135=EDGE_CURVE('',#157211,#157212,#79965,.T.); #195136=EDGE_CURVE('',#157213,#157211,#79966,.T.); #195137=EDGE_CURVE('',#157214,#157212,#79967,.T.); #195138=EDGE_CURVE('',#157213,#157214,#79968,.T.); #195139=EDGE_CURVE('',#157215,#157213,#79969,.T.); #195140=EDGE_CURVE('',#157216,#157214,#79970,.T.); #195141=EDGE_CURVE('',#157215,#157216,#79971,.T.); #195142=EDGE_CURVE('',#157217,#157215,#79972,.T.); #195143=EDGE_CURVE('',#157218,#157216,#79973,.T.); #195144=EDGE_CURVE('',#157217,#157218,#79974,.T.); #195145=EDGE_CURVE('',#157219,#157217,#79975,.T.); #195146=EDGE_CURVE('',#157220,#157218,#79976,.T.); #195147=EDGE_CURVE('',#157219,#157220,#79977,.T.); #195148=EDGE_CURVE('',#157221,#157219,#79978,.T.); #195149=EDGE_CURVE('',#157222,#157220,#79979,.T.); #195150=EDGE_CURVE('',#157221,#157222,#79980,.T.); #195151=EDGE_CURVE('',#157223,#157221,#79981,.T.); #195152=EDGE_CURVE('',#157224,#157222,#79982,.T.); #195153=EDGE_CURVE('',#157223,#157224,#79983,.T.); #195154=EDGE_CURVE('',#157225,#157223,#79984,.T.); #195155=EDGE_CURVE('',#157226,#157224,#79985,.T.); #195156=EDGE_CURVE('',#157225,#157226,#79986,.T.); #195157=EDGE_CURVE('',#157227,#157225,#79987,.T.); #195158=EDGE_CURVE('',#157228,#157226,#79988,.T.); #195159=EDGE_CURVE('',#157227,#157228,#79989,.T.); #195160=EDGE_CURVE('',#157229,#157227,#79990,.T.); #195161=EDGE_CURVE('',#157230,#157228,#79991,.T.); #195162=EDGE_CURVE('',#157229,#157230,#79992,.T.); #195163=EDGE_CURVE('',#157231,#157229,#79993,.T.); #195164=EDGE_CURVE('',#157232,#157230,#79994,.T.); #195165=EDGE_CURVE('',#157231,#157232,#79995,.T.); #195166=EDGE_CURVE('',#157233,#157231,#79996,.T.); #195167=EDGE_CURVE('',#157234,#157232,#79997,.T.); #195168=EDGE_CURVE('',#157233,#157234,#79998,.T.); #195169=EDGE_CURVE('',#157235,#157233,#79999,.T.); #195170=EDGE_CURVE('',#157236,#157234,#80000,.T.); #195171=EDGE_CURVE('',#157235,#157236,#80001,.T.); #195172=EDGE_CURVE('',#157237,#157235,#80002,.T.); #195173=EDGE_CURVE('',#157238,#157236,#80003,.T.); #195174=EDGE_CURVE('',#157237,#157238,#80004,.T.); #195175=EDGE_CURVE('',#157239,#157237,#80005,.T.); #195176=EDGE_CURVE('',#157240,#157238,#80006,.T.); #195177=EDGE_CURVE('',#157239,#157240,#80007,.T.); #195178=EDGE_CURVE('',#157241,#157239,#80008,.T.); #195179=EDGE_CURVE('',#157242,#157240,#80009,.T.); #195180=EDGE_CURVE('',#157241,#157242,#80010,.T.); #195181=EDGE_CURVE('',#157243,#157241,#80011,.T.); #195182=EDGE_CURVE('',#157244,#157242,#80012,.T.); #195183=EDGE_CURVE('',#157243,#157244,#80013,.T.); #195184=EDGE_CURVE('',#157245,#157243,#80014,.T.); #195185=EDGE_CURVE('',#157246,#157244,#80015,.T.); #195186=EDGE_CURVE('',#157245,#157246,#80016,.T.); #195187=EDGE_CURVE('',#157208,#157245,#80017,.T.); #195188=EDGE_CURVE('',#157209,#157246,#80018,.T.); #195189=EDGE_CURVE('',#157247,#157247,#137031,.T.); #195190=EDGE_CURVE('',#157247,#157248,#80019,.T.); #195191=EDGE_CURVE('',#157248,#157248,#137032,.T.); #195192=EDGE_CURVE('',#157249,#157249,#137033,.T.); #195193=EDGE_CURVE('',#157249,#157250,#80020,.T.); #195194=EDGE_CURVE('',#157250,#157250,#137034,.T.); #195195=EDGE_CURVE('',#157251,#157251,#137035,.T.); #195196=EDGE_CURVE('',#157251,#157252,#80021,.T.); #195197=EDGE_CURVE('',#157252,#157252,#137036,.T.); #195198=EDGE_CURVE('',#157253,#157253,#137037,.T.); #195199=EDGE_CURVE('',#157253,#157254,#80022,.T.); #195200=EDGE_CURVE('',#157254,#157254,#137038,.T.); #195201=EDGE_CURVE('',#157255,#157255,#137039,.T.); #195202=EDGE_CURVE('',#157255,#157256,#80023,.T.); #195203=EDGE_CURVE('',#157256,#157256,#137040,.T.); #195204=EDGE_CURVE('',#157257,#157257,#137041,.T.); #195205=EDGE_CURVE('',#157257,#157258,#80024,.T.); #195206=EDGE_CURVE('',#157258,#157258,#137042,.T.); #195207=EDGE_CURVE('',#157259,#157259,#137043,.T.); #195208=EDGE_CURVE('',#157259,#157260,#80025,.T.); #195209=EDGE_CURVE('',#157260,#157260,#137044,.T.); #195210=EDGE_CURVE('',#157261,#157261,#137045,.T.); #195211=EDGE_CURVE('',#157261,#157262,#80026,.T.); #195212=EDGE_CURVE('',#157262,#157262,#137046,.T.); #195213=EDGE_CURVE('',#157263,#157263,#137047,.T.); #195214=EDGE_CURVE('',#157263,#157264,#80027,.T.); #195215=EDGE_CURVE('',#157264,#157264,#137048,.T.); #195216=EDGE_CURVE('',#157265,#157265,#137049,.T.); #195217=EDGE_CURVE('',#157265,#157266,#80028,.T.); #195218=EDGE_CURVE('',#157266,#157266,#137050,.T.); #195219=EDGE_CURVE('',#157267,#157267,#137051,.T.); #195220=EDGE_CURVE('',#157267,#157268,#80029,.T.); #195221=EDGE_CURVE('',#157268,#157268,#137052,.T.); #195222=EDGE_CURVE('',#157269,#157269,#137053,.T.); #195223=EDGE_CURVE('',#157269,#157270,#80030,.T.); #195224=EDGE_CURVE('',#157270,#157270,#137054,.T.); #195225=EDGE_CURVE('',#157271,#157271,#137055,.T.); #195226=EDGE_CURVE('',#157271,#157272,#80031,.T.); #195227=EDGE_CURVE('',#157272,#157272,#137056,.T.); #195228=EDGE_CURVE('',#157273,#157273,#137057,.T.); #195229=EDGE_CURVE('',#157273,#157274,#80032,.T.); #195230=EDGE_CURVE('',#157274,#157274,#137058,.T.); #195231=EDGE_CURVE('',#157275,#157275,#137059,.T.); #195232=EDGE_CURVE('',#157275,#157276,#80033,.T.); #195233=EDGE_CURVE('',#157276,#157276,#137060,.T.); #195234=EDGE_CURVE('',#157277,#157277,#137061,.T.); #195235=EDGE_CURVE('',#157277,#157278,#80034,.T.); #195236=EDGE_CURVE('',#157278,#157278,#137062,.T.); #195237=EDGE_CURVE('',#157279,#157279,#137063,.T.); #195238=EDGE_CURVE('',#157279,#157280,#80035,.T.); #195239=EDGE_CURVE('',#157280,#157280,#137064,.T.); #195240=EDGE_CURVE('',#157281,#157281,#137065,.T.); #195241=EDGE_CURVE('',#157281,#157282,#80036,.T.); #195242=EDGE_CURVE('',#157282,#157282,#137066,.T.); #195243=EDGE_CURVE('',#157283,#157283,#137067,.T.); #195244=EDGE_CURVE('',#157283,#157284,#80037,.T.); #195245=EDGE_CURVE('',#157284,#157284,#137068,.T.); #195246=EDGE_CURVE('',#157285,#157285,#137069,.T.); #195247=EDGE_CURVE('',#157285,#157286,#80038,.T.); #195248=EDGE_CURVE('',#157286,#157286,#137070,.T.); #195249=EDGE_CURVE('',#157287,#157287,#137071,.T.); #195250=EDGE_CURVE('',#157287,#157288,#80039,.T.); #195251=EDGE_CURVE('',#157288,#157288,#137072,.T.); #195252=EDGE_CURVE('',#157289,#157289,#137073,.T.); #195253=EDGE_CURVE('',#157289,#157290,#80040,.T.); #195254=EDGE_CURVE('',#157290,#157290,#137074,.T.); #195255=EDGE_CURVE('',#157291,#157291,#137075,.T.); #195256=EDGE_CURVE('',#157291,#157292,#80041,.T.); #195257=EDGE_CURVE('',#157292,#157292,#137076,.T.); #195258=EDGE_CURVE('',#157293,#157293,#137077,.T.); #195259=EDGE_CURVE('',#157293,#157294,#80042,.T.); #195260=EDGE_CURVE('',#157294,#157294,#137078,.T.); #195261=EDGE_CURVE('',#157295,#157295,#137079,.T.); #195262=EDGE_CURVE('',#157295,#157296,#80043,.T.); #195263=EDGE_CURVE('',#157296,#157296,#137080,.T.); #195264=EDGE_CURVE('',#157297,#157297,#137081,.T.); #195265=EDGE_CURVE('',#157297,#157298,#80044,.T.); #195266=EDGE_CURVE('',#157298,#157298,#137082,.T.); #195267=EDGE_CURVE('',#157299,#157299,#137083,.T.); #195268=EDGE_CURVE('',#157299,#157300,#80045,.T.); #195269=EDGE_CURVE('',#157300,#157300,#137084,.T.); #195270=EDGE_CURVE('',#157301,#157301,#137085,.T.); #195271=EDGE_CURVE('',#157301,#157302,#80046,.T.); #195272=EDGE_CURVE('',#157302,#157302,#137086,.T.); #195273=EDGE_CURVE('',#157303,#157303,#137087,.T.); #195274=EDGE_CURVE('',#157303,#157304,#80047,.T.); #195275=EDGE_CURVE('',#157304,#157304,#137088,.T.); #195276=EDGE_CURVE('',#157305,#157305,#137089,.T.); #195277=EDGE_CURVE('',#157305,#157306,#80048,.T.); #195278=EDGE_CURVE('',#157306,#157306,#137090,.T.); #195279=EDGE_CURVE('',#157307,#157307,#137091,.T.); #195280=EDGE_CURVE('',#157307,#157308,#80049,.T.); #195281=EDGE_CURVE('',#157308,#157308,#137092,.T.); #195282=EDGE_CURVE('',#157309,#157309,#137093,.T.); #195283=EDGE_CURVE('',#157309,#157310,#80050,.T.); #195284=EDGE_CURVE('',#157310,#157310,#137094,.T.); #195285=EDGE_CURVE('',#157311,#157311,#137095,.T.); #195286=EDGE_CURVE('',#157311,#157312,#80051,.T.); #195287=EDGE_CURVE('',#157312,#157312,#137096,.T.); #195288=EDGE_CURVE('',#157313,#157313,#137097,.T.); #195289=EDGE_CURVE('',#157313,#157314,#80052,.T.); #195290=EDGE_CURVE('',#157314,#157314,#137098,.T.); #195291=EDGE_CURVE('',#157315,#157315,#137099,.T.); #195292=EDGE_CURVE('',#157315,#157316,#80053,.T.); #195293=EDGE_CURVE('',#157316,#157316,#137100,.T.); #195294=EDGE_CURVE('',#157317,#157317,#137101,.T.); #195295=EDGE_CURVE('',#157317,#157318,#80054,.T.); #195296=EDGE_CURVE('',#157318,#157318,#137102,.T.); #195297=EDGE_CURVE('',#157319,#157319,#137103,.T.); #195298=EDGE_CURVE('',#157319,#157320,#80055,.T.); #195299=EDGE_CURVE('',#157320,#157320,#137104,.T.); #195300=EDGE_CURVE('',#157321,#157321,#137105,.T.); #195301=EDGE_CURVE('',#157321,#157322,#80056,.T.); #195302=EDGE_CURVE('',#157322,#157322,#137106,.T.); #195303=EDGE_CURVE('',#157323,#157323,#137107,.T.); #195304=EDGE_CURVE('',#157323,#157324,#80057,.T.); #195305=EDGE_CURVE('',#157324,#157324,#137108,.T.); #195306=EDGE_CURVE('',#157325,#157325,#137109,.T.); #195307=EDGE_CURVE('',#157325,#157326,#80058,.T.); #195308=EDGE_CURVE('',#157326,#157326,#137110,.T.); #195309=EDGE_CURVE('',#157327,#157327,#137111,.T.); #195310=EDGE_CURVE('',#157327,#157328,#80059,.T.); #195311=EDGE_CURVE('',#157328,#157328,#137112,.T.); #195312=EDGE_CURVE('',#157329,#157329,#137113,.T.); #195313=EDGE_CURVE('',#157329,#157330,#80060,.T.); #195314=EDGE_CURVE('',#157330,#157330,#137114,.T.); #195315=EDGE_CURVE('',#157331,#157331,#137115,.T.); #195316=EDGE_CURVE('',#157331,#157332,#80061,.T.); #195317=EDGE_CURVE('',#157332,#157332,#137116,.T.); #195318=EDGE_CURVE('',#157333,#157333,#137117,.T.); #195319=EDGE_CURVE('',#157333,#157334,#80062,.T.); #195320=EDGE_CURVE('',#157334,#157334,#137118,.T.); #195321=EDGE_CURVE('',#157335,#157335,#137119,.T.); #195322=EDGE_CURVE('',#157335,#157336,#80063,.T.); #195323=EDGE_CURVE('',#157336,#157336,#137120,.T.); #195324=EDGE_CURVE('',#157337,#157337,#137121,.T.); #195325=EDGE_CURVE('',#157337,#157338,#80064,.T.); #195326=EDGE_CURVE('',#157338,#157338,#137122,.T.); #195327=EDGE_CURVE('',#157339,#157339,#137123,.T.); #195328=EDGE_CURVE('',#157339,#157340,#80065,.T.); #195329=EDGE_CURVE('',#157340,#157340,#137124,.T.); #195330=EDGE_CURVE('',#157341,#157341,#137125,.T.); #195331=EDGE_CURVE('',#157341,#157342,#80066,.T.); #195332=EDGE_CURVE('',#157342,#157342,#137126,.T.); #195333=EDGE_CURVE('',#157343,#157343,#137127,.T.); #195334=EDGE_CURVE('',#157343,#157344,#80067,.T.); #195335=EDGE_CURVE('',#157344,#157344,#137128,.T.); #195336=EDGE_CURVE('',#157345,#157345,#137129,.T.); #195337=EDGE_CURVE('',#157345,#157346,#80068,.T.); #195338=EDGE_CURVE('',#157346,#157346,#137130,.T.); #195339=EDGE_CURVE('',#157347,#157347,#137131,.T.); #195340=EDGE_CURVE('',#157347,#157348,#80069,.T.); #195341=EDGE_CURVE('',#157348,#157348,#137132,.T.); #195342=EDGE_CURVE('',#157349,#157349,#137133,.T.); #195343=EDGE_CURVE('',#157349,#157350,#80070,.T.); #195344=EDGE_CURVE('',#157350,#157350,#137134,.T.); #195345=EDGE_CURVE('',#157351,#157351,#137135,.T.); #195346=EDGE_CURVE('',#157351,#157352,#80071,.T.); #195347=EDGE_CURVE('',#157352,#157352,#137136,.T.); #195348=EDGE_CURVE('',#157353,#157353,#137137,.T.); #195349=EDGE_CURVE('',#157353,#157354,#80072,.T.); #195350=EDGE_CURVE('',#157354,#157354,#137138,.T.); #195351=EDGE_CURVE('',#157355,#157355,#137139,.T.); #195352=EDGE_CURVE('',#157355,#157356,#80073,.T.); #195353=EDGE_CURVE('',#157356,#157356,#137140,.T.); #195354=EDGE_CURVE('',#157357,#157357,#137141,.T.); #195355=EDGE_CURVE('',#157357,#157358,#80074,.T.); #195356=EDGE_CURVE('',#157358,#157358,#137142,.T.); #195357=EDGE_CURVE('',#157359,#157359,#137143,.T.); #195358=EDGE_CURVE('',#157359,#157360,#80075,.T.); #195359=EDGE_CURVE('',#157360,#157360,#137144,.T.); #195360=EDGE_CURVE('',#157361,#157361,#137145,.T.); #195361=EDGE_CURVE('',#157361,#157362,#80076,.T.); #195362=EDGE_CURVE('',#157362,#157362,#137146,.T.); #195363=EDGE_CURVE('',#157363,#157363,#137147,.T.); #195364=EDGE_CURVE('',#157363,#157364,#80077,.T.); #195365=EDGE_CURVE('',#157364,#157364,#137148,.T.); #195366=EDGE_CURVE('',#157365,#157365,#137149,.T.); #195367=EDGE_CURVE('',#157365,#157366,#80078,.T.); #195368=EDGE_CURVE('',#157366,#157366,#137150,.T.); #195369=EDGE_CURVE('',#157367,#157367,#137151,.T.); #195370=EDGE_CURVE('',#157367,#157368,#80079,.T.); #195371=EDGE_CURVE('',#157368,#157368,#137152,.T.); #195372=EDGE_CURVE('',#157369,#157369,#137153,.T.); #195373=EDGE_CURVE('',#157369,#157370,#80080,.T.); #195374=EDGE_CURVE('',#157370,#157370,#137154,.T.); #195375=EDGE_CURVE('',#157371,#157371,#137155,.T.); #195376=EDGE_CURVE('',#157371,#157372,#80081,.T.); #195377=EDGE_CURVE('',#157372,#157372,#137156,.T.); #195378=EDGE_CURVE('',#157373,#157373,#137157,.T.); #195379=EDGE_CURVE('',#157373,#157374,#80082,.T.); #195380=EDGE_CURVE('',#157374,#157374,#137158,.T.); #195381=EDGE_CURVE('',#157375,#157376,#80083,.T.); #195382=EDGE_CURVE('',#157377,#157375,#80084,.T.); #195383=EDGE_CURVE('',#157378,#157377,#80085,.T.); #195384=EDGE_CURVE('',#157378,#157376,#80086,.T.); #195385=EDGE_CURVE('',#157376,#157379,#137159,.T.); #195386=EDGE_CURVE('',#157380,#157378,#137160,.T.); #195387=EDGE_CURVE('',#157380,#157379,#80087,.T.); #195388=EDGE_CURVE('',#157379,#157381,#80088,.T.); #195389=EDGE_CURVE('',#157382,#157380,#80089,.T.); #195390=EDGE_CURVE('',#157382,#157381,#80090,.T.); #195391=EDGE_CURVE('',#157381,#157375,#137161,.T.); #195392=EDGE_CURVE('',#157377,#157382,#137162,.T.); #195393=EDGE_CURVE('',#157383,#157384,#80091,.T.); #195394=EDGE_CURVE('',#157385,#157383,#80092,.T.); #195395=EDGE_CURVE('',#157386,#157385,#80093,.T.); #195396=EDGE_CURVE('',#157386,#157384,#80094,.T.); #195397=EDGE_CURVE('',#157384,#157387,#137163,.T.); #195398=EDGE_CURVE('',#157388,#157386,#137164,.T.); #195399=EDGE_CURVE('',#157388,#157387,#80095,.T.); #195400=EDGE_CURVE('',#157387,#157389,#80096,.T.); #195401=EDGE_CURVE('',#157390,#157388,#80097,.T.); #195402=EDGE_CURVE('',#157390,#157389,#80098,.T.); #195403=EDGE_CURVE('',#157389,#157383,#137165,.T.); #195404=EDGE_CURVE('',#157385,#157390,#137166,.T.); #195405=EDGE_CURVE('',#157391,#157391,#137167,.T.); #195406=EDGE_CURVE('',#157391,#157392,#80099,.T.); #195407=EDGE_CURVE('',#157392,#157392,#137168,.T.); #195408=EDGE_CURVE('',#157393,#157393,#137169,.T.); #195409=EDGE_CURVE('',#157393,#157394,#80100,.T.); #195410=EDGE_CURVE('',#157394,#157394,#137170,.T.); #195411=EDGE_CURVE('',#157395,#157396,#80101,.T.); #195412=EDGE_CURVE('',#157397,#157395,#80102,.T.); #195413=EDGE_CURVE('',#157398,#157397,#80103,.T.); #195414=EDGE_CURVE('',#157398,#157396,#80104,.T.); #195415=EDGE_CURVE('',#157396,#157399,#137171,.T.); #195416=EDGE_CURVE('',#157400,#157398,#137172,.T.); #195417=EDGE_CURVE('',#157400,#157399,#80105,.T.); #195418=EDGE_CURVE('',#157399,#157401,#80106,.T.); #195419=EDGE_CURVE('',#157402,#157400,#80107,.T.); #195420=EDGE_CURVE('',#157402,#157401,#80108,.T.); #195421=EDGE_CURVE('',#157401,#157395,#137173,.T.); #195422=EDGE_CURVE('',#157397,#157402,#137174,.T.); #195423=EDGE_CURVE('',#157403,#157403,#137175,.T.); #195424=EDGE_CURVE('',#157403,#157404,#80109,.T.); #195425=EDGE_CURVE('',#157404,#157404,#137176,.T.); #195426=EDGE_CURVE('',#157405,#157405,#137177,.T.); #195427=EDGE_CURVE('',#157405,#157406,#80110,.T.); #195428=EDGE_CURVE('',#157406,#157406,#137178,.T.); #195429=EDGE_CURVE('',#157407,#157407,#137179,.T.); #195430=EDGE_CURVE('',#157407,#157408,#80111,.T.); #195431=EDGE_CURVE('',#157408,#157408,#137180,.T.); #195432=EDGE_CURVE('',#157409,#157409,#137181,.T.); #195433=EDGE_CURVE('',#157409,#157410,#80112,.T.); #195434=EDGE_CURVE('',#157410,#157410,#137182,.T.); #195435=EDGE_CURVE('',#157411,#157411,#137183,.T.); #195436=EDGE_CURVE('',#157411,#157412,#80113,.T.); #195437=EDGE_CURVE('',#157412,#157412,#137184,.T.); #195438=EDGE_CURVE('',#157413,#157414,#80114,.T.); #195439=EDGE_CURVE('',#157415,#157413,#80115,.T.); #195440=EDGE_CURVE('',#157416,#157415,#80116,.T.); #195441=EDGE_CURVE('',#157416,#157414,#80117,.T.); #195442=EDGE_CURVE('',#157414,#157417,#137185,.T.); #195443=EDGE_CURVE('',#157418,#157416,#137186,.T.); #195444=EDGE_CURVE('',#157418,#157417,#80118,.T.); #195445=EDGE_CURVE('',#157417,#157419,#80119,.T.); #195446=EDGE_CURVE('',#157420,#157418,#80120,.T.); #195447=EDGE_CURVE('',#157420,#157419,#80121,.T.); #195448=EDGE_CURVE('',#157419,#157413,#137187,.T.); #195449=EDGE_CURVE('',#157415,#157420,#137188,.T.); #195450=EDGE_CURVE('',#157421,#157421,#137189,.T.); #195451=EDGE_CURVE('',#157421,#157422,#80122,.T.); #195452=EDGE_CURVE('',#157422,#157422,#137190,.T.); #195453=EDGE_CURVE('',#157423,#157424,#80123,.T.); #195454=EDGE_CURVE('',#157425,#157423,#80124,.T.); #195455=EDGE_CURVE('',#157426,#157425,#80125,.T.); #195456=EDGE_CURVE('',#157426,#157424,#80126,.T.); #195457=EDGE_CURVE('',#157424,#157427,#137191,.T.); #195458=EDGE_CURVE('',#157428,#157426,#137192,.T.); #195459=EDGE_CURVE('',#157428,#157427,#80127,.T.); #195460=EDGE_CURVE('',#157427,#157429,#80128,.T.); #195461=EDGE_CURVE('',#157430,#157428,#80129,.T.); #195462=EDGE_CURVE('',#157430,#157429,#80130,.T.); #195463=EDGE_CURVE('',#157429,#157423,#137193,.T.); #195464=EDGE_CURVE('',#157425,#157430,#137194,.T.); #195465=EDGE_CURVE('',#157431,#157432,#80131,.T.); #195466=EDGE_CURVE('',#157433,#157431,#80132,.T.); #195467=EDGE_CURVE('',#157434,#157433,#80133,.T.); #195468=EDGE_CURVE('',#157434,#157432,#80134,.T.); #195469=EDGE_CURVE('',#157432,#157435,#137195,.T.); #195470=EDGE_CURVE('',#157436,#157434,#137196,.T.); #195471=EDGE_CURVE('',#157436,#157435,#80135,.T.); #195472=EDGE_CURVE('',#157435,#157437,#80136,.T.); #195473=EDGE_CURVE('',#157438,#157436,#80137,.T.); #195474=EDGE_CURVE('',#157438,#157437,#80138,.T.); #195475=EDGE_CURVE('',#157437,#157431,#137197,.T.); #195476=EDGE_CURVE('',#157433,#157438,#137198,.T.); #195477=EDGE_CURVE('',#157439,#157439,#137199,.T.); #195478=EDGE_CURVE('',#157439,#157440,#80139,.T.); #195479=EDGE_CURVE('',#157440,#157440,#137200,.T.); #195480=EDGE_CURVE('',#157441,#157441,#137201,.T.); #195481=EDGE_CURVE('',#157441,#157442,#80140,.T.); #195482=EDGE_CURVE('',#157442,#157442,#137202,.T.); #195483=EDGE_CURVE('',#157443,#157443,#137203,.T.); #195484=EDGE_CURVE('',#157443,#157444,#80141,.T.); #195485=EDGE_CURVE('',#157444,#157444,#137204,.T.); #195486=EDGE_CURVE('',#157445,#157445,#137205,.T.); #195487=EDGE_CURVE('',#157445,#157446,#80142,.T.); #195488=EDGE_CURVE('',#157446,#157446,#137206,.T.); #195489=EDGE_CURVE('',#157447,#157447,#137207,.T.); #195490=EDGE_CURVE('',#157447,#157448,#80143,.T.); #195491=EDGE_CURVE('',#157448,#157448,#137208,.T.); #195492=EDGE_CURVE('',#157449,#157449,#137209,.T.); #195493=EDGE_CURVE('',#157449,#157450,#80144,.T.); #195494=EDGE_CURVE('',#157450,#157450,#137210,.T.); #195495=EDGE_CURVE('',#157451,#157451,#137211,.T.); #195496=EDGE_CURVE('',#157451,#157452,#80145,.T.); #195497=EDGE_CURVE('',#157452,#157452,#137212,.T.); #195498=EDGE_CURVE('',#157453,#157453,#137213,.T.); #195499=EDGE_CURVE('',#157453,#157454,#80146,.T.); #195500=EDGE_CURVE('',#157454,#157454,#137214,.T.); #195501=EDGE_CURVE('',#157455,#157455,#137215,.T.); #195502=EDGE_CURVE('',#157455,#157456,#80147,.T.); #195503=EDGE_CURVE('',#157456,#157456,#137216,.T.); #195504=EDGE_CURVE('',#157457,#157457,#137217,.T.); #195505=EDGE_CURVE('',#157457,#157458,#80148,.T.); #195506=EDGE_CURVE('',#157458,#157458,#137218,.T.); #195507=EDGE_CURVE('',#157459,#157459,#137219,.T.); #195508=EDGE_CURVE('',#157459,#157460,#80149,.T.); #195509=EDGE_CURVE('',#157460,#157460,#137220,.T.); #195510=EDGE_CURVE('',#157461,#157461,#137221,.T.); #195511=EDGE_CURVE('',#157461,#157462,#80150,.T.); #195512=EDGE_CURVE('',#157462,#157462,#137222,.T.); #195513=EDGE_CURVE('',#157463,#157463,#137223,.T.); #195514=EDGE_CURVE('',#157463,#157464,#80151,.T.); #195515=EDGE_CURVE('',#157464,#157464,#137224,.T.); #195516=EDGE_CURVE('',#157465,#157465,#137225,.T.); #195517=EDGE_CURVE('',#157465,#157466,#80152,.T.); #195518=EDGE_CURVE('',#157466,#157466,#137226,.T.); #195519=EDGE_CURVE('',#157467,#157467,#137227,.T.); #195520=EDGE_CURVE('',#157467,#157468,#80153,.T.); #195521=EDGE_CURVE('',#157468,#157468,#137228,.T.); #195522=EDGE_CURVE('',#157469,#157469,#137229,.T.); #195523=EDGE_CURVE('',#157469,#157470,#80154,.T.); #195524=EDGE_CURVE('',#157470,#157470,#137230,.T.); #195525=EDGE_CURVE('',#157471,#157471,#137231,.T.); #195526=EDGE_CURVE('',#157471,#157472,#80155,.T.); #195527=EDGE_CURVE('',#157472,#157472,#137232,.T.); #195528=EDGE_CURVE('',#157473,#157473,#137233,.T.); #195529=EDGE_CURVE('',#157473,#157474,#80156,.T.); #195530=EDGE_CURVE('',#157474,#157474,#137234,.T.); #195531=EDGE_CURVE('',#157475,#157475,#137235,.T.); #195532=EDGE_CURVE('',#157475,#157476,#80157,.T.); #195533=EDGE_CURVE('',#157476,#157476,#137236,.T.); #195534=EDGE_CURVE('',#157477,#157477,#137237,.T.); #195535=EDGE_CURVE('',#157477,#157478,#80158,.T.); #195536=EDGE_CURVE('',#157478,#157478,#137238,.T.); #195537=EDGE_CURVE('',#157479,#157479,#137239,.T.); #195538=EDGE_CURVE('',#157479,#157480,#80159,.T.); #195539=EDGE_CURVE('',#157480,#157480,#137240,.T.); #195540=EDGE_CURVE('',#157481,#157481,#137241,.T.); #195541=EDGE_CURVE('',#157481,#157482,#80160,.T.); #195542=EDGE_CURVE('',#157482,#157482,#137242,.T.); #195543=EDGE_CURVE('',#157483,#157483,#137243,.T.); #195544=EDGE_CURVE('',#157483,#157484,#80161,.T.); #195545=EDGE_CURVE('',#157484,#157484,#137244,.T.); #195546=EDGE_CURVE('',#157485,#157485,#137245,.T.); #195547=EDGE_CURVE('',#157485,#157486,#80162,.T.); #195548=EDGE_CURVE('',#157486,#157486,#137246,.T.); #195549=EDGE_CURVE('',#157487,#157487,#137247,.T.); #195550=EDGE_CURVE('',#157487,#157488,#80163,.T.); #195551=EDGE_CURVE('',#157488,#157488,#137248,.T.); #195552=EDGE_CURVE('',#157489,#157489,#137249,.T.); #195553=EDGE_CURVE('',#157489,#157490,#80164,.T.); #195554=EDGE_CURVE('',#157490,#157490,#137250,.T.); #195555=EDGE_CURVE('',#157491,#157491,#137251,.T.); #195556=EDGE_CURVE('',#157491,#157492,#80165,.T.); #195557=EDGE_CURVE('',#157492,#157492,#137252,.T.); #195558=EDGE_CURVE('',#157493,#157493,#137253,.T.); #195559=EDGE_CURVE('',#157493,#157494,#80166,.T.); #195560=EDGE_CURVE('',#157494,#157494,#137254,.T.); #195561=EDGE_CURVE('',#157495,#157495,#137255,.T.); #195562=EDGE_CURVE('',#157495,#157496,#80167,.T.); #195563=EDGE_CURVE('',#157496,#157496,#137256,.T.); #195564=EDGE_CURVE('',#157497,#157497,#137257,.T.); #195565=EDGE_CURVE('',#157497,#157498,#80168,.T.); #195566=EDGE_CURVE('',#157498,#157498,#137258,.T.); #195567=EDGE_CURVE('',#157499,#157499,#137259,.T.); #195568=EDGE_CURVE('',#157499,#157500,#80169,.T.); #195569=EDGE_CURVE('',#157500,#157500,#137260,.T.); #195570=EDGE_CURVE('',#157501,#157501,#137261,.T.); #195571=EDGE_CURVE('',#157501,#157502,#80170,.T.); #195572=EDGE_CURVE('',#157502,#157502,#137262,.T.); #195573=EDGE_CURVE('',#157503,#157503,#137263,.T.); #195574=EDGE_CURVE('',#157503,#157504,#80171,.T.); #195575=EDGE_CURVE('',#157504,#157504,#137264,.T.); #195576=EDGE_CURVE('',#157505,#157505,#137265,.T.); #195577=EDGE_CURVE('',#157505,#157506,#80172,.T.); #195578=EDGE_CURVE('',#157506,#157506,#137266,.T.); #195579=EDGE_CURVE('',#157507,#157507,#137267,.T.); #195580=EDGE_CURVE('',#157507,#157508,#80173,.T.); #195581=EDGE_CURVE('',#157508,#157508,#137268,.T.); #195582=EDGE_CURVE('',#157509,#157509,#137269,.T.); #195583=EDGE_CURVE('',#157509,#157510,#80174,.T.); #195584=EDGE_CURVE('',#157510,#157510,#137270,.T.); #195585=EDGE_CURVE('',#157511,#157511,#137271,.T.); #195586=EDGE_CURVE('',#157511,#157512,#80175,.T.); #195587=EDGE_CURVE('',#157512,#157512,#137272,.T.); #195588=EDGE_CURVE('',#157513,#157513,#137273,.T.); #195589=EDGE_CURVE('',#157513,#157514,#80176,.T.); #195590=EDGE_CURVE('',#157514,#157514,#137274,.T.); #195591=EDGE_CURVE('',#157515,#157515,#137275,.T.); #195592=EDGE_CURVE('',#157515,#157516,#80177,.T.); #195593=EDGE_CURVE('',#157516,#157516,#137276,.T.); #195594=EDGE_CURVE('',#157517,#157517,#137277,.T.); #195595=EDGE_CURVE('',#157517,#157518,#80178,.T.); #195596=EDGE_CURVE('',#157518,#157518,#137278,.T.); #195597=EDGE_CURVE('',#157519,#157519,#137279,.T.); #195598=EDGE_CURVE('',#157519,#157520,#80179,.T.); #195599=EDGE_CURVE('',#157520,#157520,#137280,.T.); #195600=EDGE_CURVE('',#157521,#157521,#137281,.T.); #195601=EDGE_CURVE('',#157521,#157522,#80180,.T.); #195602=EDGE_CURVE('',#157522,#157522,#137282,.T.); #195603=EDGE_CURVE('',#157523,#157523,#137283,.T.); #195604=EDGE_CURVE('',#157523,#157524,#80181,.T.); #195605=EDGE_CURVE('',#157524,#157524,#137284,.T.); #195606=EDGE_CURVE('',#157525,#157525,#137285,.T.); #195607=EDGE_CURVE('',#157525,#157526,#80182,.T.); #195608=EDGE_CURVE('',#157526,#157526,#137286,.T.); #195609=EDGE_CURVE('',#157527,#157527,#137287,.T.); #195610=EDGE_CURVE('',#157527,#157528,#80183,.T.); #195611=EDGE_CURVE('',#157528,#157528,#137288,.T.); #195612=EDGE_CURVE('',#157529,#157529,#137289,.T.); #195613=EDGE_CURVE('',#157529,#157530,#80184,.T.); #195614=EDGE_CURVE('',#157530,#157530,#137290,.T.); #195615=EDGE_CURVE('',#157531,#157531,#137291,.T.); #195616=EDGE_CURVE('',#157531,#157532,#80185,.T.); #195617=EDGE_CURVE('',#157532,#157532,#137292,.T.); #195618=EDGE_CURVE('',#157533,#157533,#137293,.T.); #195619=EDGE_CURVE('',#157533,#157534,#80186,.T.); #195620=EDGE_CURVE('',#157534,#157534,#137294,.T.); #195621=EDGE_CURVE('',#157535,#157535,#137295,.T.); #195622=EDGE_CURVE('',#157535,#157536,#80187,.T.); #195623=EDGE_CURVE('',#157536,#157536,#137296,.T.); #195624=EDGE_CURVE('',#157537,#157537,#137297,.T.); #195625=EDGE_CURVE('',#157537,#157538,#80188,.T.); #195626=EDGE_CURVE('',#157538,#157538,#137298,.T.); #195627=EDGE_CURVE('',#157539,#157539,#137299,.T.); #195628=EDGE_CURVE('',#157539,#157540,#80189,.T.); #195629=EDGE_CURVE('',#157540,#157540,#137300,.T.); #195630=EDGE_CURVE('',#157541,#157541,#137301,.T.); #195631=EDGE_CURVE('',#157541,#157542,#80190,.T.); #195632=EDGE_CURVE('',#157542,#157542,#137302,.T.); #195633=EDGE_CURVE('',#157543,#157544,#80191,.T.); #195634=EDGE_CURVE('',#157544,#157545,#80192,.T.); #195635=EDGE_CURVE('',#157546,#157545,#80193,.T.); #195636=EDGE_CURVE('',#157543,#157546,#80194,.T.); #195637=EDGE_CURVE('',#157547,#157543,#80195,.T.); #195638=EDGE_CURVE('',#157548,#157546,#80196,.T.); #195639=EDGE_CURVE('',#157547,#157548,#80197,.T.); #195640=EDGE_CURVE('',#157549,#157547,#80198,.T.); #195641=EDGE_CURVE('',#157550,#157548,#80199,.T.); #195642=EDGE_CURVE('',#157549,#157550,#80200,.T.); #195643=EDGE_CURVE('',#157551,#157549,#80201,.T.); #195644=EDGE_CURVE('',#157552,#157550,#80202,.T.); #195645=EDGE_CURVE('',#157551,#157552,#80203,.T.); #195646=EDGE_CURVE('',#157553,#157551,#80204,.T.); #195647=EDGE_CURVE('',#157554,#157552,#80205,.T.); #195648=EDGE_CURVE('',#157553,#157554,#80206,.T.); #195649=EDGE_CURVE('',#157555,#157553,#80207,.T.); #195650=EDGE_CURVE('',#157556,#157554,#80208,.T.); #195651=EDGE_CURVE('',#157555,#157556,#80209,.T.); #195652=EDGE_CURVE('',#157557,#157555,#80210,.T.); #195653=EDGE_CURVE('',#157558,#157556,#80211,.T.); #195654=EDGE_CURVE('',#157557,#157558,#80212,.T.); #195655=EDGE_CURVE('',#157559,#157557,#80213,.T.); #195656=EDGE_CURVE('',#157560,#157558,#80214,.T.); #195657=EDGE_CURVE('',#157559,#157560,#80215,.T.); #195658=EDGE_CURVE('',#157561,#157559,#80216,.T.); #195659=EDGE_CURVE('',#157562,#157560,#80217,.T.); #195660=EDGE_CURVE('',#157561,#157562,#80218,.T.); #195661=EDGE_CURVE('',#157563,#157561,#80219,.T.); #195662=EDGE_CURVE('',#157564,#157562,#80220,.T.); #195663=EDGE_CURVE('',#157563,#157564,#80221,.T.); #195664=EDGE_CURVE('',#157565,#157563,#80222,.T.); #195665=EDGE_CURVE('',#157566,#157564,#80223,.T.); #195666=EDGE_CURVE('',#157565,#157566,#80224,.T.); #195667=EDGE_CURVE('',#157567,#157565,#80225,.T.); #195668=EDGE_CURVE('',#157568,#157566,#80226,.T.); #195669=EDGE_CURVE('',#157567,#157568,#80227,.T.); #195670=EDGE_CURVE('',#157569,#157567,#80228,.T.); #195671=EDGE_CURVE('',#157570,#157568,#80229,.T.); #195672=EDGE_CURVE('',#157569,#157570,#80230,.T.); #195673=EDGE_CURVE('',#157571,#157569,#80231,.T.); #195674=EDGE_CURVE('',#157572,#157570,#80232,.T.); #195675=EDGE_CURVE('',#157571,#157572,#80233,.T.); #195676=EDGE_CURVE('',#157573,#157571,#80234,.T.); #195677=EDGE_CURVE('',#157574,#157572,#80235,.T.); #195678=EDGE_CURVE('',#157573,#157574,#80236,.T.); #195679=EDGE_CURVE('',#157575,#157573,#80237,.T.); #195680=EDGE_CURVE('',#157576,#157574,#80238,.T.); #195681=EDGE_CURVE('',#157575,#157576,#80239,.T.); #195682=EDGE_CURVE('',#157577,#157575,#80240,.T.); #195683=EDGE_CURVE('',#157578,#157576,#80241,.T.); #195684=EDGE_CURVE('',#157577,#157578,#80242,.T.); #195685=EDGE_CURVE('',#157579,#157577,#80243,.T.); #195686=EDGE_CURVE('',#157580,#157578,#80244,.T.); #195687=EDGE_CURVE('',#157579,#157580,#80245,.T.); #195688=EDGE_CURVE('',#157581,#157579,#80246,.T.); #195689=EDGE_CURVE('',#157582,#157580,#80247,.T.); #195690=EDGE_CURVE('',#157581,#157582,#80248,.T.); #195691=EDGE_CURVE('',#157583,#157581,#80249,.T.); #195692=EDGE_CURVE('',#157584,#157582,#80250,.T.); #195693=EDGE_CURVE('',#157583,#157584,#80251,.T.); #195694=EDGE_CURVE('',#157585,#157583,#80252,.T.); #195695=EDGE_CURVE('',#157586,#157584,#80253,.T.); #195696=EDGE_CURVE('',#157585,#157586,#80254,.T.); #195697=EDGE_CURVE('',#157587,#157585,#80255,.T.); #195698=EDGE_CURVE('',#157588,#157586,#80256,.T.); #195699=EDGE_CURVE('',#157587,#157588,#80257,.T.); #195700=EDGE_CURVE('',#157589,#157587,#80258,.T.); #195701=EDGE_CURVE('',#157590,#157588,#80259,.T.); #195702=EDGE_CURVE('',#157589,#157590,#80260,.T.); #195703=EDGE_CURVE('',#157591,#157589,#80261,.T.); #195704=EDGE_CURVE('',#157592,#157590,#80262,.T.); #195705=EDGE_CURVE('',#157591,#157592,#80263,.T.); #195706=EDGE_CURVE('',#157593,#157591,#80264,.T.); #195707=EDGE_CURVE('',#157594,#157592,#80265,.T.); #195708=EDGE_CURVE('',#157593,#157594,#80266,.T.); #195709=EDGE_CURVE('',#157595,#157593,#80267,.T.); #195710=EDGE_CURVE('',#157596,#157594,#80268,.T.); #195711=EDGE_CURVE('',#157595,#157596,#80269,.T.); #195712=EDGE_CURVE('',#157597,#157595,#80270,.T.); #195713=EDGE_CURVE('',#157598,#157596,#80271,.T.); #195714=EDGE_CURVE('',#157597,#157598,#80272,.T.); #195715=EDGE_CURVE('',#157599,#157597,#80273,.T.); #195716=EDGE_CURVE('',#157600,#157598,#80274,.T.); #195717=EDGE_CURVE('',#157599,#157600,#80275,.T.); #195718=EDGE_CURVE('',#157601,#157599,#80276,.T.); #195719=EDGE_CURVE('',#157602,#157600,#80277,.T.); #195720=EDGE_CURVE('',#157601,#157602,#80278,.T.); #195721=EDGE_CURVE('',#157603,#157601,#80279,.T.); #195722=EDGE_CURVE('',#157604,#157602,#80280,.T.); #195723=EDGE_CURVE('',#157603,#157604,#80281,.T.); #195724=EDGE_CURVE('',#157605,#157603,#80282,.T.); #195725=EDGE_CURVE('',#157606,#157604,#80283,.T.); #195726=EDGE_CURVE('',#157605,#157606,#80284,.T.); #195727=EDGE_CURVE('',#157607,#157605,#80285,.T.); #195728=EDGE_CURVE('',#157608,#157606,#80286,.T.); #195729=EDGE_CURVE('',#157607,#157608,#80287,.T.); #195730=EDGE_CURVE('',#157609,#157607,#80288,.T.); #195731=EDGE_CURVE('',#157610,#157608,#80289,.T.); #195732=EDGE_CURVE('',#157609,#157610,#80290,.T.); #195733=EDGE_CURVE('',#157611,#157609,#80291,.T.); #195734=EDGE_CURVE('',#157612,#157610,#80292,.T.); #195735=EDGE_CURVE('',#157611,#157612,#80293,.T.); #195736=EDGE_CURVE('',#157613,#157611,#80294,.T.); #195737=EDGE_CURVE('',#157614,#157612,#80295,.T.); #195738=EDGE_CURVE('',#157613,#157614,#80296,.T.); #195739=EDGE_CURVE('',#157615,#157613,#80297,.T.); #195740=EDGE_CURVE('',#157616,#157614,#80298,.T.); #195741=EDGE_CURVE('',#157615,#157616,#80299,.T.); #195742=EDGE_CURVE('',#157617,#157615,#80300,.T.); #195743=EDGE_CURVE('',#157618,#157616,#80301,.T.); #195744=EDGE_CURVE('',#157617,#157618,#80302,.T.); #195745=EDGE_CURVE('',#157619,#157617,#80303,.T.); #195746=EDGE_CURVE('',#157620,#157618,#80304,.T.); #195747=EDGE_CURVE('',#157619,#157620,#80305,.T.); #195748=EDGE_CURVE('',#157621,#157619,#80306,.T.); #195749=EDGE_CURVE('',#157622,#157620,#80307,.T.); #195750=EDGE_CURVE('',#157621,#157622,#80308,.T.); #195751=EDGE_CURVE('',#157623,#157621,#80309,.T.); #195752=EDGE_CURVE('',#157624,#157622,#80310,.T.); #195753=EDGE_CURVE('',#157623,#157624,#80311,.T.); #195754=EDGE_CURVE('',#157625,#157623,#80312,.T.); #195755=EDGE_CURVE('',#157626,#157624,#80313,.T.); #195756=EDGE_CURVE('',#157625,#157626,#80314,.T.); #195757=EDGE_CURVE('',#157627,#157625,#80315,.T.); #195758=EDGE_CURVE('',#157628,#157626,#80316,.T.); #195759=EDGE_CURVE('',#157627,#157628,#80317,.T.); #195760=EDGE_CURVE('',#157629,#157627,#80318,.T.); #195761=EDGE_CURVE('',#157630,#157628,#80319,.T.); #195762=EDGE_CURVE('',#157629,#157630,#80320,.T.); #195763=EDGE_CURVE('',#157631,#157629,#80321,.T.); #195764=EDGE_CURVE('',#157632,#157630,#80322,.T.); #195765=EDGE_CURVE('',#157631,#157632,#80323,.T.); #195766=EDGE_CURVE('',#157633,#157631,#80324,.T.); #195767=EDGE_CURVE('',#157634,#157632,#80325,.T.); #195768=EDGE_CURVE('',#157633,#157634,#80326,.T.); #195769=EDGE_CURVE('',#157635,#157633,#80327,.T.); #195770=EDGE_CURVE('',#157636,#157634,#80328,.T.); #195771=EDGE_CURVE('',#157635,#157636,#80329,.T.); #195772=EDGE_CURVE('',#157637,#157635,#80330,.T.); #195773=EDGE_CURVE('',#157638,#157636,#80331,.T.); #195774=EDGE_CURVE('',#157637,#157638,#80332,.T.); #195775=EDGE_CURVE('',#157639,#157637,#80333,.T.); #195776=EDGE_CURVE('',#157640,#157638,#80334,.T.); #195777=EDGE_CURVE('',#157639,#157640,#80335,.T.); #195778=EDGE_CURVE('',#157641,#157639,#80336,.T.); #195779=EDGE_CURVE('',#157642,#157640,#80337,.T.); #195780=EDGE_CURVE('',#157641,#157642,#80338,.T.); #195781=EDGE_CURVE('',#157643,#157641,#80339,.T.); #195782=EDGE_CURVE('',#157644,#157642,#80340,.T.); #195783=EDGE_CURVE('',#157643,#157644,#80341,.T.); #195784=EDGE_CURVE('',#157645,#157643,#80342,.T.); #195785=EDGE_CURVE('',#157646,#157644,#80343,.T.); #195786=EDGE_CURVE('',#157645,#157646,#80344,.T.); #195787=EDGE_CURVE('',#157647,#157645,#80345,.T.); #195788=EDGE_CURVE('',#157648,#157646,#80346,.T.); #195789=EDGE_CURVE('',#157647,#157648,#80347,.T.); #195790=EDGE_CURVE('',#157649,#157647,#80348,.T.); #195791=EDGE_CURVE('',#157650,#157648,#80349,.T.); #195792=EDGE_CURVE('',#157649,#157650,#80350,.T.); #195793=EDGE_CURVE('',#157651,#157649,#80351,.T.); #195794=EDGE_CURVE('',#157652,#157650,#80352,.T.); #195795=EDGE_CURVE('',#157651,#157652,#80353,.T.); #195796=EDGE_CURVE('',#157653,#157651,#80354,.T.); #195797=EDGE_CURVE('',#157654,#157652,#80355,.T.); #195798=EDGE_CURVE('',#157653,#157654,#80356,.T.); #195799=EDGE_CURVE('',#157655,#157653,#80357,.T.); #195800=EDGE_CURVE('',#157656,#157654,#80358,.T.); #195801=EDGE_CURVE('',#157655,#157656,#80359,.T.); #195802=EDGE_CURVE('',#157657,#157655,#80360,.T.); #195803=EDGE_CURVE('',#157658,#157656,#80361,.T.); #195804=EDGE_CURVE('',#157657,#157658,#80362,.T.); #195805=EDGE_CURVE('',#157659,#157657,#80363,.T.); #195806=EDGE_CURVE('',#157660,#157658,#80364,.T.); #195807=EDGE_CURVE('',#157659,#157660,#80365,.T.); #195808=EDGE_CURVE('',#157661,#157659,#80366,.T.); #195809=EDGE_CURVE('',#157662,#157660,#80367,.T.); #195810=EDGE_CURVE('',#157661,#157662,#80368,.T.); #195811=EDGE_CURVE('',#157663,#157661,#80369,.T.); #195812=EDGE_CURVE('',#157664,#157662,#80370,.T.); #195813=EDGE_CURVE('',#157663,#157664,#80371,.T.); #195814=EDGE_CURVE('',#157665,#157663,#80372,.T.); #195815=EDGE_CURVE('',#157666,#157664,#80373,.T.); #195816=EDGE_CURVE('',#157665,#157666,#80374,.T.); #195817=EDGE_CURVE('',#157667,#157665,#80375,.T.); #195818=EDGE_CURVE('',#157668,#157666,#80376,.T.); #195819=EDGE_CURVE('',#157667,#157668,#80377,.T.); #195820=EDGE_CURVE('',#157669,#157667,#80378,.T.); #195821=EDGE_CURVE('',#157670,#157668,#80379,.T.); #195822=EDGE_CURVE('',#157669,#157670,#80380,.T.); #195823=EDGE_CURVE('',#157671,#157669,#80381,.T.); #195824=EDGE_CURVE('',#157672,#157670,#80382,.T.); #195825=EDGE_CURVE('',#157671,#157672,#80383,.T.); #195826=EDGE_CURVE('',#157673,#157671,#80384,.T.); #195827=EDGE_CURVE('',#157674,#157672,#80385,.T.); #195828=EDGE_CURVE('',#157673,#157674,#80386,.T.); #195829=EDGE_CURVE('',#157675,#157673,#80387,.T.); #195830=EDGE_CURVE('',#157676,#157674,#80388,.T.); #195831=EDGE_CURVE('',#157675,#157676,#80389,.T.); #195832=EDGE_CURVE('',#157677,#157675,#80390,.T.); #195833=EDGE_CURVE('',#157678,#157676,#80391,.T.); #195834=EDGE_CURVE('',#157677,#157678,#80392,.T.); #195835=EDGE_CURVE('',#157679,#157677,#80393,.T.); #195836=EDGE_CURVE('',#157680,#157678,#80394,.T.); #195837=EDGE_CURVE('',#157679,#157680,#80395,.T.); #195838=EDGE_CURVE('',#157681,#157679,#80396,.T.); #195839=EDGE_CURVE('',#157682,#157680,#80397,.T.); #195840=EDGE_CURVE('',#157681,#157682,#80398,.T.); #195841=EDGE_CURVE('',#157683,#157681,#80399,.T.); #195842=EDGE_CURVE('',#157684,#157682,#80400,.T.); #195843=EDGE_CURVE('',#157683,#157684,#80401,.T.); #195844=EDGE_CURVE('',#157685,#157683,#80402,.T.); #195845=EDGE_CURVE('',#157686,#157684,#80403,.T.); #195846=EDGE_CURVE('',#157685,#157686,#80404,.T.); #195847=EDGE_CURVE('',#157687,#157685,#80405,.T.); #195848=EDGE_CURVE('',#157688,#157686,#80406,.T.); #195849=EDGE_CURVE('',#157687,#157688,#80407,.T.); #195850=EDGE_CURVE('',#157689,#157687,#80408,.T.); #195851=EDGE_CURVE('',#157690,#157688,#80409,.T.); #195852=EDGE_CURVE('',#157689,#157690,#80410,.T.); #195853=EDGE_CURVE('',#157691,#157689,#80411,.T.); #195854=EDGE_CURVE('',#157692,#157690,#80412,.T.); #195855=EDGE_CURVE('',#157691,#157692,#80413,.T.); #195856=EDGE_CURVE('',#157693,#157691,#80414,.T.); #195857=EDGE_CURVE('',#157694,#157692,#80415,.T.); #195858=EDGE_CURVE('',#157693,#157694,#80416,.T.); #195859=EDGE_CURVE('',#157695,#157693,#80417,.T.); #195860=EDGE_CURVE('',#157696,#157694,#80418,.T.); #195861=EDGE_CURVE('',#157695,#157696,#80419,.T.); #195862=EDGE_CURVE('',#157697,#157695,#80420,.T.); #195863=EDGE_CURVE('',#157698,#157696,#80421,.T.); #195864=EDGE_CURVE('',#157697,#157698,#80422,.T.); #195865=EDGE_CURVE('',#157699,#157697,#80423,.T.); #195866=EDGE_CURVE('',#157700,#157698,#80424,.T.); #195867=EDGE_CURVE('',#157699,#157700,#80425,.T.); #195868=EDGE_CURVE('',#157701,#157699,#80426,.T.); #195869=EDGE_CURVE('',#157702,#157700,#80427,.T.); #195870=EDGE_CURVE('',#157701,#157702,#80428,.T.); #195871=EDGE_CURVE('',#157703,#157701,#80429,.T.); #195872=EDGE_CURVE('',#157704,#157702,#80430,.T.); #195873=EDGE_CURVE('',#157703,#157704,#80431,.T.); #195874=EDGE_CURVE('',#157705,#157703,#80432,.T.); #195875=EDGE_CURVE('',#157706,#157704,#80433,.T.); #195876=EDGE_CURVE('',#157705,#157706,#80434,.T.); #195877=EDGE_CURVE('',#157707,#157705,#80435,.T.); #195878=EDGE_CURVE('',#157708,#157706,#80436,.T.); #195879=EDGE_CURVE('',#157707,#157708,#80437,.T.); #195880=EDGE_CURVE('',#157709,#157707,#80438,.T.); #195881=EDGE_CURVE('',#157710,#157708,#80439,.T.); #195882=EDGE_CURVE('',#157709,#157710,#80440,.T.); #195883=EDGE_CURVE('',#157711,#157709,#80441,.T.); #195884=EDGE_CURVE('',#157712,#157710,#80442,.T.); #195885=EDGE_CURVE('',#157711,#157712,#80443,.T.); #195886=EDGE_CURVE('',#157713,#157711,#80444,.T.); #195887=EDGE_CURVE('',#157714,#157712,#80445,.T.); #195888=EDGE_CURVE('',#157713,#157714,#80446,.T.); #195889=EDGE_CURVE('',#157715,#157713,#80447,.T.); #195890=EDGE_CURVE('',#157716,#157714,#80448,.T.); #195891=EDGE_CURVE('',#157715,#157716,#80449,.T.); #195892=EDGE_CURVE('',#157717,#157715,#80450,.T.); #195893=EDGE_CURVE('',#157718,#157716,#80451,.T.); #195894=EDGE_CURVE('',#157717,#157718,#80452,.T.); #195895=EDGE_CURVE('',#157719,#157717,#80453,.T.); #195896=EDGE_CURVE('',#157720,#157718,#80454,.T.); #195897=EDGE_CURVE('',#157719,#157720,#80455,.T.); #195898=EDGE_CURVE('',#157721,#157719,#80456,.T.); #195899=EDGE_CURVE('',#157722,#157720,#80457,.T.); #195900=EDGE_CURVE('',#157721,#157722,#80458,.T.); #195901=EDGE_CURVE('',#157723,#157721,#80459,.T.); #195902=EDGE_CURVE('',#157724,#157722,#80460,.T.); #195903=EDGE_CURVE('',#157723,#157724,#80461,.T.); #195904=EDGE_CURVE('',#157725,#157723,#80462,.T.); #195905=EDGE_CURVE('',#157726,#157724,#80463,.T.); #195906=EDGE_CURVE('',#157725,#157726,#80464,.T.); #195907=EDGE_CURVE('',#157727,#157725,#80465,.T.); #195908=EDGE_CURVE('',#157728,#157726,#80466,.T.); #195909=EDGE_CURVE('',#157727,#157728,#80467,.T.); #195910=EDGE_CURVE('',#157729,#157727,#80468,.T.); #195911=EDGE_CURVE('',#157730,#157728,#80469,.T.); #195912=EDGE_CURVE('',#157729,#157730,#80470,.T.); #195913=EDGE_CURVE('',#157731,#157729,#80471,.T.); #195914=EDGE_CURVE('',#157732,#157730,#80472,.T.); #195915=EDGE_CURVE('',#157731,#157732,#80473,.T.); #195916=EDGE_CURVE('',#157733,#157731,#80474,.T.); #195917=EDGE_CURVE('',#157734,#157732,#80475,.T.); #195918=EDGE_CURVE('',#157733,#157734,#80476,.T.); #195919=EDGE_CURVE('',#157735,#157733,#80477,.T.); #195920=EDGE_CURVE('',#157736,#157734,#80478,.T.); #195921=EDGE_CURVE('',#157735,#157736,#80479,.T.); #195922=EDGE_CURVE('',#157737,#157735,#80480,.T.); #195923=EDGE_CURVE('',#157738,#157736,#80481,.T.); #195924=EDGE_CURVE('',#157737,#157738,#80482,.T.); #195925=EDGE_CURVE('',#157739,#157737,#80483,.T.); #195926=EDGE_CURVE('',#157740,#157738,#80484,.T.); #195927=EDGE_CURVE('',#157739,#157740,#80485,.T.); #195928=EDGE_CURVE('',#157741,#157739,#80486,.T.); #195929=EDGE_CURVE('',#157742,#157740,#80487,.T.); #195930=EDGE_CURVE('',#157741,#157742,#80488,.T.); #195931=EDGE_CURVE('',#157743,#157741,#80489,.T.); #195932=EDGE_CURVE('',#157744,#157742,#80490,.T.); #195933=EDGE_CURVE('',#157743,#157744,#80491,.T.); #195934=EDGE_CURVE('',#157745,#157743,#80492,.T.); #195935=EDGE_CURVE('',#157746,#157744,#80493,.T.); #195936=EDGE_CURVE('',#157745,#157746,#80494,.T.); #195937=EDGE_CURVE('',#157747,#157745,#80495,.T.); #195938=EDGE_CURVE('',#157748,#157746,#80496,.T.); #195939=EDGE_CURVE('',#157747,#157748,#80497,.T.); #195940=EDGE_CURVE('',#157749,#157747,#80498,.T.); #195941=EDGE_CURVE('',#157750,#157748,#80499,.T.); #195942=EDGE_CURVE('',#157749,#157750,#80500,.T.); #195943=EDGE_CURVE('',#157751,#157749,#80501,.T.); #195944=EDGE_CURVE('',#157752,#157750,#80502,.T.); #195945=EDGE_CURVE('',#157751,#157752,#80503,.T.); #195946=EDGE_CURVE('',#157753,#157751,#80504,.T.); #195947=EDGE_CURVE('',#157754,#157752,#80505,.T.); #195948=EDGE_CURVE('',#157753,#157754,#80506,.T.); #195949=EDGE_CURVE('',#157755,#157753,#80507,.T.); #195950=EDGE_CURVE('',#157756,#157754,#80508,.T.); #195951=EDGE_CURVE('',#157755,#157756,#80509,.T.); #195952=EDGE_CURVE('',#157757,#157755,#80510,.T.); #195953=EDGE_CURVE('',#157758,#157756,#80511,.T.); #195954=EDGE_CURVE('',#157757,#157758,#80512,.T.); #195955=EDGE_CURVE('',#157759,#157757,#80513,.T.); #195956=EDGE_CURVE('',#157760,#157758,#80514,.T.); #195957=EDGE_CURVE('',#157759,#157760,#80515,.T.); #195958=EDGE_CURVE('',#157761,#157759,#80516,.T.); #195959=EDGE_CURVE('',#157762,#157760,#80517,.T.); #195960=EDGE_CURVE('',#157761,#157762,#80518,.T.); #195961=EDGE_CURVE('',#157763,#157761,#80519,.T.); #195962=EDGE_CURVE('',#157764,#157762,#80520,.T.); #195963=EDGE_CURVE('',#157763,#157764,#80521,.T.); #195964=EDGE_CURVE('',#157765,#157763,#80522,.T.); #195965=EDGE_CURVE('',#157766,#157764,#80523,.T.); #195966=EDGE_CURVE('',#157765,#157766,#80524,.T.); #195967=EDGE_CURVE('',#157767,#157765,#80525,.T.); #195968=EDGE_CURVE('',#157768,#157766,#80526,.T.); #195969=EDGE_CURVE('',#157767,#157768,#80527,.T.); #195970=EDGE_CURVE('',#157769,#157767,#80528,.T.); #195971=EDGE_CURVE('',#157770,#157768,#80529,.T.); #195972=EDGE_CURVE('',#157769,#157770,#80530,.T.); #195973=EDGE_CURVE('',#157771,#157769,#80531,.T.); #195974=EDGE_CURVE('',#157772,#157770,#80532,.T.); #195975=EDGE_CURVE('',#157771,#157772,#80533,.T.); #195976=EDGE_CURVE('',#157773,#157771,#80534,.T.); #195977=EDGE_CURVE('',#157774,#157772,#80535,.T.); #195978=EDGE_CURVE('',#157773,#157774,#80536,.T.); #195979=EDGE_CURVE('',#157775,#157773,#80537,.T.); #195980=EDGE_CURVE('',#157776,#157774,#80538,.T.); #195981=EDGE_CURVE('',#157775,#157776,#80539,.T.); #195982=EDGE_CURVE('',#157777,#157775,#80540,.T.); #195983=EDGE_CURVE('',#157778,#157776,#80541,.T.); #195984=EDGE_CURVE('',#157777,#157778,#80542,.T.); #195985=EDGE_CURVE('',#157779,#157777,#80543,.T.); #195986=EDGE_CURVE('',#157780,#157778,#80544,.T.); #195987=EDGE_CURVE('',#157779,#157780,#80545,.T.); #195988=EDGE_CURVE('',#157781,#157779,#80546,.T.); #195989=EDGE_CURVE('',#157782,#157780,#80547,.T.); #195990=EDGE_CURVE('',#157781,#157782,#80548,.T.); #195991=EDGE_CURVE('',#157783,#157781,#80549,.T.); #195992=EDGE_CURVE('',#157784,#157782,#80550,.T.); #195993=EDGE_CURVE('',#157783,#157784,#80551,.T.); #195994=EDGE_CURVE('',#157785,#157783,#80552,.T.); #195995=EDGE_CURVE('',#157786,#157784,#80553,.T.); #195996=EDGE_CURVE('',#157785,#157786,#80554,.T.); #195997=EDGE_CURVE('',#157787,#157785,#80555,.T.); #195998=EDGE_CURVE('',#157788,#157786,#80556,.T.); #195999=EDGE_CURVE('',#157787,#157788,#80557,.T.); #196000=EDGE_CURVE('',#157789,#157787,#80558,.T.); #196001=EDGE_CURVE('',#157790,#157788,#80559,.T.); #196002=EDGE_CURVE('',#157789,#157790,#80560,.T.); #196003=EDGE_CURVE('',#157791,#157789,#80561,.T.); #196004=EDGE_CURVE('',#157792,#157790,#80562,.T.); #196005=EDGE_CURVE('',#157791,#157792,#80563,.T.); #196006=EDGE_CURVE('',#157793,#157791,#80564,.T.); #196007=EDGE_CURVE('',#157794,#157792,#80565,.T.); #196008=EDGE_CURVE('',#157793,#157794,#80566,.T.); #196009=EDGE_CURVE('',#157795,#157793,#80567,.T.); #196010=EDGE_CURVE('',#157796,#157794,#80568,.T.); #196011=EDGE_CURVE('',#157795,#157796,#80569,.T.); #196012=EDGE_CURVE('',#157797,#157795,#80570,.T.); #196013=EDGE_CURVE('',#157798,#157796,#80571,.T.); #196014=EDGE_CURVE('',#157797,#157798,#80572,.T.); #196015=EDGE_CURVE('',#157799,#157797,#80573,.T.); #196016=EDGE_CURVE('',#157800,#157798,#80574,.T.); #196017=EDGE_CURVE('',#157799,#157800,#80575,.T.); #196018=EDGE_CURVE('',#157801,#157799,#80576,.T.); #196019=EDGE_CURVE('',#157802,#157800,#80577,.T.); #196020=EDGE_CURVE('',#157801,#157802,#80578,.T.); #196021=EDGE_CURVE('',#157803,#157801,#80579,.T.); #196022=EDGE_CURVE('',#157804,#157802,#80580,.T.); #196023=EDGE_CURVE('',#157803,#157804,#80581,.T.); #196024=EDGE_CURVE('',#157805,#157803,#80582,.T.); #196025=EDGE_CURVE('',#157806,#157804,#80583,.T.); #196026=EDGE_CURVE('',#157805,#157806,#80584,.T.); #196027=EDGE_CURVE('',#157544,#157805,#80585,.T.); #196028=EDGE_CURVE('',#157545,#157806,#80586,.T.); #196029=EDGE_CURVE('',#157807,#157808,#80587,.T.); #196030=EDGE_CURVE('',#157808,#157809,#80588,.T.); #196031=EDGE_CURVE('',#157810,#157809,#80589,.T.); #196032=EDGE_CURVE('',#157807,#157810,#80590,.T.); #196033=EDGE_CURVE('',#157811,#157807,#80591,.T.); #196034=EDGE_CURVE('',#157812,#157810,#80592,.T.); #196035=EDGE_CURVE('',#157811,#157812,#80593,.T.); #196036=EDGE_CURVE('',#157813,#157811,#80594,.T.); #196037=EDGE_CURVE('',#157814,#157812,#80595,.T.); #196038=EDGE_CURVE('',#157813,#157814,#80596,.T.); #196039=EDGE_CURVE('',#157815,#157813,#80597,.T.); #196040=EDGE_CURVE('',#157816,#157814,#80598,.T.); #196041=EDGE_CURVE('',#157815,#157816,#80599,.T.); #196042=EDGE_CURVE('',#157817,#157815,#80600,.T.); #196043=EDGE_CURVE('',#157818,#157816,#80601,.T.); #196044=EDGE_CURVE('',#157817,#157818,#80602,.T.); #196045=EDGE_CURVE('',#157819,#157817,#80603,.T.); #196046=EDGE_CURVE('',#157820,#157818,#80604,.T.); #196047=EDGE_CURVE('',#157819,#157820,#80605,.T.); #196048=EDGE_CURVE('',#157821,#157819,#80606,.T.); #196049=EDGE_CURVE('',#157822,#157820,#80607,.T.); #196050=EDGE_CURVE('',#157821,#157822,#80608,.T.); #196051=EDGE_CURVE('',#157808,#157821,#80609,.T.); #196052=EDGE_CURVE('',#157809,#157822,#80610,.T.); #196053=EDGE_CURVE('',#157823,#157824,#80611,.T.); #196054=EDGE_CURVE('',#157824,#157825,#80612,.T.); #196055=EDGE_CURVE('',#157826,#157825,#80613,.T.); #196056=EDGE_CURVE('',#157823,#157826,#80614,.T.); #196057=EDGE_CURVE('',#157827,#157823,#80615,.T.); #196058=EDGE_CURVE('',#157828,#157826,#80616,.T.); #196059=EDGE_CURVE('',#157827,#157828,#80617,.T.); #196060=EDGE_CURVE('',#157829,#157827,#80618,.T.); #196061=EDGE_CURVE('',#157830,#157828,#80619,.T.); #196062=EDGE_CURVE('',#157829,#157830,#80620,.T.); #196063=EDGE_CURVE('',#157831,#157829,#80621,.T.); #196064=EDGE_CURVE('',#157832,#157830,#80622,.T.); #196065=EDGE_CURVE('',#157831,#157832,#80623,.T.); #196066=EDGE_CURVE('',#157833,#157831,#80624,.T.); #196067=EDGE_CURVE('',#157834,#157832,#80625,.T.); #196068=EDGE_CURVE('',#157833,#157834,#80626,.T.); #196069=EDGE_CURVE('',#157835,#157833,#80627,.T.); #196070=EDGE_CURVE('',#157836,#157834,#80628,.T.); #196071=EDGE_CURVE('',#157835,#157836,#80629,.T.); #196072=EDGE_CURVE('',#157837,#157835,#80630,.T.); #196073=EDGE_CURVE('',#157838,#157836,#80631,.T.); #196074=EDGE_CURVE('',#157837,#157838,#80632,.T.); #196075=EDGE_CURVE('',#157824,#157837,#80633,.T.); #196076=EDGE_CURVE('',#157825,#157838,#80634,.T.); #196077=EDGE_CURVE('',#157839,#157840,#80635,.T.); #196078=EDGE_CURVE('',#157840,#157841,#80636,.T.); #196079=EDGE_CURVE('',#157842,#157841,#80637,.T.); #196080=EDGE_CURVE('',#157839,#157842,#80638,.T.); #196081=EDGE_CURVE('',#157843,#157839,#80639,.T.); #196082=EDGE_CURVE('',#157844,#157842,#80640,.T.); #196083=EDGE_CURVE('',#157843,#157844,#80641,.T.); #196084=EDGE_CURVE('',#157845,#157843,#80642,.T.); #196085=EDGE_CURVE('',#157846,#157844,#80643,.T.); #196086=EDGE_CURVE('',#157845,#157846,#80644,.T.); #196087=EDGE_CURVE('',#157847,#157845,#80645,.T.); #196088=EDGE_CURVE('',#157848,#157846,#80646,.T.); #196089=EDGE_CURVE('',#157847,#157848,#80647,.T.); #196090=EDGE_CURVE('',#157849,#157847,#80648,.T.); #196091=EDGE_CURVE('',#157850,#157848,#80649,.T.); #196092=EDGE_CURVE('',#157849,#157850,#80650,.T.); #196093=EDGE_CURVE('',#157851,#157849,#80651,.T.); #196094=EDGE_CURVE('',#157852,#157850,#80652,.T.); #196095=EDGE_CURVE('',#157851,#157852,#80653,.T.); #196096=EDGE_CURVE('',#157853,#157851,#80654,.T.); #196097=EDGE_CURVE('',#157854,#157852,#80655,.T.); #196098=EDGE_CURVE('',#157853,#157854,#80656,.T.); #196099=EDGE_CURVE('',#157840,#157853,#80657,.T.); #196100=EDGE_CURVE('',#157841,#157854,#80658,.T.); #196101=EDGE_CURVE('',#157855,#157856,#80659,.T.); #196102=EDGE_CURVE('',#157856,#157857,#80660,.T.); #196103=EDGE_CURVE('',#157858,#157857,#80661,.T.); #196104=EDGE_CURVE('',#157855,#157858,#80662,.T.); #196105=EDGE_CURVE('',#157859,#157855,#80663,.T.); #196106=EDGE_CURVE('',#157860,#157858,#80664,.T.); #196107=EDGE_CURVE('',#157859,#157860,#80665,.T.); #196108=EDGE_CURVE('',#157861,#157859,#80666,.T.); #196109=EDGE_CURVE('',#157862,#157860,#80667,.T.); #196110=EDGE_CURVE('',#157861,#157862,#80668,.T.); #196111=EDGE_CURVE('',#157863,#157861,#80669,.T.); #196112=EDGE_CURVE('',#157864,#157862,#80670,.T.); #196113=EDGE_CURVE('',#157863,#157864,#80671,.T.); #196114=EDGE_CURVE('',#157865,#157863,#80672,.T.); #196115=EDGE_CURVE('',#157866,#157864,#80673,.T.); #196116=EDGE_CURVE('',#157865,#157866,#80674,.T.); #196117=EDGE_CURVE('',#157867,#157865,#80675,.T.); #196118=EDGE_CURVE('',#157868,#157866,#80676,.T.); #196119=EDGE_CURVE('',#157867,#157868,#80677,.T.); #196120=EDGE_CURVE('',#157869,#157867,#80678,.T.); #196121=EDGE_CURVE('',#157870,#157868,#80679,.T.); #196122=EDGE_CURVE('',#157869,#157870,#80680,.T.); #196123=EDGE_CURVE('',#157871,#157869,#80681,.T.); #196124=EDGE_CURVE('',#157872,#157870,#80682,.T.); #196125=EDGE_CURVE('',#157871,#157872,#80683,.T.); #196126=EDGE_CURVE('',#157873,#157871,#80684,.T.); #196127=EDGE_CURVE('',#157874,#157872,#80685,.T.); #196128=EDGE_CURVE('',#157873,#157874,#80686,.T.); #196129=EDGE_CURVE('',#157875,#157873,#80687,.T.); #196130=EDGE_CURVE('',#157876,#157874,#80688,.T.); #196131=EDGE_CURVE('',#157875,#157876,#80689,.T.); #196132=EDGE_CURVE('',#157877,#157875,#80690,.T.); #196133=EDGE_CURVE('',#157878,#157876,#80691,.T.); #196134=EDGE_CURVE('',#157877,#157878,#80692,.T.); #196135=EDGE_CURVE('',#157879,#157877,#80693,.T.); #196136=EDGE_CURVE('',#157880,#157878,#80694,.T.); #196137=EDGE_CURVE('',#157879,#157880,#80695,.T.); #196138=EDGE_CURVE('',#157881,#157879,#80696,.T.); #196139=EDGE_CURVE('',#157882,#157880,#80697,.T.); #196140=EDGE_CURVE('',#157881,#157882,#80698,.T.); #196141=EDGE_CURVE('',#157883,#157881,#80699,.T.); #196142=EDGE_CURVE('',#157884,#157882,#80700,.T.); #196143=EDGE_CURVE('',#157883,#157884,#80701,.T.); #196144=EDGE_CURVE('',#157885,#157883,#80702,.T.); #196145=EDGE_CURVE('',#157886,#157884,#80703,.T.); #196146=EDGE_CURVE('',#157885,#157886,#80704,.T.); #196147=EDGE_CURVE('',#157887,#157885,#80705,.T.); #196148=EDGE_CURVE('',#157888,#157886,#80706,.T.); #196149=EDGE_CURVE('',#157887,#157888,#80707,.T.); #196150=EDGE_CURVE('',#157889,#157887,#80708,.T.); #196151=EDGE_CURVE('',#157890,#157888,#80709,.T.); #196152=EDGE_CURVE('',#157889,#157890,#80710,.T.); #196153=EDGE_CURVE('',#157891,#157889,#80711,.T.); #196154=EDGE_CURVE('',#157892,#157890,#80712,.T.); #196155=EDGE_CURVE('',#157891,#157892,#80713,.T.); #196156=EDGE_CURVE('',#157893,#157891,#80714,.T.); #196157=EDGE_CURVE('',#157894,#157892,#80715,.T.); #196158=EDGE_CURVE('',#157893,#157894,#80716,.T.); #196159=EDGE_CURVE('',#157895,#157893,#80717,.T.); #196160=EDGE_CURVE('',#157896,#157894,#80718,.T.); #196161=EDGE_CURVE('',#157895,#157896,#80719,.T.); #196162=EDGE_CURVE('',#157897,#157895,#80720,.T.); #196163=EDGE_CURVE('',#157898,#157896,#80721,.T.); #196164=EDGE_CURVE('',#157897,#157898,#80722,.T.); #196165=EDGE_CURVE('',#157899,#157897,#80723,.T.); #196166=EDGE_CURVE('',#157900,#157898,#80724,.T.); #196167=EDGE_CURVE('',#157899,#157900,#80725,.T.); #196168=EDGE_CURVE('',#157901,#157899,#80726,.T.); #196169=EDGE_CURVE('',#157902,#157900,#80727,.T.); #196170=EDGE_CURVE('',#157901,#157902,#80728,.T.); #196171=EDGE_CURVE('',#157903,#157901,#80729,.T.); #196172=EDGE_CURVE('',#157904,#157902,#80730,.T.); #196173=EDGE_CURVE('',#157903,#157904,#80731,.T.); #196174=EDGE_CURVE('',#157905,#157903,#80732,.T.); #196175=EDGE_CURVE('',#157906,#157904,#80733,.T.); #196176=EDGE_CURVE('',#157905,#157906,#80734,.T.); #196177=EDGE_CURVE('',#157907,#157905,#80735,.T.); #196178=EDGE_CURVE('',#157908,#157906,#80736,.T.); #196179=EDGE_CURVE('',#157907,#157908,#80737,.T.); #196180=EDGE_CURVE('',#157909,#157907,#80738,.T.); #196181=EDGE_CURVE('',#157910,#157908,#80739,.T.); #196182=EDGE_CURVE('',#157909,#157910,#80740,.T.); #196183=EDGE_CURVE('',#157911,#157909,#80741,.T.); #196184=EDGE_CURVE('',#157912,#157910,#80742,.T.); #196185=EDGE_CURVE('',#157911,#157912,#80743,.T.); #196186=EDGE_CURVE('',#157913,#157911,#80744,.T.); #196187=EDGE_CURVE('',#157914,#157912,#80745,.T.); #196188=EDGE_CURVE('',#157913,#157914,#80746,.T.); #196189=EDGE_CURVE('',#157915,#157913,#80747,.T.); #196190=EDGE_CURVE('',#157916,#157914,#80748,.T.); #196191=EDGE_CURVE('',#157915,#157916,#80749,.T.); #196192=EDGE_CURVE('',#157917,#157915,#80750,.T.); #196193=EDGE_CURVE('',#157918,#157916,#80751,.T.); #196194=EDGE_CURVE('',#157917,#157918,#80752,.T.); #196195=EDGE_CURVE('',#157919,#157917,#80753,.T.); #196196=EDGE_CURVE('',#157920,#157918,#80754,.T.); #196197=EDGE_CURVE('',#157919,#157920,#80755,.T.); #196198=EDGE_CURVE('',#157921,#157919,#80756,.T.); #196199=EDGE_CURVE('',#157922,#157920,#80757,.T.); #196200=EDGE_CURVE('',#157921,#157922,#80758,.T.); #196201=EDGE_CURVE('',#157856,#157921,#80759,.T.); #196202=EDGE_CURVE('',#157857,#157922,#80760,.T.); #196203=EDGE_CURVE('',#157923,#157924,#80761,.T.); #196204=EDGE_CURVE('',#157924,#157925,#80762,.T.); #196205=EDGE_CURVE('',#157926,#157925,#80763,.T.); #196206=EDGE_CURVE('',#157923,#157926,#80764,.T.); #196207=EDGE_CURVE('',#157927,#157923,#80765,.T.); #196208=EDGE_CURVE('',#157928,#157926,#80766,.T.); #196209=EDGE_CURVE('',#157927,#157928,#80767,.T.); #196210=EDGE_CURVE('',#157929,#157927,#80768,.T.); #196211=EDGE_CURVE('',#157930,#157928,#80769,.T.); #196212=EDGE_CURVE('',#157929,#157930,#80770,.T.); #196213=EDGE_CURVE('',#157931,#157929,#80771,.T.); #196214=EDGE_CURVE('',#157932,#157930,#80772,.T.); #196215=EDGE_CURVE('',#157931,#157932,#80773,.T.); #196216=EDGE_CURVE('',#157933,#157931,#80774,.T.); #196217=EDGE_CURVE('',#157934,#157932,#80775,.T.); #196218=EDGE_CURVE('',#157933,#157934,#80776,.T.); #196219=EDGE_CURVE('',#157935,#157933,#80777,.T.); #196220=EDGE_CURVE('',#157936,#157934,#80778,.T.); #196221=EDGE_CURVE('',#157935,#157936,#80779,.T.); #196222=EDGE_CURVE('',#157937,#157935,#80780,.T.); #196223=EDGE_CURVE('',#157938,#157936,#80781,.T.); #196224=EDGE_CURVE('',#157937,#157938,#80782,.T.); #196225=EDGE_CURVE('',#157939,#157937,#80783,.T.); #196226=EDGE_CURVE('',#157940,#157938,#80784,.T.); #196227=EDGE_CURVE('',#157939,#157940,#80785,.T.); #196228=EDGE_CURVE('',#157941,#157939,#80786,.T.); #196229=EDGE_CURVE('',#157942,#157940,#80787,.T.); #196230=EDGE_CURVE('',#157941,#157942,#80788,.T.); #196231=EDGE_CURVE('',#157943,#157941,#80789,.T.); #196232=EDGE_CURVE('',#157944,#157942,#80790,.T.); #196233=EDGE_CURVE('',#157943,#157944,#80791,.T.); #196234=EDGE_CURVE('',#157945,#157943,#80792,.T.); #196235=EDGE_CURVE('',#157946,#157944,#80793,.T.); #196236=EDGE_CURVE('',#157945,#157946,#80794,.T.); #196237=EDGE_CURVE('',#157947,#157945,#80795,.T.); #196238=EDGE_CURVE('',#157948,#157946,#80796,.T.); #196239=EDGE_CURVE('',#157947,#157948,#80797,.T.); #196240=EDGE_CURVE('',#157949,#157947,#80798,.T.); #196241=EDGE_CURVE('',#157950,#157948,#80799,.T.); #196242=EDGE_CURVE('',#157949,#157950,#80800,.T.); #196243=EDGE_CURVE('',#157951,#157949,#80801,.T.); #196244=EDGE_CURVE('',#157952,#157950,#80802,.T.); #196245=EDGE_CURVE('',#157951,#157952,#80803,.T.); #196246=EDGE_CURVE('',#157953,#157951,#80804,.T.); #196247=EDGE_CURVE('',#157954,#157952,#80805,.T.); #196248=EDGE_CURVE('',#157953,#157954,#80806,.T.); #196249=EDGE_CURVE('',#157955,#157953,#80807,.T.); #196250=EDGE_CURVE('',#157956,#157954,#80808,.T.); #196251=EDGE_CURVE('',#157955,#157956,#80809,.T.); #196252=EDGE_CURVE('',#157957,#157955,#80810,.T.); #196253=EDGE_CURVE('',#157958,#157956,#80811,.T.); #196254=EDGE_CURVE('',#157957,#157958,#80812,.T.); #196255=EDGE_CURVE('',#157959,#157957,#80813,.T.); #196256=EDGE_CURVE('',#157960,#157958,#80814,.T.); #196257=EDGE_CURVE('',#157959,#157960,#80815,.T.); #196258=EDGE_CURVE('',#157961,#157959,#80816,.T.); #196259=EDGE_CURVE('',#157962,#157960,#80817,.T.); #196260=EDGE_CURVE('',#157961,#157962,#80818,.T.); #196261=EDGE_CURVE('',#157963,#157961,#80819,.T.); #196262=EDGE_CURVE('',#157964,#157962,#80820,.T.); #196263=EDGE_CURVE('',#157963,#157964,#80821,.T.); #196264=EDGE_CURVE('',#157965,#157963,#80822,.T.); #196265=EDGE_CURVE('',#157966,#157964,#80823,.T.); #196266=EDGE_CURVE('',#157965,#157966,#80824,.T.); #196267=EDGE_CURVE('',#157967,#157965,#80825,.T.); #196268=EDGE_CURVE('',#157968,#157966,#80826,.T.); #196269=EDGE_CURVE('',#157967,#157968,#80827,.T.); #196270=EDGE_CURVE('',#157969,#157967,#80828,.T.); #196271=EDGE_CURVE('',#157970,#157968,#80829,.T.); #196272=EDGE_CURVE('',#157969,#157970,#80830,.T.); #196273=EDGE_CURVE('',#157971,#157969,#80831,.T.); #196274=EDGE_CURVE('',#157972,#157970,#80832,.T.); #196275=EDGE_CURVE('',#157971,#157972,#80833,.T.); #196276=EDGE_CURVE('',#157973,#157971,#80834,.T.); #196277=EDGE_CURVE('',#157974,#157972,#80835,.T.); #196278=EDGE_CURVE('',#157973,#157974,#80836,.T.); #196279=EDGE_CURVE('',#157975,#157973,#80837,.T.); #196280=EDGE_CURVE('',#157976,#157974,#80838,.T.); #196281=EDGE_CURVE('',#157975,#157976,#80839,.T.); #196282=EDGE_CURVE('',#157977,#157975,#80840,.T.); #196283=EDGE_CURVE('',#157978,#157976,#80841,.T.); #196284=EDGE_CURVE('',#157977,#157978,#80842,.T.); #196285=EDGE_CURVE('',#157979,#157977,#80843,.T.); #196286=EDGE_CURVE('',#157980,#157978,#80844,.T.); #196287=EDGE_CURVE('',#157979,#157980,#80845,.T.); #196288=EDGE_CURVE('',#157981,#157979,#80846,.T.); #196289=EDGE_CURVE('',#157982,#157980,#80847,.T.); #196290=EDGE_CURVE('',#157981,#157982,#80848,.T.); #196291=EDGE_CURVE('',#157983,#157981,#80849,.T.); #196292=EDGE_CURVE('',#157984,#157982,#80850,.T.); #196293=EDGE_CURVE('',#157983,#157984,#80851,.T.); #196294=EDGE_CURVE('',#157985,#157983,#80852,.T.); #196295=EDGE_CURVE('',#157986,#157984,#80853,.T.); #196296=EDGE_CURVE('',#157985,#157986,#80854,.T.); #196297=EDGE_CURVE('',#157987,#157985,#80855,.T.); #196298=EDGE_CURVE('',#157988,#157986,#80856,.T.); #196299=EDGE_CURVE('',#157987,#157988,#80857,.T.); #196300=EDGE_CURVE('',#157989,#157987,#80858,.T.); #196301=EDGE_CURVE('',#157990,#157988,#80859,.T.); #196302=EDGE_CURVE('',#157989,#157990,#80860,.T.); #196303=EDGE_CURVE('',#157924,#157989,#80861,.T.); #196304=EDGE_CURVE('',#157925,#157990,#80862,.T.); #196305=EDGE_CURVE('',#157991,#157992,#80863,.T.); #196306=EDGE_CURVE('',#157992,#157993,#80864,.T.); #196307=EDGE_CURVE('',#157994,#157993,#80865,.T.); #196308=EDGE_CURVE('',#157991,#157994,#80866,.T.); #196309=EDGE_CURVE('',#157995,#157991,#80867,.T.); #196310=EDGE_CURVE('',#157996,#157994,#80868,.T.); #196311=EDGE_CURVE('',#157995,#157996,#80869,.T.); #196312=EDGE_CURVE('',#157997,#157995,#80870,.T.); #196313=EDGE_CURVE('',#157998,#157996,#80871,.T.); #196314=EDGE_CURVE('',#157997,#157998,#80872,.T.); #196315=EDGE_CURVE('',#157999,#157997,#80873,.T.); #196316=EDGE_CURVE('',#158000,#157998,#80874,.T.); #196317=EDGE_CURVE('',#157999,#158000,#80875,.T.); #196318=EDGE_CURVE('',#158001,#157999,#80876,.T.); #196319=EDGE_CURVE('',#158002,#158000,#80877,.T.); #196320=EDGE_CURVE('',#158001,#158002,#80878,.T.); #196321=EDGE_CURVE('',#158003,#158001,#80879,.T.); #196322=EDGE_CURVE('',#158004,#158002,#80880,.T.); #196323=EDGE_CURVE('',#158003,#158004,#80881,.T.); #196324=EDGE_CURVE('',#158005,#158003,#80882,.T.); #196325=EDGE_CURVE('',#158006,#158004,#80883,.T.); #196326=EDGE_CURVE('',#158005,#158006,#80884,.T.); #196327=EDGE_CURVE('',#158007,#158005,#80885,.T.); #196328=EDGE_CURVE('',#158008,#158006,#80886,.T.); #196329=EDGE_CURVE('',#158007,#158008,#80887,.T.); #196330=EDGE_CURVE('',#158009,#158007,#80888,.T.); #196331=EDGE_CURVE('',#158010,#158008,#80889,.T.); #196332=EDGE_CURVE('',#158009,#158010,#80890,.T.); #196333=EDGE_CURVE('',#158011,#158009,#80891,.T.); #196334=EDGE_CURVE('',#158012,#158010,#80892,.T.); #196335=EDGE_CURVE('',#158011,#158012,#80893,.T.); #196336=EDGE_CURVE('',#158013,#158011,#80894,.T.); #196337=EDGE_CURVE('',#158014,#158012,#80895,.T.); #196338=EDGE_CURVE('',#158013,#158014,#80896,.T.); #196339=EDGE_CURVE('',#158015,#158013,#80897,.T.); #196340=EDGE_CURVE('',#158016,#158014,#80898,.T.); #196341=EDGE_CURVE('',#158015,#158016,#80899,.T.); #196342=EDGE_CURVE('',#158017,#158015,#80900,.T.); #196343=EDGE_CURVE('',#158018,#158016,#80901,.T.); #196344=EDGE_CURVE('',#158017,#158018,#80902,.T.); #196345=EDGE_CURVE('',#158019,#158017,#80903,.T.); #196346=EDGE_CURVE('',#158020,#158018,#80904,.T.); #196347=EDGE_CURVE('',#158019,#158020,#80905,.T.); #196348=EDGE_CURVE('',#158021,#158019,#80906,.T.); #196349=EDGE_CURVE('',#158022,#158020,#80907,.T.); #196350=EDGE_CURVE('',#158021,#158022,#80908,.T.); #196351=EDGE_CURVE('',#158023,#158021,#80909,.T.); #196352=EDGE_CURVE('',#158024,#158022,#80910,.T.); #196353=EDGE_CURVE('',#158023,#158024,#80911,.T.); #196354=EDGE_CURVE('',#158025,#158023,#80912,.T.); #196355=EDGE_CURVE('',#158026,#158024,#80913,.T.); #196356=EDGE_CURVE('',#158025,#158026,#80914,.T.); #196357=EDGE_CURVE('',#158027,#158025,#80915,.T.); #196358=EDGE_CURVE('',#158028,#158026,#80916,.T.); #196359=EDGE_CURVE('',#158027,#158028,#80917,.T.); #196360=EDGE_CURVE('',#158029,#158027,#80918,.T.); #196361=EDGE_CURVE('',#158030,#158028,#80919,.T.); #196362=EDGE_CURVE('',#158029,#158030,#80920,.T.); #196363=EDGE_CURVE('',#158031,#158029,#80921,.T.); #196364=EDGE_CURVE('',#158032,#158030,#80922,.T.); #196365=EDGE_CURVE('',#158031,#158032,#80923,.T.); #196366=EDGE_CURVE('',#158033,#158031,#80924,.T.); #196367=EDGE_CURVE('',#158034,#158032,#80925,.T.); #196368=EDGE_CURVE('',#158033,#158034,#80926,.T.); #196369=EDGE_CURVE('',#158035,#158033,#80927,.T.); #196370=EDGE_CURVE('',#158036,#158034,#80928,.T.); #196371=EDGE_CURVE('',#158035,#158036,#80929,.T.); #196372=EDGE_CURVE('',#158037,#158035,#80930,.T.); #196373=EDGE_CURVE('',#158038,#158036,#80931,.T.); #196374=EDGE_CURVE('',#158037,#158038,#80932,.T.); #196375=EDGE_CURVE('',#158039,#158037,#80933,.T.); #196376=EDGE_CURVE('',#158040,#158038,#80934,.T.); #196377=EDGE_CURVE('',#158039,#158040,#80935,.T.); #196378=EDGE_CURVE('',#158041,#158039,#80936,.T.); #196379=EDGE_CURVE('',#158042,#158040,#80937,.T.); #196380=EDGE_CURVE('',#158041,#158042,#80938,.T.); #196381=EDGE_CURVE('',#158043,#158041,#80939,.T.); #196382=EDGE_CURVE('',#158044,#158042,#80940,.T.); #196383=EDGE_CURVE('',#158043,#158044,#80941,.T.); #196384=EDGE_CURVE('',#158045,#158043,#80942,.T.); #196385=EDGE_CURVE('',#158046,#158044,#80943,.T.); #196386=EDGE_CURVE('',#158045,#158046,#80944,.T.); #196387=EDGE_CURVE('',#158047,#158045,#80945,.T.); #196388=EDGE_CURVE('',#158048,#158046,#80946,.T.); #196389=EDGE_CURVE('',#158047,#158048,#80947,.T.); #196390=EDGE_CURVE('',#158049,#158047,#80948,.T.); #196391=EDGE_CURVE('',#158050,#158048,#80949,.T.); #196392=EDGE_CURVE('',#158049,#158050,#80950,.T.); #196393=EDGE_CURVE('',#158051,#158049,#80951,.T.); #196394=EDGE_CURVE('',#158052,#158050,#80952,.T.); #196395=EDGE_CURVE('',#158051,#158052,#80953,.T.); #196396=EDGE_CURVE('',#158053,#158051,#80954,.T.); #196397=EDGE_CURVE('',#158054,#158052,#80955,.T.); #196398=EDGE_CURVE('',#158053,#158054,#80956,.T.); #196399=EDGE_CURVE('',#158055,#158053,#80957,.T.); #196400=EDGE_CURVE('',#158056,#158054,#80958,.T.); #196401=EDGE_CURVE('',#158055,#158056,#80959,.T.); #196402=EDGE_CURVE('',#158057,#158055,#80960,.T.); #196403=EDGE_CURVE('',#158058,#158056,#80961,.T.); #196404=EDGE_CURVE('',#158057,#158058,#80962,.T.); #196405=EDGE_CURVE('',#158059,#158057,#80963,.T.); #196406=EDGE_CURVE('',#158060,#158058,#80964,.T.); #196407=EDGE_CURVE('',#158059,#158060,#80965,.T.); #196408=EDGE_CURVE('',#158061,#158059,#80966,.T.); #196409=EDGE_CURVE('',#158062,#158060,#80967,.T.); #196410=EDGE_CURVE('',#158061,#158062,#80968,.T.); #196411=EDGE_CURVE('',#158063,#158061,#80969,.T.); #196412=EDGE_CURVE('',#158064,#158062,#80970,.T.); #196413=EDGE_CURVE('',#158063,#158064,#80971,.T.); #196414=EDGE_CURVE('',#158065,#158063,#80972,.T.); #196415=EDGE_CURVE('',#158066,#158064,#80973,.T.); #196416=EDGE_CURVE('',#158065,#158066,#80974,.T.); #196417=EDGE_CURVE('',#158067,#158065,#80975,.T.); #196418=EDGE_CURVE('',#158068,#158066,#80976,.T.); #196419=EDGE_CURVE('',#158067,#158068,#80977,.T.); #196420=EDGE_CURVE('',#158069,#158067,#80978,.T.); #196421=EDGE_CURVE('',#158070,#158068,#80979,.T.); #196422=EDGE_CURVE('',#158069,#158070,#80980,.T.); #196423=EDGE_CURVE('',#157992,#158069,#80981,.T.); #196424=EDGE_CURVE('',#157993,#158070,#80982,.T.); #196425=EDGE_CURVE('',#158071,#158072,#80983,.T.); #196426=EDGE_CURVE('',#158072,#158073,#80984,.T.); #196427=EDGE_CURVE('',#158074,#158073,#80985,.T.); #196428=EDGE_CURVE('',#158071,#158074,#80986,.T.); #196429=EDGE_CURVE('',#158075,#158071,#80987,.T.); #196430=EDGE_CURVE('',#158076,#158074,#80988,.T.); #196431=EDGE_CURVE('',#158075,#158076,#80989,.T.); #196432=EDGE_CURVE('',#158077,#158075,#80990,.T.); #196433=EDGE_CURVE('',#158078,#158076,#80991,.T.); #196434=EDGE_CURVE('',#158077,#158078,#80992,.T.); #196435=EDGE_CURVE('',#158079,#158077,#80993,.T.); #196436=EDGE_CURVE('',#158080,#158078,#80994,.T.); #196437=EDGE_CURVE('',#158079,#158080,#80995,.T.); #196438=EDGE_CURVE('',#158081,#158079,#80996,.T.); #196439=EDGE_CURVE('',#158082,#158080,#80997,.T.); #196440=EDGE_CURVE('',#158081,#158082,#80998,.T.); #196441=EDGE_CURVE('',#158083,#158081,#80999,.T.); #196442=EDGE_CURVE('',#158084,#158082,#81000,.T.); #196443=EDGE_CURVE('',#158083,#158084,#81001,.T.); #196444=EDGE_CURVE('',#158085,#158083,#81002,.T.); #196445=EDGE_CURVE('',#158086,#158084,#81003,.T.); #196446=EDGE_CURVE('',#158085,#158086,#81004,.T.); #196447=EDGE_CURVE('',#158087,#158085,#81005,.T.); #196448=EDGE_CURVE('',#158088,#158086,#81006,.T.); #196449=EDGE_CURVE('',#158087,#158088,#81007,.T.); #196450=EDGE_CURVE('',#158089,#158087,#81008,.T.); #196451=EDGE_CURVE('',#158090,#158088,#81009,.T.); #196452=EDGE_CURVE('',#158089,#158090,#81010,.T.); #196453=EDGE_CURVE('',#158091,#158089,#81011,.T.); #196454=EDGE_CURVE('',#158092,#158090,#81012,.T.); #196455=EDGE_CURVE('',#158091,#158092,#81013,.T.); #196456=EDGE_CURVE('',#158093,#158091,#81014,.T.); #196457=EDGE_CURVE('',#158094,#158092,#81015,.T.); #196458=EDGE_CURVE('',#158093,#158094,#81016,.T.); #196459=EDGE_CURVE('',#158095,#158093,#81017,.T.); #196460=EDGE_CURVE('',#158096,#158094,#81018,.T.); #196461=EDGE_CURVE('',#158095,#158096,#81019,.T.); #196462=EDGE_CURVE('',#158097,#158095,#81020,.T.); #196463=EDGE_CURVE('',#158098,#158096,#81021,.T.); #196464=EDGE_CURVE('',#158097,#158098,#81022,.T.); #196465=EDGE_CURVE('',#158099,#158097,#81023,.T.); #196466=EDGE_CURVE('',#158100,#158098,#81024,.T.); #196467=EDGE_CURVE('',#158099,#158100,#81025,.T.); #196468=EDGE_CURVE('',#158101,#158099,#81026,.T.); #196469=EDGE_CURVE('',#158102,#158100,#81027,.T.); #196470=EDGE_CURVE('',#158101,#158102,#81028,.T.); #196471=EDGE_CURVE('',#158103,#158101,#81029,.T.); #196472=EDGE_CURVE('',#158104,#158102,#81030,.T.); #196473=EDGE_CURVE('',#158103,#158104,#81031,.T.); #196474=EDGE_CURVE('',#158105,#158103,#81032,.T.); #196475=EDGE_CURVE('',#158106,#158104,#81033,.T.); #196476=EDGE_CURVE('',#158105,#158106,#81034,.T.); #196477=EDGE_CURVE('',#158107,#158105,#81035,.T.); #196478=EDGE_CURVE('',#158108,#158106,#81036,.T.); #196479=EDGE_CURVE('',#158107,#158108,#81037,.T.); #196480=EDGE_CURVE('',#158109,#158107,#81038,.T.); #196481=EDGE_CURVE('',#158110,#158108,#81039,.T.); #196482=EDGE_CURVE('',#158109,#158110,#81040,.T.); #196483=EDGE_CURVE('',#158111,#158109,#81041,.T.); #196484=EDGE_CURVE('',#158112,#158110,#81042,.T.); #196485=EDGE_CURVE('',#158111,#158112,#81043,.T.); #196486=EDGE_CURVE('',#158113,#158111,#81044,.T.); #196487=EDGE_CURVE('',#158114,#158112,#81045,.T.); #196488=EDGE_CURVE('',#158113,#158114,#81046,.T.); #196489=EDGE_CURVE('',#158115,#158113,#81047,.T.); #196490=EDGE_CURVE('',#158116,#158114,#81048,.T.); #196491=EDGE_CURVE('',#158115,#158116,#81049,.T.); #196492=EDGE_CURVE('',#158117,#158115,#81050,.T.); #196493=EDGE_CURVE('',#158118,#158116,#81051,.T.); #196494=EDGE_CURVE('',#158117,#158118,#81052,.T.); #196495=EDGE_CURVE('',#158119,#158117,#81053,.T.); #196496=EDGE_CURVE('',#158120,#158118,#81054,.T.); #196497=EDGE_CURVE('',#158119,#158120,#81055,.T.); #196498=EDGE_CURVE('',#158121,#158119,#81056,.T.); #196499=EDGE_CURVE('',#158122,#158120,#81057,.T.); #196500=EDGE_CURVE('',#158121,#158122,#81058,.T.); #196501=EDGE_CURVE('',#158123,#158121,#81059,.T.); #196502=EDGE_CURVE('',#158124,#158122,#81060,.T.); #196503=EDGE_CURVE('',#158123,#158124,#81061,.T.); #196504=EDGE_CURVE('',#158125,#158123,#81062,.T.); #196505=EDGE_CURVE('',#158126,#158124,#81063,.T.); #196506=EDGE_CURVE('',#158125,#158126,#81064,.T.); #196507=EDGE_CURVE('',#158127,#158125,#81065,.T.); #196508=EDGE_CURVE('',#158128,#158126,#81066,.T.); #196509=EDGE_CURVE('',#158127,#158128,#81067,.T.); #196510=EDGE_CURVE('',#158129,#158127,#81068,.T.); #196511=EDGE_CURVE('',#158130,#158128,#81069,.T.); #196512=EDGE_CURVE('',#158129,#158130,#81070,.T.); #196513=EDGE_CURVE('',#158131,#158129,#81071,.T.); #196514=EDGE_CURVE('',#158132,#158130,#81072,.T.); #196515=EDGE_CURVE('',#158131,#158132,#81073,.T.); #196516=EDGE_CURVE('',#158133,#158131,#81074,.T.); #196517=EDGE_CURVE('',#158134,#158132,#81075,.T.); #196518=EDGE_CURVE('',#158133,#158134,#81076,.T.); #196519=EDGE_CURVE('',#158135,#158133,#81077,.T.); #196520=EDGE_CURVE('',#158136,#158134,#81078,.T.); #196521=EDGE_CURVE('',#158135,#158136,#81079,.T.); #196522=EDGE_CURVE('',#158137,#158135,#81080,.T.); #196523=EDGE_CURVE('',#158138,#158136,#81081,.T.); #196524=EDGE_CURVE('',#158137,#158138,#81082,.T.); #196525=EDGE_CURVE('',#158139,#158137,#81083,.T.); #196526=EDGE_CURVE('',#158140,#158138,#81084,.T.); #196527=EDGE_CURVE('',#158139,#158140,#81085,.T.); #196528=EDGE_CURVE('',#158141,#158139,#81086,.T.); #196529=EDGE_CURVE('',#158142,#158140,#81087,.T.); #196530=EDGE_CURVE('',#158141,#158142,#81088,.T.); #196531=EDGE_CURVE('',#158143,#158141,#81089,.T.); #196532=EDGE_CURVE('',#158144,#158142,#81090,.T.); #196533=EDGE_CURVE('',#158143,#158144,#81091,.T.); #196534=EDGE_CURVE('',#158145,#158143,#81092,.T.); #196535=EDGE_CURVE('',#158146,#158144,#81093,.T.); #196536=EDGE_CURVE('',#158145,#158146,#81094,.T.); #196537=EDGE_CURVE('',#158072,#158145,#81095,.T.); #196538=EDGE_CURVE('',#158073,#158146,#81096,.T.); #196539=EDGE_CURVE('',#158147,#158148,#81097,.T.); #196540=EDGE_CURVE('',#158148,#158149,#81098,.T.); #196541=EDGE_CURVE('',#158150,#158149,#81099,.T.); #196542=EDGE_CURVE('',#158147,#158150,#81100,.T.); #196543=EDGE_CURVE('',#158151,#158147,#81101,.T.); #196544=EDGE_CURVE('',#158152,#158150,#81102,.T.); #196545=EDGE_CURVE('',#158151,#158152,#81103,.T.); #196546=EDGE_CURVE('',#158153,#158151,#81104,.T.); #196547=EDGE_CURVE('',#158154,#158152,#81105,.T.); #196548=EDGE_CURVE('',#158153,#158154,#81106,.T.); #196549=EDGE_CURVE('',#158155,#158153,#81107,.T.); #196550=EDGE_CURVE('',#158156,#158154,#81108,.T.); #196551=EDGE_CURVE('',#158155,#158156,#81109,.T.); #196552=EDGE_CURVE('',#158157,#158155,#81110,.T.); #196553=EDGE_CURVE('',#158158,#158156,#81111,.T.); #196554=EDGE_CURVE('',#158157,#158158,#81112,.T.); #196555=EDGE_CURVE('',#158159,#158157,#81113,.T.); #196556=EDGE_CURVE('',#158160,#158158,#81114,.T.); #196557=EDGE_CURVE('',#158159,#158160,#81115,.T.); #196558=EDGE_CURVE('',#158161,#158159,#81116,.T.); #196559=EDGE_CURVE('',#158162,#158160,#81117,.T.); #196560=EDGE_CURVE('',#158161,#158162,#81118,.T.); #196561=EDGE_CURVE('',#158163,#158161,#81119,.T.); #196562=EDGE_CURVE('',#158164,#158162,#81120,.T.); #196563=EDGE_CURVE('',#158163,#158164,#81121,.T.); #196564=EDGE_CURVE('',#158165,#158163,#81122,.T.); #196565=EDGE_CURVE('',#158166,#158164,#81123,.T.); #196566=EDGE_CURVE('',#158165,#158166,#81124,.T.); #196567=EDGE_CURVE('',#158167,#158165,#81125,.T.); #196568=EDGE_CURVE('',#158168,#158166,#81126,.T.); #196569=EDGE_CURVE('',#158167,#158168,#81127,.T.); #196570=EDGE_CURVE('',#158169,#158167,#81128,.T.); #196571=EDGE_CURVE('',#158170,#158168,#81129,.T.); #196572=EDGE_CURVE('',#158169,#158170,#81130,.T.); #196573=EDGE_CURVE('',#158171,#158169,#81131,.T.); #196574=EDGE_CURVE('',#158172,#158170,#81132,.T.); #196575=EDGE_CURVE('',#158171,#158172,#81133,.T.); #196576=EDGE_CURVE('',#158173,#158171,#81134,.T.); #196577=EDGE_CURVE('',#158174,#158172,#81135,.T.); #196578=EDGE_CURVE('',#158173,#158174,#81136,.T.); #196579=EDGE_CURVE('',#158175,#158173,#81137,.T.); #196580=EDGE_CURVE('',#158176,#158174,#81138,.T.); #196581=EDGE_CURVE('',#158175,#158176,#81139,.T.); #196582=EDGE_CURVE('',#158177,#158175,#81140,.T.); #196583=EDGE_CURVE('',#158178,#158176,#81141,.T.); #196584=EDGE_CURVE('',#158177,#158178,#81142,.T.); #196585=EDGE_CURVE('',#158179,#158177,#81143,.T.); #196586=EDGE_CURVE('',#158180,#158178,#81144,.T.); #196587=EDGE_CURVE('',#158179,#158180,#81145,.T.); #196588=EDGE_CURVE('',#158181,#158179,#81146,.T.); #196589=EDGE_CURVE('',#158182,#158180,#81147,.T.); #196590=EDGE_CURVE('',#158181,#158182,#81148,.T.); #196591=EDGE_CURVE('',#158183,#158181,#81149,.T.); #196592=EDGE_CURVE('',#158184,#158182,#81150,.T.); #196593=EDGE_CURVE('',#158183,#158184,#81151,.T.); #196594=EDGE_CURVE('',#158185,#158183,#81152,.T.); #196595=EDGE_CURVE('',#158186,#158184,#81153,.T.); #196596=EDGE_CURVE('',#158185,#158186,#81154,.T.); #196597=EDGE_CURVE('',#158187,#158185,#81155,.T.); #196598=EDGE_CURVE('',#158188,#158186,#81156,.T.); #196599=EDGE_CURVE('',#158187,#158188,#81157,.T.); #196600=EDGE_CURVE('',#158189,#158187,#81158,.T.); #196601=EDGE_CURVE('',#158190,#158188,#81159,.T.); #196602=EDGE_CURVE('',#158189,#158190,#81160,.T.); #196603=EDGE_CURVE('',#158191,#158189,#81161,.T.); #196604=EDGE_CURVE('',#158192,#158190,#81162,.T.); #196605=EDGE_CURVE('',#158191,#158192,#81163,.T.); #196606=EDGE_CURVE('',#158193,#158191,#81164,.T.); #196607=EDGE_CURVE('',#158194,#158192,#81165,.T.); #196608=EDGE_CURVE('',#158193,#158194,#81166,.T.); #196609=EDGE_CURVE('',#158148,#158193,#81167,.T.); #196610=EDGE_CURVE('',#158149,#158194,#81168,.T.); #196611=EDGE_CURVE('',#158195,#158196,#81169,.T.); #196612=EDGE_CURVE('',#158196,#158197,#81170,.T.); #196613=EDGE_CURVE('',#158198,#158197,#81171,.T.); #196614=EDGE_CURVE('',#158195,#158198,#81172,.T.); #196615=EDGE_CURVE('',#158199,#158195,#81173,.T.); #196616=EDGE_CURVE('',#158200,#158198,#81174,.T.); #196617=EDGE_CURVE('',#158199,#158200,#81175,.T.); #196618=EDGE_CURVE('',#158201,#158199,#81176,.T.); #196619=EDGE_CURVE('',#158202,#158200,#81177,.T.); #196620=EDGE_CURVE('',#158201,#158202,#81178,.T.); #196621=EDGE_CURVE('',#158203,#158201,#81179,.T.); #196622=EDGE_CURVE('',#158204,#158202,#81180,.T.); #196623=EDGE_CURVE('',#158203,#158204,#81181,.T.); #196624=EDGE_CURVE('',#158205,#158203,#81182,.T.); #196625=EDGE_CURVE('',#158206,#158204,#81183,.T.); #196626=EDGE_CURVE('',#158205,#158206,#81184,.T.); #196627=EDGE_CURVE('',#158207,#158205,#81185,.T.); #196628=EDGE_CURVE('',#158208,#158206,#81186,.T.); #196629=EDGE_CURVE('',#158207,#158208,#81187,.T.); #196630=EDGE_CURVE('',#158209,#158207,#81188,.T.); #196631=EDGE_CURVE('',#158210,#158208,#81189,.T.); #196632=EDGE_CURVE('',#158209,#158210,#81190,.T.); #196633=EDGE_CURVE('',#158211,#158209,#81191,.T.); #196634=EDGE_CURVE('',#158212,#158210,#81192,.T.); #196635=EDGE_CURVE('',#158211,#158212,#81193,.T.); #196636=EDGE_CURVE('',#158213,#158211,#81194,.T.); #196637=EDGE_CURVE('',#158214,#158212,#81195,.T.); #196638=EDGE_CURVE('',#158213,#158214,#81196,.T.); #196639=EDGE_CURVE('',#158215,#158213,#81197,.T.); #196640=EDGE_CURVE('',#158216,#158214,#81198,.T.); #196641=EDGE_CURVE('',#158215,#158216,#81199,.T.); #196642=EDGE_CURVE('',#158217,#158215,#81200,.T.); #196643=EDGE_CURVE('',#158218,#158216,#81201,.T.); #196644=EDGE_CURVE('',#158217,#158218,#81202,.T.); #196645=EDGE_CURVE('',#158219,#158217,#81203,.T.); #196646=EDGE_CURVE('',#158220,#158218,#81204,.T.); #196647=EDGE_CURVE('',#158219,#158220,#81205,.T.); #196648=EDGE_CURVE('',#158221,#158219,#81206,.T.); #196649=EDGE_CURVE('',#158222,#158220,#81207,.T.); #196650=EDGE_CURVE('',#158221,#158222,#81208,.T.); #196651=EDGE_CURVE('',#158223,#158221,#81209,.T.); #196652=EDGE_CURVE('',#158224,#158222,#81210,.T.); #196653=EDGE_CURVE('',#158223,#158224,#81211,.T.); #196654=EDGE_CURVE('',#158225,#158223,#81212,.T.); #196655=EDGE_CURVE('',#158226,#158224,#81213,.T.); #196656=EDGE_CURVE('',#158225,#158226,#81214,.T.); #196657=EDGE_CURVE('',#158227,#158225,#81215,.T.); #196658=EDGE_CURVE('',#158228,#158226,#81216,.T.); #196659=EDGE_CURVE('',#158227,#158228,#81217,.T.); #196660=EDGE_CURVE('',#158229,#158227,#81218,.T.); #196661=EDGE_CURVE('',#158230,#158228,#81219,.T.); #196662=EDGE_CURVE('',#158229,#158230,#81220,.T.); #196663=EDGE_CURVE('',#158231,#158229,#81221,.T.); #196664=EDGE_CURVE('',#158232,#158230,#81222,.T.); #196665=EDGE_CURVE('',#158231,#158232,#81223,.T.); #196666=EDGE_CURVE('',#158233,#158231,#81224,.T.); #196667=EDGE_CURVE('',#158234,#158232,#81225,.T.); #196668=EDGE_CURVE('',#158233,#158234,#81226,.T.); #196669=EDGE_CURVE('',#158235,#158233,#81227,.T.); #196670=EDGE_CURVE('',#158236,#158234,#81228,.T.); #196671=EDGE_CURVE('',#158235,#158236,#81229,.T.); #196672=EDGE_CURVE('',#158237,#158235,#81230,.T.); #196673=EDGE_CURVE('',#158238,#158236,#81231,.T.); #196674=EDGE_CURVE('',#158237,#158238,#81232,.T.); #196675=EDGE_CURVE('',#158239,#158237,#81233,.T.); #196676=EDGE_CURVE('',#158240,#158238,#81234,.T.); #196677=EDGE_CURVE('',#158239,#158240,#81235,.T.); #196678=EDGE_CURVE('',#158241,#158239,#81236,.T.); #196679=EDGE_CURVE('',#158242,#158240,#81237,.T.); #196680=EDGE_CURVE('',#158241,#158242,#81238,.T.); #196681=EDGE_CURVE('',#158243,#158241,#81239,.T.); #196682=EDGE_CURVE('',#158244,#158242,#81240,.T.); #196683=EDGE_CURVE('',#158243,#158244,#81241,.T.); #196684=EDGE_CURVE('',#158245,#158243,#81242,.T.); #196685=EDGE_CURVE('',#158246,#158244,#81243,.T.); #196686=EDGE_CURVE('',#158245,#158246,#81244,.T.); #196687=EDGE_CURVE('',#158247,#158245,#81245,.T.); #196688=EDGE_CURVE('',#158248,#158246,#81246,.T.); #196689=EDGE_CURVE('',#158247,#158248,#81247,.T.); #196690=EDGE_CURVE('',#158249,#158247,#81248,.T.); #196691=EDGE_CURVE('',#158250,#158248,#81249,.T.); #196692=EDGE_CURVE('',#158249,#158250,#81250,.T.); #196693=EDGE_CURVE('',#158251,#158249,#81251,.T.); #196694=EDGE_CURVE('',#158252,#158250,#81252,.T.); #196695=EDGE_CURVE('',#158251,#158252,#81253,.T.); #196696=EDGE_CURVE('',#158253,#158251,#81254,.T.); #196697=EDGE_CURVE('',#158254,#158252,#81255,.T.); #196698=EDGE_CURVE('',#158253,#158254,#81256,.T.); #196699=EDGE_CURVE('',#158255,#158253,#81257,.T.); #196700=EDGE_CURVE('',#158256,#158254,#81258,.T.); #196701=EDGE_CURVE('',#158255,#158256,#81259,.T.); #196702=EDGE_CURVE('',#158257,#158255,#81260,.T.); #196703=EDGE_CURVE('',#158258,#158256,#81261,.T.); #196704=EDGE_CURVE('',#158257,#158258,#81262,.T.); #196705=EDGE_CURVE('',#158259,#158257,#81263,.T.); #196706=EDGE_CURVE('',#158260,#158258,#81264,.T.); #196707=EDGE_CURVE('',#158259,#158260,#81265,.T.); #196708=EDGE_CURVE('',#158261,#158259,#81266,.T.); #196709=EDGE_CURVE('',#158262,#158260,#81267,.T.); #196710=EDGE_CURVE('',#158261,#158262,#81268,.T.); #196711=EDGE_CURVE('',#158263,#158261,#81269,.T.); #196712=EDGE_CURVE('',#158264,#158262,#81270,.T.); #196713=EDGE_CURVE('',#158263,#158264,#81271,.T.); #196714=EDGE_CURVE('',#158265,#158263,#81272,.T.); #196715=EDGE_CURVE('',#158266,#158264,#81273,.T.); #196716=EDGE_CURVE('',#158265,#158266,#81274,.T.); #196717=EDGE_CURVE('',#158267,#158265,#81275,.T.); #196718=EDGE_CURVE('',#158268,#158266,#81276,.T.); #196719=EDGE_CURVE('',#158267,#158268,#81277,.T.); #196720=EDGE_CURVE('',#158269,#158267,#81278,.T.); #196721=EDGE_CURVE('',#158270,#158268,#81279,.T.); #196722=EDGE_CURVE('',#158269,#158270,#81280,.T.); #196723=EDGE_CURVE('',#158271,#158269,#81281,.T.); #196724=EDGE_CURVE('',#158272,#158270,#81282,.T.); #196725=EDGE_CURVE('',#158271,#158272,#81283,.T.); #196726=EDGE_CURVE('',#158273,#158271,#81284,.T.); #196727=EDGE_CURVE('',#158274,#158272,#81285,.T.); #196728=EDGE_CURVE('',#158273,#158274,#81286,.T.); #196729=EDGE_CURVE('',#158275,#158273,#81287,.T.); #196730=EDGE_CURVE('',#158276,#158274,#81288,.T.); #196731=EDGE_CURVE('',#158275,#158276,#81289,.T.); #196732=EDGE_CURVE('',#158277,#158275,#81290,.T.); #196733=EDGE_CURVE('',#158278,#158276,#81291,.T.); #196734=EDGE_CURVE('',#158277,#158278,#81292,.T.); #196735=EDGE_CURVE('',#158279,#158277,#81293,.T.); #196736=EDGE_CURVE('',#158280,#158278,#81294,.T.); #196737=EDGE_CURVE('',#158279,#158280,#81295,.T.); #196738=EDGE_CURVE('',#158281,#158279,#81296,.T.); #196739=EDGE_CURVE('',#158282,#158280,#81297,.T.); #196740=EDGE_CURVE('',#158281,#158282,#81298,.T.); #196741=EDGE_CURVE('',#158283,#158281,#81299,.T.); #196742=EDGE_CURVE('',#158284,#158282,#81300,.T.); #196743=EDGE_CURVE('',#158283,#158284,#81301,.T.); #196744=EDGE_CURVE('',#158285,#158283,#81302,.T.); #196745=EDGE_CURVE('',#158286,#158284,#81303,.T.); #196746=EDGE_CURVE('',#158285,#158286,#81304,.T.); #196747=EDGE_CURVE('',#158287,#158285,#81305,.T.); #196748=EDGE_CURVE('',#158288,#158286,#81306,.T.); #196749=EDGE_CURVE('',#158287,#158288,#81307,.T.); #196750=EDGE_CURVE('',#158289,#158287,#81308,.T.); #196751=EDGE_CURVE('',#158290,#158288,#81309,.T.); #196752=EDGE_CURVE('',#158289,#158290,#81310,.T.); #196753=EDGE_CURVE('',#158291,#158289,#81311,.T.); #196754=EDGE_CURVE('',#158292,#158290,#81312,.T.); #196755=EDGE_CURVE('',#158291,#158292,#81313,.T.); #196756=EDGE_CURVE('',#158293,#158291,#81314,.T.); #196757=EDGE_CURVE('',#158294,#158292,#81315,.T.); #196758=EDGE_CURVE('',#158293,#158294,#81316,.T.); #196759=EDGE_CURVE('',#158295,#158293,#81317,.T.); #196760=EDGE_CURVE('',#158296,#158294,#81318,.T.); #196761=EDGE_CURVE('',#158295,#158296,#81319,.T.); #196762=EDGE_CURVE('',#158297,#158295,#81320,.T.); #196763=EDGE_CURVE('',#158298,#158296,#81321,.T.); #196764=EDGE_CURVE('',#158297,#158298,#81322,.T.); #196765=EDGE_CURVE('',#158299,#158297,#81323,.T.); #196766=EDGE_CURVE('',#158300,#158298,#81324,.T.); #196767=EDGE_CURVE('',#158299,#158300,#81325,.T.); #196768=EDGE_CURVE('',#158301,#158299,#81326,.T.); #196769=EDGE_CURVE('',#158302,#158300,#81327,.T.); #196770=EDGE_CURVE('',#158301,#158302,#81328,.T.); #196771=EDGE_CURVE('',#158303,#158301,#81329,.T.); #196772=EDGE_CURVE('',#158304,#158302,#81330,.T.); #196773=EDGE_CURVE('',#158303,#158304,#81331,.T.); #196774=EDGE_CURVE('',#158305,#158303,#81332,.T.); #196775=EDGE_CURVE('',#158306,#158304,#81333,.T.); #196776=EDGE_CURVE('',#158305,#158306,#81334,.T.); #196777=EDGE_CURVE('',#158307,#158305,#81335,.T.); #196778=EDGE_CURVE('',#158308,#158306,#81336,.T.); #196779=EDGE_CURVE('',#158307,#158308,#81337,.T.); #196780=EDGE_CURVE('',#158309,#158307,#81338,.T.); #196781=EDGE_CURVE('',#158310,#158308,#81339,.T.); #196782=EDGE_CURVE('',#158309,#158310,#81340,.T.); #196783=EDGE_CURVE('',#158311,#158309,#81341,.T.); #196784=EDGE_CURVE('',#158312,#158310,#81342,.T.); #196785=EDGE_CURVE('',#158311,#158312,#81343,.T.); #196786=EDGE_CURVE('',#158313,#158311,#81344,.T.); #196787=EDGE_CURVE('',#158314,#158312,#81345,.T.); #196788=EDGE_CURVE('',#158313,#158314,#81346,.T.); #196789=EDGE_CURVE('',#158315,#158313,#81347,.T.); #196790=EDGE_CURVE('',#158316,#158314,#81348,.T.); #196791=EDGE_CURVE('',#158315,#158316,#81349,.T.); #196792=EDGE_CURVE('',#158317,#158315,#81350,.T.); #196793=EDGE_CURVE('',#158318,#158316,#81351,.T.); #196794=EDGE_CURVE('',#158317,#158318,#81352,.T.); #196795=EDGE_CURVE('',#158319,#158317,#81353,.T.); #196796=EDGE_CURVE('',#158320,#158318,#81354,.T.); #196797=EDGE_CURVE('',#158319,#158320,#81355,.T.); #196798=EDGE_CURVE('',#158321,#158319,#81356,.T.); #196799=EDGE_CURVE('',#158322,#158320,#81357,.T.); #196800=EDGE_CURVE('',#158321,#158322,#81358,.T.); #196801=EDGE_CURVE('',#158323,#158321,#81359,.T.); #196802=EDGE_CURVE('',#158324,#158322,#81360,.T.); #196803=EDGE_CURVE('',#158323,#158324,#81361,.T.); #196804=EDGE_CURVE('',#158325,#158323,#81362,.T.); #196805=EDGE_CURVE('',#158326,#158324,#81363,.T.); #196806=EDGE_CURVE('',#158325,#158326,#81364,.T.); #196807=EDGE_CURVE('',#158327,#158325,#81365,.T.); #196808=EDGE_CURVE('',#158328,#158326,#81366,.T.); #196809=EDGE_CURVE('',#158327,#158328,#81367,.T.); #196810=EDGE_CURVE('',#158329,#158327,#81368,.T.); #196811=EDGE_CURVE('',#158330,#158328,#81369,.T.); #196812=EDGE_CURVE('',#158329,#158330,#81370,.T.); #196813=EDGE_CURVE('',#158331,#158329,#81371,.T.); #196814=EDGE_CURVE('',#158332,#158330,#81372,.T.); #196815=EDGE_CURVE('',#158331,#158332,#81373,.T.); #196816=EDGE_CURVE('',#158333,#158331,#81374,.T.); #196817=EDGE_CURVE('',#158334,#158332,#81375,.T.); #196818=EDGE_CURVE('',#158333,#158334,#81376,.T.); #196819=EDGE_CURVE('',#158335,#158333,#81377,.T.); #196820=EDGE_CURVE('',#158336,#158334,#81378,.T.); #196821=EDGE_CURVE('',#158335,#158336,#81379,.T.); #196822=EDGE_CURVE('',#158337,#158335,#81380,.T.); #196823=EDGE_CURVE('',#158338,#158336,#81381,.T.); #196824=EDGE_CURVE('',#158337,#158338,#81382,.T.); #196825=EDGE_CURVE('',#158339,#158337,#81383,.T.); #196826=EDGE_CURVE('',#158340,#158338,#81384,.T.); #196827=EDGE_CURVE('',#158339,#158340,#81385,.T.); #196828=EDGE_CURVE('',#158341,#158339,#81386,.T.); #196829=EDGE_CURVE('',#158342,#158340,#81387,.T.); #196830=EDGE_CURVE('',#158341,#158342,#81388,.T.); #196831=EDGE_CURVE('',#158343,#158341,#81389,.T.); #196832=EDGE_CURVE('',#158344,#158342,#81390,.T.); #196833=EDGE_CURVE('',#158343,#158344,#81391,.T.); #196834=EDGE_CURVE('',#158345,#158343,#81392,.T.); #196835=EDGE_CURVE('',#158346,#158344,#81393,.T.); #196836=EDGE_CURVE('',#158345,#158346,#81394,.T.); #196837=EDGE_CURVE('',#158347,#158345,#81395,.T.); #196838=EDGE_CURVE('',#158348,#158346,#81396,.T.); #196839=EDGE_CURVE('',#158347,#158348,#81397,.T.); #196840=EDGE_CURVE('',#158349,#158347,#81398,.T.); #196841=EDGE_CURVE('',#158350,#158348,#81399,.T.); #196842=EDGE_CURVE('',#158349,#158350,#81400,.T.); #196843=EDGE_CURVE('',#158351,#158349,#81401,.T.); #196844=EDGE_CURVE('',#158352,#158350,#81402,.T.); #196845=EDGE_CURVE('',#158351,#158352,#81403,.T.); #196846=EDGE_CURVE('',#158353,#158351,#81404,.T.); #196847=EDGE_CURVE('',#158354,#158352,#81405,.T.); #196848=EDGE_CURVE('',#158353,#158354,#81406,.T.); #196849=EDGE_CURVE('',#158355,#158353,#81407,.T.); #196850=EDGE_CURVE('',#158356,#158354,#81408,.T.); #196851=EDGE_CURVE('',#158355,#158356,#81409,.T.); #196852=EDGE_CURVE('',#158357,#158355,#81410,.T.); #196853=EDGE_CURVE('',#158358,#158356,#81411,.T.); #196854=EDGE_CURVE('',#158357,#158358,#81412,.T.); #196855=EDGE_CURVE('',#158359,#158357,#81413,.T.); #196856=EDGE_CURVE('',#158360,#158358,#81414,.T.); #196857=EDGE_CURVE('',#158359,#158360,#81415,.T.); #196858=EDGE_CURVE('',#158361,#158359,#81416,.T.); #196859=EDGE_CURVE('',#158362,#158360,#81417,.T.); #196860=EDGE_CURVE('',#158361,#158362,#81418,.T.); #196861=EDGE_CURVE('',#158363,#158361,#81419,.T.); #196862=EDGE_CURVE('',#158364,#158362,#81420,.T.); #196863=EDGE_CURVE('',#158363,#158364,#81421,.T.); #196864=EDGE_CURVE('',#158365,#158363,#81422,.T.); #196865=EDGE_CURVE('',#158366,#158364,#81423,.T.); #196866=EDGE_CURVE('',#158365,#158366,#81424,.T.); #196867=EDGE_CURVE('',#158367,#158365,#81425,.T.); #196868=EDGE_CURVE('',#158368,#158366,#81426,.T.); #196869=EDGE_CURVE('',#158367,#158368,#81427,.T.); #196870=EDGE_CURVE('',#158369,#158367,#81428,.T.); #196871=EDGE_CURVE('',#158370,#158368,#81429,.T.); #196872=EDGE_CURVE('',#158369,#158370,#81430,.T.); #196873=EDGE_CURVE('',#158371,#158369,#81431,.T.); #196874=EDGE_CURVE('',#158372,#158370,#81432,.T.); #196875=EDGE_CURVE('',#158371,#158372,#81433,.T.); #196876=EDGE_CURVE('',#158373,#158371,#81434,.T.); #196877=EDGE_CURVE('',#158374,#158372,#81435,.T.); #196878=EDGE_CURVE('',#158373,#158374,#81436,.T.); #196879=EDGE_CURVE('',#158375,#158373,#81437,.T.); #196880=EDGE_CURVE('',#158376,#158374,#81438,.T.); #196881=EDGE_CURVE('',#158375,#158376,#81439,.T.); #196882=EDGE_CURVE('',#158377,#158375,#81440,.T.); #196883=EDGE_CURVE('',#158378,#158376,#81441,.T.); #196884=EDGE_CURVE('',#158377,#158378,#81442,.T.); #196885=EDGE_CURVE('',#158379,#158377,#81443,.T.); #196886=EDGE_CURVE('',#158380,#158378,#81444,.T.); #196887=EDGE_CURVE('',#158379,#158380,#81445,.T.); #196888=EDGE_CURVE('',#158381,#158379,#81446,.T.); #196889=EDGE_CURVE('',#158382,#158380,#81447,.T.); #196890=EDGE_CURVE('',#158381,#158382,#81448,.T.); #196891=EDGE_CURVE('',#158383,#158381,#81449,.T.); #196892=EDGE_CURVE('',#158384,#158382,#81450,.T.); #196893=EDGE_CURVE('',#158383,#158384,#81451,.T.); #196894=EDGE_CURVE('',#158385,#158383,#81452,.T.); #196895=EDGE_CURVE('',#158386,#158384,#81453,.T.); #196896=EDGE_CURVE('',#158385,#158386,#81454,.T.); #196897=EDGE_CURVE('',#158387,#158385,#81455,.T.); #196898=EDGE_CURVE('',#158388,#158386,#81456,.T.); #196899=EDGE_CURVE('',#158387,#158388,#81457,.T.); #196900=EDGE_CURVE('',#158389,#158387,#81458,.T.); #196901=EDGE_CURVE('',#158390,#158388,#81459,.T.); #196902=EDGE_CURVE('',#158389,#158390,#81460,.T.); #196903=EDGE_CURVE('',#158391,#158389,#81461,.T.); #196904=EDGE_CURVE('',#158392,#158390,#81462,.T.); #196905=EDGE_CURVE('',#158391,#158392,#81463,.T.); #196906=EDGE_CURVE('',#158393,#158391,#81464,.T.); #196907=EDGE_CURVE('',#158394,#158392,#81465,.T.); #196908=EDGE_CURVE('',#158393,#158394,#81466,.T.); #196909=EDGE_CURVE('',#158395,#158393,#81467,.T.); #196910=EDGE_CURVE('',#158396,#158394,#81468,.T.); #196911=EDGE_CURVE('',#158395,#158396,#81469,.T.); #196912=EDGE_CURVE('',#158397,#158395,#81470,.T.); #196913=EDGE_CURVE('',#158398,#158396,#81471,.T.); #196914=EDGE_CURVE('',#158397,#158398,#81472,.T.); #196915=EDGE_CURVE('',#158399,#158397,#81473,.T.); #196916=EDGE_CURVE('',#158400,#158398,#81474,.T.); #196917=EDGE_CURVE('',#158399,#158400,#81475,.T.); #196918=EDGE_CURVE('',#158401,#158399,#81476,.T.); #196919=EDGE_CURVE('',#158402,#158400,#81477,.T.); #196920=EDGE_CURVE('',#158401,#158402,#81478,.T.); #196921=EDGE_CURVE('',#158403,#158401,#81479,.T.); #196922=EDGE_CURVE('',#158404,#158402,#81480,.T.); #196923=EDGE_CURVE('',#158403,#158404,#81481,.T.); #196924=EDGE_CURVE('',#158405,#158403,#81482,.T.); #196925=EDGE_CURVE('',#158406,#158404,#81483,.T.); #196926=EDGE_CURVE('',#158405,#158406,#81484,.T.); #196927=EDGE_CURVE('',#158407,#158405,#81485,.T.); #196928=EDGE_CURVE('',#158408,#158406,#81486,.T.); #196929=EDGE_CURVE('',#158407,#158408,#81487,.T.); #196930=EDGE_CURVE('',#158409,#158407,#81488,.T.); #196931=EDGE_CURVE('',#158410,#158408,#81489,.T.); #196932=EDGE_CURVE('',#158409,#158410,#81490,.T.); #196933=EDGE_CURVE('',#158411,#158409,#81491,.T.); #196934=EDGE_CURVE('',#158412,#158410,#81492,.T.); #196935=EDGE_CURVE('',#158411,#158412,#81493,.T.); #196936=EDGE_CURVE('',#158413,#158411,#81494,.T.); #196937=EDGE_CURVE('',#158414,#158412,#81495,.T.); #196938=EDGE_CURVE('',#158413,#158414,#81496,.T.); #196939=EDGE_CURVE('',#158415,#158413,#81497,.T.); #196940=EDGE_CURVE('',#158416,#158414,#81498,.T.); #196941=EDGE_CURVE('',#158415,#158416,#81499,.T.); #196942=EDGE_CURVE('',#158417,#158415,#81500,.T.); #196943=EDGE_CURVE('',#158418,#158416,#81501,.T.); #196944=EDGE_CURVE('',#158417,#158418,#81502,.T.); #196945=EDGE_CURVE('',#158419,#158417,#81503,.T.); #196946=EDGE_CURVE('',#158420,#158418,#81504,.T.); #196947=EDGE_CURVE('',#158419,#158420,#81505,.T.); #196948=EDGE_CURVE('',#158421,#158419,#81506,.T.); #196949=EDGE_CURVE('',#158422,#158420,#81507,.T.); #196950=EDGE_CURVE('',#158421,#158422,#81508,.T.); #196951=EDGE_CURVE('',#158423,#158421,#81509,.T.); #196952=EDGE_CURVE('',#158424,#158422,#81510,.T.); #196953=EDGE_CURVE('',#158423,#158424,#81511,.T.); #196954=EDGE_CURVE('',#158425,#158423,#81512,.T.); #196955=EDGE_CURVE('',#158426,#158424,#81513,.T.); #196956=EDGE_CURVE('',#158425,#158426,#81514,.T.); #196957=EDGE_CURVE('',#158427,#158425,#81515,.T.); #196958=EDGE_CURVE('',#158428,#158426,#81516,.T.); #196959=EDGE_CURVE('',#158427,#158428,#81517,.T.); #196960=EDGE_CURVE('',#158429,#158427,#81518,.T.); #196961=EDGE_CURVE('',#158430,#158428,#81519,.T.); #196962=EDGE_CURVE('',#158429,#158430,#81520,.T.); #196963=EDGE_CURVE('',#158431,#158429,#81521,.T.); #196964=EDGE_CURVE('',#158432,#158430,#81522,.T.); #196965=EDGE_CURVE('',#158431,#158432,#81523,.T.); #196966=EDGE_CURVE('',#158433,#158431,#81524,.T.); #196967=EDGE_CURVE('',#158434,#158432,#81525,.T.); #196968=EDGE_CURVE('',#158433,#158434,#81526,.T.); #196969=EDGE_CURVE('',#158435,#158433,#81527,.T.); #196970=EDGE_CURVE('',#158436,#158434,#81528,.T.); #196971=EDGE_CURVE('',#158435,#158436,#81529,.T.); #196972=EDGE_CURVE('',#158437,#158435,#81530,.T.); #196973=EDGE_CURVE('',#158438,#158436,#81531,.T.); #196974=EDGE_CURVE('',#158437,#158438,#81532,.T.); #196975=EDGE_CURVE('',#158439,#158437,#81533,.T.); #196976=EDGE_CURVE('',#158440,#158438,#81534,.T.); #196977=EDGE_CURVE('',#158439,#158440,#81535,.T.); #196978=EDGE_CURVE('',#158441,#158439,#81536,.T.); #196979=EDGE_CURVE('',#158442,#158440,#81537,.T.); #196980=EDGE_CURVE('',#158441,#158442,#81538,.T.); #196981=EDGE_CURVE('',#158443,#158441,#81539,.T.); #196982=EDGE_CURVE('',#158444,#158442,#81540,.T.); #196983=EDGE_CURVE('',#158443,#158444,#81541,.T.); #196984=EDGE_CURVE('',#158445,#158443,#81542,.T.); #196985=EDGE_CURVE('',#158446,#158444,#81543,.T.); #196986=EDGE_CURVE('',#158445,#158446,#81544,.T.); #196987=EDGE_CURVE('',#158447,#158445,#81545,.T.); #196988=EDGE_CURVE('',#158448,#158446,#81546,.T.); #196989=EDGE_CURVE('',#158447,#158448,#81547,.T.); #196990=EDGE_CURVE('',#158449,#158447,#81548,.T.); #196991=EDGE_CURVE('',#158450,#158448,#81549,.T.); #196992=EDGE_CURVE('',#158449,#158450,#81550,.T.); #196993=EDGE_CURVE('',#158451,#158449,#81551,.T.); #196994=EDGE_CURVE('',#158452,#158450,#81552,.T.); #196995=EDGE_CURVE('',#158451,#158452,#81553,.T.); #196996=EDGE_CURVE('',#158453,#158451,#81554,.T.); #196997=EDGE_CURVE('',#158454,#158452,#81555,.T.); #196998=EDGE_CURVE('',#158453,#158454,#81556,.T.); #196999=EDGE_CURVE('',#158455,#158453,#81557,.T.); #197000=EDGE_CURVE('',#158456,#158454,#81558,.T.); #197001=EDGE_CURVE('',#158455,#158456,#81559,.T.); #197002=EDGE_CURVE('',#158457,#158455,#81560,.T.); #197003=EDGE_CURVE('',#158458,#158456,#81561,.T.); #197004=EDGE_CURVE('',#158457,#158458,#81562,.T.); #197005=EDGE_CURVE('',#158459,#158457,#81563,.T.); #197006=EDGE_CURVE('',#158460,#158458,#81564,.T.); #197007=EDGE_CURVE('',#158459,#158460,#81565,.T.); #197008=EDGE_CURVE('',#158461,#158459,#81566,.T.); #197009=EDGE_CURVE('',#158462,#158460,#81567,.T.); #197010=EDGE_CURVE('',#158461,#158462,#81568,.T.); #197011=EDGE_CURVE('',#158463,#158461,#81569,.T.); #197012=EDGE_CURVE('',#158464,#158462,#81570,.T.); #197013=EDGE_CURVE('',#158463,#158464,#81571,.T.); #197014=EDGE_CURVE('',#158465,#158463,#81572,.T.); #197015=EDGE_CURVE('',#158466,#158464,#81573,.T.); #197016=EDGE_CURVE('',#158465,#158466,#81574,.T.); #197017=EDGE_CURVE('',#158467,#158465,#81575,.T.); #197018=EDGE_CURVE('',#158468,#158466,#81576,.T.); #197019=EDGE_CURVE('',#158467,#158468,#81577,.T.); #197020=EDGE_CURVE('',#158469,#158467,#81578,.T.); #197021=EDGE_CURVE('',#158470,#158468,#81579,.T.); #197022=EDGE_CURVE('',#158469,#158470,#81580,.T.); #197023=EDGE_CURVE('',#158471,#158469,#81581,.T.); #197024=EDGE_CURVE('',#158472,#158470,#81582,.T.); #197025=EDGE_CURVE('',#158471,#158472,#81583,.T.); #197026=EDGE_CURVE('',#158473,#158471,#81584,.T.); #197027=EDGE_CURVE('',#158474,#158472,#81585,.T.); #197028=EDGE_CURVE('',#158473,#158474,#81586,.T.); #197029=EDGE_CURVE('',#158475,#158473,#81587,.T.); #197030=EDGE_CURVE('',#158476,#158474,#81588,.T.); #197031=EDGE_CURVE('',#158475,#158476,#81589,.T.); #197032=EDGE_CURVE('',#158477,#158475,#81590,.T.); #197033=EDGE_CURVE('',#158478,#158476,#81591,.T.); #197034=EDGE_CURVE('',#158477,#158478,#81592,.T.); #197035=EDGE_CURVE('',#158479,#158477,#81593,.T.); #197036=EDGE_CURVE('',#158480,#158478,#81594,.T.); #197037=EDGE_CURVE('',#158479,#158480,#81595,.T.); #197038=EDGE_CURVE('',#158481,#158479,#81596,.T.); #197039=EDGE_CURVE('',#158482,#158480,#81597,.T.); #197040=EDGE_CURVE('',#158481,#158482,#81598,.T.); #197041=EDGE_CURVE('',#158483,#158481,#81599,.T.); #197042=EDGE_CURVE('',#158484,#158482,#81600,.T.); #197043=EDGE_CURVE('',#158483,#158484,#81601,.T.); #197044=EDGE_CURVE('',#158485,#158483,#81602,.T.); #197045=EDGE_CURVE('',#158486,#158484,#81603,.T.); #197046=EDGE_CURVE('',#158485,#158486,#81604,.T.); #197047=EDGE_CURVE('',#158487,#158485,#81605,.T.); #197048=EDGE_CURVE('',#158488,#158486,#81606,.T.); #197049=EDGE_CURVE('',#158487,#158488,#81607,.T.); #197050=EDGE_CURVE('',#158489,#158487,#81608,.T.); #197051=EDGE_CURVE('',#158490,#158488,#81609,.T.); #197052=EDGE_CURVE('',#158489,#158490,#81610,.T.); #197053=EDGE_CURVE('',#158491,#158489,#81611,.T.); #197054=EDGE_CURVE('',#158492,#158490,#81612,.T.); #197055=EDGE_CURVE('',#158491,#158492,#81613,.T.); #197056=EDGE_CURVE('',#158493,#158491,#81614,.T.); #197057=EDGE_CURVE('',#158494,#158492,#81615,.T.); #197058=EDGE_CURVE('',#158493,#158494,#81616,.T.); #197059=EDGE_CURVE('',#158495,#158493,#81617,.T.); #197060=EDGE_CURVE('',#158496,#158494,#81618,.T.); #197061=EDGE_CURVE('',#158495,#158496,#81619,.T.); #197062=EDGE_CURVE('',#158497,#158495,#81620,.T.); #197063=EDGE_CURVE('',#158498,#158496,#81621,.T.); #197064=EDGE_CURVE('',#158497,#158498,#81622,.T.); #197065=EDGE_CURVE('',#158499,#158497,#81623,.T.); #197066=EDGE_CURVE('',#158500,#158498,#81624,.T.); #197067=EDGE_CURVE('',#158499,#158500,#81625,.T.); #197068=EDGE_CURVE('',#158501,#158499,#81626,.T.); #197069=EDGE_CURVE('',#158502,#158500,#81627,.T.); #197070=EDGE_CURVE('',#158501,#158502,#81628,.T.); #197071=EDGE_CURVE('',#158503,#158501,#81629,.T.); #197072=EDGE_CURVE('',#158504,#158502,#81630,.T.); #197073=EDGE_CURVE('',#158503,#158504,#81631,.T.); #197074=EDGE_CURVE('',#158505,#158503,#81632,.T.); #197075=EDGE_CURVE('',#158506,#158504,#81633,.T.); #197076=EDGE_CURVE('',#158505,#158506,#81634,.T.); #197077=EDGE_CURVE('',#158507,#158505,#81635,.T.); #197078=EDGE_CURVE('',#158508,#158506,#81636,.T.); #197079=EDGE_CURVE('',#158507,#158508,#81637,.T.); #197080=EDGE_CURVE('',#158509,#158507,#81638,.T.); #197081=EDGE_CURVE('',#158510,#158508,#81639,.T.); #197082=EDGE_CURVE('',#158509,#158510,#81640,.T.); #197083=EDGE_CURVE('',#158511,#158509,#81641,.T.); #197084=EDGE_CURVE('',#158512,#158510,#81642,.T.); #197085=EDGE_CURVE('',#158511,#158512,#81643,.T.); #197086=EDGE_CURVE('',#158513,#158511,#81644,.T.); #197087=EDGE_CURVE('',#158514,#158512,#81645,.T.); #197088=EDGE_CURVE('',#158513,#158514,#81646,.T.); #197089=EDGE_CURVE('',#158515,#158513,#81647,.T.); #197090=EDGE_CURVE('',#158516,#158514,#81648,.T.); #197091=EDGE_CURVE('',#158515,#158516,#81649,.T.); #197092=EDGE_CURVE('',#158517,#158515,#81650,.T.); #197093=EDGE_CURVE('',#158518,#158516,#81651,.T.); #197094=EDGE_CURVE('',#158517,#158518,#81652,.T.); #197095=EDGE_CURVE('',#158519,#158517,#81653,.T.); #197096=EDGE_CURVE('',#158520,#158518,#81654,.T.); #197097=EDGE_CURVE('',#158519,#158520,#81655,.T.); #197098=EDGE_CURVE('',#158521,#158519,#81656,.T.); #197099=EDGE_CURVE('',#158522,#158520,#81657,.T.); #197100=EDGE_CURVE('',#158521,#158522,#81658,.T.); #197101=EDGE_CURVE('',#158523,#158521,#81659,.T.); #197102=EDGE_CURVE('',#158524,#158522,#81660,.T.); #197103=EDGE_CURVE('',#158523,#158524,#81661,.T.); #197104=EDGE_CURVE('',#158525,#158523,#81662,.T.); #197105=EDGE_CURVE('',#158526,#158524,#81663,.T.); #197106=EDGE_CURVE('',#158525,#158526,#81664,.T.); #197107=EDGE_CURVE('',#158527,#158525,#81665,.T.); #197108=EDGE_CURVE('',#158528,#158526,#81666,.T.); #197109=EDGE_CURVE('',#158527,#158528,#81667,.T.); #197110=EDGE_CURVE('',#158529,#158527,#81668,.T.); #197111=EDGE_CURVE('',#158530,#158528,#81669,.T.); #197112=EDGE_CURVE('',#158529,#158530,#81670,.T.); #197113=EDGE_CURVE('',#158531,#158529,#81671,.T.); #197114=EDGE_CURVE('',#158532,#158530,#81672,.T.); #197115=EDGE_CURVE('',#158531,#158532,#81673,.T.); #197116=EDGE_CURVE('',#158533,#158531,#81674,.T.); #197117=EDGE_CURVE('',#158534,#158532,#81675,.T.); #197118=EDGE_CURVE('',#158533,#158534,#81676,.T.); #197119=EDGE_CURVE('',#158535,#158533,#81677,.T.); #197120=EDGE_CURVE('',#158536,#158534,#81678,.T.); #197121=EDGE_CURVE('',#158535,#158536,#81679,.T.); #197122=EDGE_CURVE('',#158537,#158535,#81680,.T.); #197123=EDGE_CURVE('',#158538,#158536,#81681,.T.); #197124=EDGE_CURVE('',#158537,#158538,#81682,.T.); #197125=EDGE_CURVE('',#158539,#158537,#81683,.T.); #197126=EDGE_CURVE('',#158540,#158538,#81684,.T.); #197127=EDGE_CURVE('',#158539,#158540,#81685,.T.); #197128=EDGE_CURVE('',#158541,#158539,#81686,.T.); #197129=EDGE_CURVE('',#158542,#158540,#81687,.T.); #197130=EDGE_CURVE('',#158541,#158542,#81688,.T.); #197131=EDGE_CURVE('',#158543,#158541,#81689,.T.); #197132=EDGE_CURVE('',#158544,#158542,#81690,.T.); #197133=EDGE_CURVE('',#158543,#158544,#81691,.T.); #197134=EDGE_CURVE('',#158545,#158543,#81692,.T.); #197135=EDGE_CURVE('',#158546,#158544,#81693,.T.); #197136=EDGE_CURVE('',#158545,#158546,#81694,.T.); #197137=EDGE_CURVE('',#158547,#158545,#81695,.T.); #197138=EDGE_CURVE('',#158548,#158546,#81696,.T.); #197139=EDGE_CURVE('',#158547,#158548,#81697,.T.); #197140=EDGE_CURVE('',#158549,#158547,#81698,.T.); #197141=EDGE_CURVE('',#158550,#158548,#81699,.T.); #197142=EDGE_CURVE('',#158549,#158550,#81700,.T.); #197143=EDGE_CURVE('',#158551,#158549,#81701,.T.); #197144=EDGE_CURVE('',#158552,#158550,#81702,.T.); #197145=EDGE_CURVE('',#158551,#158552,#81703,.T.); #197146=EDGE_CURVE('',#158553,#158551,#81704,.T.); #197147=EDGE_CURVE('',#158554,#158552,#81705,.T.); #197148=EDGE_CURVE('',#158553,#158554,#81706,.T.); #197149=EDGE_CURVE('',#158555,#158553,#81707,.T.); #197150=EDGE_CURVE('',#158556,#158554,#81708,.T.); #197151=EDGE_CURVE('',#158555,#158556,#81709,.T.); #197152=EDGE_CURVE('',#158557,#158555,#81710,.T.); #197153=EDGE_CURVE('',#158558,#158556,#81711,.T.); #197154=EDGE_CURVE('',#158557,#158558,#81712,.T.); #197155=EDGE_CURVE('',#158559,#158557,#81713,.T.); #197156=EDGE_CURVE('',#158560,#158558,#81714,.T.); #197157=EDGE_CURVE('',#158559,#158560,#81715,.T.); #197158=EDGE_CURVE('',#158561,#158559,#81716,.T.); #197159=EDGE_CURVE('',#158562,#158560,#81717,.T.); #197160=EDGE_CURVE('',#158561,#158562,#81718,.T.); #197161=EDGE_CURVE('',#158563,#158561,#81719,.T.); #197162=EDGE_CURVE('',#158564,#158562,#81720,.T.); #197163=EDGE_CURVE('',#158563,#158564,#81721,.T.); #197164=EDGE_CURVE('',#158565,#158563,#81722,.T.); #197165=EDGE_CURVE('',#158566,#158564,#81723,.T.); #197166=EDGE_CURVE('',#158565,#158566,#81724,.T.); #197167=EDGE_CURVE('',#158567,#158565,#81725,.T.); #197168=EDGE_CURVE('',#158568,#158566,#81726,.T.); #197169=EDGE_CURVE('',#158567,#158568,#81727,.T.); #197170=EDGE_CURVE('',#158569,#158567,#81728,.T.); #197171=EDGE_CURVE('',#158570,#158568,#81729,.T.); #197172=EDGE_CURVE('',#158569,#158570,#81730,.T.); #197173=EDGE_CURVE('',#158571,#158569,#81731,.T.); #197174=EDGE_CURVE('',#158572,#158570,#81732,.T.); #197175=EDGE_CURVE('',#158571,#158572,#81733,.T.); #197176=EDGE_CURVE('',#158573,#158571,#81734,.T.); #197177=EDGE_CURVE('',#158574,#158572,#81735,.T.); #197178=EDGE_CURVE('',#158573,#158574,#81736,.T.); #197179=EDGE_CURVE('',#158575,#158573,#81737,.T.); #197180=EDGE_CURVE('',#158576,#158574,#81738,.T.); #197181=EDGE_CURVE('',#158575,#158576,#81739,.T.); #197182=EDGE_CURVE('',#158577,#158575,#81740,.T.); #197183=EDGE_CURVE('',#158578,#158576,#81741,.T.); #197184=EDGE_CURVE('',#158577,#158578,#81742,.T.); #197185=EDGE_CURVE('',#158579,#158577,#81743,.T.); #197186=EDGE_CURVE('',#158580,#158578,#81744,.T.); #197187=EDGE_CURVE('',#158579,#158580,#81745,.T.); #197188=EDGE_CURVE('',#158581,#158579,#81746,.T.); #197189=EDGE_CURVE('',#158582,#158580,#81747,.T.); #197190=EDGE_CURVE('',#158581,#158582,#81748,.T.); #197191=EDGE_CURVE('',#158583,#158581,#81749,.T.); #197192=EDGE_CURVE('',#158584,#158582,#81750,.T.); #197193=EDGE_CURVE('',#158583,#158584,#81751,.T.); #197194=EDGE_CURVE('',#158585,#158583,#81752,.T.); #197195=EDGE_CURVE('',#158586,#158584,#81753,.T.); #197196=EDGE_CURVE('',#158585,#158586,#81754,.T.); #197197=EDGE_CURVE('',#158587,#158585,#81755,.T.); #197198=EDGE_CURVE('',#158588,#158586,#81756,.T.); #197199=EDGE_CURVE('',#158587,#158588,#81757,.T.); #197200=EDGE_CURVE('',#158589,#158587,#81758,.T.); #197201=EDGE_CURVE('',#158590,#158588,#81759,.T.); #197202=EDGE_CURVE('',#158589,#158590,#81760,.T.); #197203=EDGE_CURVE('',#158591,#158589,#81761,.T.); #197204=EDGE_CURVE('',#158592,#158590,#81762,.T.); #197205=EDGE_CURVE('',#158591,#158592,#81763,.T.); #197206=EDGE_CURVE('',#158593,#158591,#81764,.T.); #197207=EDGE_CURVE('',#158594,#158592,#81765,.T.); #197208=EDGE_CURVE('',#158593,#158594,#81766,.T.); #197209=EDGE_CURVE('',#158595,#158593,#81767,.T.); #197210=EDGE_CURVE('',#158596,#158594,#81768,.T.); #197211=EDGE_CURVE('',#158595,#158596,#81769,.T.); #197212=EDGE_CURVE('',#158597,#158595,#81770,.T.); #197213=EDGE_CURVE('',#158598,#158596,#81771,.T.); #197214=EDGE_CURVE('',#158597,#158598,#81772,.T.); #197215=EDGE_CURVE('',#158599,#158597,#81773,.T.); #197216=EDGE_CURVE('',#158600,#158598,#81774,.T.); #197217=EDGE_CURVE('',#158599,#158600,#81775,.T.); #197218=EDGE_CURVE('',#158601,#158599,#81776,.T.); #197219=EDGE_CURVE('',#158602,#158600,#81777,.T.); #197220=EDGE_CURVE('',#158601,#158602,#81778,.T.); #197221=EDGE_CURVE('',#158603,#158601,#81779,.T.); #197222=EDGE_CURVE('',#158604,#158602,#81780,.T.); #197223=EDGE_CURVE('',#158603,#158604,#81781,.T.); #197224=EDGE_CURVE('',#158605,#158603,#81782,.T.); #197225=EDGE_CURVE('',#158606,#158604,#81783,.T.); #197226=EDGE_CURVE('',#158605,#158606,#81784,.T.); #197227=EDGE_CURVE('',#158607,#158605,#81785,.T.); #197228=EDGE_CURVE('',#158608,#158606,#81786,.T.); #197229=EDGE_CURVE('',#158607,#158608,#81787,.T.); #197230=EDGE_CURVE('',#158609,#158607,#81788,.T.); #197231=EDGE_CURVE('',#158610,#158608,#81789,.T.); #197232=EDGE_CURVE('',#158609,#158610,#81790,.T.); #197233=EDGE_CURVE('',#158611,#158609,#81791,.T.); #197234=EDGE_CURVE('',#158612,#158610,#81792,.T.); #197235=EDGE_CURVE('',#158611,#158612,#81793,.T.); #197236=EDGE_CURVE('',#158613,#158611,#81794,.T.); #197237=EDGE_CURVE('',#158614,#158612,#81795,.T.); #197238=EDGE_CURVE('',#158613,#158614,#81796,.T.); #197239=EDGE_CURVE('',#158615,#158613,#81797,.T.); #197240=EDGE_CURVE('',#158616,#158614,#81798,.T.); #197241=EDGE_CURVE('',#158615,#158616,#81799,.T.); #197242=EDGE_CURVE('',#158617,#158615,#81800,.T.); #197243=EDGE_CURVE('',#158618,#158616,#81801,.T.); #197244=EDGE_CURVE('',#158617,#158618,#81802,.T.); #197245=EDGE_CURVE('',#158619,#158617,#81803,.T.); #197246=EDGE_CURVE('',#158620,#158618,#81804,.T.); #197247=EDGE_CURVE('',#158619,#158620,#81805,.T.); #197248=EDGE_CURVE('',#158621,#158619,#81806,.T.); #197249=EDGE_CURVE('',#158622,#158620,#81807,.T.); #197250=EDGE_CURVE('',#158621,#158622,#81808,.T.); #197251=EDGE_CURVE('',#158623,#158621,#81809,.T.); #197252=EDGE_CURVE('',#158624,#158622,#81810,.T.); #197253=EDGE_CURVE('',#158623,#158624,#81811,.T.); #197254=EDGE_CURVE('',#158625,#158623,#81812,.T.); #197255=EDGE_CURVE('',#158626,#158624,#81813,.T.); #197256=EDGE_CURVE('',#158625,#158626,#81814,.T.); #197257=EDGE_CURVE('',#158627,#158625,#81815,.T.); #197258=EDGE_CURVE('',#158628,#158626,#81816,.T.); #197259=EDGE_CURVE('',#158627,#158628,#81817,.T.); #197260=EDGE_CURVE('',#158629,#158627,#81818,.T.); #197261=EDGE_CURVE('',#158630,#158628,#81819,.T.); #197262=EDGE_CURVE('',#158629,#158630,#81820,.T.); #197263=EDGE_CURVE('',#158631,#158629,#81821,.T.); #197264=EDGE_CURVE('',#158632,#158630,#81822,.T.); #197265=EDGE_CURVE('',#158631,#158632,#81823,.T.); #197266=EDGE_CURVE('',#158633,#158631,#81824,.T.); #197267=EDGE_CURVE('',#158634,#158632,#81825,.T.); #197268=EDGE_CURVE('',#158633,#158634,#81826,.T.); #197269=EDGE_CURVE('',#158635,#158633,#81827,.T.); #197270=EDGE_CURVE('',#158636,#158634,#81828,.T.); #197271=EDGE_CURVE('',#158635,#158636,#81829,.T.); #197272=EDGE_CURVE('',#158637,#158635,#81830,.T.); #197273=EDGE_CURVE('',#158638,#158636,#81831,.T.); #197274=EDGE_CURVE('',#158637,#158638,#81832,.T.); #197275=EDGE_CURVE('',#158639,#158637,#81833,.T.); #197276=EDGE_CURVE('',#158640,#158638,#81834,.T.); #197277=EDGE_CURVE('',#158639,#158640,#81835,.T.); #197278=EDGE_CURVE('',#158641,#158639,#81836,.T.); #197279=EDGE_CURVE('',#158642,#158640,#81837,.T.); #197280=EDGE_CURVE('',#158641,#158642,#81838,.T.); #197281=EDGE_CURVE('',#158643,#158641,#81839,.T.); #197282=EDGE_CURVE('',#158644,#158642,#81840,.T.); #197283=EDGE_CURVE('',#158643,#158644,#81841,.T.); #197284=EDGE_CURVE('',#158645,#158643,#81842,.T.); #197285=EDGE_CURVE('',#158646,#158644,#81843,.T.); #197286=EDGE_CURVE('',#158645,#158646,#81844,.T.); #197287=EDGE_CURVE('',#158647,#158645,#81845,.T.); #197288=EDGE_CURVE('',#158648,#158646,#81846,.T.); #197289=EDGE_CURVE('',#158647,#158648,#81847,.T.); #197290=EDGE_CURVE('',#158649,#158647,#81848,.T.); #197291=EDGE_CURVE('',#158650,#158648,#81849,.T.); #197292=EDGE_CURVE('',#158649,#158650,#81850,.T.); #197293=EDGE_CURVE('',#158651,#158649,#81851,.T.); #197294=EDGE_CURVE('',#158652,#158650,#81852,.T.); #197295=EDGE_CURVE('',#158651,#158652,#81853,.T.); #197296=EDGE_CURVE('',#158653,#158651,#81854,.T.); #197297=EDGE_CURVE('',#158654,#158652,#81855,.T.); #197298=EDGE_CURVE('',#158653,#158654,#81856,.T.); #197299=EDGE_CURVE('',#158655,#158653,#81857,.T.); #197300=EDGE_CURVE('',#158656,#158654,#81858,.T.); #197301=EDGE_CURVE('',#158655,#158656,#81859,.T.); #197302=EDGE_CURVE('',#158657,#158655,#81860,.T.); #197303=EDGE_CURVE('',#158658,#158656,#81861,.T.); #197304=EDGE_CURVE('',#158657,#158658,#81862,.T.); #197305=EDGE_CURVE('',#158659,#158657,#81863,.T.); #197306=EDGE_CURVE('',#158660,#158658,#81864,.T.); #197307=EDGE_CURVE('',#158659,#158660,#81865,.T.); #197308=EDGE_CURVE('',#158661,#158659,#81866,.T.); #197309=EDGE_CURVE('',#158662,#158660,#81867,.T.); #197310=EDGE_CURVE('',#158661,#158662,#81868,.T.); #197311=EDGE_CURVE('',#158663,#158661,#81869,.T.); #197312=EDGE_CURVE('',#158664,#158662,#81870,.T.); #197313=EDGE_CURVE('',#158663,#158664,#81871,.T.); #197314=EDGE_CURVE('',#158665,#158663,#81872,.T.); #197315=EDGE_CURVE('',#158666,#158664,#81873,.T.); #197316=EDGE_CURVE('',#158665,#158666,#81874,.T.); #197317=EDGE_CURVE('',#158667,#158665,#81875,.T.); #197318=EDGE_CURVE('',#158668,#158666,#81876,.T.); #197319=EDGE_CURVE('',#158667,#158668,#81877,.T.); #197320=EDGE_CURVE('',#158669,#158667,#81878,.T.); #197321=EDGE_CURVE('',#158670,#158668,#81879,.T.); #197322=EDGE_CURVE('',#158669,#158670,#81880,.T.); #197323=EDGE_CURVE('',#158671,#158669,#81881,.T.); #197324=EDGE_CURVE('',#158672,#158670,#81882,.T.); #197325=EDGE_CURVE('',#158671,#158672,#81883,.T.); #197326=EDGE_CURVE('',#158673,#158671,#81884,.T.); #197327=EDGE_CURVE('',#158674,#158672,#81885,.T.); #197328=EDGE_CURVE('',#158673,#158674,#81886,.T.); #197329=EDGE_CURVE('',#158675,#158673,#81887,.T.); #197330=EDGE_CURVE('',#158676,#158674,#81888,.T.); #197331=EDGE_CURVE('',#158675,#158676,#81889,.T.); #197332=EDGE_CURVE('',#158677,#158675,#81890,.T.); #197333=EDGE_CURVE('',#158678,#158676,#81891,.T.); #197334=EDGE_CURVE('',#158677,#158678,#81892,.T.); #197335=EDGE_CURVE('',#158679,#158677,#81893,.T.); #197336=EDGE_CURVE('',#158680,#158678,#81894,.T.); #197337=EDGE_CURVE('',#158679,#158680,#81895,.T.); #197338=EDGE_CURVE('',#158681,#158679,#81896,.T.); #197339=EDGE_CURVE('',#158682,#158680,#81897,.T.); #197340=EDGE_CURVE('',#158681,#158682,#81898,.T.); #197341=EDGE_CURVE('',#158683,#158681,#81899,.T.); #197342=EDGE_CURVE('',#158684,#158682,#81900,.T.); #197343=EDGE_CURVE('',#158683,#158684,#81901,.T.); #197344=EDGE_CURVE('',#158685,#158683,#81902,.T.); #197345=EDGE_CURVE('',#158686,#158684,#81903,.T.); #197346=EDGE_CURVE('',#158685,#158686,#81904,.T.); #197347=EDGE_CURVE('',#158687,#158685,#81905,.T.); #197348=EDGE_CURVE('',#158688,#158686,#81906,.T.); #197349=EDGE_CURVE('',#158687,#158688,#81907,.T.); #197350=EDGE_CURVE('',#158689,#158687,#81908,.T.); #197351=EDGE_CURVE('',#158690,#158688,#81909,.T.); #197352=EDGE_CURVE('',#158689,#158690,#81910,.T.); #197353=EDGE_CURVE('',#158691,#158689,#81911,.T.); #197354=EDGE_CURVE('',#158692,#158690,#81912,.T.); #197355=EDGE_CURVE('',#158691,#158692,#81913,.T.); #197356=EDGE_CURVE('',#158693,#158691,#81914,.T.); #197357=EDGE_CURVE('',#158694,#158692,#81915,.T.); #197358=EDGE_CURVE('',#158693,#158694,#81916,.T.); #197359=EDGE_CURVE('',#158695,#158693,#81917,.T.); #197360=EDGE_CURVE('',#158696,#158694,#81918,.T.); #197361=EDGE_CURVE('',#158695,#158696,#81919,.T.); #197362=EDGE_CURVE('',#158697,#158695,#81920,.T.); #197363=EDGE_CURVE('',#158698,#158696,#81921,.T.); #197364=EDGE_CURVE('',#158697,#158698,#81922,.T.); #197365=EDGE_CURVE('',#158699,#158697,#81923,.T.); #197366=EDGE_CURVE('',#158700,#158698,#81924,.T.); #197367=EDGE_CURVE('',#158699,#158700,#81925,.T.); #197368=EDGE_CURVE('',#158701,#158699,#81926,.T.); #197369=EDGE_CURVE('',#158702,#158700,#81927,.T.); #197370=EDGE_CURVE('',#158701,#158702,#81928,.T.); #197371=EDGE_CURVE('',#158703,#158701,#81929,.T.); #197372=EDGE_CURVE('',#158704,#158702,#81930,.T.); #197373=EDGE_CURVE('',#158703,#158704,#81931,.T.); #197374=EDGE_CURVE('',#158705,#158703,#81932,.T.); #197375=EDGE_CURVE('',#158706,#158704,#81933,.T.); #197376=EDGE_CURVE('',#158705,#158706,#81934,.T.); #197377=EDGE_CURVE('',#158707,#158705,#81935,.T.); #197378=EDGE_CURVE('',#158708,#158706,#81936,.T.); #197379=EDGE_CURVE('',#158707,#158708,#81937,.T.); #197380=EDGE_CURVE('',#158709,#158707,#81938,.T.); #197381=EDGE_CURVE('',#158710,#158708,#81939,.T.); #197382=EDGE_CURVE('',#158709,#158710,#81940,.T.); #197383=EDGE_CURVE('',#158711,#158709,#81941,.T.); #197384=EDGE_CURVE('',#158712,#158710,#81942,.T.); #197385=EDGE_CURVE('',#158711,#158712,#81943,.T.); #197386=EDGE_CURVE('',#158713,#158711,#81944,.T.); #197387=EDGE_CURVE('',#158714,#158712,#81945,.T.); #197388=EDGE_CURVE('',#158713,#158714,#81946,.T.); #197389=EDGE_CURVE('',#158715,#158713,#81947,.T.); #197390=EDGE_CURVE('',#158716,#158714,#81948,.T.); #197391=EDGE_CURVE('',#158715,#158716,#81949,.T.); #197392=EDGE_CURVE('',#158717,#158715,#81950,.T.); #197393=EDGE_CURVE('',#158718,#158716,#81951,.T.); #197394=EDGE_CURVE('',#158717,#158718,#81952,.T.); #197395=EDGE_CURVE('',#158719,#158717,#81953,.T.); #197396=EDGE_CURVE('',#158720,#158718,#81954,.T.); #197397=EDGE_CURVE('',#158719,#158720,#81955,.T.); #197398=EDGE_CURVE('',#158721,#158719,#81956,.T.); #197399=EDGE_CURVE('',#158722,#158720,#81957,.T.); #197400=EDGE_CURVE('',#158721,#158722,#81958,.T.); #197401=EDGE_CURVE('',#158723,#158721,#81959,.T.); #197402=EDGE_CURVE('',#158724,#158722,#81960,.T.); #197403=EDGE_CURVE('',#158723,#158724,#81961,.T.); #197404=EDGE_CURVE('',#158725,#158723,#81962,.T.); #197405=EDGE_CURVE('',#158726,#158724,#81963,.T.); #197406=EDGE_CURVE('',#158725,#158726,#81964,.T.); #197407=EDGE_CURVE('',#158727,#158725,#81965,.T.); #197408=EDGE_CURVE('',#158728,#158726,#81966,.T.); #197409=EDGE_CURVE('',#158727,#158728,#81967,.T.); #197410=EDGE_CURVE('',#158729,#158727,#81968,.T.); #197411=EDGE_CURVE('',#158730,#158728,#81969,.T.); #197412=EDGE_CURVE('',#158729,#158730,#81970,.T.); #197413=EDGE_CURVE('',#158731,#158729,#81971,.T.); #197414=EDGE_CURVE('',#158732,#158730,#81972,.T.); #197415=EDGE_CURVE('',#158731,#158732,#81973,.T.); #197416=EDGE_CURVE('',#158733,#158731,#81974,.T.); #197417=EDGE_CURVE('',#158734,#158732,#81975,.T.); #197418=EDGE_CURVE('',#158733,#158734,#81976,.T.); #197419=EDGE_CURVE('',#158735,#158733,#81977,.T.); #197420=EDGE_CURVE('',#158736,#158734,#81978,.T.); #197421=EDGE_CURVE('',#158735,#158736,#81979,.T.); #197422=EDGE_CURVE('',#158737,#158735,#81980,.T.); #197423=EDGE_CURVE('',#158738,#158736,#81981,.T.); #197424=EDGE_CURVE('',#158737,#158738,#81982,.T.); #197425=EDGE_CURVE('',#158739,#158737,#81983,.T.); #197426=EDGE_CURVE('',#158740,#158738,#81984,.T.); #197427=EDGE_CURVE('',#158739,#158740,#81985,.T.); #197428=EDGE_CURVE('',#158741,#158739,#81986,.T.); #197429=EDGE_CURVE('',#158742,#158740,#81987,.T.); #197430=EDGE_CURVE('',#158741,#158742,#81988,.T.); #197431=EDGE_CURVE('',#158743,#158741,#81989,.T.); #197432=EDGE_CURVE('',#158744,#158742,#81990,.T.); #197433=EDGE_CURVE('',#158743,#158744,#81991,.T.); #197434=EDGE_CURVE('',#158745,#158743,#81992,.T.); #197435=EDGE_CURVE('',#158746,#158744,#81993,.T.); #197436=EDGE_CURVE('',#158745,#158746,#81994,.T.); #197437=EDGE_CURVE('',#158747,#158745,#81995,.T.); #197438=EDGE_CURVE('',#158748,#158746,#81996,.T.); #197439=EDGE_CURVE('',#158747,#158748,#81997,.T.); #197440=EDGE_CURVE('',#158749,#158747,#81998,.T.); #197441=EDGE_CURVE('',#158750,#158748,#81999,.T.); #197442=EDGE_CURVE('',#158749,#158750,#82000,.T.); #197443=EDGE_CURVE('',#158751,#158749,#82001,.T.); #197444=EDGE_CURVE('',#158752,#158750,#82002,.T.); #197445=EDGE_CURVE('',#158751,#158752,#82003,.T.); #197446=EDGE_CURVE('',#158753,#158751,#82004,.T.); #197447=EDGE_CURVE('',#158754,#158752,#82005,.T.); #197448=EDGE_CURVE('',#158753,#158754,#82006,.T.); #197449=EDGE_CURVE('',#158755,#158753,#82007,.T.); #197450=EDGE_CURVE('',#158756,#158754,#82008,.T.); #197451=EDGE_CURVE('',#158755,#158756,#82009,.T.); #197452=EDGE_CURVE('',#158757,#158755,#82010,.T.); #197453=EDGE_CURVE('',#158758,#158756,#82011,.T.); #197454=EDGE_CURVE('',#158757,#158758,#82012,.T.); #197455=EDGE_CURVE('',#158759,#158757,#82013,.T.); #197456=EDGE_CURVE('',#158760,#158758,#82014,.T.); #197457=EDGE_CURVE('',#158759,#158760,#82015,.T.); #197458=EDGE_CURVE('',#158761,#158759,#82016,.T.); #197459=EDGE_CURVE('',#158762,#158760,#82017,.T.); #197460=EDGE_CURVE('',#158761,#158762,#82018,.T.); #197461=EDGE_CURVE('',#158763,#158761,#82019,.T.); #197462=EDGE_CURVE('',#158764,#158762,#82020,.T.); #197463=EDGE_CURVE('',#158763,#158764,#82021,.T.); #197464=EDGE_CURVE('',#158765,#158763,#82022,.T.); #197465=EDGE_CURVE('',#158766,#158764,#82023,.T.); #197466=EDGE_CURVE('',#158765,#158766,#82024,.T.); #197467=EDGE_CURVE('',#158767,#158765,#82025,.T.); #197468=EDGE_CURVE('',#158768,#158766,#82026,.T.); #197469=EDGE_CURVE('',#158767,#158768,#82027,.T.); #197470=EDGE_CURVE('',#158769,#158767,#82028,.T.); #197471=EDGE_CURVE('',#158770,#158768,#82029,.T.); #197472=EDGE_CURVE('',#158769,#158770,#82030,.T.); #197473=EDGE_CURVE('',#158771,#158769,#82031,.T.); #197474=EDGE_CURVE('',#158772,#158770,#82032,.T.); #197475=EDGE_CURVE('',#158771,#158772,#82033,.T.); #197476=EDGE_CURVE('',#158773,#158771,#82034,.T.); #197477=EDGE_CURVE('',#158774,#158772,#82035,.T.); #197478=EDGE_CURVE('',#158773,#158774,#82036,.T.); #197479=EDGE_CURVE('',#158775,#158773,#82037,.T.); #197480=EDGE_CURVE('',#158776,#158774,#82038,.T.); #197481=EDGE_CURVE('',#158775,#158776,#82039,.T.); #197482=EDGE_CURVE('',#158777,#158775,#82040,.T.); #197483=EDGE_CURVE('',#158778,#158776,#82041,.T.); #197484=EDGE_CURVE('',#158777,#158778,#82042,.T.); #197485=EDGE_CURVE('',#158779,#158777,#82043,.T.); #197486=EDGE_CURVE('',#158780,#158778,#82044,.T.); #197487=EDGE_CURVE('',#158779,#158780,#82045,.T.); #197488=EDGE_CURVE('',#158781,#158779,#82046,.T.); #197489=EDGE_CURVE('',#158782,#158780,#82047,.T.); #197490=EDGE_CURVE('',#158781,#158782,#82048,.T.); #197491=EDGE_CURVE('',#158783,#158781,#82049,.T.); #197492=EDGE_CURVE('',#158784,#158782,#82050,.T.); #197493=EDGE_CURVE('',#158783,#158784,#82051,.T.); #197494=EDGE_CURVE('',#158785,#158783,#82052,.T.); #197495=EDGE_CURVE('',#158786,#158784,#82053,.T.); #197496=EDGE_CURVE('',#158785,#158786,#82054,.T.); #197497=EDGE_CURVE('',#158787,#158785,#82055,.T.); #197498=EDGE_CURVE('',#158788,#158786,#82056,.T.); #197499=EDGE_CURVE('',#158787,#158788,#82057,.T.); #197500=EDGE_CURVE('',#158789,#158787,#82058,.T.); #197501=EDGE_CURVE('',#158790,#158788,#82059,.T.); #197502=EDGE_CURVE('',#158789,#158790,#82060,.T.); #197503=EDGE_CURVE('',#158791,#158789,#82061,.T.); #197504=EDGE_CURVE('',#158792,#158790,#82062,.T.); #197505=EDGE_CURVE('',#158791,#158792,#82063,.T.); #197506=EDGE_CURVE('',#158793,#158791,#82064,.T.); #197507=EDGE_CURVE('',#158794,#158792,#82065,.T.); #197508=EDGE_CURVE('',#158793,#158794,#82066,.T.); #197509=EDGE_CURVE('',#158795,#158793,#82067,.T.); #197510=EDGE_CURVE('',#158796,#158794,#82068,.T.); #197511=EDGE_CURVE('',#158795,#158796,#82069,.T.); #197512=EDGE_CURVE('',#158797,#158795,#82070,.T.); #197513=EDGE_CURVE('',#158798,#158796,#82071,.T.); #197514=EDGE_CURVE('',#158797,#158798,#82072,.T.); #197515=EDGE_CURVE('',#158799,#158797,#82073,.T.); #197516=EDGE_CURVE('',#158800,#158798,#82074,.T.); #197517=EDGE_CURVE('',#158799,#158800,#82075,.T.); #197518=EDGE_CURVE('',#158801,#158799,#82076,.T.); #197519=EDGE_CURVE('',#158802,#158800,#82077,.T.); #197520=EDGE_CURVE('',#158801,#158802,#82078,.T.); #197521=EDGE_CURVE('',#158803,#158801,#82079,.T.); #197522=EDGE_CURVE('',#158804,#158802,#82080,.T.); #197523=EDGE_CURVE('',#158803,#158804,#82081,.T.); #197524=EDGE_CURVE('',#158805,#158803,#82082,.T.); #197525=EDGE_CURVE('',#158806,#158804,#82083,.T.); #197526=EDGE_CURVE('',#158805,#158806,#82084,.T.); #197527=EDGE_CURVE('',#158807,#158805,#82085,.T.); #197528=EDGE_CURVE('',#158808,#158806,#82086,.T.); #197529=EDGE_CURVE('',#158807,#158808,#82087,.T.); #197530=EDGE_CURVE('',#158809,#158807,#82088,.T.); #197531=EDGE_CURVE('',#158810,#158808,#82089,.T.); #197532=EDGE_CURVE('',#158809,#158810,#82090,.T.); #197533=EDGE_CURVE('',#158811,#158809,#82091,.T.); #197534=EDGE_CURVE('',#158812,#158810,#82092,.T.); #197535=EDGE_CURVE('',#158811,#158812,#82093,.T.); #197536=EDGE_CURVE('',#158813,#158811,#82094,.T.); #197537=EDGE_CURVE('',#158814,#158812,#82095,.T.); #197538=EDGE_CURVE('',#158813,#158814,#82096,.T.); #197539=EDGE_CURVE('',#158815,#158813,#82097,.T.); #197540=EDGE_CURVE('',#158816,#158814,#82098,.T.); #197541=EDGE_CURVE('',#158815,#158816,#82099,.T.); #197542=EDGE_CURVE('',#158817,#158815,#82100,.T.); #197543=EDGE_CURVE('',#158818,#158816,#82101,.T.); #197544=EDGE_CURVE('',#158817,#158818,#82102,.T.); #197545=EDGE_CURVE('',#158819,#158817,#82103,.T.); #197546=EDGE_CURVE('',#158820,#158818,#82104,.T.); #197547=EDGE_CURVE('',#158819,#158820,#82105,.T.); #197548=EDGE_CURVE('',#158821,#158819,#82106,.T.); #197549=EDGE_CURVE('',#158822,#158820,#82107,.T.); #197550=EDGE_CURVE('',#158821,#158822,#82108,.T.); #197551=EDGE_CURVE('',#158823,#158821,#82109,.T.); #197552=EDGE_CURVE('',#158824,#158822,#82110,.T.); #197553=EDGE_CURVE('',#158823,#158824,#82111,.T.); #197554=EDGE_CURVE('',#158825,#158823,#82112,.T.); #197555=EDGE_CURVE('',#158826,#158824,#82113,.T.); #197556=EDGE_CURVE('',#158825,#158826,#82114,.T.); #197557=EDGE_CURVE('',#158827,#158825,#82115,.T.); #197558=EDGE_CURVE('',#158828,#158826,#82116,.T.); #197559=EDGE_CURVE('',#158827,#158828,#82117,.T.); #197560=EDGE_CURVE('',#158829,#158827,#82118,.T.); #197561=EDGE_CURVE('',#158830,#158828,#82119,.T.); #197562=EDGE_CURVE('',#158829,#158830,#82120,.T.); #197563=EDGE_CURVE('',#158831,#158829,#82121,.T.); #197564=EDGE_CURVE('',#158832,#158830,#82122,.T.); #197565=EDGE_CURVE('',#158831,#158832,#82123,.T.); #197566=EDGE_CURVE('',#158833,#158831,#82124,.T.); #197567=EDGE_CURVE('',#158834,#158832,#82125,.T.); #197568=EDGE_CURVE('',#158833,#158834,#82126,.T.); #197569=EDGE_CURVE('',#158835,#158833,#82127,.T.); #197570=EDGE_CURVE('',#158836,#158834,#82128,.T.); #197571=EDGE_CURVE('',#158835,#158836,#82129,.T.); #197572=EDGE_CURVE('',#158837,#158835,#82130,.T.); #197573=EDGE_CURVE('',#158838,#158836,#82131,.T.); #197574=EDGE_CURVE('',#158837,#158838,#82132,.T.); #197575=EDGE_CURVE('',#158839,#158837,#82133,.T.); #197576=EDGE_CURVE('',#158840,#158838,#82134,.T.); #197577=EDGE_CURVE('',#158839,#158840,#82135,.T.); #197578=EDGE_CURVE('',#158841,#158839,#82136,.T.); #197579=EDGE_CURVE('',#158842,#158840,#82137,.T.); #197580=EDGE_CURVE('',#158841,#158842,#82138,.T.); #197581=EDGE_CURVE('',#158843,#158841,#82139,.T.); #197582=EDGE_CURVE('',#158844,#158842,#82140,.T.); #197583=EDGE_CURVE('',#158843,#158844,#82141,.T.); #197584=EDGE_CURVE('',#158845,#158843,#82142,.T.); #197585=EDGE_CURVE('',#158846,#158844,#82143,.T.); #197586=EDGE_CURVE('',#158845,#158846,#82144,.T.); #197587=EDGE_CURVE('',#158847,#158845,#82145,.T.); #197588=EDGE_CURVE('',#158848,#158846,#82146,.T.); #197589=EDGE_CURVE('',#158847,#158848,#82147,.T.); #197590=EDGE_CURVE('',#158849,#158847,#82148,.T.); #197591=EDGE_CURVE('',#158850,#158848,#82149,.T.); #197592=EDGE_CURVE('',#158849,#158850,#82150,.T.); #197593=EDGE_CURVE('',#158851,#158849,#82151,.T.); #197594=EDGE_CURVE('',#158852,#158850,#82152,.T.); #197595=EDGE_CURVE('',#158851,#158852,#82153,.T.); #197596=EDGE_CURVE('',#158853,#158851,#82154,.T.); #197597=EDGE_CURVE('',#158854,#158852,#82155,.T.); #197598=EDGE_CURVE('',#158853,#158854,#82156,.T.); #197599=EDGE_CURVE('',#158855,#158853,#82157,.T.); #197600=EDGE_CURVE('',#158856,#158854,#82158,.T.); #197601=EDGE_CURVE('',#158855,#158856,#82159,.T.); #197602=EDGE_CURVE('',#158857,#158855,#82160,.T.); #197603=EDGE_CURVE('',#158858,#158856,#82161,.T.); #197604=EDGE_CURVE('',#158857,#158858,#82162,.T.); #197605=EDGE_CURVE('',#158859,#158857,#82163,.T.); #197606=EDGE_CURVE('',#158860,#158858,#82164,.T.); #197607=EDGE_CURVE('',#158859,#158860,#82165,.T.); #197608=EDGE_CURVE('',#158861,#158859,#82166,.T.); #197609=EDGE_CURVE('',#158862,#158860,#82167,.T.); #197610=EDGE_CURVE('',#158861,#158862,#82168,.T.); #197611=EDGE_CURVE('',#158863,#158861,#82169,.T.); #197612=EDGE_CURVE('',#158864,#158862,#82170,.T.); #197613=EDGE_CURVE('',#158863,#158864,#82171,.T.); #197614=EDGE_CURVE('',#158865,#158863,#82172,.T.); #197615=EDGE_CURVE('',#158866,#158864,#82173,.T.); #197616=EDGE_CURVE('',#158865,#158866,#82174,.T.); #197617=EDGE_CURVE('',#158867,#158865,#82175,.T.); #197618=EDGE_CURVE('',#158868,#158866,#82176,.T.); #197619=EDGE_CURVE('',#158867,#158868,#82177,.T.); #197620=EDGE_CURVE('',#158869,#158867,#82178,.T.); #197621=EDGE_CURVE('',#158870,#158868,#82179,.T.); #197622=EDGE_CURVE('',#158869,#158870,#82180,.T.); #197623=EDGE_CURVE('',#158871,#158869,#82181,.T.); #197624=EDGE_CURVE('',#158872,#158870,#82182,.T.); #197625=EDGE_CURVE('',#158871,#158872,#82183,.T.); #197626=EDGE_CURVE('',#158873,#158871,#82184,.T.); #197627=EDGE_CURVE('',#158874,#158872,#82185,.T.); #197628=EDGE_CURVE('',#158873,#158874,#82186,.T.); #197629=EDGE_CURVE('',#158875,#158873,#82187,.T.); #197630=EDGE_CURVE('',#158876,#158874,#82188,.T.); #197631=EDGE_CURVE('',#158875,#158876,#82189,.T.); #197632=EDGE_CURVE('',#158877,#158875,#82190,.T.); #197633=EDGE_CURVE('',#158878,#158876,#82191,.T.); #197634=EDGE_CURVE('',#158877,#158878,#82192,.T.); #197635=EDGE_CURVE('',#158879,#158877,#82193,.T.); #197636=EDGE_CURVE('',#158880,#158878,#82194,.T.); #197637=EDGE_CURVE('',#158879,#158880,#82195,.T.); #197638=EDGE_CURVE('',#158881,#158879,#82196,.T.); #197639=EDGE_CURVE('',#158882,#158880,#82197,.T.); #197640=EDGE_CURVE('',#158881,#158882,#82198,.T.); #197641=EDGE_CURVE('',#158883,#158881,#82199,.T.); #197642=EDGE_CURVE('',#158884,#158882,#82200,.T.); #197643=EDGE_CURVE('',#158883,#158884,#82201,.T.); #197644=EDGE_CURVE('',#158885,#158883,#82202,.T.); #197645=EDGE_CURVE('',#158886,#158884,#82203,.T.); #197646=EDGE_CURVE('',#158885,#158886,#82204,.T.); #197647=EDGE_CURVE('',#158887,#158885,#82205,.T.); #197648=EDGE_CURVE('',#158888,#158886,#82206,.T.); #197649=EDGE_CURVE('',#158887,#158888,#82207,.T.); #197650=EDGE_CURVE('',#158889,#158887,#82208,.T.); #197651=EDGE_CURVE('',#158890,#158888,#82209,.T.); #197652=EDGE_CURVE('',#158889,#158890,#82210,.T.); #197653=EDGE_CURVE('',#158891,#158889,#82211,.T.); #197654=EDGE_CURVE('',#158892,#158890,#82212,.T.); #197655=EDGE_CURVE('',#158891,#158892,#82213,.T.); #197656=EDGE_CURVE('',#158893,#158891,#82214,.T.); #197657=EDGE_CURVE('',#158894,#158892,#82215,.T.); #197658=EDGE_CURVE('',#158893,#158894,#82216,.T.); #197659=EDGE_CURVE('',#158895,#158893,#82217,.T.); #197660=EDGE_CURVE('',#158896,#158894,#82218,.T.); #197661=EDGE_CURVE('',#158895,#158896,#82219,.T.); #197662=EDGE_CURVE('',#158897,#158895,#82220,.T.); #197663=EDGE_CURVE('',#158898,#158896,#82221,.T.); #197664=EDGE_CURVE('',#158897,#158898,#82222,.T.); #197665=EDGE_CURVE('',#158899,#158897,#82223,.T.); #197666=EDGE_CURVE('',#158900,#158898,#82224,.T.); #197667=EDGE_CURVE('',#158899,#158900,#82225,.T.); #197668=EDGE_CURVE('',#158901,#158899,#82226,.T.); #197669=EDGE_CURVE('',#158902,#158900,#82227,.T.); #197670=EDGE_CURVE('',#158901,#158902,#82228,.T.); #197671=EDGE_CURVE('',#158903,#158901,#82229,.T.); #197672=EDGE_CURVE('',#158904,#158902,#82230,.T.); #197673=EDGE_CURVE('',#158903,#158904,#82231,.T.); #197674=EDGE_CURVE('',#158905,#158903,#82232,.T.); #197675=EDGE_CURVE('',#158906,#158904,#82233,.T.); #197676=EDGE_CURVE('',#158905,#158906,#82234,.T.); #197677=EDGE_CURVE('',#158907,#158905,#82235,.T.); #197678=EDGE_CURVE('',#158908,#158906,#82236,.T.); #197679=EDGE_CURVE('',#158907,#158908,#82237,.T.); #197680=EDGE_CURVE('',#158909,#158907,#82238,.T.); #197681=EDGE_CURVE('',#158910,#158908,#82239,.T.); #197682=EDGE_CURVE('',#158909,#158910,#82240,.T.); #197683=EDGE_CURVE('',#158911,#158909,#82241,.T.); #197684=EDGE_CURVE('',#158912,#158910,#82242,.T.); #197685=EDGE_CURVE('',#158911,#158912,#82243,.T.); #197686=EDGE_CURVE('',#158913,#158911,#82244,.T.); #197687=EDGE_CURVE('',#158914,#158912,#82245,.T.); #197688=EDGE_CURVE('',#158913,#158914,#82246,.T.); #197689=EDGE_CURVE('',#158915,#158913,#82247,.T.); #197690=EDGE_CURVE('',#158916,#158914,#82248,.T.); #197691=EDGE_CURVE('',#158915,#158916,#82249,.T.); #197692=EDGE_CURVE('',#158917,#158915,#82250,.T.); #197693=EDGE_CURVE('',#158918,#158916,#82251,.T.); #197694=EDGE_CURVE('',#158917,#158918,#82252,.T.); #197695=EDGE_CURVE('',#158919,#158917,#82253,.T.); #197696=EDGE_CURVE('',#158920,#158918,#82254,.T.); #197697=EDGE_CURVE('',#158919,#158920,#82255,.T.); #197698=EDGE_CURVE('',#158921,#158919,#82256,.T.); #197699=EDGE_CURVE('',#158922,#158920,#82257,.T.); #197700=EDGE_CURVE('',#158921,#158922,#82258,.T.); #197701=EDGE_CURVE('',#158923,#158921,#82259,.T.); #197702=EDGE_CURVE('',#158924,#158922,#82260,.T.); #197703=EDGE_CURVE('',#158923,#158924,#82261,.T.); #197704=EDGE_CURVE('',#158925,#158923,#82262,.T.); #197705=EDGE_CURVE('',#158926,#158924,#82263,.T.); #197706=EDGE_CURVE('',#158925,#158926,#82264,.T.); #197707=EDGE_CURVE('',#158927,#158925,#82265,.T.); #197708=EDGE_CURVE('',#158928,#158926,#82266,.T.); #197709=EDGE_CURVE('',#158927,#158928,#82267,.T.); #197710=EDGE_CURVE('',#158929,#158927,#82268,.T.); #197711=EDGE_CURVE('',#158930,#158928,#82269,.T.); #197712=EDGE_CURVE('',#158929,#158930,#82270,.T.); #197713=EDGE_CURVE('',#158931,#158929,#82271,.T.); #197714=EDGE_CURVE('',#158932,#158930,#82272,.T.); #197715=EDGE_CURVE('',#158931,#158932,#82273,.T.); #197716=EDGE_CURVE('',#158933,#158931,#82274,.T.); #197717=EDGE_CURVE('',#158934,#158932,#82275,.T.); #197718=EDGE_CURVE('',#158933,#158934,#82276,.T.); #197719=EDGE_CURVE('',#158935,#158933,#82277,.T.); #197720=EDGE_CURVE('',#158936,#158934,#82278,.T.); #197721=EDGE_CURVE('',#158935,#158936,#82279,.T.); #197722=EDGE_CURVE('',#158937,#158935,#82280,.T.); #197723=EDGE_CURVE('',#158938,#158936,#82281,.T.); #197724=EDGE_CURVE('',#158937,#158938,#82282,.T.); #197725=EDGE_CURVE('',#158939,#158937,#82283,.T.); #197726=EDGE_CURVE('',#158940,#158938,#82284,.T.); #197727=EDGE_CURVE('',#158939,#158940,#82285,.T.); #197728=EDGE_CURVE('',#158941,#158939,#82286,.T.); #197729=EDGE_CURVE('',#158942,#158940,#82287,.T.); #197730=EDGE_CURVE('',#158941,#158942,#82288,.T.); #197731=EDGE_CURVE('',#158943,#158941,#82289,.T.); #197732=EDGE_CURVE('',#158944,#158942,#82290,.T.); #197733=EDGE_CURVE('',#158943,#158944,#82291,.T.); #197734=EDGE_CURVE('',#158945,#158943,#82292,.T.); #197735=EDGE_CURVE('',#158946,#158944,#82293,.T.); #197736=EDGE_CURVE('',#158945,#158946,#82294,.T.); #197737=EDGE_CURVE('',#158947,#158945,#82295,.T.); #197738=EDGE_CURVE('',#158948,#158946,#82296,.T.); #197739=EDGE_CURVE('',#158947,#158948,#82297,.T.); #197740=EDGE_CURVE('',#158949,#158947,#82298,.T.); #197741=EDGE_CURVE('',#158950,#158948,#82299,.T.); #197742=EDGE_CURVE('',#158949,#158950,#82300,.T.); #197743=EDGE_CURVE('',#158951,#158949,#82301,.T.); #197744=EDGE_CURVE('',#158952,#158950,#82302,.T.); #197745=EDGE_CURVE('',#158951,#158952,#82303,.T.); #197746=EDGE_CURVE('',#158953,#158951,#82304,.T.); #197747=EDGE_CURVE('',#158954,#158952,#82305,.T.); #197748=EDGE_CURVE('',#158953,#158954,#82306,.T.); #197749=EDGE_CURVE('',#158955,#158953,#82307,.T.); #197750=EDGE_CURVE('',#158956,#158954,#82308,.T.); #197751=EDGE_CURVE('',#158955,#158956,#82309,.T.); #197752=EDGE_CURVE('',#158957,#158955,#82310,.T.); #197753=EDGE_CURVE('',#158958,#158956,#82311,.T.); #197754=EDGE_CURVE('',#158957,#158958,#82312,.T.); #197755=EDGE_CURVE('',#158959,#158957,#82313,.T.); #197756=EDGE_CURVE('',#158960,#158958,#82314,.T.); #197757=EDGE_CURVE('',#158959,#158960,#82315,.T.); #197758=EDGE_CURVE('',#158961,#158959,#82316,.T.); #197759=EDGE_CURVE('',#158962,#158960,#82317,.T.); #197760=EDGE_CURVE('',#158961,#158962,#82318,.T.); #197761=EDGE_CURVE('',#158963,#158961,#82319,.T.); #197762=EDGE_CURVE('',#158964,#158962,#82320,.T.); #197763=EDGE_CURVE('',#158963,#158964,#82321,.T.); #197764=EDGE_CURVE('',#158965,#158963,#82322,.T.); #197765=EDGE_CURVE('',#158966,#158964,#82323,.T.); #197766=EDGE_CURVE('',#158965,#158966,#82324,.T.); #197767=EDGE_CURVE('',#158967,#158965,#82325,.T.); #197768=EDGE_CURVE('',#158968,#158966,#82326,.T.); #197769=EDGE_CURVE('',#158967,#158968,#82327,.T.); #197770=EDGE_CURVE('',#158969,#158967,#82328,.T.); #197771=EDGE_CURVE('',#158970,#158968,#82329,.T.); #197772=EDGE_CURVE('',#158969,#158970,#82330,.T.); #197773=EDGE_CURVE('',#158971,#158969,#82331,.T.); #197774=EDGE_CURVE('',#158972,#158970,#82332,.T.); #197775=EDGE_CURVE('',#158971,#158972,#82333,.T.); #197776=EDGE_CURVE('',#158973,#158971,#82334,.T.); #197777=EDGE_CURVE('',#158974,#158972,#82335,.T.); #197778=EDGE_CURVE('',#158973,#158974,#82336,.T.); #197779=EDGE_CURVE('',#158975,#158973,#82337,.T.); #197780=EDGE_CURVE('',#158976,#158974,#82338,.T.); #197781=EDGE_CURVE('',#158975,#158976,#82339,.T.); #197782=EDGE_CURVE('',#158977,#158975,#82340,.T.); #197783=EDGE_CURVE('',#158978,#158976,#82341,.T.); #197784=EDGE_CURVE('',#158977,#158978,#82342,.T.); #197785=EDGE_CURVE('',#158979,#158977,#82343,.T.); #197786=EDGE_CURVE('',#158980,#158978,#82344,.T.); #197787=EDGE_CURVE('',#158979,#158980,#82345,.T.); #197788=EDGE_CURVE('',#158981,#158979,#82346,.T.); #197789=EDGE_CURVE('',#158982,#158980,#82347,.T.); #197790=EDGE_CURVE('',#158981,#158982,#82348,.T.); #197791=EDGE_CURVE('',#158983,#158981,#82349,.T.); #197792=EDGE_CURVE('',#158984,#158982,#82350,.T.); #197793=EDGE_CURVE('',#158983,#158984,#82351,.T.); #197794=EDGE_CURVE('',#158985,#158983,#82352,.T.); #197795=EDGE_CURVE('',#158986,#158984,#82353,.T.); #197796=EDGE_CURVE('',#158985,#158986,#82354,.T.); #197797=EDGE_CURVE('',#158987,#158985,#82355,.T.); #197798=EDGE_CURVE('',#158988,#158986,#82356,.T.); #197799=EDGE_CURVE('',#158987,#158988,#82357,.T.); #197800=EDGE_CURVE('',#158989,#158987,#82358,.T.); #197801=EDGE_CURVE('',#158990,#158988,#82359,.T.); #197802=EDGE_CURVE('',#158989,#158990,#82360,.T.); #197803=EDGE_CURVE('',#158991,#158989,#82361,.T.); #197804=EDGE_CURVE('',#158992,#158990,#82362,.T.); #197805=EDGE_CURVE('',#158991,#158992,#82363,.T.); #197806=EDGE_CURVE('',#158993,#158991,#82364,.T.); #197807=EDGE_CURVE('',#158994,#158992,#82365,.T.); #197808=EDGE_CURVE('',#158993,#158994,#82366,.T.); #197809=EDGE_CURVE('',#158995,#158993,#82367,.T.); #197810=EDGE_CURVE('',#158996,#158994,#82368,.T.); #197811=EDGE_CURVE('',#158995,#158996,#82369,.T.); #197812=EDGE_CURVE('',#158997,#158995,#82370,.T.); #197813=EDGE_CURVE('',#158998,#158996,#82371,.T.); #197814=EDGE_CURVE('',#158997,#158998,#82372,.T.); #197815=EDGE_CURVE('',#158999,#158997,#82373,.T.); #197816=EDGE_CURVE('',#159000,#158998,#82374,.T.); #197817=EDGE_CURVE('',#158999,#159000,#82375,.T.); #197818=EDGE_CURVE('',#159001,#158999,#82376,.T.); #197819=EDGE_CURVE('',#159002,#159000,#82377,.T.); #197820=EDGE_CURVE('',#159001,#159002,#82378,.T.); #197821=EDGE_CURVE('',#159003,#159001,#82379,.T.); #197822=EDGE_CURVE('',#159004,#159002,#82380,.T.); #197823=EDGE_CURVE('',#159003,#159004,#82381,.T.); #197824=EDGE_CURVE('',#159005,#159003,#82382,.T.); #197825=EDGE_CURVE('',#159006,#159004,#82383,.T.); #197826=EDGE_CURVE('',#159005,#159006,#82384,.T.); #197827=EDGE_CURVE('',#159007,#159005,#82385,.T.); #197828=EDGE_CURVE('',#159008,#159006,#82386,.T.); #197829=EDGE_CURVE('',#159007,#159008,#82387,.T.); #197830=EDGE_CURVE('',#159009,#159007,#82388,.T.); #197831=EDGE_CURVE('',#159010,#159008,#82389,.T.); #197832=EDGE_CURVE('',#159009,#159010,#82390,.T.); #197833=EDGE_CURVE('',#159011,#159009,#82391,.T.); #197834=EDGE_CURVE('',#159012,#159010,#82392,.T.); #197835=EDGE_CURVE('',#159011,#159012,#82393,.T.); #197836=EDGE_CURVE('',#159013,#159011,#82394,.T.); #197837=EDGE_CURVE('',#159014,#159012,#82395,.T.); #197838=EDGE_CURVE('',#159013,#159014,#82396,.T.); #197839=EDGE_CURVE('',#159015,#159013,#82397,.T.); #197840=EDGE_CURVE('',#159016,#159014,#82398,.T.); #197841=EDGE_CURVE('',#159015,#159016,#82399,.T.); #197842=EDGE_CURVE('',#159017,#159015,#82400,.T.); #197843=EDGE_CURVE('',#159018,#159016,#82401,.T.); #197844=EDGE_CURVE('',#159017,#159018,#82402,.T.); #197845=EDGE_CURVE('',#159019,#159017,#82403,.T.); #197846=EDGE_CURVE('',#159020,#159018,#82404,.T.); #197847=EDGE_CURVE('',#159019,#159020,#82405,.T.); #197848=EDGE_CURVE('',#159021,#159019,#82406,.T.); #197849=EDGE_CURVE('',#159022,#159020,#82407,.T.); #197850=EDGE_CURVE('',#159021,#159022,#82408,.T.); #197851=EDGE_CURVE('',#159023,#159021,#82409,.T.); #197852=EDGE_CURVE('',#159024,#159022,#82410,.T.); #197853=EDGE_CURVE('',#159023,#159024,#82411,.T.); #197854=EDGE_CURVE('',#159025,#159023,#82412,.T.); #197855=EDGE_CURVE('',#159026,#159024,#82413,.T.); #197856=EDGE_CURVE('',#159025,#159026,#82414,.T.); #197857=EDGE_CURVE('',#159027,#159025,#82415,.T.); #197858=EDGE_CURVE('',#159028,#159026,#82416,.T.); #197859=EDGE_CURVE('',#159027,#159028,#82417,.T.); #197860=EDGE_CURVE('',#159029,#159027,#82418,.T.); #197861=EDGE_CURVE('',#159030,#159028,#82419,.T.); #197862=EDGE_CURVE('',#159029,#159030,#82420,.T.); #197863=EDGE_CURVE('',#159031,#159029,#82421,.T.); #197864=EDGE_CURVE('',#159032,#159030,#82422,.T.); #197865=EDGE_CURVE('',#159031,#159032,#82423,.T.); #197866=EDGE_CURVE('',#159033,#159031,#82424,.T.); #197867=EDGE_CURVE('',#159034,#159032,#82425,.T.); #197868=EDGE_CURVE('',#159033,#159034,#82426,.T.); #197869=EDGE_CURVE('',#159035,#159033,#82427,.T.); #197870=EDGE_CURVE('',#159036,#159034,#82428,.T.); #197871=EDGE_CURVE('',#159035,#159036,#82429,.T.); #197872=EDGE_CURVE('',#159037,#159035,#82430,.T.); #197873=EDGE_CURVE('',#159038,#159036,#82431,.T.); #197874=EDGE_CURVE('',#159037,#159038,#82432,.T.); #197875=EDGE_CURVE('',#159039,#159037,#82433,.T.); #197876=EDGE_CURVE('',#159040,#159038,#82434,.T.); #197877=EDGE_CURVE('',#159039,#159040,#82435,.T.); #197878=EDGE_CURVE('',#159041,#159039,#82436,.T.); #197879=EDGE_CURVE('',#159042,#159040,#82437,.T.); #197880=EDGE_CURVE('',#159041,#159042,#82438,.T.); #197881=EDGE_CURVE('',#159043,#159041,#82439,.T.); #197882=EDGE_CURVE('',#159044,#159042,#82440,.T.); #197883=EDGE_CURVE('',#159043,#159044,#82441,.T.); #197884=EDGE_CURVE('',#159045,#159043,#82442,.T.); #197885=EDGE_CURVE('',#159046,#159044,#82443,.T.); #197886=EDGE_CURVE('',#159045,#159046,#82444,.T.); #197887=EDGE_CURVE('',#159047,#159045,#82445,.T.); #197888=EDGE_CURVE('',#159048,#159046,#82446,.T.); #197889=EDGE_CURVE('',#159047,#159048,#82447,.T.); #197890=EDGE_CURVE('',#159049,#159047,#82448,.T.); #197891=EDGE_CURVE('',#159050,#159048,#82449,.T.); #197892=EDGE_CURVE('',#159049,#159050,#82450,.T.); #197893=EDGE_CURVE('',#159051,#159049,#82451,.T.); #197894=EDGE_CURVE('',#159052,#159050,#82452,.T.); #197895=EDGE_CURVE('',#159051,#159052,#82453,.T.); #197896=EDGE_CURVE('',#159053,#159051,#82454,.T.); #197897=EDGE_CURVE('',#159054,#159052,#82455,.T.); #197898=EDGE_CURVE('',#159053,#159054,#82456,.T.); #197899=EDGE_CURVE('',#159055,#159053,#82457,.T.); #197900=EDGE_CURVE('',#159056,#159054,#82458,.T.); #197901=EDGE_CURVE('',#159055,#159056,#82459,.T.); #197902=EDGE_CURVE('',#159057,#159055,#82460,.T.); #197903=EDGE_CURVE('',#159058,#159056,#82461,.T.); #197904=EDGE_CURVE('',#159057,#159058,#82462,.T.); #197905=EDGE_CURVE('',#159059,#159057,#82463,.T.); #197906=EDGE_CURVE('',#159060,#159058,#82464,.T.); #197907=EDGE_CURVE('',#159059,#159060,#82465,.T.); #197908=EDGE_CURVE('',#159061,#159059,#82466,.T.); #197909=EDGE_CURVE('',#159062,#159060,#82467,.T.); #197910=EDGE_CURVE('',#159061,#159062,#82468,.T.); #197911=EDGE_CURVE('',#159063,#159061,#82469,.T.); #197912=EDGE_CURVE('',#159064,#159062,#82470,.T.); #197913=EDGE_CURVE('',#159063,#159064,#82471,.T.); #197914=EDGE_CURVE('',#159065,#159063,#82472,.T.); #197915=EDGE_CURVE('',#159066,#159064,#82473,.T.); #197916=EDGE_CURVE('',#159065,#159066,#82474,.T.); #197917=EDGE_CURVE('',#159067,#159065,#82475,.T.); #197918=EDGE_CURVE('',#159068,#159066,#82476,.T.); #197919=EDGE_CURVE('',#159067,#159068,#82477,.T.); #197920=EDGE_CURVE('',#159069,#159067,#82478,.T.); #197921=EDGE_CURVE('',#159070,#159068,#82479,.T.); #197922=EDGE_CURVE('',#159069,#159070,#82480,.T.); #197923=EDGE_CURVE('',#159071,#159069,#82481,.T.); #197924=EDGE_CURVE('',#159072,#159070,#82482,.T.); #197925=EDGE_CURVE('',#159071,#159072,#82483,.T.); #197926=EDGE_CURVE('',#159073,#159071,#82484,.T.); #197927=EDGE_CURVE('',#159074,#159072,#82485,.T.); #197928=EDGE_CURVE('',#159073,#159074,#82486,.T.); #197929=EDGE_CURVE('',#159075,#159073,#82487,.T.); #197930=EDGE_CURVE('',#159076,#159074,#82488,.T.); #197931=EDGE_CURVE('',#159075,#159076,#82489,.T.); #197932=EDGE_CURVE('',#159077,#159075,#82490,.T.); #197933=EDGE_CURVE('',#159078,#159076,#82491,.T.); #197934=EDGE_CURVE('',#159077,#159078,#82492,.T.); #197935=EDGE_CURVE('',#159079,#159077,#82493,.T.); #197936=EDGE_CURVE('',#159080,#159078,#82494,.T.); #197937=EDGE_CURVE('',#159079,#159080,#82495,.T.); #197938=EDGE_CURVE('',#159081,#159079,#82496,.T.); #197939=EDGE_CURVE('',#159082,#159080,#82497,.T.); #197940=EDGE_CURVE('',#159081,#159082,#82498,.T.); #197941=EDGE_CURVE('',#159083,#159081,#82499,.T.); #197942=EDGE_CURVE('',#159084,#159082,#82500,.T.); #197943=EDGE_CURVE('',#159083,#159084,#82501,.T.); #197944=EDGE_CURVE('',#159085,#159083,#82502,.T.); #197945=EDGE_CURVE('',#159086,#159084,#82503,.T.); #197946=EDGE_CURVE('',#159085,#159086,#82504,.T.); #197947=EDGE_CURVE('',#159087,#159085,#82505,.T.); #197948=EDGE_CURVE('',#159088,#159086,#82506,.T.); #197949=EDGE_CURVE('',#159087,#159088,#82507,.T.); #197950=EDGE_CURVE('',#159089,#159087,#82508,.T.); #197951=EDGE_CURVE('',#159090,#159088,#82509,.T.); #197952=EDGE_CURVE('',#159089,#159090,#82510,.T.); #197953=EDGE_CURVE('',#159091,#159089,#82511,.T.); #197954=EDGE_CURVE('',#159092,#159090,#82512,.T.); #197955=EDGE_CURVE('',#159091,#159092,#82513,.T.); #197956=EDGE_CURVE('',#159093,#159091,#82514,.T.); #197957=EDGE_CURVE('',#159094,#159092,#82515,.T.); #197958=EDGE_CURVE('',#159093,#159094,#82516,.T.); #197959=EDGE_CURVE('',#159095,#159093,#82517,.T.); #197960=EDGE_CURVE('',#159096,#159094,#82518,.T.); #197961=EDGE_CURVE('',#159095,#159096,#82519,.T.); #197962=EDGE_CURVE('',#159097,#159095,#82520,.T.); #197963=EDGE_CURVE('',#159098,#159096,#82521,.T.); #197964=EDGE_CURVE('',#159097,#159098,#82522,.T.); #197965=EDGE_CURVE('',#159099,#159097,#82523,.T.); #197966=EDGE_CURVE('',#159100,#159098,#82524,.T.); #197967=EDGE_CURVE('',#159099,#159100,#82525,.T.); #197968=EDGE_CURVE('',#159101,#159099,#82526,.T.); #197969=EDGE_CURVE('',#159102,#159100,#82527,.T.); #197970=EDGE_CURVE('',#159101,#159102,#82528,.T.); #197971=EDGE_CURVE('',#159103,#159101,#82529,.T.); #197972=EDGE_CURVE('',#159104,#159102,#82530,.T.); #197973=EDGE_CURVE('',#159103,#159104,#82531,.T.); #197974=EDGE_CURVE('',#159105,#159103,#82532,.T.); #197975=EDGE_CURVE('',#159106,#159104,#82533,.T.); #197976=EDGE_CURVE('',#159105,#159106,#82534,.T.); #197977=EDGE_CURVE('',#159107,#159105,#82535,.T.); #197978=EDGE_CURVE('',#159108,#159106,#82536,.T.); #197979=EDGE_CURVE('',#159107,#159108,#82537,.T.); #197980=EDGE_CURVE('',#159109,#159107,#82538,.T.); #197981=EDGE_CURVE('',#159110,#159108,#82539,.T.); #197982=EDGE_CURVE('',#159109,#159110,#82540,.T.); #197983=EDGE_CURVE('',#159111,#159109,#82541,.T.); #197984=EDGE_CURVE('',#159112,#159110,#82542,.T.); #197985=EDGE_CURVE('',#159111,#159112,#82543,.T.); #197986=EDGE_CURVE('',#159113,#159111,#82544,.T.); #197987=EDGE_CURVE('',#159114,#159112,#82545,.T.); #197988=EDGE_CURVE('',#159113,#159114,#82546,.T.); #197989=EDGE_CURVE('',#159115,#159113,#82547,.T.); #197990=EDGE_CURVE('',#159116,#159114,#82548,.T.); #197991=EDGE_CURVE('',#159115,#159116,#82549,.T.); #197992=EDGE_CURVE('',#159117,#159115,#82550,.T.); #197993=EDGE_CURVE('',#159118,#159116,#82551,.T.); #197994=EDGE_CURVE('',#159117,#159118,#82552,.T.); #197995=EDGE_CURVE('',#159119,#159117,#82553,.T.); #197996=EDGE_CURVE('',#159120,#159118,#82554,.T.); #197997=EDGE_CURVE('',#159119,#159120,#82555,.T.); #197998=EDGE_CURVE('',#159121,#159119,#82556,.T.); #197999=EDGE_CURVE('',#159122,#159120,#82557,.T.); #198000=EDGE_CURVE('',#159121,#159122,#82558,.T.); #198001=EDGE_CURVE('',#159123,#159121,#82559,.T.); #198002=EDGE_CURVE('',#159124,#159122,#82560,.T.); #198003=EDGE_CURVE('',#159123,#159124,#82561,.T.); #198004=EDGE_CURVE('',#159125,#159123,#82562,.T.); #198005=EDGE_CURVE('',#159126,#159124,#82563,.T.); #198006=EDGE_CURVE('',#159125,#159126,#82564,.T.); #198007=EDGE_CURVE('',#159127,#159125,#82565,.T.); #198008=EDGE_CURVE('',#159128,#159126,#82566,.T.); #198009=EDGE_CURVE('',#159127,#159128,#82567,.T.); #198010=EDGE_CURVE('',#159129,#159127,#82568,.T.); #198011=EDGE_CURVE('',#159130,#159128,#82569,.T.); #198012=EDGE_CURVE('',#159129,#159130,#82570,.T.); #198013=EDGE_CURVE('',#159131,#159129,#82571,.T.); #198014=EDGE_CURVE('',#159132,#159130,#82572,.T.); #198015=EDGE_CURVE('',#159131,#159132,#82573,.T.); #198016=EDGE_CURVE('',#159133,#159131,#82574,.T.); #198017=EDGE_CURVE('',#159134,#159132,#82575,.T.); #198018=EDGE_CURVE('',#159133,#159134,#82576,.T.); #198019=EDGE_CURVE('',#159135,#159133,#82577,.T.); #198020=EDGE_CURVE('',#159136,#159134,#82578,.T.); #198021=EDGE_CURVE('',#159135,#159136,#82579,.T.); #198022=EDGE_CURVE('',#159137,#159135,#82580,.T.); #198023=EDGE_CURVE('',#159138,#159136,#82581,.T.); #198024=EDGE_CURVE('',#159137,#159138,#82582,.T.); #198025=EDGE_CURVE('',#159139,#159137,#82583,.T.); #198026=EDGE_CURVE('',#159140,#159138,#82584,.T.); #198027=EDGE_CURVE('',#159139,#159140,#82585,.T.); #198028=EDGE_CURVE('',#159141,#159139,#82586,.T.); #198029=EDGE_CURVE('',#159142,#159140,#82587,.T.); #198030=EDGE_CURVE('',#159141,#159142,#82588,.T.); #198031=EDGE_CURVE('',#159143,#159141,#82589,.T.); #198032=EDGE_CURVE('',#159144,#159142,#82590,.T.); #198033=EDGE_CURVE('',#159143,#159144,#82591,.T.); #198034=EDGE_CURVE('',#159145,#159143,#82592,.T.); #198035=EDGE_CURVE('',#159146,#159144,#82593,.T.); #198036=EDGE_CURVE('',#159145,#159146,#82594,.T.); #198037=EDGE_CURVE('',#159147,#159145,#82595,.T.); #198038=EDGE_CURVE('',#159148,#159146,#82596,.T.); #198039=EDGE_CURVE('',#159147,#159148,#82597,.T.); #198040=EDGE_CURVE('',#159149,#159147,#82598,.T.); #198041=EDGE_CURVE('',#159150,#159148,#82599,.T.); #198042=EDGE_CURVE('',#159149,#159150,#82600,.T.); #198043=EDGE_CURVE('',#159151,#159149,#82601,.T.); #198044=EDGE_CURVE('',#159152,#159150,#82602,.T.); #198045=EDGE_CURVE('',#159151,#159152,#82603,.T.); #198046=EDGE_CURVE('',#159153,#159151,#82604,.T.); #198047=EDGE_CURVE('',#159154,#159152,#82605,.T.); #198048=EDGE_CURVE('',#159153,#159154,#82606,.T.); #198049=EDGE_CURVE('',#159155,#159153,#82607,.T.); #198050=EDGE_CURVE('',#159156,#159154,#82608,.T.); #198051=EDGE_CURVE('',#159155,#159156,#82609,.T.); #198052=EDGE_CURVE('',#159157,#159155,#82610,.T.); #198053=EDGE_CURVE('',#159158,#159156,#82611,.T.); #198054=EDGE_CURVE('',#159157,#159158,#82612,.T.); #198055=EDGE_CURVE('',#159159,#159157,#82613,.T.); #198056=EDGE_CURVE('',#159160,#159158,#82614,.T.); #198057=EDGE_CURVE('',#159159,#159160,#82615,.T.); #198058=EDGE_CURVE('',#159161,#159159,#82616,.T.); #198059=EDGE_CURVE('',#159162,#159160,#82617,.T.); #198060=EDGE_CURVE('',#159161,#159162,#82618,.T.); #198061=EDGE_CURVE('',#159163,#159161,#82619,.T.); #198062=EDGE_CURVE('',#159164,#159162,#82620,.T.); #198063=EDGE_CURVE('',#159163,#159164,#82621,.T.); #198064=EDGE_CURVE('',#159165,#159163,#82622,.T.); #198065=EDGE_CURVE('',#159166,#159164,#82623,.T.); #198066=EDGE_CURVE('',#159165,#159166,#82624,.T.); #198067=EDGE_CURVE('',#159167,#159165,#82625,.T.); #198068=EDGE_CURVE('',#159168,#159166,#82626,.T.); #198069=EDGE_CURVE('',#159167,#159168,#82627,.T.); #198070=EDGE_CURVE('',#159169,#159167,#82628,.T.); #198071=EDGE_CURVE('',#159170,#159168,#82629,.T.); #198072=EDGE_CURVE('',#159169,#159170,#82630,.T.); #198073=EDGE_CURVE('',#159171,#159169,#82631,.T.); #198074=EDGE_CURVE('',#159172,#159170,#82632,.T.); #198075=EDGE_CURVE('',#159171,#159172,#82633,.T.); #198076=EDGE_CURVE('',#159173,#159171,#82634,.T.); #198077=EDGE_CURVE('',#159174,#159172,#82635,.T.); #198078=EDGE_CURVE('',#159173,#159174,#82636,.T.); #198079=EDGE_CURVE('',#159175,#159173,#82637,.T.); #198080=EDGE_CURVE('',#159176,#159174,#82638,.T.); #198081=EDGE_CURVE('',#159175,#159176,#82639,.T.); #198082=EDGE_CURVE('',#159177,#159175,#82640,.T.); #198083=EDGE_CURVE('',#159178,#159176,#82641,.T.); #198084=EDGE_CURVE('',#159177,#159178,#82642,.T.); #198085=EDGE_CURVE('',#159179,#159177,#82643,.T.); #198086=EDGE_CURVE('',#159180,#159178,#82644,.T.); #198087=EDGE_CURVE('',#159179,#159180,#82645,.T.); #198088=EDGE_CURVE('',#159181,#159179,#82646,.T.); #198089=EDGE_CURVE('',#159182,#159180,#82647,.T.); #198090=EDGE_CURVE('',#159181,#159182,#82648,.T.); #198091=EDGE_CURVE('',#159183,#159181,#82649,.T.); #198092=EDGE_CURVE('',#159184,#159182,#82650,.T.); #198093=EDGE_CURVE('',#159183,#159184,#82651,.T.); #198094=EDGE_CURVE('',#159185,#159183,#82652,.T.); #198095=EDGE_CURVE('',#159186,#159184,#82653,.T.); #198096=EDGE_CURVE('',#159185,#159186,#82654,.T.); #198097=EDGE_CURVE('',#159187,#159185,#82655,.T.); #198098=EDGE_CURVE('',#159188,#159186,#82656,.T.); #198099=EDGE_CURVE('',#159187,#159188,#82657,.T.); #198100=EDGE_CURVE('',#159189,#159187,#82658,.T.); #198101=EDGE_CURVE('',#159190,#159188,#82659,.T.); #198102=EDGE_CURVE('',#159189,#159190,#82660,.T.); #198103=EDGE_CURVE('',#159191,#159189,#82661,.T.); #198104=EDGE_CURVE('',#159192,#159190,#82662,.T.); #198105=EDGE_CURVE('',#159191,#159192,#82663,.T.); #198106=EDGE_CURVE('',#159193,#159191,#82664,.T.); #198107=EDGE_CURVE('',#159194,#159192,#82665,.T.); #198108=EDGE_CURVE('',#159193,#159194,#82666,.T.); #198109=EDGE_CURVE('',#159195,#159193,#82667,.T.); #198110=EDGE_CURVE('',#159196,#159194,#82668,.T.); #198111=EDGE_CURVE('',#159195,#159196,#82669,.T.); #198112=EDGE_CURVE('',#159197,#159195,#82670,.T.); #198113=EDGE_CURVE('',#159198,#159196,#82671,.T.); #198114=EDGE_CURVE('',#159197,#159198,#82672,.T.); #198115=EDGE_CURVE('',#159199,#159197,#82673,.T.); #198116=EDGE_CURVE('',#159200,#159198,#82674,.T.); #198117=EDGE_CURVE('',#159199,#159200,#82675,.T.); #198118=EDGE_CURVE('',#159201,#159199,#82676,.T.); #198119=EDGE_CURVE('',#159202,#159200,#82677,.T.); #198120=EDGE_CURVE('',#159201,#159202,#82678,.T.); #198121=EDGE_CURVE('',#159203,#159201,#82679,.T.); #198122=EDGE_CURVE('',#159204,#159202,#82680,.T.); #198123=EDGE_CURVE('',#159203,#159204,#82681,.T.); #198124=EDGE_CURVE('',#159205,#159203,#82682,.T.); #198125=EDGE_CURVE('',#159206,#159204,#82683,.T.); #198126=EDGE_CURVE('',#159205,#159206,#82684,.T.); #198127=EDGE_CURVE('',#159207,#159205,#82685,.T.); #198128=EDGE_CURVE('',#159208,#159206,#82686,.T.); #198129=EDGE_CURVE('',#159207,#159208,#82687,.T.); #198130=EDGE_CURVE('',#159209,#159207,#82688,.T.); #198131=EDGE_CURVE('',#159210,#159208,#82689,.T.); #198132=EDGE_CURVE('',#159209,#159210,#82690,.T.); #198133=EDGE_CURVE('',#159211,#159209,#82691,.T.); #198134=EDGE_CURVE('',#159212,#159210,#82692,.T.); #198135=EDGE_CURVE('',#159211,#159212,#82693,.T.); #198136=EDGE_CURVE('',#159213,#159211,#82694,.T.); #198137=EDGE_CURVE('',#159214,#159212,#82695,.T.); #198138=EDGE_CURVE('',#159213,#159214,#82696,.T.); #198139=EDGE_CURVE('',#159215,#159213,#82697,.T.); #198140=EDGE_CURVE('',#159216,#159214,#82698,.T.); #198141=EDGE_CURVE('',#159215,#159216,#82699,.T.); #198142=EDGE_CURVE('',#159217,#159215,#82700,.T.); #198143=EDGE_CURVE('',#159218,#159216,#82701,.T.); #198144=EDGE_CURVE('',#159217,#159218,#82702,.T.); #198145=EDGE_CURVE('',#159219,#159217,#82703,.T.); #198146=EDGE_CURVE('',#159220,#159218,#82704,.T.); #198147=EDGE_CURVE('',#159219,#159220,#82705,.T.); #198148=EDGE_CURVE('',#159221,#159219,#82706,.T.); #198149=EDGE_CURVE('',#159222,#159220,#82707,.T.); #198150=EDGE_CURVE('',#159221,#159222,#82708,.T.); #198151=EDGE_CURVE('',#159223,#159221,#82709,.T.); #198152=EDGE_CURVE('',#159224,#159222,#82710,.T.); #198153=EDGE_CURVE('',#159223,#159224,#82711,.T.); #198154=EDGE_CURVE('',#159225,#159223,#82712,.T.); #198155=EDGE_CURVE('',#159226,#159224,#82713,.T.); #198156=EDGE_CURVE('',#159225,#159226,#82714,.T.); #198157=EDGE_CURVE('',#159227,#159225,#82715,.T.); #198158=EDGE_CURVE('',#159228,#159226,#82716,.T.); #198159=EDGE_CURVE('',#159227,#159228,#82717,.T.); #198160=EDGE_CURVE('',#159229,#159227,#82718,.T.); #198161=EDGE_CURVE('',#159230,#159228,#82719,.T.); #198162=EDGE_CURVE('',#159229,#159230,#82720,.T.); #198163=EDGE_CURVE('',#159231,#159229,#82721,.T.); #198164=EDGE_CURVE('',#159232,#159230,#82722,.T.); #198165=EDGE_CURVE('',#159231,#159232,#82723,.T.); #198166=EDGE_CURVE('',#159233,#159231,#82724,.T.); #198167=EDGE_CURVE('',#159234,#159232,#82725,.T.); #198168=EDGE_CURVE('',#159233,#159234,#82726,.T.); #198169=EDGE_CURVE('',#159235,#159233,#82727,.T.); #198170=EDGE_CURVE('',#159236,#159234,#82728,.T.); #198171=EDGE_CURVE('',#159235,#159236,#82729,.T.); #198172=EDGE_CURVE('',#159237,#159235,#82730,.T.); #198173=EDGE_CURVE('',#159238,#159236,#82731,.T.); #198174=EDGE_CURVE('',#159237,#159238,#82732,.T.); #198175=EDGE_CURVE('',#159239,#159237,#82733,.T.); #198176=EDGE_CURVE('',#159240,#159238,#82734,.T.); #198177=EDGE_CURVE('',#159239,#159240,#82735,.T.); #198178=EDGE_CURVE('',#159241,#159239,#82736,.T.); #198179=EDGE_CURVE('',#159242,#159240,#82737,.T.); #198180=EDGE_CURVE('',#159241,#159242,#82738,.T.); #198181=EDGE_CURVE('',#159243,#159241,#82739,.T.); #198182=EDGE_CURVE('',#159244,#159242,#82740,.T.); #198183=EDGE_CURVE('',#159243,#159244,#82741,.T.); #198184=EDGE_CURVE('',#159245,#159243,#82742,.T.); #198185=EDGE_CURVE('',#159246,#159244,#82743,.T.); #198186=EDGE_CURVE('',#159245,#159246,#82744,.T.); #198187=EDGE_CURVE('',#159247,#159245,#82745,.T.); #198188=EDGE_CURVE('',#159248,#159246,#82746,.T.); #198189=EDGE_CURVE('',#159247,#159248,#82747,.T.); #198190=EDGE_CURVE('',#159249,#159247,#82748,.T.); #198191=EDGE_CURVE('',#159250,#159248,#82749,.T.); #198192=EDGE_CURVE('',#159249,#159250,#82750,.T.); #198193=EDGE_CURVE('',#159251,#159249,#82751,.T.); #198194=EDGE_CURVE('',#159252,#159250,#82752,.T.); #198195=EDGE_CURVE('',#159251,#159252,#82753,.T.); #198196=EDGE_CURVE('',#159253,#159251,#82754,.T.); #198197=EDGE_CURVE('',#159254,#159252,#82755,.T.); #198198=EDGE_CURVE('',#159253,#159254,#82756,.T.); #198199=EDGE_CURVE('',#159255,#159253,#82757,.T.); #198200=EDGE_CURVE('',#159256,#159254,#82758,.T.); #198201=EDGE_CURVE('',#159255,#159256,#82759,.T.); #198202=EDGE_CURVE('',#159257,#159255,#82760,.T.); #198203=EDGE_CURVE('',#159258,#159256,#82761,.T.); #198204=EDGE_CURVE('',#159257,#159258,#82762,.T.); #198205=EDGE_CURVE('',#159259,#159257,#82763,.T.); #198206=EDGE_CURVE('',#159260,#159258,#82764,.T.); #198207=EDGE_CURVE('',#159259,#159260,#82765,.T.); #198208=EDGE_CURVE('',#159261,#159259,#82766,.T.); #198209=EDGE_CURVE('',#159262,#159260,#82767,.T.); #198210=EDGE_CURVE('',#159261,#159262,#82768,.T.); #198211=EDGE_CURVE('',#159263,#159261,#82769,.T.); #198212=EDGE_CURVE('',#159264,#159262,#82770,.T.); #198213=EDGE_CURVE('',#159263,#159264,#82771,.T.); #198214=EDGE_CURVE('',#159265,#159263,#82772,.T.); #198215=EDGE_CURVE('',#159266,#159264,#82773,.T.); #198216=EDGE_CURVE('',#159265,#159266,#82774,.T.); #198217=EDGE_CURVE('',#159267,#159265,#82775,.T.); #198218=EDGE_CURVE('',#159268,#159266,#82776,.T.); #198219=EDGE_CURVE('',#159267,#159268,#82777,.T.); #198220=EDGE_CURVE('',#159269,#159267,#82778,.T.); #198221=EDGE_CURVE('',#159270,#159268,#82779,.T.); #198222=EDGE_CURVE('',#159269,#159270,#82780,.T.); #198223=EDGE_CURVE('',#159271,#159269,#82781,.T.); #198224=EDGE_CURVE('',#159272,#159270,#82782,.T.); #198225=EDGE_CURVE('',#159271,#159272,#82783,.T.); #198226=EDGE_CURVE('',#159273,#159271,#82784,.T.); #198227=EDGE_CURVE('',#159274,#159272,#82785,.T.); #198228=EDGE_CURVE('',#159273,#159274,#82786,.T.); #198229=EDGE_CURVE('',#159275,#159273,#82787,.T.); #198230=EDGE_CURVE('',#159276,#159274,#82788,.T.); #198231=EDGE_CURVE('',#159275,#159276,#82789,.T.); #198232=EDGE_CURVE('',#159277,#159275,#82790,.T.); #198233=EDGE_CURVE('',#159278,#159276,#82791,.T.); #198234=EDGE_CURVE('',#159277,#159278,#82792,.T.); #198235=EDGE_CURVE('',#159279,#159277,#82793,.T.); #198236=EDGE_CURVE('',#159280,#159278,#82794,.T.); #198237=EDGE_CURVE('',#159279,#159280,#82795,.T.); #198238=EDGE_CURVE('',#159281,#159279,#82796,.T.); #198239=EDGE_CURVE('',#159282,#159280,#82797,.T.); #198240=EDGE_CURVE('',#159281,#159282,#82798,.T.); #198241=EDGE_CURVE('',#159283,#159281,#82799,.T.); #198242=EDGE_CURVE('',#159284,#159282,#82800,.T.); #198243=EDGE_CURVE('',#159283,#159284,#82801,.T.); #198244=EDGE_CURVE('',#159285,#159283,#82802,.T.); #198245=EDGE_CURVE('',#159286,#159284,#82803,.T.); #198246=EDGE_CURVE('',#159285,#159286,#82804,.T.); #198247=EDGE_CURVE('',#159287,#159285,#82805,.T.); #198248=EDGE_CURVE('',#159288,#159286,#82806,.T.); #198249=EDGE_CURVE('',#159287,#159288,#82807,.T.); #198250=EDGE_CURVE('',#159289,#159287,#82808,.T.); #198251=EDGE_CURVE('',#159290,#159288,#82809,.T.); #198252=EDGE_CURVE('',#159289,#159290,#82810,.T.); #198253=EDGE_CURVE('',#159291,#159289,#82811,.T.); #198254=EDGE_CURVE('',#159292,#159290,#82812,.T.); #198255=EDGE_CURVE('',#159291,#159292,#82813,.T.); #198256=EDGE_CURVE('',#159293,#159291,#82814,.T.); #198257=EDGE_CURVE('',#159294,#159292,#82815,.T.); #198258=EDGE_CURVE('',#159293,#159294,#82816,.T.); #198259=EDGE_CURVE('',#159295,#159293,#82817,.T.); #198260=EDGE_CURVE('',#159296,#159294,#82818,.T.); #198261=EDGE_CURVE('',#159295,#159296,#82819,.T.); #198262=EDGE_CURVE('',#159297,#159295,#82820,.T.); #198263=EDGE_CURVE('',#159298,#159296,#82821,.T.); #198264=EDGE_CURVE('',#159297,#159298,#82822,.T.); #198265=EDGE_CURVE('',#159299,#159297,#82823,.T.); #198266=EDGE_CURVE('',#159300,#159298,#82824,.T.); #198267=EDGE_CURVE('',#159299,#159300,#82825,.T.); #198268=EDGE_CURVE('',#159301,#159299,#82826,.T.); #198269=EDGE_CURVE('',#159302,#159300,#82827,.T.); #198270=EDGE_CURVE('',#159301,#159302,#82828,.T.); #198271=EDGE_CURVE('',#159303,#159301,#82829,.T.); #198272=EDGE_CURVE('',#159304,#159302,#82830,.T.); #198273=EDGE_CURVE('',#159303,#159304,#82831,.T.); #198274=EDGE_CURVE('',#159305,#159303,#82832,.T.); #198275=EDGE_CURVE('',#159306,#159304,#82833,.T.); #198276=EDGE_CURVE('',#159305,#159306,#82834,.T.); #198277=EDGE_CURVE('',#159307,#159305,#82835,.T.); #198278=EDGE_CURVE('',#159308,#159306,#82836,.T.); #198279=EDGE_CURVE('',#159307,#159308,#82837,.T.); #198280=EDGE_CURVE('',#159309,#159307,#82838,.T.); #198281=EDGE_CURVE('',#159310,#159308,#82839,.T.); #198282=EDGE_CURVE('',#159309,#159310,#82840,.T.); #198283=EDGE_CURVE('',#159311,#159309,#82841,.T.); #198284=EDGE_CURVE('',#159312,#159310,#82842,.T.); #198285=EDGE_CURVE('',#159311,#159312,#82843,.T.); #198286=EDGE_CURVE('',#159313,#159311,#82844,.T.); #198287=EDGE_CURVE('',#159314,#159312,#82845,.T.); #198288=EDGE_CURVE('',#159313,#159314,#82846,.T.); #198289=EDGE_CURVE('',#159315,#159313,#82847,.T.); #198290=EDGE_CURVE('',#159316,#159314,#82848,.T.); #198291=EDGE_CURVE('',#159315,#159316,#82849,.T.); #198292=EDGE_CURVE('',#159317,#159315,#82850,.T.); #198293=EDGE_CURVE('',#159318,#159316,#82851,.T.); #198294=EDGE_CURVE('',#159317,#159318,#82852,.T.); #198295=EDGE_CURVE('',#159319,#159317,#82853,.T.); #198296=EDGE_CURVE('',#159320,#159318,#82854,.T.); #198297=EDGE_CURVE('',#159319,#159320,#82855,.T.); #198298=EDGE_CURVE('',#159321,#159319,#82856,.T.); #198299=EDGE_CURVE('',#159322,#159320,#82857,.T.); #198300=EDGE_CURVE('',#159321,#159322,#82858,.T.); #198301=EDGE_CURVE('',#159323,#159321,#82859,.T.); #198302=EDGE_CURVE('',#159324,#159322,#82860,.T.); #198303=EDGE_CURVE('',#159323,#159324,#82861,.T.); #198304=EDGE_CURVE('',#159325,#159323,#82862,.T.); #198305=EDGE_CURVE('',#159326,#159324,#82863,.T.); #198306=EDGE_CURVE('',#159325,#159326,#82864,.T.); #198307=EDGE_CURVE('',#159327,#159325,#82865,.T.); #198308=EDGE_CURVE('',#159328,#159326,#82866,.T.); #198309=EDGE_CURVE('',#159327,#159328,#82867,.T.); #198310=EDGE_CURVE('',#159329,#159327,#82868,.T.); #198311=EDGE_CURVE('',#159330,#159328,#82869,.T.); #198312=EDGE_CURVE('',#159329,#159330,#82870,.T.); #198313=EDGE_CURVE('',#159331,#159329,#82871,.T.); #198314=EDGE_CURVE('',#159332,#159330,#82872,.T.); #198315=EDGE_CURVE('',#159331,#159332,#82873,.T.); #198316=EDGE_CURVE('',#159333,#159331,#82874,.T.); #198317=EDGE_CURVE('',#159334,#159332,#82875,.T.); #198318=EDGE_CURVE('',#159333,#159334,#82876,.T.); #198319=EDGE_CURVE('',#159335,#159333,#82877,.T.); #198320=EDGE_CURVE('',#159336,#159334,#82878,.T.); #198321=EDGE_CURVE('',#159335,#159336,#82879,.T.); #198322=EDGE_CURVE('',#159337,#159335,#82880,.T.); #198323=EDGE_CURVE('',#159338,#159336,#82881,.T.); #198324=EDGE_CURVE('',#159337,#159338,#82882,.T.); #198325=EDGE_CURVE('',#159339,#159337,#82883,.T.); #198326=EDGE_CURVE('',#159340,#159338,#82884,.T.); #198327=EDGE_CURVE('',#159339,#159340,#82885,.T.); #198328=EDGE_CURVE('',#159341,#159339,#82886,.T.); #198329=EDGE_CURVE('',#159342,#159340,#82887,.T.); #198330=EDGE_CURVE('',#159341,#159342,#82888,.T.); #198331=EDGE_CURVE('',#159343,#159341,#82889,.T.); #198332=EDGE_CURVE('',#159344,#159342,#82890,.T.); #198333=EDGE_CURVE('',#159343,#159344,#82891,.T.); #198334=EDGE_CURVE('',#159345,#159343,#82892,.T.); #198335=EDGE_CURVE('',#159346,#159344,#82893,.T.); #198336=EDGE_CURVE('',#159345,#159346,#82894,.T.); #198337=EDGE_CURVE('',#159347,#159345,#82895,.T.); #198338=EDGE_CURVE('',#159348,#159346,#82896,.T.); #198339=EDGE_CURVE('',#159347,#159348,#82897,.T.); #198340=EDGE_CURVE('',#159349,#159347,#82898,.T.); #198341=EDGE_CURVE('',#159350,#159348,#82899,.T.); #198342=EDGE_CURVE('',#159349,#159350,#82900,.T.); #198343=EDGE_CURVE('',#159351,#159349,#82901,.T.); #198344=EDGE_CURVE('',#159352,#159350,#82902,.T.); #198345=EDGE_CURVE('',#159351,#159352,#82903,.T.); #198346=EDGE_CURVE('',#159353,#159351,#82904,.T.); #198347=EDGE_CURVE('',#159354,#159352,#82905,.T.); #198348=EDGE_CURVE('',#159353,#159354,#82906,.T.); #198349=EDGE_CURVE('',#159355,#159353,#82907,.T.); #198350=EDGE_CURVE('',#159356,#159354,#82908,.T.); #198351=EDGE_CURVE('',#159355,#159356,#82909,.T.); #198352=EDGE_CURVE('',#159357,#159355,#82910,.T.); #198353=EDGE_CURVE('',#159358,#159356,#82911,.T.); #198354=EDGE_CURVE('',#159357,#159358,#82912,.T.); #198355=EDGE_CURVE('',#159359,#159357,#82913,.T.); #198356=EDGE_CURVE('',#159360,#159358,#82914,.T.); #198357=EDGE_CURVE('',#159359,#159360,#82915,.T.); #198358=EDGE_CURVE('',#159361,#159359,#82916,.T.); #198359=EDGE_CURVE('',#159362,#159360,#82917,.T.); #198360=EDGE_CURVE('',#159361,#159362,#82918,.T.); #198361=EDGE_CURVE('',#159363,#159361,#82919,.T.); #198362=EDGE_CURVE('',#159364,#159362,#82920,.T.); #198363=EDGE_CURVE('',#159363,#159364,#82921,.T.); #198364=EDGE_CURVE('',#159365,#159363,#82922,.T.); #198365=EDGE_CURVE('',#159366,#159364,#82923,.T.); #198366=EDGE_CURVE('',#159365,#159366,#82924,.T.); #198367=EDGE_CURVE('',#159367,#159365,#82925,.T.); #198368=EDGE_CURVE('',#159368,#159366,#82926,.T.); #198369=EDGE_CURVE('',#159367,#159368,#82927,.T.); #198370=EDGE_CURVE('',#159369,#159367,#82928,.T.); #198371=EDGE_CURVE('',#159370,#159368,#82929,.T.); #198372=EDGE_CURVE('',#159369,#159370,#82930,.T.); #198373=EDGE_CURVE('',#159371,#159369,#82931,.T.); #198374=EDGE_CURVE('',#159372,#159370,#82932,.T.); #198375=EDGE_CURVE('',#159371,#159372,#82933,.T.); #198376=EDGE_CURVE('',#159373,#159371,#82934,.T.); #198377=EDGE_CURVE('',#159374,#159372,#82935,.T.); #198378=EDGE_CURVE('',#159373,#159374,#82936,.T.); #198379=EDGE_CURVE('',#159375,#159373,#82937,.T.); #198380=EDGE_CURVE('',#159376,#159374,#82938,.T.); #198381=EDGE_CURVE('',#159375,#159376,#82939,.T.); #198382=EDGE_CURVE('',#159377,#159375,#82940,.T.); #198383=EDGE_CURVE('',#159378,#159376,#82941,.T.); #198384=EDGE_CURVE('',#159377,#159378,#82942,.T.); #198385=EDGE_CURVE('',#159379,#159377,#82943,.T.); #198386=EDGE_CURVE('',#159380,#159378,#82944,.T.); #198387=EDGE_CURVE('',#159379,#159380,#82945,.T.); #198388=EDGE_CURVE('',#159381,#159379,#82946,.T.); #198389=EDGE_CURVE('',#159382,#159380,#82947,.T.); #198390=EDGE_CURVE('',#159381,#159382,#82948,.T.); #198391=EDGE_CURVE('',#159383,#159381,#82949,.T.); #198392=EDGE_CURVE('',#159384,#159382,#82950,.T.); #198393=EDGE_CURVE('',#159383,#159384,#82951,.T.); #198394=EDGE_CURVE('',#159385,#159383,#82952,.T.); #198395=EDGE_CURVE('',#159386,#159384,#82953,.T.); #198396=EDGE_CURVE('',#159385,#159386,#82954,.T.); #198397=EDGE_CURVE('',#159387,#159385,#82955,.T.); #198398=EDGE_CURVE('',#159388,#159386,#82956,.T.); #198399=EDGE_CURVE('',#159387,#159388,#82957,.T.); #198400=EDGE_CURVE('',#159389,#159387,#82958,.T.); #198401=EDGE_CURVE('',#159390,#159388,#82959,.T.); #198402=EDGE_CURVE('',#159389,#159390,#82960,.T.); #198403=EDGE_CURVE('',#159391,#159389,#82961,.T.); #198404=EDGE_CURVE('',#159392,#159390,#82962,.T.); #198405=EDGE_CURVE('',#159391,#159392,#82963,.T.); #198406=EDGE_CURVE('',#159393,#159391,#82964,.T.); #198407=EDGE_CURVE('',#159394,#159392,#82965,.T.); #198408=EDGE_CURVE('',#159393,#159394,#82966,.T.); #198409=EDGE_CURVE('',#159395,#159393,#82967,.T.); #198410=EDGE_CURVE('',#159396,#159394,#82968,.T.); #198411=EDGE_CURVE('',#159395,#159396,#82969,.T.); #198412=EDGE_CURVE('',#159397,#159395,#82970,.T.); #198413=EDGE_CURVE('',#159398,#159396,#82971,.T.); #198414=EDGE_CURVE('',#159397,#159398,#82972,.T.); #198415=EDGE_CURVE('',#159399,#159397,#82973,.T.); #198416=EDGE_CURVE('',#159400,#159398,#82974,.T.); #198417=EDGE_CURVE('',#159399,#159400,#82975,.T.); #198418=EDGE_CURVE('',#159401,#159399,#82976,.T.); #198419=EDGE_CURVE('',#159402,#159400,#82977,.T.); #198420=EDGE_CURVE('',#159401,#159402,#82978,.T.); #198421=EDGE_CURVE('',#159403,#159401,#82979,.T.); #198422=EDGE_CURVE('',#159404,#159402,#82980,.T.); #198423=EDGE_CURVE('',#159403,#159404,#82981,.T.); #198424=EDGE_CURVE('',#159405,#159403,#82982,.T.); #198425=EDGE_CURVE('',#159406,#159404,#82983,.T.); #198426=EDGE_CURVE('',#159405,#159406,#82984,.T.); #198427=EDGE_CURVE('',#159407,#159405,#82985,.T.); #198428=EDGE_CURVE('',#159408,#159406,#82986,.T.); #198429=EDGE_CURVE('',#159407,#159408,#82987,.T.); #198430=EDGE_CURVE('',#159409,#159407,#82988,.T.); #198431=EDGE_CURVE('',#159410,#159408,#82989,.T.); #198432=EDGE_CURVE('',#159409,#159410,#82990,.T.); #198433=EDGE_CURVE('',#159411,#159409,#82991,.T.); #198434=EDGE_CURVE('',#159412,#159410,#82992,.T.); #198435=EDGE_CURVE('',#159411,#159412,#82993,.T.); #198436=EDGE_CURVE('',#159413,#159411,#82994,.T.); #198437=EDGE_CURVE('',#159414,#159412,#82995,.T.); #198438=EDGE_CURVE('',#159413,#159414,#82996,.T.); #198439=EDGE_CURVE('',#159415,#159413,#82997,.T.); #198440=EDGE_CURVE('',#159416,#159414,#82998,.T.); #198441=EDGE_CURVE('',#159415,#159416,#82999,.T.); #198442=EDGE_CURVE('',#159417,#159415,#83000,.T.); #198443=EDGE_CURVE('',#159418,#159416,#83001,.T.); #198444=EDGE_CURVE('',#159417,#159418,#83002,.T.); #198445=EDGE_CURVE('',#159419,#159417,#83003,.T.); #198446=EDGE_CURVE('',#159420,#159418,#83004,.T.); #198447=EDGE_CURVE('',#159419,#159420,#83005,.T.); #198448=EDGE_CURVE('',#159421,#159419,#83006,.T.); #198449=EDGE_CURVE('',#159422,#159420,#83007,.T.); #198450=EDGE_CURVE('',#159421,#159422,#83008,.T.); #198451=EDGE_CURVE('',#159423,#159421,#83009,.T.); #198452=EDGE_CURVE('',#159424,#159422,#83010,.T.); #198453=EDGE_CURVE('',#159423,#159424,#83011,.T.); #198454=EDGE_CURVE('',#159425,#159423,#83012,.T.); #198455=EDGE_CURVE('',#159426,#159424,#83013,.T.); #198456=EDGE_CURVE('',#159425,#159426,#83014,.T.); #198457=EDGE_CURVE('',#159427,#159425,#83015,.T.); #198458=EDGE_CURVE('',#159428,#159426,#83016,.T.); #198459=EDGE_CURVE('',#159427,#159428,#83017,.T.); #198460=EDGE_CURVE('',#159429,#159427,#83018,.T.); #198461=EDGE_CURVE('',#159430,#159428,#83019,.T.); #198462=EDGE_CURVE('',#159429,#159430,#83020,.T.); #198463=EDGE_CURVE('',#159431,#159429,#83021,.T.); #198464=EDGE_CURVE('',#159432,#159430,#83022,.T.); #198465=EDGE_CURVE('',#159431,#159432,#83023,.T.); #198466=EDGE_CURVE('',#159433,#159431,#83024,.T.); #198467=EDGE_CURVE('',#159434,#159432,#83025,.T.); #198468=EDGE_CURVE('',#159433,#159434,#83026,.T.); #198469=EDGE_CURVE('',#159435,#159433,#83027,.T.); #198470=EDGE_CURVE('',#159436,#159434,#83028,.T.); #198471=EDGE_CURVE('',#159435,#159436,#83029,.T.); #198472=EDGE_CURVE('',#159437,#159435,#83030,.T.); #198473=EDGE_CURVE('',#159438,#159436,#83031,.T.); #198474=EDGE_CURVE('',#159437,#159438,#83032,.T.); #198475=EDGE_CURVE('',#159439,#159437,#83033,.T.); #198476=EDGE_CURVE('',#159440,#159438,#83034,.T.); #198477=EDGE_CURVE('',#159439,#159440,#83035,.T.); #198478=EDGE_CURVE('',#159441,#159439,#83036,.T.); #198479=EDGE_CURVE('',#159442,#159440,#83037,.T.); #198480=EDGE_CURVE('',#159441,#159442,#83038,.T.); #198481=EDGE_CURVE('',#159443,#159441,#83039,.T.); #198482=EDGE_CURVE('',#159444,#159442,#83040,.T.); #198483=EDGE_CURVE('',#159443,#159444,#83041,.T.); #198484=EDGE_CURVE('',#159445,#159443,#83042,.T.); #198485=EDGE_CURVE('',#159446,#159444,#83043,.T.); #198486=EDGE_CURVE('',#159445,#159446,#83044,.T.); #198487=EDGE_CURVE('',#159447,#159445,#83045,.T.); #198488=EDGE_CURVE('',#159448,#159446,#83046,.T.); #198489=EDGE_CURVE('',#159447,#159448,#83047,.T.); #198490=EDGE_CURVE('',#159449,#159447,#83048,.T.); #198491=EDGE_CURVE('',#159450,#159448,#83049,.T.); #198492=EDGE_CURVE('',#159449,#159450,#83050,.T.); #198493=EDGE_CURVE('',#159451,#159449,#83051,.T.); #198494=EDGE_CURVE('',#159452,#159450,#83052,.T.); #198495=EDGE_CURVE('',#159451,#159452,#83053,.T.); #198496=EDGE_CURVE('',#159453,#159451,#83054,.T.); #198497=EDGE_CURVE('',#159454,#159452,#83055,.T.); #198498=EDGE_CURVE('',#159453,#159454,#83056,.T.); #198499=EDGE_CURVE('',#159455,#159453,#83057,.T.); #198500=EDGE_CURVE('',#159456,#159454,#83058,.T.); #198501=EDGE_CURVE('',#159455,#159456,#83059,.T.); #198502=EDGE_CURVE('',#159457,#159455,#83060,.T.); #198503=EDGE_CURVE('',#159458,#159456,#83061,.T.); #198504=EDGE_CURVE('',#159457,#159458,#83062,.T.); #198505=EDGE_CURVE('',#159459,#159457,#83063,.T.); #198506=EDGE_CURVE('',#159460,#159458,#83064,.T.); #198507=EDGE_CURVE('',#159459,#159460,#83065,.T.); #198508=EDGE_CURVE('',#159461,#159459,#83066,.T.); #198509=EDGE_CURVE('',#159462,#159460,#83067,.T.); #198510=EDGE_CURVE('',#159461,#159462,#83068,.T.); #198511=EDGE_CURVE('',#159463,#159461,#83069,.T.); #198512=EDGE_CURVE('',#159464,#159462,#83070,.T.); #198513=EDGE_CURVE('',#159463,#159464,#83071,.T.); #198514=EDGE_CURVE('',#159465,#159463,#83072,.T.); #198515=EDGE_CURVE('',#159466,#159464,#83073,.T.); #198516=EDGE_CURVE('',#159465,#159466,#83074,.T.); #198517=EDGE_CURVE('',#159467,#159465,#83075,.T.); #198518=EDGE_CURVE('',#159468,#159466,#83076,.T.); #198519=EDGE_CURVE('',#159467,#159468,#83077,.T.); #198520=EDGE_CURVE('',#159469,#159467,#83078,.T.); #198521=EDGE_CURVE('',#159470,#159468,#83079,.T.); #198522=EDGE_CURVE('',#159469,#159470,#83080,.T.); #198523=EDGE_CURVE('',#159471,#159469,#83081,.T.); #198524=EDGE_CURVE('',#159472,#159470,#83082,.T.); #198525=EDGE_CURVE('',#159471,#159472,#83083,.T.); #198526=EDGE_CURVE('',#159473,#159471,#83084,.T.); #198527=EDGE_CURVE('',#159474,#159472,#83085,.T.); #198528=EDGE_CURVE('',#159473,#159474,#83086,.T.); #198529=EDGE_CURVE('',#159475,#159473,#83087,.T.); #198530=EDGE_CURVE('',#159476,#159474,#83088,.T.); #198531=EDGE_CURVE('',#159475,#159476,#83089,.T.); #198532=EDGE_CURVE('',#159477,#159475,#83090,.T.); #198533=EDGE_CURVE('',#159478,#159476,#83091,.T.); #198534=EDGE_CURVE('',#159477,#159478,#83092,.T.); #198535=EDGE_CURVE('',#159479,#159477,#83093,.T.); #198536=EDGE_CURVE('',#159480,#159478,#83094,.T.); #198537=EDGE_CURVE('',#159479,#159480,#83095,.T.); #198538=EDGE_CURVE('',#159481,#159479,#83096,.T.); #198539=EDGE_CURVE('',#159482,#159480,#83097,.T.); #198540=EDGE_CURVE('',#159481,#159482,#83098,.T.); #198541=EDGE_CURVE('',#159483,#159481,#83099,.T.); #198542=EDGE_CURVE('',#159484,#159482,#83100,.T.); #198543=EDGE_CURVE('',#159483,#159484,#83101,.T.); #198544=EDGE_CURVE('',#159485,#159483,#83102,.T.); #198545=EDGE_CURVE('',#159486,#159484,#83103,.T.); #198546=EDGE_CURVE('',#159485,#159486,#83104,.T.); #198547=EDGE_CURVE('',#158196,#159485,#83105,.T.); #198548=EDGE_CURVE('',#158197,#159486,#83106,.T.); #198549=EDGE_CURVE('',#159487,#159488,#83107,.T.); #198550=EDGE_CURVE('',#159488,#159489,#83108,.T.); #198551=EDGE_CURVE('',#159490,#159489,#83109,.T.); #198552=EDGE_CURVE('',#159487,#159490,#83110,.T.); #198553=EDGE_CURVE('',#159491,#159487,#83111,.T.); #198554=EDGE_CURVE('',#159492,#159490,#83112,.T.); #198555=EDGE_CURVE('',#159491,#159492,#83113,.T.); #198556=EDGE_CURVE('',#159493,#159491,#83114,.T.); #198557=EDGE_CURVE('',#159494,#159492,#83115,.T.); #198558=EDGE_CURVE('',#159493,#159494,#83116,.T.); #198559=EDGE_CURVE('',#159495,#159493,#83117,.T.); #198560=EDGE_CURVE('',#159496,#159494,#83118,.T.); #198561=EDGE_CURVE('',#159495,#159496,#83119,.T.); #198562=EDGE_CURVE('',#159497,#159495,#83120,.T.); #198563=EDGE_CURVE('',#159498,#159496,#83121,.T.); #198564=EDGE_CURVE('',#159497,#159498,#83122,.T.); #198565=EDGE_CURVE('',#159499,#159497,#83123,.T.); #198566=EDGE_CURVE('',#159500,#159498,#83124,.T.); #198567=EDGE_CURVE('',#159499,#159500,#83125,.T.); #198568=EDGE_CURVE('',#159501,#159499,#83126,.T.); #198569=EDGE_CURVE('',#159502,#159500,#83127,.T.); #198570=EDGE_CURVE('',#159501,#159502,#83128,.T.); #198571=EDGE_CURVE('',#159503,#159501,#83129,.T.); #198572=EDGE_CURVE('',#159504,#159502,#83130,.T.); #198573=EDGE_CURVE('',#159503,#159504,#83131,.T.); #198574=EDGE_CURVE('',#159505,#159503,#83132,.T.); #198575=EDGE_CURVE('',#159506,#159504,#83133,.T.); #198576=EDGE_CURVE('',#159505,#159506,#83134,.T.); #198577=EDGE_CURVE('',#159507,#159505,#83135,.T.); #198578=EDGE_CURVE('',#159508,#159506,#83136,.T.); #198579=EDGE_CURVE('',#159507,#159508,#83137,.T.); #198580=EDGE_CURVE('',#159509,#159507,#83138,.T.); #198581=EDGE_CURVE('',#159510,#159508,#83139,.T.); #198582=EDGE_CURVE('',#159509,#159510,#83140,.T.); #198583=EDGE_CURVE('',#159511,#159509,#83141,.T.); #198584=EDGE_CURVE('',#159512,#159510,#83142,.T.); #198585=EDGE_CURVE('',#159511,#159512,#83143,.T.); #198586=EDGE_CURVE('',#159513,#159511,#83144,.T.); #198587=EDGE_CURVE('',#159514,#159512,#83145,.T.); #198588=EDGE_CURVE('',#159513,#159514,#83146,.T.); #198589=EDGE_CURVE('',#159515,#159513,#83147,.T.); #198590=EDGE_CURVE('',#159516,#159514,#83148,.T.); #198591=EDGE_CURVE('',#159515,#159516,#83149,.T.); #198592=EDGE_CURVE('',#159517,#159515,#83150,.T.); #198593=EDGE_CURVE('',#159518,#159516,#83151,.T.); #198594=EDGE_CURVE('',#159517,#159518,#83152,.T.); #198595=EDGE_CURVE('',#159519,#159517,#83153,.T.); #198596=EDGE_CURVE('',#159520,#159518,#83154,.T.); #198597=EDGE_CURVE('',#159519,#159520,#83155,.T.); #198598=EDGE_CURVE('',#159521,#159519,#83156,.T.); #198599=EDGE_CURVE('',#159522,#159520,#83157,.T.); #198600=EDGE_CURVE('',#159521,#159522,#83158,.T.); #198601=EDGE_CURVE('',#159523,#159521,#83159,.T.); #198602=EDGE_CURVE('',#159524,#159522,#83160,.T.); #198603=EDGE_CURVE('',#159523,#159524,#83161,.T.); #198604=EDGE_CURVE('',#159525,#159523,#83162,.T.); #198605=EDGE_CURVE('',#159526,#159524,#83163,.T.); #198606=EDGE_CURVE('',#159525,#159526,#83164,.T.); #198607=EDGE_CURVE('',#159527,#159525,#83165,.T.); #198608=EDGE_CURVE('',#159528,#159526,#83166,.T.); #198609=EDGE_CURVE('',#159527,#159528,#83167,.T.); #198610=EDGE_CURVE('',#159529,#159527,#83168,.T.); #198611=EDGE_CURVE('',#159530,#159528,#83169,.T.); #198612=EDGE_CURVE('',#159529,#159530,#83170,.T.); #198613=EDGE_CURVE('',#159531,#159529,#83171,.T.); #198614=EDGE_CURVE('',#159532,#159530,#83172,.T.); #198615=EDGE_CURVE('',#159531,#159532,#83173,.T.); #198616=EDGE_CURVE('',#159533,#159531,#83174,.T.); #198617=EDGE_CURVE('',#159534,#159532,#83175,.T.); #198618=EDGE_CURVE('',#159533,#159534,#83176,.T.); #198619=EDGE_CURVE('',#159535,#159533,#83177,.T.); #198620=EDGE_CURVE('',#159536,#159534,#83178,.T.); #198621=EDGE_CURVE('',#159535,#159536,#83179,.T.); #198622=EDGE_CURVE('',#159537,#159535,#83180,.T.); #198623=EDGE_CURVE('',#159538,#159536,#83181,.T.); #198624=EDGE_CURVE('',#159537,#159538,#83182,.T.); #198625=EDGE_CURVE('',#159539,#159537,#83183,.T.); #198626=EDGE_CURVE('',#159540,#159538,#83184,.T.); #198627=EDGE_CURVE('',#159539,#159540,#83185,.T.); #198628=EDGE_CURVE('',#159541,#159539,#83186,.T.); #198629=EDGE_CURVE('',#159542,#159540,#83187,.T.); #198630=EDGE_CURVE('',#159541,#159542,#83188,.T.); #198631=EDGE_CURVE('',#159543,#159541,#83189,.T.); #198632=EDGE_CURVE('',#159544,#159542,#83190,.T.); #198633=EDGE_CURVE('',#159543,#159544,#83191,.T.); #198634=EDGE_CURVE('',#159545,#159543,#83192,.T.); #198635=EDGE_CURVE('',#159546,#159544,#83193,.T.); #198636=EDGE_CURVE('',#159545,#159546,#83194,.T.); #198637=EDGE_CURVE('',#159547,#159545,#83195,.T.); #198638=EDGE_CURVE('',#159548,#159546,#83196,.T.); #198639=EDGE_CURVE('',#159547,#159548,#83197,.T.); #198640=EDGE_CURVE('',#159549,#159547,#83198,.T.); #198641=EDGE_CURVE('',#159550,#159548,#83199,.T.); #198642=EDGE_CURVE('',#159549,#159550,#83200,.T.); #198643=EDGE_CURVE('',#159551,#159549,#83201,.T.); #198644=EDGE_CURVE('',#159552,#159550,#83202,.T.); #198645=EDGE_CURVE('',#159551,#159552,#83203,.T.); #198646=EDGE_CURVE('',#159553,#159551,#83204,.T.); #198647=EDGE_CURVE('',#159554,#159552,#83205,.T.); #198648=EDGE_CURVE('',#159553,#159554,#83206,.T.); #198649=EDGE_CURVE('',#159555,#159553,#83207,.T.); #198650=EDGE_CURVE('',#159556,#159554,#83208,.T.); #198651=EDGE_CURVE('',#159555,#159556,#83209,.T.); #198652=EDGE_CURVE('',#159557,#159555,#83210,.T.); #198653=EDGE_CURVE('',#159558,#159556,#83211,.T.); #198654=EDGE_CURVE('',#159557,#159558,#83212,.T.); #198655=EDGE_CURVE('',#159559,#159557,#83213,.T.); #198656=EDGE_CURVE('',#159560,#159558,#83214,.T.); #198657=EDGE_CURVE('',#159559,#159560,#83215,.T.); #198658=EDGE_CURVE('',#159561,#159559,#83216,.T.); #198659=EDGE_CURVE('',#159562,#159560,#83217,.T.); #198660=EDGE_CURVE('',#159561,#159562,#83218,.T.); #198661=EDGE_CURVE('',#159563,#159561,#83219,.T.); #198662=EDGE_CURVE('',#159564,#159562,#83220,.T.); #198663=EDGE_CURVE('',#159563,#159564,#83221,.T.); #198664=EDGE_CURVE('',#159565,#159563,#83222,.T.); #198665=EDGE_CURVE('',#159566,#159564,#83223,.T.); #198666=EDGE_CURVE('',#159565,#159566,#83224,.T.); #198667=EDGE_CURVE('',#159567,#159565,#83225,.T.); #198668=EDGE_CURVE('',#159568,#159566,#83226,.T.); #198669=EDGE_CURVE('',#159567,#159568,#83227,.T.); #198670=EDGE_CURVE('',#159569,#159567,#83228,.T.); #198671=EDGE_CURVE('',#159570,#159568,#83229,.T.); #198672=EDGE_CURVE('',#159569,#159570,#83230,.T.); #198673=EDGE_CURVE('',#159571,#159569,#83231,.T.); #198674=EDGE_CURVE('',#159572,#159570,#83232,.T.); #198675=EDGE_CURVE('',#159571,#159572,#83233,.T.); #198676=EDGE_CURVE('',#159573,#159571,#83234,.T.); #198677=EDGE_CURVE('',#159574,#159572,#83235,.T.); #198678=EDGE_CURVE('',#159573,#159574,#83236,.T.); #198679=EDGE_CURVE('',#159575,#159573,#83237,.T.); #198680=EDGE_CURVE('',#159576,#159574,#83238,.T.); #198681=EDGE_CURVE('',#159575,#159576,#83239,.T.); #198682=EDGE_CURVE('',#159577,#159575,#83240,.T.); #198683=EDGE_CURVE('',#159578,#159576,#83241,.T.); #198684=EDGE_CURVE('',#159577,#159578,#83242,.T.); #198685=EDGE_CURVE('',#159579,#159577,#83243,.T.); #198686=EDGE_CURVE('',#159580,#159578,#83244,.T.); #198687=EDGE_CURVE('',#159579,#159580,#83245,.T.); #198688=EDGE_CURVE('',#159581,#159579,#83246,.T.); #198689=EDGE_CURVE('',#159582,#159580,#83247,.T.); #198690=EDGE_CURVE('',#159581,#159582,#83248,.T.); #198691=EDGE_CURVE('',#159583,#159581,#83249,.T.); #198692=EDGE_CURVE('',#159584,#159582,#83250,.T.); #198693=EDGE_CURVE('',#159583,#159584,#83251,.T.); #198694=EDGE_CURVE('',#159585,#159583,#83252,.T.); #198695=EDGE_CURVE('',#159586,#159584,#83253,.T.); #198696=EDGE_CURVE('',#159585,#159586,#83254,.T.); #198697=EDGE_CURVE('',#159587,#159585,#83255,.T.); #198698=EDGE_CURVE('',#159588,#159586,#83256,.T.); #198699=EDGE_CURVE('',#159587,#159588,#83257,.T.); #198700=EDGE_CURVE('',#159589,#159587,#83258,.T.); #198701=EDGE_CURVE('',#159590,#159588,#83259,.T.); #198702=EDGE_CURVE('',#159589,#159590,#83260,.T.); #198703=EDGE_CURVE('',#159591,#159589,#83261,.T.); #198704=EDGE_CURVE('',#159592,#159590,#83262,.T.); #198705=EDGE_CURVE('',#159591,#159592,#83263,.T.); #198706=EDGE_CURVE('',#159593,#159591,#83264,.T.); #198707=EDGE_CURVE('',#159594,#159592,#83265,.T.); #198708=EDGE_CURVE('',#159593,#159594,#83266,.T.); #198709=EDGE_CURVE('',#159595,#159593,#83267,.T.); #198710=EDGE_CURVE('',#159596,#159594,#83268,.T.); #198711=EDGE_CURVE('',#159595,#159596,#83269,.T.); #198712=EDGE_CURVE('',#159597,#159595,#83270,.T.); #198713=EDGE_CURVE('',#159598,#159596,#83271,.T.); #198714=EDGE_CURVE('',#159597,#159598,#83272,.T.); #198715=EDGE_CURVE('',#159599,#159597,#83273,.T.); #198716=EDGE_CURVE('',#159600,#159598,#83274,.T.); #198717=EDGE_CURVE('',#159599,#159600,#83275,.T.); #198718=EDGE_CURVE('',#159601,#159599,#83276,.T.); #198719=EDGE_CURVE('',#159602,#159600,#83277,.T.); #198720=EDGE_CURVE('',#159601,#159602,#83278,.T.); #198721=EDGE_CURVE('',#159603,#159601,#83279,.T.); #198722=EDGE_CURVE('',#159604,#159602,#83280,.T.); #198723=EDGE_CURVE('',#159603,#159604,#83281,.T.); #198724=EDGE_CURVE('',#159605,#159603,#83282,.T.); #198725=EDGE_CURVE('',#159606,#159604,#83283,.T.); #198726=EDGE_CURVE('',#159605,#159606,#83284,.T.); #198727=EDGE_CURVE('',#159607,#159605,#83285,.T.); #198728=EDGE_CURVE('',#159608,#159606,#83286,.T.); #198729=EDGE_CURVE('',#159607,#159608,#83287,.T.); #198730=EDGE_CURVE('',#159609,#159607,#83288,.T.); #198731=EDGE_CURVE('',#159610,#159608,#83289,.T.); #198732=EDGE_CURVE('',#159609,#159610,#83290,.T.); #198733=EDGE_CURVE('',#159611,#159609,#83291,.T.); #198734=EDGE_CURVE('',#159612,#159610,#83292,.T.); #198735=EDGE_CURVE('',#159611,#159612,#83293,.T.); #198736=EDGE_CURVE('',#159613,#159611,#83294,.T.); #198737=EDGE_CURVE('',#159614,#159612,#83295,.T.); #198738=EDGE_CURVE('',#159613,#159614,#83296,.T.); #198739=EDGE_CURVE('',#159615,#159613,#83297,.T.); #198740=EDGE_CURVE('',#159616,#159614,#83298,.T.); #198741=EDGE_CURVE('',#159615,#159616,#83299,.T.); #198742=EDGE_CURVE('',#159617,#159615,#83300,.T.); #198743=EDGE_CURVE('',#159618,#159616,#83301,.T.); #198744=EDGE_CURVE('',#159617,#159618,#83302,.T.); #198745=EDGE_CURVE('',#159619,#159617,#83303,.T.); #198746=EDGE_CURVE('',#159620,#159618,#83304,.T.); #198747=EDGE_CURVE('',#159619,#159620,#83305,.T.); #198748=EDGE_CURVE('',#159621,#159619,#83306,.T.); #198749=EDGE_CURVE('',#159622,#159620,#83307,.T.); #198750=EDGE_CURVE('',#159621,#159622,#83308,.T.); #198751=EDGE_CURVE('',#159623,#159621,#83309,.T.); #198752=EDGE_CURVE('',#159624,#159622,#83310,.T.); #198753=EDGE_CURVE('',#159623,#159624,#83311,.T.); #198754=EDGE_CURVE('',#159625,#159623,#83312,.T.); #198755=EDGE_CURVE('',#159626,#159624,#83313,.T.); #198756=EDGE_CURVE('',#159625,#159626,#83314,.T.); #198757=EDGE_CURVE('',#159627,#159625,#83315,.T.); #198758=EDGE_CURVE('',#159628,#159626,#83316,.T.); #198759=EDGE_CURVE('',#159627,#159628,#83317,.T.); #198760=EDGE_CURVE('',#159629,#159627,#83318,.T.); #198761=EDGE_CURVE('',#159630,#159628,#83319,.T.); #198762=EDGE_CURVE('',#159629,#159630,#83320,.T.); #198763=EDGE_CURVE('',#159631,#159629,#83321,.T.); #198764=EDGE_CURVE('',#159632,#159630,#83322,.T.); #198765=EDGE_CURVE('',#159631,#159632,#83323,.T.); #198766=EDGE_CURVE('',#159633,#159631,#83324,.T.); #198767=EDGE_CURVE('',#159634,#159632,#83325,.T.); #198768=EDGE_CURVE('',#159633,#159634,#83326,.T.); #198769=EDGE_CURVE('',#159635,#159633,#83327,.T.); #198770=EDGE_CURVE('',#159636,#159634,#83328,.T.); #198771=EDGE_CURVE('',#159635,#159636,#83329,.T.); #198772=EDGE_CURVE('',#159637,#159635,#83330,.T.); #198773=EDGE_CURVE('',#159638,#159636,#83331,.T.); #198774=EDGE_CURVE('',#159637,#159638,#83332,.T.); #198775=EDGE_CURVE('',#159639,#159637,#83333,.T.); #198776=EDGE_CURVE('',#159640,#159638,#83334,.T.); #198777=EDGE_CURVE('',#159639,#159640,#83335,.T.); #198778=EDGE_CURVE('',#159641,#159639,#83336,.T.); #198779=EDGE_CURVE('',#159642,#159640,#83337,.T.); #198780=EDGE_CURVE('',#159641,#159642,#83338,.T.); #198781=EDGE_CURVE('',#159643,#159641,#83339,.T.); #198782=EDGE_CURVE('',#159644,#159642,#83340,.T.); #198783=EDGE_CURVE('',#159643,#159644,#83341,.T.); #198784=EDGE_CURVE('',#159645,#159643,#83342,.T.); #198785=EDGE_CURVE('',#159646,#159644,#83343,.T.); #198786=EDGE_CURVE('',#159645,#159646,#83344,.T.); #198787=EDGE_CURVE('',#159647,#159645,#83345,.T.); #198788=EDGE_CURVE('',#159648,#159646,#83346,.T.); #198789=EDGE_CURVE('',#159647,#159648,#83347,.T.); #198790=EDGE_CURVE('',#159649,#159647,#83348,.T.); #198791=EDGE_CURVE('',#159650,#159648,#83349,.T.); #198792=EDGE_CURVE('',#159649,#159650,#83350,.T.); #198793=EDGE_CURVE('',#159651,#159649,#83351,.T.); #198794=EDGE_CURVE('',#159652,#159650,#83352,.T.); #198795=EDGE_CURVE('',#159651,#159652,#83353,.T.); #198796=EDGE_CURVE('',#159653,#159651,#83354,.T.); #198797=EDGE_CURVE('',#159654,#159652,#83355,.T.); #198798=EDGE_CURVE('',#159653,#159654,#83356,.T.); #198799=EDGE_CURVE('',#159655,#159653,#83357,.T.); #198800=EDGE_CURVE('',#159656,#159654,#83358,.T.); #198801=EDGE_CURVE('',#159655,#159656,#83359,.T.); #198802=EDGE_CURVE('',#159657,#159655,#83360,.T.); #198803=EDGE_CURVE('',#159658,#159656,#83361,.T.); #198804=EDGE_CURVE('',#159657,#159658,#83362,.T.); #198805=EDGE_CURVE('',#159659,#159657,#83363,.T.); #198806=EDGE_CURVE('',#159660,#159658,#83364,.T.); #198807=EDGE_CURVE('',#159659,#159660,#83365,.T.); #198808=EDGE_CURVE('',#159661,#159659,#83366,.T.); #198809=EDGE_CURVE('',#159662,#159660,#83367,.T.); #198810=EDGE_CURVE('',#159661,#159662,#83368,.T.); #198811=EDGE_CURVE('',#159663,#159661,#83369,.T.); #198812=EDGE_CURVE('',#159664,#159662,#83370,.T.); #198813=EDGE_CURVE('',#159663,#159664,#83371,.T.); #198814=EDGE_CURVE('',#159665,#159663,#83372,.T.); #198815=EDGE_CURVE('',#159666,#159664,#83373,.T.); #198816=EDGE_CURVE('',#159665,#159666,#83374,.T.); #198817=EDGE_CURVE('',#159667,#159665,#83375,.T.); #198818=EDGE_CURVE('',#159668,#159666,#83376,.T.); #198819=EDGE_CURVE('',#159667,#159668,#83377,.T.); #198820=EDGE_CURVE('',#159669,#159667,#83378,.T.); #198821=EDGE_CURVE('',#159670,#159668,#83379,.T.); #198822=EDGE_CURVE('',#159669,#159670,#83380,.T.); #198823=EDGE_CURVE('',#159671,#159669,#83381,.T.); #198824=EDGE_CURVE('',#159672,#159670,#83382,.T.); #198825=EDGE_CURVE('',#159671,#159672,#83383,.T.); #198826=EDGE_CURVE('',#159673,#159671,#83384,.T.); #198827=EDGE_CURVE('',#159674,#159672,#83385,.T.); #198828=EDGE_CURVE('',#159673,#159674,#83386,.T.); #198829=EDGE_CURVE('',#159675,#159673,#83387,.T.); #198830=EDGE_CURVE('',#159676,#159674,#83388,.T.); #198831=EDGE_CURVE('',#159675,#159676,#83389,.T.); #198832=EDGE_CURVE('',#159677,#159675,#83390,.T.); #198833=EDGE_CURVE('',#159678,#159676,#83391,.T.); #198834=EDGE_CURVE('',#159677,#159678,#83392,.T.); #198835=EDGE_CURVE('',#159679,#159677,#83393,.T.); #198836=EDGE_CURVE('',#159680,#159678,#83394,.T.); #198837=EDGE_CURVE('',#159679,#159680,#83395,.T.); #198838=EDGE_CURVE('',#159681,#159679,#83396,.T.); #198839=EDGE_CURVE('',#159682,#159680,#83397,.T.); #198840=EDGE_CURVE('',#159681,#159682,#83398,.T.); #198841=EDGE_CURVE('',#159683,#159681,#83399,.T.); #198842=EDGE_CURVE('',#159684,#159682,#83400,.T.); #198843=EDGE_CURVE('',#159683,#159684,#83401,.T.); #198844=EDGE_CURVE('',#159685,#159683,#83402,.T.); #198845=EDGE_CURVE('',#159686,#159684,#83403,.T.); #198846=EDGE_CURVE('',#159685,#159686,#83404,.T.); #198847=EDGE_CURVE('',#159488,#159685,#83405,.T.); #198848=EDGE_CURVE('',#159489,#159686,#83406,.T.); #198849=EDGE_CURVE('',#159687,#159688,#83407,.T.); #198850=EDGE_CURVE('',#159688,#159689,#83408,.T.); #198851=EDGE_CURVE('',#159690,#159689,#83409,.T.); #198852=EDGE_CURVE('',#159687,#159690,#83410,.T.); #198853=EDGE_CURVE('',#159691,#159687,#83411,.T.); #198854=EDGE_CURVE('',#159692,#159690,#83412,.T.); #198855=EDGE_CURVE('',#159691,#159692,#83413,.T.); #198856=EDGE_CURVE('',#159693,#159691,#83414,.T.); #198857=EDGE_CURVE('',#159694,#159692,#83415,.T.); #198858=EDGE_CURVE('',#159693,#159694,#83416,.T.); #198859=EDGE_CURVE('',#159695,#159693,#83417,.T.); #198860=EDGE_CURVE('',#159696,#159694,#83418,.T.); #198861=EDGE_CURVE('',#159695,#159696,#83419,.T.); #198862=EDGE_CURVE('',#159697,#159695,#83420,.T.); #198863=EDGE_CURVE('',#159698,#159696,#83421,.T.); #198864=EDGE_CURVE('',#159697,#159698,#83422,.T.); #198865=EDGE_CURVE('',#159699,#159697,#83423,.T.); #198866=EDGE_CURVE('',#159700,#159698,#83424,.T.); #198867=EDGE_CURVE('',#159699,#159700,#83425,.T.); #198868=EDGE_CURVE('',#159701,#159699,#83426,.T.); #198869=EDGE_CURVE('',#159702,#159700,#83427,.T.); #198870=EDGE_CURVE('',#159701,#159702,#83428,.T.); #198871=EDGE_CURVE('',#159703,#159701,#83429,.T.); #198872=EDGE_CURVE('',#159704,#159702,#83430,.T.); #198873=EDGE_CURVE('',#159703,#159704,#83431,.T.); #198874=EDGE_CURVE('',#159705,#159703,#83432,.T.); #198875=EDGE_CURVE('',#159706,#159704,#83433,.T.); #198876=EDGE_CURVE('',#159705,#159706,#83434,.T.); #198877=EDGE_CURVE('',#159707,#159705,#83435,.T.); #198878=EDGE_CURVE('',#159708,#159706,#83436,.T.); #198879=EDGE_CURVE('',#159707,#159708,#83437,.T.); #198880=EDGE_CURVE('',#159709,#159707,#83438,.T.); #198881=EDGE_CURVE('',#159710,#159708,#83439,.T.); #198882=EDGE_CURVE('',#159709,#159710,#83440,.T.); #198883=EDGE_CURVE('',#159711,#159709,#83441,.T.); #198884=EDGE_CURVE('',#159712,#159710,#83442,.T.); #198885=EDGE_CURVE('',#159711,#159712,#83443,.T.); #198886=EDGE_CURVE('',#159713,#159711,#83444,.T.); #198887=EDGE_CURVE('',#159714,#159712,#83445,.T.); #198888=EDGE_CURVE('',#159713,#159714,#83446,.T.); #198889=EDGE_CURVE('',#159715,#159713,#83447,.T.); #198890=EDGE_CURVE('',#159716,#159714,#83448,.T.); #198891=EDGE_CURVE('',#159715,#159716,#83449,.T.); #198892=EDGE_CURVE('',#159717,#159715,#83450,.T.); #198893=EDGE_CURVE('',#159718,#159716,#83451,.T.); #198894=EDGE_CURVE('',#159717,#159718,#83452,.T.); #198895=EDGE_CURVE('',#159719,#159717,#83453,.T.); #198896=EDGE_CURVE('',#159720,#159718,#83454,.T.); #198897=EDGE_CURVE('',#159719,#159720,#83455,.T.); #198898=EDGE_CURVE('',#159721,#159719,#83456,.T.); #198899=EDGE_CURVE('',#159722,#159720,#83457,.T.); #198900=EDGE_CURVE('',#159721,#159722,#83458,.T.); #198901=EDGE_CURVE('',#159723,#159721,#83459,.T.); #198902=EDGE_CURVE('',#159724,#159722,#83460,.T.); #198903=EDGE_CURVE('',#159723,#159724,#83461,.T.); #198904=EDGE_CURVE('',#159725,#159723,#83462,.T.); #198905=EDGE_CURVE('',#159726,#159724,#83463,.T.); #198906=EDGE_CURVE('',#159725,#159726,#83464,.T.); #198907=EDGE_CURVE('',#159727,#159725,#83465,.T.); #198908=EDGE_CURVE('',#159728,#159726,#83466,.T.); #198909=EDGE_CURVE('',#159727,#159728,#83467,.T.); #198910=EDGE_CURVE('',#159729,#159727,#83468,.T.); #198911=EDGE_CURVE('',#159730,#159728,#83469,.T.); #198912=EDGE_CURVE('',#159729,#159730,#83470,.T.); #198913=EDGE_CURVE('',#159731,#159729,#83471,.T.); #198914=EDGE_CURVE('',#159732,#159730,#83472,.T.); #198915=EDGE_CURVE('',#159731,#159732,#83473,.T.); #198916=EDGE_CURVE('',#159733,#159731,#83474,.T.); #198917=EDGE_CURVE('',#159734,#159732,#83475,.T.); #198918=EDGE_CURVE('',#159733,#159734,#83476,.T.); #198919=EDGE_CURVE('',#159735,#159733,#83477,.T.); #198920=EDGE_CURVE('',#159736,#159734,#83478,.T.); #198921=EDGE_CURVE('',#159735,#159736,#83479,.T.); #198922=EDGE_CURVE('',#159737,#159735,#83480,.T.); #198923=EDGE_CURVE('',#159738,#159736,#83481,.T.); #198924=EDGE_CURVE('',#159737,#159738,#83482,.T.); #198925=EDGE_CURVE('',#159739,#159737,#83483,.T.); #198926=EDGE_CURVE('',#159740,#159738,#83484,.T.); #198927=EDGE_CURVE('',#159739,#159740,#83485,.T.); #198928=EDGE_CURVE('',#159741,#159739,#83486,.T.); #198929=EDGE_CURVE('',#159742,#159740,#83487,.T.); #198930=EDGE_CURVE('',#159741,#159742,#83488,.T.); #198931=EDGE_CURVE('',#159743,#159741,#83489,.T.); #198932=EDGE_CURVE('',#159744,#159742,#83490,.T.); #198933=EDGE_CURVE('',#159743,#159744,#83491,.T.); #198934=EDGE_CURVE('',#159745,#159743,#83492,.T.); #198935=EDGE_CURVE('',#159746,#159744,#83493,.T.); #198936=EDGE_CURVE('',#159745,#159746,#83494,.T.); #198937=EDGE_CURVE('',#159747,#159745,#83495,.T.); #198938=EDGE_CURVE('',#159748,#159746,#83496,.T.); #198939=EDGE_CURVE('',#159747,#159748,#83497,.T.); #198940=EDGE_CURVE('',#159749,#159747,#83498,.T.); #198941=EDGE_CURVE('',#159750,#159748,#83499,.T.); #198942=EDGE_CURVE('',#159749,#159750,#83500,.T.); #198943=EDGE_CURVE('',#159751,#159749,#83501,.T.); #198944=EDGE_CURVE('',#159752,#159750,#83502,.T.); #198945=EDGE_CURVE('',#159751,#159752,#83503,.T.); #198946=EDGE_CURVE('',#159753,#159751,#83504,.T.); #198947=EDGE_CURVE('',#159754,#159752,#83505,.T.); #198948=EDGE_CURVE('',#159753,#159754,#83506,.T.); #198949=EDGE_CURVE('',#159755,#159753,#83507,.T.); #198950=EDGE_CURVE('',#159756,#159754,#83508,.T.); #198951=EDGE_CURVE('',#159755,#159756,#83509,.T.); #198952=EDGE_CURVE('',#159757,#159755,#83510,.T.); #198953=EDGE_CURVE('',#159758,#159756,#83511,.T.); #198954=EDGE_CURVE('',#159757,#159758,#83512,.T.); #198955=EDGE_CURVE('',#159759,#159757,#83513,.T.); #198956=EDGE_CURVE('',#159760,#159758,#83514,.T.); #198957=EDGE_CURVE('',#159759,#159760,#83515,.T.); #198958=EDGE_CURVE('',#159761,#159759,#83516,.T.); #198959=EDGE_CURVE('',#159762,#159760,#83517,.T.); #198960=EDGE_CURVE('',#159761,#159762,#83518,.T.); #198961=EDGE_CURVE('',#159763,#159761,#83519,.T.); #198962=EDGE_CURVE('',#159764,#159762,#83520,.T.); #198963=EDGE_CURVE('',#159763,#159764,#83521,.T.); #198964=EDGE_CURVE('',#159765,#159763,#83522,.T.); #198965=EDGE_CURVE('',#159766,#159764,#83523,.T.); #198966=EDGE_CURVE('',#159765,#159766,#83524,.T.); #198967=EDGE_CURVE('',#159767,#159765,#83525,.T.); #198968=EDGE_CURVE('',#159768,#159766,#83526,.T.); #198969=EDGE_CURVE('',#159767,#159768,#83527,.T.); #198970=EDGE_CURVE('',#159769,#159767,#83528,.T.); #198971=EDGE_CURVE('',#159770,#159768,#83529,.T.); #198972=EDGE_CURVE('',#159769,#159770,#83530,.T.); #198973=EDGE_CURVE('',#159771,#159769,#83531,.T.); #198974=EDGE_CURVE('',#159772,#159770,#83532,.T.); #198975=EDGE_CURVE('',#159771,#159772,#83533,.T.); #198976=EDGE_CURVE('',#159773,#159771,#83534,.T.); #198977=EDGE_CURVE('',#159774,#159772,#83535,.T.); #198978=EDGE_CURVE('',#159773,#159774,#83536,.T.); #198979=EDGE_CURVE('',#159775,#159773,#83537,.T.); #198980=EDGE_CURVE('',#159776,#159774,#83538,.T.); #198981=EDGE_CURVE('',#159775,#159776,#83539,.T.); #198982=EDGE_CURVE('',#159777,#159775,#83540,.T.); #198983=EDGE_CURVE('',#159778,#159776,#83541,.T.); #198984=EDGE_CURVE('',#159777,#159778,#83542,.T.); #198985=EDGE_CURVE('',#159779,#159777,#83543,.T.); #198986=EDGE_CURVE('',#159780,#159778,#83544,.T.); #198987=EDGE_CURVE('',#159779,#159780,#83545,.T.); #198988=EDGE_CURVE('',#159781,#159779,#83546,.T.); #198989=EDGE_CURVE('',#159782,#159780,#83547,.T.); #198990=EDGE_CURVE('',#159781,#159782,#83548,.T.); #198991=EDGE_CURVE('',#159783,#159781,#83549,.T.); #198992=EDGE_CURVE('',#159784,#159782,#83550,.T.); #198993=EDGE_CURVE('',#159783,#159784,#83551,.T.); #198994=EDGE_CURVE('',#159785,#159783,#83552,.T.); #198995=EDGE_CURVE('',#159786,#159784,#83553,.T.); #198996=EDGE_CURVE('',#159785,#159786,#83554,.T.); #198997=EDGE_CURVE('',#159787,#159785,#83555,.T.); #198998=EDGE_CURVE('',#159788,#159786,#83556,.T.); #198999=EDGE_CURVE('',#159787,#159788,#83557,.T.); #199000=EDGE_CURVE('',#159789,#159787,#83558,.T.); #199001=EDGE_CURVE('',#159790,#159788,#83559,.T.); #199002=EDGE_CURVE('',#159789,#159790,#83560,.T.); #199003=EDGE_CURVE('',#159791,#159789,#83561,.T.); #199004=EDGE_CURVE('',#159792,#159790,#83562,.T.); #199005=EDGE_CURVE('',#159791,#159792,#83563,.T.); #199006=EDGE_CURVE('',#159793,#159791,#83564,.T.); #199007=EDGE_CURVE('',#159794,#159792,#83565,.T.); #199008=EDGE_CURVE('',#159793,#159794,#83566,.T.); #199009=EDGE_CURVE('',#159795,#159793,#83567,.T.); #199010=EDGE_CURVE('',#159796,#159794,#83568,.T.); #199011=EDGE_CURVE('',#159795,#159796,#83569,.T.); #199012=EDGE_CURVE('',#159797,#159795,#83570,.T.); #199013=EDGE_CURVE('',#159798,#159796,#83571,.T.); #199014=EDGE_CURVE('',#159797,#159798,#83572,.T.); #199015=EDGE_CURVE('',#159799,#159797,#83573,.T.); #199016=EDGE_CURVE('',#159800,#159798,#83574,.T.); #199017=EDGE_CURVE('',#159799,#159800,#83575,.T.); #199018=EDGE_CURVE('',#159801,#159799,#83576,.T.); #199019=EDGE_CURVE('',#159802,#159800,#83577,.T.); #199020=EDGE_CURVE('',#159801,#159802,#83578,.T.); #199021=EDGE_CURVE('',#159803,#159801,#83579,.T.); #199022=EDGE_CURVE('',#159804,#159802,#83580,.T.); #199023=EDGE_CURVE('',#159803,#159804,#83581,.T.); #199024=EDGE_CURVE('',#159805,#159803,#83582,.T.); #199025=EDGE_CURVE('',#159806,#159804,#83583,.T.); #199026=EDGE_CURVE('',#159805,#159806,#83584,.T.); #199027=EDGE_CURVE('',#159807,#159805,#83585,.T.); #199028=EDGE_CURVE('',#159808,#159806,#83586,.T.); #199029=EDGE_CURVE('',#159807,#159808,#83587,.T.); #199030=EDGE_CURVE('',#159809,#159807,#83588,.T.); #199031=EDGE_CURVE('',#159810,#159808,#83589,.T.); #199032=EDGE_CURVE('',#159809,#159810,#83590,.T.); #199033=EDGE_CURVE('',#159811,#159809,#83591,.T.); #199034=EDGE_CURVE('',#159812,#159810,#83592,.T.); #199035=EDGE_CURVE('',#159811,#159812,#83593,.T.); #199036=EDGE_CURVE('',#159813,#159811,#83594,.T.); #199037=EDGE_CURVE('',#159814,#159812,#83595,.T.); #199038=EDGE_CURVE('',#159813,#159814,#83596,.T.); #199039=EDGE_CURVE('',#159815,#159813,#83597,.T.); #199040=EDGE_CURVE('',#159816,#159814,#83598,.T.); #199041=EDGE_CURVE('',#159815,#159816,#83599,.T.); #199042=EDGE_CURVE('',#159817,#159815,#83600,.T.); #199043=EDGE_CURVE('',#159818,#159816,#83601,.T.); #199044=EDGE_CURVE('',#159817,#159818,#83602,.T.); #199045=EDGE_CURVE('',#159819,#159817,#83603,.T.); #199046=EDGE_CURVE('',#159820,#159818,#83604,.T.); #199047=EDGE_CURVE('',#159819,#159820,#83605,.T.); #199048=EDGE_CURVE('',#159821,#159819,#83606,.T.); #199049=EDGE_CURVE('',#159822,#159820,#83607,.T.); #199050=EDGE_CURVE('',#159821,#159822,#83608,.T.); #199051=EDGE_CURVE('',#159823,#159821,#83609,.T.); #199052=EDGE_CURVE('',#159824,#159822,#83610,.T.); #199053=EDGE_CURVE('',#159823,#159824,#83611,.T.); #199054=EDGE_CURVE('',#159825,#159823,#83612,.T.); #199055=EDGE_CURVE('',#159826,#159824,#83613,.T.); #199056=EDGE_CURVE('',#159825,#159826,#83614,.T.); #199057=EDGE_CURVE('',#159827,#159825,#83615,.T.); #199058=EDGE_CURVE('',#159828,#159826,#83616,.T.); #199059=EDGE_CURVE('',#159827,#159828,#83617,.T.); #199060=EDGE_CURVE('',#159829,#159827,#83618,.T.); #199061=EDGE_CURVE('',#159830,#159828,#83619,.T.); #199062=EDGE_CURVE('',#159829,#159830,#83620,.T.); #199063=EDGE_CURVE('',#159831,#159829,#83621,.T.); #199064=EDGE_CURVE('',#159832,#159830,#83622,.T.); #199065=EDGE_CURVE('',#159831,#159832,#83623,.T.); #199066=EDGE_CURVE('',#159833,#159831,#83624,.T.); #199067=EDGE_CURVE('',#159834,#159832,#83625,.T.); #199068=EDGE_CURVE('',#159833,#159834,#83626,.T.); #199069=EDGE_CURVE('',#159835,#159833,#83627,.T.); #199070=EDGE_CURVE('',#159836,#159834,#83628,.T.); #199071=EDGE_CURVE('',#159835,#159836,#83629,.T.); #199072=EDGE_CURVE('',#159837,#159835,#83630,.T.); #199073=EDGE_CURVE('',#159838,#159836,#83631,.T.); #199074=EDGE_CURVE('',#159837,#159838,#83632,.T.); #199075=EDGE_CURVE('',#159839,#159837,#83633,.T.); #199076=EDGE_CURVE('',#159840,#159838,#83634,.T.); #199077=EDGE_CURVE('',#159839,#159840,#83635,.T.); #199078=EDGE_CURVE('',#159841,#159839,#83636,.T.); #199079=EDGE_CURVE('',#159842,#159840,#83637,.T.); #199080=EDGE_CURVE('',#159841,#159842,#83638,.T.); #199081=EDGE_CURVE('',#159843,#159841,#83639,.T.); #199082=EDGE_CURVE('',#159844,#159842,#83640,.T.); #199083=EDGE_CURVE('',#159843,#159844,#83641,.T.); #199084=EDGE_CURVE('',#159845,#159843,#83642,.T.); #199085=EDGE_CURVE('',#159846,#159844,#83643,.T.); #199086=EDGE_CURVE('',#159845,#159846,#83644,.T.); #199087=EDGE_CURVE('',#159847,#159845,#83645,.T.); #199088=EDGE_CURVE('',#159848,#159846,#83646,.T.); #199089=EDGE_CURVE('',#159847,#159848,#83647,.T.); #199090=EDGE_CURVE('',#159849,#159847,#83648,.T.); #199091=EDGE_CURVE('',#159850,#159848,#83649,.T.); #199092=EDGE_CURVE('',#159849,#159850,#83650,.T.); #199093=EDGE_CURVE('',#159851,#159849,#83651,.T.); #199094=EDGE_CURVE('',#159852,#159850,#83652,.T.); #199095=EDGE_CURVE('',#159851,#159852,#83653,.T.); #199096=EDGE_CURVE('',#159853,#159851,#83654,.T.); #199097=EDGE_CURVE('',#159854,#159852,#83655,.T.); #199098=EDGE_CURVE('',#159853,#159854,#83656,.T.); #199099=EDGE_CURVE('',#159855,#159853,#83657,.T.); #199100=EDGE_CURVE('',#159856,#159854,#83658,.T.); #199101=EDGE_CURVE('',#159855,#159856,#83659,.T.); #199102=EDGE_CURVE('',#159857,#159855,#83660,.T.); #199103=EDGE_CURVE('',#159858,#159856,#83661,.T.); #199104=EDGE_CURVE('',#159857,#159858,#83662,.T.); #199105=EDGE_CURVE('',#159859,#159857,#83663,.T.); #199106=EDGE_CURVE('',#159860,#159858,#83664,.T.); #199107=EDGE_CURVE('',#159859,#159860,#83665,.T.); #199108=EDGE_CURVE('',#159861,#159859,#83666,.T.); #199109=EDGE_CURVE('',#159862,#159860,#83667,.T.); #199110=EDGE_CURVE('',#159861,#159862,#83668,.T.); #199111=EDGE_CURVE('',#159863,#159861,#83669,.T.); #199112=EDGE_CURVE('',#159864,#159862,#83670,.T.); #199113=EDGE_CURVE('',#159863,#159864,#83671,.T.); #199114=EDGE_CURVE('',#159865,#159863,#83672,.T.); #199115=EDGE_CURVE('',#159866,#159864,#83673,.T.); #199116=EDGE_CURVE('',#159865,#159866,#83674,.T.); #199117=EDGE_CURVE('',#159867,#159865,#83675,.T.); #199118=EDGE_CURVE('',#159868,#159866,#83676,.T.); #199119=EDGE_CURVE('',#159867,#159868,#83677,.T.); #199120=EDGE_CURVE('',#159869,#159867,#83678,.T.); #199121=EDGE_CURVE('',#159870,#159868,#83679,.T.); #199122=EDGE_CURVE('',#159869,#159870,#83680,.T.); #199123=EDGE_CURVE('',#159871,#159869,#83681,.T.); #199124=EDGE_CURVE('',#159872,#159870,#83682,.T.); #199125=EDGE_CURVE('',#159871,#159872,#83683,.T.); #199126=EDGE_CURVE('',#159873,#159871,#83684,.T.); #199127=EDGE_CURVE('',#159874,#159872,#83685,.T.); #199128=EDGE_CURVE('',#159873,#159874,#83686,.T.); #199129=EDGE_CURVE('',#159875,#159873,#83687,.T.); #199130=EDGE_CURVE('',#159876,#159874,#83688,.T.); #199131=EDGE_CURVE('',#159875,#159876,#83689,.T.); #199132=EDGE_CURVE('',#159877,#159875,#83690,.T.); #199133=EDGE_CURVE('',#159878,#159876,#83691,.T.); #199134=EDGE_CURVE('',#159877,#159878,#83692,.T.); #199135=EDGE_CURVE('',#159879,#159877,#83693,.T.); #199136=EDGE_CURVE('',#159880,#159878,#83694,.T.); #199137=EDGE_CURVE('',#159879,#159880,#83695,.T.); #199138=EDGE_CURVE('',#159881,#159879,#83696,.T.); #199139=EDGE_CURVE('',#159882,#159880,#83697,.T.); #199140=EDGE_CURVE('',#159881,#159882,#83698,.T.); #199141=EDGE_CURVE('',#159883,#159881,#83699,.T.); #199142=EDGE_CURVE('',#159884,#159882,#83700,.T.); #199143=EDGE_CURVE('',#159883,#159884,#83701,.T.); #199144=EDGE_CURVE('',#159885,#159883,#83702,.T.); #199145=EDGE_CURVE('',#159886,#159884,#83703,.T.); #199146=EDGE_CURVE('',#159885,#159886,#83704,.T.); #199147=EDGE_CURVE('',#159887,#159885,#83705,.T.); #199148=EDGE_CURVE('',#159888,#159886,#83706,.T.); #199149=EDGE_CURVE('',#159887,#159888,#83707,.T.); #199150=EDGE_CURVE('',#159889,#159887,#83708,.T.); #199151=EDGE_CURVE('',#159890,#159888,#83709,.T.); #199152=EDGE_CURVE('',#159889,#159890,#83710,.T.); #199153=EDGE_CURVE('',#159891,#159889,#83711,.T.); #199154=EDGE_CURVE('',#159892,#159890,#83712,.T.); #199155=EDGE_CURVE('',#159891,#159892,#83713,.T.); #199156=EDGE_CURVE('',#159893,#159891,#83714,.T.); #199157=EDGE_CURVE('',#159894,#159892,#83715,.T.); #199158=EDGE_CURVE('',#159893,#159894,#83716,.T.); #199159=EDGE_CURVE('',#159895,#159893,#83717,.T.); #199160=EDGE_CURVE('',#159896,#159894,#83718,.T.); #199161=EDGE_CURVE('',#159895,#159896,#83719,.T.); #199162=EDGE_CURVE('',#159897,#159895,#83720,.T.); #199163=EDGE_CURVE('',#159898,#159896,#83721,.T.); #199164=EDGE_CURVE('',#159897,#159898,#83722,.T.); #199165=EDGE_CURVE('',#159899,#159897,#83723,.T.); #199166=EDGE_CURVE('',#159900,#159898,#83724,.T.); #199167=EDGE_CURVE('',#159899,#159900,#83725,.T.); #199168=EDGE_CURVE('',#159901,#159899,#83726,.T.); #199169=EDGE_CURVE('',#159902,#159900,#83727,.T.); #199170=EDGE_CURVE('',#159901,#159902,#83728,.T.); #199171=EDGE_CURVE('',#159903,#159901,#83729,.T.); #199172=EDGE_CURVE('',#159904,#159902,#83730,.T.); #199173=EDGE_CURVE('',#159903,#159904,#83731,.T.); #199174=EDGE_CURVE('',#159905,#159903,#83732,.T.); #199175=EDGE_CURVE('',#159906,#159904,#83733,.T.); #199176=EDGE_CURVE('',#159905,#159906,#83734,.T.); #199177=EDGE_CURVE('',#159907,#159905,#83735,.T.); #199178=EDGE_CURVE('',#159908,#159906,#83736,.T.); #199179=EDGE_CURVE('',#159907,#159908,#83737,.T.); #199180=EDGE_CURVE('',#159909,#159907,#83738,.T.); #199181=EDGE_CURVE('',#159910,#159908,#83739,.T.); #199182=EDGE_CURVE('',#159909,#159910,#83740,.T.); #199183=EDGE_CURVE('',#159911,#159909,#83741,.T.); #199184=EDGE_CURVE('',#159912,#159910,#83742,.T.); #199185=EDGE_CURVE('',#159911,#159912,#83743,.T.); #199186=EDGE_CURVE('',#159913,#159911,#83744,.T.); #199187=EDGE_CURVE('',#159914,#159912,#83745,.T.); #199188=EDGE_CURVE('',#159913,#159914,#83746,.T.); #199189=EDGE_CURVE('',#159915,#159913,#83747,.T.); #199190=EDGE_CURVE('',#159916,#159914,#83748,.T.); #199191=EDGE_CURVE('',#159915,#159916,#83749,.T.); #199192=EDGE_CURVE('',#159917,#159915,#83750,.T.); #199193=EDGE_CURVE('',#159918,#159916,#83751,.T.); #199194=EDGE_CURVE('',#159917,#159918,#83752,.T.); #199195=EDGE_CURVE('',#159919,#159917,#83753,.T.); #199196=EDGE_CURVE('',#159920,#159918,#83754,.T.); #199197=EDGE_CURVE('',#159919,#159920,#83755,.T.); #199198=EDGE_CURVE('',#159921,#159919,#83756,.T.); #199199=EDGE_CURVE('',#159922,#159920,#83757,.T.); #199200=EDGE_CURVE('',#159921,#159922,#83758,.T.); #199201=EDGE_CURVE('',#159923,#159921,#83759,.T.); #199202=EDGE_CURVE('',#159924,#159922,#83760,.T.); #199203=EDGE_CURVE('',#159923,#159924,#83761,.T.); #199204=EDGE_CURVE('',#159925,#159923,#83762,.T.); #199205=EDGE_CURVE('',#159926,#159924,#83763,.T.); #199206=EDGE_CURVE('',#159925,#159926,#83764,.T.); #199207=EDGE_CURVE('',#159927,#159925,#83765,.T.); #199208=EDGE_CURVE('',#159928,#159926,#83766,.T.); #199209=EDGE_CURVE('',#159927,#159928,#83767,.T.); #199210=EDGE_CURVE('',#159929,#159927,#83768,.T.); #199211=EDGE_CURVE('',#159930,#159928,#83769,.T.); #199212=EDGE_CURVE('',#159929,#159930,#83770,.T.); #199213=EDGE_CURVE('',#159931,#159929,#83771,.T.); #199214=EDGE_CURVE('',#159932,#159930,#83772,.T.); #199215=EDGE_CURVE('',#159931,#159932,#83773,.T.); #199216=EDGE_CURVE('',#159933,#159931,#83774,.T.); #199217=EDGE_CURVE('',#159934,#159932,#83775,.T.); #199218=EDGE_CURVE('',#159933,#159934,#83776,.T.); #199219=EDGE_CURVE('',#159935,#159933,#83777,.T.); #199220=EDGE_CURVE('',#159936,#159934,#83778,.T.); #199221=EDGE_CURVE('',#159935,#159936,#83779,.T.); #199222=EDGE_CURVE('',#159937,#159935,#83780,.T.); #199223=EDGE_CURVE('',#159938,#159936,#83781,.T.); #199224=EDGE_CURVE('',#159937,#159938,#83782,.T.); #199225=EDGE_CURVE('',#159939,#159937,#83783,.T.); #199226=EDGE_CURVE('',#159940,#159938,#83784,.T.); #199227=EDGE_CURVE('',#159939,#159940,#83785,.T.); #199228=EDGE_CURVE('',#159941,#159939,#83786,.T.); #199229=EDGE_CURVE('',#159942,#159940,#83787,.T.); #199230=EDGE_CURVE('',#159941,#159942,#83788,.T.); #199231=EDGE_CURVE('',#159943,#159941,#83789,.T.); #199232=EDGE_CURVE('',#159944,#159942,#83790,.T.); #199233=EDGE_CURVE('',#159943,#159944,#83791,.T.); #199234=EDGE_CURVE('',#159945,#159943,#83792,.T.); #199235=EDGE_CURVE('',#159946,#159944,#83793,.T.); #199236=EDGE_CURVE('',#159945,#159946,#83794,.T.); #199237=EDGE_CURVE('',#159947,#159945,#83795,.T.); #199238=EDGE_CURVE('',#159948,#159946,#83796,.T.); #199239=EDGE_CURVE('',#159947,#159948,#83797,.T.); #199240=EDGE_CURVE('',#159949,#159947,#83798,.T.); #199241=EDGE_CURVE('',#159950,#159948,#83799,.T.); #199242=EDGE_CURVE('',#159949,#159950,#83800,.T.); #199243=EDGE_CURVE('',#159951,#159949,#83801,.T.); #199244=EDGE_CURVE('',#159952,#159950,#83802,.T.); #199245=EDGE_CURVE('',#159951,#159952,#83803,.T.); #199246=EDGE_CURVE('',#159953,#159951,#83804,.T.); #199247=EDGE_CURVE('',#159954,#159952,#83805,.T.); #199248=EDGE_CURVE('',#159953,#159954,#83806,.T.); #199249=EDGE_CURVE('',#159955,#159953,#83807,.T.); #199250=EDGE_CURVE('',#159956,#159954,#83808,.T.); #199251=EDGE_CURVE('',#159955,#159956,#83809,.T.); #199252=EDGE_CURVE('',#159957,#159955,#83810,.T.); #199253=EDGE_CURVE('',#159958,#159956,#83811,.T.); #199254=EDGE_CURVE('',#159957,#159958,#83812,.T.); #199255=EDGE_CURVE('',#159959,#159957,#83813,.T.); #199256=EDGE_CURVE('',#159960,#159958,#83814,.T.); #199257=EDGE_CURVE('',#159959,#159960,#83815,.T.); #199258=EDGE_CURVE('',#159961,#159959,#83816,.T.); #199259=EDGE_CURVE('',#159962,#159960,#83817,.T.); #199260=EDGE_CURVE('',#159961,#159962,#83818,.T.); #199261=EDGE_CURVE('',#159963,#159961,#83819,.T.); #199262=EDGE_CURVE('',#159964,#159962,#83820,.T.); #199263=EDGE_CURVE('',#159963,#159964,#83821,.T.); #199264=EDGE_CURVE('',#159965,#159963,#83822,.T.); #199265=EDGE_CURVE('',#159966,#159964,#83823,.T.); #199266=EDGE_CURVE('',#159965,#159966,#83824,.T.); #199267=EDGE_CURVE('',#159967,#159965,#83825,.T.); #199268=EDGE_CURVE('',#159968,#159966,#83826,.T.); #199269=EDGE_CURVE('',#159967,#159968,#83827,.T.); #199270=EDGE_CURVE('',#159969,#159967,#83828,.T.); #199271=EDGE_CURVE('',#159970,#159968,#83829,.T.); #199272=EDGE_CURVE('',#159969,#159970,#83830,.T.); #199273=EDGE_CURVE('',#159971,#159969,#83831,.T.); #199274=EDGE_CURVE('',#159972,#159970,#83832,.T.); #199275=EDGE_CURVE('',#159971,#159972,#83833,.T.); #199276=EDGE_CURVE('',#159973,#159971,#83834,.T.); #199277=EDGE_CURVE('',#159974,#159972,#83835,.T.); #199278=EDGE_CURVE('',#159973,#159974,#83836,.T.); #199279=EDGE_CURVE('',#159975,#159973,#83837,.T.); #199280=EDGE_CURVE('',#159976,#159974,#83838,.T.); #199281=EDGE_CURVE('',#159975,#159976,#83839,.T.); #199282=EDGE_CURVE('',#159977,#159975,#83840,.T.); #199283=EDGE_CURVE('',#159978,#159976,#83841,.T.); #199284=EDGE_CURVE('',#159977,#159978,#83842,.T.); #199285=EDGE_CURVE('',#159979,#159977,#83843,.T.); #199286=EDGE_CURVE('',#159980,#159978,#83844,.T.); #199287=EDGE_CURVE('',#159979,#159980,#83845,.T.); #199288=EDGE_CURVE('',#159981,#159979,#83846,.T.); #199289=EDGE_CURVE('',#159982,#159980,#83847,.T.); #199290=EDGE_CURVE('',#159981,#159982,#83848,.T.); #199291=EDGE_CURVE('',#159983,#159981,#83849,.T.); #199292=EDGE_CURVE('',#159984,#159982,#83850,.T.); #199293=EDGE_CURVE('',#159983,#159984,#83851,.T.); #199294=EDGE_CURVE('',#159985,#159983,#83852,.T.); #199295=EDGE_CURVE('',#159986,#159984,#83853,.T.); #199296=EDGE_CURVE('',#159985,#159986,#83854,.T.); #199297=EDGE_CURVE('',#159987,#159985,#83855,.T.); #199298=EDGE_CURVE('',#159988,#159986,#83856,.T.); #199299=EDGE_CURVE('',#159987,#159988,#83857,.T.); #199300=EDGE_CURVE('',#159989,#159987,#83858,.T.); #199301=EDGE_CURVE('',#159990,#159988,#83859,.T.); #199302=EDGE_CURVE('',#159989,#159990,#83860,.T.); #199303=EDGE_CURVE('',#159991,#159989,#83861,.T.); #199304=EDGE_CURVE('',#159992,#159990,#83862,.T.); #199305=EDGE_CURVE('',#159991,#159992,#83863,.T.); #199306=EDGE_CURVE('',#159993,#159991,#83864,.T.); #199307=EDGE_CURVE('',#159994,#159992,#83865,.T.); #199308=EDGE_CURVE('',#159993,#159994,#83866,.T.); #199309=EDGE_CURVE('',#159995,#159993,#83867,.T.); #199310=EDGE_CURVE('',#159996,#159994,#83868,.T.); #199311=EDGE_CURVE('',#159995,#159996,#83869,.T.); #199312=EDGE_CURVE('',#159997,#159995,#83870,.T.); #199313=EDGE_CURVE('',#159998,#159996,#83871,.T.); #199314=EDGE_CURVE('',#159997,#159998,#83872,.T.); #199315=EDGE_CURVE('',#159999,#159997,#83873,.T.); #199316=EDGE_CURVE('',#160000,#159998,#83874,.T.); #199317=EDGE_CURVE('',#159999,#160000,#83875,.T.); #199318=EDGE_CURVE('',#160001,#159999,#83876,.T.); #199319=EDGE_CURVE('',#160002,#160000,#83877,.T.); #199320=EDGE_CURVE('',#160001,#160002,#83878,.T.); #199321=EDGE_CURVE('',#160003,#160001,#83879,.T.); #199322=EDGE_CURVE('',#160004,#160002,#83880,.T.); #199323=EDGE_CURVE('',#160003,#160004,#83881,.T.); #199324=EDGE_CURVE('',#160005,#160003,#83882,.T.); #199325=EDGE_CURVE('',#160006,#160004,#83883,.T.); #199326=EDGE_CURVE('',#160005,#160006,#83884,.T.); #199327=EDGE_CURVE('',#160007,#160005,#83885,.T.); #199328=EDGE_CURVE('',#160008,#160006,#83886,.T.); #199329=EDGE_CURVE('',#160007,#160008,#83887,.T.); #199330=EDGE_CURVE('',#160009,#160007,#83888,.T.); #199331=EDGE_CURVE('',#160010,#160008,#83889,.T.); #199332=EDGE_CURVE('',#160009,#160010,#83890,.T.); #199333=EDGE_CURVE('',#160011,#160009,#83891,.T.); #199334=EDGE_CURVE('',#160012,#160010,#83892,.T.); #199335=EDGE_CURVE('',#160011,#160012,#83893,.T.); #199336=EDGE_CURVE('',#160013,#160011,#83894,.T.); #199337=EDGE_CURVE('',#160014,#160012,#83895,.T.); #199338=EDGE_CURVE('',#160013,#160014,#83896,.T.); #199339=EDGE_CURVE('',#160015,#160013,#83897,.T.); #199340=EDGE_CURVE('',#160016,#160014,#83898,.T.); #199341=EDGE_CURVE('',#160015,#160016,#83899,.T.); #199342=EDGE_CURVE('',#160017,#160015,#83900,.T.); #199343=EDGE_CURVE('',#160018,#160016,#83901,.T.); #199344=EDGE_CURVE('',#160017,#160018,#83902,.T.); #199345=EDGE_CURVE('',#160019,#160017,#83903,.T.); #199346=EDGE_CURVE('',#160020,#160018,#83904,.T.); #199347=EDGE_CURVE('',#160019,#160020,#83905,.T.); #199348=EDGE_CURVE('',#160021,#160019,#83906,.T.); #199349=EDGE_CURVE('',#160022,#160020,#83907,.T.); #199350=EDGE_CURVE('',#160021,#160022,#83908,.T.); #199351=EDGE_CURVE('',#160023,#160021,#83909,.T.); #199352=EDGE_CURVE('',#160024,#160022,#83910,.T.); #199353=EDGE_CURVE('',#160023,#160024,#83911,.T.); #199354=EDGE_CURVE('',#160025,#160023,#83912,.T.); #199355=EDGE_CURVE('',#160026,#160024,#83913,.T.); #199356=EDGE_CURVE('',#160025,#160026,#83914,.T.); #199357=EDGE_CURVE('',#160027,#160025,#83915,.T.); #199358=EDGE_CURVE('',#160028,#160026,#83916,.T.); #199359=EDGE_CURVE('',#160027,#160028,#83917,.T.); #199360=EDGE_CURVE('',#160029,#160027,#83918,.T.); #199361=EDGE_CURVE('',#160030,#160028,#83919,.T.); #199362=EDGE_CURVE('',#160029,#160030,#83920,.T.); #199363=EDGE_CURVE('',#160031,#160029,#83921,.T.); #199364=EDGE_CURVE('',#160032,#160030,#83922,.T.); #199365=EDGE_CURVE('',#160031,#160032,#83923,.T.); #199366=EDGE_CURVE('',#160033,#160031,#83924,.T.); #199367=EDGE_CURVE('',#160034,#160032,#83925,.T.); #199368=EDGE_CURVE('',#160033,#160034,#83926,.T.); #199369=EDGE_CURVE('',#160035,#160033,#83927,.T.); #199370=EDGE_CURVE('',#160036,#160034,#83928,.T.); #199371=EDGE_CURVE('',#160035,#160036,#83929,.T.); #199372=EDGE_CURVE('',#160037,#160035,#83930,.T.); #199373=EDGE_CURVE('',#160038,#160036,#83931,.T.); #199374=EDGE_CURVE('',#160037,#160038,#83932,.T.); #199375=EDGE_CURVE('',#160039,#160037,#83933,.T.); #199376=EDGE_CURVE('',#160040,#160038,#83934,.T.); #199377=EDGE_CURVE('',#160039,#160040,#83935,.T.); #199378=EDGE_CURVE('',#160041,#160039,#83936,.T.); #199379=EDGE_CURVE('',#160042,#160040,#83937,.T.); #199380=EDGE_CURVE('',#160041,#160042,#83938,.T.); #199381=EDGE_CURVE('',#160043,#160041,#83939,.T.); #199382=EDGE_CURVE('',#160044,#160042,#83940,.T.); #199383=EDGE_CURVE('',#160043,#160044,#83941,.T.); #199384=EDGE_CURVE('',#160045,#160043,#83942,.T.); #199385=EDGE_CURVE('',#160046,#160044,#83943,.T.); #199386=EDGE_CURVE('',#160045,#160046,#83944,.T.); #199387=EDGE_CURVE('',#160047,#160045,#83945,.T.); #199388=EDGE_CURVE('',#160048,#160046,#83946,.T.); #199389=EDGE_CURVE('',#160047,#160048,#83947,.T.); #199390=EDGE_CURVE('',#160049,#160047,#83948,.T.); #199391=EDGE_CURVE('',#160050,#160048,#83949,.T.); #199392=EDGE_CURVE('',#160049,#160050,#83950,.T.); #199393=EDGE_CURVE('',#160051,#160049,#83951,.T.); #199394=EDGE_CURVE('',#160052,#160050,#83952,.T.); #199395=EDGE_CURVE('',#160051,#160052,#83953,.T.); #199396=EDGE_CURVE('',#160053,#160051,#83954,.T.); #199397=EDGE_CURVE('',#160054,#160052,#83955,.T.); #199398=EDGE_CURVE('',#160053,#160054,#83956,.T.); #199399=EDGE_CURVE('',#160055,#160053,#83957,.T.); #199400=EDGE_CURVE('',#160056,#160054,#83958,.T.); #199401=EDGE_CURVE('',#160055,#160056,#83959,.T.); #199402=EDGE_CURVE('',#160057,#160055,#83960,.T.); #199403=EDGE_CURVE('',#160058,#160056,#83961,.T.); #199404=EDGE_CURVE('',#160057,#160058,#83962,.T.); #199405=EDGE_CURVE('',#160059,#160057,#83963,.T.); #199406=EDGE_CURVE('',#160060,#160058,#83964,.T.); #199407=EDGE_CURVE('',#160059,#160060,#83965,.T.); #199408=EDGE_CURVE('',#160061,#160059,#83966,.T.); #199409=EDGE_CURVE('',#160062,#160060,#83967,.T.); #199410=EDGE_CURVE('',#160061,#160062,#83968,.T.); #199411=EDGE_CURVE('',#160063,#160061,#83969,.T.); #199412=EDGE_CURVE('',#160064,#160062,#83970,.T.); #199413=EDGE_CURVE('',#160063,#160064,#83971,.T.); #199414=EDGE_CURVE('',#160065,#160063,#83972,.T.); #199415=EDGE_CURVE('',#160066,#160064,#83973,.T.); #199416=EDGE_CURVE('',#160065,#160066,#83974,.T.); #199417=EDGE_CURVE('',#160067,#160065,#83975,.T.); #199418=EDGE_CURVE('',#160068,#160066,#83976,.T.); #199419=EDGE_CURVE('',#160067,#160068,#83977,.T.); #199420=EDGE_CURVE('',#160069,#160067,#83978,.T.); #199421=EDGE_CURVE('',#160070,#160068,#83979,.T.); #199422=EDGE_CURVE('',#160069,#160070,#83980,.T.); #199423=EDGE_CURVE('',#160071,#160069,#83981,.T.); #199424=EDGE_CURVE('',#160072,#160070,#83982,.T.); #199425=EDGE_CURVE('',#160071,#160072,#83983,.T.); #199426=EDGE_CURVE('',#160073,#160071,#83984,.T.); #199427=EDGE_CURVE('',#160074,#160072,#83985,.T.); #199428=EDGE_CURVE('',#160073,#160074,#83986,.T.); #199429=EDGE_CURVE('',#160075,#160073,#83987,.T.); #199430=EDGE_CURVE('',#160076,#160074,#83988,.T.); #199431=EDGE_CURVE('',#160075,#160076,#83989,.T.); #199432=EDGE_CURVE('',#160077,#160075,#83990,.T.); #199433=EDGE_CURVE('',#160078,#160076,#83991,.T.); #199434=EDGE_CURVE('',#160077,#160078,#83992,.T.); #199435=EDGE_CURVE('',#160079,#160077,#83993,.T.); #199436=EDGE_CURVE('',#160080,#160078,#83994,.T.); #199437=EDGE_CURVE('',#160079,#160080,#83995,.T.); #199438=EDGE_CURVE('',#160081,#160079,#83996,.T.); #199439=EDGE_CURVE('',#160082,#160080,#83997,.T.); #199440=EDGE_CURVE('',#160081,#160082,#83998,.T.); #199441=EDGE_CURVE('',#160083,#160081,#83999,.T.); #199442=EDGE_CURVE('',#160084,#160082,#84000,.T.); #199443=EDGE_CURVE('',#160083,#160084,#84001,.T.); #199444=EDGE_CURVE('',#160085,#160083,#84002,.T.); #199445=EDGE_CURVE('',#160086,#160084,#84003,.T.); #199446=EDGE_CURVE('',#160085,#160086,#84004,.T.); #199447=EDGE_CURVE('',#160087,#160085,#84005,.T.); #199448=EDGE_CURVE('',#160088,#160086,#84006,.T.); #199449=EDGE_CURVE('',#160087,#160088,#84007,.T.); #199450=EDGE_CURVE('',#160089,#160087,#84008,.T.); #199451=EDGE_CURVE('',#160090,#160088,#84009,.T.); #199452=EDGE_CURVE('',#160089,#160090,#84010,.T.); #199453=EDGE_CURVE('',#160091,#160089,#84011,.T.); #199454=EDGE_CURVE('',#160092,#160090,#84012,.T.); #199455=EDGE_CURVE('',#160091,#160092,#84013,.T.); #199456=EDGE_CURVE('',#160093,#160091,#84014,.T.); #199457=EDGE_CURVE('',#160094,#160092,#84015,.T.); #199458=EDGE_CURVE('',#160093,#160094,#84016,.T.); #199459=EDGE_CURVE('',#160095,#160093,#84017,.T.); #199460=EDGE_CURVE('',#160096,#160094,#84018,.T.); #199461=EDGE_CURVE('',#160095,#160096,#84019,.T.); #199462=EDGE_CURVE('',#160097,#160095,#84020,.T.); #199463=EDGE_CURVE('',#160098,#160096,#84021,.T.); #199464=EDGE_CURVE('',#160097,#160098,#84022,.T.); #199465=EDGE_CURVE('',#160099,#160097,#84023,.T.); #199466=EDGE_CURVE('',#160100,#160098,#84024,.T.); #199467=EDGE_CURVE('',#160099,#160100,#84025,.T.); #199468=EDGE_CURVE('',#160101,#160099,#84026,.T.); #199469=EDGE_CURVE('',#160102,#160100,#84027,.T.); #199470=EDGE_CURVE('',#160101,#160102,#84028,.T.); #199471=EDGE_CURVE('',#160103,#160101,#84029,.T.); #199472=EDGE_CURVE('',#160104,#160102,#84030,.T.); #199473=EDGE_CURVE('',#160103,#160104,#84031,.T.); #199474=EDGE_CURVE('',#160105,#160103,#84032,.T.); #199475=EDGE_CURVE('',#160106,#160104,#84033,.T.); #199476=EDGE_CURVE('',#160105,#160106,#84034,.T.); #199477=EDGE_CURVE('',#160107,#160105,#84035,.T.); #199478=EDGE_CURVE('',#160108,#160106,#84036,.T.); #199479=EDGE_CURVE('',#160107,#160108,#84037,.T.); #199480=EDGE_CURVE('',#160109,#160107,#84038,.T.); #199481=EDGE_CURVE('',#160110,#160108,#84039,.T.); #199482=EDGE_CURVE('',#160109,#160110,#84040,.T.); #199483=EDGE_CURVE('',#160111,#160109,#84041,.T.); #199484=EDGE_CURVE('',#160112,#160110,#84042,.T.); #199485=EDGE_CURVE('',#160111,#160112,#84043,.T.); #199486=EDGE_CURVE('',#160113,#160111,#84044,.T.); #199487=EDGE_CURVE('',#160114,#160112,#84045,.T.); #199488=EDGE_CURVE('',#160113,#160114,#84046,.T.); #199489=EDGE_CURVE('',#160115,#160113,#84047,.T.); #199490=EDGE_CURVE('',#160116,#160114,#84048,.T.); #199491=EDGE_CURVE('',#160115,#160116,#84049,.T.); #199492=EDGE_CURVE('',#160117,#160115,#84050,.T.); #199493=EDGE_CURVE('',#160118,#160116,#84051,.T.); #199494=EDGE_CURVE('',#160117,#160118,#84052,.T.); #199495=EDGE_CURVE('',#160119,#160117,#84053,.T.); #199496=EDGE_CURVE('',#160120,#160118,#84054,.T.); #199497=EDGE_CURVE('',#160119,#160120,#84055,.T.); #199498=EDGE_CURVE('',#160121,#160119,#84056,.T.); #199499=EDGE_CURVE('',#160122,#160120,#84057,.T.); #199500=EDGE_CURVE('',#160121,#160122,#84058,.T.); #199501=EDGE_CURVE('',#160123,#160121,#84059,.T.); #199502=EDGE_CURVE('',#160124,#160122,#84060,.T.); #199503=EDGE_CURVE('',#160123,#160124,#84061,.T.); #199504=EDGE_CURVE('',#160125,#160123,#84062,.T.); #199505=EDGE_CURVE('',#160126,#160124,#84063,.T.); #199506=EDGE_CURVE('',#160125,#160126,#84064,.T.); #199507=EDGE_CURVE('',#160127,#160125,#84065,.T.); #199508=EDGE_CURVE('',#160128,#160126,#84066,.T.); #199509=EDGE_CURVE('',#160127,#160128,#84067,.T.); #199510=EDGE_CURVE('',#160129,#160127,#84068,.T.); #199511=EDGE_CURVE('',#160130,#160128,#84069,.T.); #199512=EDGE_CURVE('',#160129,#160130,#84070,.T.); #199513=EDGE_CURVE('',#160131,#160129,#84071,.T.); #199514=EDGE_CURVE('',#160132,#160130,#84072,.T.); #199515=EDGE_CURVE('',#160131,#160132,#84073,.T.); #199516=EDGE_CURVE('',#160133,#160131,#84074,.T.); #199517=EDGE_CURVE('',#160134,#160132,#84075,.T.); #199518=EDGE_CURVE('',#160133,#160134,#84076,.T.); #199519=EDGE_CURVE('',#160135,#160133,#84077,.T.); #199520=EDGE_CURVE('',#160136,#160134,#84078,.T.); #199521=EDGE_CURVE('',#160135,#160136,#84079,.T.); #199522=EDGE_CURVE('',#160137,#160135,#84080,.T.); #199523=EDGE_CURVE('',#160138,#160136,#84081,.T.); #199524=EDGE_CURVE('',#160137,#160138,#84082,.T.); #199525=EDGE_CURVE('',#160139,#160137,#84083,.T.); #199526=EDGE_CURVE('',#160140,#160138,#84084,.T.); #199527=EDGE_CURVE('',#160139,#160140,#84085,.T.); #199528=EDGE_CURVE('',#160141,#160139,#84086,.T.); #199529=EDGE_CURVE('',#160142,#160140,#84087,.T.); #199530=EDGE_CURVE('',#160141,#160142,#84088,.T.); #199531=EDGE_CURVE('',#160143,#160141,#84089,.T.); #199532=EDGE_CURVE('',#160144,#160142,#84090,.T.); #199533=EDGE_CURVE('',#160143,#160144,#84091,.T.); #199534=EDGE_CURVE('',#160145,#160143,#84092,.T.); #199535=EDGE_CURVE('',#160146,#160144,#84093,.T.); #199536=EDGE_CURVE('',#160145,#160146,#84094,.T.); #199537=EDGE_CURVE('',#160147,#160145,#84095,.T.); #199538=EDGE_CURVE('',#160148,#160146,#84096,.T.); #199539=EDGE_CURVE('',#160147,#160148,#84097,.T.); #199540=EDGE_CURVE('',#160149,#160147,#84098,.T.); #199541=EDGE_CURVE('',#160150,#160148,#84099,.T.); #199542=EDGE_CURVE('',#160149,#160150,#84100,.T.); #199543=EDGE_CURVE('',#160151,#160149,#84101,.T.); #199544=EDGE_CURVE('',#160152,#160150,#84102,.T.); #199545=EDGE_CURVE('',#160151,#160152,#84103,.T.); #199546=EDGE_CURVE('',#160153,#160151,#84104,.T.); #199547=EDGE_CURVE('',#160154,#160152,#84105,.T.); #199548=EDGE_CURVE('',#160153,#160154,#84106,.T.); #199549=EDGE_CURVE('',#160155,#160153,#84107,.T.); #199550=EDGE_CURVE('',#160156,#160154,#84108,.T.); #199551=EDGE_CURVE('',#160155,#160156,#84109,.T.); #199552=EDGE_CURVE('',#160157,#160155,#84110,.T.); #199553=EDGE_CURVE('',#160158,#160156,#84111,.T.); #199554=EDGE_CURVE('',#160157,#160158,#84112,.T.); #199555=EDGE_CURVE('',#160159,#160157,#84113,.T.); #199556=EDGE_CURVE('',#160160,#160158,#84114,.T.); #199557=EDGE_CURVE('',#160159,#160160,#84115,.T.); #199558=EDGE_CURVE('',#160161,#160159,#84116,.T.); #199559=EDGE_CURVE('',#160162,#160160,#84117,.T.); #199560=EDGE_CURVE('',#160161,#160162,#84118,.T.); #199561=EDGE_CURVE('',#160163,#160161,#84119,.T.); #199562=EDGE_CURVE('',#160164,#160162,#84120,.T.); #199563=EDGE_CURVE('',#160163,#160164,#84121,.T.); #199564=EDGE_CURVE('',#160165,#160163,#84122,.T.); #199565=EDGE_CURVE('',#160166,#160164,#84123,.T.); #199566=EDGE_CURVE('',#160165,#160166,#84124,.T.); #199567=EDGE_CURVE('',#160167,#160165,#84125,.T.); #199568=EDGE_CURVE('',#160168,#160166,#84126,.T.); #199569=EDGE_CURVE('',#160167,#160168,#84127,.T.); #199570=EDGE_CURVE('',#160169,#160167,#84128,.T.); #199571=EDGE_CURVE('',#160170,#160168,#84129,.T.); #199572=EDGE_CURVE('',#160169,#160170,#84130,.T.); #199573=EDGE_CURVE('',#160171,#160169,#84131,.T.); #199574=EDGE_CURVE('',#160172,#160170,#84132,.T.); #199575=EDGE_CURVE('',#160171,#160172,#84133,.T.); #199576=EDGE_CURVE('',#160173,#160171,#84134,.T.); #199577=EDGE_CURVE('',#160174,#160172,#84135,.T.); #199578=EDGE_CURVE('',#160173,#160174,#84136,.T.); #199579=EDGE_CURVE('',#160175,#160173,#84137,.T.); #199580=EDGE_CURVE('',#160176,#160174,#84138,.T.); #199581=EDGE_CURVE('',#160175,#160176,#84139,.T.); #199582=EDGE_CURVE('',#160177,#160175,#84140,.T.); #199583=EDGE_CURVE('',#160178,#160176,#84141,.T.); #199584=EDGE_CURVE('',#160177,#160178,#84142,.T.); #199585=EDGE_CURVE('',#160179,#160177,#84143,.T.); #199586=EDGE_CURVE('',#160180,#160178,#84144,.T.); #199587=EDGE_CURVE('',#160179,#160180,#84145,.T.); #199588=EDGE_CURVE('',#160181,#160179,#84146,.T.); #199589=EDGE_CURVE('',#160182,#160180,#84147,.T.); #199590=EDGE_CURVE('',#160181,#160182,#84148,.T.); #199591=EDGE_CURVE('',#160183,#160181,#84149,.T.); #199592=EDGE_CURVE('',#160184,#160182,#84150,.T.); #199593=EDGE_CURVE('',#160183,#160184,#84151,.T.); #199594=EDGE_CURVE('',#160185,#160183,#84152,.T.); #199595=EDGE_CURVE('',#160186,#160184,#84153,.T.); #199596=EDGE_CURVE('',#160185,#160186,#84154,.T.); #199597=EDGE_CURVE('',#160187,#160185,#84155,.T.); #199598=EDGE_CURVE('',#160188,#160186,#84156,.T.); #199599=EDGE_CURVE('',#160187,#160188,#84157,.T.); #199600=EDGE_CURVE('',#159688,#160187,#84158,.T.); #199601=EDGE_CURVE('',#159689,#160188,#84159,.T.); #199602=EDGE_CURVE('',#160189,#160190,#84160,.T.); #199603=EDGE_CURVE('',#160190,#160191,#84161,.T.); #199604=EDGE_CURVE('',#160192,#160191,#84162,.T.); #199605=EDGE_CURVE('',#160189,#160192,#84163,.T.); #199606=EDGE_CURVE('',#160193,#160189,#84164,.T.); #199607=EDGE_CURVE('',#160194,#160192,#84165,.T.); #199608=EDGE_CURVE('',#160193,#160194,#84166,.T.); #199609=EDGE_CURVE('',#160195,#160193,#84167,.T.); #199610=EDGE_CURVE('',#160196,#160194,#84168,.T.); #199611=EDGE_CURVE('',#160195,#160196,#84169,.T.); #199612=EDGE_CURVE('',#160197,#160195,#84170,.T.); #199613=EDGE_CURVE('',#160198,#160196,#84171,.T.); #199614=EDGE_CURVE('',#160197,#160198,#84172,.T.); #199615=EDGE_CURVE('',#160199,#160197,#84173,.T.); #199616=EDGE_CURVE('',#160200,#160198,#84174,.T.); #199617=EDGE_CURVE('',#160199,#160200,#84175,.T.); #199618=EDGE_CURVE('',#160201,#160199,#84176,.T.); #199619=EDGE_CURVE('',#160202,#160200,#84177,.T.); #199620=EDGE_CURVE('',#160201,#160202,#84178,.T.); #199621=EDGE_CURVE('',#160203,#160201,#84179,.T.); #199622=EDGE_CURVE('',#160204,#160202,#84180,.T.); #199623=EDGE_CURVE('',#160203,#160204,#84181,.T.); #199624=EDGE_CURVE('',#160205,#160203,#84182,.T.); #199625=EDGE_CURVE('',#160206,#160204,#84183,.T.); #199626=EDGE_CURVE('',#160205,#160206,#84184,.T.); #199627=EDGE_CURVE('',#160207,#160205,#84185,.T.); #199628=EDGE_CURVE('',#160208,#160206,#84186,.T.); #199629=EDGE_CURVE('',#160207,#160208,#84187,.T.); #199630=EDGE_CURVE('',#160209,#160207,#84188,.T.); #199631=EDGE_CURVE('',#160210,#160208,#84189,.T.); #199632=EDGE_CURVE('',#160209,#160210,#84190,.T.); #199633=EDGE_CURVE('',#160211,#160209,#84191,.T.); #199634=EDGE_CURVE('',#160212,#160210,#84192,.T.); #199635=EDGE_CURVE('',#160211,#160212,#84193,.T.); #199636=EDGE_CURVE('',#160213,#160211,#84194,.T.); #199637=EDGE_CURVE('',#160214,#160212,#84195,.T.); #199638=EDGE_CURVE('',#160213,#160214,#84196,.T.); #199639=EDGE_CURVE('',#160215,#160213,#84197,.T.); #199640=EDGE_CURVE('',#160216,#160214,#84198,.T.); #199641=EDGE_CURVE('',#160215,#160216,#84199,.T.); #199642=EDGE_CURVE('',#160217,#160215,#84200,.T.); #199643=EDGE_CURVE('',#160218,#160216,#84201,.T.); #199644=EDGE_CURVE('',#160217,#160218,#84202,.T.); #199645=EDGE_CURVE('',#160219,#160217,#84203,.T.); #199646=EDGE_CURVE('',#160220,#160218,#84204,.T.); #199647=EDGE_CURVE('',#160219,#160220,#84205,.T.); #199648=EDGE_CURVE('',#160221,#160219,#84206,.T.); #199649=EDGE_CURVE('',#160222,#160220,#84207,.T.); #199650=EDGE_CURVE('',#160221,#160222,#84208,.T.); #199651=EDGE_CURVE('',#160223,#160221,#84209,.T.); #199652=EDGE_CURVE('',#160224,#160222,#84210,.T.); #199653=EDGE_CURVE('',#160223,#160224,#84211,.T.); #199654=EDGE_CURVE('',#160225,#160223,#84212,.T.); #199655=EDGE_CURVE('',#160226,#160224,#84213,.T.); #199656=EDGE_CURVE('',#160225,#160226,#84214,.T.); #199657=EDGE_CURVE('',#160227,#160225,#84215,.T.); #199658=EDGE_CURVE('',#160228,#160226,#84216,.T.); #199659=EDGE_CURVE('',#160227,#160228,#84217,.T.); #199660=EDGE_CURVE('',#160229,#160227,#84218,.T.); #199661=EDGE_CURVE('',#160230,#160228,#84219,.T.); #199662=EDGE_CURVE('',#160229,#160230,#84220,.T.); #199663=EDGE_CURVE('',#160231,#160229,#84221,.T.); #199664=EDGE_CURVE('',#160232,#160230,#84222,.T.); #199665=EDGE_CURVE('',#160231,#160232,#84223,.T.); #199666=EDGE_CURVE('',#160233,#160231,#84224,.T.); #199667=EDGE_CURVE('',#160234,#160232,#84225,.T.); #199668=EDGE_CURVE('',#160233,#160234,#84226,.T.); #199669=EDGE_CURVE('',#160235,#160233,#84227,.T.); #199670=EDGE_CURVE('',#160236,#160234,#84228,.T.); #199671=EDGE_CURVE('',#160235,#160236,#84229,.T.); #199672=EDGE_CURVE('',#160237,#160235,#84230,.T.); #199673=EDGE_CURVE('',#160238,#160236,#84231,.T.); #199674=EDGE_CURVE('',#160237,#160238,#84232,.T.); #199675=EDGE_CURVE('',#160239,#160237,#84233,.T.); #199676=EDGE_CURVE('',#160240,#160238,#84234,.T.); #199677=EDGE_CURVE('',#160239,#160240,#84235,.T.); #199678=EDGE_CURVE('',#160241,#160239,#84236,.T.); #199679=EDGE_CURVE('',#160242,#160240,#84237,.T.); #199680=EDGE_CURVE('',#160241,#160242,#84238,.T.); #199681=EDGE_CURVE('',#160243,#160241,#84239,.T.); #199682=EDGE_CURVE('',#160244,#160242,#84240,.T.); #199683=EDGE_CURVE('',#160243,#160244,#84241,.T.); #199684=EDGE_CURVE('',#160245,#160243,#84242,.T.); #199685=EDGE_CURVE('',#160246,#160244,#84243,.T.); #199686=EDGE_CURVE('',#160245,#160246,#84244,.T.); #199687=EDGE_CURVE('',#160247,#160245,#84245,.T.); #199688=EDGE_CURVE('',#160248,#160246,#84246,.T.); #199689=EDGE_CURVE('',#160247,#160248,#84247,.T.); #199690=EDGE_CURVE('',#160190,#160247,#84248,.T.); #199691=EDGE_CURVE('',#160191,#160248,#84249,.T.); #199692=EDGE_CURVE('',#160249,#160250,#84250,.T.); #199693=EDGE_CURVE('',#160250,#160251,#84251,.T.); #199694=EDGE_CURVE('',#160252,#160251,#84252,.T.); #199695=EDGE_CURVE('',#160249,#160252,#84253,.T.); #199696=EDGE_CURVE('',#160253,#160249,#84254,.T.); #199697=EDGE_CURVE('',#160254,#160252,#84255,.T.); #199698=EDGE_CURVE('',#160253,#160254,#84256,.T.); #199699=EDGE_CURVE('',#160255,#160253,#84257,.T.); #199700=EDGE_CURVE('',#160256,#160254,#84258,.T.); #199701=EDGE_CURVE('',#160255,#160256,#84259,.T.); #199702=EDGE_CURVE('',#160257,#160255,#84260,.T.); #199703=EDGE_CURVE('',#160258,#160256,#84261,.T.); #199704=EDGE_CURVE('',#160257,#160258,#84262,.T.); #199705=EDGE_CURVE('',#160259,#160257,#84263,.T.); #199706=EDGE_CURVE('',#160260,#160258,#84264,.T.); #199707=EDGE_CURVE('',#160259,#160260,#84265,.T.); #199708=EDGE_CURVE('',#160261,#160259,#84266,.T.); #199709=EDGE_CURVE('',#160262,#160260,#84267,.T.); #199710=EDGE_CURVE('',#160261,#160262,#84268,.T.); #199711=EDGE_CURVE('',#160263,#160261,#84269,.T.); #199712=EDGE_CURVE('',#160264,#160262,#84270,.T.); #199713=EDGE_CURVE('',#160263,#160264,#84271,.T.); #199714=EDGE_CURVE('',#160265,#160263,#84272,.T.); #199715=EDGE_CURVE('',#160266,#160264,#84273,.T.); #199716=EDGE_CURVE('',#160265,#160266,#84274,.T.); #199717=EDGE_CURVE('',#160267,#160265,#84275,.T.); #199718=EDGE_CURVE('',#160268,#160266,#84276,.T.); #199719=EDGE_CURVE('',#160267,#160268,#84277,.T.); #199720=EDGE_CURVE('',#160269,#160267,#84278,.T.); #199721=EDGE_CURVE('',#160270,#160268,#84279,.T.); #199722=EDGE_CURVE('',#160269,#160270,#84280,.T.); #199723=EDGE_CURVE('',#160271,#160269,#84281,.T.); #199724=EDGE_CURVE('',#160272,#160270,#84282,.T.); #199725=EDGE_CURVE('',#160271,#160272,#84283,.T.); #199726=EDGE_CURVE('',#160273,#160271,#84284,.T.); #199727=EDGE_CURVE('',#160274,#160272,#84285,.T.); #199728=EDGE_CURVE('',#160273,#160274,#84286,.T.); #199729=EDGE_CURVE('',#160275,#160273,#84287,.T.); #199730=EDGE_CURVE('',#160276,#160274,#84288,.T.); #199731=EDGE_CURVE('',#160275,#160276,#84289,.T.); #199732=EDGE_CURVE('',#160277,#160275,#84290,.T.); #199733=EDGE_CURVE('',#160278,#160276,#84291,.T.); #199734=EDGE_CURVE('',#160277,#160278,#84292,.T.); #199735=EDGE_CURVE('',#160279,#160277,#84293,.T.); #199736=EDGE_CURVE('',#160280,#160278,#84294,.T.); #199737=EDGE_CURVE('',#160279,#160280,#84295,.T.); #199738=EDGE_CURVE('',#160281,#160279,#84296,.T.); #199739=EDGE_CURVE('',#160282,#160280,#84297,.T.); #199740=EDGE_CURVE('',#160281,#160282,#84298,.T.); #199741=EDGE_CURVE('',#160283,#160281,#84299,.T.); #199742=EDGE_CURVE('',#160284,#160282,#84300,.T.); #199743=EDGE_CURVE('',#160283,#160284,#84301,.T.); #199744=EDGE_CURVE('',#160285,#160283,#84302,.T.); #199745=EDGE_CURVE('',#160286,#160284,#84303,.T.); #199746=EDGE_CURVE('',#160285,#160286,#84304,.T.); #199747=EDGE_CURVE('',#160287,#160285,#84305,.T.); #199748=EDGE_CURVE('',#160288,#160286,#84306,.T.); #199749=EDGE_CURVE('',#160287,#160288,#84307,.T.); #199750=EDGE_CURVE('',#160289,#160287,#84308,.T.); #199751=EDGE_CURVE('',#160290,#160288,#84309,.T.); #199752=EDGE_CURVE('',#160289,#160290,#84310,.T.); #199753=EDGE_CURVE('',#160291,#160289,#84311,.T.); #199754=EDGE_CURVE('',#160292,#160290,#84312,.T.); #199755=EDGE_CURVE('',#160291,#160292,#84313,.T.); #199756=EDGE_CURVE('',#160293,#160291,#84314,.T.); #199757=EDGE_CURVE('',#160294,#160292,#84315,.T.); #199758=EDGE_CURVE('',#160293,#160294,#84316,.T.); #199759=EDGE_CURVE('',#160295,#160293,#84317,.T.); #199760=EDGE_CURVE('',#160296,#160294,#84318,.T.); #199761=EDGE_CURVE('',#160295,#160296,#84319,.T.); #199762=EDGE_CURVE('',#160297,#160295,#84320,.T.); #199763=EDGE_CURVE('',#160298,#160296,#84321,.T.); #199764=EDGE_CURVE('',#160297,#160298,#84322,.T.); #199765=EDGE_CURVE('',#160299,#160297,#84323,.T.); #199766=EDGE_CURVE('',#160300,#160298,#84324,.T.); #199767=EDGE_CURVE('',#160299,#160300,#84325,.T.); #199768=EDGE_CURVE('',#160301,#160299,#84326,.T.); #199769=EDGE_CURVE('',#160302,#160300,#84327,.T.); #199770=EDGE_CURVE('',#160301,#160302,#84328,.T.); #199771=EDGE_CURVE('',#160303,#160301,#84329,.T.); #199772=EDGE_CURVE('',#160304,#160302,#84330,.T.); #199773=EDGE_CURVE('',#160303,#160304,#84331,.T.); #199774=EDGE_CURVE('',#160305,#160303,#84332,.T.); #199775=EDGE_CURVE('',#160306,#160304,#84333,.T.); #199776=EDGE_CURVE('',#160305,#160306,#84334,.T.); #199777=EDGE_CURVE('',#160307,#160305,#84335,.T.); #199778=EDGE_CURVE('',#160308,#160306,#84336,.T.); #199779=EDGE_CURVE('',#160307,#160308,#84337,.T.); #199780=EDGE_CURVE('',#160250,#160307,#84338,.T.); #199781=EDGE_CURVE('',#160251,#160308,#84339,.T.); #199782=EDGE_CURVE('',#160309,#160310,#84340,.T.); #199783=EDGE_CURVE('',#160310,#160311,#84341,.T.); #199784=EDGE_CURVE('',#160312,#160311,#84342,.T.); #199785=EDGE_CURVE('',#160309,#160312,#84343,.T.); #199786=EDGE_CURVE('',#160313,#160309,#84344,.T.); #199787=EDGE_CURVE('',#160314,#160312,#84345,.T.); #199788=EDGE_CURVE('',#160313,#160314,#84346,.T.); #199789=EDGE_CURVE('',#160315,#160313,#84347,.T.); #199790=EDGE_CURVE('',#160316,#160314,#84348,.T.); #199791=EDGE_CURVE('',#160315,#160316,#84349,.T.); #199792=EDGE_CURVE('',#160317,#160315,#84350,.T.); #199793=EDGE_CURVE('',#160318,#160316,#84351,.T.); #199794=EDGE_CURVE('',#160317,#160318,#84352,.T.); #199795=EDGE_CURVE('',#160319,#160317,#84353,.T.); #199796=EDGE_CURVE('',#160320,#160318,#84354,.T.); #199797=EDGE_CURVE('',#160319,#160320,#84355,.T.); #199798=EDGE_CURVE('',#160321,#160319,#84356,.T.); #199799=EDGE_CURVE('',#160322,#160320,#84357,.T.); #199800=EDGE_CURVE('',#160321,#160322,#84358,.T.); #199801=EDGE_CURVE('',#160323,#160321,#84359,.T.); #199802=EDGE_CURVE('',#160324,#160322,#84360,.T.); #199803=EDGE_CURVE('',#160323,#160324,#84361,.T.); #199804=EDGE_CURVE('',#160325,#160323,#84362,.T.); #199805=EDGE_CURVE('',#160326,#160324,#84363,.T.); #199806=EDGE_CURVE('',#160325,#160326,#84364,.T.); #199807=EDGE_CURVE('',#160327,#160325,#84365,.T.); #199808=EDGE_CURVE('',#160328,#160326,#84366,.T.); #199809=EDGE_CURVE('',#160327,#160328,#84367,.T.); #199810=EDGE_CURVE('',#160329,#160327,#84368,.T.); #199811=EDGE_CURVE('',#160330,#160328,#84369,.T.); #199812=EDGE_CURVE('',#160329,#160330,#84370,.T.); #199813=EDGE_CURVE('',#160331,#160329,#84371,.T.); #199814=EDGE_CURVE('',#160332,#160330,#84372,.T.); #199815=EDGE_CURVE('',#160331,#160332,#84373,.T.); #199816=EDGE_CURVE('',#160333,#160331,#84374,.T.); #199817=EDGE_CURVE('',#160334,#160332,#84375,.T.); #199818=EDGE_CURVE('',#160333,#160334,#84376,.T.); #199819=EDGE_CURVE('',#160335,#160333,#84377,.T.); #199820=EDGE_CURVE('',#160336,#160334,#84378,.T.); #199821=EDGE_CURVE('',#160335,#160336,#84379,.T.); #199822=EDGE_CURVE('',#160337,#160335,#84380,.T.); #199823=EDGE_CURVE('',#160338,#160336,#84381,.T.); #199824=EDGE_CURVE('',#160337,#160338,#84382,.T.); #199825=EDGE_CURVE('',#160339,#160337,#84383,.T.); #199826=EDGE_CURVE('',#160340,#160338,#84384,.T.); #199827=EDGE_CURVE('',#160339,#160340,#84385,.T.); #199828=EDGE_CURVE('',#160341,#160339,#84386,.T.); #199829=EDGE_CURVE('',#160342,#160340,#84387,.T.); #199830=EDGE_CURVE('',#160341,#160342,#84388,.T.); #199831=EDGE_CURVE('',#160343,#160341,#84389,.T.); #199832=EDGE_CURVE('',#160344,#160342,#84390,.T.); #199833=EDGE_CURVE('',#160343,#160344,#84391,.T.); #199834=EDGE_CURVE('',#160345,#160343,#84392,.T.); #199835=EDGE_CURVE('',#160346,#160344,#84393,.T.); #199836=EDGE_CURVE('',#160345,#160346,#84394,.T.); #199837=EDGE_CURVE('',#160347,#160345,#84395,.T.); #199838=EDGE_CURVE('',#160348,#160346,#84396,.T.); #199839=EDGE_CURVE('',#160347,#160348,#84397,.T.); #199840=EDGE_CURVE('',#160349,#160347,#84398,.T.); #199841=EDGE_CURVE('',#160350,#160348,#84399,.T.); #199842=EDGE_CURVE('',#160349,#160350,#84400,.T.); #199843=EDGE_CURVE('',#160351,#160349,#84401,.T.); #199844=EDGE_CURVE('',#160352,#160350,#84402,.T.); #199845=EDGE_CURVE('',#160351,#160352,#84403,.T.); #199846=EDGE_CURVE('',#160353,#160351,#84404,.T.); #199847=EDGE_CURVE('',#160354,#160352,#84405,.T.); #199848=EDGE_CURVE('',#160353,#160354,#84406,.T.); #199849=EDGE_CURVE('',#160355,#160353,#84407,.T.); #199850=EDGE_CURVE('',#160356,#160354,#84408,.T.); #199851=EDGE_CURVE('',#160355,#160356,#84409,.T.); #199852=EDGE_CURVE('',#160357,#160355,#84410,.T.); #199853=EDGE_CURVE('',#160358,#160356,#84411,.T.); #199854=EDGE_CURVE('',#160357,#160358,#84412,.T.); #199855=EDGE_CURVE('',#160359,#160357,#84413,.T.); #199856=EDGE_CURVE('',#160360,#160358,#84414,.T.); #199857=EDGE_CURVE('',#160359,#160360,#84415,.T.); #199858=EDGE_CURVE('',#160361,#160359,#84416,.T.); #199859=EDGE_CURVE('',#160362,#160360,#84417,.T.); #199860=EDGE_CURVE('',#160361,#160362,#84418,.T.); #199861=EDGE_CURVE('',#160363,#160361,#84419,.T.); #199862=EDGE_CURVE('',#160364,#160362,#84420,.T.); #199863=EDGE_CURVE('',#160363,#160364,#84421,.T.); #199864=EDGE_CURVE('',#160365,#160363,#84422,.T.); #199865=EDGE_CURVE('',#160366,#160364,#84423,.T.); #199866=EDGE_CURVE('',#160365,#160366,#84424,.T.); #199867=EDGE_CURVE('',#160367,#160365,#84425,.T.); #199868=EDGE_CURVE('',#160368,#160366,#84426,.T.); #199869=EDGE_CURVE('',#160367,#160368,#84427,.T.); #199870=EDGE_CURVE('',#160310,#160367,#84428,.T.); #199871=EDGE_CURVE('',#160311,#160368,#84429,.T.); #199872=EDGE_CURVE('',#160369,#160370,#84430,.T.); #199873=EDGE_CURVE('',#160370,#160371,#84431,.T.); #199874=EDGE_CURVE('',#160372,#160371,#84432,.T.); #199875=EDGE_CURVE('',#160369,#160372,#84433,.T.); #199876=EDGE_CURVE('',#160373,#160369,#84434,.T.); #199877=EDGE_CURVE('',#160374,#160372,#84435,.T.); #199878=EDGE_CURVE('',#160373,#160374,#84436,.T.); #199879=EDGE_CURVE('',#160375,#160373,#84437,.T.); #199880=EDGE_CURVE('',#160376,#160374,#84438,.T.); #199881=EDGE_CURVE('',#160375,#160376,#84439,.T.); #199882=EDGE_CURVE('',#160377,#160375,#84440,.T.); #199883=EDGE_CURVE('',#160378,#160376,#84441,.T.); #199884=EDGE_CURVE('',#160377,#160378,#84442,.T.); #199885=EDGE_CURVE('',#160379,#160377,#84443,.T.); #199886=EDGE_CURVE('',#160380,#160378,#84444,.T.); #199887=EDGE_CURVE('',#160379,#160380,#84445,.T.); #199888=EDGE_CURVE('',#160381,#160379,#84446,.T.); #199889=EDGE_CURVE('',#160382,#160380,#84447,.T.); #199890=EDGE_CURVE('',#160381,#160382,#84448,.T.); #199891=EDGE_CURVE('',#160383,#160381,#84449,.T.); #199892=EDGE_CURVE('',#160384,#160382,#84450,.T.); #199893=EDGE_CURVE('',#160383,#160384,#84451,.T.); #199894=EDGE_CURVE('',#160385,#160383,#84452,.T.); #199895=EDGE_CURVE('',#160386,#160384,#84453,.T.); #199896=EDGE_CURVE('',#160385,#160386,#84454,.T.); #199897=EDGE_CURVE('',#160387,#160385,#84455,.T.); #199898=EDGE_CURVE('',#160388,#160386,#84456,.T.); #199899=EDGE_CURVE('',#160387,#160388,#84457,.T.); #199900=EDGE_CURVE('',#160389,#160387,#84458,.T.); #199901=EDGE_CURVE('',#160390,#160388,#84459,.T.); #199902=EDGE_CURVE('',#160389,#160390,#84460,.T.); #199903=EDGE_CURVE('',#160391,#160389,#84461,.T.); #199904=EDGE_CURVE('',#160392,#160390,#84462,.T.); #199905=EDGE_CURVE('',#160391,#160392,#84463,.T.); #199906=EDGE_CURVE('',#160393,#160391,#84464,.T.); #199907=EDGE_CURVE('',#160394,#160392,#84465,.T.); #199908=EDGE_CURVE('',#160393,#160394,#84466,.T.); #199909=EDGE_CURVE('',#160395,#160393,#84467,.T.); #199910=EDGE_CURVE('',#160396,#160394,#84468,.T.); #199911=EDGE_CURVE('',#160395,#160396,#84469,.T.); #199912=EDGE_CURVE('',#160397,#160395,#84470,.T.); #199913=EDGE_CURVE('',#160398,#160396,#84471,.T.); #199914=EDGE_CURVE('',#160397,#160398,#84472,.T.); #199915=EDGE_CURVE('',#160399,#160397,#84473,.T.); #199916=EDGE_CURVE('',#160400,#160398,#84474,.T.); #199917=EDGE_CURVE('',#160399,#160400,#84475,.T.); #199918=EDGE_CURVE('',#160401,#160399,#84476,.T.); #199919=EDGE_CURVE('',#160402,#160400,#84477,.T.); #199920=EDGE_CURVE('',#160401,#160402,#84478,.T.); #199921=EDGE_CURVE('',#160403,#160401,#84479,.T.); #199922=EDGE_CURVE('',#160404,#160402,#84480,.T.); #199923=EDGE_CURVE('',#160403,#160404,#84481,.T.); #199924=EDGE_CURVE('',#160405,#160403,#84482,.T.); #199925=EDGE_CURVE('',#160406,#160404,#84483,.T.); #199926=EDGE_CURVE('',#160405,#160406,#84484,.T.); #199927=EDGE_CURVE('',#160407,#160405,#84485,.T.); #199928=EDGE_CURVE('',#160408,#160406,#84486,.T.); #199929=EDGE_CURVE('',#160407,#160408,#84487,.T.); #199930=EDGE_CURVE('',#160409,#160407,#84488,.T.); #199931=EDGE_CURVE('',#160410,#160408,#84489,.T.); #199932=EDGE_CURVE('',#160409,#160410,#84490,.T.); #199933=EDGE_CURVE('',#160411,#160409,#84491,.T.); #199934=EDGE_CURVE('',#160412,#160410,#84492,.T.); #199935=EDGE_CURVE('',#160411,#160412,#84493,.T.); #199936=EDGE_CURVE('',#160413,#160411,#84494,.T.); #199937=EDGE_CURVE('',#160414,#160412,#84495,.T.); #199938=EDGE_CURVE('',#160413,#160414,#84496,.T.); #199939=EDGE_CURVE('',#160415,#160413,#84497,.T.); #199940=EDGE_CURVE('',#160416,#160414,#84498,.T.); #199941=EDGE_CURVE('',#160415,#160416,#84499,.T.); #199942=EDGE_CURVE('',#160417,#160415,#84500,.T.); #199943=EDGE_CURVE('',#160418,#160416,#84501,.T.); #199944=EDGE_CURVE('',#160417,#160418,#84502,.T.); #199945=EDGE_CURVE('',#160419,#160417,#84503,.T.); #199946=EDGE_CURVE('',#160420,#160418,#84504,.T.); #199947=EDGE_CURVE('',#160419,#160420,#84505,.T.); #199948=EDGE_CURVE('',#160421,#160419,#84506,.T.); #199949=EDGE_CURVE('',#160422,#160420,#84507,.T.); #199950=EDGE_CURVE('',#160421,#160422,#84508,.T.); #199951=EDGE_CURVE('',#160423,#160421,#84509,.T.); #199952=EDGE_CURVE('',#160424,#160422,#84510,.T.); #199953=EDGE_CURVE('',#160423,#160424,#84511,.T.); #199954=EDGE_CURVE('',#160425,#160423,#84512,.T.); #199955=EDGE_CURVE('',#160426,#160424,#84513,.T.); #199956=EDGE_CURVE('',#160425,#160426,#84514,.T.); #199957=EDGE_CURVE('',#160427,#160425,#84515,.T.); #199958=EDGE_CURVE('',#160428,#160426,#84516,.T.); #199959=EDGE_CURVE('',#160427,#160428,#84517,.T.); #199960=EDGE_CURVE('',#160429,#160427,#84518,.T.); #199961=EDGE_CURVE('',#160430,#160428,#84519,.T.); #199962=EDGE_CURVE('',#160429,#160430,#84520,.T.); #199963=EDGE_CURVE('',#160431,#160429,#84521,.T.); #199964=EDGE_CURVE('',#160432,#160430,#84522,.T.); #199965=EDGE_CURVE('',#160431,#160432,#84523,.T.); #199966=EDGE_CURVE('',#160433,#160431,#84524,.T.); #199967=EDGE_CURVE('',#160434,#160432,#84525,.T.); #199968=EDGE_CURVE('',#160433,#160434,#84526,.T.); #199969=EDGE_CURVE('',#160435,#160433,#84527,.T.); #199970=EDGE_CURVE('',#160436,#160434,#84528,.T.); #199971=EDGE_CURVE('',#160435,#160436,#84529,.T.); #199972=EDGE_CURVE('',#160437,#160435,#84530,.T.); #199973=EDGE_CURVE('',#160438,#160436,#84531,.T.); #199974=EDGE_CURVE('',#160437,#160438,#84532,.T.); #199975=EDGE_CURVE('',#160439,#160437,#84533,.T.); #199976=EDGE_CURVE('',#160440,#160438,#84534,.T.); #199977=EDGE_CURVE('',#160439,#160440,#84535,.T.); #199978=EDGE_CURVE('',#160441,#160439,#84536,.T.); #199979=EDGE_CURVE('',#160442,#160440,#84537,.T.); #199980=EDGE_CURVE('',#160441,#160442,#84538,.T.); #199981=EDGE_CURVE('',#160443,#160441,#84539,.T.); #199982=EDGE_CURVE('',#160444,#160442,#84540,.T.); #199983=EDGE_CURVE('',#160443,#160444,#84541,.T.); #199984=EDGE_CURVE('',#160445,#160443,#84542,.T.); #199985=EDGE_CURVE('',#160446,#160444,#84543,.T.); #199986=EDGE_CURVE('',#160445,#160446,#84544,.T.); #199987=EDGE_CURVE('',#160447,#160445,#84545,.T.); #199988=EDGE_CURVE('',#160448,#160446,#84546,.T.); #199989=EDGE_CURVE('',#160447,#160448,#84547,.T.); #199990=EDGE_CURVE('',#160449,#160447,#84548,.T.); #199991=EDGE_CURVE('',#160450,#160448,#84549,.T.); #199992=EDGE_CURVE('',#160449,#160450,#84550,.T.); #199993=EDGE_CURVE('',#160451,#160449,#84551,.T.); #199994=EDGE_CURVE('',#160452,#160450,#84552,.T.); #199995=EDGE_CURVE('',#160451,#160452,#84553,.T.); #199996=EDGE_CURVE('',#160453,#160451,#84554,.T.); #199997=EDGE_CURVE('',#160454,#160452,#84555,.T.); #199998=EDGE_CURVE('',#160453,#160454,#84556,.T.); #199999=EDGE_CURVE('',#160455,#160453,#84557,.T.); #200000=EDGE_CURVE('',#160456,#160454,#84558,.T.); #200001=EDGE_CURVE('',#160455,#160456,#84559,.T.); #200002=EDGE_CURVE('',#160457,#160455,#84560,.T.); #200003=EDGE_CURVE('',#160458,#160456,#84561,.T.); #200004=EDGE_CURVE('',#160457,#160458,#84562,.T.); #200005=EDGE_CURVE('',#160459,#160457,#84563,.T.); #200006=EDGE_CURVE('',#160460,#160458,#84564,.T.); #200007=EDGE_CURVE('',#160459,#160460,#84565,.T.); #200008=EDGE_CURVE('',#160461,#160459,#84566,.T.); #200009=EDGE_CURVE('',#160462,#160460,#84567,.T.); #200010=EDGE_CURVE('',#160461,#160462,#84568,.T.); #200011=EDGE_CURVE('',#160463,#160461,#84569,.T.); #200012=EDGE_CURVE('',#160464,#160462,#84570,.T.); #200013=EDGE_CURVE('',#160463,#160464,#84571,.T.); #200014=EDGE_CURVE('',#160465,#160463,#84572,.T.); #200015=EDGE_CURVE('',#160466,#160464,#84573,.T.); #200016=EDGE_CURVE('',#160465,#160466,#84574,.T.); #200017=EDGE_CURVE('',#160467,#160465,#84575,.T.); #200018=EDGE_CURVE('',#160468,#160466,#84576,.T.); #200019=EDGE_CURVE('',#160467,#160468,#84577,.T.); #200020=EDGE_CURVE('',#160469,#160467,#84578,.T.); #200021=EDGE_CURVE('',#160470,#160468,#84579,.T.); #200022=EDGE_CURVE('',#160469,#160470,#84580,.T.); #200023=EDGE_CURVE('',#160471,#160469,#84581,.T.); #200024=EDGE_CURVE('',#160472,#160470,#84582,.T.); #200025=EDGE_CURVE('',#160471,#160472,#84583,.T.); #200026=EDGE_CURVE('',#160473,#160471,#84584,.T.); #200027=EDGE_CURVE('',#160474,#160472,#84585,.T.); #200028=EDGE_CURVE('',#160473,#160474,#84586,.T.); #200029=EDGE_CURVE('',#160475,#160473,#84587,.T.); #200030=EDGE_CURVE('',#160476,#160474,#84588,.T.); #200031=EDGE_CURVE('',#160475,#160476,#84589,.T.); #200032=EDGE_CURVE('',#160477,#160475,#84590,.T.); #200033=EDGE_CURVE('',#160478,#160476,#84591,.T.); #200034=EDGE_CURVE('',#160477,#160478,#84592,.T.); #200035=EDGE_CURVE('',#160479,#160477,#84593,.T.); #200036=EDGE_CURVE('',#160480,#160478,#84594,.T.); #200037=EDGE_CURVE('',#160479,#160480,#84595,.T.); #200038=EDGE_CURVE('',#160481,#160479,#84596,.T.); #200039=EDGE_CURVE('',#160482,#160480,#84597,.T.); #200040=EDGE_CURVE('',#160481,#160482,#84598,.T.); #200041=EDGE_CURVE('',#160483,#160481,#84599,.T.); #200042=EDGE_CURVE('',#160484,#160482,#84600,.T.); #200043=EDGE_CURVE('',#160483,#160484,#84601,.T.); #200044=EDGE_CURVE('',#160485,#160483,#84602,.T.); #200045=EDGE_CURVE('',#160486,#160484,#84603,.T.); #200046=EDGE_CURVE('',#160485,#160486,#84604,.T.); #200047=EDGE_CURVE('',#160487,#160485,#84605,.T.); #200048=EDGE_CURVE('',#160488,#160486,#84606,.T.); #200049=EDGE_CURVE('',#160487,#160488,#84607,.T.); #200050=EDGE_CURVE('',#160489,#160487,#84608,.T.); #200051=EDGE_CURVE('',#160490,#160488,#84609,.T.); #200052=EDGE_CURVE('',#160489,#160490,#84610,.T.); #200053=EDGE_CURVE('',#160491,#160489,#84611,.T.); #200054=EDGE_CURVE('',#160492,#160490,#84612,.T.); #200055=EDGE_CURVE('',#160491,#160492,#84613,.T.); #200056=EDGE_CURVE('',#160493,#160491,#84614,.T.); #200057=EDGE_CURVE('',#160494,#160492,#84615,.T.); #200058=EDGE_CURVE('',#160493,#160494,#84616,.T.); #200059=EDGE_CURVE('',#160495,#160493,#84617,.T.); #200060=EDGE_CURVE('',#160496,#160494,#84618,.T.); #200061=EDGE_CURVE('',#160495,#160496,#84619,.T.); #200062=EDGE_CURVE('',#160497,#160495,#84620,.T.); #200063=EDGE_CURVE('',#160498,#160496,#84621,.T.); #200064=EDGE_CURVE('',#160497,#160498,#84622,.T.); #200065=EDGE_CURVE('',#160499,#160497,#84623,.T.); #200066=EDGE_CURVE('',#160500,#160498,#84624,.T.); #200067=EDGE_CURVE('',#160499,#160500,#84625,.T.); #200068=EDGE_CURVE('',#160501,#160499,#84626,.T.); #200069=EDGE_CURVE('',#160502,#160500,#84627,.T.); #200070=EDGE_CURVE('',#160501,#160502,#84628,.T.); #200071=EDGE_CURVE('',#160503,#160501,#84629,.T.); #200072=EDGE_CURVE('',#160504,#160502,#84630,.T.); #200073=EDGE_CURVE('',#160503,#160504,#84631,.T.); #200074=EDGE_CURVE('',#160505,#160503,#84632,.T.); #200075=EDGE_CURVE('',#160506,#160504,#84633,.T.); #200076=EDGE_CURVE('',#160505,#160506,#84634,.T.); #200077=EDGE_CURVE('',#160507,#160505,#84635,.T.); #200078=EDGE_CURVE('',#160508,#160506,#84636,.T.); #200079=EDGE_CURVE('',#160507,#160508,#84637,.T.); #200080=EDGE_CURVE('',#160509,#160507,#84638,.T.); #200081=EDGE_CURVE('',#160510,#160508,#84639,.T.); #200082=EDGE_CURVE('',#160509,#160510,#84640,.T.); #200083=EDGE_CURVE('',#160511,#160509,#84641,.T.); #200084=EDGE_CURVE('',#160512,#160510,#84642,.T.); #200085=EDGE_CURVE('',#160511,#160512,#84643,.T.); #200086=EDGE_CURVE('',#160513,#160511,#84644,.T.); #200087=EDGE_CURVE('',#160514,#160512,#84645,.T.); #200088=EDGE_CURVE('',#160513,#160514,#84646,.T.); #200089=EDGE_CURVE('',#160515,#160513,#84647,.T.); #200090=EDGE_CURVE('',#160516,#160514,#84648,.T.); #200091=EDGE_CURVE('',#160515,#160516,#84649,.T.); #200092=EDGE_CURVE('',#160517,#160515,#84650,.T.); #200093=EDGE_CURVE('',#160518,#160516,#84651,.T.); #200094=EDGE_CURVE('',#160517,#160518,#84652,.T.); #200095=EDGE_CURVE('',#160519,#160517,#84653,.T.); #200096=EDGE_CURVE('',#160520,#160518,#84654,.T.); #200097=EDGE_CURVE('',#160519,#160520,#84655,.T.); #200098=EDGE_CURVE('',#160521,#160519,#84656,.T.); #200099=EDGE_CURVE('',#160522,#160520,#84657,.T.); #200100=EDGE_CURVE('',#160521,#160522,#84658,.T.); #200101=EDGE_CURVE('',#160523,#160521,#84659,.T.); #200102=EDGE_CURVE('',#160524,#160522,#84660,.T.); #200103=EDGE_CURVE('',#160523,#160524,#84661,.T.); #200104=EDGE_CURVE('',#160525,#160523,#84662,.T.); #200105=EDGE_CURVE('',#160526,#160524,#84663,.T.); #200106=EDGE_CURVE('',#160525,#160526,#84664,.T.); #200107=EDGE_CURVE('',#160527,#160525,#84665,.T.); #200108=EDGE_CURVE('',#160528,#160526,#84666,.T.); #200109=EDGE_CURVE('',#160527,#160528,#84667,.T.); #200110=EDGE_CURVE('',#160529,#160527,#84668,.T.); #200111=EDGE_CURVE('',#160530,#160528,#84669,.T.); #200112=EDGE_CURVE('',#160529,#160530,#84670,.T.); #200113=EDGE_CURVE('',#160531,#160529,#84671,.T.); #200114=EDGE_CURVE('',#160532,#160530,#84672,.T.); #200115=EDGE_CURVE('',#160531,#160532,#84673,.T.); #200116=EDGE_CURVE('',#160533,#160531,#84674,.T.); #200117=EDGE_CURVE('',#160534,#160532,#84675,.T.); #200118=EDGE_CURVE('',#160533,#160534,#84676,.T.); #200119=EDGE_CURVE('',#160535,#160533,#84677,.T.); #200120=EDGE_CURVE('',#160536,#160534,#84678,.T.); #200121=EDGE_CURVE('',#160535,#160536,#84679,.T.); #200122=EDGE_CURVE('',#160537,#160535,#84680,.T.); #200123=EDGE_CURVE('',#160538,#160536,#84681,.T.); #200124=EDGE_CURVE('',#160537,#160538,#84682,.T.); #200125=EDGE_CURVE('',#160539,#160537,#84683,.T.); #200126=EDGE_CURVE('',#160540,#160538,#84684,.T.); #200127=EDGE_CURVE('',#160539,#160540,#84685,.T.); #200128=EDGE_CURVE('',#160541,#160539,#84686,.T.); #200129=EDGE_CURVE('',#160542,#160540,#84687,.T.); #200130=EDGE_CURVE('',#160541,#160542,#84688,.T.); #200131=EDGE_CURVE('',#160543,#160541,#84689,.T.); #200132=EDGE_CURVE('',#160544,#160542,#84690,.T.); #200133=EDGE_CURVE('',#160543,#160544,#84691,.T.); #200134=EDGE_CURVE('',#160545,#160543,#84692,.T.); #200135=EDGE_CURVE('',#160546,#160544,#84693,.T.); #200136=EDGE_CURVE('',#160545,#160546,#84694,.T.); #200137=EDGE_CURVE('',#160547,#160545,#84695,.T.); #200138=EDGE_CURVE('',#160548,#160546,#84696,.T.); #200139=EDGE_CURVE('',#160547,#160548,#84697,.T.); #200140=EDGE_CURVE('',#160549,#160547,#84698,.T.); #200141=EDGE_CURVE('',#160550,#160548,#84699,.T.); #200142=EDGE_CURVE('',#160549,#160550,#84700,.T.); #200143=EDGE_CURVE('',#160551,#160549,#84701,.T.); #200144=EDGE_CURVE('',#160552,#160550,#84702,.T.); #200145=EDGE_CURVE('',#160551,#160552,#84703,.T.); #200146=EDGE_CURVE('',#160553,#160551,#84704,.T.); #200147=EDGE_CURVE('',#160554,#160552,#84705,.T.); #200148=EDGE_CURVE('',#160553,#160554,#84706,.T.); #200149=EDGE_CURVE('',#160555,#160553,#84707,.T.); #200150=EDGE_CURVE('',#160556,#160554,#84708,.T.); #200151=EDGE_CURVE('',#160555,#160556,#84709,.T.); #200152=EDGE_CURVE('',#160557,#160555,#84710,.T.); #200153=EDGE_CURVE('',#160558,#160556,#84711,.T.); #200154=EDGE_CURVE('',#160557,#160558,#84712,.T.); #200155=EDGE_CURVE('',#160559,#160557,#84713,.T.); #200156=EDGE_CURVE('',#160560,#160558,#84714,.T.); #200157=EDGE_CURVE('',#160559,#160560,#84715,.T.); #200158=EDGE_CURVE('',#160561,#160559,#84716,.T.); #200159=EDGE_CURVE('',#160562,#160560,#84717,.T.); #200160=EDGE_CURVE('',#160561,#160562,#84718,.T.); #200161=EDGE_CURVE('',#160563,#160561,#84719,.T.); #200162=EDGE_CURVE('',#160564,#160562,#84720,.T.); #200163=EDGE_CURVE('',#160563,#160564,#84721,.T.); #200164=EDGE_CURVE('',#160565,#160563,#84722,.T.); #200165=EDGE_CURVE('',#160566,#160564,#84723,.T.); #200166=EDGE_CURVE('',#160565,#160566,#84724,.T.); #200167=EDGE_CURVE('',#160567,#160565,#84725,.T.); #200168=EDGE_CURVE('',#160568,#160566,#84726,.T.); #200169=EDGE_CURVE('',#160567,#160568,#84727,.T.); #200170=EDGE_CURVE('',#160569,#160567,#84728,.T.); #200171=EDGE_CURVE('',#160570,#160568,#84729,.T.); #200172=EDGE_CURVE('',#160569,#160570,#84730,.T.); #200173=EDGE_CURVE('',#160571,#160569,#84731,.T.); #200174=EDGE_CURVE('',#160572,#160570,#84732,.T.); #200175=EDGE_CURVE('',#160571,#160572,#84733,.T.); #200176=EDGE_CURVE('',#160573,#160571,#84734,.T.); #200177=EDGE_CURVE('',#160574,#160572,#84735,.T.); #200178=EDGE_CURVE('',#160573,#160574,#84736,.T.); #200179=EDGE_CURVE('',#160575,#160573,#84737,.T.); #200180=EDGE_CURVE('',#160576,#160574,#84738,.T.); #200181=EDGE_CURVE('',#160575,#160576,#84739,.T.); #200182=EDGE_CURVE('',#160577,#160575,#84740,.T.); #200183=EDGE_CURVE('',#160578,#160576,#84741,.T.); #200184=EDGE_CURVE('',#160577,#160578,#84742,.T.); #200185=EDGE_CURVE('',#160579,#160577,#84743,.T.); #200186=EDGE_CURVE('',#160580,#160578,#84744,.T.); #200187=EDGE_CURVE('',#160579,#160580,#84745,.T.); #200188=EDGE_CURVE('',#160581,#160579,#84746,.T.); #200189=EDGE_CURVE('',#160582,#160580,#84747,.T.); #200190=EDGE_CURVE('',#160581,#160582,#84748,.T.); #200191=EDGE_CURVE('',#160583,#160581,#84749,.T.); #200192=EDGE_CURVE('',#160584,#160582,#84750,.T.); #200193=EDGE_CURVE('',#160583,#160584,#84751,.T.); #200194=EDGE_CURVE('',#160585,#160583,#84752,.T.); #200195=EDGE_CURVE('',#160586,#160584,#84753,.T.); #200196=EDGE_CURVE('',#160585,#160586,#84754,.T.); #200197=EDGE_CURVE('',#160587,#160585,#84755,.T.); #200198=EDGE_CURVE('',#160588,#160586,#84756,.T.); #200199=EDGE_CURVE('',#160587,#160588,#84757,.T.); #200200=EDGE_CURVE('',#160589,#160587,#84758,.T.); #200201=EDGE_CURVE('',#160590,#160588,#84759,.T.); #200202=EDGE_CURVE('',#160589,#160590,#84760,.T.); #200203=EDGE_CURVE('',#160591,#160589,#84761,.T.); #200204=EDGE_CURVE('',#160592,#160590,#84762,.T.); #200205=EDGE_CURVE('',#160591,#160592,#84763,.T.); #200206=EDGE_CURVE('',#160593,#160591,#84764,.T.); #200207=EDGE_CURVE('',#160594,#160592,#84765,.T.); #200208=EDGE_CURVE('',#160593,#160594,#84766,.T.); #200209=EDGE_CURVE('',#160595,#160593,#84767,.T.); #200210=EDGE_CURVE('',#160596,#160594,#84768,.T.); #200211=EDGE_CURVE('',#160595,#160596,#84769,.T.); #200212=EDGE_CURVE('',#160597,#160595,#84770,.T.); #200213=EDGE_CURVE('',#160598,#160596,#84771,.T.); #200214=EDGE_CURVE('',#160597,#160598,#84772,.T.); #200215=EDGE_CURVE('',#160599,#160597,#84773,.T.); #200216=EDGE_CURVE('',#160600,#160598,#84774,.T.); #200217=EDGE_CURVE('',#160599,#160600,#84775,.T.); #200218=EDGE_CURVE('',#160601,#160599,#84776,.T.); #200219=EDGE_CURVE('',#160602,#160600,#84777,.T.); #200220=EDGE_CURVE('',#160601,#160602,#84778,.T.); #200221=EDGE_CURVE('',#160603,#160601,#84779,.T.); #200222=EDGE_CURVE('',#160604,#160602,#84780,.T.); #200223=EDGE_CURVE('',#160603,#160604,#84781,.T.); #200224=EDGE_CURVE('',#160605,#160603,#84782,.T.); #200225=EDGE_CURVE('',#160606,#160604,#84783,.T.); #200226=EDGE_CURVE('',#160605,#160606,#84784,.T.); #200227=EDGE_CURVE('',#160607,#160605,#84785,.T.); #200228=EDGE_CURVE('',#160608,#160606,#84786,.T.); #200229=EDGE_CURVE('',#160607,#160608,#84787,.T.); #200230=EDGE_CURVE('',#160370,#160607,#84788,.T.); #200231=EDGE_CURVE('',#160371,#160608,#84789,.T.); #200232=EDGE_CURVE('',#160609,#160610,#84790,.T.); #200233=EDGE_CURVE('',#160610,#160611,#84791,.T.); #200234=EDGE_CURVE('',#160612,#160611,#84792,.T.); #200235=EDGE_CURVE('',#160609,#160612,#84793,.T.); #200236=EDGE_CURVE('',#160613,#160609,#84794,.T.); #200237=EDGE_CURVE('',#160614,#160612,#84795,.T.); #200238=EDGE_CURVE('',#160613,#160614,#84796,.T.); #200239=EDGE_CURVE('',#160615,#160613,#84797,.T.); #200240=EDGE_CURVE('',#160616,#160614,#84798,.T.); #200241=EDGE_CURVE('',#160615,#160616,#84799,.T.); #200242=EDGE_CURVE('',#160617,#160615,#84800,.T.); #200243=EDGE_CURVE('',#160618,#160616,#84801,.T.); #200244=EDGE_CURVE('',#160617,#160618,#84802,.T.); #200245=EDGE_CURVE('',#160619,#160617,#84803,.T.); #200246=EDGE_CURVE('',#160620,#160618,#84804,.T.); #200247=EDGE_CURVE('',#160619,#160620,#84805,.T.); #200248=EDGE_CURVE('',#160621,#160619,#84806,.T.); #200249=EDGE_CURVE('',#160622,#160620,#84807,.T.); #200250=EDGE_CURVE('',#160621,#160622,#84808,.T.); #200251=EDGE_CURVE('',#160623,#160621,#84809,.T.); #200252=EDGE_CURVE('',#160624,#160622,#84810,.T.); #200253=EDGE_CURVE('',#160623,#160624,#84811,.T.); #200254=EDGE_CURVE('',#160625,#160623,#84812,.T.); #200255=EDGE_CURVE('',#160626,#160624,#84813,.T.); #200256=EDGE_CURVE('',#160625,#160626,#84814,.T.); #200257=EDGE_CURVE('',#160627,#160625,#84815,.T.); #200258=EDGE_CURVE('',#160628,#160626,#84816,.T.); #200259=EDGE_CURVE('',#160627,#160628,#84817,.T.); #200260=EDGE_CURVE('',#160629,#160627,#84818,.T.); #200261=EDGE_CURVE('',#160630,#160628,#84819,.T.); #200262=EDGE_CURVE('',#160629,#160630,#84820,.T.); #200263=EDGE_CURVE('',#160631,#160629,#84821,.T.); #200264=EDGE_CURVE('',#160632,#160630,#84822,.T.); #200265=EDGE_CURVE('',#160631,#160632,#84823,.T.); #200266=EDGE_CURVE('',#160633,#160631,#84824,.T.); #200267=EDGE_CURVE('',#160634,#160632,#84825,.T.); #200268=EDGE_CURVE('',#160633,#160634,#84826,.T.); #200269=EDGE_CURVE('',#160635,#160633,#84827,.T.); #200270=EDGE_CURVE('',#160636,#160634,#84828,.T.); #200271=EDGE_CURVE('',#160635,#160636,#84829,.T.); #200272=EDGE_CURVE('',#160637,#160635,#84830,.T.); #200273=EDGE_CURVE('',#160638,#160636,#84831,.T.); #200274=EDGE_CURVE('',#160637,#160638,#84832,.T.); #200275=EDGE_CURVE('',#160639,#160637,#84833,.T.); #200276=EDGE_CURVE('',#160640,#160638,#84834,.T.); #200277=EDGE_CURVE('',#160639,#160640,#84835,.T.); #200278=EDGE_CURVE('',#160641,#160639,#84836,.T.); #200279=EDGE_CURVE('',#160642,#160640,#84837,.T.); #200280=EDGE_CURVE('',#160641,#160642,#84838,.T.); #200281=EDGE_CURVE('',#160643,#160641,#84839,.T.); #200282=EDGE_CURVE('',#160644,#160642,#84840,.T.); #200283=EDGE_CURVE('',#160643,#160644,#84841,.T.); #200284=EDGE_CURVE('',#160645,#160643,#84842,.T.); #200285=EDGE_CURVE('',#160646,#160644,#84843,.T.); #200286=EDGE_CURVE('',#160645,#160646,#84844,.T.); #200287=EDGE_CURVE('',#160647,#160645,#84845,.T.); #200288=EDGE_CURVE('',#160648,#160646,#84846,.T.); #200289=EDGE_CURVE('',#160647,#160648,#84847,.T.); #200290=EDGE_CURVE('',#160649,#160647,#84848,.T.); #200291=EDGE_CURVE('',#160650,#160648,#84849,.T.); #200292=EDGE_CURVE('',#160649,#160650,#84850,.T.); #200293=EDGE_CURVE('',#160651,#160649,#84851,.T.); #200294=EDGE_CURVE('',#160652,#160650,#84852,.T.); #200295=EDGE_CURVE('',#160651,#160652,#84853,.T.); #200296=EDGE_CURVE('',#160653,#160651,#84854,.T.); #200297=EDGE_CURVE('',#160654,#160652,#84855,.T.); #200298=EDGE_CURVE('',#160653,#160654,#84856,.T.); #200299=EDGE_CURVE('',#160655,#160653,#84857,.T.); #200300=EDGE_CURVE('',#160656,#160654,#84858,.T.); #200301=EDGE_CURVE('',#160655,#160656,#84859,.T.); #200302=EDGE_CURVE('',#160657,#160655,#84860,.T.); #200303=EDGE_CURVE('',#160658,#160656,#84861,.T.); #200304=EDGE_CURVE('',#160657,#160658,#84862,.T.); #200305=EDGE_CURVE('',#160659,#160657,#84863,.T.); #200306=EDGE_CURVE('',#160660,#160658,#84864,.T.); #200307=EDGE_CURVE('',#160659,#160660,#84865,.T.); #200308=EDGE_CURVE('',#160661,#160659,#84866,.T.); #200309=EDGE_CURVE('',#160662,#160660,#84867,.T.); #200310=EDGE_CURVE('',#160661,#160662,#84868,.T.); #200311=EDGE_CURVE('',#160610,#160661,#84869,.T.); #200312=EDGE_CURVE('',#160611,#160662,#84870,.T.); #200313=EDGE_CURVE('',#160663,#160664,#84871,.T.); #200314=EDGE_CURVE('',#160664,#160665,#84872,.T.); #200315=EDGE_CURVE('',#160666,#160665,#84873,.T.); #200316=EDGE_CURVE('',#160663,#160666,#84874,.T.); #200317=EDGE_CURVE('',#160667,#160663,#84875,.T.); #200318=EDGE_CURVE('',#160668,#160666,#84876,.T.); #200319=EDGE_CURVE('',#160667,#160668,#84877,.T.); #200320=EDGE_CURVE('',#160669,#160667,#84878,.T.); #200321=EDGE_CURVE('',#160670,#160668,#84879,.T.); #200322=EDGE_CURVE('',#160669,#160670,#84880,.T.); #200323=EDGE_CURVE('',#160671,#160669,#84881,.T.); #200324=EDGE_CURVE('',#160672,#160670,#84882,.T.); #200325=EDGE_CURVE('',#160671,#160672,#84883,.T.); #200326=EDGE_CURVE('',#160673,#160671,#84884,.T.); #200327=EDGE_CURVE('',#160674,#160672,#84885,.T.); #200328=EDGE_CURVE('',#160673,#160674,#84886,.T.); #200329=EDGE_CURVE('',#160675,#160673,#84887,.T.); #200330=EDGE_CURVE('',#160676,#160674,#84888,.T.); #200331=EDGE_CURVE('',#160675,#160676,#84889,.T.); #200332=EDGE_CURVE('',#160677,#160675,#84890,.T.); #200333=EDGE_CURVE('',#160678,#160676,#84891,.T.); #200334=EDGE_CURVE('',#160677,#160678,#84892,.T.); #200335=EDGE_CURVE('',#160679,#160677,#84893,.T.); #200336=EDGE_CURVE('',#160680,#160678,#84894,.T.); #200337=EDGE_CURVE('',#160679,#160680,#84895,.T.); #200338=EDGE_CURVE('',#160681,#160679,#84896,.T.); #200339=EDGE_CURVE('',#160682,#160680,#84897,.T.); #200340=EDGE_CURVE('',#160681,#160682,#84898,.T.); #200341=EDGE_CURVE('',#160683,#160681,#84899,.T.); #200342=EDGE_CURVE('',#160684,#160682,#84900,.T.); #200343=EDGE_CURVE('',#160683,#160684,#84901,.T.); #200344=EDGE_CURVE('',#160685,#160683,#84902,.T.); #200345=EDGE_CURVE('',#160686,#160684,#84903,.T.); #200346=EDGE_CURVE('',#160685,#160686,#84904,.T.); #200347=EDGE_CURVE('',#160687,#160685,#84905,.T.); #200348=EDGE_CURVE('',#160688,#160686,#84906,.T.); #200349=EDGE_CURVE('',#160687,#160688,#84907,.T.); #200350=EDGE_CURVE('',#160689,#160687,#84908,.T.); #200351=EDGE_CURVE('',#160690,#160688,#84909,.T.); #200352=EDGE_CURVE('',#160689,#160690,#84910,.T.); #200353=EDGE_CURVE('',#160691,#160689,#84911,.T.); #200354=EDGE_CURVE('',#160692,#160690,#84912,.T.); #200355=EDGE_CURVE('',#160691,#160692,#84913,.T.); #200356=EDGE_CURVE('',#160693,#160691,#84914,.T.); #200357=EDGE_CURVE('',#160694,#160692,#84915,.T.); #200358=EDGE_CURVE('',#160693,#160694,#84916,.T.); #200359=EDGE_CURVE('',#160695,#160693,#84917,.T.); #200360=EDGE_CURVE('',#160696,#160694,#84918,.T.); #200361=EDGE_CURVE('',#160695,#160696,#84919,.T.); #200362=EDGE_CURVE('',#160697,#160695,#84920,.T.); #200363=EDGE_CURVE('',#160698,#160696,#84921,.T.); #200364=EDGE_CURVE('',#160697,#160698,#84922,.T.); #200365=EDGE_CURVE('',#160699,#160697,#84923,.T.); #200366=EDGE_CURVE('',#160700,#160698,#84924,.T.); #200367=EDGE_CURVE('',#160699,#160700,#84925,.T.); #200368=EDGE_CURVE('',#160701,#160699,#84926,.T.); #200369=EDGE_CURVE('',#160702,#160700,#84927,.T.); #200370=EDGE_CURVE('',#160701,#160702,#84928,.T.); #200371=EDGE_CURVE('',#160703,#160701,#84929,.T.); #200372=EDGE_CURVE('',#160704,#160702,#84930,.T.); #200373=EDGE_CURVE('',#160703,#160704,#84931,.T.); #200374=EDGE_CURVE('',#160664,#160703,#84932,.T.); #200375=EDGE_CURVE('',#160665,#160704,#84933,.T.); #200376=EDGE_CURVE('',#160705,#160706,#84934,.T.); #200377=EDGE_CURVE('',#160706,#160707,#84935,.T.); #200378=EDGE_CURVE('',#160708,#160707,#84936,.T.); #200379=EDGE_CURVE('',#160705,#160708,#84937,.T.); #200380=EDGE_CURVE('',#160709,#160705,#84938,.T.); #200381=EDGE_CURVE('',#160710,#160708,#84939,.T.); #200382=EDGE_CURVE('',#160709,#160710,#84940,.T.); #200383=EDGE_CURVE('',#160711,#160709,#84941,.T.); #200384=EDGE_CURVE('',#160712,#160710,#84942,.T.); #200385=EDGE_CURVE('',#160711,#160712,#84943,.T.); #200386=EDGE_CURVE('',#160713,#160711,#84944,.T.); #200387=EDGE_CURVE('',#160714,#160712,#84945,.T.); #200388=EDGE_CURVE('',#160713,#160714,#84946,.T.); #200389=EDGE_CURVE('',#160715,#160713,#84947,.T.); #200390=EDGE_CURVE('',#160716,#160714,#84948,.T.); #200391=EDGE_CURVE('',#160715,#160716,#84949,.T.); #200392=EDGE_CURVE('',#160717,#160715,#84950,.T.); #200393=EDGE_CURVE('',#160718,#160716,#84951,.T.); #200394=EDGE_CURVE('',#160717,#160718,#84952,.T.); #200395=EDGE_CURVE('',#160719,#160717,#84953,.T.); #200396=EDGE_CURVE('',#160720,#160718,#84954,.T.); #200397=EDGE_CURVE('',#160719,#160720,#84955,.T.); #200398=EDGE_CURVE('',#160721,#160719,#84956,.T.); #200399=EDGE_CURVE('',#160722,#160720,#84957,.T.); #200400=EDGE_CURVE('',#160721,#160722,#84958,.T.); #200401=EDGE_CURVE('',#160723,#160721,#84959,.T.); #200402=EDGE_CURVE('',#160724,#160722,#84960,.T.); #200403=EDGE_CURVE('',#160723,#160724,#84961,.T.); #200404=EDGE_CURVE('',#160725,#160723,#84962,.T.); #200405=EDGE_CURVE('',#160726,#160724,#84963,.T.); #200406=EDGE_CURVE('',#160725,#160726,#84964,.T.); #200407=EDGE_CURVE('',#160727,#160725,#84965,.T.); #200408=EDGE_CURVE('',#160728,#160726,#84966,.T.); #200409=EDGE_CURVE('',#160727,#160728,#84967,.T.); #200410=EDGE_CURVE('',#160729,#160727,#84968,.T.); #200411=EDGE_CURVE('',#160730,#160728,#84969,.T.); #200412=EDGE_CURVE('',#160729,#160730,#84970,.T.); #200413=EDGE_CURVE('',#160731,#160729,#84971,.T.); #200414=EDGE_CURVE('',#160732,#160730,#84972,.T.); #200415=EDGE_CURVE('',#160731,#160732,#84973,.T.); #200416=EDGE_CURVE('',#160733,#160731,#84974,.T.); #200417=EDGE_CURVE('',#160734,#160732,#84975,.T.); #200418=EDGE_CURVE('',#160733,#160734,#84976,.T.); #200419=EDGE_CURVE('',#160735,#160733,#84977,.T.); #200420=EDGE_CURVE('',#160736,#160734,#84978,.T.); #200421=EDGE_CURVE('',#160735,#160736,#84979,.T.); #200422=EDGE_CURVE('',#160737,#160735,#84980,.T.); #200423=EDGE_CURVE('',#160738,#160736,#84981,.T.); #200424=EDGE_CURVE('',#160737,#160738,#84982,.T.); #200425=EDGE_CURVE('',#160739,#160737,#84983,.T.); #200426=EDGE_CURVE('',#160740,#160738,#84984,.T.); #200427=EDGE_CURVE('',#160739,#160740,#84985,.T.); #200428=EDGE_CURVE('',#160741,#160739,#84986,.T.); #200429=EDGE_CURVE('',#160742,#160740,#84987,.T.); #200430=EDGE_CURVE('',#160741,#160742,#84988,.T.); #200431=EDGE_CURVE('',#160743,#160741,#84989,.T.); #200432=EDGE_CURVE('',#160744,#160742,#84990,.T.); #200433=EDGE_CURVE('',#160743,#160744,#84991,.T.); #200434=EDGE_CURVE('',#160706,#160743,#84992,.T.); #200435=EDGE_CURVE('',#160707,#160744,#84993,.T.); #200436=EDGE_CURVE('',#160745,#160746,#84994,.T.); #200437=EDGE_CURVE('',#160746,#160747,#84995,.T.); #200438=EDGE_CURVE('',#160748,#160747,#84996,.T.); #200439=EDGE_CURVE('',#160745,#160748,#84997,.T.); #200440=EDGE_CURVE('',#160749,#160745,#84998,.T.); #200441=EDGE_CURVE('',#160750,#160748,#84999,.T.); #200442=EDGE_CURVE('',#160749,#160750,#85000,.T.); #200443=EDGE_CURVE('',#160751,#160749,#85001,.T.); #200444=EDGE_CURVE('',#160752,#160750,#85002,.T.); #200445=EDGE_CURVE('',#160751,#160752,#85003,.T.); #200446=EDGE_CURVE('',#160753,#160751,#85004,.T.); #200447=EDGE_CURVE('',#160754,#160752,#85005,.T.); #200448=EDGE_CURVE('',#160753,#160754,#85006,.T.); #200449=EDGE_CURVE('',#160755,#160753,#85007,.T.); #200450=EDGE_CURVE('',#160756,#160754,#85008,.T.); #200451=EDGE_CURVE('',#160755,#160756,#85009,.T.); #200452=EDGE_CURVE('',#160757,#160755,#85010,.T.); #200453=EDGE_CURVE('',#160758,#160756,#85011,.T.); #200454=EDGE_CURVE('',#160757,#160758,#85012,.T.); #200455=EDGE_CURVE('',#160759,#160757,#85013,.T.); #200456=EDGE_CURVE('',#160760,#160758,#85014,.T.); #200457=EDGE_CURVE('',#160759,#160760,#85015,.T.); #200458=EDGE_CURVE('',#160761,#160759,#85016,.T.); #200459=EDGE_CURVE('',#160762,#160760,#85017,.T.); #200460=EDGE_CURVE('',#160761,#160762,#85018,.T.); #200461=EDGE_CURVE('',#160763,#160761,#85019,.T.); #200462=EDGE_CURVE('',#160764,#160762,#85020,.T.); #200463=EDGE_CURVE('',#160763,#160764,#85021,.T.); #200464=EDGE_CURVE('',#160765,#160763,#85022,.T.); #200465=EDGE_CURVE('',#160766,#160764,#85023,.T.); #200466=EDGE_CURVE('',#160765,#160766,#85024,.T.); #200467=EDGE_CURVE('',#160767,#160765,#85025,.T.); #200468=EDGE_CURVE('',#160768,#160766,#85026,.T.); #200469=EDGE_CURVE('',#160767,#160768,#85027,.T.); #200470=EDGE_CURVE('',#160769,#160767,#85028,.T.); #200471=EDGE_CURVE('',#160770,#160768,#85029,.T.); #200472=EDGE_CURVE('',#160769,#160770,#85030,.T.); #200473=EDGE_CURVE('',#160771,#160769,#85031,.T.); #200474=EDGE_CURVE('',#160772,#160770,#85032,.T.); #200475=EDGE_CURVE('',#160771,#160772,#85033,.T.); #200476=EDGE_CURVE('',#160773,#160771,#85034,.T.); #200477=EDGE_CURVE('',#160774,#160772,#85035,.T.); #200478=EDGE_CURVE('',#160773,#160774,#85036,.T.); #200479=EDGE_CURVE('',#160775,#160773,#85037,.T.); #200480=EDGE_CURVE('',#160776,#160774,#85038,.T.); #200481=EDGE_CURVE('',#160775,#160776,#85039,.T.); #200482=EDGE_CURVE('',#160777,#160775,#85040,.T.); #200483=EDGE_CURVE('',#160778,#160776,#85041,.T.); #200484=EDGE_CURVE('',#160777,#160778,#85042,.T.); #200485=EDGE_CURVE('',#160779,#160777,#85043,.T.); #200486=EDGE_CURVE('',#160780,#160778,#85044,.T.); #200487=EDGE_CURVE('',#160779,#160780,#85045,.T.); #200488=EDGE_CURVE('',#160781,#160779,#85046,.T.); #200489=EDGE_CURVE('',#160782,#160780,#85047,.T.); #200490=EDGE_CURVE('',#160781,#160782,#85048,.T.); #200491=EDGE_CURVE('',#160783,#160781,#85049,.T.); #200492=EDGE_CURVE('',#160784,#160782,#85050,.T.); #200493=EDGE_CURVE('',#160783,#160784,#85051,.T.); #200494=EDGE_CURVE('',#160785,#160783,#85052,.T.); #200495=EDGE_CURVE('',#160786,#160784,#85053,.T.); #200496=EDGE_CURVE('',#160785,#160786,#85054,.T.); #200497=EDGE_CURVE('',#160787,#160785,#85055,.T.); #200498=EDGE_CURVE('',#160788,#160786,#85056,.T.); #200499=EDGE_CURVE('',#160787,#160788,#85057,.T.); #200500=EDGE_CURVE('',#160789,#160787,#85058,.T.); #200501=EDGE_CURVE('',#160790,#160788,#85059,.T.); #200502=EDGE_CURVE('',#160789,#160790,#85060,.T.); #200503=EDGE_CURVE('',#160791,#160789,#85061,.T.); #200504=EDGE_CURVE('',#160792,#160790,#85062,.T.); #200505=EDGE_CURVE('',#160791,#160792,#85063,.T.); #200506=EDGE_CURVE('',#160793,#160791,#85064,.T.); #200507=EDGE_CURVE('',#160794,#160792,#85065,.T.); #200508=EDGE_CURVE('',#160793,#160794,#85066,.T.); #200509=EDGE_CURVE('',#160795,#160793,#85067,.T.); #200510=EDGE_CURVE('',#160796,#160794,#85068,.T.); #200511=EDGE_CURVE('',#160795,#160796,#85069,.T.); #200512=EDGE_CURVE('',#160797,#160795,#85070,.T.); #200513=EDGE_CURVE('',#160798,#160796,#85071,.T.); #200514=EDGE_CURVE('',#160797,#160798,#85072,.T.); #200515=EDGE_CURVE('',#160799,#160797,#85073,.T.); #200516=EDGE_CURVE('',#160800,#160798,#85074,.T.); #200517=EDGE_CURVE('',#160799,#160800,#85075,.T.); #200518=EDGE_CURVE('',#160801,#160799,#85076,.T.); #200519=EDGE_CURVE('',#160802,#160800,#85077,.T.); #200520=EDGE_CURVE('',#160801,#160802,#85078,.T.); #200521=EDGE_CURVE('',#160803,#160801,#85079,.T.); #200522=EDGE_CURVE('',#160804,#160802,#85080,.T.); #200523=EDGE_CURVE('',#160803,#160804,#85081,.T.); #200524=EDGE_CURVE('',#160805,#160803,#85082,.T.); #200525=EDGE_CURVE('',#160806,#160804,#85083,.T.); #200526=EDGE_CURVE('',#160805,#160806,#85084,.T.); #200527=EDGE_CURVE('',#160807,#160805,#85085,.T.); #200528=EDGE_CURVE('',#160808,#160806,#85086,.T.); #200529=EDGE_CURVE('',#160807,#160808,#85087,.T.); #200530=EDGE_CURVE('',#160809,#160807,#85088,.T.); #200531=EDGE_CURVE('',#160810,#160808,#85089,.T.); #200532=EDGE_CURVE('',#160809,#160810,#85090,.T.); #200533=EDGE_CURVE('',#160811,#160809,#85091,.T.); #200534=EDGE_CURVE('',#160812,#160810,#85092,.T.); #200535=EDGE_CURVE('',#160811,#160812,#85093,.T.); #200536=EDGE_CURVE('',#160813,#160811,#85094,.T.); #200537=EDGE_CURVE('',#160814,#160812,#85095,.T.); #200538=EDGE_CURVE('',#160813,#160814,#85096,.T.); #200539=EDGE_CURVE('',#160815,#160813,#85097,.T.); #200540=EDGE_CURVE('',#160816,#160814,#85098,.T.); #200541=EDGE_CURVE('',#160815,#160816,#85099,.T.); #200542=EDGE_CURVE('',#160817,#160815,#85100,.T.); #200543=EDGE_CURVE('',#160818,#160816,#85101,.T.); #200544=EDGE_CURVE('',#160817,#160818,#85102,.T.); #200545=EDGE_CURVE('',#160819,#160817,#85103,.T.); #200546=EDGE_CURVE('',#160820,#160818,#85104,.T.); #200547=EDGE_CURVE('',#160819,#160820,#85105,.T.); #200548=EDGE_CURVE('',#160821,#160819,#85106,.T.); #200549=EDGE_CURVE('',#160822,#160820,#85107,.T.); #200550=EDGE_CURVE('',#160821,#160822,#85108,.T.); #200551=EDGE_CURVE('',#160823,#160821,#85109,.T.); #200552=EDGE_CURVE('',#160824,#160822,#85110,.T.); #200553=EDGE_CURVE('',#160823,#160824,#85111,.T.); #200554=EDGE_CURVE('',#160825,#160823,#85112,.T.); #200555=EDGE_CURVE('',#160826,#160824,#85113,.T.); #200556=EDGE_CURVE('',#160825,#160826,#85114,.T.); #200557=EDGE_CURVE('',#160827,#160825,#85115,.T.); #200558=EDGE_CURVE('',#160828,#160826,#85116,.T.); #200559=EDGE_CURVE('',#160827,#160828,#85117,.T.); #200560=EDGE_CURVE('',#160829,#160827,#85118,.T.); #200561=EDGE_CURVE('',#160830,#160828,#85119,.T.); #200562=EDGE_CURVE('',#160829,#160830,#85120,.T.); #200563=EDGE_CURVE('',#160831,#160829,#85121,.T.); #200564=EDGE_CURVE('',#160832,#160830,#85122,.T.); #200565=EDGE_CURVE('',#160831,#160832,#85123,.T.); #200566=EDGE_CURVE('',#160833,#160831,#85124,.T.); #200567=EDGE_CURVE('',#160834,#160832,#85125,.T.); #200568=EDGE_CURVE('',#160833,#160834,#85126,.T.); #200569=EDGE_CURVE('',#160835,#160833,#85127,.T.); #200570=EDGE_CURVE('',#160836,#160834,#85128,.T.); #200571=EDGE_CURVE('',#160835,#160836,#85129,.T.); #200572=EDGE_CURVE('',#160837,#160835,#85130,.T.); #200573=EDGE_CURVE('',#160838,#160836,#85131,.T.); #200574=EDGE_CURVE('',#160837,#160838,#85132,.T.); #200575=EDGE_CURVE('',#160746,#160837,#85133,.T.); #200576=EDGE_CURVE('',#160747,#160838,#85134,.T.); #200577=EDGE_CURVE('',#160839,#160840,#85135,.T.); #200578=EDGE_CURVE('',#160840,#160841,#85136,.T.); #200579=EDGE_CURVE('',#160842,#160841,#85137,.T.); #200580=EDGE_CURVE('',#160839,#160842,#85138,.T.); #200581=EDGE_CURVE('',#160843,#160839,#85139,.T.); #200582=EDGE_CURVE('',#160844,#160842,#85140,.T.); #200583=EDGE_CURVE('',#160843,#160844,#85141,.T.); #200584=EDGE_CURVE('',#160845,#160843,#85142,.T.); #200585=EDGE_CURVE('',#160846,#160844,#85143,.T.); #200586=EDGE_CURVE('',#160845,#160846,#85144,.T.); #200587=EDGE_CURVE('',#160847,#160845,#85145,.T.); #200588=EDGE_CURVE('',#160848,#160846,#85146,.T.); #200589=EDGE_CURVE('',#160847,#160848,#85147,.T.); #200590=EDGE_CURVE('',#160849,#160847,#85148,.T.); #200591=EDGE_CURVE('',#160850,#160848,#85149,.T.); #200592=EDGE_CURVE('',#160849,#160850,#85150,.T.); #200593=EDGE_CURVE('',#160851,#160849,#85151,.T.); #200594=EDGE_CURVE('',#160852,#160850,#85152,.T.); #200595=EDGE_CURVE('',#160851,#160852,#85153,.T.); #200596=EDGE_CURVE('',#160853,#160851,#85154,.T.); #200597=EDGE_CURVE('',#160854,#160852,#85155,.T.); #200598=EDGE_CURVE('',#160853,#160854,#85156,.T.); #200599=EDGE_CURVE('',#160855,#160853,#85157,.T.); #200600=EDGE_CURVE('',#160856,#160854,#85158,.T.); #200601=EDGE_CURVE('',#160855,#160856,#85159,.T.); #200602=EDGE_CURVE('',#160857,#160855,#85160,.T.); #200603=EDGE_CURVE('',#160858,#160856,#85161,.T.); #200604=EDGE_CURVE('',#160857,#160858,#85162,.T.); #200605=EDGE_CURVE('',#160859,#160857,#85163,.T.); #200606=EDGE_CURVE('',#160860,#160858,#85164,.T.); #200607=EDGE_CURVE('',#160859,#160860,#85165,.T.); #200608=EDGE_CURVE('',#160861,#160859,#85166,.T.); #200609=EDGE_CURVE('',#160862,#160860,#85167,.T.); #200610=EDGE_CURVE('',#160861,#160862,#85168,.T.); #200611=EDGE_CURVE('',#160863,#160861,#85169,.T.); #200612=EDGE_CURVE('',#160864,#160862,#85170,.T.); #200613=EDGE_CURVE('',#160863,#160864,#85171,.T.); #200614=EDGE_CURVE('',#160865,#160863,#85172,.T.); #200615=EDGE_CURVE('',#160866,#160864,#85173,.T.); #200616=EDGE_CURVE('',#160865,#160866,#85174,.T.); #200617=EDGE_CURVE('',#160867,#160865,#85175,.T.); #200618=EDGE_CURVE('',#160868,#160866,#85176,.T.); #200619=EDGE_CURVE('',#160867,#160868,#85177,.T.); #200620=EDGE_CURVE('',#160869,#160867,#85178,.T.); #200621=EDGE_CURVE('',#160870,#160868,#85179,.T.); #200622=EDGE_CURVE('',#160869,#160870,#85180,.T.); #200623=EDGE_CURVE('',#160871,#160869,#85181,.T.); #200624=EDGE_CURVE('',#160872,#160870,#85182,.T.); #200625=EDGE_CURVE('',#160871,#160872,#85183,.T.); #200626=EDGE_CURVE('',#160873,#160871,#85184,.T.); #200627=EDGE_CURVE('',#160874,#160872,#85185,.T.); #200628=EDGE_CURVE('',#160873,#160874,#85186,.T.); #200629=EDGE_CURVE('',#160875,#160873,#85187,.T.); #200630=EDGE_CURVE('',#160876,#160874,#85188,.T.); #200631=EDGE_CURVE('',#160875,#160876,#85189,.T.); #200632=EDGE_CURVE('',#160877,#160875,#85190,.T.); #200633=EDGE_CURVE('',#160878,#160876,#85191,.T.); #200634=EDGE_CURVE('',#160877,#160878,#85192,.T.); #200635=EDGE_CURVE('',#160879,#160877,#85193,.T.); #200636=EDGE_CURVE('',#160880,#160878,#85194,.T.); #200637=EDGE_CURVE('',#160879,#160880,#85195,.T.); #200638=EDGE_CURVE('',#160881,#160879,#85196,.T.); #200639=EDGE_CURVE('',#160882,#160880,#85197,.T.); #200640=EDGE_CURVE('',#160881,#160882,#85198,.T.); #200641=EDGE_CURVE('',#160883,#160881,#85199,.T.); #200642=EDGE_CURVE('',#160884,#160882,#85200,.T.); #200643=EDGE_CURVE('',#160883,#160884,#85201,.T.); #200644=EDGE_CURVE('',#160885,#160883,#85202,.T.); #200645=EDGE_CURVE('',#160886,#160884,#85203,.T.); #200646=EDGE_CURVE('',#160885,#160886,#85204,.T.); #200647=EDGE_CURVE('',#160887,#160885,#85205,.T.); #200648=EDGE_CURVE('',#160888,#160886,#85206,.T.); #200649=EDGE_CURVE('',#160887,#160888,#85207,.T.); #200650=EDGE_CURVE('',#160889,#160887,#85208,.T.); #200651=EDGE_CURVE('',#160890,#160888,#85209,.T.); #200652=EDGE_CURVE('',#160889,#160890,#85210,.T.); #200653=EDGE_CURVE('',#160891,#160889,#85211,.T.); #200654=EDGE_CURVE('',#160892,#160890,#85212,.T.); #200655=EDGE_CURVE('',#160891,#160892,#85213,.T.); #200656=EDGE_CURVE('',#160893,#160891,#85214,.T.); #200657=EDGE_CURVE('',#160894,#160892,#85215,.T.); #200658=EDGE_CURVE('',#160893,#160894,#85216,.T.); #200659=EDGE_CURVE('',#160895,#160893,#85217,.T.); #200660=EDGE_CURVE('',#160896,#160894,#85218,.T.); #200661=EDGE_CURVE('',#160895,#160896,#85219,.T.); #200662=EDGE_CURVE('',#160897,#160895,#85220,.T.); #200663=EDGE_CURVE('',#160898,#160896,#85221,.T.); #200664=EDGE_CURVE('',#160897,#160898,#85222,.T.); #200665=EDGE_CURVE('',#160899,#160897,#85223,.T.); #200666=EDGE_CURVE('',#160900,#160898,#85224,.T.); #200667=EDGE_CURVE('',#160899,#160900,#85225,.T.); #200668=EDGE_CURVE('',#160901,#160899,#85226,.T.); #200669=EDGE_CURVE('',#160902,#160900,#85227,.T.); #200670=EDGE_CURVE('',#160901,#160902,#85228,.T.); #200671=EDGE_CURVE('',#160903,#160901,#85229,.T.); #200672=EDGE_CURVE('',#160904,#160902,#85230,.T.); #200673=EDGE_CURVE('',#160903,#160904,#85231,.T.); #200674=EDGE_CURVE('',#160905,#160903,#85232,.T.); #200675=EDGE_CURVE('',#160906,#160904,#85233,.T.); #200676=EDGE_CURVE('',#160905,#160906,#85234,.T.); #200677=EDGE_CURVE('',#160840,#160905,#85235,.T.); #200678=EDGE_CURVE('',#160841,#160906,#85236,.T.); #200679=EDGE_CURVE('',#160907,#160908,#85237,.T.); #200680=EDGE_CURVE('',#160908,#160909,#85238,.T.); #200681=EDGE_CURVE('',#160910,#160909,#85239,.T.); #200682=EDGE_CURVE('',#160907,#160910,#85240,.T.); #200683=EDGE_CURVE('',#160911,#160907,#85241,.T.); #200684=EDGE_CURVE('',#160912,#160910,#85242,.T.); #200685=EDGE_CURVE('',#160911,#160912,#85243,.T.); #200686=EDGE_CURVE('',#160913,#160911,#85244,.T.); #200687=EDGE_CURVE('',#160914,#160912,#85245,.T.); #200688=EDGE_CURVE('',#160913,#160914,#85246,.T.); #200689=EDGE_CURVE('',#160915,#160913,#85247,.T.); #200690=EDGE_CURVE('',#160916,#160914,#85248,.T.); #200691=EDGE_CURVE('',#160915,#160916,#85249,.T.); #200692=EDGE_CURVE('',#160917,#160915,#85250,.T.); #200693=EDGE_CURVE('',#160918,#160916,#85251,.T.); #200694=EDGE_CURVE('',#160917,#160918,#85252,.T.); #200695=EDGE_CURVE('',#160919,#160917,#85253,.T.); #200696=EDGE_CURVE('',#160920,#160918,#85254,.T.); #200697=EDGE_CURVE('',#160919,#160920,#85255,.T.); #200698=EDGE_CURVE('',#160921,#160919,#85256,.T.); #200699=EDGE_CURVE('',#160922,#160920,#85257,.T.); #200700=EDGE_CURVE('',#160921,#160922,#85258,.T.); #200701=EDGE_CURVE('',#160923,#160921,#85259,.T.); #200702=EDGE_CURVE('',#160924,#160922,#85260,.T.); #200703=EDGE_CURVE('',#160923,#160924,#85261,.T.); #200704=EDGE_CURVE('',#160925,#160923,#85262,.T.); #200705=EDGE_CURVE('',#160926,#160924,#85263,.T.); #200706=EDGE_CURVE('',#160925,#160926,#85264,.T.); #200707=EDGE_CURVE('',#160927,#160925,#85265,.T.); #200708=EDGE_CURVE('',#160928,#160926,#85266,.T.); #200709=EDGE_CURVE('',#160927,#160928,#85267,.T.); #200710=EDGE_CURVE('',#160929,#160927,#85268,.T.); #200711=EDGE_CURVE('',#160930,#160928,#85269,.T.); #200712=EDGE_CURVE('',#160929,#160930,#85270,.T.); #200713=EDGE_CURVE('',#160931,#160929,#85271,.T.); #200714=EDGE_CURVE('',#160932,#160930,#85272,.T.); #200715=EDGE_CURVE('',#160931,#160932,#85273,.T.); #200716=EDGE_CURVE('',#160933,#160931,#85274,.T.); #200717=EDGE_CURVE('',#160934,#160932,#85275,.T.); #200718=EDGE_CURVE('',#160933,#160934,#85276,.T.); #200719=EDGE_CURVE('',#160935,#160933,#85277,.T.); #200720=EDGE_CURVE('',#160936,#160934,#85278,.T.); #200721=EDGE_CURVE('',#160935,#160936,#85279,.T.); #200722=EDGE_CURVE('',#160937,#160935,#85280,.T.); #200723=EDGE_CURVE('',#160938,#160936,#85281,.T.); #200724=EDGE_CURVE('',#160937,#160938,#85282,.T.); #200725=EDGE_CURVE('',#160939,#160937,#85283,.T.); #200726=EDGE_CURVE('',#160940,#160938,#85284,.T.); #200727=EDGE_CURVE('',#160939,#160940,#85285,.T.); #200728=EDGE_CURVE('',#160941,#160939,#85286,.T.); #200729=EDGE_CURVE('',#160942,#160940,#85287,.T.); #200730=EDGE_CURVE('',#160941,#160942,#85288,.T.); #200731=EDGE_CURVE('',#160943,#160941,#85289,.T.); #200732=EDGE_CURVE('',#160944,#160942,#85290,.T.); #200733=EDGE_CURVE('',#160943,#160944,#85291,.T.); #200734=EDGE_CURVE('',#160945,#160943,#85292,.T.); #200735=EDGE_CURVE('',#160946,#160944,#85293,.T.); #200736=EDGE_CURVE('',#160945,#160946,#85294,.T.); #200737=EDGE_CURVE('',#160947,#160945,#85295,.T.); #200738=EDGE_CURVE('',#160948,#160946,#85296,.T.); #200739=EDGE_CURVE('',#160947,#160948,#85297,.T.); #200740=EDGE_CURVE('',#160949,#160947,#85298,.T.); #200741=EDGE_CURVE('',#160950,#160948,#85299,.T.); #200742=EDGE_CURVE('',#160949,#160950,#85300,.T.); #200743=EDGE_CURVE('',#160908,#160949,#85301,.T.); #200744=EDGE_CURVE('',#160909,#160950,#85302,.T.); #200745=EDGE_CURVE('',#160951,#160952,#85303,.T.); #200746=EDGE_CURVE('',#160952,#160953,#85304,.T.); #200747=EDGE_CURVE('',#160954,#160953,#85305,.T.); #200748=EDGE_CURVE('',#160951,#160954,#85306,.T.); #200749=EDGE_CURVE('',#160955,#160951,#85307,.T.); #200750=EDGE_CURVE('',#160956,#160954,#85308,.T.); #200751=EDGE_CURVE('',#160955,#160956,#85309,.T.); #200752=EDGE_CURVE('',#160957,#160955,#85310,.T.); #200753=EDGE_CURVE('',#160958,#160956,#85311,.T.); #200754=EDGE_CURVE('',#160957,#160958,#85312,.T.); #200755=EDGE_CURVE('',#160959,#160957,#85313,.T.); #200756=EDGE_CURVE('',#160960,#160958,#85314,.T.); #200757=EDGE_CURVE('',#160959,#160960,#85315,.T.); #200758=EDGE_CURVE('',#160961,#160959,#85316,.T.); #200759=EDGE_CURVE('',#160962,#160960,#85317,.T.); #200760=EDGE_CURVE('',#160961,#160962,#85318,.T.); #200761=EDGE_CURVE('',#160963,#160961,#85319,.T.); #200762=EDGE_CURVE('',#160964,#160962,#85320,.T.); #200763=EDGE_CURVE('',#160963,#160964,#85321,.T.); #200764=EDGE_CURVE('',#160965,#160963,#85322,.T.); #200765=EDGE_CURVE('',#160966,#160964,#85323,.T.); #200766=EDGE_CURVE('',#160965,#160966,#85324,.T.); #200767=EDGE_CURVE('',#160967,#160965,#85325,.T.); #200768=EDGE_CURVE('',#160968,#160966,#85326,.T.); #200769=EDGE_CURVE('',#160967,#160968,#85327,.T.); #200770=EDGE_CURVE('',#160969,#160967,#85328,.T.); #200771=EDGE_CURVE('',#160970,#160968,#85329,.T.); #200772=EDGE_CURVE('',#160969,#160970,#85330,.T.); #200773=EDGE_CURVE('',#160971,#160969,#85331,.T.); #200774=EDGE_CURVE('',#160972,#160970,#85332,.T.); #200775=EDGE_CURVE('',#160971,#160972,#85333,.T.); #200776=EDGE_CURVE('',#160973,#160971,#85334,.T.); #200777=EDGE_CURVE('',#160974,#160972,#85335,.T.); #200778=EDGE_CURVE('',#160973,#160974,#85336,.T.); #200779=EDGE_CURVE('',#160975,#160973,#85337,.T.); #200780=EDGE_CURVE('',#160976,#160974,#85338,.T.); #200781=EDGE_CURVE('',#160975,#160976,#85339,.T.); #200782=EDGE_CURVE('',#160977,#160975,#85340,.T.); #200783=EDGE_CURVE('',#160978,#160976,#85341,.T.); #200784=EDGE_CURVE('',#160977,#160978,#85342,.T.); #200785=EDGE_CURVE('',#160979,#160977,#85343,.T.); #200786=EDGE_CURVE('',#160980,#160978,#85344,.T.); #200787=EDGE_CURVE('',#160979,#160980,#85345,.T.); #200788=EDGE_CURVE('',#160981,#160979,#85346,.T.); #200789=EDGE_CURVE('',#160982,#160980,#85347,.T.); #200790=EDGE_CURVE('',#160981,#160982,#85348,.T.); #200791=EDGE_CURVE('',#160983,#160981,#85349,.T.); #200792=EDGE_CURVE('',#160984,#160982,#85350,.T.); #200793=EDGE_CURVE('',#160983,#160984,#85351,.T.); #200794=EDGE_CURVE('',#160985,#160983,#85352,.T.); #200795=EDGE_CURVE('',#160986,#160984,#85353,.T.); #200796=EDGE_CURVE('',#160985,#160986,#85354,.T.); #200797=EDGE_CURVE('',#160987,#160985,#85355,.T.); #200798=EDGE_CURVE('',#160988,#160986,#85356,.T.); #200799=EDGE_CURVE('',#160987,#160988,#85357,.T.); #200800=EDGE_CURVE('',#160989,#160987,#85358,.T.); #200801=EDGE_CURVE('',#160990,#160988,#85359,.T.); #200802=EDGE_CURVE('',#160989,#160990,#85360,.T.); #200803=EDGE_CURVE('',#160952,#160989,#85361,.T.); #200804=EDGE_CURVE('',#160953,#160990,#85362,.T.); #200805=EDGE_CURVE('',#160991,#160992,#85363,.T.); #200806=EDGE_CURVE('',#160992,#160993,#85364,.T.); #200807=EDGE_CURVE('',#160994,#160993,#85365,.T.); #200808=EDGE_CURVE('',#160991,#160994,#85366,.T.); #200809=EDGE_CURVE('',#160995,#160991,#85367,.T.); #200810=EDGE_CURVE('',#160996,#160994,#85368,.T.); #200811=EDGE_CURVE('',#160995,#160996,#85369,.T.); #200812=EDGE_CURVE('',#160997,#160995,#85370,.T.); #200813=EDGE_CURVE('',#160998,#160996,#85371,.T.); #200814=EDGE_CURVE('',#160997,#160998,#85372,.T.); #200815=EDGE_CURVE('',#160999,#160997,#85373,.T.); #200816=EDGE_CURVE('',#161000,#160998,#85374,.T.); #200817=EDGE_CURVE('',#160999,#161000,#85375,.T.); #200818=EDGE_CURVE('',#161001,#160999,#85376,.T.); #200819=EDGE_CURVE('',#161002,#161000,#85377,.T.); #200820=EDGE_CURVE('',#161001,#161002,#85378,.T.); #200821=EDGE_CURVE('',#161003,#161001,#85379,.T.); #200822=EDGE_CURVE('',#161004,#161002,#85380,.T.); #200823=EDGE_CURVE('',#161003,#161004,#85381,.T.); #200824=EDGE_CURVE('',#161005,#161003,#85382,.T.); #200825=EDGE_CURVE('',#161006,#161004,#85383,.T.); #200826=EDGE_CURVE('',#161005,#161006,#85384,.T.); #200827=EDGE_CURVE('',#161007,#161005,#85385,.T.); #200828=EDGE_CURVE('',#161008,#161006,#85386,.T.); #200829=EDGE_CURVE('',#161007,#161008,#85387,.T.); #200830=EDGE_CURVE('',#161009,#161007,#85388,.T.); #200831=EDGE_CURVE('',#161010,#161008,#85389,.T.); #200832=EDGE_CURVE('',#161009,#161010,#85390,.T.); #200833=EDGE_CURVE('',#161011,#161009,#85391,.T.); #200834=EDGE_CURVE('',#161012,#161010,#85392,.T.); #200835=EDGE_CURVE('',#161011,#161012,#85393,.T.); #200836=EDGE_CURVE('',#161013,#161011,#85394,.T.); #200837=EDGE_CURVE('',#161014,#161012,#85395,.T.); #200838=EDGE_CURVE('',#161013,#161014,#85396,.T.); #200839=EDGE_CURVE('',#161015,#161013,#85397,.T.); #200840=EDGE_CURVE('',#161016,#161014,#85398,.T.); #200841=EDGE_CURVE('',#161015,#161016,#85399,.T.); #200842=EDGE_CURVE('',#161017,#161015,#85400,.T.); #200843=EDGE_CURVE('',#161018,#161016,#85401,.T.); #200844=EDGE_CURVE('',#161017,#161018,#85402,.T.); #200845=EDGE_CURVE('',#161019,#161017,#85403,.T.); #200846=EDGE_CURVE('',#161020,#161018,#85404,.T.); #200847=EDGE_CURVE('',#161019,#161020,#85405,.T.); #200848=EDGE_CURVE('',#161021,#161019,#85406,.T.); #200849=EDGE_CURVE('',#161022,#161020,#85407,.T.); #200850=EDGE_CURVE('',#161021,#161022,#85408,.T.); #200851=EDGE_CURVE('',#161023,#161021,#85409,.T.); #200852=EDGE_CURVE('',#161024,#161022,#85410,.T.); #200853=EDGE_CURVE('',#161023,#161024,#85411,.T.); #200854=EDGE_CURVE('',#161025,#161023,#85412,.T.); #200855=EDGE_CURVE('',#161026,#161024,#85413,.T.); #200856=EDGE_CURVE('',#161025,#161026,#85414,.T.); #200857=EDGE_CURVE('',#161027,#161025,#85415,.T.); #200858=EDGE_CURVE('',#161028,#161026,#85416,.T.); #200859=EDGE_CURVE('',#161027,#161028,#85417,.T.); #200860=EDGE_CURVE('',#161029,#161027,#85418,.T.); #200861=EDGE_CURVE('',#161030,#161028,#85419,.T.); #200862=EDGE_CURVE('',#161029,#161030,#85420,.T.); #200863=EDGE_CURVE('',#161031,#161029,#85421,.T.); #200864=EDGE_CURVE('',#161032,#161030,#85422,.T.); #200865=EDGE_CURVE('',#161031,#161032,#85423,.T.); #200866=EDGE_CURVE('',#161033,#161031,#85424,.T.); #200867=EDGE_CURVE('',#161034,#161032,#85425,.T.); #200868=EDGE_CURVE('',#161033,#161034,#85426,.T.); #200869=EDGE_CURVE('',#161035,#161033,#85427,.T.); #200870=EDGE_CURVE('',#161036,#161034,#85428,.T.); #200871=EDGE_CURVE('',#161035,#161036,#85429,.T.); #200872=EDGE_CURVE('',#161037,#161035,#85430,.T.); #200873=EDGE_CURVE('',#161038,#161036,#85431,.T.); #200874=EDGE_CURVE('',#161037,#161038,#85432,.T.); #200875=EDGE_CURVE('',#161039,#161037,#85433,.T.); #200876=EDGE_CURVE('',#161040,#161038,#85434,.T.); #200877=EDGE_CURVE('',#161039,#161040,#85435,.T.); #200878=EDGE_CURVE('',#161041,#161039,#85436,.T.); #200879=EDGE_CURVE('',#161042,#161040,#85437,.T.); #200880=EDGE_CURVE('',#161041,#161042,#85438,.T.); #200881=EDGE_CURVE('',#161043,#161041,#85439,.T.); #200882=EDGE_CURVE('',#161044,#161042,#85440,.T.); #200883=EDGE_CURVE('',#161043,#161044,#85441,.T.); #200884=EDGE_CURVE('',#161045,#161043,#85442,.T.); #200885=EDGE_CURVE('',#161046,#161044,#85443,.T.); #200886=EDGE_CURVE('',#161045,#161046,#85444,.T.); #200887=EDGE_CURVE('',#161047,#161045,#85445,.T.); #200888=EDGE_CURVE('',#161048,#161046,#85446,.T.); #200889=EDGE_CURVE('',#161047,#161048,#85447,.T.); #200890=EDGE_CURVE('',#161049,#161047,#85448,.T.); #200891=EDGE_CURVE('',#161050,#161048,#85449,.T.); #200892=EDGE_CURVE('',#161049,#161050,#85450,.T.); #200893=EDGE_CURVE('',#161051,#161049,#85451,.T.); #200894=EDGE_CURVE('',#161052,#161050,#85452,.T.); #200895=EDGE_CURVE('',#161051,#161052,#85453,.T.); #200896=EDGE_CURVE('',#161053,#161051,#85454,.T.); #200897=EDGE_CURVE('',#161054,#161052,#85455,.T.); #200898=EDGE_CURVE('',#161053,#161054,#85456,.T.); #200899=EDGE_CURVE('',#161055,#161053,#85457,.T.); #200900=EDGE_CURVE('',#161056,#161054,#85458,.T.); #200901=EDGE_CURVE('',#161055,#161056,#85459,.T.); #200902=EDGE_CURVE('',#161057,#161055,#85460,.T.); #200903=EDGE_CURVE('',#161058,#161056,#85461,.T.); #200904=EDGE_CURVE('',#161057,#161058,#85462,.T.); #200905=EDGE_CURVE('',#161059,#161057,#85463,.T.); #200906=EDGE_CURVE('',#161060,#161058,#85464,.T.); #200907=EDGE_CURVE('',#161059,#161060,#85465,.T.); #200908=EDGE_CURVE('',#161061,#161059,#85466,.T.); #200909=EDGE_CURVE('',#161062,#161060,#85467,.T.); #200910=EDGE_CURVE('',#161061,#161062,#85468,.T.); #200911=EDGE_CURVE('',#161063,#161061,#85469,.T.); #200912=EDGE_CURVE('',#161064,#161062,#85470,.T.); #200913=EDGE_CURVE('',#161063,#161064,#85471,.T.); #200914=EDGE_CURVE('',#161065,#161063,#85472,.T.); #200915=EDGE_CURVE('',#161066,#161064,#85473,.T.); #200916=EDGE_CURVE('',#161065,#161066,#85474,.T.); #200917=EDGE_CURVE('',#161067,#161065,#85475,.T.); #200918=EDGE_CURVE('',#161068,#161066,#85476,.T.); #200919=EDGE_CURVE('',#161067,#161068,#85477,.T.); #200920=EDGE_CURVE('',#161069,#161067,#85478,.T.); #200921=EDGE_CURVE('',#161070,#161068,#85479,.T.); #200922=EDGE_CURVE('',#161069,#161070,#85480,.T.); #200923=EDGE_CURVE('',#161071,#161069,#85481,.T.); #200924=EDGE_CURVE('',#161072,#161070,#85482,.T.); #200925=EDGE_CURVE('',#161071,#161072,#85483,.T.); #200926=EDGE_CURVE('',#161073,#161071,#85484,.T.); #200927=EDGE_CURVE('',#161074,#161072,#85485,.T.); #200928=EDGE_CURVE('',#161073,#161074,#85486,.T.); #200929=EDGE_CURVE('',#161075,#161073,#85487,.T.); #200930=EDGE_CURVE('',#161076,#161074,#85488,.T.); #200931=EDGE_CURVE('',#161075,#161076,#85489,.T.); #200932=EDGE_CURVE('',#161077,#161075,#85490,.T.); #200933=EDGE_CURVE('',#161078,#161076,#85491,.T.); #200934=EDGE_CURVE('',#161077,#161078,#85492,.T.); #200935=EDGE_CURVE('',#161079,#161077,#85493,.T.); #200936=EDGE_CURVE('',#161080,#161078,#85494,.T.); #200937=EDGE_CURVE('',#161079,#161080,#85495,.T.); #200938=EDGE_CURVE('',#161081,#161079,#85496,.T.); #200939=EDGE_CURVE('',#161082,#161080,#85497,.T.); #200940=EDGE_CURVE('',#161081,#161082,#85498,.T.); #200941=EDGE_CURVE('',#161083,#161081,#85499,.T.); #200942=EDGE_CURVE('',#161084,#161082,#85500,.T.); #200943=EDGE_CURVE('',#161083,#161084,#85501,.T.); #200944=EDGE_CURVE('',#161085,#161083,#85502,.T.); #200945=EDGE_CURVE('',#161086,#161084,#85503,.T.); #200946=EDGE_CURVE('',#161085,#161086,#85504,.T.); #200947=EDGE_CURVE('',#161087,#161085,#85505,.T.); #200948=EDGE_CURVE('',#161088,#161086,#85506,.T.); #200949=EDGE_CURVE('',#161087,#161088,#85507,.T.); #200950=EDGE_CURVE('',#161089,#161087,#85508,.T.); #200951=EDGE_CURVE('',#161090,#161088,#85509,.T.); #200952=EDGE_CURVE('',#161089,#161090,#85510,.T.); #200953=EDGE_CURVE('',#161091,#161089,#85511,.T.); #200954=EDGE_CURVE('',#161092,#161090,#85512,.T.); #200955=EDGE_CURVE('',#161091,#161092,#85513,.T.); #200956=EDGE_CURVE('',#161093,#161091,#85514,.T.); #200957=EDGE_CURVE('',#161094,#161092,#85515,.T.); #200958=EDGE_CURVE('',#161093,#161094,#85516,.T.); #200959=EDGE_CURVE('',#161095,#161093,#85517,.T.); #200960=EDGE_CURVE('',#161096,#161094,#85518,.T.); #200961=EDGE_CURVE('',#161095,#161096,#85519,.T.); #200962=EDGE_CURVE('',#161097,#161095,#85520,.T.); #200963=EDGE_CURVE('',#161098,#161096,#85521,.T.); #200964=EDGE_CURVE('',#161097,#161098,#85522,.T.); #200965=EDGE_CURVE('',#161099,#161097,#85523,.T.); #200966=EDGE_CURVE('',#161100,#161098,#85524,.T.); #200967=EDGE_CURVE('',#161099,#161100,#85525,.T.); #200968=EDGE_CURVE('',#161101,#161099,#85526,.T.); #200969=EDGE_CURVE('',#161102,#161100,#85527,.T.); #200970=EDGE_CURVE('',#161101,#161102,#85528,.T.); #200971=EDGE_CURVE('',#161103,#161101,#85529,.T.); #200972=EDGE_CURVE('',#161104,#161102,#85530,.T.); #200973=EDGE_CURVE('',#161103,#161104,#85531,.T.); #200974=EDGE_CURVE('',#161105,#161103,#85532,.T.); #200975=EDGE_CURVE('',#161106,#161104,#85533,.T.); #200976=EDGE_CURVE('',#161105,#161106,#85534,.T.); #200977=EDGE_CURVE('',#161107,#161105,#85535,.T.); #200978=EDGE_CURVE('',#161108,#161106,#85536,.T.); #200979=EDGE_CURVE('',#161107,#161108,#85537,.T.); #200980=EDGE_CURVE('',#161109,#161107,#85538,.T.); #200981=EDGE_CURVE('',#161110,#161108,#85539,.T.); #200982=EDGE_CURVE('',#161109,#161110,#85540,.T.); #200983=EDGE_CURVE('',#161111,#161109,#85541,.T.); #200984=EDGE_CURVE('',#161112,#161110,#85542,.T.); #200985=EDGE_CURVE('',#161111,#161112,#85543,.T.); #200986=EDGE_CURVE('',#161113,#161111,#85544,.T.); #200987=EDGE_CURVE('',#161114,#161112,#85545,.T.); #200988=EDGE_CURVE('',#161113,#161114,#85546,.T.); #200989=EDGE_CURVE('',#161115,#161113,#85547,.T.); #200990=EDGE_CURVE('',#161116,#161114,#85548,.T.); #200991=EDGE_CURVE('',#161115,#161116,#85549,.T.); #200992=EDGE_CURVE('',#161117,#161115,#85550,.T.); #200993=EDGE_CURVE('',#161118,#161116,#85551,.T.); #200994=EDGE_CURVE('',#161117,#161118,#85552,.T.); #200995=EDGE_CURVE('',#161119,#161117,#85553,.T.); #200996=EDGE_CURVE('',#161120,#161118,#85554,.T.); #200997=EDGE_CURVE('',#161119,#161120,#85555,.T.); #200998=EDGE_CURVE('',#161121,#161119,#85556,.T.); #200999=EDGE_CURVE('',#161122,#161120,#85557,.T.); #201000=EDGE_CURVE('',#161121,#161122,#85558,.T.); #201001=EDGE_CURVE('',#161123,#161121,#85559,.T.); #201002=EDGE_CURVE('',#161124,#161122,#85560,.T.); #201003=EDGE_CURVE('',#161123,#161124,#85561,.T.); #201004=EDGE_CURVE('',#161125,#161123,#85562,.T.); #201005=EDGE_CURVE('',#161126,#161124,#85563,.T.); #201006=EDGE_CURVE('',#161125,#161126,#85564,.T.); #201007=EDGE_CURVE('',#161127,#161125,#85565,.T.); #201008=EDGE_CURVE('',#161128,#161126,#85566,.T.); #201009=EDGE_CURVE('',#161127,#161128,#85567,.T.); #201010=EDGE_CURVE('',#161129,#161127,#85568,.T.); #201011=EDGE_CURVE('',#161130,#161128,#85569,.T.); #201012=EDGE_CURVE('',#161129,#161130,#85570,.T.); #201013=EDGE_CURVE('',#161131,#161129,#85571,.T.); #201014=EDGE_CURVE('',#161132,#161130,#85572,.T.); #201015=EDGE_CURVE('',#161131,#161132,#85573,.T.); #201016=EDGE_CURVE('',#161133,#161131,#85574,.T.); #201017=EDGE_CURVE('',#161134,#161132,#85575,.T.); #201018=EDGE_CURVE('',#161133,#161134,#85576,.T.); #201019=EDGE_CURVE('',#160992,#161133,#85577,.T.); #201020=EDGE_CURVE('',#160993,#161134,#85578,.T.); #201021=EDGE_CURVE('',#161135,#161136,#85579,.T.); #201022=EDGE_CURVE('',#161136,#161137,#85580,.T.); #201023=EDGE_CURVE('',#161138,#161137,#85581,.T.); #201024=EDGE_CURVE('',#161135,#161138,#85582,.T.); #201025=EDGE_CURVE('',#161139,#161135,#85583,.T.); #201026=EDGE_CURVE('',#161140,#161138,#85584,.T.); #201027=EDGE_CURVE('',#161139,#161140,#85585,.T.); #201028=EDGE_CURVE('',#161141,#161139,#85586,.T.); #201029=EDGE_CURVE('',#161142,#161140,#85587,.T.); #201030=EDGE_CURVE('',#161141,#161142,#85588,.T.); #201031=EDGE_CURVE('',#161143,#161141,#85589,.T.); #201032=EDGE_CURVE('',#161144,#161142,#85590,.T.); #201033=EDGE_CURVE('',#161143,#161144,#85591,.T.); #201034=EDGE_CURVE('',#161145,#161143,#85592,.T.); #201035=EDGE_CURVE('',#161146,#161144,#85593,.T.); #201036=EDGE_CURVE('',#161145,#161146,#85594,.T.); #201037=EDGE_CURVE('',#161147,#161145,#85595,.T.); #201038=EDGE_CURVE('',#161148,#161146,#85596,.T.); #201039=EDGE_CURVE('',#161147,#161148,#85597,.T.); #201040=EDGE_CURVE('',#161149,#161147,#85598,.T.); #201041=EDGE_CURVE('',#161150,#161148,#85599,.T.); #201042=EDGE_CURVE('',#161149,#161150,#85600,.T.); #201043=EDGE_CURVE('',#161151,#161149,#85601,.T.); #201044=EDGE_CURVE('',#161152,#161150,#85602,.T.); #201045=EDGE_CURVE('',#161151,#161152,#85603,.T.); #201046=EDGE_CURVE('',#161153,#161151,#85604,.T.); #201047=EDGE_CURVE('',#161154,#161152,#85605,.T.); #201048=EDGE_CURVE('',#161153,#161154,#85606,.T.); #201049=EDGE_CURVE('',#161155,#161153,#85607,.T.); #201050=EDGE_CURVE('',#161156,#161154,#85608,.T.); #201051=EDGE_CURVE('',#161155,#161156,#85609,.T.); #201052=EDGE_CURVE('',#161157,#161155,#85610,.T.); #201053=EDGE_CURVE('',#161158,#161156,#85611,.T.); #201054=EDGE_CURVE('',#161157,#161158,#85612,.T.); #201055=EDGE_CURVE('',#161159,#161157,#85613,.T.); #201056=EDGE_CURVE('',#161160,#161158,#85614,.T.); #201057=EDGE_CURVE('',#161159,#161160,#85615,.T.); #201058=EDGE_CURVE('',#161161,#161159,#85616,.T.); #201059=EDGE_CURVE('',#161162,#161160,#85617,.T.); #201060=EDGE_CURVE('',#161161,#161162,#85618,.T.); #201061=EDGE_CURVE('',#161163,#161161,#85619,.T.); #201062=EDGE_CURVE('',#161164,#161162,#85620,.T.); #201063=EDGE_CURVE('',#161163,#161164,#85621,.T.); #201064=EDGE_CURVE('',#161165,#161163,#85622,.T.); #201065=EDGE_CURVE('',#161166,#161164,#85623,.T.); #201066=EDGE_CURVE('',#161165,#161166,#85624,.T.); #201067=EDGE_CURVE('',#161167,#161165,#85625,.T.); #201068=EDGE_CURVE('',#161168,#161166,#85626,.T.); #201069=EDGE_CURVE('',#161167,#161168,#85627,.T.); #201070=EDGE_CURVE('',#161169,#161167,#85628,.T.); #201071=EDGE_CURVE('',#161170,#161168,#85629,.T.); #201072=EDGE_CURVE('',#161169,#161170,#85630,.T.); #201073=EDGE_CURVE('',#161171,#161169,#85631,.T.); #201074=EDGE_CURVE('',#161172,#161170,#85632,.T.); #201075=EDGE_CURVE('',#161171,#161172,#85633,.T.); #201076=EDGE_CURVE('',#161173,#161171,#85634,.T.); #201077=EDGE_CURVE('',#161174,#161172,#85635,.T.); #201078=EDGE_CURVE('',#161173,#161174,#85636,.T.); #201079=EDGE_CURVE('',#161175,#161173,#85637,.T.); #201080=EDGE_CURVE('',#161176,#161174,#85638,.T.); #201081=EDGE_CURVE('',#161175,#161176,#85639,.T.); #201082=EDGE_CURVE('',#161177,#161175,#85640,.T.); #201083=EDGE_CURVE('',#161178,#161176,#85641,.T.); #201084=EDGE_CURVE('',#161177,#161178,#85642,.T.); #201085=EDGE_CURVE('',#161179,#161177,#85643,.T.); #201086=EDGE_CURVE('',#161180,#161178,#85644,.T.); #201087=EDGE_CURVE('',#161179,#161180,#85645,.T.); #201088=EDGE_CURVE('',#161181,#161179,#85646,.T.); #201089=EDGE_CURVE('',#161182,#161180,#85647,.T.); #201090=EDGE_CURVE('',#161181,#161182,#85648,.T.); #201091=EDGE_CURVE('',#161183,#161181,#85649,.T.); #201092=EDGE_CURVE('',#161184,#161182,#85650,.T.); #201093=EDGE_CURVE('',#161183,#161184,#85651,.T.); #201094=EDGE_CURVE('',#161185,#161183,#85652,.T.); #201095=EDGE_CURVE('',#161186,#161184,#85653,.T.); #201096=EDGE_CURVE('',#161185,#161186,#85654,.T.); #201097=EDGE_CURVE('',#161187,#161185,#85655,.T.); #201098=EDGE_CURVE('',#161188,#161186,#85656,.T.); #201099=EDGE_CURVE('',#161187,#161188,#85657,.T.); #201100=EDGE_CURVE('',#161189,#161187,#85658,.T.); #201101=EDGE_CURVE('',#161190,#161188,#85659,.T.); #201102=EDGE_CURVE('',#161189,#161190,#85660,.T.); #201103=EDGE_CURVE('',#161136,#161189,#85661,.T.); #201104=EDGE_CURVE('',#161137,#161190,#85662,.T.); #201105=EDGE_CURVE('',#161191,#161191,#137303,.T.); #201106=EDGE_CURVE('',#161191,#161192,#85663,.T.); #201107=EDGE_CURVE('',#161192,#161192,#137304,.T.); #201108=EDGE_CURVE('',#161193,#161193,#137305,.T.); #201109=EDGE_CURVE('',#161193,#161194,#85664,.T.); #201110=EDGE_CURVE('',#161194,#161194,#137306,.T.); #201111=EDGE_CURVE('',#161195,#161195,#137307,.T.); #201112=EDGE_CURVE('',#161195,#161196,#85665,.T.); #201113=EDGE_CURVE('',#161196,#161196,#137308,.T.); #201114=EDGE_CURVE('',#161197,#161197,#137309,.T.); #201115=EDGE_CURVE('',#161197,#161198,#85666,.T.); #201116=EDGE_CURVE('',#161198,#161198,#137310,.T.); #201117=EDGE_CURVE('',#161199,#161199,#137311,.T.); #201118=EDGE_CURVE('',#161199,#161200,#85667,.T.); #201119=EDGE_CURVE('',#161200,#161200,#137312,.T.); #201120=EDGE_CURVE('',#161201,#161201,#137313,.T.); #201121=EDGE_CURVE('',#161201,#161202,#85668,.T.); #201122=EDGE_CURVE('',#161202,#161202,#137314,.T.); #201123=EDGE_CURVE('',#161203,#161203,#137315,.T.); #201124=EDGE_CURVE('',#161203,#161204,#85669,.T.); #201125=EDGE_CURVE('',#161204,#161204,#137316,.T.); #201126=EDGE_CURVE('',#161205,#161205,#137317,.T.); #201127=EDGE_CURVE('',#161205,#161206,#85670,.T.); #201128=EDGE_CURVE('',#161206,#161206,#137318,.T.); #201129=EDGE_CURVE('',#161207,#161207,#137319,.T.); #201130=EDGE_CURVE('',#161207,#161208,#85671,.T.); #201131=EDGE_CURVE('',#161208,#161208,#137320,.T.); #201132=EDGE_CURVE('',#161209,#161209,#137321,.T.); #201133=EDGE_CURVE('',#161209,#161210,#85672,.T.); #201134=EDGE_CURVE('',#161210,#161210,#137322,.T.); #201135=EDGE_CURVE('',#161211,#161211,#137323,.T.); #201136=EDGE_CURVE('',#161211,#161212,#85673,.T.); #201137=EDGE_CURVE('',#161212,#161212,#137324,.T.); #201138=EDGE_CURVE('',#161213,#161213,#137325,.T.); #201139=EDGE_CURVE('',#161213,#161214,#85674,.T.); #201140=EDGE_CURVE('',#161214,#161214,#137326,.T.); #201141=EDGE_CURVE('',#161215,#161215,#137327,.T.); #201142=EDGE_CURVE('',#161215,#161216,#85675,.T.); #201143=EDGE_CURVE('',#161216,#161216,#137328,.T.); #201144=EDGE_CURVE('',#161217,#161217,#137329,.T.); #201145=EDGE_CURVE('',#161217,#161218,#85676,.T.); #201146=EDGE_CURVE('',#161218,#161218,#137330,.T.); #201147=EDGE_CURVE('',#161219,#161219,#137331,.T.); #201148=EDGE_CURVE('',#161219,#161220,#85677,.T.); #201149=EDGE_CURVE('',#161220,#161220,#137332,.T.); #201150=EDGE_CURVE('',#161221,#161221,#137333,.T.); #201151=EDGE_CURVE('',#161221,#161222,#85678,.T.); #201152=EDGE_CURVE('',#161222,#161222,#137334,.T.); #201153=EDGE_CURVE('',#161223,#161224,#85679,.T.); #201154=EDGE_CURVE('',#161224,#161225,#85680,.T.); #201155=EDGE_CURVE('',#161226,#161225,#85681,.T.); #201156=EDGE_CURVE('',#161223,#161226,#85682,.T.); #201157=EDGE_CURVE('',#161227,#161223,#85683,.T.); #201158=EDGE_CURVE('',#161228,#161226,#85684,.T.); #201159=EDGE_CURVE('',#161227,#161228,#85685,.T.); #201160=EDGE_CURVE('',#161229,#161227,#85686,.T.); #201161=EDGE_CURVE('',#161230,#161228,#85687,.T.); #201162=EDGE_CURVE('',#161229,#161230,#85688,.T.); #201163=EDGE_CURVE('',#161231,#161229,#85689,.T.); #201164=EDGE_CURVE('',#161232,#161230,#85690,.T.); #201165=EDGE_CURVE('',#161231,#161232,#85691,.T.); #201166=EDGE_CURVE('',#161233,#161231,#85692,.T.); #201167=EDGE_CURVE('',#161234,#161232,#85693,.T.); #201168=EDGE_CURVE('',#161233,#161234,#85694,.T.); #201169=EDGE_CURVE('',#161235,#161233,#85695,.T.); #201170=EDGE_CURVE('',#161236,#161234,#85696,.T.); #201171=EDGE_CURVE('',#161235,#161236,#85697,.T.); #201172=EDGE_CURVE('',#161237,#161235,#85698,.T.); #201173=EDGE_CURVE('',#161238,#161236,#85699,.T.); #201174=EDGE_CURVE('',#161237,#161238,#85700,.T.); #201175=EDGE_CURVE('',#161239,#161237,#85701,.T.); #201176=EDGE_CURVE('',#161240,#161238,#85702,.T.); #201177=EDGE_CURVE('',#161239,#161240,#85703,.T.); #201178=EDGE_CURVE('',#161241,#161239,#85704,.T.); #201179=EDGE_CURVE('',#161242,#161240,#85705,.T.); #201180=EDGE_CURVE('',#161241,#161242,#85706,.T.); #201181=EDGE_CURVE('',#161243,#161241,#85707,.T.); #201182=EDGE_CURVE('',#161244,#161242,#85708,.T.); #201183=EDGE_CURVE('',#161243,#161244,#85709,.T.); #201184=EDGE_CURVE('',#161245,#161243,#85710,.T.); #201185=EDGE_CURVE('',#161246,#161244,#85711,.T.); #201186=EDGE_CURVE('',#161245,#161246,#85712,.T.); #201187=EDGE_CURVE('',#161247,#161245,#85713,.T.); #201188=EDGE_CURVE('',#161248,#161246,#85714,.T.); #201189=EDGE_CURVE('',#161247,#161248,#85715,.T.); #201190=EDGE_CURVE('',#161249,#161247,#85716,.T.); #201191=EDGE_CURVE('',#161250,#161248,#85717,.T.); #201192=EDGE_CURVE('',#161249,#161250,#85718,.T.); #201193=EDGE_CURVE('',#161251,#161249,#85719,.T.); #201194=EDGE_CURVE('',#161252,#161250,#85720,.T.); #201195=EDGE_CURVE('',#161251,#161252,#85721,.T.); #201196=EDGE_CURVE('',#161253,#161251,#85722,.T.); #201197=EDGE_CURVE('',#161254,#161252,#85723,.T.); #201198=EDGE_CURVE('',#161253,#161254,#85724,.T.); #201199=EDGE_CURVE('',#161255,#161253,#85725,.T.); #201200=EDGE_CURVE('',#161256,#161254,#85726,.T.); #201201=EDGE_CURVE('',#161255,#161256,#85727,.T.); #201202=EDGE_CURVE('',#161257,#161255,#85728,.T.); #201203=EDGE_CURVE('',#161258,#161256,#85729,.T.); #201204=EDGE_CURVE('',#161257,#161258,#85730,.T.); #201205=EDGE_CURVE('',#161259,#161257,#85731,.T.); #201206=EDGE_CURVE('',#161260,#161258,#85732,.T.); #201207=EDGE_CURVE('',#161259,#161260,#85733,.T.); #201208=EDGE_CURVE('',#161261,#161259,#85734,.T.); #201209=EDGE_CURVE('',#161262,#161260,#85735,.T.); #201210=EDGE_CURVE('',#161261,#161262,#85736,.T.); #201211=EDGE_CURVE('',#161263,#161261,#85737,.T.); #201212=EDGE_CURVE('',#161264,#161262,#85738,.T.); #201213=EDGE_CURVE('',#161263,#161264,#85739,.T.); #201214=EDGE_CURVE('',#161265,#161263,#85740,.T.); #201215=EDGE_CURVE('',#161266,#161264,#85741,.T.); #201216=EDGE_CURVE('',#161265,#161266,#85742,.T.); #201217=EDGE_CURVE('',#161267,#161265,#85743,.T.); #201218=EDGE_CURVE('',#161268,#161266,#85744,.T.); #201219=EDGE_CURVE('',#161267,#161268,#85745,.T.); #201220=EDGE_CURVE('',#161269,#161267,#85746,.T.); #201221=EDGE_CURVE('',#161270,#161268,#85747,.T.); #201222=EDGE_CURVE('',#161269,#161270,#85748,.T.); #201223=EDGE_CURVE('',#161271,#161269,#85749,.T.); #201224=EDGE_CURVE('',#161272,#161270,#85750,.T.); #201225=EDGE_CURVE('',#161271,#161272,#85751,.T.); #201226=EDGE_CURVE('',#161273,#161271,#85752,.T.); #201227=EDGE_CURVE('',#161274,#161272,#85753,.T.); #201228=EDGE_CURVE('',#161273,#161274,#85754,.T.); #201229=EDGE_CURVE('',#161275,#161273,#85755,.T.); #201230=EDGE_CURVE('',#161276,#161274,#85756,.T.); #201231=EDGE_CURVE('',#161275,#161276,#85757,.T.); #201232=EDGE_CURVE('',#161277,#161275,#85758,.T.); #201233=EDGE_CURVE('',#161278,#161276,#85759,.T.); #201234=EDGE_CURVE('',#161277,#161278,#85760,.T.); #201235=EDGE_CURVE('',#161279,#161277,#85761,.T.); #201236=EDGE_CURVE('',#161280,#161278,#85762,.T.); #201237=EDGE_CURVE('',#161279,#161280,#85763,.T.); #201238=EDGE_CURVE('',#161281,#161279,#85764,.T.); #201239=EDGE_CURVE('',#161282,#161280,#85765,.T.); #201240=EDGE_CURVE('',#161281,#161282,#85766,.T.); #201241=EDGE_CURVE('',#161283,#161281,#85767,.T.); #201242=EDGE_CURVE('',#161284,#161282,#85768,.T.); #201243=EDGE_CURVE('',#161283,#161284,#85769,.T.); #201244=EDGE_CURVE('',#161285,#161283,#85770,.T.); #201245=EDGE_CURVE('',#161286,#161284,#85771,.T.); #201246=EDGE_CURVE('',#161285,#161286,#85772,.T.); #201247=EDGE_CURVE('',#161287,#161285,#85773,.T.); #201248=EDGE_CURVE('',#161288,#161286,#85774,.T.); #201249=EDGE_CURVE('',#161287,#161288,#85775,.T.); #201250=EDGE_CURVE('',#161289,#161287,#85776,.T.); #201251=EDGE_CURVE('',#161290,#161288,#85777,.T.); #201252=EDGE_CURVE('',#161289,#161290,#85778,.T.); #201253=EDGE_CURVE('',#161291,#161289,#85779,.T.); #201254=EDGE_CURVE('',#161292,#161290,#85780,.T.); #201255=EDGE_CURVE('',#161291,#161292,#85781,.T.); #201256=EDGE_CURVE('',#161293,#161291,#85782,.T.); #201257=EDGE_CURVE('',#161294,#161292,#85783,.T.); #201258=EDGE_CURVE('',#161293,#161294,#85784,.T.); #201259=EDGE_CURVE('',#161295,#161293,#85785,.T.); #201260=EDGE_CURVE('',#161296,#161294,#85786,.T.); #201261=EDGE_CURVE('',#161295,#161296,#85787,.T.); #201262=EDGE_CURVE('',#161297,#161295,#85788,.T.); #201263=EDGE_CURVE('',#161298,#161296,#85789,.T.); #201264=EDGE_CURVE('',#161297,#161298,#85790,.T.); #201265=EDGE_CURVE('',#161299,#161297,#85791,.T.); #201266=EDGE_CURVE('',#161300,#161298,#85792,.T.); #201267=EDGE_CURVE('',#161299,#161300,#85793,.T.); #201268=EDGE_CURVE('',#161301,#161299,#85794,.T.); #201269=EDGE_CURVE('',#161302,#161300,#85795,.T.); #201270=EDGE_CURVE('',#161301,#161302,#85796,.T.); #201271=EDGE_CURVE('',#161303,#161301,#85797,.T.); #201272=EDGE_CURVE('',#161304,#161302,#85798,.T.); #201273=EDGE_CURVE('',#161303,#161304,#85799,.T.); #201274=EDGE_CURVE('',#161305,#161303,#85800,.T.); #201275=EDGE_CURVE('',#161306,#161304,#85801,.T.); #201276=EDGE_CURVE('',#161305,#161306,#85802,.T.); #201277=EDGE_CURVE('',#161307,#161305,#85803,.T.); #201278=EDGE_CURVE('',#161308,#161306,#85804,.T.); #201279=EDGE_CURVE('',#161307,#161308,#85805,.T.); #201280=EDGE_CURVE('',#161309,#161307,#85806,.T.); #201281=EDGE_CURVE('',#161310,#161308,#85807,.T.); #201282=EDGE_CURVE('',#161309,#161310,#85808,.T.); #201283=EDGE_CURVE('',#161311,#161309,#85809,.T.); #201284=EDGE_CURVE('',#161312,#161310,#85810,.T.); #201285=EDGE_CURVE('',#161311,#161312,#85811,.T.); #201286=EDGE_CURVE('',#161313,#161311,#85812,.T.); #201287=EDGE_CURVE('',#161314,#161312,#85813,.T.); #201288=EDGE_CURVE('',#161313,#161314,#85814,.T.); #201289=EDGE_CURVE('',#161315,#161313,#85815,.T.); #201290=EDGE_CURVE('',#161316,#161314,#85816,.T.); #201291=EDGE_CURVE('',#161315,#161316,#85817,.T.); #201292=EDGE_CURVE('',#161317,#161315,#85818,.T.); #201293=EDGE_CURVE('',#161318,#161316,#85819,.T.); #201294=EDGE_CURVE('',#161317,#161318,#85820,.T.); #201295=EDGE_CURVE('',#161319,#161317,#85821,.T.); #201296=EDGE_CURVE('',#161320,#161318,#85822,.T.); #201297=EDGE_CURVE('',#161319,#161320,#85823,.T.); #201298=EDGE_CURVE('',#161321,#161319,#85824,.T.); #201299=EDGE_CURVE('',#161322,#161320,#85825,.T.); #201300=EDGE_CURVE('',#161321,#161322,#85826,.T.); #201301=EDGE_CURVE('',#161323,#161321,#85827,.T.); #201302=EDGE_CURVE('',#161324,#161322,#85828,.T.); #201303=EDGE_CURVE('',#161323,#161324,#85829,.T.); #201304=EDGE_CURVE('',#161325,#161323,#85830,.T.); #201305=EDGE_CURVE('',#161326,#161324,#85831,.T.); #201306=EDGE_CURVE('',#161325,#161326,#85832,.T.); #201307=EDGE_CURVE('',#161327,#161325,#85833,.T.); #201308=EDGE_CURVE('',#161328,#161326,#85834,.T.); #201309=EDGE_CURVE('',#161327,#161328,#85835,.T.); #201310=EDGE_CURVE('',#161329,#161327,#85836,.T.); #201311=EDGE_CURVE('',#161330,#161328,#85837,.T.); #201312=EDGE_CURVE('',#161329,#161330,#85838,.T.); #201313=EDGE_CURVE('',#161331,#161329,#85839,.T.); #201314=EDGE_CURVE('',#161332,#161330,#85840,.T.); #201315=EDGE_CURVE('',#161331,#161332,#85841,.T.); #201316=EDGE_CURVE('',#161333,#161331,#85842,.T.); #201317=EDGE_CURVE('',#161334,#161332,#85843,.T.); #201318=EDGE_CURVE('',#161333,#161334,#85844,.T.); #201319=EDGE_CURVE('',#161335,#161333,#85845,.T.); #201320=EDGE_CURVE('',#161336,#161334,#85846,.T.); #201321=EDGE_CURVE('',#161335,#161336,#85847,.T.); #201322=EDGE_CURVE('',#161337,#161335,#85848,.T.); #201323=EDGE_CURVE('',#161338,#161336,#85849,.T.); #201324=EDGE_CURVE('',#161337,#161338,#85850,.T.); #201325=EDGE_CURVE('',#161339,#161337,#85851,.T.); #201326=EDGE_CURVE('',#161340,#161338,#85852,.T.); #201327=EDGE_CURVE('',#161339,#161340,#85853,.T.); #201328=EDGE_CURVE('',#161341,#161339,#85854,.T.); #201329=EDGE_CURVE('',#161342,#161340,#85855,.T.); #201330=EDGE_CURVE('',#161341,#161342,#85856,.T.); #201331=EDGE_CURVE('',#161343,#161341,#85857,.T.); #201332=EDGE_CURVE('',#161344,#161342,#85858,.T.); #201333=EDGE_CURVE('',#161343,#161344,#85859,.T.); #201334=EDGE_CURVE('',#161345,#161343,#85860,.T.); #201335=EDGE_CURVE('',#161346,#161344,#85861,.T.); #201336=EDGE_CURVE('',#161345,#161346,#85862,.T.); #201337=EDGE_CURVE('',#161347,#161345,#85863,.T.); #201338=EDGE_CURVE('',#161348,#161346,#85864,.T.); #201339=EDGE_CURVE('',#161347,#161348,#85865,.T.); #201340=EDGE_CURVE('',#161349,#161347,#85866,.T.); #201341=EDGE_CURVE('',#161350,#161348,#85867,.T.); #201342=EDGE_CURVE('',#161349,#161350,#85868,.T.); #201343=EDGE_CURVE('',#161351,#161349,#85869,.T.); #201344=EDGE_CURVE('',#161352,#161350,#85870,.T.); #201345=EDGE_CURVE('',#161351,#161352,#85871,.T.); #201346=EDGE_CURVE('',#161353,#161351,#85872,.T.); #201347=EDGE_CURVE('',#161354,#161352,#85873,.T.); #201348=EDGE_CURVE('',#161353,#161354,#85874,.T.); #201349=EDGE_CURVE('',#161355,#161353,#85875,.T.); #201350=EDGE_CURVE('',#161356,#161354,#85876,.T.); #201351=EDGE_CURVE('',#161355,#161356,#85877,.T.); #201352=EDGE_CURVE('',#161357,#161355,#85878,.T.); #201353=EDGE_CURVE('',#161358,#161356,#85879,.T.); #201354=EDGE_CURVE('',#161357,#161358,#85880,.T.); #201355=EDGE_CURVE('',#161359,#161357,#85881,.T.); #201356=EDGE_CURVE('',#161360,#161358,#85882,.T.); #201357=EDGE_CURVE('',#161359,#161360,#85883,.T.); #201358=EDGE_CURVE('',#161361,#161359,#85884,.T.); #201359=EDGE_CURVE('',#161362,#161360,#85885,.T.); #201360=EDGE_CURVE('',#161361,#161362,#85886,.T.); #201361=EDGE_CURVE('',#161363,#161361,#85887,.T.); #201362=EDGE_CURVE('',#161364,#161362,#85888,.T.); #201363=EDGE_CURVE('',#161363,#161364,#85889,.T.); #201364=EDGE_CURVE('',#161365,#161363,#85890,.T.); #201365=EDGE_CURVE('',#161366,#161364,#85891,.T.); #201366=EDGE_CURVE('',#161365,#161366,#85892,.T.); #201367=EDGE_CURVE('',#161367,#161365,#85893,.T.); #201368=EDGE_CURVE('',#161368,#161366,#85894,.T.); #201369=EDGE_CURVE('',#161367,#161368,#85895,.T.); #201370=EDGE_CURVE('',#161369,#161367,#85896,.T.); #201371=EDGE_CURVE('',#161370,#161368,#85897,.T.); #201372=EDGE_CURVE('',#161369,#161370,#85898,.T.); #201373=EDGE_CURVE('',#161224,#161369,#85899,.T.); #201374=EDGE_CURVE('',#161225,#161370,#85900,.T.); #201375=EDGE_CURVE('',#161371,#161371,#137335,.T.); #201376=EDGE_CURVE('',#161371,#161372,#85901,.T.); #201377=EDGE_CURVE('',#161372,#161372,#137336,.T.); #201378=EDGE_CURVE('',#161373,#161373,#137337,.T.); #201379=EDGE_CURVE('',#161373,#161374,#85902,.T.); #201380=EDGE_CURVE('',#161374,#161374,#137338,.T.); #201381=EDGE_CURVE('',#161375,#161375,#137339,.T.); #201382=EDGE_CURVE('',#161375,#161376,#85903,.T.); #201383=EDGE_CURVE('',#161376,#161376,#137340,.T.); #201384=EDGE_CURVE('',#161377,#161377,#137341,.T.); #201385=EDGE_CURVE('',#161377,#161378,#85904,.T.); #201386=EDGE_CURVE('',#161378,#161378,#137342,.T.); #201387=EDGE_CURVE('',#161379,#161379,#137343,.T.); #201388=EDGE_CURVE('',#161379,#161380,#85905,.T.); #201389=EDGE_CURVE('',#161380,#161380,#137344,.T.); #201390=EDGE_CURVE('',#161381,#161381,#137345,.T.); #201391=EDGE_CURVE('',#161381,#161382,#85906,.T.); #201392=EDGE_CURVE('',#161382,#161382,#137346,.T.); #201393=EDGE_CURVE('',#161383,#161383,#137347,.T.); #201394=EDGE_CURVE('',#161383,#161384,#85907,.T.); #201395=EDGE_CURVE('',#161384,#161384,#137348,.T.); #201396=EDGE_CURVE('',#161385,#161385,#137349,.T.); #201397=EDGE_CURVE('',#161385,#161386,#85908,.T.); #201398=EDGE_CURVE('',#161386,#161386,#137350,.T.); #201399=EDGE_CURVE('',#161387,#161387,#137351,.T.); #201400=EDGE_CURVE('',#161387,#161388,#85909,.T.); #201401=EDGE_CURVE('',#161388,#161388,#137352,.T.); #201402=EDGE_CURVE('',#161389,#161389,#137353,.T.); #201403=EDGE_CURVE('',#161389,#161390,#85910,.T.); #201404=EDGE_CURVE('',#161390,#161390,#137354,.T.); #201405=EDGE_CURVE('',#161391,#161391,#137355,.T.); #201406=EDGE_CURVE('',#161391,#161392,#85911,.T.); #201407=EDGE_CURVE('',#161392,#161392,#137356,.T.); #201408=EDGE_CURVE('',#161393,#161393,#137357,.T.); #201409=EDGE_CURVE('',#161393,#161394,#85912,.T.); #201410=EDGE_CURVE('',#161394,#161394,#137358,.T.); #201411=EDGE_CURVE('',#161395,#161395,#137359,.T.); #201412=EDGE_CURVE('',#161395,#161396,#85913,.T.); #201413=EDGE_CURVE('',#161396,#161396,#137360,.T.); #201414=EDGE_CURVE('',#161397,#161397,#137361,.T.); #201415=EDGE_CURVE('',#161397,#161398,#85914,.T.); #201416=EDGE_CURVE('',#161398,#161398,#137362,.T.); #201417=EDGE_CURVE('',#161399,#161399,#137363,.T.); #201418=EDGE_CURVE('',#161399,#161400,#85915,.T.); #201419=EDGE_CURVE('',#161400,#161400,#137364,.T.); #201420=EDGE_CURVE('',#161401,#161401,#137365,.T.); #201421=EDGE_CURVE('',#161401,#161402,#85916,.T.); #201422=EDGE_CURVE('',#161402,#161402,#137366,.T.); #201423=EDGE_CURVE('',#161403,#161404,#85917,.T.); #201424=EDGE_CURVE('',#161404,#161405,#85918,.T.); #201425=EDGE_CURVE('',#161406,#161405,#85919,.T.); #201426=EDGE_CURVE('',#161403,#161406,#85920,.T.); #201427=EDGE_CURVE('',#161407,#161403,#85921,.T.); #201428=EDGE_CURVE('',#161408,#161406,#85922,.T.); #201429=EDGE_CURVE('',#161407,#161408,#85923,.T.); #201430=EDGE_CURVE('',#161409,#161407,#85924,.T.); #201431=EDGE_CURVE('',#161410,#161408,#85925,.T.); #201432=EDGE_CURVE('',#161409,#161410,#85926,.T.); #201433=EDGE_CURVE('',#161411,#161409,#85927,.T.); #201434=EDGE_CURVE('',#161412,#161410,#85928,.T.); #201435=EDGE_CURVE('',#161411,#161412,#85929,.T.); #201436=EDGE_CURVE('',#161413,#161411,#85930,.T.); #201437=EDGE_CURVE('',#161414,#161412,#85931,.T.); #201438=EDGE_CURVE('',#161413,#161414,#85932,.T.); #201439=EDGE_CURVE('',#161415,#161413,#85933,.T.); #201440=EDGE_CURVE('',#161416,#161414,#85934,.T.); #201441=EDGE_CURVE('',#161415,#161416,#85935,.T.); #201442=EDGE_CURVE('',#161417,#161415,#85936,.T.); #201443=EDGE_CURVE('',#161418,#161416,#85937,.T.); #201444=EDGE_CURVE('',#161417,#161418,#85938,.T.); #201445=EDGE_CURVE('',#161419,#161417,#85939,.T.); #201446=EDGE_CURVE('',#161420,#161418,#85940,.T.); #201447=EDGE_CURVE('',#161419,#161420,#85941,.T.); #201448=EDGE_CURVE('',#161421,#161419,#85942,.T.); #201449=EDGE_CURVE('',#161422,#161420,#85943,.T.); #201450=EDGE_CURVE('',#161421,#161422,#85944,.T.); #201451=EDGE_CURVE('',#161423,#161421,#85945,.T.); #201452=EDGE_CURVE('',#161424,#161422,#85946,.T.); #201453=EDGE_CURVE('',#161423,#161424,#85947,.T.); #201454=EDGE_CURVE('',#161425,#161423,#85948,.T.); #201455=EDGE_CURVE('',#161426,#161424,#85949,.T.); #201456=EDGE_CURVE('',#161425,#161426,#85950,.T.); #201457=EDGE_CURVE('',#161427,#161425,#85951,.T.); #201458=EDGE_CURVE('',#161428,#161426,#85952,.T.); #201459=EDGE_CURVE('',#161427,#161428,#85953,.T.); #201460=EDGE_CURVE('',#161429,#161427,#85954,.T.); #201461=EDGE_CURVE('',#161430,#161428,#85955,.T.); #201462=EDGE_CURVE('',#161429,#161430,#85956,.T.); #201463=EDGE_CURVE('',#161431,#161429,#85957,.T.); #201464=EDGE_CURVE('',#161432,#161430,#85958,.T.); #201465=EDGE_CURVE('',#161431,#161432,#85959,.T.); #201466=EDGE_CURVE('',#161433,#161431,#85960,.T.); #201467=EDGE_CURVE('',#161434,#161432,#85961,.T.); #201468=EDGE_CURVE('',#161433,#161434,#85962,.T.); #201469=EDGE_CURVE('',#161435,#161433,#85963,.T.); #201470=EDGE_CURVE('',#161436,#161434,#85964,.T.); #201471=EDGE_CURVE('',#161435,#161436,#85965,.T.); #201472=EDGE_CURVE('',#161437,#161435,#85966,.T.); #201473=EDGE_CURVE('',#161438,#161436,#85967,.T.); #201474=EDGE_CURVE('',#161437,#161438,#85968,.T.); #201475=EDGE_CURVE('',#161439,#161437,#85969,.T.); #201476=EDGE_CURVE('',#161440,#161438,#85970,.T.); #201477=EDGE_CURVE('',#161439,#161440,#85971,.T.); #201478=EDGE_CURVE('',#161441,#161439,#85972,.T.); #201479=EDGE_CURVE('',#161442,#161440,#85973,.T.); #201480=EDGE_CURVE('',#161441,#161442,#85974,.T.); #201481=EDGE_CURVE('',#161443,#161441,#85975,.T.); #201482=EDGE_CURVE('',#161444,#161442,#85976,.T.); #201483=EDGE_CURVE('',#161443,#161444,#85977,.T.); #201484=EDGE_CURVE('',#161445,#161443,#85978,.T.); #201485=EDGE_CURVE('',#161446,#161444,#85979,.T.); #201486=EDGE_CURVE('',#161445,#161446,#85980,.T.); #201487=EDGE_CURVE('',#161447,#161445,#85981,.T.); #201488=EDGE_CURVE('',#161448,#161446,#85982,.T.); #201489=EDGE_CURVE('',#161447,#161448,#85983,.T.); #201490=EDGE_CURVE('',#161449,#161447,#85984,.T.); #201491=EDGE_CURVE('',#161450,#161448,#85985,.T.); #201492=EDGE_CURVE('',#161449,#161450,#85986,.T.); #201493=EDGE_CURVE('',#161451,#161449,#85987,.T.); #201494=EDGE_CURVE('',#161452,#161450,#85988,.T.); #201495=EDGE_CURVE('',#161451,#161452,#85989,.T.); #201496=EDGE_CURVE('',#161453,#161451,#85990,.T.); #201497=EDGE_CURVE('',#161454,#161452,#85991,.T.); #201498=EDGE_CURVE('',#161453,#161454,#85992,.T.); #201499=EDGE_CURVE('',#161455,#161453,#85993,.T.); #201500=EDGE_CURVE('',#161456,#161454,#85994,.T.); #201501=EDGE_CURVE('',#161455,#161456,#85995,.T.); #201502=EDGE_CURVE('',#161457,#161455,#85996,.T.); #201503=EDGE_CURVE('',#161458,#161456,#85997,.T.); #201504=EDGE_CURVE('',#161457,#161458,#85998,.T.); #201505=EDGE_CURVE('',#161459,#161457,#85999,.T.); #201506=EDGE_CURVE('',#161460,#161458,#86000,.T.); #201507=EDGE_CURVE('',#161459,#161460,#86001,.T.); #201508=EDGE_CURVE('',#161461,#161459,#86002,.T.); #201509=EDGE_CURVE('',#161462,#161460,#86003,.T.); #201510=EDGE_CURVE('',#161461,#161462,#86004,.T.); #201511=EDGE_CURVE('',#161463,#161461,#86005,.T.); #201512=EDGE_CURVE('',#161464,#161462,#86006,.T.); #201513=EDGE_CURVE('',#161463,#161464,#86007,.T.); #201514=EDGE_CURVE('',#161465,#161463,#86008,.T.); #201515=EDGE_CURVE('',#161466,#161464,#86009,.T.); #201516=EDGE_CURVE('',#161465,#161466,#86010,.T.); #201517=EDGE_CURVE('',#161467,#161465,#86011,.T.); #201518=EDGE_CURVE('',#161468,#161466,#86012,.T.); #201519=EDGE_CURVE('',#161467,#161468,#86013,.T.); #201520=EDGE_CURVE('',#161469,#161467,#86014,.T.); #201521=EDGE_CURVE('',#161470,#161468,#86015,.T.); #201522=EDGE_CURVE('',#161469,#161470,#86016,.T.); #201523=EDGE_CURVE('',#161471,#161469,#86017,.T.); #201524=EDGE_CURVE('',#161472,#161470,#86018,.T.); #201525=EDGE_CURVE('',#161471,#161472,#86019,.T.); #201526=EDGE_CURVE('',#161473,#161471,#86020,.T.); #201527=EDGE_CURVE('',#161474,#161472,#86021,.T.); #201528=EDGE_CURVE('',#161473,#161474,#86022,.T.); #201529=EDGE_CURVE('',#161475,#161473,#86023,.T.); #201530=EDGE_CURVE('',#161476,#161474,#86024,.T.); #201531=EDGE_CURVE('',#161475,#161476,#86025,.T.); #201532=EDGE_CURVE('',#161477,#161475,#86026,.T.); #201533=EDGE_CURVE('',#161478,#161476,#86027,.T.); #201534=EDGE_CURVE('',#161477,#161478,#86028,.T.); #201535=EDGE_CURVE('',#161479,#161477,#86029,.T.); #201536=EDGE_CURVE('',#161480,#161478,#86030,.T.); #201537=EDGE_CURVE('',#161479,#161480,#86031,.T.); #201538=EDGE_CURVE('',#161481,#161479,#86032,.T.); #201539=EDGE_CURVE('',#161482,#161480,#86033,.T.); #201540=EDGE_CURVE('',#161481,#161482,#86034,.T.); #201541=EDGE_CURVE('',#161483,#161481,#86035,.T.); #201542=EDGE_CURVE('',#161484,#161482,#86036,.T.); #201543=EDGE_CURVE('',#161483,#161484,#86037,.T.); #201544=EDGE_CURVE('',#161485,#161483,#86038,.T.); #201545=EDGE_CURVE('',#161486,#161484,#86039,.T.); #201546=EDGE_CURVE('',#161485,#161486,#86040,.T.); #201547=EDGE_CURVE('',#161487,#161485,#86041,.T.); #201548=EDGE_CURVE('',#161488,#161486,#86042,.T.); #201549=EDGE_CURVE('',#161487,#161488,#86043,.T.); #201550=EDGE_CURVE('',#161489,#161487,#86044,.T.); #201551=EDGE_CURVE('',#161490,#161488,#86045,.T.); #201552=EDGE_CURVE('',#161489,#161490,#86046,.T.); #201553=EDGE_CURVE('',#161491,#161489,#86047,.T.); #201554=EDGE_CURVE('',#161492,#161490,#86048,.T.); #201555=EDGE_CURVE('',#161491,#161492,#86049,.T.); #201556=EDGE_CURVE('',#161493,#161491,#86050,.T.); #201557=EDGE_CURVE('',#161494,#161492,#86051,.T.); #201558=EDGE_CURVE('',#161493,#161494,#86052,.T.); #201559=EDGE_CURVE('',#161495,#161493,#86053,.T.); #201560=EDGE_CURVE('',#161496,#161494,#86054,.T.); #201561=EDGE_CURVE('',#161495,#161496,#86055,.T.); #201562=EDGE_CURVE('',#161497,#161495,#86056,.T.); #201563=EDGE_CURVE('',#161498,#161496,#86057,.T.); #201564=EDGE_CURVE('',#161497,#161498,#86058,.T.); #201565=EDGE_CURVE('',#161499,#161497,#86059,.T.); #201566=EDGE_CURVE('',#161500,#161498,#86060,.T.); #201567=EDGE_CURVE('',#161499,#161500,#86061,.T.); #201568=EDGE_CURVE('',#161501,#161499,#86062,.T.); #201569=EDGE_CURVE('',#161502,#161500,#86063,.T.); #201570=EDGE_CURVE('',#161501,#161502,#86064,.T.); #201571=EDGE_CURVE('',#161503,#161501,#86065,.T.); #201572=EDGE_CURVE('',#161504,#161502,#86066,.T.); #201573=EDGE_CURVE('',#161503,#161504,#86067,.T.); #201574=EDGE_CURVE('',#161505,#161503,#86068,.T.); #201575=EDGE_CURVE('',#161506,#161504,#86069,.T.); #201576=EDGE_CURVE('',#161505,#161506,#86070,.T.); #201577=EDGE_CURVE('',#161507,#161505,#86071,.T.); #201578=EDGE_CURVE('',#161508,#161506,#86072,.T.); #201579=EDGE_CURVE('',#161507,#161508,#86073,.T.); #201580=EDGE_CURVE('',#161509,#161507,#86074,.T.); #201581=EDGE_CURVE('',#161510,#161508,#86075,.T.); #201582=EDGE_CURVE('',#161509,#161510,#86076,.T.); #201583=EDGE_CURVE('',#161511,#161509,#86077,.T.); #201584=EDGE_CURVE('',#161512,#161510,#86078,.T.); #201585=EDGE_CURVE('',#161511,#161512,#86079,.T.); #201586=EDGE_CURVE('',#161513,#161511,#86080,.T.); #201587=EDGE_CURVE('',#161514,#161512,#86081,.T.); #201588=EDGE_CURVE('',#161513,#161514,#86082,.T.); #201589=EDGE_CURVE('',#161515,#161513,#86083,.T.); #201590=EDGE_CURVE('',#161516,#161514,#86084,.T.); #201591=EDGE_CURVE('',#161515,#161516,#86085,.T.); #201592=EDGE_CURVE('',#161517,#161515,#86086,.T.); #201593=EDGE_CURVE('',#161518,#161516,#86087,.T.); #201594=EDGE_CURVE('',#161517,#161518,#86088,.T.); #201595=EDGE_CURVE('',#161519,#161517,#86089,.T.); #201596=EDGE_CURVE('',#161520,#161518,#86090,.T.); #201597=EDGE_CURVE('',#161519,#161520,#86091,.T.); #201598=EDGE_CURVE('',#161521,#161519,#86092,.T.); #201599=EDGE_CURVE('',#161522,#161520,#86093,.T.); #201600=EDGE_CURVE('',#161521,#161522,#86094,.T.); #201601=EDGE_CURVE('',#161523,#161521,#86095,.T.); #201602=EDGE_CURVE('',#161524,#161522,#86096,.T.); #201603=EDGE_CURVE('',#161523,#161524,#86097,.T.); #201604=EDGE_CURVE('',#161525,#161523,#86098,.T.); #201605=EDGE_CURVE('',#161526,#161524,#86099,.T.); #201606=EDGE_CURVE('',#161525,#161526,#86100,.T.); #201607=EDGE_CURVE('',#161527,#161525,#86101,.T.); #201608=EDGE_CURVE('',#161528,#161526,#86102,.T.); #201609=EDGE_CURVE('',#161527,#161528,#86103,.T.); #201610=EDGE_CURVE('',#161529,#161527,#86104,.T.); #201611=EDGE_CURVE('',#161530,#161528,#86105,.T.); #201612=EDGE_CURVE('',#161529,#161530,#86106,.T.); #201613=EDGE_CURVE('',#161531,#161529,#86107,.T.); #201614=EDGE_CURVE('',#161532,#161530,#86108,.T.); #201615=EDGE_CURVE('',#161531,#161532,#86109,.T.); #201616=EDGE_CURVE('',#161533,#161531,#86110,.T.); #201617=EDGE_CURVE('',#161534,#161532,#86111,.T.); #201618=EDGE_CURVE('',#161533,#161534,#86112,.T.); #201619=EDGE_CURVE('',#161535,#161533,#86113,.T.); #201620=EDGE_CURVE('',#161536,#161534,#86114,.T.); #201621=EDGE_CURVE('',#161535,#161536,#86115,.T.); #201622=EDGE_CURVE('',#161537,#161535,#86116,.T.); #201623=EDGE_CURVE('',#161538,#161536,#86117,.T.); #201624=EDGE_CURVE('',#161537,#161538,#86118,.T.); #201625=EDGE_CURVE('',#161539,#161537,#86119,.T.); #201626=EDGE_CURVE('',#161540,#161538,#86120,.T.); #201627=EDGE_CURVE('',#161539,#161540,#86121,.T.); #201628=EDGE_CURVE('',#161541,#161539,#86122,.T.); #201629=EDGE_CURVE('',#161542,#161540,#86123,.T.); #201630=EDGE_CURVE('',#161541,#161542,#86124,.T.); #201631=EDGE_CURVE('',#161404,#161541,#86125,.T.); #201632=EDGE_CURVE('',#161405,#161542,#86126,.T.); #201633=EDGE_CURVE('',#161543,#161543,#137367,.T.); #201634=EDGE_CURVE('',#161543,#161544,#86127,.T.); #201635=EDGE_CURVE('',#161544,#161544,#137368,.T.); #201636=EDGE_CURVE('',#161545,#161545,#137369,.T.); #201637=EDGE_CURVE('',#161545,#161546,#86128,.T.); #201638=EDGE_CURVE('',#161546,#161546,#137370,.T.); #201639=EDGE_CURVE('',#161547,#161547,#137371,.T.); #201640=EDGE_CURVE('',#161547,#161548,#86129,.T.); #201641=EDGE_CURVE('',#161548,#161548,#137372,.T.); #201642=EDGE_CURVE('',#161549,#161549,#137373,.T.); #201643=EDGE_CURVE('',#161549,#161550,#86130,.T.); #201644=EDGE_CURVE('',#161550,#161550,#137374,.T.); #201645=EDGE_CURVE('',#161551,#161551,#137375,.T.); #201646=EDGE_CURVE('',#161551,#161552,#86131,.T.); #201647=EDGE_CURVE('',#161552,#161552,#137376,.T.); #201648=EDGE_CURVE('',#161553,#161553,#137377,.T.); #201649=EDGE_CURVE('',#161553,#161554,#86132,.T.); #201650=EDGE_CURVE('',#161554,#161554,#137378,.T.); #201651=EDGE_CURVE('',#161555,#161555,#137379,.T.); #201652=EDGE_CURVE('',#161555,#161556,#86133,.T.); #201653=EDGE_CURVE('',#161556,#161556,#137380,.T.); #201654=EDGE_CURVE('',#161557,#161557,#137381,.T.); #201655=EDGE_CURVE('',#161557,#161558,#86134,.T.); #201656=EDGE_CURVE('',#161558,#161558,#137382,.T.); #201657=EDGE_CURVE('',#161559,#161559,#137383,.T.); #201658=EDGE_CURVE('',#161559,#161560,#86135,.T.); #201659=EDGE_CURVE('',#161560,#161560,#137384,.T.); #201660=EDGE_CURVE('',#161561,#161561,#137385,.T.); #201661=EDGE_CURVE('',#161561,#161562,#86136,.T.); #201662=EDGE_CURVE('',#161562,#161562,#137386,.T.); #201663=EDGE_CURVE('',#161563,#161563,#137387,.T.); #201664=EDGE_CURVE('',#161563,#161564,#86137,.T.); #201665=EDGE_CURVE('',#161564,#161564,#137388,.T.); #201666=EDGE_CURVE('',#161565,#161565,#137389,.T.); #201667=EDGE_CURVE('',#161565,#161566,#86138,.T.); #201668=EDGE_CURVE('',#161566,#161566,#137390,.T.); #201669=EDGE_CURVE('',#161567,#161567,#137391,.T.); #201670=EDGE_CURVE('',#161567,#161568,#86139,.T.); #201671=EDGE_CURVE('',#161568,#161568,#137392,.T.); #201672=EDGE_CURVE('',#161569,#161569,#137393,.T.); #201673=EDGE_CURVE('',#161569,#161570,#86140,.T.); #201674=EDGE_CURVE('',#161570,#161570,#137394,.T.); #201675=EDGE_CURVE('',#161571,#161571,#137395,.T.); #201676=EDGE_CURVE('',#161571,#161572,#86141,.T.); #201677=EDGE_CURVE('',#161572,#161572,#137396,.T.); #201678=EDGE_CURVE('',#161573,#161573,#137397,.T.); #201679=EDGE_CURVE('',#161573,#161574,#86142,.T.); #201680=EDGE_CURVE('',#161574,#161574,#137398,.T.); #201681=EDGE_CURVE('',#161575,#161575,#137399,.T.); #201682=EDGE_CURVE('',#161575,#161576,#86143,.T.); #201683=EDGE_CURVE('',#161576,#161576,#137400,.T.); #201684=EDGE_CURVE('',#161577,#161577,#137401,.T.); #201685=EDGE_CURVE('',#161577,#161578,#86144,.T.); #201686=EDGE_CURVE('',#161578,#161578,#137402,.T.); #201687=EDGE_CURVE('',#161579,#161579,#137403,.T.); #201688=EDGE_CURVE('',#161579,#161580,#86145,.T.); #201689=EDGE_CURVE('',#161580,#161580,#137404,.T.); #201690=EDGE_CURVE('',#161581,#161581,#137405,.T.); #201691=EDGE_CURVE('',#161581,#161582,#86146,.T.); #201692=EDGE_CURVE('',#161582,#161582,#137406,.T.); #201693=EDGE_CURVE('',#161583,#161583,#137407,.T.); #201694=EDGE_CURVE('',#161583,#161584,#86147,.T.); #201695=EDGE_CURVE('',#161584,#161584,#137408,.T.); #201696=EDGE_CURVE('',#161585,#161585,#137409,.T.); #201697=EDGE_CURVE('',#161585,#161586,#86148,.T.); #201698=EDGE_CURVE('',#161586,#161586,#137410,.T.); #201699=EDGE_CURVE('',#161587,#161587,#137411,.T.); #201700=EDGE_CURVE('',#161587,#161588,#86149,.T.); #201701=EDGE_CURVE('',#161588,#161588,#137412,.T.); #201702=EDGE_CURVE('',#161589,#161589,#137413,.T.); #201703=EDGE_CURVE('',#161589,#161590,#86150,.T.); #201704=EDGE_CURVE('',#161590,#161590,#137414,.T.); #201705=EDGE_CURVE('',#161591,#161591,#137415,.T.); #201706=EDGE_CURVE('',#161591,#161592,#86151,.T.); #201707=EDGE_CURVE('',#161592,#161592,#137416,.T.); #201708=EDGE_CURVE('',#161593,#161593,#137417,.T.); #201709=EDGE_CURVE('',#161593,#161594,#86152,.T.); #201710=EDGE_CURVE('',#161594,#161594,#137418,.T.); #201711=EDGE_CURVE('',#161595,#161595,#137419,.T.); #201712=EDGE_CURVE('',#161595,#161596,#86153,.T.); #201713=EDGE_CURVE('',#161596,#161596,#137420,.T.); #201714=EDGE_CURVE('',#161597,#161597,#137421,.T.); #201715=EDGE_CURVE('',#161597,#161598,#86154,.T.); #201716=EDGE_CURVE('',#161598,#161598,#137422,.T.); #201717=EDGE_CURVE('',#161599,#161599,#137423,.T.); #201718=EDGE_CURVE('',#161599,#161600,#86155,.T.); #201719=EDGE_CURVE('',#161600,#161600,#137424,.T.); #201720=EDGE_CURVE('',#161601,#161601,#137425,.T.); #201721=EDGE_CURVE('',#161601,#161602,#86156,.T.); #201722=EDGE_CURVE('',#161602,#161602,#137426,.T.); #201723=EDGE_CURVE('',#161603,#161604,#86157,.T.); #201724=EDGE_CURVE('',#161604,#161605,#86158,.T.); #201725=EDGE_CURVE('',#161606,#161605,#86159,.T.); #201726=EDGE_CURVE('',#161603,#161606,#86160,.T.); #201727=EDGE_CURVE('',#161607,#161603,#86161,.T.); #201728=EDGE_CURVE('',#161608,#161606,#86162,.T.); #201729=EDGE_CURVE('',#161607,#161608,#86163,.T.); #201730=EDGE_CURVE('',#161609,#161607,#86164,.T.); #201731=EDGE_CURVE('',#161610,#161608,#86165,.T.); #201732=EDGE_CURVE('',#161609,#161610,#86166,.T.); #201733=EDGE_CURVE('',#161611,#161609,#86167,.T.); #201734=EDGE_CURVE('',#161612,#161610,#86168,.T.); #201735=EDGE_CURVE('',#161611,#161612,#86169,.T.); #201736=EDGE_CURVE('',#161613,#161611,#86170,.T.); #201737=EDGE_CURVE('',#161614,#161612,#86171,.T.); #201738=EDGE_CURVE('',#161613,#161614,#86172,.T.); #201739=EDGE_CURVE('',#161615,#161613,#86173,.T.); #201740=EDGE_CURVE('',#161616,#161614,#86174,.T.); #201741=EDGE_CURVE('',#161615,#161616,#86175,.T.); #201742=EDGE_CURVE('',#161617,#161615,#86176,.T.); #201743=EDGE_CURVE('',#161618,#161616,#86177,.T.); #201744=EDGE_CURVE('',#161617,#161618,#86178,.T.); #201745=EDGE_CURVE('',#161619,#161617,#86179,.T.); #201746=EDGE_CURVE('',#161620,#161618,#86180,.T.); #201747=EDGE_CURVE('',#161619,#161620,#86181,.T.); #201748=EDGE_CURVE('',#161621,#161619,#86182,.T.); #201749=EDGE_CURVE('',#161622,#161620,#86183,.T.); #201750=EDGE_CURVE('',#161621,#161622,#86184,.T.); #201751=EDGE_CURVE('',#161623,#161621,#86185,.T.); #201752=EDGE_CURVE('',#161624,#161622,#86186,.T.); #201753=EDGE_CURVE('',#161623,#161624,#86187,.T.); #201754=EDGE_CURVE('',#161625,#161623,#86188,.T.); #201755=EDGE_CURVE('',#161626,#161624,#86189,.T.); #201756=EDGE_CURVE('',#161625,#161626,#86190,.T.); #201757=EDGE_CURVE('',#161627,#161625,#86191,.T.); #201758=EDGE_CURVE('',#161628,#161626,#86192,.T.); #201759=EDGE_CURVE('',#161627,#161628,#86193,.T.); #201760=EDGE_CURVE('',#161629,#161627,#86194,.T.); #201761=EDGE_CURVE('',#161630,#161628,#86195,.T.); #201762=EDGE_CURVE('',#161629,#161630,#86196,.T.); #201763=EDGE_CURVE('',#161631,#161629,#86197,.T.); #201764=EDGE_CURVE('',#161632,#161630,#86198,.T.); #201765=EDGE_CURVE('',#161631,#161632,#86199,.T.); #201766=EDGE_CURVE('',#161633,#161631,#86200,.T.); #201767=EDGE_CURVE('',#161634,#161632,#86201,.T.); #201768=EDGE_CURVE('',#161633,#161634,#86202,.T.); #201769=EDGE_CURVE('',#161635,#161633,#86203,.T.); #201770=EDGE_CURVE('',#161636,#161634,#86204,.T.); #201771=EDGE_CURVE('',#161635,#161636,#86205,.T.); #201772=EDGE_CURVE('',#161637,#161635,#86206,.T.); #201773=EDGE_CURVE('',#161638,#161636,#86207,.T.); #201774=EDGE_CURVE('',#161637,#161638,#86208,.T.); #201775=EDGE_CURVE('',#161639,#161637,#86209,.T.); #201776=EDGE_CURVE('',#161640,#161638,#86210,.T.); #201777=EDGE_CURVE('',#161639,#161640,#86211,.T.); #201778=EDGE_CURVE('',#161641,#161639,#86212,.T.); #201779=EDGE_CURVE('',#161642,#161640,#86213,.T.); #201780=EDGE_CURVE('',#161641,#161642,#86214,.T.); #201781=EDGE_CURVE('',#161643,#161641,#86215,.T.); #201782=EDGE_CURVE('',#161644,#161642,#86216,.T.); #201783=EDGE_CURVE('',#161643,#161644,#86217,.T.); #201784=EDGE_CURVE('',#161645,#161643,#86218,.T.); #201785=EDGE_CURVE('',#161646,#161644,#86219,.T.); #201786=EDGE_CURVE('',#161645,#161646,#86220,.T.); #201787=EDGE_CURVE('',#161647,#161645,#86221,.T.); #201788=EDGE_CURVE('',#161648,#161646,#86222,.T.); #201789=EDGE_CURVE('',#161647,#161648,#86223,.T.); #201790=EDGE_CURVE('',#161649,#161647,#86224,.T.); #201791=EDGE_CURVE('',#161650,#161648,#86225,.T.); #201792=EDGE_CURVE('',#161649,#161650,#86226,.T.); #201793=EDGE_CURVE('',#161651,#161649,#86227,.T.); #201794=EDGE_CURVE('',#161652,#161650,#86228,.T.); #201795=EDGE_CURVE('',#161651,#161652,#86229,.T.); #201796=EDGE_CURVE('',#161653,#161651,#86230,.T.); #201797=EDGE_CURVE('',#161654,#161652,#86231,.T.); #201798=EDGE_CURVE('',#161653,#161654,#86232,.T.); #201799=EDGE_CURVE('',#161655,#161653,#86233,.T.); #201800=EDGE_CURVE('',#161656,#161654,#86234,.T.); #201801=EDGE_CURVE('',#161655,#161656,#86235,.T.); #201802=EDGE_CURVE('',#161657,#161655,#86236,.T.); #201803=EDGE_CURVE('',#161658,#161656,#86237,.T.); #201804=EDGE_CURVE('',#161657,#161658,#86238,.T.); #201805=EDGE_CURVE('',#161659,#161657,#86239,.T.); #201806=EDGE_CURVE('',#161660,#161658,#86240,.T.); #201807=EDGE_CURVE('',#161659,#161660,#86241,.T.); #201808=EDGE_CURVE('',#161661,#161659,#86242,.T.); #201809=EDGE_CURVE('',#161662,#161660,#86243,.T.); #201810=EDGE_CURVE('',#161661,#161662,#86244,.T.); #201811=EDGE_CURVE('',#161663,#161661,#86245,.T.); #201812=EDGE_CURVE('',#161664,#161662,#86246,.T.); #201813=EDGE_CURVE('',#161663,#161664,#86247,.T.); #201814=EDGE_CURVE('',#161665,#161663,#86248,.T.); #201815=EDGE_CURVE('',#161666,#161664,#86249,.T.); #201816=EDGE_CURVE('',#161665,#161666,#86250,.T.); #201817=EDGE_CURVE('',#161667,#161665,#86251,.T.); #201818=EDGE_CURVE('',#161668,#161666,#86252,.T.); #201819=EDGE_CURVE('',#161667,#161668,#86253,.T.); #201820=EDGE_CURVE('',#161669,#161667,#86254,.T.); #201821=EDGE_CURVE('',#161670,#161668,#86255,.T.); #201822=EDGE_CURVE('',#161669,#161670,#86256,.T.); #201823=EDGE_CURVE('',#161671,#161669,#86257,.T.); #201824=EDGE_CURVE('',#161672,#161670,#86258,.T.); #201825=EDGE_CURVE('',#161671,#161672,#86259,.T.); #201826=EDGE_CURVE('',#161673,#161671,#86260,.T.); #201827=EDGE_CURVE('',#161674,#161672,#86261,.T.); #201828=EDGE_CURVE('',#161673,#161674,#86262,.T.); #201829=EDGE_CURVE('',#161675,#161673,#86263,.T.); #201830=EDGE_CURVE('',#161676,#161674,#86264,.T.); #201831=EDGE_CURVE('',#161675,#161676,#86265,.T.); #201832=EDGE_CURVE('',#161677,#161675,#86266,.T.); #201833=EDGE_CURVE('',#161678,#161676,#86267,.T.); #201834=EDGE_CURVE('',#161677,#161678,#86268,.T.); #201835=EDGE_CURVE('',#161679,#161677,#86269,.T.); #201836=EDGE_CURVE('',#161680,#161678,#86270,.T.); #201837=EDGE_CURVE('',#161679,#161680,#86271,.T.); #201838=EDGE_CURVE('',#161681,#161679,#86272,.T.); #201839=EDGE_CURVE('',#161682,#161680,#86273,.T.); #201840=EDGE_CURVE('',#161681,#161682,#86274,.T.); #201841=EDGE_CURVE('',#161683,#161681,#86275,.T.); #201842=EDGE_CURVE('',#161684,#161682,#86276,.T.); #201843=EDGE_CURVE('',#161683,#161684,#86277,.T.); #201844=EDGE_CURVE('',#161685,#161683,#86278,.T.); #201845=EDGE_CURVE('',#161686,#161684,#86279,.T.); #201846=EDGE_CURVE('',#161685,#161686,#86280,.T.); #201847=EDGE_CURVE('',#161687,#161685,#86281,.T.); #201848=EDGE_CURVE('',#161688,#161686,#86282,.T.); #201849=EDGE_CURVE('',#161687,#161688,#86283,.T.); #201850=EDGE_CURVE('',#161689,#161687,#86284,.T.); #201851=EDGE_CURVE('',#161690,#161688,#86285,.T.); #201852=EDGE_CURVE('',#161689,#161690,#86286,.T.); #201853=EDGE_CURVE('',#161691,#161689,#86287,.T.); #201854=EDGE_CURVE('',#161692,#161690,#86288,.T.); #201855=EDGE_CURVE('',#161691,#161692,#86289,.T.); #201856=EDGE_CURVE('',#161604,#161691,#86290,.T.); #201857=EDGE_CURVE('',#161605,#161692,#86291,.T.); #201858=EDGE_CURVE('',#161693,#161693,#137427,.T.); #201859=EDGE_CURVE('',#161693,#161694,#86292,.T.); #201860=EDGE_CURVE('',#161694,#161694,#137428,.T.); #201861=EDGE_CURVE('',#161695,#161695,#137429,.T.); #201862=EDGE_CURVE('',#161695,#161696,#86293,.T.); #201863=EDGE_CURVE('',#161696,#161696,#137430,.T.); #201864=EDGE_CURVE('',#161697,#161698,#86294,.T.); #201865=EDGE_CURVE('',#161698,#161699,#86295,.T.); #201866=EDGE_CURVE('',#161700,#161699,#86296,.T.); #201867=EDGE_CURVE('',#161697,#161700,#86297,.T.); #201868=EDGE_CURVE('',#161701,#161697,#137431,.T.); #201869=EDGE_CURVE('',#161702,#161700,#137432,.T.); #201870=EDGE_CURVE('',#161701,#161702,#86298,.T.); #201871=EDGE_CURVE('',#161703,#161701,#86299,.T.); #201872=EDGE_CURVE('',#161704,#161702,#86300,.T.); #201873=EDGE_CURVE('',#161703,#161704,#86301,.T.); #201874=EDGE_CURVE('',#161705,#161703,#137433,.T.); #201875=EDGE_CURVE('',#161706,#161704,#137434,.T.); #201876=EDGE_CURVE('',#161705,#161706,#86302,.T.); #201877=EDGE_CURVE('',#161707,#161705,#137435,.T.); #201878=EDGE_CURVE('',#161708,#161706,#137436,.T.); #201879=EDGE_CURVE('',#161707,#161708,#86303,.T.); #201880=EDGE_CURVE('',#161709,#161707,#86304,.T.); #201881=EDGE_CURVE('',#161710,#161708,#86305,.T.); #201882=EDGE_CURVE('',#161709,#161710,#86306,.T.); #201883=EDGE_CURVE('',#161698,#161709,#137437,.T.); #201884=EDGE_CURVE('',#161699,#161710,#137438,.T.); #201885=EDGE_CURVE('',#161711,#161711,#137439,.T.); #201886=EDGE_CURVE('',#161711,#161712,#86307,.T.); #201887=EDGE_CURVE('',#161712,#161712,#137440,.T.); #201888=EDGE_CURVE('',#161713,#161713,#137441,.T.); #201889=EDGE_CURVE('',#161713,#161714,#86308,.T.); #201890=EDGE_CURVE('',#161714,#161714,#137442,.T.); #201891=EDGE_CURVE('',#161715,#161715,#137443,.T.); #201892=EDGE_CURVE('',#161715,#161716,#86309,.T.); #201893=EDGE_CURVE('',#161716,#161716,#137444,.T.); #201894=EDGE_CURVE('',#161717,#161717,#137445,.T.); #201895=EDGE_CURVE('',#161717,#161718,#86310,.T.); #201896=EDGE_CURVE('',#161718,#161718,#137446,.T.); #201897=EDGE_CURVE('',#161719,#161719,#137447,.T.); #201898=EDGE_CURVE('',#161719,#161720,#86311,.T.); #201899=EDGE_CURVE('',#161720,#161720,#137448,.T.); #201900=EDGE_CURVE('',#161721,#161721,#137449,.T.); #201901=EDGE_CURVE('',#161721,#161722,#86312,.T.); #201902=EDGE_CURVE('',#161722,#161722,#137450,.T.); #201903=EDGE_CURVE('',#161723,#161723,#137451,.T.); #201904=EDGE_CURVE('',#161723,#161724,#86313,.T.); #201905=EDGE_CURVE('',#161724,#161724,#137452,.T.); #201906=EDGE_CURVE('',#161725,#161725,#137453,.T.); #201907=EDGE_CURVE('',#161725,#161726,#86314,.T.); #201908=EDGE_CURVE('',#161726,#161726,#137454,.T.); #201909=EDGE_CURVE('',#161727,#161727,#137455,.T.); #201910=EDGE_CURVE('',#161727,#161728,#86315,.T.); #201911=EDGE_CURVE('',#161728,#161728,#137456,.T.); #201912=EDGE_CURVE('',#161729,#161729,#137457,.T.); #201913=EDGE_CURVE('',#161729,#161730,#86316,.T.); #201914=EDGE_CURVE('',#161730,#161730,#137458,.T.); #201915=EDGE_CURVE('',#161731,#161732,#86317,.T.); #201916=EDGE_CURVE('',#161732,#161733,#86318,.T.); #201917=EDGE_CURVE('',#161734,#161733,#86319,.T.); #201918=EDGE_CURVE('',#161731,#161734,#86320,.T.); #201919=EDGE_CURVE('',#161735,#161731,#86321,.T.); #201920=EDGE_CURVE('',#161736,#161734,#86322,.T.); #201921=EDGE_CURVE('',#161735,#161736,#86323,.T.); #201922=EDGE_CURVE('',#161737,#161735,#86324,.T.); #201923=EDGE_CURVE('',#161738,#161736,#86325,.T.); #201924=EDGE_CURVE('',#161737,#161738,#86326,.T.); #201925=EDGE_CURVE('',#161739,#161737,#86327,.T.); #201926=EDGE_CURVE('',#161740,#161738,#86328,.T.); #201927=EDGE_CURVE('',#161739,#161740,#86329,.T.); #201928=EDGE_CURVE('',#161741,#161739,#86330,.T.); #201929=EDGE_CURVE('',#161742,#161740,#86331,.T.); #201930=EDGE_CURVE('',#161741,#161742,#86332,.T.); #201931=EDGE_CURVE('',#161743,#161741,#86333,.T.); #201932=EDGE_CURVE('',#161744,#161742,#86334,.T.); #201933=EDGE_CURVE('',#161743,#161744,#86335,.T.); #201934=EDGE_CURVE('',#161745,#161743,#86336,.T.); #201935=EDGE_CURVE('',#161746,#161744,#86337,.T.); #201936=EDGE_CURVE('',#161745,#161746,#86338,.T.); #201937=EDGE_CURVE('',#161747,#161745,#86339,.T.); #201938=EDGE_CURVE('',#161748,#161746,#86340,.T.); #201939=EDGE_CURVE('',#161747,#161748,#86341,.T.); #201940=EDGE_CURVE('',#161749,#161747,#86342,.T.); #201941=EDGE_CURVE('',#161750,#161748,#86343,.T.); #201942=EDGE_CURVE('',#161749,#161750,#86344,.T.); #201943=EDGE_CURVE('',#161751,#161749,#86345,.T.); #201944=EDGE_CURVE('',#161752,#161750,#86346,.T.); #201945=EDGE_CURVE('',#161751,#161752,#86347,.T.); #201946=EDGE_CURVE('',#161753,#161751,#86348,.T.); #201947=EDGE_CURVE('',#161754,#161752,#86349,.T.); #201948=EDGE_CURVE('',#161753,#161754,#86350,.T.); #201949=EDGE_CURVE('',#161755,#161753,#86351,.T.); #201950=EDGE_CURVE('',#161756,#161754,#86352,.T.); #201951=EDGE_CURVE('',#161755,#161756,#86353,.T.); #201952=EDGE_CURVE('',#161757,#161755,#86354,.T.); #201953=EDGE_CURVE('',#161758,#161756,#86355,.T.); #201954=EDGE_CURVE('',#161757,#161758,#86356,.T.); #201955=EDGE_CURVE('',#161759,#161757,#86357,.T.); #201956=EDGE_CURVE('',#161760,#161758,#86358,.T.); #201957=EDGE_CURVE('',#161759,#161760,#86359,.T.); #201958=EDGE_CURVE('',#161761,#161759,#86360,.T.); #201959=EDGE_CURVE('',#161762,#161760,#86361,.T.); #201960=EDGE_CURVE('',#161761,#161762,#86362,.T.); #201961=EDGE_CURVE('',#161763,#161761,#86363,.T.); #201962=EDGE_CURVE('',#161764,#161762,#86364,.T.); #201963=EDGE_CURVE('',#161763,#161764,#86365,.T.); #201964=EDGE_CURVE('',#161765,#161763,#86366,.T.); #201965=EDGE_CURVE('',#161766,#161764,#86367,.T.); #201966=EDGE_CURVE('',#161765,#161766,#86368,.T.); #201967=EDGE_CURVE('',#161767,#161765,#86369,.T.); #201968=EDGE_CURVE('',#161768,#161766,#86370,.T.); #201969=EDGE_CURVE('',#161767,#161768,#86371,.T.); #201970=EDGE_CURVE('',#161769,#161767,#86372,.T.); #201971=EDGE_CURVE('',#161770,#161768,#86373,.T.); #201972=EDGE_CURVE('',#161769,#161770,#86374,.T.); #201973=EDGE_CURVE('',#161771,#161769,#86375,.T.); #201974=EDGE_CURVE('',#161772,#161770,#86376,.T.); #201975=EDGE_CURVE('',#161771,#161772,#86377,.T.); #201976=EDGE_CURVE('',#161773,#161771,#86378,.T.); #201977=EDGE_CURVE('',#161774,#161772,#86379,.T.); #201978=EDGE_CURVE('',#161773,#161774,#86380,.T.); #201979=EDGE_CURVE('',#161775,#161773,#86381,.T.); #201980=EDGE_CURVE('',#161776,#161774,#86382,.T.); #201981=EDGE_CURVE('',#161775,#161776,#86383,.T.); #201982=EDGE_CURVE('',#161777,#161775,#86384,.T.); #201983=EDGE_CURVE('',#161778,#161776,#86385,.T.); #201984=EDGE_CURVE('',#161777,#161778,#86386,.T.); #201985=EDGE_CURVE('',#161732,#161777,#86387,.T.); #201986=EDGE_CURVE('',#161733,#161778,#86388,.T.); #201987=EDGE_CURVE('',#161779,#161779,#137459,.T.); #201988=EDGE_CURVE('',#161779,#161780,#86389,.T.); #201989=EDGE_CURVE('',#161780,#161780,#137460,.T.); #201990=EDGE_CURVE('',#161781,#161782,#86390,.T.); #201991=EDGE_CURVE('',#161782,#161783,#86391,.T.); #201992=EDGE_CURVE('',#161784,#161783,#86392,.T.); #201993=EDGE_CURVE('',#161781,#161784,#86393,.T.); #201994=EDGE_CURVE('',#161785,#161781,#137461,.T.); #201995=EDGE_CURVE('',#161786,#161784,#137462,.T.); #201996=EDGE_CURVE('',#161785,#161786,#86394,.T.); #201997=EDGE_CURVE('',#161782,#161785,#137463,.T.); #201998=EDGE_CURVE('',#161783,#161786,#137464,.T.); #201999=EDGE_CURVE('',#161787,#161787,#137465,.T.); #202000=EDGE_CURVE('',#161787,#161788,#86395,.T.); #202001=EDGE_CURVE('',#161788,#161788,#137466,.T.); #202002=EDGE_CURVE('',#161789,#161789,#137467,.T.); #202003=EDGE_CURVE('',#161789,#161790,#86396,.T.); #202004=EDGE_CURVE('',#161790,#161790,#137468,.T.); #202005=EDGE_CURVE('',#161791,#161791,#137469,.T.); #202006=EDGE_CURVE('',#161791,#161792,#86397,.T.); #202007=EDGE_CURVE('',#161792,#161792,#137470,.T.); #202008=EDGE_CURVE('',#161793,#161793,#137471,.T.); #202009=EDGE_CURVE('',#161793,#161794,#86398,.T.); #202010=EDGE_CURVE('',#161794,#161794,#137472,.T.); #202011=EDGE_CURVE('',#161795,#161795,#137473,.T.); #202012=EDGE_CURVE('',#161795,#161796,#86399,.T.); #202013=EDGE_CURVE('',#161796,#161796,#137474,.T.); #202014=EDGE_CURVE('',#161797,#161797,#137475,.T.); #202015=EDGE_CURVE('',#161797,#161798,#86400,.T.); #202016=EDGE_CURVE('',#161798,#161798,#137476,.T.); #202017=EDGE_CURVE('',#161799,#161799,#137477,.T.); #202018=EDGE_CURVE('',#161799,#161800,#86401,.T.); #202019=EDGE_CURVE('',#161800,#161800,#137478,.T.); #202020=EDGE_CURVE('',#161801,#161801,#137479,.T.); #202021=EDGE_CURVE('',#161801,#161802,#86402,.T.); #202022=EDGE_CURVE('',#161802,#161802,#137480,.T.); #202023=EDGE_CURVE('',#161803,#161803,#137481,.T.); #202024=EDGE_CURVE('',#161803,#161804,#86403,.T.); #202025=EDGE_CURVE('',#161804,#161804,#137482,.T.); #202026=EDGE_CURVE('',#161805,#161805,#137483,.T.); #202027=EDGE_CURVE('',#161805,#161806,#86404,.T.); #202028=EDGE_CURVE('',#161806,#161806,#137484,.T.); #202029=EDGE_CURVE('',#161807,#161807,#137485,.T.); #202030=EDGE_CURVE('',#161807,#161808,#86405,.T.); #202031=EDGE_CURVE('',#161808,#161808,#137486,.T.); #202032=EDGE_CURVE('',#161809,#161809,#137487,.T.); #202033=EDGE_CURVE('',#161809,#161810,#86406,.T.); #202034=EDGE_CURVE('',#161810,#161810,#137488,.T.); #202035=EDGE_CURVE('',#161811,#161811,#137489,.T.); #202036=EDGE_CURVE('',#161811,#161812,#86407,.T.); #202037=EDGE_CURVE('',#161812,#161812,#137490,.T.); #202038=EDGE_CURVE('',#161813,#161813,#137491,.T.); #202039=EDGE_CURVE('',#161813,#161814,#86408,.T.); #202040=EDGE_CURVE('',#161814,#161814,#137492,.T.); #202041=EDGE_CURVE('',#161815,#161815,#137493,.T.); #202042=EDGE_CURVE('',#161815,#161816,#86409,.T.); #202043=EDGE_CURVE('',#161816,#161816,#137494,.T.); #202044=EDGE_CURVE('',#161817,#161817,#137495,.T.); #202045=EDGE_CURVE('',#161817,#161818,#86410,.T.); #202046=EDGE_CURVE('',#161818,#161818,#137496,.T.); #202047=EDGE_CURVE('',#161819,#161819,#137497,.T.); #202048=EDGE_CURVE('',#161819,#161820,#86411,.T.); #202049=EDGE_CURVE('',#161820,#161820,#137498,.T.); #202050=EDGE_CURVE('',#161821,#161821,#137499,.T.); #202051=EDGE_CURVE('',#161821,#161822,#86412,.T.); #202052=EDGE_CURVE('',#161822,#161822,#137500,.T.); #202053=EDGE_CURVE('',#161823,#161823,#137501,.T.); #202054=EDGE_CURVE('',#161823,#161824,#86413,.T.); #202055=EDGE_CURVE('',#161824,#161824,#137502,.T.); #202056=EDGE_CURVE('',#161825,#161825,#137503,.T.); #202057=EDGE_CURVE('',#161825,#161826,#86414,.T.); #202058=EDGE_CURVE('',#161826,#161826,#137504,.T.); #202059=EDGE_CURVE('',#161827,#161827,#137505,.T.); #202060=EDGE_CURVE('',#161827,#161828,#86415,.T.); #202061=EDGE_CURVE('',#161828,#161828,#137506,.T.); #202062=EDGE_CURVE('',#161829,#161829,#137507,.T.); #202063=EDGE_CURVE('',#161829,#161830,#86416,.T.); #202064=EDGE_CURVE('',#161830,#161830,#137508,.T.); #202065=EDGE_CURVE('',#161831,#161831,#137509,.T.); #202066=EDGE_CURVE('',#161831,#161832,#86417,.T.); #202067=EDGE_CURVE('',#161832,#161832,#137510,.T.); #202068=EDGE_CURVE('',#161833,#161833,#137511,.T.); #202069=EDGE_CURVE('',#161833,#161834,#86418,.T.); #202070=EDGE_CURVE('',#161834,#161834,#137512,.T.); #202071=EDGE_CURVE('',#161835,#161835,#137513,.T.); #202072=EDGE_CURVE('',#161835,#161836,#86419,.T.); #202073=EDGE_CURVE('',#161836,#161836,#137514,.T.); #202074=EDGE_CURVE('',#161837,#161837,#137515,.T.); #202075=EDGE_CURVE('',#161837,#161838,#86420,.T.); #202076=EDGE_CURVE('',#161838,#161838,#137516,.T.); #202077=EDGE_CURVE('',#161839,#161839,#137517,.T.); #202078=EDGE_CURVE('',#161839,#161840,#86421,.T.); #202079=EDGE_CURVE('',#161840,#161840,#137518,.T.); #202080=EDGE_CURVE('',#161841,#161841,#137519,.T.); #202081=EDGE_CURVE('',#161841,#161842,#86422,.T.); #202082=EDGE_CURVE('',#161842,#161842,#137520,.T.); #202083=EDGE_CURVE('',#161843,#161843,#137521,.T.); #202084=EDGE_CURVE('',#161843,#161844,#86423,.T.); #202085=EDGE_CURVE('',#161844,#161844,#137522,.T.); #202086=EDGE_CURVE('',#161845,#161845,#137523,.T.); #202087=EDGE_CURVE('',#161845,#161846,#86424,.T.); #202088=EDGE_CURVE('',#161846,#161846,#137524,.T.); #202089=EDGE_CURVE('',#161847,#161848,#86425,.T.); #202090=EDGE_CURVE('',#161848,#161849,#86426,.T.); #202091=EDGE_CURVE('',#161850,#161849,#86427,.T.); #202092=EDGE_CURVE('',#161847,#161850,#86428,.T.); #202093=EDGE_CURVE('',#161851,#161847,#86429,.T.); #202094=EDGE_CURVE('',#161852,#161850,#86430,.T.); #202095=EDGE_CURVE('',#161851,#161852,#86431,.T.); #202096=EDGE_CURVE('',#161853,#161851,#86432,.T.); #202097=EDGE_CURVE('',#161854,#161852,#86433,.T.); #202098=EDGE_CURVE('',#161853,#161854,#86434,.T.); #202099=EDGE_CURVE('',#161855,#161853,#86435,.T.); #202100=EDGE_CURVE('',#161856,#161854,#86436,.T.); #202101=EDGE_CURVE('',#161855,#161856,#86437,.T.); #202102=EDGE_CURVE('',#161857,#161855,#86438,.T.); #202103=EDGE_CURVE('',#161858,#161856,#86439,.T.); #202104=EDGE_CURVE('',#161857,#161858,#86440,.T.); #202105=EDGE_CURVE('',#161859,#161857,#86441,.T.); #202106=EDGE_CURVE('',#161860,#161858,#86442,.T.); #202107=EDGE_CURVE('',#161859,#161860,#86443,.T.); #202108=EDGE_CURVE('',#161861,#161859,#86444,.T.); #202109=EDGE_CURVE('',#161862,#161860,#86445,.T.); #202110=EDGE_CURVE('',#161861,#161862,#86446,.T.); #202111=EDGE_CURVE('',#161863,#161861,#86447,.T.); #202112=EDGE_CURVE('',#161864,#161862,#86448,.T.); #202113=EDGE_CURVE('',#161863,#161864,#86449,.T.); #202114=EDGE_CURVE('',#161865,#161863,#86450,.T.); #202115=EDGE_CURVE('',#161866,#161864,#86451,.T.); #202116=EDGE_CURVE('',#161865,#161866,#86452,.T.); #202117=EDGE_CURVE('',#161867,#161865,#86453,.T.); #202118=EDGE_CURVE('',#161868,#161866,#86454,.T.); #202119=EDGE_CURVE('',#161867,#161868,#86455,.T.); #202120=EDGE_CURVE('',#161869,#161867,#86456,.T.); #202121=EDGE_CURVE('',#161870,#161868,#86457,.T.); #202122=EDGE_CURVE('',#161869,#161870,#86458,.T.); #202123=EDGE_CURVE('',#161871,#161869,#86459,.T.); #202124=EDGE_CURVE('',#161872,#161870,#86460,.T.); #202125=EDGE_CURVE('',#161871,#161872,#86461,.T.); #202126=EDGE_CURVE('',#161873,#161871,#86462,.T.); #202127=EDGE_CURVE('',#161874,#161872,#86463,.T.); #202128=EDGE_CURVE('',#161873,#161874,#86464,.T.); #202129=EDGE_CURVE('',#161875,#161873,#86465,.T.); #202130=EDGE_CURVE('',#161876,#161874,#86466,.T.); #202131=EDGE_CURVE('',#161875,#161876,#86467,.T.); #202132=EDGE_CURVE('',#161877,#161875,#86468,.T.); #202133=EDGE_CURVE('',#161878,#161876,#86469,.T.); #202134=EDGE_CURVE('',#161877,#161878,#86470,.T.); #202135=EDGE_CURVE('',#161879,#161877,#86471,.T.); #202136=EDGE_CURVE('',#161880,#161878,#86472,.T.); #202137=EDGE_CURVE('',#161879,#161880,#86473,.T.); #202138=EDGE_CURVE('',#161881,#161879,#86474,.T.); #202139=EDGE_CURVE('',#161882,#161880,#86475,.T.); #202140=EDGE_CURVE('',#161881,#161882,#86476,.T.); #202141=EDGE_CURVE('',#161883,#161881,#86477,.T.); #202142=EDGE_CURVE('',#161884,#161882,#86478,.T.); #202143=EDGE_CURVE('',#161883,#161884,#86479,.T.); #202144=EDGE_CURVE('',#161885,#161883,#86480,.T.); #202145=EDGE_CURVE('',#161886,#161884,#86481,.T.); #202146=EDGE_CURVE('',#161885,#161886,#86482,.T.); #202147=EDGE_CURVE('',#161887,#161885,#86483,.T.); #202148=EDGE_CURVE('',#161888,#161886,#86484,.T.); #202149=EDGE_CURVE('',#161887,#161888,#86485,.T.); #202150=EDGE_CURVE('',#161889,#161887,#86486,.T.); #202151=EDGE_CURVE('',#161890,#161888,#86487,.T.); #202152=EDGE_CURVE('',#161889,#161890,#86488,.T.); #202153=EDGE_CURVE('',#161891,#161889,#86489,.T.); #202154=EDGE_CURVE('',#161892,#161890,#86490,.T.); #202155=EDGE_CURVE('',#161891,#161892,#86491,.T.); #202156=EDGE_CURVE('',#161893,#161891,#86492,.T.); #202157=EDGE_CURVE('',#161894,#161892,#86493,.T.); #202158=EDGE_CURVE('',#161893,#161894,#86494,.T.); #202159=EDGE_CURVE('',#161895,#161893,#86495,.T.); #202160=EDGE_CURVE('',#161896,#161894,#86496,.T.); #202161=EDGE_CURVE('',#161895,#161896,#86497,.T.); #202162=EDGE_CURVE('',#161897,#161895,#86498,.T.); #202163=EDGE_CURVE('',#161898,#161896,#86499,.T.); #202164=EDGE_CURVE('',#161897,#161898,#86500,.T.); #202165=EDGE_CURVE('',#161899,#161897,#86501,.T.); #202166=EDGE_CURVE('',#161900,#161898,#86502,.T.); #202167=EDGE_CURVE('',#161899,#161900,#86503,.T.); #202168=EDGE_CURVE('',#161901,#161899,#86504,.T.); #202169=EDGE_CURVE('',#161902,#161900,#86505,.T.); #202170=EDGE_CURVE('',#161901,#161902,#86506,.T.); #202171=EDGE_CURVE('',#161903,#161901,#86507,.T.); #202172=EDGE_CURVE('',#161904,#161902,#86508,.T.); #202173=EDGE_CURVE('',#161903,#161904,#86509,.T.); #202174=EDGE_CURVE('',#161905,#161903,#86510,.T.); #202175=EDGE_CURVE('',#161906,#161904,#86511,.T.); #202176=EDGE_CURVE('',#161905,#161906,#86512,.T.); #202177=EDGE_CURVE('',#161907,#161905,#86513,.T.); #202178=EDGE_CURVE('',#161908,#161906,#86514,.T.); #202179=EDGE_CURVE('',#161907,#161908,#86515,.T.); #202180=EDGE_CURVE('',#161909,#161907,#86516,.T.); #202181=EDGE_CURVE('',#161910,#161908,#86517,.T.); #202182=EDGE_CURVE('',#161909,#161910,#86518,.T.); #202183=EDGE_CURVE('',#161911,#161909,#86519,.T.); #202184=EDGE_CURVE('',#161912,#161910,#86520,.T.); #202185=EDGE_CURVE('',#161911,#161912,#86521,.T.); #202186=EDGE_CURVE('',#161913,#161911,#86522,.T.); #202187=EDGE_CURVE('',#161914,#161912,#86523,.T.); #202188=EDGE_CURVE('',#161913,#161914,#86524,.T.); #202189=EDGE_CURVE('',#161915,#161913,#86525,.T.); #202190=EDGE_CURVE('',#161916,#161914,#86526,.T.); #202191=EDGE_CURVE('',#161915,#161916,#86527,.T.); #202192=EDGE_CURVE('',#161917,#161915,#86528,.T.); #202193=EDGE_CURVE('',#161918,#161916,#86529,.T.); #202194=EDGE_CURVE('',#161917,#161918,#86530,.T.); #202195=EDGE_CURVE('',#161919,#161917,#86531,.T.); #202196=EDGE_CURVE('',#161920,#161918,#86532,.T.); #202197=EDGE_CURVE('',#161919,#161920,#86533,.T.); #202198=EDGE_CURVE('',#161921,#161919,#86534,.T.); #202199=EDGE_CURVE('',#161922,#161920,#86535,.T.); #202200=EDGE_CURVE('',#161921,#161922,#86536,.T.); #202201=EDGE_CURVE('',#161923,#161921,#86537,.T.); #202202=EDGE_CURVE('',#161924,#161922,#86538,.T.); #202203=EDGE_CURVE('',#161923,#161924,#86539,.T.); #202204=EDGE_CURVE('',#161925,#161923,#86540,.T.); #202205=EDGE_CURVE('',#161926,#161924,#86541,.T.); #202206=EDGE_CURVE('',#161925,#161926,#86542,.T.); #202207=EDGE_CURVE('',#161927,#161925,#86543,.T.); #202208=EDGE_CURVE('',#161928,#161926,#86544,.T.); #202209=EDGE_CURVE('',#161927,#161928,#86545,.T.); #202210=EDGE_CURVE('',#161929,#161927,#86546,.T.); #202211=EDGE_CURVE('',#161930,#161928,#86547,.T.); #202212=EDGE_CURVE('',#161929,#161930,#86548,.T.); #202213=EDGE_CURVE('',#161931,#161929,#86549,.T.); #202214=EDGE_CURVE('',#161932,#161930,#86550,.T.); #202215=EDGE_CURVE('',#161931,#161932,#86551,.T.); #202216=EDGE_CURVE('',#161933,#161931,#86552,.T.); #202217=EDGE_CURVE('',#161934,#161932,#86553,.T.); #202218=EDGE_CURVE('',#161933,#161934,#86554,.T.); #202219=EDGE_CURVE('',#161935,#161933,#86555,.T.); #202220=EDGE_CURVE('',#161936,#161934,#86556,.T.); #202221=EDGE_CURVE('',#161935,#161936,#86557,.T.); #202222=EDGE_CURVE('',#161848,#161935,#86558,.T.); #202223=EDGE_CURVE('',#161849,#161936,#86559,.T.); #202224=EDGE_CURVE('',#161937,#161937,#137525,.T.); #202225=EDGE_CURVE('',#161937,#161938,#86560,.T.); #202226=EDGE_CURVE('',#161938,#161938,#137526,.T.); #202227=EDGE_CURVE('',#161939,#161939,#137527,.T.); #202228=EDGE_CURVE('',#161939,#161940,#86561,.T.); #202229=EDGE_CURVE('',#161940,#161940,#137528,.T.); #202230=EDGE_CURVE('',#161941,#161942,#86562,.T.); #202231=EDGE_CURVE('',#161942,#161943,#86563,.T.); #202232=EDGE_CURVE('',#161944,#161943,#86564,.T.); #202233=EDGE_CURVE('',#161941,#161944,#86565,.T.); #202234=EDGE_CURVE('',#161945,#161941,#137529,.T.); #202235=EDGE_CURVE('',#161946,#161944,#137530,.T.); #202236=EDGE_CURVE('',#161945,#161946,#86566,.T.); #202237=EDGE_CURVE('',#161947,#161945,#86567,.T.); #202238=EDGE_CURVE('',#161948,#161946,#86568,.T.); #202239=EDGE_CURVE('',#161947,#161948,#86569,.T.); #202240=EDGE_CURVE('',#161949,#161947,#137531,.T.); #202241=EDGE_CURVE('',#161950,#161948,#137532,.T.); #202242=EDGE_CURVE('',#161949,#161950,#86570,.T.); #202243=EDGE_CURVE('',#161951,#161949,#137533,.T.); #202244=EDGE_CURVE('',#161952,#161950,#137534,.T.); #202245=EDGE_CURVE('',#161951,#161952,#86571,.T.); #202246=EDGE_CURVE('',#161953,#161951,#86572,.T.); #202247=EDGE_CURVE('',#161954,#161952,#86573,.T.); #202248=EDGE_CURVE('',#161953,#161954,#86574,.T.); #202249=EDGE_CURVE('',#161942,#161953,#137535,.T.); #202250=EDGE_CURVE('',#161943,#161954,#137536,.T.); #202251=EDGE_CURVE('',#161955,#161955,#137537,.T.); #202252=EDGE_CURVE('',#161955,#161956,#86575,.T.); #202253=EDGE_CURVE('',#161956,#161956,#137538,.T.); #202254=EDGE_CURVE('',#161957,#161958,#86576,.T.); #202255=EDGE_CURVE('',#161958,#161959,#86577,.T.); #202256=EDGE_CURVE('',#161960,#161959,#86578,.T.); #202257=EDGE_CURVE('',#161957,#161960,#86579,.T.); #202258=EDGE_CURVE('',#161961,#161957,#137539,.T.); #202259=EDGE_CURVE('',#161962,#161960,#137540,.T.); #202260=EDGE_CURVE('',#161961,#161962,#86580,.T.); #202261=EDGE_CURVE('',#161958,#161961,#137541,.T.); #202262=EDGE_CURVE('',#161959,#161962,#137542,.T.); #202263=EDGE_CURVE('',#161963,#161963,#137543,.T.); #202264=EDGE_CURVE('',#161963,#161964,#86581,.T.); #202265=EDGE_CURVE('',#161964,#161964,#137544,.T.); #202266=EDGE_CURVE('',#161965,#161965,#137545,.T.); #202267=EDGE_CURVE('',#161965,#161966,#86582,.T.); #202268=EDGE_CURVE('',#161966,#161966,#137546,.T.); #202269=EDGE_CURVE('',#161967,#161967,#137547,.T.); #202270=EDGE_CURVE('',#161967,#161968,#86583,.T.); #202271=EDGE_CURVE('',#161968,#161968,#137548,.T.); #202272=EDGE_CURVE('',#161969,#161969,#137549,.T.); #202273=EDGE_CURVE('',#161969,#161970,#86584,.T.); #202274=EDGE_CURVE('',#161970,#161970,#137550,.T.); #202275=EDGE_CURVE('',#161971,#161971,#137551,.T.); #202276=EDGE_CURVE('',#161971,#161972,#86585,.T.); #202277=EDGE_CURVE('',#161972,#161972,#137552,.T.); #202278=EDGE_CURVE('',#161973,#161973,#137553,.T.); #202279=EDGE_CURVE('',#161973,#161974,#86586,.T.); #202280=EDGE_CURVE('',#161974,#161974,#137554,.T.); #202281=EDGE_CURVE('',#161975,#161975,#137555,.T.); #202282=EDGE_CURVE('',#161975,#161976,#86587,.T.); #202283=EDGE_CURVE('',#161976,#161976,#137556,.T.); #202284=EDGE_CURVE('',#161977,#161977,#137557,.T.); #202285=EDGE_CURVE('',#161977,#161978,#86588,.T.); #202286=EDGE_CURVE('',#161978,#161978,#137558,.T.); #202287=EDGE_CURVE('',#161979,#161979,#137559,.T.); #202288=EDGE_CURVE('',#161979,#161980,#86589,.T.); #202289=EDGE_CURVE('',#161980,#161980,#137560,.T.); #202290=EDGE_CURVE('',#161981,#161981,#137561,.T.); #202291=EDGE_CURVE('',#161981,#161982,#86590,.T.); #202292=EDGE_CURVE('',#161982,#161982,#137562,.T.); #202293=EDGE_CURVE('',#161983,#161983,#137563,.T.); #202294=EDGE_CURVE('',#161983,#161984,#86591,.T.); #202295=EDGE_CURVE('',#161984,#161984,#137564,.T.); #202296=EDGE_CURVE('',#161985,#161985,#137565,.T.); #202297=EDGE_CURVE('',#161985,#161986,#86592,.T.); #202298=EDGE_CURVE('',#161986,#161986,#137566,.T.); #202299=EDGE_CURVE('',#161987,#161987,#137567,.T.); #202300=EDGE_CURVE('',#161987,#161988,#86593,.T.); #202301=EDGE_CURVE('',#161988,#161988,#137568,.T.); #202302=EDGE_CURVE('',#161989,#161989,#137569,.T.); #202303=EDGE_CURVE('',#161989,#161990,#86594,.T.); #202304=EDGE_CURVE('',#161990,#161990,#137570,.T.); #202305=EDGE_CURVE('',#161991,#161991,#137571,.T.); #202306=EDGE_CURVE('',#161991,#161992,#86595,.T.); #202307=EDGE_CURVE('',#161992,#161992,#137572,.T.); #202308=EDGE_CURVE('',#161993,#161994,#86596,.T.); #202309=EDGE_CURVE('',#161994,#161995,#86597,.T.); #202310=EDGE_CURVE('',#161996,#161995,#86598,.T.); #202311=EDGE_CURVE('',#161993,#161996,#86599,.T.); #202312=EDGE_CURVE('',#161997,#161993,#86600,.T.); #202313=EDGE_CURVE('',#161998,#161996,#86601,.T.); #202314=EDGE_CURVE('',#161997,#161998,#86602,.T.); #202315=EDGE_CURVE('',#161999,#161997,#86603,.T.); #202316=EDGE_CURVE('',#162000,#161998,#86604,.T.); #202317=EDGE_CURVE('',#161999,#162000,#86605,.T.); #202318=EDGE_CURVE('',#162001,#161999,#86606,.T.); #202319=EDGE_CURVE('',#162002,#162000,#86607,.T.); #202320=EDGE_CURVE('',#162001,#162002,#86608,.T.); #202321=EDGE_CURVE('',#162003,#162001,#86609,.T.); #202322=EDGE_CURVE('',#162004,#162002,#86610,.T.); #202323=EDGE_CURVE('',#162003,#162004,#86611,.T.); #202324=EDGE_CURVE('',#162005,#162003,#86612,.T.); #202325=EDGE_CURVE('',#162006,#162004,#86613,.T.); #202326=EDGE_CURVE('',#162005,#162006,#86614,.T.); #202327=EDGE_CURVE('',#162007,#162005,#86615,.T.); #202328=EDGE_CURVE('',#162008,#162006,#86616,.T.); #202329=EDGE_CURVE('',#162007,#162008,#86617,.T.); #202330=EDGE_CURVE('',#162009,#162007,#86618,.T.); #202331=EDGE_CURVE('',#162010,#162008,#86619,.T.); #202332=EDGE_CURVE('',#162009,#162010,#86620,.T.); #202333=EDGE_CURVE('',#162011,#162009,#86621,.T.); #202334=EDGE_CURVE('',#162012,#162010,#86622,.T.); #202335=EDGE_CURVE('',#162011,#162012,#86623,.T.); #202336=EDGE_CURVE('',#162013,#162011,#86624,.T.); #202337=EDGE_CURVE('',#162014,#162012,#86625,.T.); #202338=EDGE_CURVE('',#162013,#162014,#86626,.T.); #202339=EDGE_CURVE('',#162015,#162013,#86627,.T.); #202340=EDGE_CURVE('',#162016,#162014,#86628,.T.); #202341=EDGE_CURVE('',#162015,#162016,#86629,.T.); #202342=EDGE_CURVE('',#162017,#162015,#86630,.T.); #202343=EDGE_CURVE('',#162018,#162016,#86631,.T.); #202344=EDGE_CURVE('',#162017,#162018,#86632,.T.); #202345=EDGE_CURVE('',#162019,#162017,#86633,.T.); #202346=EDGE_CURVE('',#162020,#162018,#86634,.T.); #202347=EDGE_CURVE('',#162019,#162020,#86635,.T.); #202348=EDGE_CURVE('',#162021,#162019,#86636,.T.); #202349=EDGE_CURVE('',#162022,#162020,#86637,.T.); #202350=EDGE_CURVE('',#162021,#162022,#86638,.T.); #202351=EDGE_CURVE('',#162023,#162021,#86639,.T.); #202352=EDGE_CURVE('',#162024,#162022,#86640,.T.); #202353=EDGE_CURVE('',#162023,#162024,#86641,.T.); #202354=EDGE_CURVE('',#162025,#162023,#86642,.T.); #202355=EDGE_CURVE('',#162026,#162024,#86643,.T.); #202356=EDGE_CURVE('',#162025,#162026,#86644,.T.); #202357=EDGE_CURVE('',#162027,#162025,#86645,.T.); #202358=EDGE_CURVE('',#162028,#162026,#86646,.T.); #202359=EDGE_CURVE('',#162027,#162028,#86647,.T.); #202360=EDGE_CURVE('',#162029,#162027,#86648,.T.); #202361=EDGE_CURVE('',#162030,#162028,#86649,.T.); #202362=EDGE_CURVE('',#162029,#162030,#86650,.T.); #202363=EDGE_CURVE('',#162031,#162029,#86651,.T.); #202364=EDGE_CURVE('',#162032,#162030,#86652,.T.); #202365=EDGE_CURVE('',#162031,#162032,#86653,.T.); #202366=EDGE_CURVE('',#162033,#162031,#86654,.T.); #202367=EDGE_CURVE('',#162034,#162032,#86655,.T.); #202368=EDGE_CURVE('',#162033,#162034,#86656,.T.); #202369=EDGE_CURVE('',#162035,#162033,#86657,.T.); #202370=EDGE_CURVE('',#162036,#162034,#86658,.T.); #202371=EDGE_CURVE('',#162035,#162036,#86659,.T.); #202372=EDGE_CURVE('',#162037,#162035,#86660,.T.); #202373=EDGE_CURVE('',#162038,#162036,#86661,.T.); #202374=EDGE_CURVE('',#162037,#162038,#86662,.T.); #202375=EDGE_CURVE('',#162039,#162037,#86663,.T.); #202376=EDGE_CURVE('',#162040,#162038,#86664,.T.); #202377=EDGE_CURVE('',#162039,#162040,#86665,.T.); #202378=EDGE_CURVE('',#162041,#162039,#86666,.T.); #202379=EDGE_CURVE('',#162042,#162040,#86667,.T.); #202380=EDGE_CURVE('',#162041,#162042,#86668,.T.); #202381=EDGE_CURVE('',#162043,#162041,#86669,.T.); #202382=EDGE_CURVE('',#162044,#162042,#86670,.T.); #202383=EDGE_CURVE('',#162043,#162044,#86671,.T.); #202384=EDGE_CURVE('',#162045,#162043,#86672,.T.); #202385=EDGE_CURVE('',#162046,#162044,#86673,.T.); #202386=EDGE_CURVE('',#162045,#162046,#86674,.T.); #202387=EDGE_CURVE('',#162047,#162045,#86675,.T.); #202388=EDGE_CURVE('',#162048,#162046,#86676,.T.); #202389=EDGE_CURVE('',#162047,#162048,#86677,.T.); #202390=EDGE_CURVE('',#162049,#162047,#86678,.T.); #202391=EDGE_CURVE('',#162050,#162048,#86679,.T.); #202392=EDGE_CURVE('',#162049,#162050,#86680,.T.); #202393=EDGE_CURVE('',#162051,#162049,#86681,.T.); #202394=EDGE_CURVE('',#162052,#162050,#86682,.T.); #202395=EDGE_CURVE('',#162051,#162052,#86683,.T.); #202396=EDGE_CURVE('',#162053,#162051,#86684,.T.); #202397=EDGE_CURVE('',#162054,#162052,#86685,.T.); #202398=EDGE_CURVE('',#162053,#162054,#86686,.T.); #202399=EDGE_CURVE('',#162055,#162053,#86687,.T.); #202400=EDGE_CURVE('',#162056,#162054,#86688,.T.); #202401=EDGE_CURVE('',#162055,#162056,#86689,.T.); #202402=EDGE_CURVE('',#162057,#162055,#86690,.T.); #202403=EDGE_CURVE('',#162058,#162056,#86691,.T.); #202404=EDGE_CURVE('',#162057,#162058,#86692,.T.); #202405=EDGE_CURVE('',#162059,#162057,#86693,.T.); #202406=EDGE_CURVE('',#162060,#162058,#86694,.T.); #202407=EDGE_CURVE('',#162059,#162060,#86695,.T.); #202408=EDGE_CURVE('',#162061,#162059,#86696,.T.); #202409=EDGE_CURVE('',#162062,#162060,#86697,.T.); #202410=EDGE_CURVE('',#162061,#162062,#86698,.T.); #202411=EDGE_CURVE('',#162063,#162061,#86699,.T.); #202412=EDGE_CURVE('',#162064,#162062,#86700,.T.); #202413=EDGE_CURVE('',#162063,#162064,#86701,.T.); #202414=EDGE_CURVE('',#162065,#162063,#86702,.T.); #202415=EDGE_CURVE('',#162066,#162064,#86703,.T.); #202416=EDGE_CURVE('',#162065,#162066,#86704,.T.); #202417=EDGE_CURVE('',#162067,#162065,#86705,.T.); #202418=EDGE_CURVE('',#162068,#162066,#86706,.T.); #202419=EDGE_CURVE('',#162067,#162068,#86707,.T.); #202420=EDGE_CURVE('',#162069,#162067,#86708,.T.); #202421=EDGE_CURVE('',#162070,#162068,#86709,.T.); #202422=EDGE_CURVE('',#162069,#162070,#86710,.T.); #202423=EDGE_CURVE('',#162071,#162069,#86711,.T.); #202424=EDGE_CURVE('',#162072,#162070,#86712,.T.); #202425=EDGE_CURVE('',#162071,#162072,#86713,.T.); #202426=EDGE_CURVE('',#162073,#162071,#86714,.T.); #202427=EDGE_CURVE('',#162074,#162072,#86715,.T.); #202428=EDGE_CURVE('',#162073,#162074,#86716,.T.); #202429=EDGE_CURVE('',#162075,#162073,#86717,.T.); #202430=EDGE_CURVE('',#162076,#162074,#86718,.T.); #202431=EDGE_CURVE('',#162075,#162076,#86719,.T.); #202432=EDGE_CURVE('',#162077,#162075,#86720,.T.); #202433=EDGE_CURVE('',#162078,#162076,#86721,.T.); #202434=EDGE_CURVE('',#162077,#162078,#86722,.T.); #202435=EDGE_CURVE('',#162079,#162077,#86723,.T.); #202436=EDGE_CURVE('',#162080,#162078,#86724,.T.); #202437=EDGE_CURVE('',#162079,#162080,#86725,.T.); #202438=EDGE_CURVE('',#162081,#162079,#86726,.T.); #202439=EDGE_CURVE('',#162082,#162080,#86727,.T.); #202440=EDGE_CURVE('',#162081,#162082,#86728,.T.); #202441=EDGE_CURVE('',#162083,#162081,#86729,.T.); #202442=EDGE_CURVE('',#162084,#162082,#86730,.T.); #202443=EDGE_CURVE('',#162083,#162084,#86731,.T.); #202444=EDGE_CURVE('',#162085,#162083,#86732,.T.); #202445=EDGE_CURVE('',#162086,#162084,#86733,.T.); #202446=EDGE_CURVE('',#162085,#162086,#86734,.T.); #202447=EDGE_CURVE('',#162087,#162085,#86735,.T.); #202448=EDGE_CURVE('',#162088,#162086,#86736,.T.); #202449=EDGE_CURVE('',#162087,#162088,#86737,.T.); #202450=EDGE_CURVE('',#162089,#162087,#86738,.T.); #202451=EDGE_CURVE('',#162090,#162088,#86739,.T.); #202452=EDGE_CURVE('',#162089,#162090,#86740,.T.); #202453=EDGE_CURVE('',#162091,#162089,#86741,.T.); #202454=EDGE_CURVE('',#162092,#162090,#86742,.T.); #202455=EDGE_CURVE('',#162091,#162092,#86743,.T.); #202456=EDGE_CURVE('',#162093,#162091,#86744,.T.); #202457=EDGE_CURVE('',#162094,#162092,#86745,.T.); #202458=EDGE_CURVE('',#162093,#162094,#86746,.T.); #202459=EDGE_CURVE('',#162095,#162093,#86747,.T.); #202460=EDGE_CURVE('',#162096,#162094,#86748,.T.); #202461=EDGE_CURVE('',#162095,#162096,#86749,.T.); #202462=EDGE_CURVE('',#162097,#162095,#86750,.T.); #202463=EDGE_CURVE('',#162098,#162096,#86751,.T.); #202464=EDGE_CURVE('',#162097,#162098,#86752,.T.); #202465=EDGE_CURVE('',#162099,#162097,#86753,.T.); #202466=EDGE_CURVE('',#162100,#162098,#86754,.T.); #202467=EDGE_CURVE('',#162099,#162100,#86755,.T.); #202468=EDGE_CURVE('',#162101,#162099,#86756,.T.); #202469=EDGE_CURVE('',#162102,#162100,#86757,.T.); #202470=EDGE_CURVE('',#162101,#162102,#86758,.T.); #202471=EDGE_CURVE('',#162103,#162101,#86759,.T.); #202472=EDGE_CURVE('',#162104,#162102,#86760,.T.); #202473=EDGE_CURVE('',#162103,#162104,#86761,.T.); #202474=EDGE_CURVE('',#162105,#162103,#86762,.T.); #202475=EDGE_CURVE('',#162106,#162104,#86763,.T.); #202476=EDGE_CURVE('',#162105,#162106,#86764,.T.); #202477=EDGE_CURVE('',#162107,#162105,#86765,.T.); #202478=EDGE_CURVE('',#162108,#162106,#86766,.T.); #202479=EDGE_CURVE('',#162107,#162108,#86767,.T.); #202480=EDGE_CURVE('',#162109,#162107,#86768,.T.); #202481=EDGE_CURVE('',#162110,#162108,#86769,.T.); #202482=EDGE_CURVE('',#162109,#162110,#86770,.T.); #202483=EDGE_CURVE('',#162111,#162109,#86771,.T.); #202484=EDGE_CURVE('',#162112,#162110,#86772,.T.); #202485=EDGE_CURVE('',#162111,#162112,#86773,.T.); #202486=EDGE_CURVE('',#162113,#162111,#86774,.T.); #202487=EDGE_CURVE('',#162114,#162112,#86775,.T.); #202488=EDGE_CURVE('',#162113,#162114,#86776,.T.); #202489=EDGE_CURVE('',#162115,#162113,#86777,.T.); #202490=EDGE_CURVE('',#162116,#162114,#86778,.T.); #202491=EDGE_CURVE('',#162115,#162116,#86779,.T.); #202492=EDGE_CURVE('',#162117,#162115,#86780,.T.); #202493=EDGE_CURVE('',#162118,#162116,#86781,.T.); #202494=EDGE_CURVE('',#162117,#162118,#86782,.T.); #202495=EDGE_CURVE('',#162119,#162117,#86783,.T.); #202496=EDGE_CURVE('',#162120,#162118,#86784,.T.); #202497=EDGE_CURVE('',#162119,#162120,#86785,.T.); #202498=EDGE_CURVE('',#162121,#162119,#86786,.T.); #202499=EDGE_CURVE('',#162122,#162120,#86787,.T.); #202500=EDGE_CURVE('',#162121,#162122,#86788,.T.); #202501=EDGE_CURVE('',#162123,#162121,#86789,.T.); #202502=EDGE_CURVE('',#162124,#162122,#86790,.T.); #202503=EDGE_CURVE('',#162123,#162124,#86791,.T.); #202504=EDGE_CURVE('',#162125,#162123,#86792,.T.); #202505=EDGE_CURVE('',#162126,#162124,#86793,.T.); #202506=EDGE_CURVE('',#162125,#162126,#86794,.T.); #202507=EDGE_CURVE('',#162127,#162125,#86795,.T.); #202508=EDGE_CURVE('',#162128,#162126,#86796,.T.); #202509=EDGE_CURVE('',#162127,#162128,#86797,.T.); #202510=EDGE_CURVE('',#162129,#162127,#86798,.T.); #202511=EDGE_CURVE('',#162130,#162128,#86799,.T.); #202512=EDGE_CURVE('',#162129,#162130,#86800,.T.); #202513=EDGE_CURVE('',#162131,#162129,#86801,.T.); #202514=EDGE_CURVE('',#162132,#162130,#86802,.T.); #202515=EDGE_CURVE('',#162131,#162132,#86803,.T.); #202516=EDGE_CURVE('',#162133,#162131,#86804,.T.); #202517=EDGE_CURVE('',#162134,#162132,#86805,.T.); #202518=EDGE_CURVE('',#162133,#162134,#86806,.T.); #202519=EDGE_CURVE('',#162135,#162133,#86807,.T.); #202520=EDGE_CURVE('',#162136,#162134,#86808,.T.); #202521=EDGE_CURVE('',#162135,#162136,#86809,.T.); #202522=EDGE_CURVE('',#161994,#162135,#86810,.T.); #202523=EDGE_CURVE('',#161995,#162136,#86811,.T.); #202524=EDGE_CURVE('',#162137,#162138,#86812,.T.); #202525=EDGE_CURVE('',#162138,#162139,#86813,.T.); #202526=EDGE_CURVE('',#162140,#162139,#86814,.T.); #202527=EDGE_CURVE('',#162137,#162140,#86815,.T.); #202528=EDGE_CURVE('',#162141,#162137,#86816,.T.); #202529=EDGE_CURVE('',#162142,#162140,#86817,.T.); #202530=EDGE_CURVE('',#162141,#162142,#86818,.T.); #202531=EDGE_CURVE('',#162143,#162141,#86819,.T.); #202532=EDGE_CURVE('',#162144,#162142,#86820,.T.); #202533=EDGE_CURVE('',#162143,#162144,#86821,.T.); #202534=EDGE_CURVE('',#162145,#162143,#86822,.T.); #202535=EDGE_CURVE('',#162146,#162144,#86823,.T.); #202536=EDGE_CURVE('',#162145,#162146,#86824,.T.); #202537=EDGE_CURVE('',#162147,#162145,#86825,.T.); #202538=EDGE_CURVE('',#162148,#162146,#86826,.T.); #202539=EDGE_CURVE('',#162147,#162148,#86827,.T.); #202540=EDGE_CURVE('',#162149,#162147,#86828,.T.); #202541=EDGE_CURVE('',#162150,#162148,#86829,.T.); #202542=EDGE_CURVE('',#162149,#162150,#86830,.T.); #202543=EDGE_CURVE('',#162151,#162149,#86831,.T.); #202544=EDGE_CURVE('',#162152,#162150,#86832,.T.); #202545=EDGE_CURVE('',#162151,#162152,#86833,.T.); #202546=EDGE_CURVE('',#162153,#162151,#86834,.T.); #202547=EDGE_CURVE('',#162154,#162152,#86835,.T.); #202548=EDGE_CURVE('',#162153,#162154,#86836,.T.); #202549=EDGE_CURVE('',#162155,#162153,#86837,.T.); #202550=EDGE_CURVE('',#162156,#162154,#86838,.T.); #202551=EDGE_CURVE('',#162155,#162156,#86839,.T.); #202552=EDGE_CURVE('',#162157,#162155,#86840,.T.); #202553=EDGE_CURVE('',#162158,#162156,#86841,.T.); #202554=EDGE_CURVE('',#162157,#162158,#86842,.T.); #202555=EDGE_CURVE('',#162159,#162157,#86843,.T.); #202556=EDGE_CURVE('',#162160,#162158,#86844,.T.); #202557=EDGE_CURVE('',#162159,#162160,#86845,.T.); #202558=EDGE_CURVE('',#162161,#162159,#86846,.T.); #202559=EDGE_CURVE('',#162162,#162160,#86847,.T.); #202560=EDGE_CURVE('',#162161,#162162,#86848,.T.); #202561=EDGE_CURVE('',#162163,#162161,#86849,.T.); #202562=EDGE_CURVE('',#162164,#162162,#86850,.T.); #202563=EDGE_CURVE('',#162163,#162164,#86851,.T.); #202564=EDGE_CURVE('',#162165,#162163,#86852,.T.); #202565=EDGE_CURVE('',#162166,#162164,#86853,.T.); #202566=EDGE_CURVE('',#162165,#162166,#86854,.T.); #202567=EDGE_CURVE('',#162167,#162165,#86855,.T.); #202568=EDGE_CURVE('',#162168,#162166,#86856,.T.); #202569=EDGE_CURVE('',#162167,#162168,#86857,.T.); #202570=EDGE_CURVE('',#162169,#162167,#86858,.T.); #202571=EDGE_CURVE('',#162170,#162168,#86859,.T.); #202572=EDGE_CURVE('',#162169,#162170,#86860,.T.); #202573=EDGE_CURVE('',#162171,#162169,#86861,.T.); #202574=EDGE_CURVE('',#162172,#162170,#86862,.T.); #202575=EDGE_CURVE('',#162171,#162172,#86863,.T.); #202576=EDGE_CURVE('',#162173,#162171,#86864,.T.); #202577=EDGE_CURVE('',#162174,#162172,#86865,.T.); #202578=EDGE_CURVE('',#162173,#162174,#86866,.T.); #202579=EDGE_CURVE('',#162175,#162173,#86867,.T.); #202580=EDGE_CURVE('',#162176,#162174,#86868,.T.); #202581=EDGE_CURVE('',#162175,#162176,#86869,.T.); #202582=EDGE_CURVE('',#162177,#162175,#86870,.T.); #202583=EDGE_CURVE('',#162178,#162176,#86871,.T.); #202584=EDGE_CURVE('',#162177,#162178,#86872,.T.); #202585=EDGE_CURVE('',#162179,#162177,#86873,.T.); #202586=EDGE_CURVE('',#162180,#162178,#86874,.T.); #202587=EDGE_CURVE('',#162179,#162180,#86875,.T.); #202588=EDGE_CURVE('',#162181,#162179,#86876,.T.); #202589=EDGE_CURVE('',#162182,#162180,#86877,.T.); #202590=EDGE_CURVE('',#162181,#162182,#86878,.T.); #202591=EDGE_CURVE('',#162183,#162181,#86879,.T.); #202592=EDGE_CURVE('',#162184,#162182,#86880,.T.); #202593=EDGE_CURVE('',#162183,#162184,#86881,.T.); #202594=EDGE_CURVE('',#162185,#162183,#86882,.T.); #202595=EDGE_CURVE('',#162186,#162184,#86883,.T.); #202596=EDGE_CURVE('',#162185,#162186,#86884,.T.); #202597=EDGE_CURVE('',#162187,#162185,#86885,.T.); #202598=EDGE_CURVE('',#162188,#162186,#86886,.T.); #202599=EDGE_CURVE('',#162187,#162188,#86887,.T.); #202600=EDGE_CURVE('',#162189,#162187,#86888,.T.); #202601=EDGE_CURVE('',#162190,#162188,#86889,.T.); #202602=EDGE_CURVE('',#162189,#162190,#86890,.T.); #202603=EDGE_CURVE('',#162191,#162189,#86891,.T.); #202604=EDGE_CURVE('',#162192,#162190,#86892,.T.); #202605=EDGE_CURVE('',#162191,#162192,#86893,.T.); #202606=EDGE_CURVE('',#162193,#162191,#86894,.T.); #202607=EDGE_CURVE('',#162194,#162192,#86895,.T.); #202608=EDGE_CURVE('',#162193,#162194,#86896,.T.); #202609=EDGE_CURVE('',#162195,#162193,#86897,.T.); #202610=EDGE_CURVE('',#162196,#162194,#86898,.T.); #202611=EDGE_CURVE('',#162195,#162196,#86899,.T.); #202612=EDGE_CURVE('',#162197,#162195,#86900,.T.); #202613=EDGE_CURVE('',#162198,#162196,#86901,.T.); #202614=EDGE_CURVE('',#162197,#162198,#86902,.T.); #202615=EDGE_CURVE('',#162199,#162197,#86903,.T.); #202616=EDGE_CURVE('',#162200,#162198,#86904,.T.); #202617=EDGE_CURVE('',#162199,#162200,#86905,.T.); #202618=EDGE_CURVE('',#162201,#162199,#86906,.T.); #202619=EDGE_CURVE('',#162202,#162200,#86907,.T.); #202620=EDGE_CURVE('',#162201,#162202,#86908,.T.); #202621=EDGE_CURVE('',#162203,#162201,#86909,.T.); #202622=EDGE_CURVE('',#162204,#162202,#86910,.T.); #202623=EDGE_CURVE('',#162203,#162204,#86911,.T.); #202624=EDGE_CURVE('',#162205,#162203,#86912,.T.); #202625=EDGE_CURVE('',#162206,#162204,#86913,.T.); #202626=EDGE_CURVE('',#162205,#162206,#86914,.T.); #202627=EDGE_CURVE('',#162207,#162205,#86915,.T.); #202628=EDGE_CURVE('',#162208,#162206,#86916,.T.); #202629=EDGE_CURVE('',#162207,#162208,#86917,.T.); #202630=EDGE_CURVE('',#162209,#162207,#86918,.T.); #202631=EDGE_CURVE('',#162210,#162208,#86919,.T.); #202632=EDGE_CURVE('',#162209,#162210,#86920,.T.); #202633=EDGE_CURVE('',#162211,#162209,#86921,.T.); #202634=EDGE_CURVE('',#162212,#162210,#86922,.T.); #202635=EDGE_CURVE('',#162211,#162212,#86923,.T.); #202636=EDGE_CURVE('',#162213,#162211,#86924,.T.); #202637=EDGE_CURVE('',#162214,#162212,#86925,.T.); #202638=EDGE_CURVE('',#162213,#162214,#86926,.T.); #202639=EDGE_CURVE('',#162215,#162213,#86927,.T.); #202640=EDGE_CURVE('',#162216,#162214,#86928,.T.); #202641=EDGE_CURVE('',#162215,#162216,#86929,.T.); #202642=EDGE_CURVE('',#162217,#162215,#86930,.T.); #202643=EDGE_CURVE('',#162218,#162216,#86931,.T.); #202644=EDGE_CURVE('',#162217,#162218,#86932,.T.); #202645=EDGE_CURVE('',#162219,#162217,#86933,.T.); #202646=EDGE_CURVE('',#162220,#162218,#86934,.T.); #202647=EDGE_CURVE('',#162219,#162220,#86935,.T.); #202648=EDGE_CURVE('',#162221,#162219,#86936,.T.); #202649=EDGE_CURVE('',#162222,#162220,#86937,.T.); #202650=EDGE_CURVE('',#162221,#162222,#86938,.T.); #202651=EDGE_CURVE('',#162223,#162221,#86939,.T.); #202652=EDGE_CURVE('',#162224,#162222,#86940,.T.); #202653=EDGE_CURVE('',#162223,#162224,#86941,.T.); #202654=EDGE_CURVE('',#162225,#162223,#86942,.T.); #202655=EDGE_CURVE('',#162226,#162224,#86943,.T.); #202656=EDGE_CURVE('',#162225,#162226,#86944,.T.); #202657=EDGE_CURVE('',#162227,#162225,#86945,.T.); #202658=EDGE_CURVE('',#162228,#162226,#86946,.T.); #202659=EDGE_CURVE('',#162227,#162228,#86947,.T.); #202660=EDGE_CURVE('',#162229,#162227,#86948,.T.); #202661=EDGE_CURVE('',#162230,#162228,#86949,.T.); #202662=EDGE_CURVE('',#162229,#162230,#86950,.T.); #202663=EDGE_CURVE('',#162231,#162229,#86951,.T.); #202664=EDGE_CURVE('',#162232,#162230,#86952,.T.); #202665=EDGE_CURVE('',#162231,#162232,#86953,.T.); #202666=EDGE_CURVE('',#162233,#162231,#86954,.T.); #202667=EDGE_CURVE('',#162234,#162232,#86955,.T.); #202668=EDGE_CURVE('',#162233,#162234,#86956,.T.); #202669=EDGE_CURVE('',#162235,#162233,#86957,.T.); #202670=EDGE_CURVE('',#162236,#162234,#86958,.T.); #202671=EDGE_CURVE('',#162235,#162236,#86959,.T.); #202672=EDGE_CURVE('',#162237,#162235,#86960,.T.); #202673=EDGE_CURVE('',#162238,#162236,#86961,.T.); #202674=EDGE_CURVE('',#162237,#162238,#86962,.T.); #202675=EDGE_CURVE('',#162239,#162237,#86963,.T.); #202676=EDGE_CURVE('',#162240,#162238,#86964,.T.); #202677=EDGE_CURVE('',#162239,#162240,#86965,.T.); #202678=EDGE_CURVE('',#162241,#162239,#86966,.T.); #202679=EDGE_CURVE('',#162242,#162240,#86967,.T.); #202680=EDGE_CURVE('',#162241,#162242,#86968,.T.); #202681=EDGE_CURVE('',#162243,#162241,#86969,.T.); #202682=EDGE_CURVE('',#162244,#162242,#86970,.T.); #202683=EDGE_CURVE('',#162243,#162244,#86971,.T.); #202684=EDGE_CURVE('',#162245,#162243,#86972,.T.); #202685=EDGE_CURVE('',#162246,#162244,#86973,.T.); #202686=EDGE_CURVE('',#162245,#162246,#86974,.T.); #202687=EDGE_CURVE('',#162247,#162245,#86975,.T.); #202688=EDGE_CURVE('',#162248,#162246,#86976,.T.); #202689=EDGE_CURVE('',#162247,#162248,#86977,.T.); #202690=EDGE_CURVE('',#162249,#162247,#86978,.T.); #202691=EDGE_CURVE('',#162250,#162248,#86979,.T.); #202692=EDGE_CURVE('',#162249,#162250,#86980,.T.); #202693=EDGE_CURVE('',#162251,#162249,#86981,.T.); #202694=EDGE_CURVE('',#162252,#162250,#86982,.T.); #202695=EDGE_CURVE('',#162251,#162252,#86983,.T.); #202696=EDGE_CURVE('',#162253,#162251,#86984,.T.); #202697=EDGE_CURVE('',#162254,#162252,#86985,.T.); #202698=EDGE_CURVE('',#162253,#162254,#86986,.T.); #202699=EDGE_CURVE('',#162255,#162253,#86987,.T.); #202700=EDGE_CURVE('',#162256,#162254,#86988,.T.); #202701=EDGE_CURVE('',#162255,#162256,#86989,.T.); #202702=EDGE_CURVE('',#162257,#162255,#86990,.T.); #202703=EDGE_CURVE('',#162258,#162256,#86991,.T.); #202704=EDGE_CURVE('',#162257,#162258,#86992,.T.); #202705=EDGE_CURVE('',#162259,#162257,#86993,.T.); #202706=EDGE_CURVE('',#162260,#162258,#86994,.T.); #202707=EDGE_CURVE('',#162259,#162260,#86995,.T.); #202708=EDGE_CURVE('',#162261,#162259,#86996,.T.); #202709=EDGE_CURVE('',#162262,#162260,#86997,.T.); #202710=EDGE_CURVE('',#162261,#162262,#86998,.T.); #202711=EDGE_CURVE('',#162263,#162261,#86999,.T.); #202712=EDGE_CURVE('',#162264,#162262,#87000,.T.); #202713=EDGE_CURVE('',#162263,#162264,#87001,.T.); #202714=EDGE_CURVE('',#162265,#162263,#87002,.T.); #202715=EDGE_CURVE('',#162266,#162264,#87003,.T.); #202716=EDGE_CURVE('',#162265,#162266,#87004,.T.); #202717=EDGE_CURVE('',#162267,#162265,#87005,.T.); #202718=EDGE_CURVE('',#162268,#162266,#87006,.T.); #202719=EDGE_CURVE('',#162267,#162268,#87007,.T.); #202720=EDGE_CURVE('',#162269,#162267,#87008,.T.); #202721=EDGE_CURVE('',#162270,#162268,#87009,.T.); #202722=EDGE_CURVE('',#162269,#162270,#87010,.T.); #202723=EDGE_CURVE('',#162271,#162269,#87011,.T.); #202724=EDGE_CURVE('',#162272,#162270,#87012,.T.); #202725=EDGE_CURVE('',#162271,#162272,#87013,.T.); #202726=EDGE_CURVE('',#162273,#162271,#87014,.T.); #202727=EDGE_CURVE('',#162274,#162272,#87015,.T.); #202728=EDGE_CURVE('',#162273,#162274,#87016,.T.); #202729=EDGE_CURVE('',#162275,#162273,#87017,.T.); #202730=EDGE_CURVE('',#162276,#162274,#87018,.T.); #202731=EDGE_CURVE('',#162275,#162276,#87019,.T.); #202732=EDGE_CURVE('',#162277,#162275,#87020,.T.); #202733=EDGE_CURVE('',#162278,#162276,#87021,.T.); #202734=EDGE_CURVE('',#162277,#162278,#87022,.T.); #202735=EDGE_CURVE('',#162279,#162277,#87023,.T.); #202736=EDGE_CURVE('',#162280,#162278,#87024,.T.); #202737=EDGE_CURVE('',#162279,#162280,#87025,.T.); #202738=EDGE_CURVE('',#162281,#162279,#87026,.T.); #202739=EDGE_CURVE('',#162282,#162280,#87027,.T.); #202740=EDGE_CURVE('',#162281,#162282,#87028,.T.); #202741=EDGE_CURVE('',#162283,#162281,#87029,.T.); #202742=EDGE_CURVE('',#162284,#162282,#87030,.T.); #202743=EDGE_CURVE('',#162283,#162284,#87031,.T.); #202744=EDGE_CURVE('',#162285,#162283,#87032,.T.); #202745=EDGE_CURVE('',#162286,#162284,#87033,.T.); #202746=EDGE_CURVE('',#162285,#162286,#87034,.T.); #202747=EDGE_CURVE('',#162287,#162285,#87035,.T.); #202748=EDGE_CURVE('',#162288,#162286,#87036,.T.); #202749=EDGE_CURVE('',#162287,#162288,#87037,.T.); #202750=EDGE_CURVE('',#162289,#162287,#87038,.T.); #202751=EDGE_CURVE('',#162290,#162288,#87039,.T.); #202752=EDGE_CURVE('',#162289,#162290,#87040,.T.); #202753=EDGE_CURVE('',#162291,#162289,#87041,.T.); #202754=EDGE_CURVE('',#162292,#162290,#87042,.T.); #202755=EDGE_CURVE('',#162291,#162292,#87043,.T.); #202756=EDGE_CURVE('',#162293,#162291,#87044,.T.); #202757=EDGE_CURVE('',#162294,#162292,#87045,.T.); #202758=EDGE_CURVE('',#162293,#162294,#87046,.T.); #202759=EDGE_CURVE('',#162295,#162293,#87047,.T.); #202760=EDGE_CURVE('',#162296,#162294,#87048,.T.); #202761=EDGE_CURVE('',#162295,#162296,#87049,.T.); #202762=EDGE_CURVE('',#162297,#162295,#87050,.T.); #202763=EDGE_CURVE('',#162298,#162296,#87051,.T.); #202764=EDGE_CURVE('',#162297,#162298,#87052,.T.); #202765=EDGE_CURVE('',#162299,#162297,#87053,.T.); #202766=EDGE_CURVE('',#162300,#162298,#87054,.T.); #202767=EDGE_CURVE('',#162299,#162300,#87055,.T.); #202768=EDGE_CURVE('',#162301,#162299,#87056,.T.); #202769=EDGE_CURVE('',#162302,#162300,#87057,.T.); #202770=EDGE_CURVE('',#162301,#162302,#87058,.T.); #202771=EDGE_CURVE('',#162303,#162301,#87059,.T.); #202772=EDGE_CURVE('',#162304,#162302,#87060,.T.); #202773=EDGE_CURVE('',#162303,#162304,#87061,.T.); #202774=EDGE_CURVE('',#162305,#162303,#87062,.T.); #202775=EDGE_CURVE('',#162306,#162304,#87063,.T.); #202776=EDGE_CURVE('',#162305,#162306,#87064,.T.); #202777=EDGE_CURVE('',#162307,#162305,#87065,.T.); #202778=EDGE_CURVE('',#162308,#162306,#87066,.T.); #202779=EDGE_CURVE('',#162307,#162308,#87067,.T.); #202780=EDGE_CURVE('',#162309,#162307,#87068,.T.); #202781=EDGE_CURVE('',#162310,#162308,#87069,.T.); #202782=EDGE_CURVE('',#162309,#162310,#87070,.T.); #202783=EDGE_CURVE('',#162311,#162309,#87071,.T.); #202784=EDGE_CURVE('',#162312,#162310,#87072,.T.); #202785=EDGE_CURVE('',#162311,#162312,#87073,.T.); #202786=EDGE_CURVE('',#162313,#162311,#87074,.T.); #202787=EDGE_CURVE('',#162314,#162312,#87075,.T.); #202788=EDGE_CURVE('',#162313,#162314,#87076,.T.); #202789=EDGE_CURVE('',#162315,#162313,#87077,.T.); #202790=EDGE_CURVE('',#162316,#162314,#87078,.T.); #202791=EDGE_CURVE('',#162315,#162316,#87079,.T.); #202792=EDGE_CURVE('',#162317,#162315,#87080,.T.); #202793=EDGE_CURVE('',#162318,#162316,#87081,.T.); #202794=EDGE_CURVE('',#162317,#162318,#87082,.T.); #202795=EDGE_CURVE('',#162319,#162317,#87083,.T.); #202796=EDGE_CURVE('',#162320,#162318,#87084,.T.); #202797=EDGE_CURVE('',#162319,#162320,#87085,.T.); #202798=EDGE_CURVE('',#162321,#162319,#87086,.T.); #202799=EDGE_CURVE('',#162322,#162320,#87087,.T.); #202800=EDGE_CURVE('',#162321,#162322,#87088,.T.); #202801=EDGE_CURVE('',#162323,#162321,#87089,.T.); #202802=EDGE_CURVE('',#162324,#162322,#87090,.T.); #202803=EDGE_CURVE('',#162323,#162324,#87091,.T.); #202804=EDGE_CURVE('',#162325,#162323,#87092,.T.); #202805=EDGE_CURVE('',#162326,#162324,#87093,.T.); #202806=EDGE_CURVE('',#162325,#162326,#87094,.T.); #202807=EDGE_CURVE('',#162138,#162325,#87095,.T.); #202808=EDGE_CURVE('',#162139,#162326,#87096,.T.); #202809=EDGE_CURVE('',#162327,#162327,#137573,.T.); #202810=EDGE_CURVE('',#162327,#162328,#87097,.T.); #202811=EDGE_CURVE('',#162328,#162328,#137574,.T.); #202812=EDGE_CURVE('',#162329,#162330,#87098,.T.); #202813=EDGE_CURVE('',#162330,#162331,#87099,.T.); #202814=EDGE_CURVE('',#162332,#162331,#87100,.T.); #202815=EDGE_CURVE('',#162329,#162332,#87101,.T.); #202816=EDGE_CURVE('',#162333,#162329,#137575,.T.); #202817=EDGE_CURVE('',#162334,#162332,#137576,.T.); #202818=EDGE_CURVE('',#162333,#162334,#87102,.T.); #202819=EDGE_CURVE('',#162330,#162333,#137577,.T.); #202820=EDGE_CURVE('',#162331,#162334,#137578,.T.); #202821=EDGE_CURVE('',#162335,#162335,#137579,.T.); #202822=EDGE_CURVE('',#162335,#162336,#87103,.T.); #202823=EDGE_CURVE('',#162336,#162336,#137580,.T.); #202824=EDGE_CURVE('',#162337,#162338,#87104,.T.); #202825=EDGE_CURVE('',#162338,#162339,#87105,.T.); #202826=EDGE_CURVE('',#162340,#162339,#87106,.T.); #202827=EDGE_CURVE('',#162337,#162340,#87107,.T.); #202828=EDGE_CURVE('',#162341,#162337,#137581,.T.); #202829=EDGE_CURVE('',#162342,#162340,#137582,.T.); #202830=EDGE_CURVE('',#162341,#162342,#87108,.T.); #202831=EDGE_CURVE('',#162338,#162341,#137583,.T.); #202832=EDGE_CURVE('',#162339,#162342,#137584,.T.); #202833=EDGE_CURVE('',#162343,#162343,#137585,.T.); #202834=EDGE_CURVE('',#162343,#162344,#87109,.T.); #202835=EDGE_CURVE('',#162344,#162344,#137586,.T.); #202836=EDGE_CURVE('',#162345,#162346,#87110,.T.); #202837=EDGE_CURVE('',#162346,#162347,#87111,.T.); #202838=EDGE_CURVE('',#162348,#162347,#87112,.T.); #202839=EDGE_CURVE('',#162345,#162348,#87113,.T.); #202840=EDGE_CURVE('',#162349,#162345,#137587,.T.); #202841=EDGE_CURVE('',#162350,#162348,#137588,.T.); #202842=EDGE_CURVE('',#162349,#162350,#87114,.T.); #202843=EDGE_CURVE('',#162346,#162349,#137589,.T.); #202844=EDGE_CURVE('',#162347,#162350,#137590,.T.); #202845=EDGE_CURVE('',#162351,#162351,#137591,.T.); #202846=EDGE_CURVE('',#162351,#162352,#87115,.T.); #202847=EDGE_CURVE('',#162352,#162352,#137592,.T.); #202848=EDGE_CURVE('',#162353,#162354,#87116,.T.); #202849=EDGE_CURVE('',#162354,#162355,#87117,.T.); #202850=EDGE_CURVE('',#162356,#162355,#87118,.T.); #202851=EDGE_CURVE('',#162353,#162356,#87119,.T.); #202852=EDGE_CURVE('',#162357,#162353,#137593,.T.); #202853=EDGE_CURVE('',#162358,#162356,#137594,.T.); #202854=EDGE_CURVE('',#162357,#162358,#87120,.T.); #202855=EDGE_CURVE('',#162354,#162357,#137595,.T.); #202856=EDGE_CURVE('',#162355,#162358,#137596,.T.); #202857=EDGE_CURVE('',#162359,#162359,#137597,.T.); #202858=EDGE_CURVE('',#162359,#162360,#87121,.T.); #202859=EDGE_CURVE('',#162360,#162360,#137598,.T.); #202860=EDGE_CURVE('',#162361,#162362,#87122,.T.); #202861=EDGE_CURVE('',#162362,#162363,#87123,.T.); #202862=EDGE_CURVE('',#162364,#162363,#87124,.T.); #202863=EDGE_CURVE('',#162361,#162364,#87125,.T.); #202864=EDGE_CURVE('',#162365,#162361,#87126,.T.); #202865=EDGE_CURVE('',#162366,#162364,#87127,.T.); #202866=EDGE_CURVE('',#162365,#162366,#87128,.T.); #202867=EDGE_CURVE('',#162367,#162365,#87129,.T.); #202868=EDGE_CURVE('',#162368,#162366,#87130,.T.); #202869=EDGE_CURVE('',#162367,#162368,#87131,.T.); #202870=EDGE_CURVE('',#162362,#162367,#87132,.T.); #202871=EDGE_CURVE('',#162363,#162368,#87133,.T.); #202872=EDGE_CURVE('',#162369,#162369,#137599,.T.); #202873=EDGE_CURVE('',#162369,#162370,#87134,.T.); #202874=EDGE_CURVE('',#162370,#162370,#137600,.T.); #202875=EDGE_CURVE('',#162371,#162372,#87135,.T.); #202876=EDGE_CURVE('',#162372,#162373,#87136,.T.); #202877=EDGE_CURVE('',#162374,#162373,#87137,.T.); #202878=EDGE_CURVE('',#162371,#162374,#87138,.T.); #202879=EDGE_CURVE('',#162375,#162371,#137601,.T.); #202880=EDGE_CURVE('',#162376,#162374,#137602,.T.); #202881=EDGE_CURVE('',#162375,#162376,#87139,.T.); #202882=EDGE_CURVE('',#162372,#162375,#137603,.T.); #202883=EDGE_CURVE('',#162373,#162376,#137604,.T.); #202884=EDGE_CURVE('',#162377,#162377,#137605,.T.); #202885=EDGE_CURVE('',#162377,#162378,#87140,.T.); #202886=EDGE_CURVE('',#162378,#162378,#137606,.T.); #202887=EDGE_CURVE('',#162379,#162380,#87141,.T.); #202888=EDGE_CURVE('',#162380,#162381,#87142,.T.); #202889=EDGE_CURVE('',#162382,#162381,#87143,.T.); #202890=EDGE_CURVE('',#162379,#162382,#87144,.T.); #202891=EDGE_CURVE('',#162383,#162379,#137607,.T.); #202892=EDGE_CURVE('',#162384,#162382,#137608,.T.); #202893=EDGE_CURVE('',#162383,#162384,#87145,.T.); #202894=EDGE_CURVE('',#162380,#162383,#137609,.T.); #202895=EDGE_CURVE('',#162381,#162384,#137610,.T.); #202896=EDGE_CURVE('',#162385,#162385,#137611,.T.); #202897=EDGE_CURVE('',#162385,#162386,#87146,.T.); #202898=EDGE_CURVE('',#162386,#162386,#137612,.T.); #202899=EDGE_CURVE('',#162387,#162388,#87147,.T.); #202900=EDGE_CURVE('',#162388,#162389,#87148,.T.); #202901=EDGE_CURVE('',#162390,#162389,#87149,.T.); #202902=EDGE_CURVE('',#162387,#162390,#87150,.T.); #202903=EDGE_CURVE('',#162391,#162387,#137613,.T.); #202904=EDGE_CURVE('',#162392,#162390,#137614,.T.); #202905=EDGE_CURVE('',#162391,#162392,#87151,.T.); #202906=EDGE_CURVE('',#162388,#162391,#137615,.T.); #202907=EDGE_CURVE('',#162389,#162392,#137616,.T.); #202908=EDGE_CURVE('',#162393,#162393,#137617,.T.); #202909=EDGE_CURVE('',#162393,#162394,#87152,.T.); #202910=EDGE_CURVE('',#162394,#162394,#137618,.T.); #202911=EDGE_CURVE('',#162395,#162396,#87153,.T.); #202912=EDGE_CURVE('',#162396,#162397,#87154,.T.); #202913=EDGE_CURVE('',#162398,#162397,#87155,.T.); #202914=EDGE_CURVE('',#162395,#162398,#87156,.T.); #202915=EDGE_CURVE('',#162399,#162395,#137619,.T.); #202916=EDGE_CURVE('',#162400,#162398,#137620,.T.); #202917=EDGE_CURVE('',#162399,#162400,#87157,.T.); #202918=EDGE_CURVE('',#162396,#162399,#137621,.T.); #202919=EDGE_CURVE('',#162397,#162400,#137622,.T.); #202920=EDGE_CURVE('',#162401,#162402,#87158,.T.); #202921=EDGE_CURVE('',#162403,#162401,#87159,.T.); #202922=EDGE_CURVE('',#162404,#162403,#87160,.T.); #202923=EDGE_CURVE('',#162404,#162402,#87161,.T.); #202924=EDGE_CURVE('',#162402,#162405,#137623,.T.); #202925=EDGE_CURVE('',#162406,#162404,#137624,.T.); #202926=EDGE_CURVE('',#162406,#162405,#87162,.T.); #202927=EDGE_CURVE('',#162405,#162407,#87163,.T.); #202928=EDGE_CURVE('',#162408,#162406,#87164,.T.); #202929=EDGE_CURVE('',#162408,#162407,#87165,.T.); #202930=EDGE_CURVE('',#162407,#162401,#137625,.T.); #202931=EDGE_CURVE('',#162403,#162408,#137626,.T.); #202932=EDGE_CURVE('',#162409,#162410,#87166,.T.); #202933=EDGE_CURVE('',#162410,#162411,#87167,.T.); #202934=EDGE_CURVE('',#162412,#162411,#87168,.T.); #202935=EDGE_CURVE('',#162409,#162412,#87169,.T.); #202936=EDGE_CURVE('',#162413,#162409,#87170,.T.); #202937=EDGE_CURVE('',#162414,#162412,#87171,.T.); #202938=EDGE_CURVE('',#162413,#162414,#87172,.T.); #202939=EDGE_CURVE('',#162415,#162413,#87173,.T.); #202940=EDGE_CURVE('',#162416,#162414,#87174,.T.); #202941=EDGE_CURVE('',#162415,#162416,#87175,.T.); #202942=EDGE_CURVE('',#162417,#162415,#87176,.T.); #202943=EDGE_CURVE('',#162418,#162416,#87177,.T.); #202944=EDGE_CURVE('',#162417,#162418,#87178,.T.); #202945=EDGE_CURVE('',#162419,#162417,#87179,.T.); #202946=EDGE_CURVE('',#162420,#162418,#87180,.T.); #202947=EDGE_CURVE('',#162419,#162420,#87181,.T.); #202948=EDGE_CURVE('',#162421,#162419,#87182,.T.); #202949=EDGE_CURVE('',#162422,#162420,#87183,.T.); #202950=EDGE_CURVE('',#162421,#162422,#87184,.T.); #202951=EDGE_CURVE('',#162423,#162421,#87185,.T.); #202952=EDGE_CURVE('',#162424,#162422,#87186,.T.); #202953=EDGE_CURVE('',#162423,#162424,#87187,.T.); #202954=EDGE_CURVE('',#162425,#162423,#87188,.T.); #202955=EDGE_CURVE('',#162426,#162424,#87189,.T.); #202956=EDGE_CURVE('',#162425,#162426,#87190,.T.); #202957=EDGE_CURVE('',#162427,#162425,#87191,.T.); #202958=EDGE_CURVE('',#162428,#162426,#87192,.T.); #202959=EDGE_CURVE('',#162427,#162428,#87193,.T.); #202960=EDGE_CURVE('',#162429,#162427,#87194,.T.); #202961=EDGE_CURVE('',#162430,#162428,#87195,.T.); #202962=EDGE_CURVE('',#162429,#162430,#87196,.T.); #202963=EDGE_CURVE('',#162431,#162429,#87197,.T.); #202964=EDGE_CURVE('',#162432,#162430,#87198,.T.); #202965=EDGE_CURVE('',#162431,#162432,#87199,.T.); #202966=EDGE_CURVE('',#162433,#162431,#87200,.T.); #202967=EDGE_CURVE('',#162434,#162432,#87201,.T.); #202968=EDGE_CURVE('',#162433,#162434,#87202,.T.); #202969=EDGE_CURVE('',#162435,#162433,#87203,.T.); #202970=EDGE_CURVE('',#162436,#162434,#87204,.T.); #202971=EDGE_CURVE('',#162435,#162436,#87205,.T.); #202972=EDGE_CURVE('',#162437,#162435,#87206,.T.); #202973=EDGE_CURVE('',#162438,#162436,#87207,.T.); #202974=EDGE_CURVE('',#162437,#162438,#87208,.T.); #202975=EDGE_CURVE('',#162439,#162437,#87209,.T.); #202976=EDGE_CURVE('',#162440,#162438,#87210,.T.); #202977=EDGE_CURVE('',#162439,#162440,#87211,.T.); #202978=EDGE_CURVE('',#162441,#162439,#87212,.T.); #202979=EDGE_CURVE('',#162442,#162440,#87213,.T.); #202980=EDGE_CURVE('',#162441,#162442,#87214,.T.); #202981=EDGE_CURVE('',#162443,#162441,#87215,.T.); #202982=EDGE_CURVE('',#162444,#162442,#87216,.T.); #202983=EDGE_CURVE('',#162443,#162444,#87217,.T.); #202984=EDGE_CURVE('',#162445,#162443,#87218,.T.); #202985=EDGE_CURVE('',#162446,#162444,#87219,.T.); #202986=EDGE_CURVE('',#162445,#162446,#87220,.T.); #202987=EDGE_CURVE('',#162447,#162445,#87221,.T.); #202988=EDGE_CURVE('',#162448,#162446,#87222,.T.); #202989=EDGE_CURVE('',#162447,#162448,#87223,.T.); #202990=EDGE_CURVE('',#162449,#162447,#87224,.T.); #202991=EDGE_CURVE('',#162450,#162448,#87225,.T.); #202992=EDGE_CURVE('',#162449,#162450,#87226,.T.); #202993=EDGE_CURVE('',#162451,#162449,#87227,.T.); #202994=EDGE_CURVE('',#162452,#162450,#87228,.T.); #202995=EDGE_CURVE('',#162451,#162452,#87229,.T.); #202996=EDGE_CURVE('',#162453,#162451,#87230,.T.); #202997=EDGE_CURVE('',#162454,#162452,#87231,.T.); #202998=EDGE_CURVE('',#162453,#162454,#87232,.T.); #202999=EDGE_CURVE('',#162455,#162453,#87233,.T.); #203000=EDGE_CURVE('',#162456,#162454,#87234,.T.); #203001=EDGE_CURVE('',#162455,#162456,#87235,.T.); #203002=EDGE_CURVE('',#162457,#162455,#87236,.T.); #203003=EDGE_CURVE('',#162458,#162456,#87237,.T.); #203004=EDGE_CURVE('',#162457,#162458,#87238,.T.); #203005=EDGE_CURVE('',#162459,#162457,#87239,.T.); #203006=EDGE_CURVE('',#162460,#162458,#87240,.T.); #203007=EDGE_CURVE('',#162459,#162460,#87241,.T.); #203008=EDGE_CURVE('',#162461,#162459,#87242,.T.); #203009=EDGE_CURVE('',#162462,#162460,#87243,.T.); #203010=EDGE_CURVE('',#162461,#162462,#87244,.T.); #203011=EDGE_CURVE('',#162463,#162461,#87245,.T.); #203012=EDGE_CURVE('',#162464,#162462,#87246,.T.); #203013=EDGE_CURVE('',#162463,#162464,#87247,.T.); #203014=EDGE_CURVE('',#162465,#162463,#87248,.T.); #203015=EDGE_CURVE('',#162466,#162464,#87249,.T.); #203016=EDGE_CURVE('',#162465,#162466,#87250,.T.); #203017=EDGE_CURVE('',#162467,#162465,#87251,.T.); #203018=EDGE_CURVE('',#162468,#162466,#87252,.T.); #203019=EDGE_CURVE('',#162467,#162468,#87253,.T.); #203020=EDGE_CURVE('',#162469,#162467,#87254,.T.); #203021=EDGE_CURVE('',#162470,#162468,#87255,.T.); #203022=EDGE_CURVE('',#162469,#162470,#87256,.T.); #203023=EDGE_CURVE('',#162471,#162469,#87257,.T.); #203024=EDGE_CURVE('',#162472,#162470,#87258,.T.); #203025=EDGE_CURVE('',#162471,#162472,#87259,.T.); #203026=EDGE_CURVE('',#162473,#162471,#87260,.T.); #203027=EDGE_CURVE('',#162474,#162472,#87261,.T.); #203028=EDGE_CURVE('',#162473,#162474,#87262,.T.); #203029=EDGE_CURVE('',#162475,#162473,#87263,.T.); #203030=EDGE_CURVE('',#162476,#162474,#87264,.T.); #203031=EDGE_CURVE('',#162475,#162476,#87265,.T.); #203032=EDGE_CURVE('',#162477,#162475,#87266,.T.); #203033=EDGE_CURVE('',#162478,#162476,#87267,.T.); #203034=EDGE_CURVE('',#162477,#162478,#87268,.T.); #203035=EDGE_CURVE('',#162479,#162477,#87269,.T.); #203036=EDGE_CURVE('',#162480,#162478,#87270,.T.); #203037=EDGE_CURVE('',#162479,#162480,#87271,.T.); #203038=EDGE_CURVE('',#162481,#162479,#87272,.T.); #203039=EDGE_CURVE('',#162482,#162480,#87273,.T.); #203040=EDGE_CURVE('',#162481,#162482,#87274,.T.); #203041=EDGE_CURVE('',#162483,#162481,#87275,.T.); #203042=EDGE_CURVE('',#162484,#162482,#87276,.T.); #203043=EDGE_CURVE('',#162483,#162484,#87277,.T.); #203044=EDGE_CURVE('',#162485,#162483,#87278,.T.); #203045=EDGE_CURVE('',#162486,#162484,#87279,.T.); #203046=EDGE_CURVE('',#162485,#162486,#87280,.T.); #203047=EDGE_CURVE('',#162487,#162485,#87281,.T.); #203048=EDGE_CURVE('',#162488,#162486,#87282,.T.); #203049=EDGE_CURVE('',#162487,#162488,#87283,.T.); #203050=EDGE_CURVE('',#162489,#162487,#87284,.T.); #203051=EDGE_CURVE('',#162490,#162488,#87285,.T.); #203052=EDGE_CURVE('',#162489,#162490,#87286,.T.); #203053=EDGE_CURVE('',#162491,#162489,#87287,.T.); #203054=EDGE_CURVE('',#162492,#162490,#87288,.T.); #203055=EDGE_CURVE('',#162491,#162492,#87289,.T.); #203056=EDGE_CURVE('',#162493,#162491,#87290,.T.); #203057=EDGE_CURVE('',#162494,#162492,#87291,.T.); #203058=EDGE_CURVE('',#162493,#162494,#87292,.T.); #203059=EDGE_CURVE('',#162495,#162493,#87293,.T.); #203060=EDGE_CURVE('',#162496,#162494,#87294,.T.); #203061=EDGE_CURVE('',#162495,#162496,#87295,.T.); #203062=EDGE_CURVE('',#162497,#162495,#87296,.T.); #203063=EDGE_CURVE('',#162498,#162496,#87297,.T.); #203064=EDGE_CURVE('',#162497,#162498,#87298,.T.); #203065=EDGE_CURVE('',#162499,#162497,#87299,.T.); #203066=EDGE_CURVE('',#162500,#162498,#87300,.T.); #203067=EDGE_CURVE('',#162499,#162500,#87301,.T.); #203068=EDGE_CURVE('',#162501,#162499,#87302,.T.); #203069=EDGE_CURVE('',#162502,#162500,#87303,.T.); #203070=EDGE_CURVE('',#162501,#162502,#87304,.T.); #203071=EDGE_CURVE('',#162503,#162501,#87305,.T.); #203072=EDGE_CURVE('',#162504,#162502,#87306,.T.); #203073=EDGE_CURVE('',#162503,#162504,#87307,.T.); #203074=EDGE_CURVE('',#162505,#162503,#87308,.T.); #203075=EDGE_CURVE('',#162506,#162504,#87309,.T.); #203076=EDGE_CURVE('',#162505,#162506,#87310,.T.); #203077=EDGE_CURVE('',#162507,#162505,#87311,.T.); #203078=EDGE_CURVE('',#162508,#162506,#87312,.T.); #203079=EDGE_CURVE('',#162507,#162508,#87313,.T.); #203080=EDGE_CURVE('',#162509,#162507,#87314,.T.); #203081=EDGE_CURVE('',#162510,#162508,#87315,.T.); #203082=EDGE_CURVE('',#162509,#162510,#87316,.T.); #203083=EDGE_CURVE('',#162511,#162509,#87317,.T.); #203084=EDGE_CURVE('',#162512,#162510,#87318,.T.); #203085=EDGE_CURVE('',#162511,#162512,#87319,.T.); #203086=EDGE_CURVE('',#162513,#162511,#87320,.T.); #203087=EDGE_CURVE('',#162514,#162512,#87321,.T.); #203088=EDGE_CURVE('',#162513,#162514,#87322,.T.); #203089=EDGE_CURVE('',#162515,#162513,#87323,.T.); #203090=EDGE_CURVE('',#162516,#162514,#87324,.T.); #203091=EDGE_CURVE('',#162515,#162516,#87325,.T.); #203092=EDGE_CURVE('',#162517,#162515,#87326,.T.); #203093=EDGE_CURVE('',#162518,#162516,#87327,.T.); #203094=EDGE_CURVE('',#162517,#162518,#87328,.T.); #203095=EDGE_CURVE('',#162519,#162517,#87329,.T.); #203096=EDGE_CURVE('',#162520,#162518,#87330,.T.); #203097=EDGE_CURVE('',#162519,#162520,#87331,.T.); #203098=EDGE_CURVE('',#162410,#162519,#87332,.T.); #203099=EDGE_CURVE('',#162411,#162520,#87333,.T.); #203100=EDGE_CURVE('',#162521,#162522,#87334,.T.); #203101=EDGE_CURVE('',#162523,#162521,#87335,.T.); #203102=EDGE_CURVE('',#162524,#162523,#87336,.T.); #203103=EDGE_CURVE('',#162524,#162522,#87337,.T.); #203104=EDGE_CURVE('',#162522,#162525,#137627,.T.); #203105=EDGE_CURVE('',#162526,#162524,#137628,.T.); #203106=EDGE_CURVE('',#162526,#162525,#87338,.T.); #203107=EDGE_CURVE('',#162525,#162527,#87339,.T.); #203108=EDGE_CURVE('',#162528,#162526,#87340,.T.); #203109=EDGE_CURVE('',#162528,#162527,#87341,.T.); #203110=EDGE_CURVE('',#162527,#162521,#137629,.T.); #203111=EDGE_CURVE('',#162523,#162528,#137630,.T.); #203112=EDGE_CURVE('',#162529,#162530,#87342,.T.); #203113=EDGE_CURVE('',#162530,#162531,#87343,.T.); #203114=EDGE_CURVE('',#162532,#162531,#87344,.T.); #203115=EDGE_CURVE('',#162529,#162532,#87345,.T.); #203116=EDGE_CURVE('',#162533,#162529,#87346,.T.); #203117=EDGE_CURVE('',#162534,#162532,#87347,.T.); #203118=EDGE_CURVE('',#162533,#162534,#87348,.T.); #203119=EDGE_CURVE('',#162535,#162533,#87349,.T.); #203120=EDGE_CURVE('',#162536,#162534,#87350,.T.); #203121=EDGE_CURVE('',#162535,#162536,#87351,.T.); #203122=EDGE_CURVE('',#162537,#162535,#87352,.T.); #203123=EDGE_CURVE('',#162538,#162536,#87353,.T.); #203124=EDGE_CURVE('',#162537,#162538,#87354,.T.); #203125=EDGE_CURVE('',#162539,#162537,#87355,.T.); #203126=EDGE_CURVE('',#162540,#162538,#87356,.T.); #203127=EDGE_CURVE('',#162539,#162540,#87357,.T.); #203128=EDGE_CURVE('',#162541,#162539,#87358,.T.); #203129=EDGE_CURVE('',#162542,#162540,#87359,.T.); #203130=EDGE_CURVE('',#162541,#162542,#87360,.T.); #203131=EDGE_CURVE('',#162543,#162541,#87361,.T.); #203132=EDGE_CURVE('',#162544,#162542,#87362,.T.); #203133=EDGE_CURVE('',#162543,#162544,#87363,.T.); #203134=EDGE_CURVE('',#162545,#162543,#87364,.T.); #203135=EDGE_CURVE('',#162546,#162544,#87365,.T.); #203136=EDGE_CURVE('',#162545,#162546,#87366,.T.); #203137=EDGE_CURVE('',#162547,#162545,#87367,.T.); #203138=EDGE_CURVE('',#162548,#162546,#87368,.T.); #203139=EDGE_CURVE('',#162547,#162548,#87369,.T.); #203140=EDGE_CURVE('',#162549,#162547,#87370,.T.); #203141=EDGE_CURVE('',#162550,#162548,#87371,.T.); #203142=EDGE_CURVE('',#162549,#162550,#87372,.T.); #203143=EDGE_CURVE('',#162551,#162549,#87373,.T.); #203144=EDGE_CURVE('',#162552,#162550,#87374,.T.); #203145=EDGE_CURVE('',#162551,#162552,#87375,.T.); #203146=EDGE_CURVE('',#162553,#162551,#87376,.T.); #203147=EDGE_CURVE('',#162554,#162552,#87377,.T.); #203148=EDGE_CURVE('',#162553,#162554,#87378,.T.); #203149=EDGE_CURVE('',#162555,#162553,#87379,.T.); #203150=EDGE_CURVE('',#162556,#162554,#87380,.T.); #203151=EDGE_CURVE('',#162555,#162556,#87381,.T.); #203152=EDGE_CURVE('',#162557,#162555,#87382,.T.); #203153=EDGE_CURVE('',#162558,#162556,#87383,.T.); #203154=EDGE_CURVE('',#162557,#162558,#87384,.T.); #203155=EDGE_CURVE('',#162559,#162557,#87385,.T.); #203156=EDGE_CURVE('',#162560,#162558,#87386,.T.); #203157=EDGE_CURVE('',#162559,#162560,#87387,.T.); #203158=EDGE_CURVE('',#162561,#162559,#87388,.T.); #203159=EDGE_CURVE('',#162562,#162560,#87389,.T.); #203160=EDGE_CURVE('',#162561,#162562,#87390,.T.); #203161=EDGE_CURVE('',#162563,#162561,#87391,.T.); #203162=EDGE_CURVE('',#162564,#162562,#87392,.T.); #203163=EDGE_CURVE('',#162563,#162564,#87393,.T.); #203164=EDGE_CURVE('',#162565,#162563,#87394,.T.); #203165=EDGE_CURVE('',#162566,#162564,#87395,.T.); #203166=EDGE_CURVE('',#162565,#162566,#87396,.T.); #203167=EDGE_CURVE('',#162567,#162565,#87397,.T.); #203168=EDGE_CURVE('',#162568,#162566,#87398,.T.); #203169=EDGE_CURVE('',#162567,#162568,#87399,.T.); #203170=EDGE_CURVE('',#162569,#162567,#87400,.T.); #203171=EDGE_CURVE('',#162570,#162568,#87401,.T.); #203172=EDGE_CURVE('',#162569,#162570,#87402,.T.); #203173=EDGE_CURVE('',#162571,#162569,#87403,.T.); #203174=EDGE_CURVE('',#162572,#162570,#87404,.T.); #203175=EDGE_CURVE('',#162571,#162572,#87405,.T.); #203176=EDGE_CURVE('',#162573,#162571,#87406,.T.); #203177=EDGE_CURVE('',#162574,#162572,#87407,.T.); #203178=EDGE_CURVE('',#162573,#162574,#87408,.T.); #203179=EDGE_CURVE('',#162575,#162573,#87409,.T.); #203180=EDGE_CURVE('',#162576,#162574,#87410,.T.); #203181=EDGE_CURVE('',#162575,#162576,#87411,.T.); #203182=EDGE_CURVE('',#162577,#162575,#87412,.T.); #203183=EDGE_CURVE('',#162578,#162576,#87413,.T.); #203184=EDGE_CURVE('',#162577,#162578,#87414,.T.); #203185=EDGE_CURVE('',#162579,#162577,#87415,.T.); #203186=EDGE_CURVE('',#162580,#162578,#87416,.T.); #203187=EDGE_CURVE('',#162579,#162580,#87417,.T.); #203188=EDGE_CURVE('',#162581,#162579,#87418,.T.); #203189=EDGE_CURVE('',#162582,#162580,#87419,.T.); #203190=EDGE_CURVE('',#162581,#162582,#87420,.T.); #203191=EDGE_CURVE('',#162583,#162581,#87421,.T.); #203192=EDGE_CURVE('',#162584,#162582,#87422,.T.); #203193=EDGE_CURVE('',#162583,#162584,#87423,.T.); #203194=EDGE_CURVE('',#162585,#162583,#87424,.T.); #203195=EDGE_CURVE('',#162586,#162584,#87425,.T.); #203196=EDGE_CURVE('',#162585,#162586,#87426,.T.); #203197=EDGE_CURVE('',#162587,#162585,#87427,.T.); #203198=EDGE_CURVE('',#162588,#162586,#87428,.T.); #203199=EDGE_CURVE('',#162587,#162588,#87429,.T.); #203200=EDGE_CURVE('',#162589,#162587,#87430,.T.); #203201=EDGE_CURVE('',#162590,#162588,#87431,.T.); #203202=EDGE_CURVE('',#162589,#162590,#87432,.T.); #203203=EDGE_CURVE('',#162591,#162589,#87433,.T.); #203204=EDGE_CURVE('',#162592,#162590,#87434,.T.); #203205=EDGE_CURVE('',#162591,#162592,#87435,.T.); #203206=EDGE_CURVE('',#162593,#162591,#87436,.T.); #203207=EDGE_CURVE('',#162594,#162592,#87437,.T.); #203208=EDGE_CURVE('',#162593,#162594,#87438,.T.); #203209=EDGE_CURVE('',#162595,#162593,#87439,.T.); #203210=EDGE_CURVE('',#162596,#162594,#87440,.T.); #203211=EDGE_CURVE('',#162595,#162596,#87441,.T.); #203212=EDGE_CURVE('',#162597,#162595,#87442,.T.); #203213=EDGE_CURVE('',#162598,#162596,#87443,.T.); #203214=EDGE_CURVE('',#162597,#162598,#87444,.T.); #203215=EDGE_CURVE('',#162599,#162597,#87445,.T.); #203216=EDGE_CURVE('',#162600,#162598,#87446,.T.); #203217=EDGE_CURVE('',#162599,#162600,#87447,.T.); #203218=EDGE_CURVE('',#162601,#162599,#87448,.T.); #203219=EDGE_CURVE('',#162602,#162600,#87449,.T.); #203220=EDGE_CURVE('',#162601,#162602,#87450,.T.); #203221=EDGE_CURVE('',#162603,#162601,#87451,.T.); #203222=EDGE_CURVE('',#162604,#162602,#87452,.T.); #203223=EDGE_CURVE('',#162603,#162604,#87453,.T.); #203224=EDGE_CURVE('',#162605,#162603,#87454,.T.); #203225=EDGE_CURVE('',#162606,#162604,#87455,.T.); #203226=EDGE_CURVE('',#162605,#162606,#87456,.T.); #203227=EDGE_CURVE('',#162607,#162605,#87457,.T.); #203228=EDGE_CURVE('',#162608,#162606,#87458,.T.); #203229=EDGE_CURVE('',#162607,#162608,#87459,.T.); #203230=EDGE_CURVE('',#162609,#162607,#87460,.T.); #203231=EDGE_CURVE('',#162610,#162608,#87461,.T.); #203232=EDGE_CURVE('',#162609,#162610,#87462,.T.); #203233=EDGE_CURVE('',#162611,#162609,#87463,.T.); #203234=EDGE_CURVE('',#162612,#162610,#87464,.T.); #203235=EDGE_CURVE('',#162611,#162612,#87465,.T.); #203236=EDGE_CURVE('',#162613,#162611,#87466,.T.); #203237=EDGE_CURVE('',#162614,#162612,#87467,.T.); #203238=EDGE_CURVE('',#162613,#162614,#87468,.T.); #203239=EDGE_CURVE('',#162615,#162613,#87469,.T.); #203240=EDGE_CURVE('',#162616,#162614,#87470,.T.); #203241=EDGE_CURVE('',#162615,#162616,#87471,.T.); #203242=EDGE_CURVE('',#162617,#162615,#87472,.T.); #203243=EDGE_CURVE('',#162618,#162616,#87473,.T.); #203244=EDGE_CURVE('',#162617,#162618,#87474,.T.); #203245=EDGE_CURVE('',#162619,#162617,#87475,.T.); #203246=EDGE_CURVE('',#162620,#162618,#87476,.T.); #203247=EDGE_CURVE('',#162619,#162620,#87477,.T.); #203248=EDGE_CURVE('',#162621,#162619,#87478,.T.); #203249=EDGE_CURVE('',#162622,#162620,#87479,.T.); #203250=EDGE_CURVE('',#162621,#162622,#87480,.T.); #203251=EDGE_CURVE('',#162623,#162621,#87481,.T.); #203252=EDGE_CURVE('',#162624,#162622,#87482,.T.); #203253=EDGE_CURVE('',#162623,#162624,#87483,.T.); #203254=EDGE_CURVE('',#162625,#162623,#87484,.T.); #203255=EDGE_CURVE('',#162626,#162624,#87485,.T.); #203256=EDGE_CURVE('',#162625,#162626,#87486,.T.); #203257=EDGE_CURVE('',#162627,#162625,#87487,.T.); #203258=EDGE_CURVE('',#162628,#162626,#87488,.T.); #203259=EDGE_CURVE('',#162627,#162628,#87489,.T.); #203260=EDGE_CURVE('',#162629,#162627,#87490,.T.); #203261=EDGE_CURVE('',#162630,#162628,#87491,.T.); #203262=EDGE_CURVE('',#162629,#162630,#87492,.T.); #203263=EDGE_CURVE('',#162631,#162629,#87493,.T.); #203264=EDGE_CURVE('',#162632,#162630,#87494,.T.); #203265=EDGE_CURVE('',#162631,#162632,#87495,.T.); #203266=EDGE_CURVE('',#162633,#162631,#87496,.T.); #203267=EDGE_CURVE('',#162634,#162632,#87497,.T.); #203268=EDGE_CURVE('',#162633,#162634,#87498,.T.); #203269=EDGE_CURVE('',#162635,#162633,#87499,.T.); #203270=EDGE_CURVE('',#162636,#162634,#87500,.T.); #203271=EDGE_CURVE('',#162635,#162636,#87501,.T.); #203272=EDGE_CURVE('',#162637,#162635,#87502,.T.); #203273=EDGE_CURVE('',#162638,#162636,#87503,.T.); #203274=EDGE_CURVE('',#162637,#162638,#87504,.T.); #203275=EDGE_CURVE('',#162639,#162637,#87505,.T.); #203276=EDGE_CURVE('',#162640,#162638,#87506,.T.); #203277=EDGE_CURVE('',#162639,#162640,#87507,.T.); #203278=EDGE_CURVE('',#162641,#162639,#87508,.T.); #203279=EDGE_CURVE('',#162642,#162640,#87509,.T.); #203280=EDGE_CURVE('',#162641,#162642,#87510,.T.); #203281=EDGE_CURVE('',#162643,#162641,#87511,.T.); #203282=EDGE_CURVE('',#162644,#162642,#87512,.T.); #203283=EDGE_CURVE('',#162643,#162644,#87513,.T.); #203284=EDGE_CURVE('',#162645,#162643,#87514,.T.); #203285=EDGE_CURVE('',#162646,#162644,#87515,.T.); #203286=EDGE_CURVE('',#162645,#162646,#87516,.T.); #203287=EDGE_CURVE('',#162647,#162645,#87517,.T.); #203288=EDGE_CURVE('',#162648,#162646,#87518,.T.); #203289=EDGE_CURVE('',#162647,#162648,#87519,.T.); #203290=EDGE_CURVE('',#162649,#162647,#87520,.T.); #203291=EDGE_CURVE('',#162650,#162648,#87521,.T.); #203292=EDGE_CURVE('',#162649,#162650,#87522,.T.); #203293=EDGE_CURVE('',#162651,#162649,#87523,.T.); #203294=EDGE_CURVE('',#162652,#162650,#87524,.T.); #203295=EDGE_CURVE('',#162651,#162652,#87525,.T.); #203296=EDGE_CURVE('',#162653,#162651,#87526,.T.); #203297=EDGE_CURVE('',#162654,#162652,#87527,.T.); #203298=EDGE_CURVE('',#162653,#162654,#87528,.T.); #203299=EDGE_CURVE('',#162655,#162653,#87529,.T.); #203300=EDGE_CURVE('',#162656,#162654,#87530,.T.); #203301=EDGE_CURVE('',#162655,#162656,#87531,.T.); #203302=EDGE_CURVE('',#162657,#162655,#87532,.T.); #203303=EDGE_CURVE('',#162658,#162656,#87533,.T.); #203304=EDGE_CURVE('',#162657,#162658,#87534,.T.); #203305=EDGE_CURVE('',#162659,#162657,#87535,.T.); #203306=EDGE_CURVE('',#162660,#162658,#87536,.T.); #203307=EDGE_CURVE('',#162659,#162660,#87537,.T.); #203308=EDGE_CURVE('',#162661,#162659,#87538,.T.); #203309=EDGE_CURVE('',#162662,#162660,#87539,.T.); #203310=EDGE_CURVE('',#162661,#162662,#87540,.T.); #203311=EDGE_CURVE('',#162663,#162661,#87541,.T.); #203312=EDGE_CURVE('',#162664,#162662,#87542,.T.); #203313=EDGE_CURVE('',#162663,#162664,#87543,.T.); #203314=EDGE_CURVE('',#162665,#162663,#87544,.T.); #203315=EDGE_CURVE('',#162666,#162664,#87545,.T.); #203316=EDGE_CURVE('',#162665,#162666,#87546,.T.); #203317=EDGE_CURVE('',#162667,#162665,#87547,.T.); #203318=EDGE_CURVE('',#162668,#162666,#87548,.T.); #203319=EDGE_CURVE('',#162667,#162668,#87549,.T.); #203320=EDGE_CURVE('',#162669,#162667,#87550,.T.); #203321=EDGE_CURVE('',#162670,#162668,#87551,.T.); #203322=EDGE_CURVE('',#162669,#162670,#87552,.T.); #203323=EDGE_CURVE('',#162671,#162669,#87553,.T.); #203324=EDGE_CURVE('',#162672,#162670,#87554,.T.); #203325=EDGE_CURVE('',#162671,#162672,#87555,.T.); #203326=EDGE_CURVE('',#162530,#162671,#87556,.T.); #203327=EDGE_CURVE('',#162531,#162672,#87557,.T.); #203328=EDGE_CURVE('',#162673,#162674,#87558,.T.); #203329=EDGE_CURVE('',#162675,#162673,#87559,.T.); #203330=EDGE_CURVE('',#162676,#162675,#87560,.T.); #203331=EDGE_CURVE('',#162676,#162674,#87561,.T.); #203332=EDGE_CURVE('',#162674,#162677,#137631,.T.); #203333=EDGE_CURVE('',#162678,#162676,#137632,.T.); #203334=EDGE_CURVE('',#162678,#162677,#87562,.T.); #203335=EDGE_CURVE('',#162677,#162679,#87563,.T.); #203336=EDGE_CURVE('',#162680,#162678,#87564,.T.); #203337=EDGE_CURVE('',#162680,#162679,#87565,.T.); #203338=EDGE_CURVE('',#162679,#162673,#137633,.T.); #203339=EDGE_CURVE('',#162675,#162680,#137634,.T.); #203340=EDGE_CURVE('',#162681,#162682,#87566,.T.); #203341=EDGE_CURVE('',#162682,#162683,#87567,.T.); #203342=EDGE_CURVE('',#162684,#162683,#87568,.T.); #203343=EDGE_CURVE('',#162681,#162684,#87569,.T.); #203344=EDGE_CURVE('',#162685,#162681,#87570,.T.); #203345=EDGE_CURVE('',#162686,#162684,#87571,.T.); #203346=EDGE_CURVE('',#162685,#162686,#87572,.T.); #203347=EDGE_CURVE('',#162687,#162685,#87573,.T.); #203348=EDGE_CURVE('',#162688,#162686,#87574,.T.); #203349=EDGE_CURVE('',#162687,#162688,#87575,.T.); #203350=EDGE_CURVE('',#162689,#162687,#87576,.T.); #203351=EDGE_CURVE('',#162690,#162688,#87577,.T.); #203352=EDGE_CURVE('',#162689,#162690,#87578,.T.); #203353=EDGE_CURVE('',#162691,#162689,#87579,.T.); #203354=EDGE_CURVE('',#162692,#162690,#87580,.T.); #203355=EDGE_CURVE('',#162691,#162692,#87581,.T.); #203356=EDGE_CURVE('',#162693,#162691,#87582,.T.); #203357=EDGE_CURVE('',#162694,#162692,#87583,.T.); #203358=EDGE_CURVE('',#162693,#162694,#87584,.T.); #203359=EDGE_CURVE('',#162695,#162693,#87585,.T.); #203360=EDGE_CURVE('',#162696,#162694,#87586,.T.); #203361=EDGE_CURVE('',#162695,#162696,#87587,.T.); #203362=EDGE_CURVE('',#162697,#162695,#87588,.T.); #203363=EDGE_CURVE('',#162698,#162696,#87589,.T.); #203364=EDGE_CURVE('',#162697,#162698,#87590,.T.); #203365=EDGE_CURVE('',#162699,#162697,#87591,.T.); #203366=EDGE_CURVE('',#162700,#162698,#87592,.T.); #203367=EDGE_CURVE('',#162699,#162700,#87593,.T.); #203368=EDGE_CURVE('',#162701,#162699,#87594,.T.); #203369=EDGE_CURVE('',#162702,#162700,#87595,.T.); #203370=EDGE_CURVE('',#162701,#162702,#87596,.T.); #203371=EDGE_CURVE('',#162703,#162701,#87597,.T.); #203372=EDGE_CURVE('',#162704,#162702,#87598,.T.); #203373=EDGE_CURVE('',#162703,#162704,#87599,.T.); #203374=EDGE_CURVE('',#162705,#162703,#87600,.T.); #203375=EDGE_CURVE('',#162706,#162704,#87601,.T.); #203376=EDGE_CURVE('',#162705,#162706,#87602,.T.); #203377=EDGE_CURVE('',#162707,#162705,#87603,.T.); #203378=EDGE_CURVE('',#162708,#162706,#87604,.T.); #203379=EDGE_CURVE('',#162707,#162708,#87605,.T.); #203380=EDGE_CURVE('',#162709,#162707,#87606,.T.); #203381=EDGE_CURVE('',#162710,#162708,#87607,.T.); #203382=EDGE_CURVE('',#162709,#162710,#87608,.T.); #203383=EDGE_CURVE('',#162711,#162709,#87609,.T.); #203384=EDGE_CURVE('',#162712,#162710,#87610,.T.); #203385=EDGE_CURVE('',#162711,#162712,#87611,.T.); #203386=EDGE_CURVE('',#162713,#162711,#87612,.T.); #203387=EDGE_CURVE('',#162714,#162712,#87613,.T.); #203388=EDGE_CURVE('',#162713,#162714,#87614,.T.); #203389=EDGE_CURVE('',#162715,#162713,#87615,.T.); #203390=EDGE_CURVE('',#162716,#162714,#87616,.T.); #203391=EDGE_CURVE('',#162715,#162716,#87617,.T.); #203392=EDGE_CURVE('',#162717,#162715,#87618,.T.); #203393=EDGE_CURVE('',#162718,#162716,#87619,.T.); #203394=EDGE_CURVE('',#162717,#162718,#87620,.T.); #203395=EDGE_CURVE('',#162719,#162717,#87621,.T.); #203396=EDGE_CURVE('',#162720,#162718,#87622,.T.); #203397=EDGE_CURVE('',#162719,#162720,#87623,.T.); #203398=EDGE_CURVE('',#162721,#162719,#87624,.T.); #203399=EDGE_CURVE('',#162722,#162720,#87625,.T.); #203400=EDGE_CURVE('',#162721,#162722,#87626,.T.); #203401=EDGE_CURVE('',#162723,#162721,#87627,.T.); #203402=EDGE_CURVE('',#162724,#162722,#87628,.T.); #203403=EDGE_CURVE('',#162723,#162724,#87629,.T.); #203404=EDGE_CURVE('',#162725,#162723,#87630,.T.); #203405=EDGE_CURVE('',#162726,#162724,#87631,.T.); #203406=EDGE_CURVE('',#162725,#162726,#87632,.T.); #203407=EDGE_CURVE('',#162727,#162725,#87633,.T.); #203408=EDGE_CURVE('',#162728,#162726,#87634,.T.); #203409=EDGE_CURVE('',#162727,#162728,#87635,.T.); #203410=EDGE_CURVE('',#162729,#162727,#87636,.T.); #203411=EDGE_CURVE('',#162730,#162728,#87637,.T.); #203412=EDGE_CURVE('',#162729,#162730,#87638,.T.); #203413=EDGE_CURVE('',#162731,#162729,#87639,.T.); #203414=EDGE_CURVE('',#162732,#162730,#87640,.T.); #203415=EDGE_CURVE('',#162731,#162732,#87641,.T.); #203416=EDGE_CURVE('',#162733,#162731,#87642,.T.); #203417=EDGE_CURVE('',#162734,#162732,#87643,.T.); #203418=EDGE_CURVE('',#162733,#162734,#87644,.T.); #203419=EDGE_CURVE('',#162735,#162733,#87645,.T.); #203420=EDGE_CURVE('',#162736,#162734,#87646,.T.); #203421=EDGE_CURVE('',#162735,#162736,#87647,.T.); #203422=EDGE_CURVE('',#162737,#162735,#87648,.T.); #203423=EDGE_CURVE('',#162738,#162736,#87649,.T.); #203424=EDGE_CURVE('',#162737,#162738,#87650,.T.); #203425=EDGE_CURVE('',#162739,#162737,#87651,.T.); #203426=EDGE_CURVE('',#162740,#162738,#87652,.T.); #203427=EDGE_CURVE('',#162739,#162740,#87653,.T.); #203428=EDGE_CURVE('',#162741,#162739,#87654,.T.); #203429=EDGE_CURVE('',#162742,#162740,#87655,.T.); #203430=EDGE_CURVE('',#162741,#162742,#87656,.T.); #203431=EDGE_CURVE('',#162743,#162741,#87657,.T.); #203432=EDGE_CURVE('',#162744,#162742,#87658,.T.); #203433=EDGE_CURVE('',#162743,#162744,#87659,.T.); #203434=EDGE_CURVE('',#162745,#162743,#87660,.T.); #203435=EDGE_CURVE('',#162746,#162744,#87661,.T.); #203436=EDGE_CURVE('',#162745,#162746,#87662,.T.); #203437=EDGE_CURVE('',#162747,#162745,#87663,.T.); #203438=EDGE_CURVE('',#162748,#162746,#87664,.T.); #203439=EDGE_CURVE('',#162747,#162748,#87665,.T.); #203440=EDGE_CURVE('',#162749,#162747,#87666,.T.); #203441=EDGE_CURVE('',#162750,#162748,#87667,.T.); #203442=EDGE_CURVE('',#162749,#162750,#87668,.T.); #203443=EDGE_CURVE('',#162751,#162749,#87669,.T.); #203444=EDGE_CURVE('',#162752,#162750,#87670,.T.); #203445=EDGE_CURVE('',#162751,#162752,#87671,.T.); #203446=EDGE_CURVE('',#162753,#162751,#87672,.T.); #203447=EDGE_CURVE('',#162754,#162752,#87673,.T.); #203448=EDGE_CURVE('',#162753,#162754,#87674,.T.); #203449=EDGE_CURVE('',#162755,#162753,#87675,.T.); #203450=EDGE_CURVE('',#162756,#162754,#87676,.T.); #203451=EDGE_CURVE('',#162755,#162756,#87677,.T.); #203452=EDGE_CURVE('',#162757,#162755,#87678,.T.); #203453=EDGE_CURVE('',#162758,#162756,#87679,.T.); #203454=EDGE_CURVE('',#162757,#162758,#87680,.T.); #203455=EDGE_CURVE('',#162759,#162757,#87681,.T.); #203456=EDGE_CURVE('',#162760,#162758,#87682,.T.); #203457=EDGE_CURVE('',#162759,#162760,#87683,.T.); #203458=EDGE_CURVE('',#162761,#162759,#87684,.T.); #203459=EDGE_CURVE('',#162762,#162760,#87685,.T.); #203460=EDGE_CURVE('',#162761,#162762,#87686,.T.); #203461=EDGE_CURVE('',#162763,#162761,#87687,.T.); #203462=EDGE_CURVE('',#162764,#162762,#87688,.T.); #203463=EDGE_CURVE('',#162763,#162764,#87689,.T.); #203464=EDGE_CURVE('',#162765,#162763,#87690,.T.); #203465=EDGE_CURVE('',#162766,#162764,#87691,.T.); #203466=EDGE_CURVE('',#162765,#162766,#87692,.T.); #203467=EDGE_CURVE('',#162767,#162765,#87693,.T.); #203468=EDGE_CURVE('',#162768,#162766,#87694,.T.); #203469=EDGE_CURVE('',#162767,#162768,#87695,.T.); #203470=EDGE_CURVE('',#162769,#162767,#87696,.T.); #203471=EDGE_CURVE('',#162770,#162768,#87697,.T.); #203472=EDGE_CURVE('',#162769,#162770,#87698,.T.); #203473=EDGE_CURVE('',#162771,#162769,#87699,.T.); #203474=EDGE_CURVE('',#162772,#162770,#87700,.T.); #203475=EDGE_CURVE('',#162771,#162772,#87701,.T.); #203476=EDGE_CURVE('',#162773,#162771,#87702,.T.); #203477=EDGE_CURVE('',#162774,#162772,#87703,.T.); #203478=EDGE_CURVE('',#162773,#162774,#87704,.T.); #203479=EDGE_CURVE('',#162775,#162773,#87705,.T.); #203480=EDGE_CURVE('',#162776,#162774,#87706,.T.); #203481=EDGE_CURVE('',#162775,#162776,#87707,.T.); #203482=EDGE_CURVE('',#162777,#162775,#87708,.T.); #203483=EDGE_CURVE('',#162778,#162776,#87709,.T.); #203484=EDGE_CURVE('',#162777,#162778,#87710,.T.); #203485=EDGE_CURVE('',#162779,#162777,#87711,.T.); #203486=EDGE_CURVE('',#162780,#162778,#87712,.T.); #203487=EDGE_CURVE('',#162779,#162780,#87713,.T.); #203488=EDGE_CURVE('',#162781,#162779,#87714,.T.); #203489=EDGE_CURVE('',#162782,#162780,#87715,.T.); #203490=EDGE_CURVE('',#162781,#162782,#87716,.T.); #203491=EDGE_CURVE('',#162783,#162781,#87717,.T.); #203492=EDGE_CURVE('',#162784,#162782,#87718,.T.); #203493=EDGE_CURVE('',#162783,#162784,#87719,.T.); #203494=EDGE_CURVE('',#162785,#162783,#87720,.T.); #203495=EDGE_CURVE('',#162786,#162784,#87721,.T.); #203496=EDGE_CURVE('',#162785,#162786,#87722,.T.); #203497=EDGE_CURVE('',#162787,#162785,#87723,.T.); #203498=EDGE_CURVE('',#162788,#162786,#87724,.T.); #203499=EDGE_CURVE('',#162787,#162788,#87725,.T.); #203500=EDGE_CURVE('',#162789,#162787,#87726,.T.); #203501=EDGE_CURVE('',#162790,#162788,#87727,.T.); #203502=EDGE_CURVE('',#162789,#162790,#87728,.T.); #203503=EDGE_CURVE('',#162791,#162789,#87729,.T.); #203504=EDGE_CURVE('',#162792,#162790,#87730,.T.); #203505=EDGE_CURVE('',#162791,#162792,#87731,.T.); #203506=EDGE_CURVE('',#162682,#162791,#87732,.T.); #203507=EDGE_CURVE('',#162683,#162792,#87733,.T.); #203508=EDGE_CURVE('',#162793,#162793,#137635,.T.); #203509=EDGE_CURVE('',#162793,#162794,#87734,.T.); #203510=EDGE_CURVE('',#162794,#162794,#137636,.T.); #203511=EDGE_CURVE('',#162795,#162796,#87735,.T.); #203512=EDGE_CURVE('',#162796,#162797,#87736,.T.); #203513=EDGE_CURVE('',#162798,#162797,#87737,.T.); #203514=EDGE_CURVE('',#162795,#162798,#87738,.T.); #203515=EDGE_CURVE('',#162799,#162795,#87739,.T.); #203516=EDGE_CURVE('',#162800,#162798,#87740,.T.); #203517=EDGE_CURVE('',#162799,#162800,#87741,.T.); #203518=EDGE_CURVE('',#162801,#162799,#87742,.T.); #203519=EDGE_CURVE('',#162802,#162800,#87743,.T.); #203520=EDGE_CURVE('',#162801,#162802,#87744,.T.); #203521=EDGE_CURVE('',#162796,#162801,#87745,.T.); #203522=EDGE_CURVE('',#162797,#162802,#87746,.T.); #203523=EDGE_CURVE('',#162803,#162804,#87747,.T.); #203524=EDGE_CURVE('',#162805,#162803,#87748,.T.); #203525=EDGE_CURVE('',#162806,#162805,#87749,.T.); #203526=EDGE_CURVE('',#162806,#162804,#87750,.T.); #203527=EDGE_CURVE('',#162804,#162807,#137637,.T.); #203528=EDGE_CURVE('',#162808,#162806,#137638,.T.); #203529=EDGE_CURVE('',#162808,#162807,#87751,.T.); #203530=EDGE_CURVE('',#162807,#162809,#87752,.T.); #203531=EDGE_CURVE('',#162810,#162808,#87753,.T.); #203532=EDGE_CURVE('',#162810,#162809,#87754,.T.); #203533=EDGE_CURVE('',#162809,#162803,#137639,.T.); #203534=EDGE_CURVE('',#162805,#162810,#137640,.T.); #203535=EDGE_CURVE('',#162811,#162812,#87755,.T.); #203536=EDGE_CURVE('',#162812,#162813,#87756,.T.); #203537=EDGE_CURVE('',#162814,#162813,#87757,.T.); #203538=EDGE_CURVE('',#162811,#162814,#87758,.T.); #203539=EDGE_CURVE('',#162815,#162811,#87759,.T.); #203540=EDGE_CURVE('',#162816,#162814,#87760,.T.); #203541=EDGE_CURVE('',#162815,#162816,#87761,.T.); #203542=EDGE_CURVE('',#162817,#162815,#87762,.T.); #203543=EDGE_CURVE('',#162818,#162816,#87763,.T.); #203544=EDGE_CURVE('',#162817,#162818,#87764,.T.); #203545=EDGE_CURVE('',#162819,#162817,#87765,.T.); #203546=EDGE_CURVE('',#162820,#162818,#87766,.T.); #203547=EDGE_CURVE('',#162819,#162820,#87767,.T.); #203548=EDGE_CURVE('',#162821,#162819,#87768,.T.); #203549=EDGE_CURVE('',#162822,#162820,#87769,.T.); #203550=EDGE_CURVE('',#162821,#162822,#87770,.T.); #203551=EDGE_CURVE('',#162823,#162821,#87771,.T.); #203552=EDGE_CURVE('',#162824,#162822,#87772,.T.); #203553=EDGE_CURVE('',#162823,#162824,#87773,.T.); #203554=EDGE_CURVE('',#162825,#162823,#87774,.T.); #203555=EDGE_CURVE('',#162826,#162824,#87775,.T.); #203556=EDGE_CURVE('',#162825,#162826,#87776,.T.); #203557=EDGE_CURVE('',#162827,#162825,#87777,.T.); #203558=EDGE_CURVE('',#162828,#162826,#87778,.T.); #203559=EDGE_CURVE('',#162827,#162828,#87779,.T.); #203560=EDGE_CURVE('',#162829,#162827,#87780,.T.); #203561=EDGE_CURVE('',#162830,#162828,#87781,.T.); #203562=EDGE_CURVE('',#162829,#162830,#87782,.T.); #203563=EDGE_CURVE('',#162831,#162829,#87783,.T.); #203564=EDGE_CURVE('',#162832,#162830,#87784,.T.); #203565=EDGE_CURVE('',#162831,#162832,#87785,.T.); #203566=EDGE_CURVE('',#162833,#162831,#87786,.T.); #203567=EDGE_CURVE('',#162834,#162832,#87787,.T.); #203568=EDGE_CURVE('',#162833,#162834,#87788,.T.); #203569=EDGE_CURVE('',#162835,#162833,#87789,.T.); #203570=EDGE_CURVE('',#162836,#162834,#87790,.T.); #203571=EDGE_CURVE('',#162835,#162836,#87791,.T.); #203572=EDGE_CURVE('',#162837,#162835,#87792,.T.); #203573=EDGE_CURVE('',#162838,#162836,#87793,.T.); #203574=EDGE_CURVE('',#162837,#162838,#87794,.T.); #203575=EDGE_CURVE('',#162839,#162837,#87795,.T.); #203576=EDGE_CURVE('',#162840,#162838,#87796,.T.); #203577=EDGE_CURVE('',#162839,#162840,#87797,.T.); #203578=EDGE_CURVE('',#162841,#162839,#87798,.T.); #203579=EDGE_CURVE('',#162842,#162840,#87799,.T.); #203580=EDGE_CURVE('',#162841,#162842,#87800,.T.); #203581=EDGE_CURVE('',#162843,#162841,#87801,.T.); #203582=EDGE_CURVE('',#162844,#162842,#87802,.T.); #203583=EDGE_CURVE('',#162843,#162844,#87803,.T.); #203584=EDGE_CURVE('',#162845,#162843,#87804,.T.); #203585=EDGE_CURVE('',#162846,#162844,#87805,.T.); #203586=EDGE_CURVE('',#162845,#162846,#87806,.T.); #203587=EDGE_CURVE('',#162847,#162845,#87807,.T.); #203588=EDGE_CURVE('',#162848,#162846,#87808,.T.); #203589=EDGE_CURVE('',#162847,#162848,#87809,.T.); #203590=EDGE_CURVE('',#162849,#162847,#87810,.T.); #203591=EDGE_CURVE('',#162850,#162848,#87811,.T.); #203592=EDGE_CURVE('',#162849,#162850,#87812,.T.); #203593=EDGE_CURVE('',#162851,#162849,#87813,.T.); #203594=EDGE_CURVE('',#162852,#162850,#87814,.T.); #203595=EDGE_CURVE('',#162851,#162852,#87815,.T.); #203596=EDGE_CURVE('',#162853,#162851,#87816,.T.); #203597=EDGE_CURVE('',#162854,#162852,#87817,.T.); #203598=EDGE_CURVE('',#162853,#162854,#87818,.T.); #203599=EDGE_CURVE('',#162855,#162853,#87819,.T.); #203600=EDGE_CURVE('',#162856,#162854,#87820,.T.); #203601=EDGE_CURVE('',#162855,#162856,#87821,.T.); #203602=EDGE_CURVE('',#162857,#162855,#87822,.T.); #203603=EDGE_CURVE('',#162858,#162856,#87823,.T.); #203604=EDGE_CURVE('',#162857,#162858,#87824,.T.); #203605=EDGE_CURVE('',#162859,#162857,#87825,.T.); #203606=EDGE_CURVE('',#162860,#162858,#87826,.T.); #203607=EDGE_CURVE('',#162859,#162860,#87827,.T.); #203608=EDGE_CURVE('',#162861,#162859,#87828,.T.); #203609=EDGE_CURVE('',#162862,#162860,#87829,.T.); #203610=EDGE_CURVE('',#162861,#162862,#87830,.T.); #203611=EDGE_CURVE('',#162863,#162861,#87831,.T.); #203612=EDGE_CURVE('',#162864,#162862,#87832,.T.); #203613=EDGE_CURVE('',#162863,#162864,#87833,.T.); #203614=EDGE_CURVE('',#162865,#162863,#87834,.T.); #203615=EDGE_CURVE('',#162866,#162864,#87835,.T.); #203616=EDGE_CURVE('',#162865,#162866,#87836,.T.); #203617=EDGE_CURVE('',#162867,#162865,#87837,.T.); #203618=EDGE_CURVE('',#162868,#162866,#87838,.T.); #203619=EDGE_CURVE('',#162867,#162868,#87839,.T.); #203620=EDGE_CURVE('',#162869,#162867,#87840,.T.); #203621=EDGE_CURVE('',#162870,#162868,#87841,.T.); #203622=EDGE_CURVE('',#162869,#162870,#87842,.T.); #203623=EDGE_CURVE('',#162871,#162869,#87843,.T.); #203624=EDGE_CURVE('',#162872,#162870,#87844,.T.); #203625=EDGE_CURVE('',#162871,#162872,#87845,.T.); #203626=EDGE_CURVE('',#162873,#162871,#87846,.T.); #203627=EDGE_CURVE('',#162874,#162872,#87847,.T.); #203628=EDGE_CURVE('',#162873,#162874,#87848,.T.); #203629=EDGE_CURVE('',#162875,#162873,#87849,.T.); #203630=EDGE_CURVE('',#162876,#162874,#87850,.T.); #203631=EDGE_CURVE('',#162875,#162876,#87851,.T.); #203632=EDGE_CURVE('',#162877,#162875,#87852,.T.); #203633=EDGE_CURVE('',#162878,#162876,#87853,.T.); #203634=EDGE_CURVE('',#162877,#162878,#87854,.T.); #203635=EDGE_CURVE('',#162879,#162877,#87855,.T.); #203636=EDGE_CURVE('',#162880,#162878,#87856,.T.); #203637=EDGE_CURVE('',#162879,#162880,#87857,.T.); #203638=EDGE_CURVE('',#162881,#162879,#87858,.T.); #203639=EDGE_CURVE('',#162882,#162880,#87859,.T.); #203640=EDGE_CURVE('',#162881,#162882,#87860,.T.); #203641=EDGE_CURVE('',#162883,#162881,#87861,.T.); #203642=EDGE_CURVE('',#162884,#162882,#87862,.T.); #203643=EDGE_CURVE('',#162883,#162884,#87863,.T.); #203644=EDGE_CURVE('',#162885,#162883,#87864,.T.); #203645=EDGE_CURVE('',#162886,#162884,#87865,.T.); #203646=EDGE_CURVE('',#162885,#162886,#87866,.T.); #203647=EDGE_CURVE('',#162887,#162885,#87867,.T.); #203648=EDGE_CURVE('',#162888,#162886,#87868,.T.); #203649=EDGE_CURVE('',#162887,#162888,#87869,.T.); #203650=EDGE_CURVE('',#162889,#162887,#87870,.T.); #203651=EDGE_CURVE('',#162890,#162888,#87871,.T.); #203652=EDGE_CURVE('',#162889,#162890,#87872,.T.); #203653=EDGE_CURVE('',#162891,#162889,#87873,.T.); #203654=EDGE_CURVE('',#162892,#162890,#87874,.T.); #203655=EDGE_CURVE('',#162891,#162892,#87875,.T.); #203656=EDGE_CURVE('',#162893,#162891,#87876,.T.); #203657=EDGE_CURVE('',#162894,#162892,#87877,.T.); #203658=EDGE_CURVE('',#162893,#162894,#87878,.T.); #203659=EDGE_CURVE('',#162895,#162893,#87879,.T.); #203660=EDGE_CURVE('',#162896,#162894,#87880,.T.); #203661=EDGE_CURVE('',#162895,#162896,#87881,.T.); #203662=EDGE_CURVE('',#162897,#162895,#87882,.T.); #203663=EDGE_CURVE('',#162898,#162896,#87883,.T.); #203664=EDGE_CURVE('',#162897,#162898,#87884,.T.); #203665=EDGE_CURVE('',#162899,#162897,#87885,.T.); #203666=EDGE_CURVE('',#162900,#162898,#87886,.T.); #203667=EDGE_CURVE('',#162899,#162900,#87887,.T.); #203668=EDGE_CURVE('',#162901,#162899,#87888,.T.); #203669=EDGE_CURVE('',#162902,#162900,#87889,.T.); #203670=EDGE_CURVE('',#162901,#162902,#87890,.T.); #203671=EDGE_CURVE('',#162903,#162901,#87891,.T.); #203672=EDGE_CURVE('',#162904,#162902,#87892,.T.); #203673=EDGE_CURVE('',#162903,#162904,#87893,.T.); #203674=EDGE_CURVE('',#162905,#162903,#87894,.T.); #203675=EDGE_CURVE('',#162906,#162904,#87895,.T.); #203676=EDGE_CURVE('',#162905,#162906,#87896,.T.); #203677=EDGE_CURVE('',#162907,#162905,#87897,.T.); #203678=EDGE_CURVE('',#162908,#162906,#87898,.T.); #203679=EDGE_CURVE('',#162907,#162908,#87899,.T.); #203680=EDGE_CURVE('',#162909,#162907,#87900,.T.); #203681=EDGE_CURVE('',#162910,#162908,#87901,.T.); #203682=EDGE_CURVE('',#162909,#162910,#87902,.T.); #203683=EDGE_CURVE('',#162911,#162909,#87903,.T.); #203684=EDGE_CURVE('',#162912,#162910,#87904,.T.); #203685=EDGE_CURVE('',#162911,#162912,#87905,.T.); #203686=EDGE_CURVE('',#162913,#162911,#87906,.T.); #203687=EDGE_CURVE('',#162914,#162912,#87907,.T.); #203688=EDGE_CURVE('',#162913,#162914,#87908,.T.); #203689=EDGE_CURVE('',#162915,#162913,#87909,.T.); #203690=EDGE_CURVE('',#162916,#162914,#87910,.T.); #203691=EDGE_CURVE('',#162915,#162916,#87911,.T.); #203692=EDGE_CURVE('',#162917,#162915,#87912,.T.); #203693=EDGE_CURVE('',#162918,#162916,#87913,.T.); #203694=EDGE_CURVE('',#162917,#162918,#87914,.T.); #203695=EDGE_CURVE('',#162919,#162917,#87915,.T.); #203696=EDGE_CURVE('',#162920,#162918,#87916,.T.); #203697=EDGE_CURVE('',#162919,#162920,#87917,.T.); #203698=EDGE_CURVE('',#162921,#162919,#87918,.T.); #203699=EDGE_CURVE('',#162922,#162920,#87919,.T.); #203700=EDGE_CURVE('',#162921,#162922,#87920,.T.); #203701=EDGE_CURVE('',#162812,#162921,#87921,.T.); #203702=EDGE_CURVE('',#162813,#162922,#87922,.T.); #203703=EDGE_CURVE('',#162923,#162924,#87923,.T.); #203704=EDGE_CURVE('',#162925,#162923,#87924,.T.); #203705=EDGE_CURVE('',#162926,#162925,#87925,.T.); #203706=EDGE_CURVE('',#162926,#162924,#87926,.T.); #203707=EDGE_CURVE('',#162924,#162927,#137641,.T.); #203708=EDGE_CURVE('',#162928,#162926,#137642,.T.); #203709=EDGE_CURVE('',#162928,#162927,#87927,.T.); #203710=EDGE_CURVE('',#162927,#162929,#87928,.T.); #203711=EDGE_CURVE('',#162930,#162928,#87929,.T.); #203712=EDGE_CURVE('',#162930,#162929,#87930,.T.); #203713=EDGE_CURVE('',#162929,#162923,#137643,.T.); #203714=EDGE_CURVE('',#162925,#162930,#137644,.T.); #203715=EDGE_CURVE('',#162931,#162932,#87931,.T.); #203716=EDGE_CURVE('',#162932,#162933,#87932,.T.); #203717=EDGE_CURVE('',#162934,#162933,#87933,.T.); #203718=EDGE_CURVE('',#162931,#162934,#87934,.T.); #203719=EDGE_CURVE('',#162935,#162931,#87935,.T.); #203720=EDGE_CURVE('',#162936,#162934,#87936,.T.); #203721=EDGE_CURVE('',#162935,#162936,#87937,.T.); #203722=EDGE_CURVE('',#162937,#162935,#87938,.T.); #203723=EDGE_CURVE('',#162938,#162936,#87939,.T.); #203724=EDGE_CURVE('',#162937,#162938,#87940,.T.); #203725=EDGE_CURVE('',#162939,#162937,#87941,.T.); #203726=EDGE_CURVE('',#162940,#162938,#87942,.T.); #203727=EDGE_CURVE('',#162939,#162940,#87943,.T.); #203728=EDGE_CURVE('',#162941,#162939,#87944,.T.); #203729=EDGE_CURVE('',#162942,#162940,#87945,.T.); #203730=EDGE_CURVE('',#162941,#162942,#87946,.T.); #203731=EDGE_CURVE('',#162943,#162941,#87947,.T.); #203732=EDGE_CURVE('',#162944,#162942,#87948,.T.); #203733=EDGE_CURVE('',#162943,#162944,#87949,.T.); #203734=EDGE_CURVE('',#162945,#162943,#87950,.T.); #203735=EDGE_CURVE('',#162946,#162944,#87951,.T.); #203736=EDGE_CURVE('',#162945,#162946,#87952,.T.); #203737=EDGE_CURVE('',#162947,#162945,#87953,.T.); #203738=EDGE_CURVE('',#162948,#162946,#87954,.T.); #203739=EDGE_CURVE('',#162947,#162948,#87955,.T.); #203740=EDGE_CURVE('',#162949,#162947,#87956,.T.); #203741=EDGE_CURVE('',#162950,#162948,#87957,.T.); #203742=EDGE_CURVE('',#162949,#162950,#87958,.T.); #203743=EDGE_CURVE('',#162951,#162949,#87959,.T.); #203744=EDGE_CURVE('',#162952,#162950,#87960,.T.); #203745=EDGE_CURVE('',#162951,#162952,#87961,.T.); #203746=EDGE_CURVE('',#162953,#162951,#87962,.T.); #203747=EDGE_CURVE('',#162954,#162952,#87963,.T.); #203748=EDGE_CURVE('',#162953,#162954,#87964,.T.); #203749=EDGE_CURVE('',#162955,#162953,#87965,.T.); #203750=EDGE_CURVE('',#162956,#162954,#87966,.T.); #203751=EDGE_CURVE('',#162955,#162956,#87967,.T.); #203752=EDGE_CURVE('',#162957,#162955,#87968,.T.); #203753=EDGE_CURVE('',#162958,#162956,#87969,.T.); #203754=EDGE_CURVE('',#162957,#162958,#87970,.T.); #203755=EDGE_CURVE('',#162959,#162957,#87971,.T.); #203756=EDGE_CURVE('',#162960,#162958,#87972,.T.); #203757=EDGE_CURVE('',#162959,#162960,#87973,.T.); #203758=EDGE_CURVE('',#162961,#162959,#87974,.T.); #203759=EDGE_CURVE('',#162962,#162960,#87975,.T.); #203760=EDGE_CURVE('',#162961,#162962,#87976,.T.); #203761=EDGE_CURVE('',#162963,#162961,#87977,.T.); #203762=EDGE_CURVE('',#162964,#162962,#87978,.T.); #203763=EDGE_CURVE('',#162963,#162964,#87979,.T.); #203764=EDGE_CURVE('',#162965,#162963,#87980,.T.); #203765=EDGE_CURVE('',#162966,#162964,#87981,.T.); #203766=EDGE_CURVE('',#162965,#162966,#87982,.T.); #203767=EDGE_CURVE('',#162967,#162965,#87983,.T.); #203768=EDGE_CURVE('',#162968,#162966,#87984,.T.); #203769=EDGE_CURVE('',#162967,#162968,#87985,.T.); #203770=EDGE_CURVE('',#162969,#162967,#87986,.T.); #203771=EDGE_CURVE('',#162970,#162968,#87987,.T.); #203772=EDGE_CURVE('',#162969,#162970,#87988,.T.); #203773=EDGE_CURVE('',#162971,#162969,#87989,.T.); #203774=EDGE_CURVE('',#162972,#162970,#87990,.T.); #203775=EDGE_CURVE('',#162971,#162972,#87991,.T.); #203776=EDGE_CURVE('',#162973,#162971,#87992,.T.); #203777=EDGE_CURVE('',#162974,#162972,#87993,.T.); #203778=EDGE_CURVE('',#162973,#162974,#87994,.T.); #203779=EDGE_CURVE('',#162975,#162973,#87995,.T.); #203780=EDGE_CURVE('',#162976,#162974,#87996,.T.); #203781=EDGE_CURVE('',#162975,#162976,#87997,.T.); #203782=EDGE_CURVE('',#162977,#162975,#87998,.T.); #203783=EDGE_CURVE('',#162978,#162976,#87999,.T.); #203784=EDGE_CURVE('',#162977,#162978,#88000,.T.); #203785=EDGE_CURVE('',#162979,#162977,#88001,.T.); #203786=EDGE_CURVE('',#162980,#162978,#88002,.T.); #203787=EDGE_CURVE('',#162979,#162980,#88003,.T.); #203788=EDGE_CURVE('',#162981,#162979,#88004,.T.); #203789=EDGE_CURVE('',#162982,#162980,#88005,.T.); #203790=EDGE_CURVE('',#162981,#162982,#88006,.T.); #203791=EDGE_CURVE('',#162983,#162981,#88007,.T.); #203792=EDGE_CURVE('',#162984,#162982,#88008,.T.); #203793=EDGE_CURVE('',#162983,#162984,#88009,.T.); #203794=EDGE_CURVE('',#162985,#162983,#88010,.T.); #203795=EDGE_CURVE('',#162986,#162984,#88011,.T.); #203796=EDGE_CURVE('',#162985,#162986,#88012,.T.); #203797=EDGE_CURVE('',#162987,#162985,#88013,.T.); #203798=EDGE_CURVE('',#162988,#162986,#88014,.T.); #203799=EDGE_CURVE('',#162987,#162988,#88015,.T.); #203800=EDGE_CURVE('',#162989,#162987,#88016,.T.); #203801=EDGE_CURVE('',#162990,#162988,#88017,.T.); #203802=EDGE_CURVE('',#162989,#162990,#88018,.T.); #203803=EDGE_CURVE('',#162991,#162989,#88019,.T.); #203804=EDGE_CURVE('',#162992,#162990,#88020,.T.); #203805=EDGE_CURVE('',#162991,#162992,#88021,.T.); #203806=EDGE_CURVE('',#162993,#162991,#88022,.T.); #203807=EDGE_CURVE('',#162994,#162992,#88023,.T.); #203808=EDGE_CURVE('',#162993,#162994,#88024,.T.); #203809=EDGE_CURVE('',#162995,#162993,#88025,.T.); #203810=EDGE_CURVE('',#162996,#162994,#88026,.T.); #203811=EDGE_CURVE('',#162995,#162996,#88027,.T.); #203812=EDGE_CURVE('',#162997,#162995,#88028,.T.); #203813=EDGE_CURVE('',#162998,#162996,#88029,.T.); #203814=EDGE_CURVE('',#162997,#162998,#88030,.T.); #203815=EDGE_CURVE('',#162999,#162997,#88031,.T.); #203816=EDGE_CURVE('',#163000,#162998,#88032,.T.); #203817=EDGE_CURVE('',#162999,#163000,#88033,.T.); #203818=EDGE_CURVE('',#163001,#162999,#88034,.T.); #203819=EDGE_CURVE('',#163002,#163000,#88035,.T.); #203820=EDGE_CURVE('',#163001,#163002,#88036,.T.); #203821=EDGE_CURVE('',#163003,#163001,#88037,.T.); #203822=EDGE_CURVE('',#163004,#163002,#88038,.T.); #203823=EDGE_CURVE('',#163003,#163004,#88039,.T.); #203824=EDGE_CURVE('',#163005,#163003,#88040,.T.); #203825=EDGE_CURVE('',#163006,#163004,#88041,.T.); #203826=EDGE_CURVE('',#163005,#163006,#88042,.T.); #203827=EDGE_CURVE('',#163007,#163005,#88043,.T.); #203828=EDGE_CURVE('',#163008,#163006,#88044,.T.); #203829=EDGE_CURVE('',#163007,#163008,#88045,.T.); #203830=EDGE_CURVE('',#163009,#163007,#88046,.T.); #203831=EDGE_CURVE('',#163010,#163008,#88047,.T.); #203832=EDGE_CURVE('',#163009,#163010,#88048,.T.); #203833=EDGE_CURVE('',#163011,#163009,#88049,.T.); #203834=EDGE_CURVE('',#163012,#163010,#88050,.T.); #203835=EDGE_CURVE('',#163011,#163012,#88051,.T.); #203836=EDGE_CURVE('',#163013,#163011,#88052,.T.); #203837=EDGE_CURVE('',#163014,#163012,#88053,.T.); #203838=EDGE_CURVE('',#163013,#163014,#88054,.T.); #203839=EDGE_CURVE('',#163015,#163013,#88055,.T.); #203840=EDGE_CURVE('',#163016,#163014,#88056,.T.); #203841=EDGE_CURVE('',#163015,#163016,#88057,.T.); #203842=EDGE_CURVE('',#163017,#163015,#88058,.T.); #203843=EDGE_CURVE('',#163018,#163016,#88059,.T.); #203844=EDGE_CURVE('',#163017,#163018,#88060,.T.); #203845=EDGE_CURVE('',#163019,#163017,#88061,.T.); #203846=EDGE_CURVE('',#163020,#163018,#88062,.T.); #203847=EDGE_CURVE('',#163019,#163020,#88063,.T.); #203848=EDGE_CURVE('',#163021,#163019,#88064,.T.); #203849=EDGE_CURVE('',#163022,#163020,#88065,.T.); #203850=EDGE_CURVE('',#163021,#163022,#88066,.T.); #203851=EDGE_CURVE('',#163023,#163021,#88067,.T.); #203852=EDGE_CURVE('',#163024,#163022,#88068,.T.); #203853=EDGE_CURVE('',#163023,#163024,#88069,.T.); #203854=EDGE_CURVE('',#163025,#163023,#88070,.T.); #203855=EDGE_CURVE('',#163026,#163024,#88071,.T.); #203856=EDGE_CURVE('',#163025,#163026,#88072,.T.); #203857=EDGE_CURVE('',#163027,#163025,#88073,.T.); #203858=EDGE_CURVE('',#163028,#163026,#88074,.T.); #203859=EDGE_CURVE('',#163027,#163028,#88075,.T.); #203860=EDGE_CURVE('',#163029,#163027,#88076,.T.); #203861=EDGE_CURVE('',#163030,#163028,#88077,.T.); #203862=EDGE_CURVE('',#163029,#163030,#88078,.T.); #203863=EDGE_CURVE('',#163031,#163029,#88079,.T.); #203864=EDGE_CURVE('',#163032,#163030,#88080,.T.); #203865=EDGE_CURVE('',#163031,#163032,#88081,.T.); #203866=EDGE_CURVE('',#163033,#163031,#88082,.T.); #203867=EDGE_CURVE('',#163034,#163032,#88083,.T.); #203868=EDGE_CURVE('',#163033,#163034,#88084,.T.); #203869=EDGE_CURVE('',#163035,#163033,#88085,.T.); #203870=EDGE_CURVE('',#163036,#163034,#88086,.T.); #203871=EDGE_CURVE('',#163035,#163036,#88087,.T.); #203872=EDGE_CURVE('',#163037,#163035,#88088,.T.); #203873=EDGE_CURVE('',#163038,#163036,#88089,.T.); #203874=EDGE_CURVE('',#163037,#163038,#88090,.T.); #203875=EDGE_CURVE('',#163039,#163037,#88091,.T.); #203876=EDGE_CURVE('',#163040,#163038,#88092,.T.); #203877=EDGE_CURVE('',#163039,#163040,#88093,.T.); #203878=EDGE_CURVE('',#163041,#163039,#88094,.T.); #203879=EDGE_CURVE('',#163042,#163040,#88095,.T.); #203880=EDGE_CURVE('',#163041,#163042,#88096,.T.); #203881=EDGE_CURVE('',#163043,#163041,#88097,.T.); #203882=EDGE_CURVE('',#163044,#163042,#88098,.T.); #203883=EDGE_CURVE('',#163043,#163044,#88099,.T.); #203884=EDGE_CURVE('',#163045,#163043,#88100,.T.); #203885=EDGE_CURVE('',#163046,#163044,#88101,.T.); #203886=EDGE_CURVE('',#163045,#163046,#88102,.T.); #203887=EDGE_CURVE('',#163047,#163045,#88103,.T.); #203888=EDGE_CURVE('',#163048,#163046,#88104,.T.); #203889=EDGE_CURVE('',#163047,#163048,#88105,.T.); #203890=EDGE_CURVE('',#163049,#163047,#88106,.T.); #203891=EDGE_CURVE('',#163050,#163048,#88107,.T.); #203892=EDGE_CURVE('',#163049,#163050,#88108,.T.); #203893=EDGE_CURVE('',#163051,#163049,#88109,.T.); #203894=EDGE_CURVE('',#163052,#163050,#88110,.T.); #203895=EDGE_CURVE('',#163051,#163052,#88111,.T.); #203896=EDGE_CURVE('',#163053,#163051,#88112,.T.); #203897=EDGE_CURVE('',#163054,#163052,#88113,.T.); #203898=EDGE_CURVE('',#163053,#163054,#88114,.T.); #203899=EDGE_CURVE('',#163055,#163053,#88115,.T.); #203900=EDGE_CURVE('',#163056,#163054,#88116,.T.); #203901=EDGE_CURVE('',#163055,#163056,#88117,.T.); #203902=EDGE_CURVE('',#163057,#163055,#88118,.T.); #203903=EDGE_CURVE('',#163058,#163056,#88119,.T.); #203904=EDGE_CURVE('',#163057,#163058,#88120,.T.); #203905=EDGE_CURVE('',#163059,#163057,#88121,.T.); #203906=EDGE_CURVE('',#163060,#163058,#88122,.T.); #203907=EDGE_CURVE('',#163059,#163060,#88123,.T.); #203908=EDGE_CURVE('',#163061,#163059,#88124,.T.); #203909=EDGE_CURVE('',#163062,#163060,#88125,.T.); #203910=EDGE_CURVE('',#163061,#163062,#88126,.T.); #203911=EDGE_CURVE('',#163063,#163061,#88127,.T.); #203912=EDGE_CURVE('',#163064,#163062,#88128,.T.); #203913=EDGE_CURVE('',#163063,#163064,#88129,.T.); #203914=EDGE_CURVE('',#163065,#163063,#88130,.T.); #203915=EDGE_CURVE('',#163066,#163064,#88131,.T.); #203916=EDGE_CURVE('',#163065,#163066,#88132,.T.); #203917=EDGE_CURVE('',#163067,#163065,#88133,.T.); #203918=EDGE_CURVE('',#163068,#163066,#88134,.T.); #203919=EDGE_CURVE('',#163067,#163068,#88135,.T.); #203920=EDGE_CURVE('',#163069,#163067,#88136,.T.); #203921=EDGE_CURVE('',#163070,#163068,#88137,.T.); #203922=EDGE_CURVE('',#163069,#163070,#88138,.T.); #203923=EDGE_CURVE('',#163071,#163069,#88139,.T.); #203924=EDGE_CURVE('',#163072,#163070,#88140,.T.); #203925=EDGE_CURVE('',#163071,#163072,#88141,.T.); #203926=EDGE_CURVE('',#163073,#163071,#88142,.T.); #203927=EDGE_CURVE('',#163074,#163072,#88143,.T.); #203928=EDGE_CURVE('',#163073,#163074,#88144,.T.); #203929=EDGE_CURVE('',#162932,#163073,#88145,.T.); #203930=EDGE_CURVE('',#162933,#163074,#88146,.T.); #203931=EDGE_CURVE('',#163075,#163075,#137645,.T.); #203932=EDGE_CURVE('',#163075,#163076,#88147,.T.); #203933=EDGE_CURVE('',#163076,#163076,#137646,.T.); #203934=EDGE_CURVE('',#163077,#163078,#88148,.T.); #203935=EDGE_CURVE('',#163078,#163079,#88149,.T.); #203936=EDGE_CURVE('',#163080,#163079,#88150,.T.); #203937=EDGE_CURVE('',#163077,#163080,#88151,.T.); #203938=EDGE_CURVE('',#163081,#163077,#137647,.T.); #203939=EDGE_CURVE('',#163082,#163080,#137648,.T.); #203940=EDGE_CURVE('',#163081,#163082,#88152,.T.); #203941=EDGE_CURVE('',#163078,#163081,#137649,.T.); #203942=EDGE_CURVE('',#163079,#163082,#137650,.T.); #203943=EDGE_CURVE('',#163083,#163083,#137651,.T.); #203944=EDGE_CURVE('',#163083,#163084,#88153,.T.); #203945=EDGE_CURVE('',#163084,#163084,#137652,.T.); #203946=EDGE_CURVE('',#163085,#163086,#88154,.T.); #203947=EDGE_CURVE('',#163086,#163087,#88155,.T.); #203948=EDGE_CURVE('',#163088,#163087,#88156,.T.); #203949=EDGE_CURVE('',#163085,#163088,#88157,.T.); #203950=EDGE_CURVE('',#163089,#163085,#137653,.T.); #203951=EDGE_CURVE('',#163090,#163088,#137654,.T.); #203952=EDGE_CURVE('',#163089,#163090,#88158,.T.); #203953=EDGE_CURVE('',#163086,#163089,#137655,.T.); #203954=EDGE_CURVE('',#163087,#163090,#137656,.T.); #203955=EDGE_CURVE('',#163091,#163092,#88159,.T.); #203956=EDGE_CURVE('',#163093,#163091,#88160,.T.); #203957=EDGE_CURVE('',#163094,#163093,#88161,.T.); #203958=EDGE_CURVE('',#163094,#163092,#88162,.T.); #203959=EDGE_CURVE('',#163092,#163095,#137657,.T.); #203960=EDGE_CURVE('',#163096,#163094,#137658,.T.); #203961=EDGE_CURVE('',#163096,#163095,#88163,.T.); #203962=EDGE_CURVE('',#163095,#163097,#88164,.T.); #203963=EDGE_CURVE('',#163098,#163096,#88165,.T.); #203964=EDGE_CURVE('',#163098,#163097,#88166,.T.); #203965=EDGE_CURVE('',#163097,#163091,#137659,.T.); #203966=EDGE_CURVE('',#163093,#163098,#137660,.T.); #203967=EDGE_CURVE('',#163099,#163100,#88167,.T.); #203968=EDGE_CURVE('',#163100,#163101,#88168,.T.); #203969=EDGE_CURVE('',#163102,#163101,#88169,.T.); #203970=EDGE_CURVE('',#163099,#163102,#88170,.T.); #203971=EDGE_CURVE('',#163103,#163099,#88171,.T.); #203972=EDGE_CURVE('',#163104,#163102,#88172,.T.); #203973=EDGE_CURVE('',#163103,#163104,#88173,.T.); #203974=EDGE_CURVE('',#163105,#163103,#88174,.T.); #203975=EDGE_CURVE('',#163106,#163104,#88175,.T.); #203976=EDGE_CURVE('',#163105,#163106,#88176,.T.); #203977=EDGE_CURVE('',#163107,#163105,#88177,.T.); #203978=EDGE_CURVE('',#163108,#163106,#88178,.T.); #203979=EDGE_CURVE('',#163107,#163108,#88179,.T.); #203980=EDGE_CURVE('',#163109,#163107,#88180,.T.); #203981=EDGE_CURVE('',#163110,#163108,#88181,.T.); #203982=EDGE_CURVE('',#163109,#163110,#88182,.T.); #203983=EDGE_CURVE('',#163111,#163109,#88183,.T.); #203984=EDGE_CURVE('',#163112,#163110,#88184,.T.); #203985=EDGE_CURVE('',#163111,#163112,#88185,.T.); #203986=EDGE_CURVE('',#163113,#163111,#88186,.T.); #203987=EDGE_CURVE('',#163114,#163112,#88187,.T.); #203988=EDGE_CURVE('',#163113,#163114,#88188,.T.); #203989=EDGE_CURVE('',#163115,#163113,#88189,.T.); #203990=EDGE_CURVE('',#163116,#163114,#88190,.T.); #203991=EDGE_CURVE('',#163115,#163116,#88191,.T.); #203992=EDGE_CURVE('',#163117,#163115,#88192,.T.); #203993=EDGE_CURVE('',#163118,#163116,#88193,.T.); #203994=EDGE_CURVE('',#163117,#163118,#88194,.T.); #203995=EDGE_CURVE('',#163119,#163117,#88195,.T.); #203996=EDGE_CURVE('',#163120,#163118,#88196,.T.); #203997=EDGE_CURVE('',#163119,#163120,#88197,.T.); #203998=EDGE_CURVE('',#163121,#163119,#88198,.T.); #203999=EDGE_CURVE('',#163122,#163120,#88199,.T.); #204000=EDGE_CURVE('',#163121,#163122,#88200,.T.); #204001=EDGE_CURVE('',#163123,#163121,#88201,.T.); #204002=EDGE_CURVE('',#163124,#163122,#88202,.T.); #204003=EDGE_CURVE('',#163123,#163124,#88203,.T.); #204004=EDGE_CURVE('',#163125,#163123,#88204,.T.); #204005=EDGE_CURVE('',#163126,#163124,#88205,.T.); #204006=EDGE_CURVE('',#163125,#163126,#88206,.T.); #204007=EDGE_CURVE('',#163127,#163125,#88207,.T.); #204008=EDGE_CURVE('',#163128,#163126,#88208,.T.); #204009=EDGE_CURVE('',#163127,#163128,#88209,.T.); #204010=EDGE_CURVE('',#163129,#163127,#88210,.T.); #204011=EDGE_CURVE('',#163130,#163128,#88211,.T.); #204012=EDGE_CURVE('',#163129,#163130,#88212,.T.); #204013=EDGE_CURVE('',#163131,#163129,#88213,.T.); #204014=EDGE_CURVE('',#163132,#163130,#88214,.T.); #204015=EDGE_CURVE('',#163131,#163132,#88215,.T.); #204016=EDGE_CURVE('',#163133,#163131,#88216,.T.); #204017=EDGE_CURVE('',#163134,#163132,#88217,.T.); #204018=EDGE_CURVE('',#163133,#163134,#88218,.T.); #204019=EDGE_CURVE('',#163135,#163133,#88219,.T.); #204020=EDGE_CURVE('',#163136,#163134,#88220,.T.); #204021=EDGE_CURVE('',#163135,#163136,#88221,.T.); #204022=EDGE_CURVE('',#163137,#163135,#88222,.T.); #204023=EDGE_CURVE('',#163138,#163136,#88223,.T.); #204024=EDGE_CURVE('',#163137,#163138,#88224,.T.); #204025=EDGE_CURVE('',#163139,#163137,#88225,.T.); #204026=EDGE_CURVE('',#163140,#163138,#88226,.T.); #204027=EDGE_CURVE('',#163139,#163140,#88227,.T.); #204028=EDGE_CURVE('',#163141,#163139,#88228,.T.); #204029=EDGE_CURVE('',#163142,#163140,#88229,.T.); #204030=EDGE_CURVE('',#163141,#163142,#88230,.T.); #204031=EDGE_CURVE('',#163143,#163141,#88231,.T.); #204032=EDGE_CURVE('',#163144,#163142,#88232,.T.); #204033=EDGE_CURVE('',#163143,#163144,#88233,.T.); #204034=EDGE_CURVE('',#163145,#163143,#88234,.T.); #204035=EDGE_CURVE('',#163146,#163144,#88235,.T.); #204036=EDGE_CURVE('',#163145,#163146,#88236,.T.); #204037=EDGE_CURVE('',#163147,#163145,#88237,.T.); #204038=EDGE_CURVE('',#163148,#163146,#88238,.T.); #204039=EDGE_CURVE('',#163147,#163148,#88239,.T.); #204040=EDGE_CURVE('',#163149,#163147,#88240,.T.); #204041=EDGE_CURVE('',#163150,#163148,#88241,.T.); #204042=EDGE_CURVE('',#163149,#163150,#88242,.T.); #204043=EDGE_CURVE('',#163151,#163149,#88243,.T.); #204044=EDGE_CURVE('',#163152,#163150,#88244,.T.); #204045=EDGE_CURVE('',#163151,#163152,#88245,.T.); #204046=EDGE_CURVE('',#163153,#163151,#88246,.T.); #204047=EDGE_CURVE('',#163154,#163152,#88247,.T.); #204048=EDGE_CURVE('',#163153,#163154,#88248,.T.); #204049=EDGE_CURVE('',#163155,#163153,#88249,.T.); #204050=EDGE_CURVE('',#163156,#163154,#88250,.T.); #204051=EDGE_CURVE('',#163155,#163156,#88251,.T.); #204052=EDGE_CURVE('',#163157,#163155,#88252,.T.); #204053=EDGE_CURVE('',#163158,#163156,#88253,.T.); #204054=EDGE_CURVE('',#163157,#163158,#88254,.T.); #204055=EDGE_CURVE('',#163159,#163157,#88255,.T.); #204056=EDGE_CURVE('',#163160,#163158,#88256,.T.); #204057=EDGE_CURVE('',#163159,#163160,#88257,.T.); #204058=EDGE_CURVE('',#163161,#163159,#88258,.T.); #204059=EDGE_CURVE('',#163162,#163160,#88259,.T.); #204060=EDGE_CURVE('',#163161,#163162,#88260,.T.); #204061=EDGE_CURVE('',#163163,#163161,#88261,.T.); #204062=EDGE_CURVE('',#163164,#163162,#88262,.T.); #204063=EDGE_CURVE('',#163163,#163164,#88263,.T.); #204064=EDGE_CURVE('',#163165,#163163,#88264,.T.); #204065=EDGE_CURVE('',#163166,#163164,#88265,.T.); #204066=EDGE_CURVE('',#163165,#163166,#88266,.T.); #204067=EDGE_CURVE('',#163167,#163165,#88267,.T.); #204068=EDGE_CURVE('',#163168,#163166,#88268,.T.); #204069=EDGE_CURVE('',#163167,#163168,#88269,.T.); #204070=EDGE_CURVE('',#163169,#163167,#88270,.T.); #204071=EDGE_CURVE('',#163170,#163168,#88271,.T.); #204072=EDGE_CURVE('',#163169,#163170,#88272,.T.); #204073=EDGE_CURVE('',#163171,#163169,#88273,.T.); #204074=EDGE_CURVE('',#163172,#163170,#88274,.T.); #204075=EDGE_CURVE('',#163171,#163172,#88275,.T.); #204076=EDGE_CURVE('',#163173,#163171,#88276,.T.); #204077=EDGE_CURVE('',#163174,#163172,#88277,.T.); #204078=EDGE_CURVE('',#163173,#163174,#88278,.T.); #204079=EDGE_CURVE('',#163175,#163173,#88279,.T.); #204080=EDGE_CURVE('',#163176,#163174,#88280,.T.); #204081=EDGE_CURVE('',#163175,#163176,#88281,.T.); #204082=EDGE_CURVE('',#163177,#163175,#88282,.T.); #204083=EDGE_CURVE('',#163178,#163176,#88283,.T.); #204084=EDGE_CURVE('',#163177,#163178,#88284,.T.); #204085=EDGE_CURVE('',#163179,#163177,#88285,.T.); #204086=EDGE_CURVE('',#163180,#163178,#88286,.T.); #204087=EDGE_CURVE('',#163179,#163180,#88287,.T.); #204088=EDGE_CURVE('',#163181,#163179,#88288,.T.); #204089=EDGE_CURVE('',#163182,#163180,#88289,.T.); #204090=EDGE_CURVE('',#163181,#163182,#88290,.T.); #204091=EDGE_CURVE('',#163183,#163181,#88291,.T.); #204092=EDGE_CURVE('',#163184,#163182,#88292,.T.); #204093=EDGE_CURVE('',#163183,#163184,#88293,.T.); #204094=EDGE_CURVE('',#163185,#163183,#88294,.T.); #204095=EDGE_CURVE('',#163186,#163184,#88295,.T.); #204096=EDGE_CURVE('',#163185,#163186,#88296,.T.); #204097=EDGE_CURVE('',#163187,#163185,#88297,.T.); #204098=EDGE_CURVE('',#163188,#163186,#88298,.T.); #204099=EDGE_CURVE('',#163187,#163188,#88299,.T.); #204100=EDGE_CURVE('',#163189,#163187,#88300,.T.); #204101=EDGE_CURVE('',#163190,#163188,#88301,.T.); #204102=EDGE_CURVE('',#163189,#163190,#88302,.T.); #204103=EDGE_CURVE('',#163191,#163189,#88303,.T.); #204104=EDGE_CURVE('',#163192,#163190,#88304,.T.); #204105=EDGE_CURVE('',#163191,#163192,#88305,.T.); #204106=EDGE_CURVE('',#163193,#163191,#88306,.T.); #204107=EDGE_CURVE('',#163194,#163192,#88307,.T.); #204108=EDGE_CURVE('',#163193,#163194,#88308,.T.); #204109=EDGE_CURVE('',#163195,#163193,#88309,.T.); #204110=EDGE_CURVE('',#163196,#163194,#88310,.T.); #204111=EDGE_CURVE('',#163195,#163196,#88311,.T.); #204112=EDGE_CURVE('',#163197,#163195,#88312,.T.); #204113=EDGE_CURVE('',#163198,#163196,#88313,.T.); #204114=EDGE_CURVE('',#163197,#163198,#88314,.T.); #204115=EDGE_CURVE('',#163199,#163197,#88315,.T.); #204116=EDGE_CURVE('',#163200,#163198,#88316,.T.); #204117=EDGE_CURVE('',#163199,#163200,#88317,.T.); #204118=EDGE_CURVE('',#163201,#163199,#88318,.T.); #204119=EDGE_CURVE('',#163202,#163200,#88319,.T.); #204120=EDGE_CURVE('',#163201,#163202,#88320,.T.); #204121=EDGE_CURVE('',#163203,#163201,#88321,.T.); #204122=EDGE_CURVE('',#163204,#163202,#88322,.T.); #204123=EDGE_CURVE('',#163203,#163204,#88323,.T.); #204124=EDGE_CURVE('',#163205,#163203,#88324,.T.); #204125=EDGE_CURVE('',#163206,#163204,#88325,.T.); #204126=EDGE_CURVE('',#163205,#163206,#88326,.T.); #204127=EDGE_CURVE('',#163207,#163205,#88327,.T.); #204128=EDGE_CURVE('',#163208,#163206,#88328,.T.); #204129=EDGE_CURVE('',#163207,#163208,#88329,.T.); #204130=EDGE_CURVE('',#163209,#163207,#88330,.T.); #204131=EDGE_CURVE('',#163210,#163208,#88331,.T.); #204132=EDGE_CURVE('',#163209,#163210,#88332,.T.); #204133=EDGE_CURVE('',#163100,#163209,#88333,.T.); #204134=EDGE_CURVE('',#163101,#163210,#88334,.T.); #204135=EDGE_CURVE('',#163211,#163211,#137661,.T.); #204136=EDGE_CURVE('',#163211,#163212,#88335,.T.); #204137=EDGE_CURVE('',#163212,#163212,#137662,.T.); #204138=EDGE_CURVE('',#163213,#163214,#88336,.T.); #204139=EDGE_CURVE('',#163214,#163215,#88337,.T.); #204140=EDGE_CURVE('',#163216,#163215,#88338,.T.); #204141=EDGE_CURVE('',#163213,#163216,#88339,.T.); #204142=EDGE_CURVE('',#163217,#163213,#137663,.T.); #204143=EDGE_CURVE('',#163218,#163216,#137664,.T.); #204144=EDGE_CURVE('',#163217,#163218,#88340,.T.); #204145=EDGE_CURVE('',#163214,#163217,#137665,.T.); #204146=EDGE_CURVE('',#163215,#163218,#137666,.T.); #204147=EDGE_CURVE('',#163219,#163219,#137667,.T.); #204148=EDGE_CURVE('',#163219,#163220,#88341,.T.); #204149=EDGE_CURVE('',#163220,#163220,#137668,.T.); #204150=EDGE_CURVE('',#163221,#163222,#88342,.T.); #204151=EDGE_CURVE('',#163222,#163223,#88343,.T.); #204152=EDGE_CURVE('',#163224,#163223,#88344,.T.); #204153=EDGE_CURVE('',#163221,#163224,#88345,.T.); #204154=EDGE_CURVE('',#163225,#163221,#137669,.T.); #204155=EDGE_CURVE('',#163226,#163224,#137670,.T.); #204156=EDGE_CURVE('',#163225,#163226,#88346,.T.); #204157=EDGE_CURVE('',#163222,#163225,#137671,.T.); #204158=EDGE_CURVE('',#163223,#163226,#137672,.T.); #204159=EDGE_CURVE('',#163227,#163227,#137673,.T.); #204160=EDGE_CURVE('',#163227,#163228,#88347,.T.); #204161=EDGE_CURVE('',#163228,#163228,#137674,.T.); #204162=EDGE_CURVE('',#163229,#163230,#88348,.T.); #204163=EDGE_CURVE('',#163230,#163231,#88349,.T.); #204164=EDGE_CURVE('',#163232,#163231,#88350,.T.); #204165=EDGE_CURVE('',#163229,#163232,#88351,.T.); #204166=EDGE_CURVE('',#163233,#163229,#137675,.T.); #204167=EDGE_CURVE('',#163234,#163232,#137676,.T.); #204168=EDGE_CURVE('',#163233,#163234,#88352,.T.); #204169=EDGE_CURVE('',#163230,#163233,#137677,.T.); #204170=EDGE_CURVE('',#163231,#163234,#137678,.T.); #204171=EDGE_CURVE('',#163235,#163235,#137679,.T.); #204172=EDGE_CURVE('',#163235,#163236,#88353,.T.); #204173=EDGE_CURVE('',#163236,#163236,#137680,.T.); #204174=EDGE_CURVE('',#163237,#163238,#88354,.T.); #204175=EDGE_CURVE('',#163238,#163239,#88355,.T.); #204176=EDGE_CURVE('',#163240,#163239,#88356,.T.); #204177=EDGE_CURVE('',#163237,#163240,#88357,.T.); #204178=EDGE_CURVE('',#163241,#163237,#88358,.T.); #204179=EDGE_CURVE('',#163242,#163240,#88359,.T.); #204180=EDGE_CURVE('',#163241,#163242,#88360,.T.); #204181=EDGE_CURVE('',#163243,#163241,#88361,.T.); #204182=EDGE_CURVE('',#163244,#163242,#88362,.T.); #204183=EDGE_CURVE('',#163243,#163244,#88363,.T.); #204184=EDGE_CURVE('',#163238,#163243,#88364,.T.); #204185=EDGE_CURVE('',#163239,#163244,#88365,.T.); #204186=EDGE_CURVE('',#163245,#163245,#137681,.T.); #204187=EDGE_CURVE('',#163245,#163246,#88366,.T.); #204188=EDGE_CURVE('',#163246,#163246,#137682,.T.); #204189=EDGE_CURVE('',#163247,#163248,#88367,.T.); #204190=EDGE_CURVE('',#163248,#163249,#88368,.T.); #204191=EDGE_CURVE('',#163250,#163249,#88369,.T.); #204192=EDGE_CURVE('',#163247,#163250,#88370,.T.); #204193=EDGE_CURVE('',#163251,#163247,#137683,.T.); #204194=EDGE_CURVE('',#163252,#163250,#137684,.T.); #204195=EDGE_CURVE('',#163251,#163252,#88371,.T.); #204196=EDGE_CURVE('',#163248,#163251,#137685,.T.); #204197=EDGE_CURVE('',#163249,#163252,#137686,.T.); #204198=EDGE_CURVE('',#163253,#163254,#88372,.T.); #204199=EDGE_CURVE('',#163254,#163255,#88373,.T.); #204200=EDGE_CURVE('',#163256,#163255,#88374,.T.); #204201=EDGE_CURVE('',#163253,#163256,#88375,.T.); #204202=EDGE_CURVE('',#163257,#163253,#88376,.T.); #204203=EDGE_CURVE('',#163258,#163256,#88377,.T.); #204204=EDGE_CURVE('',#163257,#163258,#88378,.T.); #204205=EDGE_CURVE('',#163259,#163257,#88379,.T.); #204206=EDGE_CURVE('',#163260,#163258,#88380,.T.); #204207=EDGE_CURVE('',#163259,#163260,#88381,.T.); #204208=EDGE_CURVE('',#163254,#163259,#88382,.T.); #204209=EDGE_CURVE('',#163255,#163260,#88383,.T.); #204210=EDGE_CURVE('',#163261,#163262,#88384,.T.); #204211=EDGE_CURVE('',#163262,#163263,#88385,.T.); #204212=EDGE_CURVE('',#163264,#163263,#88386,.T.); #204213=EDGE_CURVE('',#163261,#163264,#88387,.T.); #204214=EDGE_CURVE('',#163265,#163261,#88388,.T.); #204215=EDGE_CURVE('',#163266,#163264,#88389,.T.); #204216=EDGE_CURVE('',#163265,#163266,#88390,.T.); #204217=EDGE_CURVE('',#163267,#163265,#88391,.T.); #204218=EDGE_CURVE('',#163268,#163266,#88392,.T.); #204219=EDGE_CURVE('',#163267,#163268,#88393,.T.); #204220=EDGE_CURVE('',#163262,#163267,#88394,.T.); #204221=EDGE_CURVE('',#163263,#163268,#88395,.T.); #204222=EDGE_CURVE('',#163269,#163270,#88396,.T.); #204223=EDGE_CURVE('',#163270,#163271,#88397,.T.); #204224=EDGE_CURVE('',#163272,#163271,#88398,.T.); #204225=EDGE_CURVE('',#163269,#163272,#88399,.T.); #204226=EDGE_CURVE('',#163273,#163269,#88400,.T.); #204227=EDGE_CURVE('',#163274,#163272,#88401,.T.); #204228=EDGE_CURVE('',#163273,#163274,#88402,.T.); #204229=EDGE_CURVE('',#163275,#163273,#88403,.T.); #204230=EDGE_CURVE('',#163276,#163274,#88404,.T.); #204231=EDGE_CURVE('',#163275,#163276,#88405,.T.); #204232=EDGE_CURVE('',#163270,#163275,#88406,.T.); #204233=EDGE_CURVE('',#163271,#163276,#88407,.T.); #204234=EDGE_CURVE('',#163277,#163278,#88408,.T.); #204235=EDGE_CURVE('',#163278,#163279,#88409,.T.); #204236=EDGE_CURVE('',#163280,#163279,#88410,.T.); #204237=EDGE_CURVE('',#163277,#163280,#88411,.T.); #204238=EDGE_CURVE('',#163281,#163277,#88412,.T.); #204239=EDGE_CURVE('',#163282,#163280,#88413,.T.); #204240=EDGE_CURVE('',#163281,#163282,#88414,.T.); #204241=EDGE_CURVE('',#163283,#163281,#88415,.T.); #204242=EDGE_CURVE('',#163284,#163282,#88416,.T.); #204243=EDGE_CURVE('',#163283,#163284,#88417,.T.); #204244=EDGE_CURVE('',#163278,#163283,#88418,.T.); #204245=EDGE_CURVE('',#163279,#163284,#88419,.T.); #204246=EDGE_CURVE('',#163285,#163286,#88420,.T.); #204247=EDGE_CURVE('',#163286,#163287,#88421,.T.); #204248=EDGE_CURVE('',#163288,#163287,#88422,.T.); #204249=EDGE_CURVE('',#163285,#163288,#88423,.T.); #204250=EDGE_CURVE('',#163289,#163285,#88424,.T.); #204251=EDGE_CURVE('',#163290,#163288,#88425,.T.); #204252=EDGE_CURVE('',#163289,#163290,#88426,.T.); #204253=EDGE_CURVE('',#163291,#163289,#88427,.T.); #204254=EDGE_CURVE('',#163292,#163290,#88428,.T.); #204255=EDGE_CURVE('',#163291,#163292,#88429,.T.); #204256=EDGE_CURVE('',#163286,#163291,#88430,.T.); #204257=EDGE_CURVE('',#163287,#163292,#88431,.T.); #204258=EDGE_CURVE('',#163293,#163294,#88432,.T.); #204259=EDGE_CURVE('',#163294,#163295,#88433,.T.); #204260=EDGE_CURVE('',#163296,#163295,#88434,.T.); #204261=EDGE_CURVE('',#163293,#163296,#88435,.T.); #204262=EDGE_CURVE('',#163297,#163293,#88436,.T.); #204263=EDGE_CURVE('',#163298,#163296,#88437,.T.); #204264=EDGE_CURVE('',#163297,#163298,#88438,.T.); #204265=EDGE_CURVE('',#163299,#163297,#88439,.T.); #204266=EDGE_CURVE('',#163300,#163298,#88440,.T.); #204267=EDGE_CURVE('',#163299,#163300,#88441,.T.); #204268=EDGE_CURVE('',#163294,#163299,#88442,.T.); #204269=EDGE_CURVE('',#163295,#163300,#88443,.T.); #204270=EDGE_CURVE('',#163301,#163302,#88444,.T.); #204271=EDGE_CURVE('',#163302,#163303,#88445,.T.); #204272=EDGE_CURVE('',#163304,#163303,#88446,.T.); #204273=EDGE_CURVE('',#163301,#163304,#88447,.T.); #204274=EDGE_CURVE('',#163305,#163301,#88448,.T.); #204275=EDGE_CURVE('',#163306,#163304,#88449,.T.); #204276=EDGE_CURVE('',#163305,#163306,#88450,.T.); #204277=EDGE_CURVE('',#163307,#163305,#88451,.T.); #204278=EDGE_CURVE('',#163308,#163306,#88452,.T.); #204279=EDGE_CURVE('',#163307,#163308,#88453,.T.); #204280=EDGE_CURVE('',#163302,#163307,#88454,.T.); #204281=EDGE_CURVE('',#163303,#163308,#88455,.T.); #204282=EDGE_CURVE('',#163309,#163310,#88456,.T.); #204283=EDGE_CURVE('',#163310,#163311,#88457,.T.); #204284=EDGE_CURVE('',#163312,#163311,#88458,.T.); #204285=EDGE_CURVE('',#163309,#163312,#88459,.T.); #204286=EDGE_CURVE('',#163313,#163309,#88460,.T.); #204287=EDGE_CURVE('',#163314,#163312,#88461,.T.); #204288=EDGE_CURVE('',#163313,#163314,#88462,.T.); #204289=EDGE_CURVE('',#163315,#163313,#88463,.T.); #204290=EDGE_CURVE('',#163316,#163314,#88464,.T.); #204291=EDGE_CURVE('',#163315,#163316,#88465,.T.); #204292=EDGE_CURVE('',#163310,#163315,#88466,.T.); #204293=EDGE_CURVE('',#163311,#163316,#88467,.T.); #204294=EDGE_CURVE('',#163317,#163318,#88468,.T.); #204295=EDGE_CURVE('',#163318,#163319,#88469,.T.); #204296=EDGE_CURVE('',#163320,#163319,#88470,.T.); #204297=EDGE_CURVE('',#163317,#163320,#88471,.T.); #204298=EDGE_CURVE('',#163321,#163317,#88472,.T.); #204299=EDGE_CURVE('',#163322,#163320,#88473,.T.); #204300=EDGE_CURVE('',#163321,#163322,#88474,.T.); #204301=EDGE_CURVE('',#163323,#163321,#88475,.T.); #204302=EDGE_CURVE('',#163324,#163322,#88476,.T.); #204303=EDGE_CURVE('',#163323,#163324,#88477,.T.); #204304=EDGE_CURVE('',#163318,#163323,#88478,.T.); #204305=EDGE_CURVE('',#163319,#163324,#88479,.T.); #204306=EDGE_CURVE('',#163325,#163326,#88480,.T.); #204307=EDGE_CURVE('',#163326,#163327,#88481,.T.); #204308=EDGE_CURVE('',#163328,#163327,#88482,.T.); #204309=EDGE_CURVE('',#163325,#163328,#88483,.T.); #204310=EDGE_CURVE('',#163329,#163325,#88484,.T.); #204311=EDGE_CURVE('',#163330,#163328,#88485,.T.); #204312=EDGE_CURVE('',#163329,#163330,#88486,.T.); #204313=EDGE_CURVE('',#163331,#163329,#88487,.T.); #204314=EDGE_CURVE('',#163332,#163330,#88488,.T.); #204315=EDGE_CURVE('',#163331,#163332,#88489,.T.); #204316=EDGE_CURVE('',#163326,#163331,#88490,.T.); #204317=EDGE_CURVE('',#163327,#163332,#88491,.T.); #204318=EDGE_CURVE('',#163333,#163334,#88492,.T.); #204319=EDGE_CURVE('',#163334,#163335,#88493,.T.); #204320=EDGE_CURVE('',#163336,#163335,#88494,.T.); #204321=EDGE_CURVE('',#163333,#163336,#88495,.T.); #204322=EDGE_CURVE('',#163337,#163333,#88496,.T.); #204323=EDGE_CURVE('',#163338,#163336,#88497,.T.); #204324=EDGE_CURVE('',#163337,#163338,#88498,.T.); #204325=EDGE_CURVE('',#163339,#163337,#88499,.T.); #204326=EDGE_CURVE('',#163340,#163338,#88500,.T.); #204327=EDGE_CURVE('',#163339,#163340,#88501,.T.); #204328=EDGE_CURVE('',#163334,#163339,#88502,.T.); #204329=EDGE_CURVE('',#163335,#163340,#88503,.T.); #204330=EDGE_CURVE('',#163341,#163342,#88504,.T.); #204331=EDGE_CURVE('',#163342,#163343,#88505,.T.); #204332=EDGE_CURVE('',#163344,#163343,#88506,.T.); #204333=EDGE_CURVE('',#163341,#163344,#88507,.T.); #204334=EDGE_CURVE('',#163345,#163341,#88508,.T.); #204335=EDGE_CURVE('',#163346,#163344,#88509,.T.); #204336=EDGE_CURVE('',#163345,#163346,#88510,.T.); #204337=EDGE_CURVE('',#163347,#163345,#88511,.T.); #204338=EDGE_CURVE('',#163348,#163346,#88512,.T.); #204339=EDGE_CURVE('',#163347,#163348,#88513,.T.); #204340=EDGE_CURVE('',#163342,#163347,#88514,.T.); #204341=EDGE_CURVE('',#163343,#163348,#88515,.T.); #204342=EDGE_CURVE('',#163349,#163350,#88516,.T.); #204343=EDGE_CURVE('',#163350,#163351,#88517,.T.); #204344=EDGE_CURVE('',#163352,#163351,#88518,.T.); #204345=EDGE_CURVE('',#163349,#163352,#88519,.T.); #204346=EDGE_CURVE('',#163353,#163349,#88520,.T.); #204347=EDGE_CURVE('',#163354,#163352,#88521,.T.); #204348=EDGE_CURVE('',#163353,#163354,#88522,.T.); #204349=EDGE_CURVE('',#163355,#163353,#88523,.T.); #204350=EDGE_CURVE('',#163356,#163354,#88524,.T.); #204351=EDGE_CURVE('',#163355,#163356,#88525,.T.); #204352=EDGE_CURVE('',#163350,#163355,#88526,.T.); #204353=EDGE_CURVE('',#163351,#163356,#88527,.T.); #204354=EDGE_CURVE('',#163357,#163358,#88528,.T.); #204355=EDGE_CURVE('',#163358,#163359,#88529,.T.); #204356=EDGE_CURVE('',#163360,#163359,#88530,.T.); #204357=EDGE_CURVE('',#163357,#163360,#88531,.T.); #204358=EDGE_CURVE('',#163361,#163357,#88532,.T.); #204359=EDGE_CURVE('',#163362,#163360,#88533,.T.); #204360=EDGE_CURVE('',#163361,#163362,#88534,.T.); #204361=EDGE_CURVE('',#163363,#163361,#88535,.T.); #204362=EDGE_CURVE('',#163364,#163362,#88536,.T.); #204363=EDGE_CURVE('',#163363,#163364,#88537,.T.); #204364=EDGE_CURVE('',#163358,#163363,#88538,.T.); #204365=EDGE_CURVE('',#163359,#163364,#88539,.T.); #204366=EDGE_CURVE('',#163365,#163366,#88540,.T.); #204367=EDGE_CURVE('',#163366,#163367,#88541,.T.); #204368=EDGE_CURVE('',#163368,#163367,#88542,.T.); #204369=EDGE_CURVE('',#163365,#163368,#88543,.T.); #204370=EDGE_CURVE('',#163369,#163365,#88544,.T.); #204371=EDGE_CURVE('',#163370,#163368,#88545,.T.); #204372=EDGE_CURVE('',#163369,#163370,#88546,.T.); #204373=EDGE_CURVE('',#163371,#163369,#88547,.T.); #204374=EDGE_CURVE('',#163372,#163370,#88548,.T.); #204375=EDGE_CURVE('',#163371,#163372,#88549,.T.); #204376=EDGE_CURVE('',#163366,#163371,#88550,.T.); #204377=EDGE_CURVE('',#163367,#163372,#88551,.T.); #204378=EDGE_CURVE('',#163373,#163374,#88552,.T.); #204379=EDGE_CURVE('',#163374,#163375,#88553,.T.); #204380=EDGE_CURVE('',#163376,#163375,#88554,.T.); #204381=EDGE_CURVE('',#163373,#163376,#88555,.T.); #204382=EDGE_CURVE('',#163377,#163373,#88556,.T.); #204383=EDGE_CURVE('',#163378,#163376,#88557,.T.); #204384=EDGE_CURVE('',#163377,#163378,#88558,.T.); #204385=EDGE_CURVE('',#163379,#163377,#88559,.T.); #204386=EDGE_CURVE('',#163380,#163378,#88560,.T.); #204387=EDGE_CURVE('',#163379,#163380,#88561,.T.); #204388=EDGE_CURVE('',#163374,#163379,#88562,.T.); #204389=EDGE_CURVE('',#163375,#163380,#88563,.T.); #204390=EDGE_CURVE('',#163381,#163382,#88564,.T.); #204391=EDGE_CURVE('',#163382,#163383,#88565,.T.); #204392=EDGE_CURVE('',#163384,#163383,#88566,.T.); #204393=EDGE_CURVE('',#163381,#163384,#88567,.T.); #204394=EDGE_CURVE('',#163385,#163381,#88568,.T.); #204395=EDGE_CURVE('',#163386,#163384,#88569,.T.); #204396=EDGE_CURVE('',#163385,#163386,#88570,.T.); #204397=EDGE_CURVE('',#163387,#163385,#88571,.T.); #204398=EDGE_CURVE('',#163388,#163386,#88572,.T.); #204399=EDGE_CURVE('',#163387,#163388,#88573,.T.); #204400=EDGE_CURVE('',#163382,#163387,#88574,.T.); #204401=EDGE_CURVE('',#163383,#163388,#88575,.T.); #204402=EDGE_CURVE('',#163389,#163390,#88576,.T.); #204403=EDGE_CURVE('',#163390,#163391,#88577,.T.); #204404=EDGE_CURVE('',#163392,#163391,#88578,.T.); #204405=EDGE_CURVE('',#163389,#163392,#88579,.T.); #204406=EDGE_CURVE('',#163393,#163389,#88580,.T.); #204407=EDGE_CURVE('',#163394,#163392,#88581,.T.); #204408=EDGE_CURVE('',#163393,#163394,#88582,.T.); #204409=EDGE_CURVE('',#163395,#163393,#88583,.T.); #204410=EDGE_CURVE('',#163396,#163394,#88584,.T.); #204411=EDGE_CURVE('',#163395,#163396,#88585,.T.); #204412=EDGE_CURVE('',#163390,#163395,#88586,.T.); #204413=EDGE_CURVE('',#163391,#163396,#88587,.T.); #204414=EDGE_CURVE('',#163397,#163398,#88588,.T.); #204415=EDGE_CURVE('',#163398,#163399,#88589,.T.); #204416=EDGE_CURVE('',#163400,#163399,#88590,.T.); #204417=EDGE_CURVE('',#163397,#163400,#88591,.T.); #204418=EDGE_CURVE('',#163401,#163397,#88592,.T.); #204419=EDGE_CURVE('',#163402,#163400,#88593,.T.); #204420=EDGE_CURVE('',#163401,#163402,#88594,.T.); #204421=EDGE_CURVE('',#163403,#163401,#88595,.T.); #204422=EDGE_CURVE('',#163404,#163402,#88596,.T.); #204423=EDGE_CURVE('',#163403,#163404,#88597,.T.); #204424=EDGE_CURVE('',#163398,#163403,#88598,.T.); #204425=EDGE_CURVE('',#163399,#163404,#88599,.T.); #204426=EDGE_CURVE('',#163405,#163406,#88600,.T.); #204427=EDGE_CURVE('',#163406,#163407,#88601,.T.); #204428=EDGE_CURVE('',#163408,#163407,#88602,.T.); #204429=EDGE_CURVE('',#163405,#163408,#88603,.T.); #204430=EDGE_CURVE('',#163409,#163405,#88604,.T.); #204431=EDGE_CURVE('',#163410,#163408,#88605,.T.); #204432=EDGE_CURVE('',#163409,#163410,#88606,.T.); #204433=EDGE_CURVE('',#163411,#163409,#88607,.T.); #204434=EDGE_CURVE('',#163412,#163410,#88608,.T.); #204435=EDGE_CURVE('',#163411,#163412,#88609,.T.); #204436=EDGE_CURVE('',#163406,#163411,#88610,.T.); #204437=EDGE_CURVE('',#163407,#163412,#88611,.T.); #204438=EDGE_CURVE('',#163413,#163414,#88612,.T.); #204439=EDGE_CURVE('',#163414,#163415,#88613,.T.); #204440=EDGE_CURVE('',#163416,#163415,#88614,.T.); #204441=EDGE_CURVE('',#163413,#163416,#88615,.T.); #204442=EDGE_CURVE('',#163417,#163413,#88616,.T.); #204443=EDGE_CURVE('',#163418,#163416,#88617,.T.); #204444=EDGE_CURVE('',#163417,#163418,#88618,.T.); #204445=EDGE_CURVE('',#163419,#163417,#88619,.T.); #204446=EDGE_CURVE('',#163420,#163418,#88620,.T.); #204447=EDGE_CURVE('',#163419,#163420,#88621,.T.); #204448=EDGE_CURVE('',#163414,#163419,#88622,.T.); #204449=EDGE_CURVE('',#163415,#163420,#88623,.T.); #204450=EDGE_CURVE('',#163421,#163422,#88624,.T.); #204451=EDGE_CURVE('',#163422,#163423,#88625,.T.); #204452=EDGE_CURVE('',#163424,#163423,#88626,.T.); #204453=EDGE_CURVE('',#163421,#163424,#88627,.T.); #204454=EDGE_CURVE('',#163425,#163421,#88628,.T.); #204455=EDGE_CURVE('',#163426,#163424,#88629,.T.); #204456=EDGE_CURVE('',#163425,#163426,#88630,.T.); #204457=EDGE_CURVE('',#163427,#163425,#88631,.T.); #204458=EDGE_CURVE('',#163428,#163426,#88632,.T.); #204459=EDGE_CURVE('',#163427,#163428,#88633,.T.); #204460=EDGE_CURVE('',#163422,#163427,#88634,.T.); #204461=EDGE_CURVE('',#163423,#163428,#88635,.T.); #204462=EDGE_CURVE('',#163429,#163430,#88636,.T.); #204463=EDGE_CURVE('',#163430,#163431,#88637,.T.); #204464=EDGE_CURVE('',#163432,#163431,#88638,.T.); #204465=EDGE_CURVE('',#163429,#163432,#88639,.T.); #204466=EDGE_CURVE('',#163433,#163429,#88640,.T.); #204467=EDGE_CURVE('',#163434,#163432,#88641,.T.); #204468=EDGE_CURVE('',#163433,#163434,#88642,.T.); #204469=EDGE_CURVE('',#163435,#163433,#88643,.T.); #204470=EDGE_CURVE('',#163436,#163434,#88644,.T.); #204471=EDGE_CURVE('',#163435,#163436,#88645,.T.); #204472=EDGE_CURVE('',#163430,#163435,#88646,.T.); #204473=EDGE_CURVE('',#163431,#163436,#88647,.T.); #204474=EDGE_CURVE('',#163437,#163437,#137687,.T.); #204475=EDGE_CURVE('',#163437,#163438,#88648,.T.); #204476=EDGE_CURVE('',#163438,#163438,#137688,.T.); #204477=EDGE_CURVE('',#163439,#163439,#137689,.T.); #204478=EDGE_CURVE('',#163439,#163440,#88649,.T.); #204479=EDGE_CURVE('',#163440,#163440,#137690,.T.); #204480=EDGE_CURVE('',#163441,#163442,#88650,.T.); #204481=EDGE_CURVE('',#163442,#163443,#88651,.T.); #204482=EDGE_CURVE('',#163444,#163443,#88652,.T.); #204483=EDGE_CURVE('',#163441,#163444,#88653,.T.); #204484=EDGE_CURVE('',#163445,#163441,#88654,.T.); #204485=EDGE_CURVE('',#163446,#163444,#88655,.T.); #204486=EDGE_CURVE('',#163445,#163446,#88656,.T.); #204487=EDGE_CURVE('',#163447,#163445,#88657,.T.); #204488=EDGE_CURVE('',#163448,#163446,#88658,.T.); #204489=EDGE_CURVE('',#163447,#163448,#88659,.T.); #204490=EDGE_CURVE('',#163442,#163447,#88660,.T.); #204491=EDGE_CURVE('',#163443,#163448,#88661,.T.); #204492=EDGE_CURVE('',#163449,#163450,#88662,.T.); #204493=EDGE_CURVE('',#163450,#163451,#88663,.T.); #204494=EDGE_CURVE('',#163452,#163451,#88664,.T.); #204495=EDGE_CURVE('',#163449,#163452,#88665,.T.); #204496=EDGE_CURVE('',#163453,#163449,#88666,.T.); #204497=EDGE_CURVE('',#163454,#163452,#88667,.T.); #204498=EDGE_CURVE('',#163453,#163454,#88668,.T.); #204499=EDGE_CURVE('',#163455,#163453,#88669,.T.); #204500=EDGE_CURVE('',#163456,#163454,#88670,.T.); #204501=EDGE_CURVE('',#163455,#163456,#88671,.T.); #204502=EDGE_CURVE('',#163450,#163455,#88672,.T.); #204503=EDGE_CURVE('',#163451,#163456,#88673,.T.); #204504=EDGE_CURVE('',#163457,#163457,#137691,.T.); #204505=EDGE_CURVE('',#163457,#163458,#88674,.T.); #204506=EDGE_CURVE('',#163458,#163458,#137692,.T.); #204507=EDGE_CURVE('',#163459,#163459,#137693,.T.); #204508=EDGE_CURVE('',#163459,#163460,#88675,.T.); #204509=EDGE_CURVE('',#163460,#163460,#137694,.T.); #204510=EDGE_CURVE('',#163461,#163462,#88676,.T.); #204511=EDGE_CURVE('',#163462,#163463,#88677,.T.); #204512=EDGE_CURVE('',#163464,#163463,#88678,.T.); #204513=EDGE_CURVE('',#163461,#163464,#88679,.T.); #204514=EDGE_CURVE('',#163465,#163461,#88680,.T.); #204515=EDGE_CURVE('',#163466,#163464,#88681,.T.); #204516=EDGE_CURVE('',#163465,#163466,#88682,.T.); #204517=EDGE_CURVE('',#163467,#163465,#88683,.T.); #204518=EDGE_CURVE('',#163468,#163466,#88684,.T.); #204519=EDGE_CURVE('',#163467,#163468,#88685,.T.); #204520=EDGE_CURVE('',#163462,#163467,#88686,.T.); #204521=EDGE_CURVE('',#163463,#163468,#88687,.T.); #204522=EDGE_CURVE('',#163469,#163470,#88688,.T.); #204523=EDGE_CURVE('',#163470,#163471,#88689,.T.); #204524=EDGE_CURVE('',#163472,#163471,#88690,.T.); #204525=EDGE_CURVE('',#163469,#163472,#88691,.T.); #204526=EDGE_CURVE('',#163473,#163469,#88692,.T.); #204527=EDGE_CURVE('',#163474,#163472,#88693,.T.); #204528=EDGE_CURVE('',#163473,#163474,#88694,.T.); #204529=EDGE_CURVE('',#163475,#163473,#88695,.T.); #204530=EDGE_CURVE('',#163476,#163474,#88696,.T.); #204531=EDGE_CURVE('',#163475,#163476,#88697,.T.); #204532=EDGE_CURVE('',#163470,#163475,#88698,.T.); #204533=EDGE_CURVE('',#163471,#163476,#88699,.T.); #204534=EDGE_CURVE('',#163477,#163478,#88700,.T.); #204535=EDGE_CURVE('',#163478,#163479,#88701,.T.); #204536=EDGE_CURVE('',#163480,#163479,#88702,.T.); #204537=EDGE_CURVE('',#163477,#163480,#88703,.T.); #204538=EDGE_CURVE('',#163481,#163477,#88704,.T.); #204539=EDGE_CURVE('',#163482,#163480,#88705,.T.); #204540=EDGE_CURVE('',#163481,#163482,#88706,.T.); #204541=EDGE_CURVE('',#163483,#163481,#88707,.T.); #204542=EDGE_CURVE('',#163484,#163482,#88708,.T.); #204543=EDGE_CURVE('',#163483,#163484,#88709,.T.); #204544=EDGE_CURVE('',#163478,#163483,#88710,.T.); #204545=EDGE_CURVE('',#163479,#163484,#88711,.T.); #204546=EDGE_CURVE('',#163485,#163486,#88712,.T.); #204547=EDGE_CURVE('',#163486,#163487,#88713,.T.); #204548=EDGE_CURVE('',#163488,#163487,#88714,.T.); #204549=EDGE_CURVE('',#163485,#163488,#88715,.T.); #204550=EDGE_CURVE('',#163489,#163485,#88716,.T.); #204551=EDGE_CURVE('',#163490,#163488,#88717,.T.); #204552=EDGE_CURVE('',#163489,#163490,#88718,.T.); #204553=EDGE_CURVE('',#163491,#163489,#88719,.T.); #204554=EDGE_CURVE('',#163492,#163490,#88720,.T.); #204555=EDGE_CURVE('',#163491,#163492,#88721,.T.); #204556=EDGE_CURVE('',#163486,#163491,#88722,.T.); #204557=EDGE_CURVE('',#163487,#163492,#88723,.T.); #204558=EDGE_CURVE('',#163493,#163494,#88724,.T.); #204559=EDGE_CURVE('',#163494,#163495,#88725,.T.); #204560=EDGE_CURVE('',#163496,#163495,#88726,.T.); #204561=EDGE_CURVE('',#163493,#163496,#88727,.T.); #204562=EDGE_CURVE('',#163497,#163493,#88728,.T.); #204563=EDGE_CURVE('',#163498,#163496,#88729,.T.); #204564=EDGE_CURVE('',#163497,#163498,#88730,.T.); #204565=EDGE_CURVE('',#163499,#163497,#88731,.T.); #204566=EDGE_CURVE('',#163500,#163498,#88732,.T.); #204567=EDGE_CURVE('',#163499,#163500,#88733,.T.); #204568=EDGE_CURVE('',#163494,#163499,#88734,.T.); #204569=EDGE_CURVE('',#163495,#163500,#88735,.T.); #204570=EDGE_CURVE('',#163501,#163502,#88736,.T.); #204571=EDGE_CURVE('',#163502,#163503,#88737,.T.); #204572=EDGE_CURVE('',#163504,#163503,#88738,.T.); #204573=EDGE_CURVE('',#163501,#163504,#88739,.T.); #204574=EDGE_CURVE('',#163505,#163501,#88740,.T.); #204575=EDGE_CURVE('',#163506,#163504,#88741,.T.); #204576=EDGE_CURVE('',#163505,#163506,#88742,.T.); #204577=EDGE_CURVE('',#163507,#163505,#88743,.T.); #204578=EDGE_CURVE('',#163508,#163506,#88744,.T.); #204579=EDGE_CURVE('',#163507,#163508,#88745,.T.); #204580=EDGE_CURVE('',#163502,#163507,#88746,.T.); #204581=EDGE_CURVE('',#163503,#163508,#88747,.T.); #204582=EDGE_CURVE('',#163509,#163510,#88748,.T.); #204583=EDGE_CURVE('',#163510,#163511,#88749,.T.); #204584=EDGE_CURVE('',#163512,#163511,#88750,.T.); #204585=EDGE_CURVE('',#163509,#163512,#88751,.T.); #204586=EDGE_CURVE('',#163513,#163509,#88752,.T.); #204587=EDGE_CURVE('',#163514,#163512,#88753,.T.); #204588=EDGE_CURVE('',#163513,#163514,#88754,.T.); #204589=EDGE_CURVE('',#163515,#163513,#88755,.T.); #204590=EDGE_CURVE('',#163516,#163514,#88756,.T.); #204591=EDGE_CURVE('',#163515,#163516,#88757,.T.); #204592=EDGE_CURVE('',#163510,#163515,#88758,.T.); #204593=EDGE_CURVE('',#163511,#163516,#88759,.T.); #204594=EDGE_CURVE('',#163517,#163518,#88760,.T.); #204595=EDGE_CURVE('',#163518,#163519,#88761,.T.); #204596=EDGE_CURVE('',#163520,#163519,#88762,.T.); #204597=EDGE_CURVE('',#163517,#163520,#88763,.T.); #204598=EDGE_CURVE('',#163521,#163517,#88764,.T.); #204599=EDGE_CURVE('',#163522,#163520,#88765,.T.); #204600=EDGE_CURVE('',#163521,#163522,#88766,.T.); #204601=EDGE_CURVE('',#163523,#163521,#88767,.T.); #204602=EDGE_CURVE('',#163524,#163522,#88768,.T.); #204603=EDGE_CURVE('',#163523,#163524,#88769,.T.); #204604=EDGE_CURVE('',#163518,#163523,#88770,.T.); #204605=EDGE_CURVE('',#163519,#163524,#88771,.T.); #204606=EDGE_CURVE('',#163525,#163526,#88772,.T.); #204607=EDGE_CURVE('',#163526,#163527,#88773,.T.); #204608=EDGE_CURVE('',#163528,#163527,#88774,.T.); #204609=EDGE_CURVE('',#163525,#163528,#88775,.T.); #204610=EDGE_CURVE('',#163529,#163525,#88776,.T.); #204611=EDGE_CURVE('',#163530,#163528,#88777,.T.); #204612=EDGE_CURVE('',#163529,#163530,#88778,.T.); #204613=EDGE_CURVE('',#163531,#163529,#88779,.T.); #204614=EDGE_CURVE('',#163532,#163530,#88780,.T.); #204615=EDGE_CURVE('',#163531,#163532,#88781,.T.); #204616=EDGE_CURVE('',#163526,#163531,#88782,.T.); #204617=EDGE_CURVE('',#163527,#163532,#88783,.T.); #204618=EDGE_CURVE('',#163533,#163534,#88784,.T.); #204619=EDGE_CURVE('',#163534,#163535,#88785,.T.); #204620=EDGE_CURVE('',#163536,#163535,#88786,.T.); #204621=EDGE_CURVE('',#163533,#163536,#88787,.T.); #204622=EDGE_CURVE('',#163537,#163533,#88788,.T.); #204623=EDGE_CURVE('',#163538,#163536,#88789,.T.); #204624=EDGE_CURVE('',#163537,#163538,#88790,.T.); #204625=EDGE_CURVE('',#163539,#163537,#88791,.T.); #204626=EDGE_CURVE('',#163540,#163538,#88792,.T.); #204627=EDGE_CURVE('',#163539,#163540,#88793,.T.); #204628=EDGE_CURVE('',#163534,#163539,#88794,.T.); #204629=EDGE_CURVE('',#163535,#163540,#88795,.T.); #204630=EDGE_CURVE('',#163541,#163542,#88796,.T.); #204631=EDGE_CURVE('',#163542,#163543,#88797,.T.); #204632=EDGE_CURVE('',#163544,#163543,#88798,.T.); #204633=EDGE_CURVE('',#163541,#163544,#88799,.T.); #204634=EDGE_CURVE('',#163545,#163541,#88800,.T.); #204635=EDGE_CURVE('',#163546,#163544,#88801,.T.); #204636=EDGE_CURVE('',#163545,#163546,#88802,.T.); #204637=EDGE_CURVE('',#163547,#163545,#88803,.T.); #204638=EDGE_CURVE('',#163548,#163546,#88804,.T.); #204639=EDGE_CURVE('',#163547,#163548,#88805,.T.); #204640=EDGE_CURVE('',#163542,#163547,#88806,.T.); #204641=EDGE_CURVE('',#163543,#163548,#88807,.T.); #204642=EDGE_CURVE('',#163549,#163550,#88808,.T.); #204643=EDGE_CURVE('',#163550,#163551,#88809,.T.); #204644=EDGE_CURVE('',#163552,#163551,#88810,.T.); #204645=EDGE_CURVE('',#163549,#163552,#88811,.T.); #204646=EDGE_CURVE('',#163553,#163549,#88812,.T.); #204647=EDGE_CURVE('',#163554,#163552,#88813,.T.); #204648=EDGE_CURVE('',#163553,#163554,#88814,.T.); #204649=EDGE_CURVE('',#163555,#163553,#88815,.T.); #204650=EDGE_CURVE('',#163556,#163554,#88816,.T.); #204651=EDGE_CURVE('',#163555,#163556,#88817,.T.); #204652=EDGE_CURVE('',#163550,#163555,#88818,.T.); #204653=EDGE_CURVE('',#163551,#163556,#88819,.T.); #204654=EDGE_CURVE('',#163557,#163558,#88820,.T.); #204655=EDGE_CURVE('',#163558,#163559,#88821,.T.); #204656=EDGE_CURVE('',#163560,#163559,#88822,.T.); #204657=EDGE_CURVE('',#163557,#163560,#88823,.T.); #204658=EDGE_CURVE('',#163561,#163557,#88824,.T.); #204659=EDGE_CURVE('',#163562,#163560,#88825,.T.); #204660=EDGE_CURVE('',#163561,#163562,#88826,.T.); #204661=EDGE_CURVE('',#163563,#163561,#88827,.T.); #204662=EDGE_CURVE('',#163564,#163562,#88828,.T.); #204663=EDGE_CURVE('',#163563,#163564,#88829,.T.); #204664=EDGE_CURVE('',#163558,#163563,#88830,.T.); #204665=EDGE_CURVE('',#163559,#163564,#88831,.T.); #204666=EDGE_CURVE('',#163565,#163566,#88832,.T.); #204667=EDGE_CURVE('',#163566,#163567,#88833,.T.); #204668=EDGE_CURVE('',#163568,#163567,#88834,.T.); #204669=EDGE_CURVE('',#163565,#163568,#88835,.T.); #204670=EDGE_CURVE('',#163569,#163565,#88836,.T.); #204671=EDGE_CURVE('',#163570,#163568,#88837,.T.); #204672=EDGE_CURVE('',#163569,#163570,#88838,.T.); #204673=EDGE_CURVE('',#163571,#163569,#88839,.T.); #204674=EDGE_CURVE('',#163572,#163570,#88840,.T.); #204675=EDGE_CURVE('',#163571,#163572,#88841,.T.); #204676=EDGE_CURVE('',#163566,#163571,#88842,.T.); #204677=EDGE_CURVE('',#163567,#163572,#88843,.T.); #204678=EDGE_CURVE('',#163573,#163574,#88844,.T.); #204679=EDGE_CURVE('',#163574,#163575,#88845,.T.); #204680=EDGE_CURVE('',#163576,#163575,#88846,.T.); #204681=EDGE_CURVE('',#163573,#163576,#88847,.T.); #204682=EDGE_CURVE('',#163577,#163573,#88848,.T.); #204683=EDGE_CURVE('',#163578,#163576,#88849,.T.); #204684=EDGE_CURVE('',#163577,#163578,#88850,.T.); #204685=EDGE_CURVE('',#163579,#163577,#88851,.T.); #204686=EDGE_CURVE('',#163580,#163578,#88852,.T.); #204687=EDGE_CURVE('',#163579,#163580,#88853,.T.); #204688=EDGE_CURVE('',#163574,#163579,#88854,.T.); #204689=EDGE_CURVE('',#163575,#163580,#88855,.T.); #204690=EDGE_CURVE('',#163581,#163582,#88856,.T.); #204691=EDGE_CURVE('',#163582,#163583,#88857,.T.); #204692=EDGE_CURVE('',#163584,#163583,#88858,.T.); #204693=EDGE_CURVE('',#163581,#163584,#88859,.T.); #204694=EDGE_CURVE('',#163585,#163581,#88860,.T.); #204695=EDGE_CURVE('',#163586,#163584,#88861,.T.); #204696=EDGE_CURVE('',#163585,#163586,#88862,.T.); #204697=EDGE_CURVE('',#163587,#163585,#88863,.T.); #204698=EDGE_CURVE('',#163588,#163586,#88864,.T.); #204699=EDGE_CURVE('',#163587,#163588,#88865,.T.); #204700=EDGE_CURVE('',#163582,#163587,#88866,.T.); #204701=EDGE_CURVE('',#163583,#163588,#88867,.T.); #204702=EDGE_CURVE('',#163589,#163590,#88868,.T.); #204703=EDGE_CURVE('',#163590,#163591,#88869,.T.); #204704=EDGE_CURVE('',#163592,#163591,#88870,.T.); #204705=EDGE_CURVE('',#163589,#163592,#88871,.T.); #204706=EDGE_CURVE('',#163593,#163589,#88872,.T.); #204707=EDGE_CURVE('',#163594,#163592,#88873,.T.); #204708=EDGE_CURVE('',#163593,#163594,#88874,.T.); #204709=EDGE_CURVE('',#163595,#163593,#88875,.T.); #204710=EDGE_CURVE('',#163596,#163594,#88876,.T.); #204711=EDGE_CURVE('',#163595,#163596,#88877,.T.); #204712=EDGE_CURVE('',#163590,#163595,#88878,.T.); #204713=EDGE_CURVE('',#163591,#163596,#88879,.T.); #204714=EDGE_CURVE('',#163597,#163597,#137695,.T.); #204715=EDGE_CURVE('',#163597,#163598,#88880,.T.); #204716=EDGE_CURVE('',#163598,#163598,#137696,.T.); #204717=EDGE_CURVE('',#163599,#163600,#88881,.T.); #204718=EDGE_CURVE('',#163600,#163601,#88882,.T.); #204719=EDGE_CURVE('',#163602,#163601,#88883,.T.); #204720=EDGE_CURVE('',#163599,#163602,#88884,.T.); #204721=EDGE_CURVE('',#163603,#163599,#88885,.T.); #204722=EDGE_CURVE('',#163604,#163602,#88886,.T.); #204723=EDGE_CURVE('',#163603,#163604,#88887,.T.); #204724=EDGE_CURVE('',#163605,#163603,#88888,.T.); #204725=EDGE_CURVE('',#163606,#163604,#88889,.T.); #204726=EDGE_CURVE('',#163605,#163606,#88890,.T.); #204727=EDGE_CURVE('',#163600,#163605,#88891,.T.); #204728=EDGE_CURVE('',#163601,#163606,#88892,.T.); #204729=EDGE_CURVE('',#163607,#163608,#88893,.T.); #204730=EDGE_CURVE('',#163608,#163609,#88894,.T.); #204731=EDGE_CURVE('',#163610,#163609,#88895,.T.); #204732=EDGE_CURVE('',#163607,#163610,#88896,.T.); #204733=EDGE_CURVE('',#163611,#163607,#88897,.T.); #204734=EDGE_CURVE('',#163612,#163610,#88898,.T.); #204735=EDGE_CURVE('',#163611,#163612,#88899,.T.); #204736=EDGE_CURVE('',#163613,#163611,#88900,.T.); #204737=EDGE_CURVE('',#163614,#163612,#88901,.T.); #204738=EDGE_CURVE('',#163613,#163614,#88902,.T.); #204739=EDGE_CURVE('',#163608,#163613,#88903,.T.); #204740=EDGE_CURVE('',#163609,#163614,#88904,.T.); #204741=EDGE_CURVE('',#163615,#163616,#88905,.T.); #204742=EDGE_CURVE('',#163616,#163617,#88906,.T.); #204743=EDGE_CURVE('',#163618,#163617,#88907,.T.); #204744=EDGE_CURVE('',#163615,#163618,#88908,.T.); #204745=EDGE_CURVE('',#163619,#163615,#88909,.T.); #204746=EDGE_CURVE('',#163620,#163618,#88910,.T.); #204747=EDGE_CURVE('',#163619,#163620,#88911,.T.); #204748=EDGE_CURVE('',#163621,#163619,#88912,.T.); #204749=EDGE_CURVE('',#163622,#163620,#88913,.T.); #204750=EDGE_CURVE('',#163621,#163622,#88914,.T.); #204751=EDGE_CURVE('',#163616,#163621,#88915,.T.); #204752=EDGE_CURVE('',#163617,#163622,#88916,.T.); #204753=EDGE_CURVE('',#163623,#163624,#88917,.T.); #204754=EDGE_CURVE('',#163624,#163625,#88918,.T.); #204755=EDGE_CURVE('',#163626,#163625,#88919,.T.); #204756=EDGE_CURVE('',#163623,#163626,#88920,.T.); #204757=EDGE_CURVE('',#163627,#163623,#88921,.T.); #204758=EDGE_CURVE('',#163628,#163626,#88922,.T.); #204759=EDGE_CURVE('',#163627,#163628,#88923,.T.); #204760=EDGE_CURVE('',#163629,#163627,#88924,.T.); #204761=EDGE_CURVE('',#163630,#163628,#88925,.T.); #204762=EDGE_CURVE('',#163629,#163630,#88926,.T.); #204763=EDGE_CURVE('',#163624,#163629,#88927,.T.); #204764=EDGE_CURVE('',#163625,#163630,#88928,.T.); #204765=EDGE_CURVE('',#163631,#163632,#88929,.T.); #204766=EDGE_CURVE('',#163632,#163633,#88930,.T.); #204767=EDGE_CURVE('',#163634,#163633,#88931,.T.); #204768=EDGE_CURVE('',#163631,#163634,#88932,.T.); #204769=EDGE_CURVE('',#163635,#163631,#88933,.T.); #204770=EDGE_CURVE('',#163636,#163634,#88934,.T.); #204771=EDGE_CURVE('',#163635,#163636,#88935,.T.); #204772=EDGE_CURVE('',#163637,#163635,#88936,.T.); #204773=EDGE_CURVE('',#163638,#163636,#88937,.T.); #204774=EDGE_CURVE('',#163637,#163638,#88938,.T.); #204775=EDGE_CURVE('',#163632,#163637,#88939,.T.); #204776=EDGE_CURVE('',#163633,#163638,#88940,.T.); #204777=EDGE_CURVE('',#163639,#163639,#137697,.T.); #204778=EDGE_CURVE('',#163639,#163640,#88941,.T.); #204779=EDGE_CURVE('',#163640,#163640,#137698,.T.); #204780=EDGE_CURVE('',#163641,#163641,#137699,.T.); #204781=EDGE_CURVE('',#163641,#163642,#88942,.T.); #204782=EDGE_CURVE('',#163642,#163642,#137700,.T.); #204783=EDGE_CURVE('',#163643,#163643,#137701,.T.); #204784=EDGE_CURVE('',#163643,#163644,#88943,.T.); #204785=EDGE_CURVE('',#163644,#163644,#137702,.T.); #204786=EDGE_CURVE('',#163645,#163645,#137703,.T.); #204787=EDGE_CURVE('',#163645,#163646,#88944,.T.); #204788=EDGE_CURVE('',#163646,#163646,#137704,.T.); #204789=EDGE_CURVE('',#163647,#163647,#137705,.T.); #204790=EDGE_CURVE('',#163647,#163648,#88945,.T.); #204791=EDGE_CURVE('',#163648,#163648,#137706,.T.); #204792=EDGE_CURVE('',#163649,#163649,#137707,.T.); #204793=EDGE_CURVE('',#163649,#163650,#88946,.T.); #204794=EDGE_CURVE('',#163650,#163650,#137708,.T.); #204795=EDGE_CURVE('',#163651,#163651,#137709,.T.); #204796=EDGE_CURVE('',#163651,#163652,#88947,.T.); #204797=EDGE_CURVE('',#163652,#163652,#137710,.T.); #204798=EDGE_CURVE('',#163653,#163653,#137711,.T.); #204799=EDGE_CURVE('',#163653,#163654,#88948,.T.); #204800=EDGE_CURVE('',#163654,#163654,#137712,.T.); #204801=EDGE_CURVE('',#163655,#163655,#137713,.T.); #204802=EDGE_CURVE('',#163655,#163656,#88949,.T.); #204803=EDGE_CURVE('',#163656,#163656,#137714,.T.); #204804=EDGE_CURVE('',#163657,#163657,#137715,.T.); #204805=EDGE_CURVE('',#163657,#163658,#88950,.T.); #204806=EDGE_CURVE('',#163658,#163658,#137716,.T.); #204807=EDGE_CURVE('',#163659,#163659,#137717,.T.); #204808=EDGE_CURVE('',#163659,#163660,#88951,.T.); #204809=EDGE_CURVE('',#163660,#163660,#137718,.T.); #204810=EDGE_CURVE('',#163661,#163661,#137719,.T.); #204811=EDGE_CURVE('',#163661,#163662,#88952,.T.); #204812=EDGE_CURVE('',#163662,#163662,#137720,.T.); #204813=EDGE_CURVE('',#163663,#163663,#137721,.T.); #204814=EDGE_CURVE('',#163663,#163664,#88953,.T.); #204815=EDGE_CURVE('',#163664,#163664,#137722,.T.); #204816=EDGE_CURVE('',#163665,#163665,#137723,.T.); #204817=EDGE_CURVE('',#163665,#163666,#88954,.T.); #204818=EDGE_CURVE('',#163666,#163666,#137724,.T.); #204819=EDGE_CURVE('',#163667,#163667,#137725,.T.); #204820=EDGE_CURVE('',#163667,#163668,#88955,.T.); #204821=EDGE_CURVE('',#163668,#163668,#137726,.T.); #204822=EDGE_CURVE('',#163669,#163670,#88956,.T.); #204823=EDGE_CURVE('',#163670,#163671,#88957,.T.); #204824=EDGE_CURVE('',#163672,#163671,#88958,.T.); #204825=EDGE_CURVE('',#163669,#163672,#88959,.T.); #204826=EDGE_CURVE('',#163673,#163669,#88960,.T.); #204827=EDGE_CURVE('',#163674,#163672,#88961,.T.); #204828=EDGE_CURVE('',#163673,#163674,#88962,.T.); #204829=EDGE_CURVE('',#163675,#163673,#88963,.T.); #204830=EDGE_CURVE('',#163676,#163674,#88964,.T.); #204831=EDGE_CURVE('',#163675,#163676,#88965,.T.); #204832=EDGE_CURVE('',#163670,#163675,#88966,.T.); #204833=EDGE_CURVE('',#163671,#163676,#88967,.T.); #204834=EDGE_CURVE('',#163677,#163678,#88968,.T.); #204835=EDGE_CURVE('',#163678,#163679,#88969,.T.); #204836=EDGE_CURVE('',#163680,#163679,#88970,.T.); #204837=EDGE_CURVE('',#163677,#163680,#88971,.T.); #204838=EDGE_CURVE('',#163681,#163677,#88972,.T.); #204839=EDGE_CURVE('',#163682,#163680,#88973,.T.); #204840=EDGE_CURVE('',#163681,#163682,#88974,.T.); #204841=EDGE_CURVE('',#163683,#163681,#88975,.T.); #204842=EDGE_CURVE('',#163684,#163682,#88976,.T.); #204843=EDGE_CURVE('',#163683,#163684,#88977,.T.); #204844=EDGE_CURVE('',#163678,#163683,#88978,.T.); #204845=EDGE_CURVE('',#163679,#163684,#88979,.T.); #204846=EDGE_CURVE('',#163685,#163686,#88980,.T.); #204847=EDGE_CURVE('',#163686,#163687,#88981,.T.); #204848=EDGE_CURVE('',#163688,#163687,#88982,.T.); #204849=EDGE_CURVE('',#163685,#163688,#88983,.T.); #204850=EDGE_CURVE('',#163689,#163685,#88984,.T.); #204851=EDGE_CURVE('',#163690,#163688,#88985,.T.); #204852=EDGE_CURVE('',#163689,#163690,#88986,.T.); #204853=EDGE_CURVE('',#163691,#163689,#88987,.T.); #204854=EDGE_CURVE('',#163692,#163690,#88988,.T.); #204855=EDGE_CURVE('',#163691,#163692,#88989,.T.); #204856=EDGE_CURVE('',#163686,#163691,#88990,.T.); #204857=EDGE_CURVE('',#163687,#163692,#88991,.T.); #204858=EDGE_CURVE('',#163693,#163694,#88992,.T.); #204859=EDGE_CURVE('',#163694,#163695,#88993,.T.); #204860=EDGE_CURVE('',#163696,#163695,#88994,.T.); #204861=EDGE_CURVE('',#163693,#163696,#88995,.T.); #204862=EDGE_CURVE('',#163697,#163693,#88996,.T.); #204863=EDGE_CURVE('',#163698,#163696,#88997,.T.); #204864=EDGE_CURVE('',#163697,#163698,#88998,.T.); #204865=EDGE_CURVE('',#163699,#163697,#88999,.T.); #204866=EDGE_CURVE('',#163700,#163698,#89000,.T.); #204867=EDGE_CURVE('',#163699,#163700,#89001,.T.); #204868=EDGE_CURVE('',#163694,#163699,#89002,.T.); #204869=EDGE_CURVE('',#163695,#163700,#89003,.T.); #204870=EDGE_CURVE('',#163701,#163701,#137727,.T.); #204871=EDGE_CURVE('',#163701,#163702,#89004,.T.); #204872=EDGE_CURVE('',#163702,#163702,#137728,.T.); #204873=EDGE_CURVE('',#163703,#163704,#89005,.T.); #204874=EDGE_CURVE('',#163704,#163705,#89006,.T.); #204875=EDGE_CURVE('',#163706,#163705,#89007,.T.); #204876=EDGE_CURVE('',#163703,#163706,#89008,.T.); #204877=EDGE_CURVE('',#163707,#163703,#89009,.T.); #204878=EDGE_CURVE('',#163708,#163706,#89010,.T.); #204879=EDGE_CURVE('',#163707,#163708,#89011,.T.); #204880=EDGE_CURVE('',#163709,#163707,#89012,.T.); #204881=EDGE_CURVE('',#163710,#163708,#89013,.T.); #204882=EDGE_CURVE('',#163709,#163710,#89014,.T.); #204883=EDGE_CURVE('',#163704,#163709,#89015,.T.); #204884=EDGE_CURVE('',#163705,#163710,#89016,.T.); #204885=EDGE_CURVE('',#163711,#163712,#89017,.T.); #204886=EDGE_CURVE('',#163712,#163713,#89018,.T.); #204887=EDGE_CURVE('',#163714,#163713,#89019,.T.); #204888=EDGE_CURVE('',#163711,#163714,#89020,.T.); #204889=EDGE_CURVE('',#163715,#163711,#89021,.T.); #204890=EDGE_CURVE('',#163716,#163714,#89022,.T.); #204891=EDGE_CURVE('',#163715,#163716,#89023,.T.); #204892=EDGE_CURVE('',#163717,#163715,#89024,.T.); #204893=EDGE_CURVE('',#163718,#163716,#89025,.T.); #204894=EDGE_CURVE('',#163717,#163718,#89026,.T.); #204895=EDGE_CURVE('',#163712,#163717,#89027,.T.); #204896=EDGE_CURVE('',#163713,#163718,#89028,.T.); #204897=EDGE_CURVE('',#163719,#163720,#89029,.T.); #204898=EDGE_CURVE('',#163720,#163721,#89030,.T.); #204899=EDGE_CURVE('',#163722,#163721,#89031,.T.); #204900=EDGE_CURVE('',#163719,#163722,#89032,.T.); #204901=EDGE_CURVE('',#163723,#163719,#89033,.T.); #204902=EDGE_CURVE('',#163724,#163722,#89034,.T.); #204903=EDGE_CURVE('',#163723,#163724,#89035,.T.); #204904=EDGE_CURVE('',#163725,#163723,#89036,.T.); #204905=EDGE_CURVE('',#163726,#163724,#89037,.T.); #204906=EDGE_CURVE('',#163725,#163726,#89038,.T.); #204907=EDGE_CURVE('',#163720,#163725,#89039,.T.); #204908=EDGE_CURVE('',#163721,#163726,#89040,.T.); #204909=EDGE_CURVE('',#163727,#163728,#89041,.T.); #204910=EDGE_CURVE('',#163728,#163729,#89042,.T.); #204911=EDGE_CURVE('',#163730,#163729,#89043,.T.); #204912=EDGE_CURVE('',#163727,#163730,#89044,.T.); #204913=EDGE_CURVE('',#163731,#163727,#89045,.T.); #204914=EDGE_CURVE('',#163732,#163730,#89046,.T.); #204915=EDGE_CURVE('',#163731,#163732,#89047,.T.); #204916=EDGE_CURVE('',#163733,#163731,#89048,.T.); #204917=EDGE_CURVE('',#163734,#163732,#89049,.T.); #204918=EDGE_CURVE('',#163733,#163734,#89050,.T.); #204919=EDGE_CURVE('',#163728,#163733,#89051,.T.); #204920=EDGE_CURVE('',#163729,#163734,#89052,.T.); #204921=EDGE_CURVE('',#163735,#163736,#89053,.T.); #204922=EDGE_CURVE('',#163736,#163737,#89054,.T.); #204923=EDGE_CURVE('',#163738,#163737,#89055,.T.); #204924=EDGE_CURVE('',#163735,#163738,#89056,.T.); #204925=EDGE_CURVE('',#163739,#163735,#89057,.T.); #204926=EDGE_CURVE('',#163740,#163738,#89058,.T.); #204927=EDGE_CURVE('',#163739,#163740,#89059,.T.); #204928=EDGE_CURVE('',#163741,#163739,#89060,.T.); #204929=EDGE_CURVE('',#163742,#163740,#89061,.T.); #204930=EDGE_CURVE('',#163741,#163742,#89062,.T.); #204931=EDGE_CURVE('',#163736,#163741,#89063,.T.); #204932=EDGE_CURVE('',#163737,#163742,#89064,.T.); #204933=EDGE_CURVE('',#163743,#163743,#137729,.T.); #204934=EDGE_CURVE('',#163743,#163744,#89065,.T.); #204935=EDGE_CURVE('',#163744,#163744,#137730,.T.); #204936=EDGE_CURVE('',#163745,#163745,#137731,.T.); #204937=EDGE_CURVE('',#163745,#163746,#89066,.T.); #204938=EDGE_CURVE('',#163746,#163746,#137732,.T.); #204939=EDGE_CURVE('',#163747,#163747,#137733,.T.); #204940=EDGE_CURVE('',#163747,#163748,#89067,.T.); #204941=EDGE_CURVE('',#163748,#163748,#137734,.T.); #204942=EDGE_CURVE('',#163749,#163749,#137735,.T.); #204943=EDGE_CURVE('',#163749,#163750,#89068,.T.); #204944=EDGE_CURVE('',#163750,#163750,#137736,.T.); #204945=EDGE_CURVE('',#163751,#163751,#137737,.T.); #204946=EDGE_CURVE('',#163751,#163752,#89069,.T.); #204947=EDGE_CURVE('',#163752,#163752,#137738,.T.); #204948=EDGE_CURVE('',#163753,#163753,#137739,.T.); #204949=EDGE_CURVE('',#163753,#163754,#89070,.T.); #204950=EDGE_CURVE('',#163754,#163754,#137740,.T.); #204951=EDGE_CURVE('',#163755,#163755,#137741,.T.); #204952=EDGE_CURVE('',#163755,#163756,#89071,.T.); #204953=EDGE_CURVE('',#163756,#163756,#137742,.T.); #204954=EDGE_CURVE('',#163757,#163757,#137743,.T.); #204955=EDGE_CURVE('',#163757,#163758,#89072,.T.); #204956=EDGE_CURVE('',#163758,#163758,#137744,.T.); #204957=EDGE_CURVE('',#163759,#163759,#137745,.T.); #204958=EDGE_CURVE('',#163759,#163760,#89073,.T.); #204959=EDGE_CURVE('',#163760,#163760,#137746,.T.); #204960=EDGE_CURVE('',#163761,#163761,#137747,.T.); #204961=EDGE_CURVE('',#163761,#163762,#89074,.T.); #204962=EDGE_CURVE('',#163762,#163762,#137748,.T.); #204963=EDGE_CURVE('',#163763,#163763,#137749,.T.); #204964=EDGE_CURVE('',#163763,#163764,#89075,.T.); #204965=EDGE_CURVE('',#163764,#163764,#137750,.T.); #204966=EDGE_CURVE('',#163765,#163765,#137751,.T.); #204967=EDGE_CURVE('',#163765,#163766,#89076,.T.); #204968=EDGE_CURVE('',#163766,#163766,#137752,.T.); #204969=EDGE_CURVE('',#163767,#163767,#137753,.T.); #204970=EDGE_CURVE('',#163767,#163768,#89077,.T.); #204971=EDGE_CURVE('',#163768,#163768,#137754,.T.); #204972=EDGE_CURVE('',#163769,#163769,#137755,.T.); #204973=EDGE_CURVE('',#163769,#163770,#89078,.T.); #204974=EDGE_CURVE('',#163770,#163770,#137756,.T.); #204975=EDGE_CURVE('',#163771,#163771,#137757,.T.); #204976=EDGE_CURVE('',#163771,#163772,#89079,.T.); #204977=EDGE_CURVE('',#163772,#163772,#137758,.T.); #204978=EDGE_CURVE('',#163773,#163774,#89080,.T.); #204979=EDGE_CURVE('',#163774,#163775,#89081,.T.); #204980=EDGE_CURVE('',#163776,#163775,#89082,.T.); #204981=EDGE_CURVE('',#163773,#163776,#89083,.T.); #204982=EDGE_CURVE('',#163777,#163773,#89084,.T.); #204983=EDGE_CURVE('',#163778,#163776,#89085,.T.); #204984=EDGE_CURVE('',#163777,#163778,#89086,.T.); #204985=EDGE_CURVE('',#163779,#163777,#89087,.T.); #204986=EDGE_CURVE('',#163780,#163778,#89088,.T.); #204987=EDGE_CURVE('',#163779,#163780,#89089,.T.); #204988=EDGE_CURVE('',#163774,#163779,#89090,.T.); #204989=EDGE_CURVE('',#163775,#163780,#89091,.T.); #204990=EDGE_CURVE('',#163781,#163782,#89092,.T.); #204991=EDGE_CURVE('',#163782,#163783,#89093,.T.); #204992=EDGE_CURVE('',#163784,#163783,#89094,.T.); #204993=EDGE_CURVE('',#163781,#163784,#89095,.T.); #204994=EDGE_CURVE('',#163785,#163781,#89096,.T.); #204995=EDGE_CURVE('',#163786,#163784,#89097,.T.); #204996=EDGE_CURVE('',#163785,#163786,#89098,.T.); #204997=EDGE_CURVE('',#163787,#163785,#89099,.T.); #204998=EDGE_CURVE('',#163788,#163786,#89100,.T.); #204999=EDGE_CURVE('',#163787,#163788,#89101,.T.); #205000=EDGE_CURVE('',#163782,#163787,#89102,.T.); #205001=EDGE_CURVE('',#163783,#163788,#89103,.T.); #205002=EDGE_CURVE('',#163789,#163790,#89104,.T.); #205003=EDGE_CURVE('',#163790,#163791,#89105,.T.); #205004=EDGE_CURVE('',#163792,#163791,#89106,.T.); #205005=EDGE_CURVE('',#163789,#163792,#89107,.T.); #205006=EDGE_CURVE('',#163793,#163789,#89108,.T.); #205007=EDGE_CURVE('',#163794,#163792,#89109,.T.); #205008=EDGE_CURVE('',#163793,#163794,#89110,.T.); #205009=EDGE_CURVE('',#163795,#163793,#89111,.T.); #205010=EDGE_CURVE('',#163796,#163794,#89112,.T.); #205011=EDGE_CURVE('',#163795,#163796,#89113,.T.); #205012=EDGE_CURVE('',#163790,#163795,#89114,.T.); #205013=EDGE_CURVE('',#163791,#163796,#89115,.T.); #205014=EDGE_CURVE('',#163797,#163798,#89116,.T.); #205015=EDGE_CURVE('',#163798,#163799,#89117,.T.); #205016=EDGE_CURVE('',#163800,#163799,#89118,.T.); #205017=EDGE_CURVE('',#163797,#163800,#89119,.T.); #205018=EDGE_CURVE('',#163801,#163797,#89120,.T.); #205019=EDGE_CURVE('',#163802,#163800,#89121,.T.); #205020=EDGE_CURVE('',#163801,#163802,#89122,.T.); #205021=EDGE_CURVE('',#163803,#163801,#89123,.T.); #205022=EDGE_CURVE('',#163804,#163802,#89124,.T.); #205023=EDGE_CURVE('',#163803,#163804,#89125,.T.); #205024=EDGE_CURVE('',#163798,#163803,#89126,.T.); #205025=EDGE_CURVE('',#163799,#163804,#89127,.T.); #205026=EDGE_CURVE('',#163805,#163805,#137759,.T.); #205027=EDGE_CURVE('',#163805,#163806,#89128,.T.); #205028=EDGE_CURVE('',#163806,#163806,#137760,.T.); #205029=EDGE_CURVE('',#163807,#163808,#89129,.T.); #205030=EDGE_CURVE('',#163808,#163809,#89130,.T.); #205031=EDGE_CURVE('',#163810,#163809,#89131,.T.); #205032=EDGE_CURVE('',#163807,#163810,#89132,.T.); #205033=EDGE_CURVE('',#163811,#163807,#89133,.T.); #205034=EDGE_CURVE('',#163812,#163810,#89134,.T.); #205035=EDGE_CURVE('',#163811,#163812,#89135,.T.); #205036=EDGE_CURVE('',#163813,#163811,#89136,.T.); #205037=EDGE_CURVE('',#163814,#163812,#89137,.T.); #205038=EDGE_CURVE('',#163813,#163814,#89138,.T.); #205039=EDGE_CURVE('',#163808,#163813,#89139,.T.); #205040=EDGE_CURVE('',#163809,#163814,#89140,.T.); #205041=EDGE_CURVE('',#163815,#163815,#137761,.T.); #205042=EDGE_CURVE('',#163815,#163816,#89141,.T.); #205043=EDGE_CURVE('',#163816,#163816,#137762,.T.); #205044=EDGE_CURVE('',#163817,#163818,#89142,.T.); #205045=EDGE_CURVE('',#163818,#163819,#89143,.T.); #205046=EDGE_CURVE('',#163820,#163819,#89144,.T.); #205047=EDGE_CURVE('',#163817,#163820,#89145,.T.); #205048=EDGE_CURVE('',#163821,#163817,#89146,.T.); #205049=EDGE_CURVE('',#163822,#163820,#89147,.T.); #205050=EDGE_CURVE('',#163821,#163822,#89148,.T.); #205051=EDGE_CURVE('',#163823,#163821,#89149,.T.); #205052=EDGE_CURVE('',#163824,#163822,#89150,.T.); #205053=EDGE_CURVE('',#163823,#163824,#89151,.T.); #205054=EDGE_CURVE('',#163818,#163823,#89152,.T.); #205055=EDGE_CURVE('',#163819,#163824,#89153,.T.); #205056=EDGE_CURVE('',#163825,#163825,#137763,.T.); #205057=EDGE_CURVE('',#163825,#163826,#89154,.T.); #205058=EDGE_CURVE('',#163826,#163826,#137764,.T.); #205059=EDGE_CURVE('',#163827,#163828,#89155,.T.); #205060=EDGE_CURVE('',#163828,#163829,#89156,.T.); #205061=EDGE_CURVE('',#163830,#163829,#89157,.T.); #205062=EDGE_CURVE('',#163827,#163830,#89158,.T.); #205063=EDGE_CURVE('',#163831,#163827,#89159,.T.); #205064=EDGE_CURVE('',#163832,#163830,#89160,.T.); #205065=EDGE_CURVE('',#163831,#163832,#89161,.T.); #205066=EDGE_CURVE('',#163833,#163831,#89162,.T.); #205067=EDGE_CURVE('',#163834,#163832,#89163,.T.); #205068=EDGE_CURVE('',#163833,#163834,#89164,.T.); #205069=EDGE_CURVE('',#163828,#163833,#89165,.T.); #205070=EDGE_CURVE('',#163829,#163834,#89166,.T.); #205071=EDGE_CURVE('',#163835,#163835,#137765,.T.); #205072=EDGE_CURVE('',#163835,#163836,#89167,.T.); #205073=EDGE_CURVE('',#163836,#163836,#137766,.T.); #205074=EDGE_CURVE('',#163837,#163837,#137767,.T.); #205075=EDGE_CURVE('',#163837,#163838,#89168,.T.); #205076=EDGE_CURVE('',#163838,#163838,#137768,.T.); #205077=EDGE_CURVE('',#163839,#163839,#137769,.T.); #205078=EDGE_CURVE('',#163839,#163840,#89169,.T.); #205079=EDGE_CURVE('',#163840,#163840,#137770,.T.); #205080=EDGE_CURVE('',#163841,#163841,#137771,.T.); #205081=EDGE_CURVE('',#163841,#163842,#89170,.T.); #205082=EDGE_CURVE('',#163842,#163842,#137772,.T.); #205083=EDGE_CURVE('',#163843,#163843,#137773,.T.); #205084=EDGE_CURVE('',#163843,#163844,#89171,.T.); #205085=EDGE_CURVE('',#163844,#163844,#137774,.T.); #205086=EDGE_CURVE('',#163845,#163845,#137775,.T.); #205087=EDGE_CURVE('',#163845,#163846,#89172,.T.); #205088=EDGE_CURVE('',#163846,#163846,#137776,.T.); #205089=EDGE_CURVE('',#163847,#163847,#137777,.T.); #205090=EDGE_CURVE('',#163847,#163848,#89173,.T.); #205091=EDGE_CURVE('',#163848,#163848,#137778,.T.); #205092=EDGE_CURVE('',#163849,#163849,#137779,.T.); #205093=EDGE_CURVE('',#163849,#163850,#89174,.T.); #205094=EDGE_CURVE('',#163850,#163850,#137780,.T.); #205095=EDGE_CURVE('',#163851,#163851,#137781,.T.); #205096=EDGE_CURVE('',#163851,#163852,#89175,.T.); #205097=EDGE_CURVE('',#163852,#163852,#137782,.T.); #205098=EDGE_CURVE('',#163853,#163853,#137783,.T.); #205099=EDGE_CURVE('',#163853,#163854,#89176,.T.); #205100=EDGE_CURVE('',#163854,#163854,#137784,.T.); #205101=EDGE_CURVE('',#163855,#163855,#137785,.T.); #205102=EDGE_CURVE('',#163855,#163856,#89177,.T.); #205103=EDGE_CURVE('',#163856,#163856,#137786,.T.); #205104=EDGE_CURVE('',#163857,#163857,#137787,.T.); #205105=EDGE_CURVE('',#163857,#163858,#89178,.T.); #205106=EDGE_CURVE('',#163858,#163858,#137788,.T.); #205107=EDGE_CURVE('',#163859,#163859,#137789,.T.); #205108=EDGE_CURVE('',#163859,#163860,#89179,.T.); #205109=EDGE_CURVE('',#163860,#163860,#137790,.T.); #205110=EDGE_CURVE('',#163861,#163861,#137791,.T.); #205111=EDGE_CURVE('',#163861,#163862,#89180,.T.); #205112=EDGE_CURVE('',#163862,#163862,#137792,.T.); #205113=EDGE_CURVE('',#163863,#163863,#137793,.T.); #205114=EDGE_CURVE('',#163863,#163864,#89181,.T.); #205115=EDGE_CURVE('',#163864,#163864,#137794,.T.); #205116=EDGE_CURVE('',#163865,#163866,#89182,.T.); #205117=EDGE_CURVE('',#163866,#163867,#89183,.T.); #205118=EDGE_CURVE('',#163868,#163867,#89184,.T.); #205119=EDGE_CURVE('',#163865,#163868,#89185,.T.); #205120=EDGE_CURVE('',#163869,#163865,#89186,.T.); #205121=EDGE_CURVE('',#163870,#163868,#89187,.T.); #205122=EDGE_CURVE('',#163869,#163870,#89188,.T.); #205123=EDGE_CURVE('',#163871,#163869,#89189,.T.); #205124=EDGE_CURVE('',#163872,#163870,#89190,.T.); #205125=EDGE_CURVE('',#163871,#163872,#89191,.T.); #205126=EDGE_CURVE('',#163866,#163871,#89192,.T.); #205127=EDGE_CURVE('',#163867,#163872,#89193,.T.); #205128=EDGE_CURVE('',#163873,#163874,#89194,.T.); #205129=EDGE_CURVE('',#163874,#163875,#89195,.T.); #205130=EDGE_CURVE('',#163876,#163875,#89196,.T.); #205131=EDGE_CURVE('',#163873,#163876,#89197,.T.); #205132=EDGE_CURVE('',#163877,#163873,#89198,.T.); #205133=EDGE_CURVE('',#163878,#163876,#89199,.T.); #205134=EDGE_CURVE('',#163877,#163878,#89200,.T.); #205135=EDGE_CURVE('',#163879,#163877,#89201,.T.); #205136=EDGE_CURVE('',#163880,#163878,#89202,.T.); #205137=EDGE_CURVE('',#163879,#163880,#89203,.T.); #205138=EDGE_CURVE('',#163874,#163879,#89204,.T.); #205139=EDGE_CURVE('',#163875,#163880,#89205,.T.); #205140=EDGE_CURVE('',#163881,#163882,#89206,.T.); #205141=EDGE_CURVE('',#163882,#163883,#89207,.T.); #205142=EDGE_CURVE('',#163884,#163883,#89208,.T.); #205143=EDGE_CURVE('',#163881,#163884,#89209,.T.); #205144=EDGE_CURVE('',#163885,#163881,#89210,.T.); #205145=EDGE_CURVE('',#163886,#163884,#89211,.T.); #205146=EDGE_CURVE('',#163885,#163886,#89212,.T.); #205147=EDGE_CURVE('',#163887,#163885,#89213,.T.); #205148=EDGE_CURVE('',#163888,#163886,#89214,.T.); #205149=EDGE_CURVE('',#163887,#163888,#89215,.T.); #205150=EDGE_CURVE('',#163882,#163887,#89216,.T.); #205151=EDGE_CURVE('',#163883,#163888,#89217,.T.); #205152=EDGE_CURVE('',#163889,#163890,#89218,.T.); #205153=EDGE_CURVE('',#163890,#163891,#89219,.T.); #205154=EDGE_CURVE('',#163892,#163891,#89220,.T.); #205155=EDGE_CURVE('',#163889,#163892,#89221,.T.); #205156=EDGE_CURVE('',#163893,#163889,#89222,.T.); #205157=EDGE_CURVE('',#163894,#163892,#89223,.T.); #205158=EDGE_CURVE('',#163893,#163894,#89224,.T.); #205159=EDGE_CURVE('',#163895,#163893,#89225,.T.); #205160=EDGE_CURVE('',#163896,#163894,#89226,.T.); #205161=EDGE_CURVE('',#163895,#163896,#89227,.T.); #205162=EDGE_CURVE('',#163890,#163895,#89228,.T.); #205163=EDGE_CURVE('',#163891,#163896,#89229,.T.); #205164=EDGE_CURVE('',#163897,#163898,#89230,.T.); #205165=EDGE_CURVE('',#163898,#163899,#89231,.T.); #205166=EDGE_CURVE('',#163900,#163899,#89232,.T.); #205167=EDGE_CURVE('',#163897,#163900,#89233,.T.); #205168=EDGE_CURVE('',#163901,#163897,#89234,.T.); #205169=EDGE_CURVE('',#163902,#163900,#89235,.T.); #205170=EDGE_CURVE('',#163901,#163902,#89236,.T.); #205171=EDGE_CURVE('',#163903,#163901,#89237,.T.); #205172=EDGE_CURVE('',#163904,#163902,#89238,.T.); #205173=EDGE_CURVE('',#163903,#163904,#89239,.T.); #205174=EDGE_CURVE('',#163898,#163903,#89240,.T.); #205175=EDGE_CURVE('',#163899,#163904,#89241,.T.); #205176=EDGE_CURVE('',#163905,#163906,#89242,.T.); #205177=EDGE_CURVE('',#163906,#163907,#89243,.T.); #205178=EDGE_CURVE('',#163908,#163907,#89244,.T.); #205179=EDGE_CURVE('',#163905,#163908,#89245,.T.); #205180=EDGE_CURVE('',#163909,#163905,#89246,.T.); #205181=EDGE_CURVE('',#163910,#163908,#89247,.T.); #205182=EDGE_CURVE('',#163909,#163910,#89248,.T.); #205183=EDGE_CURVE('',#163911,#163909,#89249,.T.); #205184=EDGE_CURVE('',#163912,#163910,#89250,.T.); #205185=EDGE_CURVE('',#163911,#163912,#89251,.T.); #205186=EDGE_CURVE('',#163906,#163911,#89252,.T.); #205187=EDGE_CURVE('',#163907,#163912,#89253,.T.); #205188=EDGE_CURVE('',#163913,#163914,#89254,.T.); #205189=EDGE_CURVE('',#163914,#163915,#89255,.T.); #205190=EDGE_CURVE('',#163916,#163915,#89256,.T.); #205191=EDGE_CURVE('',#163913,#163916,#89257,.T.); #205192=EDGE_CURVE('',#163917,#163913,#89258,.T.); #205193=EDGE_CURVE('',#163918,#163916,#89259,.T.); #205194=EDGE_CURVE('',#163917,#163918,#89260,.T.); #205195=EDGE_CURVE('',#163919,#163917,#89261,.T.); #205196=EDGE_CURVE('',#163920,#163918,#89262,.T.); #205197=EDGE_CURVE('',#163919,#163920,#89263,.T.); #205198=EDGE_CURVE('',#163914,#163919,#89264,.T.); #205199=EDGE_CURVE('',#163915,#163920,#89265,.T.); #205200=EDGE_CURVE('',#163921,#163922,#89266,.T.); #205201=EDGE_CURVE('',#163922,#163923,#89267,.T.); #205202=EDGE_CURVE('',#163924,#163923,#89268,.T.); #205203=EDGE_CURVE('',#163921,#163924,#89269,.T.); #205204=EDGE_CURVE('',#163925,#163921,#89270,.T.); #205205=EDGE_CURVE('',#163926,#163924,#89271,.T.); #205206=EDGE_CURVE('',#163925,#163926,#89272,.T.); #205207=EDGE_CURVE('',#163927,#163925,#89273,.T.); #205208=EDGE_CURVE('',#163928,#163926,#89274,.T.); #205209=EDGE_CURVE('',#163927,#163928,#89275,.T.); #205210=EDGE_CURVE('',#163922,#163927,#89276,.T.); #205211=EDGE_CURVE('',#163923,#163928,#89277,.T.); #205212=EDGE_CURVE('',#163929,#163930,#89278,.T.); #205213=EDGE_CURVE('',#163930,#163931,#89279,.T.); #205214=EDGE_CURVE('',#163932,#163931,#89280,.T.); #205215=EDGE_CURVE('',#163929,#163932,#89281,.T.); #205216=EDGE_CURVE('',#163933,#163929,#89282,.T.); #205217=EDGE_CURVE('',#163934,#163932,#89283,.T.); #205218=EDGE_CURVE('',#163933,#163934,#89284,.T.); #205219=EDGE_CURVE('',#163935,#163933,#89285,.T.); #205220=EDGE_CURVE('',#163936,#163934,#89286,.T.); #205221=EDGE_CURVE('',#163935,#163936,#89287,.T.); #205222=EDGE_CURVE('',#163930,#163935,#89288,.T.); #205223=EDGE_CURVE('',#163931,#163936,#89289,.T.); #205224=EDGE_CURVE('',#163937,#163938,#89290,.T.); #205225=EDGE_CURVE('',#163938,#163939,#89291,.T.); #205226=EDGE_CURVE('',#163940,#163939,#89292,.T.); #205227=EDGE_CURVE('',#163937,#163940,#89293,.T.); #205228=EDGE_CURVE('',#163941,#163937,#89294,.T.); #205229=EDGE_CURVE('',#163942,#163940,#89295,.T.); #205230=EDGE_CURVE('',#163941,#163942,#89296,.T.); #205231=EDGE_CURVE('',#163943,#163941,#89297,.T.); #205232=EDGE_CURVE('',#163944,#163942,#89298,.T.); #205233=EDGE_CURVE('',#163943,#163944,#89299,.T.); #205234=EDGE_CURVE('',#163938,#163943,#89300,.T.); #205235=EDGE_CURVE('',#163939,#163944,#89301,.T.); #205236=EDGE_CURVE('',#163945,#163946,#89302,.T.); #205237=EDGE_CURVE('',#163946,#163947,#89303,.T.); #205238=EDGE_CURVE('',#163948,#163947,#89304,.T.); #205239=EDGE_CURVE('',#163945,#163948,#89305,.T.); #205240=EDGE_CURVE('',#163949,#163945,#89306,.T.); #205241=EDGE_CURVE('',#163950,#163948,#89307,.T.); #205242=EDGE_CURVE('',#163949,#163950,#89308,.T.); #205243=EDGE_CURVE('',#163951,#163949,#89309,.T.); #205244=EDGE_CURVE('',#163952,#163950,#89310,.T.); #205245=EDGE_CURVE('',#163951,#163952,#89311,.T.); #205246=EDGE_CURVE('',#163946,#163951,#89312,.T.); #205247=EDGE_CURVE('',#163947,#163952,#89313,.T.); #205248=EDGE_CURVE('',#163953,#163954,#89314,.T.); #205249=EDGE_CURVE('',#163954,#163955,#89315,.T.); #205250=EDGE_CURVE('',#163956,#163955,#89316,.T.); #205251=EDGE_CURVE('',#163953,#163956,#89317,.T.); #205252=EDGE_CURVE('',#163957,#163953,#89318,.T.); #205253=EDGE_CURVE('',#163958,#163956,#89319,.T.); #205254=EDGE_CURVE('',#163957,#163958,#89320,.T.); #205255=EDGE_CURVE('',#163959,#163957,#89321,.T.); #205256=EDGE_CURVE('',#163960,#163958,#89322,.T.); #205257=EDGE_CURVE('',#163959,#163960,#89323,.T.); #205258=EDGE_CURVE('',#163954,#163959,#89324,.T.); #205259=EDGE_CURVE('',#163955,#163960,#89325,.T.); #205260=EDGE_CURVE('',#163961,#163962,#89326,.T.); #205261=EDGE_CURVE('',#163962,#163963,#89327,.T.); #205262=EDGE_CURVE('',#163964,#163963,#89328,.T.); #205263=EDGE_CURVE('',#163961,#163964,#89329,.T.); #205264=EDGE_CURVE('',#163965,#163961,#89330,.T.); #205265=EDGE_CURVE('',#163966,#163964,#89331,.T.); #205266=EDGE_CURVE('',#163965,#163966,#89332,.T.); #205267=EDGE_CURVE('',#163967,#163965,#89333,.T.); #205268=EDGE_CURVE('',#163968,#163966,#89334,.T.); #205269=EDGE_CURVE('',#163967,#163968,#89335,.T.); #205270=EDGE_CURVE('',#163962,#163967,#89336,.T.); #205271=EDGE_CURVE('',#163963,#163968,#89337,.T.); #205272=EDGE_CURVE('',#163969,#163970,#89338,.T.); #205273=EDGE_CURVE('',#163970,#163971,#89339,.T.); #205274=EDGE_CURVE('',#163972,#163971,#89340,.T.); #205275=EDGE_CURVE('',#163969,#163972,#89341,.T.); #205276=EDGE_CURVE('',#163973,#163969,#89342,.T.); #205277=EDGE_CURVE('',#163974,#163972,#89343,.T.); #205278=EDGE_CURVE('',#163973,#163974,#89344,.T.); #205279=EDGE_CURVE('',#163975,#163973,#89345,.T.); #205280=EDGE_CURVE('',#163976,#163974,#89346,.T.); #205281=EDGE_CURVE('',#163975,#163976,#89347,.T.); #205282=EDGE_CURVE('',#163970,#163975,#89348,.T.); #205283=EDGE_CURVE('',#163971,#163976,#89349,.T.); #205284=EDGE_CURVE('',#163977,#163978,#89350,.T.); #205285=EDGE_CURVE('',#163978,#163979,#89351,.T.); #205286=EDGE_CURVE('',#163980,#163979,#89352,.T.); #205287=EDGE_CURVE('',#163977,#163980,#89353,.T.); #205288=EDGE_CURVE('',#163981,#163977,#89354,.T.); #205289=EDGE_CURVE('',#163982,#163980,#89355,.T.); #205290=EDGE_CURVE('',#163981,#163982,#89356,.T.); #205291=EDGE_CURVE('',#163983,#163981,#89357,.T.); #205292=EDGE_CURVE('',#163984,#163982,#89358,.T.); #205293=EDGE_CURVE('',#163983,#163984,#89359,.T.); #205294=EDGE_CURVE('',#163978,#163983,#89360,.T.); #205295=EDGE_CURVE('',#163979,#163984,#89361,.T.); #205296=EDGE_CURVE('',#163985,#163986,#89362,.T.); #205297=EDGE_CURVE('',#163986,#163987,#89363,.T.); #205298=EDGE_CURVE('',#163988,#163987,#89364,.T.); #205299=EDGE_CURVE('',#163985,#163988,#89365,.T.); #205300=EDGE_CURVE('',#163989,#163985,#89366,.T.); #205301=EDGE_CURVE('',#163990,#163988,#89367,.T.); #205302=EDGE_CURVE('',#163989,#163990,#89368,.T.); #205303=EDGE_CURVE('',#163991,#163989,#89369,.T.); #205304=EDGE_CURVE('',#163992,#163990,#89370,.T.); #205305=EDGE_CURVE('',#163991,#163992,#89371,.T.); #205306=EDGE_CURVE('',#163986,#163991,#89372,.T.); #205307=EDGE_CURVE('',#163987,#163992,#89373,.T.); #205308=EDGE_CURVE('',#163993,#163994,#89374,.T.); #205309=EDGE_CURVE('',#163994,#163995,#89375,.T.); #205310=EDGE_CURVE('',#163996,#163995,#89376,.T.); #205311=EDGE_CURVE('',#163993,#163996,#89377,.T.); #205312=EDGE_CURVE('',#163997,#163993,#89378,.T.); #205313=EDGE_CURVE('',#163998,#163996,#89379,.T.); #205314=EDGE_CURVE('',#163997,#163998,#89380,.T.); #205315=EDGE_CURVE('',#163999,#163997,#89381,.T.); #205316=EDGE_CURVE('',#164000,#163998,#89382,.T.); #205317=EDGE_CURVE('',#163999,#164000,#89383,.T.); #205318=EDGE_CURVE('',#163994,#163999,#89384,.T.); #205319=EDGE_CURVE('',#163995,#164000,#89385,.T.); #205320=EDGE_CURVE('',#164001,#164002,#89386,.T.); #205321=EDGE_CURVE('',#164002,#164003,#89387,.T.); #205322=EDGE_CURVE('',#164004,#164003,#89388,.T.); #205323=EDGE_CURVE('',#164001,#164004,#89389,.T.); #205324=EDGE_CURVE('',#164005,#164001,#89390,.T.); #205325=EDGE_CURVE('',#164006,#164004,#89391,.T.); #205326=EDGE_CURVE('',#164005,#164006,#89392,.T.); #205327=EDGE_CURVE('',#164007,#164005,#89393,.T.); #205328=EDGE_CURVE('',#164008,#164006,#89394,.T.); #205329=EDGE_CURVE('',#164007,#164008,#89395,.T.); #205330=EDGE_CURVE('',#164002,#164007,#89396,.T.); #205331=EDGE_CURVE('',#164003,#164008,#89397,.T.); #205332=EDGE_CURVE('',#164009,#164010,#89398,.T.); #205333=EDGE_CURVE('',#164010,#164011,#89399,.T.); #205334=EDGE_CURVE('',#164012,#164011,#89400,.T.); #205335=EDGE_CURVE('',#164009,#164012,#89401,.T.); #205336=EDGE_CURVE('',#164013,#164009,#89402,.T.); #205337=EDGE_CURVE('',#164014,#164012,#89403,.T.); #205338=EDGE_CURVE('',#164013,#164014,#89404,.T.); #205339=EDGE_CURVE('',#164015,#164013,#89405,.T.); #205340=EDGE_CURVE('',#164016,#164014,#89406,.T.); #205341=EDGE_CURVE('',#164015,#164016,#89407,.T.); #205342=EDGE_CURVE('',#164010,#164015,#89408,.T.); #205343=EDGE_CURVE('',#164011,#164016,#89409,.T.); #205344=EDGE_CURVE('',#164017,#164018,#89410,.T.); #205345=EDGE_CURVE('',#164018,#164019,#89411,.T.); #205346=EDGE_CURVE('',#164020,#164019,#89412,.T.); #205347=EDGE_CURVE('',#164017,#164020,#89413,.T.); #205348=EDGE_CURVE('',#164021,#164017,#89414,.T.); #205349=EDGE_CURVE('',#164022,#164020,#89415,.T.); #205350=EDGE_CURVE('',#164021,#164022,#89416,.T.); #205351=EDGE_CURVE('',#164023,#164021,#89417,.T.); #205352=EDGE_CURVE('',#164024,#164022,#89418,.T.); #205353=EDGE_CURVE('',#164023,#164024,#89419,.T.); #205354=EDGE_CURVE('',#164018,#164023,#89420,.T.); #205355=EDGE_CURVE('',#164019,#164024,#89421,.T.); #205356=EDGE_CURVE('',#164025,#164026,#89422,.T.); #205357=EDGE_CURVE('',#164026,#164027,#89423,.T.); #205358=EDGE_CURVE('',#164028,#164027,#89424,.T.); #205359=EDGE_CURVE('',#164025,#164028,#89425,.T.); #205360=EDGE_CURVE('',#164029,#164025,#89426,.T.); #205361=EDGE_CURVE('',#164030,#164028,#89427,.T.); #205362=EDGE_CURVE('',#164029,#164030,#89428,.T.); #205363=EDGE_CURVE('',#164031,#164029,#89429,.T.); #205364=EDGE_CURVE('',#164032,#164030,#89430,.T.); #205365=EDGE_CURVE('',#164031,#164032,#89431,.T.); #205366=EDGE_CURVE('',#164026,#164031,#89432,.T.); #205367=EDGE_CURVE('',#164027,#164032,#89433,.T.); #205368=EDGE_CURVE('',#164033,#164033,#137795,.T.); #205369=EDGE_CURVE('',#164033,#164034,#89434,.T.); #205370=EDGE_CURVE('',#164034,#164034,#137796,.T.); #205371=EDGE_CURVE('',#164035,#164035,#137797,.T.); #205372=EDGE_CURVE('',#164035,#164036,#89435,.T.); #205373=EDGE_CURVE('',#164036,#164036,#137798,.T.); #205374=EDGE_CURVE('',#164037,#164037,#137799,.T.); #205375=EDGE_CURVE('',#164037,#164038,#89436,.T.); #205376=EDGE_CURVE('',#164038,#164038,#137800,.T.); #205377=EDGE_CURVE('',#164039,#164039,#137801,.T.); #205378=EDGE_CURVE('',#164039,#164040,#89437,.T.); #205379=EDGE_CURVE('',#164040,#164040,#137802,.T.); #205380=EDGE_CURVE('',#164041,#164041,#137803,.T.); #205381=EDGE_CURVE('',#164041,#164042,#89438,.T.); #205382=EDGE_CURVE('',#164042,#164042,#137804,.T.); #205383=EDGE_CURVE('',#164043,#164043,#137805,.T.); #205384=EDGE_CURVE('',#164043,#164044,#89439,.T.); #205385=EDGE_CURVE('',#164044,#164044,#137806,.T.); #205386=EDGE_CURVE('',#164045,#164045,#137807,.T.); #205387=EDGE_CURVE('',#164045,#164046,#89440,.T.); #205388=EDGE_CURVE('',#164046,#164046,#137808,.T.); #205389=EDGE_CURVE('',#164047,#164047,#137809,.T.); #205390=EDGE_CURVE('',#164047,#164048,#89441,.T.); #205391=EDGE_CURVE('',#164048,#164048,#137810,.T.); #205392=EDGE_CURVE('',#164049,#164049,#137811,.T.); #205393=EDGE_CURVE('',#164049,#164050,#89442,.T.); #205394=EDGE_CURVE('',#164050,#164050,#137812,.T.); #205395=EDGE_CURVE('',#164051,#164051,#137813,.T.); #205396=EDGE_CURVE('',#164051,#164052,#89443,.T.); #205397=EDGE_CURVE('',#164052,#164052,#137814,.T.); #205398=EDGE_CURVE('',#164053,#164053,#137815,.T.); #205399=EDGE_CURVE('',#164053,#164054,#89444,.T.); #205400=EDGE_CURVE('',#164054,#164054,#137816,.T.); #205401=EDGE_CURVE('',#164055,#164055,#137817,.T.); #205402=EDGE_CURVE('',#164055,#164056,#89445,.T.); #205403=EDGE_CURVE('',#164056,#164056,#137818,.T.); #205404=EDGE_CURVE('',#164057,#164057,#137819,.T.); #205405=EDGE_CURVE('',#164057,#164058,#89446,.T.); #205406=EDGE_CURVE('',#164058,#164058,#137820,.T.); #205407=EDGE_CURVE('',#164059,#164059,#137821,.T.); #205408=EDGE_CURVE('',#164059,#164060,#89447,.T.); #205409=EDGE_CURVE('',#164060,#164060,#137822,.T.); #205410=EDGE_CURVE('',#164061,#164061,#137823,.T.); #205411=EDGE_CURVE('',#164061,#164062,#89448,.T.); #205412=EDGE_CURVE('',#164062,#164062,#137824,.T.); #205413=EDGE_CURVE('',#164063,#164064,#89449,.T.); #205414=EDGE_CURVE('',#164064,#164065,#89450,.T.); #205415=EDGE_CURVE('',#164066,#164065,#89451,.T.); #205416=EDGE_CURVE('',#164063,#164066,#89452,.T.); #205417=EDGE_CURVE('',#164067,#164063,#89453,.T.); #205418=EDGE_CURVE('',#164068,#164066,#89454,.T.); #205419=EDGE_CURVE('',#164067,#164068,#89455,.T.); #205420=EDGE_CURVE('',#164069,#164067,#89456,.T.); #205421=EDGE_CURVE('',#164070,#164068,#89457,.T.); #205422=EDGE_CURVE('',#164069,#164070,#89458,.T.); #205423=EDGE_CURVE('',#164064,#164069,#89459,.T.); #205424=EDGE_CURVE('',#164065,#164070,#89460,.T.); #205425=EDGE_CURVE('',#164071,#164072,#89461,.T.); #205426=EDGE_CURVE('',#164072,#164073,#89462,.T.); #205427=EDGE_CURVE('',#164074,#164073,#89463,.T.); #205428=EDGE_CURVE('',#164071,#164074,#89464,.T.); #205429=EDGE_CURVE('',#164075,#164071,#89465,.T.); #205430=EDGE_CURVE('',#164076,#164074,#89466,.T.); #205431=EDGE_CURVE('',#164075,#164076,#89467,.T.); #205432=EDGE_CURVE('',#164077,#164075,#89468,.T.); #205433=EDGE_CURVE('',#164078,#164076,#89469,.T.); #205434=EDGE_CURVE('',#164077,#164078,#89470,.T.); #205435=EDGE_CURVE('',#164072,#164077,#89471,.T.); #205436=EDGE_CURVE('',#164073,#164078,#89472,.T.); #205437=EDGE_CURVE('',#164079,#164080,#89473,.T.); #205438=EDGE_CURVE('',#164080,#164081,#89474,.T.); #205439=EDGE_CURVE('',#164082,#164081,#89475,.T.); #205440=EDGE_CURVE('',#164079,#164082,#89476,.T.); #205441=EDGE_CURVE('',#164083,#164079,#89477,.T.); #205442=EDGE_CURVE('',#164084,#164082,#89478,.T.); #205443=EDGE_CURVE('',#164083,#164084,#89479,.T.); #205444=EDGE_CURVE('',#164085,#164083,#89480,.T.); #205445=EDGE_CURVE('',#164086,#164084,#89481,.T.); #205446=EDGE_CURVE('',#164085,#164086,#89482,.T.); #205447=EDGE_CURVE('',#164080,#164085,#89483,.T.); #205448=EDGE_CURVE('',#164081,#164086,#89484,.T.); #205449=EDGE_CURVE('',#164087,#164088,#89485,.T.); #205450=EDGE_CURVE('',#164088,#164089,#89486,.T.); #205451=EDGE_CURVE('',#164090,#164089,#89487,.T.); #205452=EDGE_CURVE('',#164087,#164090,#89488,.T.); #205453=EDGE_CURVE('',#164091,#164087,#89489,.T.); #205454=EDGE_CURVE('',#164092,#164090,#89490,.T.); #205455=EDGE_CURVE('',#164091,#164092,#89491,.T.); #205456=EDGE_CURVE('',#164093,#164091,#89492,.T.); #205457=EDGE_CURVE('',#164094,#164092,#89493,.T.); #205458=EDGE_CURVE('',#164093,#164094,#89494,.T.); #205459=EDGE_CURVE('',#164088,#164093,#89495,.T.); #205460=EDGE_CURVE('',#164089,#164094,#89496,.T.); #205461=EDGE_CURVE('',#164095,#164096,#89497,.T.); #205462=EDGE_CURVE('',#164096,#164097,#89498,.T.); #205463=EDGE_CURVE('',#164098,#164097,#89499,.T.); #205464=EDGE_CURVE('',#164095,#164098,#89500,.T.); #205465=EDGE_CURVE('',#164099,#164095,#89501,.T.); #205466=EDGE_CURVE('',#164100,#164098,#89502,.T.); #205467=EDGE_CURVE('',#164099,#164100,#89503,.T.); #205468=EDGE_CURVE('',#164101,#164099,#89504,.T.); #205469=EDGE_CURVE('',#164102,#164100,#89505,.T.); #205470=EDGE_CURVE('',#164101,#164102,#89506,.T.); #205471=EDGE_CURVE('',#164096,#164101,#89507,.T.); #205472=EDGE_CURVE('',#164097,#164102,#89508,.T.); #205473=EDGE_CURVE('',#164103,#164104,#89509,.T.); #205474=EDGE_CURVE('',#164104,#164105,#89510,.T.); #205475=EDGE_CURVE('',#164106,#164105,#89511,.T.); #205476=EDGE_CURVE('',#164103,#164106,#89512,.T.); #205477=EDGE_CURVE('',#164107,#164103,#89513,.T.); #205478=EDGE_CURVE('',#164108,#164106,#89514,.T.); #205479=EDGE_CURVE('',#164107,#164108,#89515,.T.); #205480=EDGE_CURVE('',#164109,#164107,#89516,.T.); #205481=EDGE_CURVE('',#164110,#164108,#89517,.T.); #205482=EDGE_CURVE('',#164109,#164110,#89518,.T.); #205483=EDGE_CURVE('',#164104,#164109,#89519,.T.); #205484=EDGE_CURVE('',#164105,#164110,#89520,.T.); #205485=EDGE_CURVE('',#164111,#164112,#89521,.T.); #205486=EDGE_CURVE('',#164112,#164113,#89522,.T.); #205487=EDGE_CURVE('',#164114,#164113,#89523,.T.); #205488=EDGE_CURVE('',#164111,#164114,#89524,.T.); #205489=EDGE_CURVE('',#164115,#164111,#89525,.T.); #205490=EDGE_CURVE('',#164116,#164114,#89526,.T.); #205491=EDGE_CURVE('',#164115,#164116,#89527,.T.); #205492=EDGE_CURVE('',#164117,#164115,#89528,.T.); #205493=EDGE_CURVE('',#164118,#164116,#89529,.T.); #205494=EDGE_CURVE('',#164117,#164118,#89530,.T.); #205495=EDGE_CURVE('',#164112,#164117,#89531,.T.); #205496=EDGE_CURVE('',#164113,#164118,#89532,.T.); #205497=EDGE_CURVE('',#164119,#164119,#137825,.T.); #205498=EDGE_CURVE('',#164119,#164120,#89533,.T.); #205499=EDGE_CURVE('',#164120,#164120,#137826,.T.); #205500=EDGE_CURVE('',#164121,#164122,#89534,.T.); #205501=EDGE_CURVE('',#164122,#164123,#89535,.T.); #205502=EDGE_CURVE('',#164124,#164123,#89536,.T.); #205503=EDGE_CURVE('',#164121,#164124,#89537,.T.); #205504=EDGE_CURVE('',#164125,#164121,#89538,.T.); #205505=EDGE_CURVE('',#164126,#164124,#89539,.T.); #205506=EDGE_CURVE('',#164125,#164126,#89540,.T.); #205507=EDGE_CURVE('',#164127,#164125,#89541,.T.); #205508=EDGE_CURVE('',#164128,#164126,#89542,.T.); #205509=EDGE_CURVE('',#164127,#164128,#89543,.T.); #205510=EDGE_CURVE('',#164122,#164127,#89544,.T.); #205511=EDGE_CURVE('',#164123,#164128,#89545,.T.); #205512=EDGE_CURVE('',#164129,#164129,#137827,.T.); #205513=EDGE_CURVE('',#164129,#164130,#89546,.T.); #205514=EDGE_CURVE('',#164130,#164130,#137828,.T.); #205515=EDGE_CURVE('',#164131,#164131,#137829,.T.); #205516=EDGE_CURVE('',#164131,#164132,#89547,.T.); #205517=EDGE_CURVE('',#164132,#164132,#137830,.T.); #205518=EDGE_CURVE('',#164133,#164134,#89548,.T.); #205519=EDGE_CURVE('',#164134,#164135,#89549,.T.); #205520=EDGE_CURVE('',#164136,#164135,#89550,.T.); #205521=EDGE_CURVE('',#164133,#164136,#89551,.T.); #205522=EDGE_CURVE('',#164137,#164133,#89552,.T.); #205523=EDGE_CURVE('',#164138,#164136,#89553,.T.); #205524=EDGE_CURVE('',#164137,#164138,#89554,.T.); #205525=EDGE_CURVE('',#164139,#164137,#89555,.T.); #205526=EDGE_CURVE('',#164140,#164138,#89556,.T.); #205527=EDGE_CURVE('',#164139,#164140,#89557,.T.); #205528=EDGE_CURVE('',#164134,#164139,#89558,.T.); #205529=EDGE_CURVE('',#164135,#164140,#89559,.T.); #205530=EDGE_CURVE('',#164141,#164141,#137831,.T.); #205531=EDGE_CURVE('',#164141,#164142,#89560,.T.); #205532=EDGE_CURVE('',#164142,#164142,#137832,.T.); #205533=EDGE_CURVE('',#164143,#164143,#137833,.T.); #205534=EDGE_CURVE('',#164143,#164144,#89561,.T.); #205535=EDGE_CURVE('',#164144,#164144,#137834,.T.); #205536=EDGE_CURVE('',#164145,#164146,#89562,.T.); #205537=EDGE_CURVE('',#164146,#164147,#89563,.T.); #205538=EDGE_CURVE('',#164148,#164147,#89564,.T.); #205539=EDGE_CURVE('',#164145,#164148,#89565,.T.); #205540=EDGE_CURVE('',#164149,#164145,#89566,.T.); #205541=EDGE_CURVE('',#164150,#164148,#89567,.T.); #205542=EDGE_CURVE('',#164149,#164150,#89568,.T.); #205543=EDGE_CURVE('',#164151,#164149,#89569,.T.); #205544=EDGE_CURVE('',#164152,#164150,#89570,.T.); #205545=EDGE_CURVE('',#164151,#164152,#89571,.T.); #205546=EDGE_CURVE('',#164146,#164151,#89572,.T.); #205547=EDGE_CURVE('',#164147,#164152,#89573,.T.); #205548=EDGE_CURVE('',#164153,#164153,#137835,.T.); #205549=EDGE_CURVE('',#164153,#164154,#89574,.T.); #205550=EDGE_CURVE('',#164154,#164154,#137836,.T.); #205551=EDGE_CURVE('',#164155,#164156,#89575,.T.); #205552=EDGE_CURVE('',#164156,#164157,#89576,.T.); #205553=EDGE_CURVE('',#164158,#164157,#89577,.T.); #205554=EDGE_CURVE('',#164155,#164158,#89578,.T.); #205555=EDGE_CURVE('',#164159,#164155,#89579,.T.); #205556=EDGE_CURVE('',#164160,#164158,#89580,.T.); #205557=EDGE_CURVE('',#164159,#164160,#89581,.T.); #205558=EDGE_CURVE('',#164161,#164159,#89582,.T.); #205559=EDGE_CURVE('',#164162,#164160,#89583,.T.); #205560=EDGE_CURVE('',#164161,#164162,#89584,.T.); #205561=EDGE_CURVE('',#164156,#164161,#89585,.T.); #205562=EDGE_CURVE('',#164157,#164162,#89586,.T.); #205563=EDGE_CURVE('',#164163,#164163,#137837,.T.); #205564=EDGE_CURVE('',#164163,#164164,#89587,.T.); #205565=EDGE_CURVE('',#164164,#164164,#137838,.T.); #205566=EDGE_CURVE('',#164165,#164165,#137839,.T.); #205567=EDGE_CURVE('',#164165,#164166,#89588,.T.); #205568=EDGE_CURVE('',#164166,#164166,#137840,.T.); #205569=EDGE_CURVE('',#164167,#164167,#137841,.T.); #205570=EDGE_CURVE('',#164167,#164168,#89589,.T.); #205571=EDGE_CURVE('',#164168,#164168,#137842,.T.); #205572=EDGE_CURVE('',#164169,#164169,#137843,.T.); #205573=EDGE_CURVE('',#164169,#164170,#89590,.T.); #205574=EDGE_CURVE('',#164170,#164170,#137844,.T.); #205575=EDGE_CURVE('',#164171,#164171,#137845,.T.); #205576=EDGE_CURVE('',#164171,#164172,#89591,.T.); #205577=EDGE_CURVE('',#164172,#164172,#137846,.T.); #205578=EDGE_CURVE('',#164173,#164173,#137847,.T.); #205579=EDGE_CURVE('',#164173,#164174,#89592,.T.); #205580=EDGE_CURVE('',#164174,#164174,#137848,.T.); #205581=EDGE_CURVE('',#164175,#164175,#137849,.T.); #205582=EDGE_CURVE('',#164175,#164176,#89593,.T.); #205583=EDGE_CURVE('',#164176,#164176,#137850,.T.); #205584=EDGE_CURVE('',#164177,#164177,#137851,.T.); #205585=EDGE_CURVE('',#164177,#164178,#89594,.T.); #205586=EDGE_CURVE('',#164178,#164178,#137852,.T.); #205587=EDGE_CURVE('',#164179,#164179,#137853,.T.); #205588=EDGE_CURVE('',#164179,#164180,#89595,.T.); #205589=EDGE_CURVE('',#164180,#164180,#137854,.T.); #205590=EDGE_CURVE('',#164181,#164181,#137855,.T.); #205591=EDGE_CURVE('',#164181,#164182,#89596,.T.); #205592=EDGE_CURVE('',#164182,#164182,#137856,.T.); #205593=EDGE_CURVE('',#164183,#164183,#137857,.T.); #205594=EDGE_CURVE('',#164183,#164184,#89597,.T.); #205595=EDGE_CURVE('',#164184,#164184,#137858,.T.); #205596=EDGE_CURVE('',#164185,#164185,#137859,.T.); #205597=EDGE_CURVE('',#164185,#164186,#89598,.T.); #205598=EDGE_CURVE('',#164186,#164186,#137860,.T.); #205599=EDGE_CURVE('',#164187,#164187,#137861,.T.); #205600=EDGE_CURVE('',#164187,#164188,#89599,.T.); #205601=EDGE_CURVE('',#164188,#164188,#137862,.T.); #205602=EDGE_CURVE('',#164189,#164189,#137863,.T.); #205603=EDGE_CURVE('',#164189,#164190,#89600,.T.); #205604=EDGE_CURVE('',#164190,#164190,#137864,.T.); #205605=EDGE_CURVE('',#164191,#164191,#137865,.T.); #205606=EDGE_CURVE('',#164191,#164192,#89601,.T.); #205607=EDGE_CURVE('',#164192,#164192,#137866,.T.); #205608=EDGE_CURVE('',#164193,#164193,#137867,.T.); #205609=EDGE_CURVE('',#164193,#164194,#89602,.T.); #205610=EDGE_CURVE('',#164194,#164194,#137868,.T.); #205611=EDGE_CURVE('',#164195,#164195,#137869,.T.); #205612=EDGE_CURVE('',#164195,#164196,#89603,.T.); #205613=EDGE_CURVE('',#164196,#164196,#137870,.T.); #205614=EDGE_CURVE('',#164197,#164197,#137871,.T.); #205615=EDGE_CURVE('',#164197,#164198,#89604,.T.); #205616=EDGE_CURVE('',#164198,#164198,#137872,.T.); #205617=EDGE_CURVE('',#164199,#164199,#137873,.T.); #205618=EDGE_CURVE('',#164199,#164200,#89605,.T.); #205619=EDGE_CURVE('',#164200,#164200,#137874,.T.); #205620=EDGE_CURVE('',#164201,#164201,#137875,.T.); #205621=EDGE_CURVE('',#164201,#164202,#89606,.T.); #205622=EDGE_CURVE('',#164202,#164202,#137876,.T.); #205623=EDGE_CURVE('',#164203,#164203,#137877,.T.); #205624=EDGE_CURVE('',#164203,#164204,#89607,.T.); #205625=EDGE_CURVE('',#164204,#164204,#137878,.T.); #205626=EDGE_CURVE('',#164205,#164205,#137879,.T.); #205627=EDGE_CURVE('',#164205,#164206,#89608,.T.); #205628=EDGE_CURVE('',#164206,#164206,#137880,.T.); #205629=EDGE_CURVE('',#164207,#164207,#137881,.T.); #205630=EDGE_CURVE('',#164207,#164208,#89609,.T.); #205631=EDGE_CURVE('',#164208,#164208,#137882,.T.); #205632=EDGE_CURVE('',#164209,#164209,#137883,.T.); #205633=EDGE_CURVE('',#164209,#164210,#89610,.T.); #205634=EDGE_CURVE('',#164210,#164210,#137884,.T.); #205635=EDGE_CURVE('',#164211,#164211,#137885,.T.); #205636=EDGE_CURVE('',#164211,#164212,#89611,.T.); #205637=EDGE_CURVE('',#164212,#164212,#137886,.T.); #205638=EDGE_CURVE('',#164213,#164213,#137887,.T.); #205639=EDGE_CURVE('',#164213,#164214,#89612,.T.); #205640=EDGE_CURVE('',#164214,#164214,#137888,.T.); #205641=EDGE_CURVE('',#164215,#164215,#137889,.T.); #205642=EDGE_CURVE('',#164215,#164216,#89613,.T.); #205643=EDGE_CURVE('',#164216,#164216,#137890,.T.); #205644=EDGE_CURVE('',#164217,#164217,#137891,.T.); #205645=EDGE_CURVE('',#164217,#164218,#89614,.T.); #205646=EDGE_CURVE('',#164218,#164218,#137892,.T.); #205647=EDGE_CURVE('',#164219,#164219,#137893,.T.); #205648=EDGE_CURVE('',#164219,#164220,#89615,.T.); #205649=EDGE_CURVE('',#164220,#164220,#137894,.T.); #205650=EDGE_CURVE('',#164221,#164221,#137895,.T.); #205651=EDGE_CURVE('',#164221,#164222,#89616,.T.); #205652=EDGE_CURVE('',#164222,#164222,#137896,.T.); #205653=EDGE_CURVE('',#164223,#164223,#137897,.T.); #205654=EDGE_CURVE('',#164223,#164224,#89617,.T.); #205655=EDGE_CURVE('',#164224,#164224,#137898,.T.); #205656=EDGE_CURVE('',#164225,#164225,#137899,.T.); #205657=EDGE_CURVE('',#164225,#164226,#89618,.T.); #205658=EDGE_CURVE('',#164226,#164226,#137900,.T.); #205659=EDGE_CURVE('',#164227,#164227,#137901,.T.); #205660=EDGE_CURVE('',#164227,#164228,#89619,.T.); #205661=EDGE_CURVE('',#164228,#164228,#137902,.T.); #205662=EDGE_CURVE('',#164229,#164229,#137903,.T.); #205663=EDGE_CURVE('',#164229,#164230,#89620,.T.); #205664=EDGE_CURVE('',#164230,#164230,#137904,.T.); #205665=EDGE_CURVE('',#164231,#164231,#137905,.T.); #205666=EDGE_CURVE('',#164231,#164232,#89621,.T.); #205667=EDGE_CURVE('',#164232,#164232,#137906,.T.); #205668=EDGE_CURVE('',#164233,#164233,#137907,.T.); #205669=EDGE_CURVE('',#164233,#164234,#89622,.T.); #205670=EDGE_CURVE('',#164234,#164234,#137908,.T.); #205671=EDGE_CURVE('',#164235,#164235,#137909,.T.); #205672=EDGE_CURVE('',#164235,#164236,#89623,.T.); #205673=EDGE_CURVE('',#164236,#164236,#137910,.T.); #205674=EDGE_CURVE('',#164237,#164237,#137911,.T.); #205675=EDGE_CURVE('',#164237,#164238,#89624,.T.); #205676=EDGE_CURVE('',#164238,#164238,#137912,.T.); #205677=EDGE_CURVE('',#164239,#164239,#137913,.T.); #205678=EDGE_CURVE('',#164239,#164240,#89625,.T.); #205679=EDGE_CURVE('',#164240,#164240,#137914,.T.); #205680=EDGE_CURVE('',#164241,#164241,#137915,.T.); #205681=EDGE_CURVE('',#164241,#164242,#89626,.T.); #205682=EDGE_CURVE('',#164242,#164242,#137916,.T.); #205683=EDGE_CURVE('',#164243,#164243,#137917,.T.); #205684=EDGE_CURVE('',#164243,#164244,#89627,.T.); #205685=EDGE_CURVE('',#164244,#164244,#137918,.T.); #205686=EDGE_CURVE('',#164245,#164245,#137919,.T.); #205687=EDGE_CURVE('',#164245,#164246,#89628,.T.); #205688=EDGE_CURVE('',#164246,#164246,#137920,.T.); #205689=EDGE_CURVE('',#164247,#164247,#137921,.T.); #205690=EDGE_CURVE('',#164247,#164248,#89629,.T.); #205691=EDGE_CURVE('',#164248,#164248,#137922,.T.); #205692=EDGE_CURVE('',#164249,#164249,#137923,.T.); #205693=EDGE_CURVE('',#164249,#164250,#89630,.T.); #205694=EDGE_CURVE('',#164250,#164250,#137924,.T.); #205695=EDGE_CURVE('',#164251,#164251,#137925,.T.); #205696=EDGE_CURVE('',#164251,#164252,#89631,.T.); #205697=EDGE_CURVE('',#164252,#164252,#137926,.T.); #205698=EDGE_CURVE('',#164253,#164253,#137927,.T.); #205699=EDGE_CURVE('',#164253,#164254,#89632,.T.); #205700=EDGE_CURVE('',#164254,#164254,#137928,.T.); #205701=EDGE_CURVE('',#164255,#164255,#137929,.T.); #205702=EDGE_CURVE('',#164255,#164256,#89633,.T.); #205703=EDGE_CURVE('',#164256,#164256,#137930,.T.); #205704=EDGE_CURVE('',#164257,#164257,#137931,.T.); #205705=EDGE_CURVE('',#164257,#164258,#89634,.T.); #205706=EDGE_CURVE('',#164258,#164258,#137932,.T.); #205707=EDGE_CURVE('',#164259,#164259,#137933,.T.); #205708=EDGE_CURVE('',#164259,#164260,#89635,.T.); #205709=EDGE_CURVE('',#164260,#164260,#137934,.T.); #205710=EDGE_CURVE('',#164261,#164261,#137935,.T.); #205711=EDGE_CURVE('',#164261,#164262,#89636,.T.); #205712=EDGE_CURVE('',#164262,#164262,#137936,.T.); #205713=EDGE_CURVE('',#164263,#164263,#137937,.T.); #205714=EDGE_CURVE('',#164263,#164264,#89637,.T.); #205715=EDGE_CURVE('',#164264,#164264,#137938,.T.); #205716=EDGE_CURVE('',#164265,#164265,#137939,.T.); #205717=EDGE_CURVE('',#164265,#164266,#89638,.T.); #205718=EDGE_CURVE('',#164266,#164266,#137940,.T.); #205719=EDGE_CURVE('',#164267,#164267,#137941,.T.); #205720=EDGE_CURVE('',#164267,#164268,#89639,.T.); #205721=EDGE_CURVE('',#164268,#164268,#137942,.T.); #205722=EDGE_CURVE('',#164269,#164269,#137943,.T.); #205723=EDGE_CURVE('',#164269,#164270,#89640,.T.); #205724=EDGE_CURVE('',#164270,#164270,#137944,.T.); #205725=EDGE_CURVE('',#164271,#164271,#137945,.T.); #205726=EDGE_CURVE('',#164271,#164272,#89641,.T.); #205727=EDGE_CURVE('',#164272,#164272,#137946,.T.); #205728=EDGE_CURVE('',#164273,#164273,#137947,.T.); #205729=EDGE_CURVE('',#164273,#164274,#89642,.T.); #205730=EDGE_CURVE('',#164274,#164274,#137948,.T.); #205731=EDGE_CURVE('',#164275,#164275,#137949,.T.); #205732=EDGE_CURVE('',#164275,#164276,#89643,.T.); #205733=EDGE_CURVE('',#164276,#164276,#137950,.T.); #205734=EDGE_CURVE('',#164277,#164277,#137951,.T.); #205735=EDGE_CURVE('',#164277,#164278,#89644,.T.); #205736=EDGE_CURVE('',#164278,#164278,#137952,.T.); #205737=EDGE_CURVE('',#164279,#164279,#137953,.T.); #205738=EDGE_CURVE('',#164279,#164280,#89645,.T.); #205739=EDGE_CURVE('',#164280,#164280,#137954,.T.); #205740=EDGE_CURVE('',#164281,#164281,#137955,.T.); #205741=EDGE_CURVE('',#164281,#164282,#89646,.T.); #205742=EDGE_CURVE('',#164282,#164282,#137956,.T.); #205743=EDGE_CURVE('',#164283,#164283,#137957,.T.); #205744=EDGE_CURVE('',#164283,#164284,#89647,.T.); #205745=EDGE_CURVE('',#164284,#164284,#137958,.T.); #205746=EDGE_CURVE('',#164285,#164285,#137959,.T.); #205747=EDGE_CURVE('',#164285,#164286,#89648,.T.); #205748=EDGE_CURVE('',#164286,#164286,#137960,.T.); #205749=EDGE_CURVE('',#164287,#164287,#137961,.T.); #205750=EDGE_CURVE('',#164287,#164288,#89649,.T.); #205751=EDGE_CURVE('',#164288,#164288,#137962,.T.); #205752=EDGE_CURVE('',#164289,#164289,#137963,.T.); #205753=EDGE_CURVE('',#164289,#164290,#89650,.T.); #205754=EDGE_CURVE('',#164290,#164290,#137964,.T.); #205755=EDGE_CURVE('',#164291,#164291,#137965,.T.); #205756=EDGE_CURVE('',#164291,#164292,#89651,.T.); #205757=EDGE_CURVE('',#164292,#164292,#137966,.T.); #205758=EDGE_CURVE('',#164293,#164293,#137967,.T.); #205759=EDGE_CURVE('',#164293,#164294,#89652,.T.); #205760=EDGE_CURVE('',#164294,#164294,#137968,.T.); #205761=EDGE_CURVE('',#164295,#164295,#137969,.T.); #205762=EDGE_CURVE('',#164295,#164296,#89653,.T.); #205763=EDGE_CURVE('',#164296,#164296,#137970,.T.); #205764=EDGE_CURVE('',#164297,#164297,#137971,.T.); #205765=EDGE_CURVE('',#164297,#164298,#89654,.T.); #205766=EDGE_CURVE('',#164298,#164298,#137972,.T.); #205767=EDGE_CURVE('',#164299,#164299,#137973,.T.); #205768=EDGE_CURVE('',#164299,#164300,#89655,.T.); #205769=EDGE_CURVE('',#164300,#164300,#137974,.T.); #205770=EDGE_CURVE('',#164301,#164301,#137975,.T.); #205771=EDGE_CURVE('',#164301,#164302,#89656,.T.); #205772=EDGE_CURVE('',#164302,#164302,#137976,.T.); #205773=EDGE_CURVE('',#164303,#164303,#137977,.T.); #205774=EDGE_CURVE('',#164303,#164304,#89657,.T.); #205775=EDGE_CURVE('',#164304,#164304,#137978,.T.); #205776=EDGE_CURVE('',#164305,#164305,#137979,.T.); #205777=EDGE_CURVE('',#164305,#164306,#89658,.T.); #205778=EDGE_CURVE('',#164306,#164306,#137980,.T.); #205779=EDGE_CURVE('',#164307,#164307,#137981,.T.); #205780=EDGE_CURVE('',#164307,#164308,#89659,.T.); #205781=EDGE_CURVE('',#164308,#164308,#137982,.T.); #205782=EDGE_CURVE('',#164309,#164309,#137983,.T.); #205783=EDGE_CURVE('',#164309,#164310,#89660,.T.); #205784=EDGE_CURVE('',#164310,#164310,#137984,.T.); #205785=EDGE_CURVE('',#164311,#164311,#137985,.T.); #205786=EDGE_CURVE('',#164311,#164312,#89661,.T.); #205787=EDGE_CURVE('',#164312,#164312,#137986,.T.); #205788=EDGE_CURVE('',#164313,#164313,#137987,.T.); #205789=EDGE_CURVE('',#164313,#164314,#89662,.T.); #205790=EDGE_CURVE('',#164314,#164314,#137988,.T.); #205791=EDGE_CURVE('',#164315,#164315,#137989,.T.); #205792=EDGE_CURVE('',#164315,#164316,#89663,.T.); #205793=EDGE_CURVE('',#164316,#164316,#137990,.T.); #205794=EDGE_CURVE('',#164317,#164317,#137991,.T.); #205795=EDGE_CURVE('',#164317,#164318,#89664,.T.); #205796=EDGE_CURVE('',#164318,#164318,#137992,.T.); #205797=EDGE_CURVE('',#164319,#164319,#137993,.T.); #205798=EDGE_CURVE('',#164319,#164320,#89665,.T.); #205799=EDGE_CURVE('',#164320,#164320,#137994,.T.); #205800=EDGE_CURVE('',#164321,#164321,#137995,.T.); #205801=EDGE_CURVE('',#164321,#164322,#89666,.T.); #205802=EDGE_CURVE('',#164322,#164322,#137996,.T.); #205803=EDGE_CURVE('',#164323,#164323,#137997,.T.); #205804=EDGE_CURVE('',#164323,#164324,#89667,.T.); #205805=EDGE_CURVE('',#164324,#164324,#137998,.T.); #205806=EDGE_CURVE('',#164325,#164325,#137999,.T.); #205807=EDGE_CURVE('',#164325,#164326,#89668,.T.); #205808=EDGE_CURVE('',#164326,#164326,#138000,.T.); #205809=EDGE_CURVE('',#164327,#164327,#138001,.T.); #205810=EDGE_CURVE('',#164327,#164328,#89669,.T.); #205811=EDGE_CURVE('',#164328,#164328,#138002,.T.); #205812=EDGE_CURVE('',#164329,#164329,#138003,.T.); #205813=EDGE_CURVE('',#164329,#164330,#89670,.T.); #205814=EDGE_CURVE('',#164330,#164330,#138004,.T.); #205815=EDGE_CURVE('',#164331,#164331,#138005,.T.); #205816=EDGE_CURVE('',#164331,#164332,#89671,.T.); #205817=EDGE_CURVE('',#164332,#164332,#138006,.T.); #205818=EDGE_CURVE('',#164333,#164333,#138007,.T.); #205819=EDGE_CURVE('',#164333,#164334,#89672,.T.); #205820=EDGE_CURVE('',#164334,#164334,#138008,.T.); #205821=EDGE_CURVE('',#164335,#164335,#138009,.T.); #205822=EDGE_CURVE('',#164335,#164336,#89673,.T.); #205823=EDGE_CURVE('',#164336,#164336,#138010,.T.); #205824=EDGE_CURVE('',#164337,#164337,#138011,.T.); #205825=EDGE_CURVE('',#164337,#164338,#89674,.T.); #205826=EDGE_CURVE('',#164338,#164338,#138012,.T.); #205827=EDGE_CURVE('',#164339,#164339,#138013,.T.); #205828=EDGE_CURVE('',#164339,#164340,#89675,.T.); #205829=EDGE_CURVE('',#164340,#164340,#138014,.T.); #205830=EDGE_CURVE('',#164341,#164341,#138015,.T.); #205831=EDGE_CURVE('',#164341,#164342,#89676,.T.); #205832=EDGE_CURVE('',#164342,#164342,#138016,.T.); #205833=EDGE_CURVE('',#164343,#164343,#138017,.T.); #205834=EDGE_CURVE('',#164343,#164344,#89677,.T.); #205835=EDGE_CURVE('',#164344,#164344,#138018,.T.); #205836=EDGE_CURVE('',#164345,#164345,#138019,.T.); #205837=EDGE_CURVE('',#164345,#164346,#89678,.T.); #205838=EDGE_CURVE('',#164346,#164346,#138020,.T.); #205839=EDGE_CURVE('',#164347,#164347,#138021,.T.); #205840=EDGE_CURVE('',#164347,#164348,#89679,.T.); #205841=EDGE_CURVE('',#164348,#164348,#138022,.T.); #205842=EDGE_CURVE('',#164349,#164349,#138023,.T.); #205843=EDGE_CURVE('',#164349,#164350,#89680,.T.); #205844=EDGE_CURVE('',#164350,#164350,#138024,.T.); #205845=EDGE_CURVE('',#164351,#164351,#138025,.T.); #205846=EDGE_CURVE('',#164351,#164352,#89681,.T.); #205847=EDGE_CURVE('',#164352,#164352,#138026,.T.); #205848=EDGE_CURVE('',#164353,#164353,#138027,.T.); #205849=EDGE_CURVE('',#164353,#164354,#89682,.T.); #205850=EDGE_CURVE('',#164354,#164354,#138028,.T.); #205851=EDGE_CURVE('',#164355,#164355,#138029,.T.); #205852=EDGE_CURVE('',#164355,#164356,#89683,.T.); #205853=EDGE_CURVE('',#164356,#164356,#138030,.T.); #205854=EDGE_CURVE('',#164357,#164357,#138031,.T.); #205855=EDGE_CURVE('',#164357,#164358,#89684,.T.); #205856=EDGE_CURVE('',#164358,#164358,#138032,.T.); #205857=EDGE_CURVE('',#164359,#164359,#138033,.T.); #205858=EDGE_CURVE('',#164359,#164360,#89685,.T.); #205859=EDGE_CURVE('',#164360,#164360,#138034,.T.); #205860=EDGE_CURVE('',#164361,#164361,#138035,.T.); #205861=EDGE_CURVE('',#164361,#164362,#89686,.T.); #205862=EDGE_CURVE('',#164362,#164362,#138036,.T.); #205863=EDGE_CURVE('',#164363,#164363,#138037,.T.); #205864=EDGE_CURVE('',#164363,#164364,#89687,.T.); #205865=EDGE_CURVE('',#164364,#164364,#138038,.T.); #205866=EDGE_CURVE('',#164365,#164365,#138039,.T.); #205867=EDGE_CURVE('',#164365,#164366,#89688,.T.); #205868=EDGE_CURVE('',#164366,#164366,#138040,.T.); #205869=EDGE_CURVE('',#164367,#164367,#138041,.T.); #205870=EDGE_CURVE('',#164367,#164368,#89689,.T.); #205871=EDGE_CURVE('',#164368,#164368,#138042,.T.); #205872=EDGE_CURVE('',#164369,#164369,#138043,.T.); #205873=EDGE_CURVE('',#164369,#164370,#89690,.T.); #205874=EDGE_CURVE('',#164370,#164370,#138044,.T.); #205875=EDGE_CURVE('',#164371,#164371,#138045,.T.); #205876=EDGE_CURVE('',#164371,#164372,#89691,.T.); #205877=EDGE_CURVE('',#164372,#164372,#138046,.T.); #205878=EDGE_CURVE('',#164373,#164373,#138047,.T.); #205879=EDGE_CURVE('',#164373,#164374,#89692,.T.); #205880=EDGE_CURVE('',#164374,#164374,#138048,.T.); #205881=EDGE_CURVE('',#164375,#164375,#138049,.T.); #205882=EDGE_CURVE('',#164375,#164376,#89693,.T.); #205883=EDGE_CURVE('',#164376,#164376,#138050,.T.); #205884=EDGE_CURVE('',#164377,#164377,#138051,.T.); #205885=EDGE_CURVE('',#164377,#164378,#89694,.T.); #205886=EDGE_CURVE('',#164378,#164378,#138052,.T.); #205887=EDGE_CURVE('',#164379,#164379,#138053,.T.); #205888=EDGE_CURVE('',#164379,#164380,#89695,.T.); #205889=EDGE_CURVE('',#164380,#164380,#138054,.T.); #205890=EDGE_CURVE('',#164381,#164381,#138055,.T.); #205891=EDGE_CURVE('',#164381,#164382,#89696,.T.); #205892=EDGE_CURVE('',#164382,#164382,#138056,.T.); #205893=EDGE_CURVE('',#164383,#164383,#138057,.T.); #205894=EDGE_CURVE('',#164383,#164384,#89697,.T.); #205895=EDGE_CURVE('',#164384,#164384,#138058,.T.); #205896=EDGE_CURVE('',#164385,#164385,#138059,.T.); #205897=EDGE_CURVE('',#164385,#164386,#89698,.T.); #205898=EDGE_CURVE('',#164386,#164386,#138060,.T.); #205899=EDGE_CURVE('',#164387,#164387,#138061,.T.); #205900=EDGE_CURVE('',#164387,#164388,#89699,.T.); #205901=EDGE_CURVE('',#164388,#164388,#138062,.T.); #205902=EDGE_CURVE('',#164389,#164389,#138063,.T.); #205903=EDGE_CURVE('',#164389,#164390,#89700,.T.); #205904=EDGE_CURVE('',#164390,#164390,#138064,.T.); #205905=EDGE_CURVE('',#164391,#164391,#138065,.T.); #205906=EDGE_CURVE('',#164391,#164392,#89701,.T.); #205907=EDGE_CURVE('',#164392,#164392,#138066,.T.); #205908=EDGE_CURVE('',#164393,#164393,#138067,.T.); #205909=EDGE_CURVE('',#164393,#164394,#89702,.T.); #205910=EDGE_CURVE('',#164394,#164394,#138068,.T.); #205911=EDGE_CURVE('',#164395,#164395,#138069,.T.); #205912=EDGE_CURVE('',#164395,#164396,#89703,.T.); #205913=EDGE_CURVE('',#164396,#164396,#138070,.T.); #205914=EDGE_CURVE('',#164397,#164397,#138071,.T.); #205915=EDGE_CURVE('',#164397,#164398,#89704,.T.); #205916=EDGE_CURVE('',#164398,#164398,#138072,.T.); #205917=EDGE_CURVE('',#164399,#164399,#138073,.T.); #205918=EDGE_CURVE('',#164399,#164400,#89705,.T.); #205919=EDGE_CURVE('',#164400,#164400,#138074,.T.); #205920=EDGE_CURVE('',#164401,#164401,#138075,.T.); #205921=EDGE_CURVE('',#164401,#164402,#89706,.T.); #205922=EDGE_CURVE('',#164402,#164402,#138076,.T.); #205923=EDGE_CURVE('',#164403,#164403,#138077,.T.); #205924=EDGE_CURVE('',#164403,#164404,#89707,.T.); #205925=EDGE_CURVE('',#164404,#164404,#138078,.T.); #205926=EDGE_CURVE('',#164405,#164405,#138079,.T.); #205927=EDGE_CURVE('',#164405,#164406,#89708,.T.); #205928=EDGE_CURVE('',#164406,#164406,#138080,.T.); #205929=EDGE_CURVE('',#164407,#164407,#138081,.T.); #205930=EDGE_CURVE('',#164407,#164408,#89709,.T.); #205931=EDGE_CURVE('',#164408,#164408,#138082,.T.); #205932=EDGE_CURVE('',#164409,#164409,#138083,.T.); #205933=EDGE_CURVE('',#164409,#164410,#89710,.T.); #205934=EDGE_CURVE('',#164410,#164410,#138084,.T.); #205935=EDGE_CURVE('',#164411,#164411,#138085,.T.); #205936=EDGE_CURVE('',#164411,#164412,#89711,.T.); #205937=EDGE_CURVE('',#164412,#164412,#138086,.T.); #205938=EDGE_CURVE('',#164413,#164413,#138087,.T.); #205939=EDGE_CURVE('',#164413,#164414,#89712,.T.); #205940=EDGE_CURVE('',#164414,#164414,#138088,.T.); #205941=EDGE_CURVE('',#164415,#164415,#138089,.T.); #205942=EDGE_CURVE('',#164415,#164416,#89713,.T.); #205943=EDGE_CURVE('',#164416,#164416,#138090,.T.); #205944=EDGE_CURVE('',#164417,#164417,#138091,.T.); #205945=EDGE_CURVE('',#164417,#164418,#89714,.T.); #205946=EDGE_CURVE('',#164418,#164418,#138092,.T.); #205947=EDGE_CURVE('',#164419,#164419,#138093,.T.); #205948=EDGE_CURVE('',#164419,#164420,#89715,.T.); #205949=EDGE_CURVE('',#164420,#164420,#138094,.T.); #205950=EDGE_CURVE('',#164421,#164421,#138095,.T.); #205951=EDGE_CURVE('',#164421,#164422,#89716,.T.); #205952=EDGE_CURVE('',#164422,#164422,#138096,.T.); #205953=EDGE_CURVE('',#164423,#164423,#138097,.T.); #205954=EDGE_CURVE('',#164423,#164424,#89717,.T.); #205955=EDGE_CURVE('',#164424,#164424,#138098,.T.); #205956=EDGE_CURVE('',#164425,#164425,#138099,.T.); #205957=EDGE_CURVE('',#164425,#164426,#89718,.T.); #205958=EDGE_CURVE('',#164426,#164426,#138100,.T.); #205959=EDGE_CURVE('',#164427,#164427,#138101,.T.); #205960=EDGE_CURVE('',#164427,#164428,#89719,.T.); #205961=EDGE_CURVE('',#164428,#164428,#138102,.T.); #205962=EDGE_CURVE('',#164429,#164429,#138103,.T.); #205963=EDGE_CURVE('',#164429,#164430,#89720,.T.); #205964=EDGE_CURVE('',#164430,#164430,#138104,.T.); #205965=EDGE_CURVE('',#164431,#164431,#138105,.T.); #205966=EDGE_CURVE('',#164431,#164432,#89721,.T.); #205967=EDGE_CURVE('',#164432,#164432,#138106,.T.); #205968=EDGE_CURVE('',#164433,#164433,#138107,.T.); #205969=EDGE_CURVE('',#164433,#164434,#89722,.T.); #205970=EDGE_CURVE('',#164434,#164434,#138108,.T.); #205971=EDGE_CURVE('',#164435,#164435,#138109,.T.); #205972=EDGE_CURVE('',#164435,#164436,#89723,.T.); #205973=EDGE_CURVE('',#164436,#164436,#138110,.T.); #205974=EDGE_CURVE('',#164437,#164437,#138111,.T.); #205975=EDGE_CURVE('',#164437,#164438,#89724,.T.); #205976=EDGE_CURVE('',#164438,#164438,#138112,.T.); #205977=EDGE_CURVE('',#164439,#164439,#138113,.T.); #205978=EDGE_CURVE('',#164439,#164440,#89725,.T.); #205979=EDGE_CURVE('',#164440,#164440,#138114,.T.); #205980=EDGE_CURVE('',#164441,#164441,#138115,.T.); #205981=EDGE_CURVE('',#164441,#164442,#89726,.T.); #205982=EDGE_CURVE('',#164442,#164442,#138116,.T.); #205983=EDGE_CURVE('',#164443,#164443,#138117,.T.); #205984=EDGE_CURVE('',#164443,#164444,#89727,.T.); #205985=EDGE_CURVE('',#164444,#164444,#138118,.T.); #205986=EDGE_CURVE('',#164445,#164445,#138119,.T.); #205987=EDGE_CURVE('',#164445,#164446,#89728,.T.); #205988=EDGE_CURVE('',#164446,#164446,#138120,.T.); #205989=EDGE_CURVE('',#164447,#164447,#138121,.T.); #205990=EDGE_CURVE('',#164447,#164448,#89729,.T.); #205991=EDGE_CURVE('',#164448,#164448,#138122,.T.); #205992=EDGE_CURVE('',#164449,#164449,#138123,.T.); #205993=EDGE_CURVE('',#164449,#164450,#89730,.T.); #205994=EDGE_CURVE('',#164450,#164450,#138124,.T.); #205995=EDGE_CURVE('',#164451,#164451,#138125,.T.); #205996=EDGE_CURVE('',#164451,#164452,#89731,.T.); #205997=EDGE_CURVE('',#164452,#164452,#138126,.T.); #205998=EDGE_CURVE('',#164453,#164453,#138127,.T.); #205999=EDGE_CURVE('',#164453,#164454,#89732,.T.); #206000=EDGE_CURVE('',#164454,#164454,#138128,.T.); #206001=EDGE_CURVE('',#164455,#164455,#138129,.T.); #206002=EDGE_CURVE('',#164455,#164456,#89733,.T.); #206003=EDGE_CURVE('',#164456,#164456,#138130,.T.); #206004=EDGE_CURVE('',#164457,#164457,#138131,.T.); #206005=EDGE_CURVE('',#164457,#164458,#89734,.T.); #206006=EDGE_CURVE('',#164458,#164458,#138132,.T.); #206007=EDGE_CURVE('',#164459,#164459,#138133,.T.); #206008=EDGE_CURVE('',#164459,#164460,#89735,.T.); #206009=EDGE_CURVE('',#164460,#164460,#138134,.T.); #206010=EDGE_CURVE('',#164461,#164461,#138135,.T.); #206011=EDGE_CURVE('',#164461,#164462,#89736,.T.); #206012=EDGE_CURVE('',#164462,#164462,#138136,.T.); #206013=EDGE_CURVE('',#164463,#164463,#138137,.T.); #206014=EDGE_CURVE('',#164463,#164464,#89737,.T.); #206015=EDGE_CURVE('',#164464,#164464,#138138,.T.); #206016=EDGE_CURVE('',#164465,#164465,#138139,.T.); #206017=EDGE_CURVE('',#164465,#164466,#89738,.T.); #206018=EDGE_CURVE('',#164466,#164466,#138140,.T.); #206019=EDGE_CURVE('',#164467,#164467,#138141,.T.); #206020=EDGE_CURVE('',#164467,#164468,#89739,.T.); #206021=EDGE_CURVE('',#164468,#164468,#138142,.T.); #206022=EDGE_CURVE('',#164469,#164469,#138143,.T.); #206023=EDGE_CURVE('',#164469,#164470,#89740,.T.); #206024=EDGE_CURVE('',#164470,#164470,#138144,.T.); #206025=EDGE_CURVE('',#164471,#164471,#138145,.T.); #206026=EDGE_CURVE('',#164471,#164472,#89741,.T.); #206027=EDGE_CURVE('',#164472,#164472,#138146,.T.); #206028=EDGE_CURVE('',#164473,#164473,#138147,.T.); #206029=EDGE_CURVE('',#164473,#164474,#89742,.T.); #206030=EDGE_CURVE('',#164474,#164474,#138148,.T.); #206031=EDGE_CURVE('',#164475,#164475,#138149,.T.); #206032=EDGE_CURVE('',#164475,#164476,#89743,.T.); #206033=EDGE_CURVE('',#164476,#164476,#138150,.T.); #206034=EDGE_CURVE('',#164477,#164477,#138151,.T.); #206035=EDGE_CURVE('',#164477,#164478,#89744,.T.); #206036=EDGE_CURVE('',#164478,#164478,#138152,.T.); #206037=EDGE_CURVE('',#164479,#164479,#138153,.T.); #206038=EDGE_CURVE('',#164479,#164480,#89745,.T.); #206039=EDGE_CURVE('',#164480,#164480,#138154,.T.); #206040=EDGE_CURVE('',#164481,#164481,#138155,.T.); #206041=EDGE_CURVE('',#164481,#164482,#89746,.T.); #206042=EDGE_CURVE('',#164482,#164482,#138156,.T.); #206043=EDGE_CURVE('',#164483,#164483,#138157,.T.); #206044=EDGE_CURVE('',#164483,#164484,#89747,.T.); #206045=EDGE_CURVE('',#164484,#164484,#138158,.T.); #206046=EDGE_CURVE('',#164485,#164485,#138159,.T.); #206047=EDGE_CURVE('',#164485,#164486,#89748,.T.); #206048=EDGE_CURVE('',#164486,#164486,#138160,.T.); #206049=EDGE_CURVE('',#164487,#164487,#138161,.T.); #206050=EDGE_CURVE('',#164487,#164488,#89749,.T.); #206051=EDGE_CURVE('',#164488,#164488,#138162,.T.); #206052=EDGE_CURVE('',#164489,#164490,#89750,.T.); #206053=EDGE_CURVE('',#164491,#164489,#89751,.T.); #206054=EDGE_CURVE('',#164492,#164491,#89752,.T.); #206055=EDGE_CURVE('',#164492,#164490,#89753,.T.); #206056=EDGE_CURVE('',#164490,#164493,#138163,.T.); #206057=EDGE_CURVE('',#164494,#164492,#138164,.T.); #206058=EDGE_CURVE('',#164494,#164493,#89754,.T.); #206059=EDGE_CURVE('',#164493,#164495,#89755,.T.); #206060=EDGE_CURVE('',#164496,#164494,#89756,.T.); #206061=EDGE_CURVE('',#164496,#164495,#89757,.T.); #206062=EDGE_CURVE('',#164495,#164489,#138165,.T.); #206063=EDGE_CURVE('',#164491,#164496,#138166,.T.); #206064=EDGE_CURVE('',#164497,#164497,#138167,.T.); #206065=EDGE_CURVE('',#164497,#164498,#89758,.T.); #206066=EDGE_CURVE('',#164498,#164498,#138168,.T.); #206067=EDGE_CURVE('',#164499,#164500,#89759,.T.); #206068=EDGE_CURVE('',#164501,#164499,#89760,.T.); #206069=EDGE_CURVE('',#164502,#164501,#89761,.T.); #206070=EDGE_CURVE('',#164502,#164500,#89762,.T.); #206071=EDGE_CURVE('',#164500,#164503,#138169,.T.); #206072=EDGE_CURVE('',#164504,#164502,#138170,.T.); #206073=EDGE_CURVE('',#164504,#164503,#89763,.T.); #206074=EDGE_CURVE('',#164503,#164505,#89764,.T.); #206075=EDGE_CURVE('',#164506,#164504,#89765,.T.); #206076=EDGE_CURVE('',#164506,#164505,#89766,.T.); #206077=EDGE_CURVE('',#164505,#164499,#138171,.T.); #206078=EDGE_CURVE('',#164501,#164506,#138172,.T.); #206079=EDGE_CURVE('',#164507,#164507,#138173,.T.); #206080=EDGE_CURVE('',#164507,#164508,#89767,.T.); #206081=EDGE_CURVE('',#164508,#164508,#138174,.T.); #206082=EDGE_CURVE('',#164509,#164509,#138175,.T.); #206083=EDGE_CURVE('',#164509,#164510,#89768,.T.); #206084=EDGE_CURVE('',#164510,#164510,#138176,.T.); #206085=EDGE_CURVE('',#164511,#164511,#138177,.T.); #206086=EDGE_CURVE('',#164511,#164512,#89769,.T.); #206087=EDGE_CURVE('',#164512,#164512,#138178,.T.); #206088=EDGE_CURVE('',#164513,#164514,#89770,.T.); #206089=EDGE_CURVE('',#164515,#164513,#89771,.T.); #206090=EDGE_CURVE('',#164516,#164515,#89772,.T.); #206091=EDGE_CURVE('',#164516,#164514,#89773,.T.); #206092=EDGE_CURVE('',#164514,#164517,#138179,.T.); #206093=EDGE_CURVE('',#164518,#164516,#138180,.T.); #206094=EDGE_CURVE('',#164518,#164517,#89774,.T.); #206095=EDGE_CURVE('',#164517,#164519,#89775,.T.); #206096=EDGE_CURVE('',#164520,#164518,#89776,.T.); #206097=EDGE_CURVE('',#164520,#164519,#89777,.T.); #206098=EDGE_CURVE('',#164519,#164513,#138181,.T.); #206099=EDGE_CURVE('',#164515,#164520,#138182,.T.); #206100=EDGE_CURVE('',#164521,#164521,#138183,.T.); #206101=EDGE_CURVE('',#164521,#164522,#89778,.T.); #206102=EDGE_CURVE('',#164522,#164522,#138184,.T.); #206103=EDGE_CURVE('',#164523,#164523,#138185,.T.); #206104=EDGE_CURVE('',#164523,#164524,#89779,.T.); #206105=EDGE_CURVE('',#164524,#164524,#138186,.T.); #206106=EDGE_CURVE('',#164525,#164525,#138187,.T.); #206107=EDGE_CURVE('',#164525,#164526,#89780,.T.); #206108=EDGE_CURVE('',#164526,#164526,#138188,.T.); #206109=EDGE_CURVE('',#164527,#164527,#138189,.T.); #206110=EDGE_CURVE('',#164527,#164528,#89781,.T.); #206111=EDGE_CURVE('',#164528,#164528,#138190,.T.); #206112=EDGE_CURVE('',#164529,#164529,#138191,.T.); #206113=EDGE_CURVE('',#164529,#164530,#89782,.T.); #206114=EDGE_CURVE('',#164530,#164530,#138192,.T.); #206115=EDGE_CURVE('',#164531,#164531,#138193,.T.); #206116=EDGE_CURVE('',#164531,#164532,#89783,.T.); #206117=EDGE_CURVE('',#164532,#164532,#138194,.T.); #206118=EDGE_CURVE('',#164533,#164533,#138195,.T.); #206119=EDGE_CURVE('',#164533,#164534,#89784,.T.); #206120=EDGE_CURVE('',#164534,#164534,#138196,.T.); #206121=EDGE_CURVE('',#164535,#164535,#138197,.T.); #206122=EDGE_CURVE('',#164535,#164536,#89785,.T.); #206123=EDGE_CURVE('',#164536,#164536,#138198,.T.); #206124=EDGE_CURVE('',#164537,#164537,#138199,.T.); #206125=EDGE_CURVE('',#164537,#164538,#89786,.T.); #206126=EDGE_CURVE('',#164538,#164538,#138200,.T.); #206127=EDGE_CURVE('',#164539,#164539,#138201,.T.); #206128=EDGE_CURVE('',#164539,#164540,#89787,.T.); #206129=EDGE_CURVE('',#164540,#164540,#138202,.T.); #206130=EDGE_CURVE('',#164541,#164542,#89788,.T.); #206131=EDGE_CURVE('',#164543,#164541,#89789,.T.); #206132=EDGE_CURVE('',#164544,#164543,#89790,.T.); #206133=EDGE_CURVE('',#164544,#164542,#89791,.T.); #206134=EDGE_CURVE('',#164542,#164545,#138203,.T.); #206135=EDGE_CURVE('',#164546,#164544,#138204,.T.); #206136=EDGE_CURVE('',#164546,#164545,#89792,.T.); #206137=EDGE_CURVE('',#164545,#164547,#89793,.T.); #206138=EDGE_CURVE('',#164548,#164546,#89794,.T.); #206139=EDGE_CURVE('',#164548,#164547,#89795,.T.); #206140=EDGE_CURVE('',#164547,#164541,#138205,.T.); #206141=EDGE_CURVE('',#164543,#164548,#138206,.T.); #206142=EDGE_CURVE('',#164549,#164549,#138207,.T.); #206143=EDGE_CURVE('',#164549,#164550,#89796,.T.); #206144=EDGE_CURVE('',#164550,#164550,#138208,.T.); #206145=EDGE_CURVE('',#164551,#164552,#89797,.T.); #206146=EDGE_CURVE('',#164553,#164551,#89798,.T.); #206147=EDGE_CURVE('',#164554,#164553,#89799,.T.); #206148=EDGE_CURVE('',#164554,#164552,#89800,.T.); #206149=EDGE_CURVE('',#164552,#164555,#138209,.T.); #206150=EDGE_CURVE('',#164556,#164554,#138210,.T.); #206151=EDGE_CURVE('',#164556,#164555,#89801,.T.); #206152=EDGE_CURVE('',#164555,#164557,#89802,.T.); #206153=EDGE_CURVE('',#164558,#164556,#89803,.T.); #206154=EDGE_CURVE('',#164558,#164557,#89804,.T.); #206155=EDGE_CURVE('',#164557,#164551,#138211,.T.); #206156=EDGE_CURVE('',#164553,#164558,#138212,.T.); #206157=EDGE_CURVE('',#164559,#164559,#138213,.T.); #206158=EDGE_CURVE('',#164559,#164560,#89805,.T.); #206159=EDGE_CURVE('',#164560,#164560,#138214,.T.); #206160=EDGE_CURVE('',#164561,#164562,#89806,.T.); #206161=EDGE_CURVE('',#164563,#164561,#89807,.T.); #206162=EDGE_CURVE('',#164564,#164563,#89808,.T.); #206163=EDGE_CURVE('',#164564,#164562,#89809,.T.); #206164=EDGE_CURVE('',#164562,#164565,#138215,.T.); #206165=EDGE_CURVE('',#164566,#164564,#138216,.T.); #206166=EDGE_CURVE('',#164566,#164565,#89810,.T.); #206167=EDGE_CURVE('',#164565,#164567,#89811,.T.); #206168=EDGE_CURVE('',#164568,#164566,#89812,.T.); #206169=EDGE_CURVE('',#164568,#164567,#89813,.T.); #206170=EDGE_CURVE('',#164567,#164561,#138217,.T.); #206171=EDGE_CURVE('',#164563,#164568,#138218,.T.); #206172=EDGE_CURVE('',#164569,#164569,#138219,.T.); #206173=EDGE_CURVE('',#164569,#164570,#89814,.T.); #206174=EDGE_CURVE('',#164570,#164570,#138220,.T.); #206175=EDGE_CURVE('',#164571,#164571,#138221,.T.); #206176=EDGE_CURVE('',#164571,#164572,#89815,.T.); #206177=EDGE_CURVE('',#164572,#164572,#138222,.T.); #206178=EDGE_CURVE('',#164573,#164573,#138223,.T.); #206179=EDGE_CURVE('',#164573,#164574,#89816,.T.); #206180=EDGE_CURVE('',#164574,#164574,#138224,.T.); #206181=EDGE_CURVE('',#164575,#164575,#138225,.T.); #206182=EDGE_CURVE('',#164575,#164576,#89817,.T.); #206183=EDGE_CURVE('',#164576,#164576,#138226,.T.); #206184=EDGE_CURVE('',#164577,#164577,#138227,.T.); #206185=EDGE_CURVE('',#164577,#164578,#89818,.T.); #206186=EDGE_CURVE('',#164578,#164578,#138228,.T.); #206187=EDGE_CURVE('',#164579,#164579,#138229,.T.); #206188=EDGE_CURVE('',#164579,#164580,#89819,.T.); #206189=EDGE_CURVE('',#164580,#164580,#138230,.T.); #206190=EDGE_CURVE('',#164581,#164581,#138231,.T.); #206191=EDGE_CURVE('',#164581,#164582,#89820,.T.); #206192=EDGE_CURVE('',#164582,#164582,#138232,.T.); #206193=EDGE_CURVE('',#164583,#164583,#138233,.T.); #206194=EDGE_CURVE('',#164583,#164584,#89821,.T.); #206195=EDGE_CURVE('',#164584,#164584,#138234,.T.); #206196=EDGE_CURVE('',#164585,#164585,#138235,.T.); #206197=EDGE_CURVE('',#164585,#164586,#89822,.T.); #206198=EDGE_CURVE('',#164586,#164586,#138236,.T.); #206199=EDGE_CURVE('',#164587,#164587,#138237,.T.); #206200=EDGE_CURVE('',#164587,#164588,#89823,.T.); #206201=EDGE_CURVE('',#164588,#164588,#138238,.T.); #206202=EDGE_CURVE('',#164589,#164589,#138239,.T.); #206203=EDGE_CURVE('',#164589,#164590,#89824,.T.); #206204=EDGE_CURVE('',#164590,#164590,#138240,.T.); #206205=EDGE_CURVE('',#164591,#164591,#138241,.T.); #206206=EDGE_CURVE('',#164591,#164592,#89825,.T.); #206207=EDGE_CURVE('',#164592,#164592,#138242,.T.); #206208=EDGE_CURVE('',#164593,#164593,#138243,.T.); #206209=EDGE_CURVE('',#164593,#164594,#89826,.T.); #206210=EDGE_CURVE('',#164594,#164594,#138244,.T.); #206211=EDGE_CURVE('',#164595,#164595,#138245,.T.); #206212=EDGE_CURVE('',#164595,#164596,#89827,.T.); #206213=EDGE_CURVE('',#164596,#164596,#138246,.T.); #206214=EDGE_CURVE('',#164597,#164597,#138247,.T.); #206215=EDGE_CURVE('',#164597,#164598,#89828,.T.); #206216=EDGE_CURVE('',#164598,#164598,#138248,.T.); #206217=EDGE_CURVE('',#164599,#164599,#138249,.T.); #206218=EDGE_CURVE('',#164599,#164600,#89829,.T.); #206219=EDGE_CURVE('',#164600,#164600,#138250,.T.); #206220=EDGE_CURVE('',#164601,#164601,#138251,.T.); #206221=EDGE_CURVE('',#164601,#164602,#89830,.T.); #206222=EDGE_CURVE('',#164602,#164602,#138252,.T.); #206223=EDGE_CURVE('',#164603,#164603,#138253,.T.); #206224=EDGE_CURVE('',#164603,#164604,#89831,.T.); #206225=EDGE_CURVE('',#164604,#164604,#138254,.T.); #206226=EDGE_CURVE('',#164605,#164605,#138255,.T.); #206227=EDGE_CURVE('',#164605,#164606,#89832,.T.); #206228=EDGE_CURVE('',#164606,#164606,#138256,.T.); #206229=EDGE_CURVE('',#164607,#164607,#138257,.T.); #206230=EDGE_CURVE('',#164607,#164608,#89833,.T.); #206231=EDGE_CURVE('',#164608,#164608,#138258,.T.); #206232=EDGE_CURVE('',#164609,#164609,#138259,.T.); #206233=EDGE_CURVE('',#164609,#164610,#89834,.T.); #206234=EDGE_CURVE('',#164610,#164610,#138260,.T.); #206235=EDGE_CURVE('',#164611,#164611,#138261,.T.); #206236=EDGE_CURVE('',#164611,#164612,#89835,.T.); #206237=EDGE_CURVE('',#164612,#164612,#138262,.T.); #206238=EDGE_CURVE('',#164613,#164613,#138263,.T.); #206239=EDGE_CURVE('',#164613,#164614,#89836,.T.); #206240=EDGE_CURVE('',#164614,#164614,#138264,.T.); #206241=EDGE_CURVE('',#164615,#164615,#138265,.T.); #206242=EDGE_CURVE('',#164615,#164616,#89837,.T.); #206243=EDGE_CURVE('',#164616,#164616,#138266,.T.); #206244=EDGE_CURVE('',#164617,#164617,#138267,.T.); #206245=EDGE_CURVE('',#164617,#164618,#89838,.T.); #206246=EDGE_CURVE('',#164618,#164618,#138268,.T.); #206247=EDGE_CURVE('',#164619,#164619,#138269,.T.); #206248=EDGE_CURVE('',#164619,#164620,#89839,.T.); #206249=EDGE_CURVE('',#164620,#164620,#138270,.T.); #206250=EDGE_CURVE('',#164621,#164621,#138271,.T.); #206251=EDGE_CURVE('',#164621,#164622,#89840,.T.); #206252=EDGE_CURVE('',#164622,#164622,#138272,.T.); #206253=EDGE_CURVE('',#164623,#164623,#138273,.T.); #206254=EDGE_CURVE('',#164623,#164624,#89841,.T.); #206255=EDGE_CURVE('',#164624,#164624,#138274,.T.); #206256=EDGE_CURVE('',#164625,#164625,#138275,.T.); #206257=EDGE_CURVE('',#164625,#164626,#89842,.T.); #206258=EDGE_CURVE('',#164626,#164626,#138276,.T.); #206259=EDGE_CURVE('',#164627,#164627,#138277,.T.); #206260=EDGE_CURVE('',#164627,#164628,#89843,.T.); #206261=EDGE_CURVE('',#164628,#164628,#138278,.T.); #206262=EDGE_CURVE('',#164629,#164629,#138279,.T.); #206263=EDGE_CURVE('',#164629,#164630,#89844,.T.); #206264=EDGE_CURVE('',#164630,#164630,#138280,.T.); #206265=EDGE_CURVE('',#164631,#164631,#138281,.T.); #206266=EDGE_CURVE('',#164631,#164632,#89845,.T.); #206267=EDGE_CURVE('',#164632,#164632,#138282,.T.); #206268=EDGE_CURVE('',#164633,#164633,#138283,.T.); #206269=EDGE_CURVE('',#164633,#164634,#89846,.T.); #206270=EDGE_CURVE('',#164634,#164634,#138284,.T.); #206271=EDGE_CURVE('',#164635,#164635,#138285,.T.); #206272=EDGE_CURVE('',#164635,#164636,#89847,.T.); #206273=EDGE_CURVE('',#164636,#164636,#138286,.T.); #206274=EDGE_CURVE('',#164637,#164637,#138287,.T.); #206275=EDGE_CURVE('',#164637,#164638,#89848,.T.); #206276=EDGE_CURVE('',#164638,#164638,#138288,.T.); #206277=EDGE_CURVE('',#164639,#164639,#138289,.T.); #206278=EDGE_CURVE('',#164639,#164640,#89849,.T.); #206279=EDGE_CURVE('',#164640,#164640,#138290,.T.); #206280=EDGE_CURVE('',#164641,#164641,#138291,.T.); #206281=EDGE_CURVE('',#164641,#164642,#89850,.T.); #206282=EDGE_CURVE('',#164642,#164642,#138292,.T.); #206283=EDGE_CURVE('',#164643,#164643,#138293,.T.); #206284=EDGE_CURVE('',#164643,#164644,#89851,.T.); #206285=EDGE_CURVE('',#164644,#164644,#138294,.T.); #206286=EDGE_CURVE('',#164645,#164645,#138295,.T.); #206287=EDGE_CURVE('',#164645,#164646,#89852,.T.); #206288=EDGE_CURVE('',#164646,#164646,#138296,.T.); #206289=EDGE_CURVE('',#164647,#164647,#138297,.T.); #206290=EDGE_CURVE('',#164647,#164648,#89853,.T.); #206291=EDGE_CURVE('',#164648,#164648,#138298,.T.); #206292=EDGE_CURVE('',#164649,#164649,#138299,.T.); #206293=EDGE_CURVE('',#164649,#164650,#89854,.T.); #206294=EDGE_CURVE('',#164650,#164650,#138300,.T.); #206295=EDGE_CURVE('',#164651,#164651,#138301,.T.); #206296=EDGE_CURVE('',#164651,#164652,#89855,.T.); #206297=EDGE_CURVE('',#164652,#164652,#138302,.T.); #206298=EDGE_CURVE('',#164653,#164653,#138303,.T.); #206299=EDGE_CURVE('',#164653,#164654,#89856,.T.); #206300=EDGE_CURVE('',#164654,#164654,#138304,.T.); #206301=EDGE_CURVE('',#164655,#164655,#138305,.T.); #206302=EDGE_CURVE('',#164655,#164656,#89857,.T.); #206303=EDGE_CURVE('',#164656,#164656,#138306,.T.); #206304=EDGE_CURVE('',#164657,#164657,#138307,.T.); #206305=EDGE_CURVE('',#164657,#164658,#89858,.T.); #206306=EDGE_CURVE('',#164658,#164658,#138308,.T.); #206307=EDGE_CURVE('',#164659,#164659,#138309,.T.); #206308=EDGE_CURVE('',#164659,#164660,#89859,.T.); #206309=EDGE_CURVE('',#164660,#164660,#138310,.T.); #206310=EDGE_CURVE('',#164661,#164661,#138311,.T.); #206311=EDGE_CURVE('',#164661,#164662,#89860,.T.); #206312=EDGE_CURVE('',#164662,#164662,#138312,.T.); #206313=EDGE_CURVE('',#164663,#164663,#138313,.T.); #206314=EDGE_CURVE('',#164663,#164664,#89861,.T.); #206315=EDGE_CURVE('',#164664,#164664,#138314,.T.); #206316=EDGE_CURVE('',#164665,#164665,#138315,.T.); #206317=EDGE_CURVE('',#164665,#164666,#89862,.T.); #206318=EDGE_CURVE('',#164666,#164666,#138316,.T.); #206319=EDGE_CURVE('',#164667,#164667,#138317,.T.); #206320=EDGE_CURVE('',#164667,#164668,#89863,.T.); #206321=EDGE_CURVE('',#164668,#164668,#138318,.T.); #206322=EDGE_CURVE('',#164669,#164669,#138319,.T.); #206323=EDGE_CURVE('',#164669,#164670,#89864,.T.); #206324=EDGE_CURVE('',#164670,#164670,#138320,.T.); #206325=EDGE_CURVE('',#164671,#164671,#138321,.T.); #206326=EDGE_CURVE('',#164671,#164672,#89865,.T.); #206327=EDGE_CURVE('',#164672,#164672,#138322,.T.); #206328=EDGE_CURVE('',#164673,#164673,#138323,.T.); #206329=EDGE_CURVE('',#164673,#164674,#89866,.T.); #206330=EDGE_CURVE('',#164674,#164674,#138324,.T.); #206331=EDGE_CURVE('',#164675,#164675,#138325,.T.); #206332=EDGE_CURVE('',#164675,#164676,#89867,.T.); #206333=EDGE_CURVE('',#164676,#164676,#138326,.T.); #206334=EDGE_CURVE('',#164677,#164677,#138327,.T.); #206335=EDGE_CURVE('',#164677,#164678,#89868,.T.); #206336=EDGE_CURVE('',#164678,#164678,#138328,.T.); #206337=EDGE_CURVE('',#164679,#164679,#138329,.T.); #206338=EDGE_CURVE('',#164679,#164680,#89869,.T.); #206339=EDGE_CURVE('',#164680,#164680,#138330,.T.); #206340=EDGE_CURVE('',#164681,#164681,#138331,.T.); #206341=EDGE_CURVE('',#164681,#164682,#89870,.T.); #206342=EDGE_CURVE('',#164682,#164682,#138332,.T.); #206343=EDGE_CURVE('',#164683,#164683,#138333,.T.); #206344=EDGE_CURVE('',#164683,#164684,#89871,.T.); #206345=EDGE_CURVE('',#164684,#164684,#138334,.T.); #206346=EDGE_CURVE('',#164685,#164685,#138335,.T.); #206347=EDGE_CURVE('',#164685,#164686,#89872,.T.); #206348=EDGE_CURVE('',#164686,#164686,#138336,.T.); #206349=EDGE_CURVE('',#164687,#164687,#138337,.T.); #206350=EDGE_CURVE('',#164687,#164688,#89873,.T.); #206351=EDGE_CURVE('',#164688,#164688,#138338,.T.); #206352=EDGE_CURVE('',#164689,#164689,#138339,.T.); #206353=EDGE_CURVE('',#164689,#164690,#89874,.T.); #206354=EDGE_CURVE('',#164690,#164690,#138340,.T.); #206355=EDGE_CURVE('',#164691,#164691,#138341,.T.); #206356=EDGE_CURVE('',#164691,#164692,#89875,.T.); #206357=EDGE_CURVE('',#164692,#164692,#138342,.T.); #206358=EDGE_CURVE('',#164693,#164693,#138343,.T.); #206359=EDGE_CURVE('',#164693,#164694,#89876,.T.); #206360=EDGE_CURVE('',#164694,#164694,#138344,.T.); #206361=EDGE_CURVE('',#164695,#164695,#138345,.T.); #206362=EDGE_CURVE('',#164695,#164696,#89877,.T.); #206363=EDGE_CURVE('',#164696,#164696,#138346,.T.); #206364=EDGE_CURVE('',#164697,#164697,#138347,.T.); #206365=EDGE_CURVE('',#164697,#164698,#89878,.T.); #206366=EDGE_CURVE('',#164698,#164698,#138348,.T.); #206367=EDGE_CURVE('',#164699,#164699,#138349,.T.); #206368=EDGE_CURVE('',#164699,#164700,#89879,.T.); #206369=EDGE_CURVE('',#164700,#164700,#138350,.T.); #206370=EDGE_CURVE('',#164701,#164701,#138351,.T.); #206371=EDGE_CURVE('',#164701,#164702,#89880,.T.); #206372=EDGE_CURVE('',#164702,#164702,#138352,.T.); #206373=EDGE_CURVE('',#164703,#164703,#138353,.T.); #206374=EDGE_CURVE('',#164703,#164704,#89881,.T.); #206375=EDGE_CURVE('',#164704,#164704,#138354,.T.); #206376=EDGE_CURVE('',#164705,#164705,#138355,.T.); #206377=EDGE_CURVE('',#164705,#164706,#89882,.T.); #206378=EDGE_CURVE('',#164706,#164706,#138356,.T.); #206379=EDGE_CURVE('',#164707,#164707,#138357,.T.); #206380=EDGE_CURVE('',#164707,#164708,#89883,.T.); #206381=EDGE_CURVE('',#164708,#164708,#138358,.T.); #206382=EDGE_CURVE('',#164709,#164709,#138359,.T.); #206383=EDGE_CURVE('',#164709,#164710,#89884,.T.); #206384=EDGE_CURVE('',#164710,#164710,#138360,.T.); #206385=EDGE_CURVE('',#164711,#164711,#138361,.T.); #206386=EDGE_CURVE('',#164711,#164712,#89885,.T.); #206387=EDGE_CURVE('',#164712,#164712,#138362,.T.); #206388=EDGE_CURVE('',#164713,#164713,#138363,.T.); #206389=EDGE_CURVE('',#164713,#164714,#89886,.T.); #206390=EDGE_CURVE('',#164714,#164714,#138364,.T.); #206391=EDGE_CURVE('',#164715,#164715,#138365,.T.); #206392=EDGE_CURVE('',#164715,#164716,#89887,.T.); #206393=EDGE_CURVE('',#164716,#164716,#138366,.T.); #206394=EDGE_CURVE('',#164717,#164717,#138367,.T.); #206395=EDGE_CURVE('',#164717,#164718,#89888,.T.); #206396=EDGE_CURVE('',#164718,#164718,#138368,.T.); #206397=EDGE_CURVE('',#164719,#164719,#138369,.T.); #206398=EDGE_CURVE('',#164719,#164720,#89889,.T.); #206399=EDGE_CURVE('',#164720,#164720,#138370,.T.); #206400=EDGE_CURVE('',#164721,#164721,#138371,.T.); #206401=EDGE_CURVE('',#164721,#164722,#89890,.T.); #206402=EDGE_CURVE('',#164722,#164722,#138372,.T.); #206403=EDGE_CURVE('',#164723,#164723,#138373,.T.); #206404=EDGE_CURVE('',#164723,#164724,#89891,.T.); #206405=EDGE_CURVE('',#164724,#164724,#138374,.T.); #206406=EDGE_CURVE('',#164725,#164725,#138375,.T.); #206407=EDGE_CURVE('',#164725,#164726,#89892,.T.); #206408=EDGE_CURVE('',#164726,#164726,#138376,.T.); #206409=EDGE_CURVE('',#164727,#164727,#138377,.T.); #206410=EDGE_CURVE('',#164727,#164728,#89893,.T.); #206411=EDGE_CURVE('',#164728,#164728,#138378,.T.); #206412=EDGE_CURVE('',#164729,#164729,#138379,.T.); #206413=EDGE_CURVE('',#164729,#164730,#89894,.T.); #206414=EDGE_CURVE('',#164730,#164730,#138380,.T.); #206415=EDGE_CURVE('',#164731,#164731,#138381,.T.); #206416=EDGE_CURVE('',#164731,#164732,#89895,.T.); #206417=EDGE_CURVE('',#164732,#164732,#138382,.T.); #206418=EDGE_CURVE('',#164733,#164733,#138383,.T.); #206419=EDGE_CURVE('',#164733,#164734,#89896,.T.); #206420=EDGE_CURVE('',#164734,#164734,#138384,.T.); #206421=EDGE_CURVE('',#164735,#164735,#138385,.T.); #206422=EDGE_CURVE('',#164735,#164736,#89897,.T.); #206423=EDGE_CURVE('',#164736,#164736,#138386,.T.); #206424=EDGE_CURVE('',#164737,#164737,#138387,.T.); #206425=EDGE_CURVE('',#164737,#164738,#89898,.T.); #206426=EDGE_CURVE('',#164738,#164738,#138388,.T.); #206427=EDGE_CURVE('',#164739,#164739,#138389,.T.); #206428=EDGE_CURVE('',#164739,#164740,#89899,.T.); #206429=EDGE_CURVE('',#164740,#164740,#138390,.T.); #206430=EDGE_CURVE('',#164741,#164741,#138391,.T.); #206431=EDGE_CURVE('',#164741,#164742,#89900,.T.); #206432=EDGE_CURVE('',#164742,#164742,#138392,.T.); #206433=EDGE_CURVE('',#164743,#164743,#138393,.T.); #206434=EDGE_CURVE('',#164743,#164744,#89901,.T.); #206435=EDGE_CURVE('',#164744,#164744,#138394,.T.); #206436=EDGE_CURVE('',#164745,#164745,#138395,.T.); #206437=EDGE_CURVE('',#164745,#164746,#89902,.T.); #206438=EDGE_CURVE('',#164746,#164746,#138396,.T.); #206439=EDGE_CURVE('',#164747,#164747,#138397,.T.); #206440=EDGE_CURVE('',#164747,#164748,#89903,.T.); #206441=EDGE_CURVE('',#164748,#164748,#138398,.T.); #206442=EDGE_CURVE('',#164749,#164749,#138399,.T.); #206443=EDGE_CURVE('',#164749,#164750,#89904,.T.); #206444=EDGE_CURVE('',#164750,#164750,#138400,.T.); #206445=EDGE_CURVE('',#164751,#164751,#138401,.T.); #206446=EDGE_CURVE('',#164751,#164752,#89905,.T.); #206447=EDGE_CURVE('',#164752,#164752,#138402,.T.); #206448=EDGE_CURVE('',#164753,#164753,#138403,.T.); #206449=EDGE_CURVE('',#164753,#164754,#89906,.T.); #206450=EDGE_CURVE('',#164754,#164754,#138404,.T.); #206451=EDGE_CURVE('',#164755,#164755,#138405,.T.); #206452=EDGE_CURVE('',#164755,#164756,#89907,.T.); #206453=EDGE_CURVE('',#164756,#164756,#138406,.T.); #206454=EDGE_CURVE('',#164757,#164757,#138407,.T.); #206455=EDGE_CURVE('',#164757,#164758,#89908,.T.); #206456=EDGE_CURVE('',#164758,#164758,#138408,.T.); #206457=EDGE_CURVE('',#164759,#164759,#138409,.T.); #206458=EDGE_CURVE('',#164759,#164760,#89909,.T.); #206459=EDGE_CURVE('',#164760,#164760,#138410,.T.); #206460=EDGE_CURVE('',#164761,#164761,#138411,.T.); #206461=EDGE_CURVE('',#164761,#164762,#89910,.T.); #206462=EDGE_CURVE('',#164762,#164762,#138412,.T.); #206463=EDGE_CURVE('',#164763,#164763,#138413,.T.); #206464=EDGE_CURVE('',#164763,#164764,#89911,.T.); #206465=EDGE_CURVE('',#164764,#164764,#138414,.T.); #206466=EDGE_CURVE('',#164765,#164765,#138415,.T.); #206467=EDGE_CURVE('',#164765,#164766,#89912,.T.); #206468=EDGE_CURVE('',#164766,#164766,#138416,.T.); #206469=EDGE_CURVE('',#164767,#164767,#138417,.T.); #206470=EDGE_CURVE('',#164767,#164768,#89913,.T.); #206471=EDGE_CURVE('',#164768,#164768,#138418,.T.); #206472=EDGE_CURVE('',#164769,#164769,#138419,.T.); #206473=EDGE_CURVE('',#164769,#164770,#89914,.T.); #206474=EDGE_CURVE('',#164770,#164770,#138420,.T.); #206475=EDGE_CURVE('',#164771,#164771,#138421,.T.); #206476=EDGE_CURVE('',#164771,#164772,#89915,.T.); #206477=EDGE_CURVE('',#164772,#164772,#138422,.T.); #206478=EDGE_CURVE('',#164773,#164773,#138423,.T.); #206479=EDGE_CURVE('',#164773,#164774,#89916,.T.); #206480=EDGE_CURVE('',#164774,#164774,#138424,.T.); #206481=EDGE_CURVE('',#164775,#164775,#138425,.T.); #206482=EDGE_CURVE('',#164775,#164776,#89917,.T.); #206483=EDGE_CURVE('',#164776,#164776,#138426,.T.); #206484=EDGE_CURVE('',#164777,#164777,#138427,.T.); #206485=EDGE_CURVE('',#164777,#164778,#89918,.T.); #206486=EDGE_CURVE('',#164778,#164778,#138428,.T.); #206487=EDGE_CURVE('',#164779,#164779,#138429,.T.); #206488=EDGE_CURVE('',#164779,#164780,#89919,.T.); #206489=EDGE_CURVE('',#164780,#164780,#138430,.T.); #206490=EDGE_CURVE('',#164781,#164781,#138431,.T.); #206491=EDGE_CURVE('',#164781,#164782,#89920,.T.); #206492=EDGE_CURVE('',#164782,#164782,#138432,.T.); #206493=EDGE_CURVE('',#164783,#164783,#138433,.T.); #206494=EDGE_CURVE('',#164783,#164784,#89921,.T.); #206495=EDGE_CURVE('',#164784,#164784,#138434,.T.); #206496=EDGE_CURVE('',#164785,#164785,#138435,.T.); #206497=EDGE_CURVE('',#164785,#164786,#89922,.T.); #206498=EDGE_CURVE('',#164786,#164786,#138436,.T.); #206499=EDGE_CURVE('',#164787,#164787,#138437,.T.); #206500=EDGE_CURVE('',#164787,#164788,#89923,.T.); #206501=EDGE_CURVE('',#164788,#164788,#138438,.T.); #206502=EDGE_CURVE('',#164789,#164789,#138439,.T.); #206503=EDGE_CURVE('',#164789,#164790,#89924,.T.); #206504=EDGE_CURVE('',#164790,#164790,#138440,.T.); #206505=EDGE_CURVE('',#164791,#164791,#138441,.T.); #206506=EDGE_CURVE('',#164791,#164792,#89925,.T.); #206507=EDGE_CURVE('',#164792,#164792,#138442,.T.); #206508=EDGE_CURVE('',#164793,#164793,#138443,.T.); #206509=EDGE_CURVE('',#164793,#164794,#89926,.T.); #206510=EDGE_CURVE('',#164794,#164794,#138444,.T.); #206511=EDGE_CURVE('',#164795,#164795,#138445,.T.); #206512=EDGE_CURVE('',#164795,#164796,#89927,.T.); #206513=EDGE_CURVE('',#164796,#164796,#138446,.T.); #206514=EDGE_CURVE('',#164797,#164797,#138447,.T.); #206515=EDGE_CURVE('',#164797,#164798,#89928,.T.); #206516=EDGE_CURVE('',#164798,#164798,#138448,.T.); #206517=EDGE_CURVE('',#164799,#164799,#138449,.T.); #206518=EDGE_CURVE('',#164799,#164800,#89929,.T.); #206519=EDGE_CURVE('',#164800,#164800,#138450,.T.); #206520=EDGE_CURVE('',#164801,#164801,#138451,.T.); #206521=EDGE_CURVE('',#164801,#164802,#89930,.T.); #206522=EDGE_CURVE('',#164802,#164802,#138452,.T.); #206523=EDGE_CURVE('',#164803,#164803,#138453,.T.); #206524=EDGE_CURVE('',#164803,#164804,#89931,.T.); #206525=EDGE_CURVE('',#164804,#164804,#138454,.T.); #206526=EDGE_CURVE('',#164805,#164805,#138455,.T.); #206527=EDGE_CURVE('',#164805,#164806,#89932,.T.); #206528=EDGE_CURVE('',#164806,#164806,#138456,.T.); #206529=EDGE_CURVE('',#164807,#164807,#138457,.T.); #206530=EDGE_CURVE('',#164807,#164808,#89933,.T.); #206531=EDGE_CURVE('',#164808,#164808,#138458,.T.); #206532=EDGE_CURVE('',#164809,#164809,#138459,.T.); #206533=EDGE_CURVE('',#164809,#164810,#89934,.T.); #206534=EDGE_CURVE('',#164810,#164810,#138460,.T.); #206535=EDGE_CURVE('',#164811,#164811,#138461,.T.); #206536=EDGE_CURVE('',#164811,#164812,#89935,.T.); #206537=EDGE_CURVE('',#164812,#164812,#138462,.T.); #206538=EDGE_CURVE('',#164813,#164813,#138463,.T.); #206539=EDGE_CURVE('',#164813,#164814,#89936,.T.); #206540=EDGE_CURVE('',#164814,#164814,#138464,.T.); #206541=EDGE_CURVE('',#164815,#164815,#138465,.T.); #206542=EDGE_CURVE('',#164815,#164816,#89937,.T.); #206543=EDGE_CURVE('',#164816,#164816,#138466,.T.); #206544=EDGE_CURVE('',#164817,#164817,#138467,.T.); #206545=EDGE_CURVE('',#164817,#164818,#89938,.T.); #206546=EDGE_CURVE('',#164818,#164818,#138468,.T.); #206547=EDGE_CURVE('',#164819,#164819,#138469,.T.); #206548=EDGE_CURVE('',#164819,#164820,#89939,.T.); #206549=EDGE_CURVE('',#164820,#164820,#138470,.T.); #206550=EDGE_CURVE('',#164821,#164821,#138471,.T.); #206551=EDGE_CURVE('',#164821,#164822,#89940,.T.); #206552=EDGE_CURVE('',#164822,#164822,#138472,.T.); #206553=EDGE_CURVE('',#164823,#164823,#138473,.T.); #206554=EDGE_CURVE('',#164823,#164824,#89941,.T.); #206555=EDGE_CURVE('',#164824,#164824,#138474,.T.); #206556=EDGE_CURVE('',#164825,#164825,#138475,.T.); #206557=EDGE_CURVE('',#164825,#164826,#89942,.T.); #206558=EDGE_CURVE('',#164826,#164826,#138476,.T.); #206559=EDGE_CURVE('',#164827,#164827,#138477,.T.); #206560=EDGE_CURVE('',#164827,#164828,#89943,.T.); #206561=EDGE_CURVE('',#164828,#164828,#138478,.T.); #206562=EDGE_CURVE('',#164829,#164829,#138479,.T.); #206563=EDGE_CURVE('',#164829,#164830,#89944,.T.); #206564=EDGE_CURVE('',#164830,#164830,#138480,.T.); #206565=EDGE_CURVE('',#164831,#164831,#138481,.T.); #206566=EDGE_CURVE('',#164831,#164832,#89945,.T.); #206567=EDGE_CURVE('',#164832,#164832,#138482,.T.); #206568=EDGE_CURVE('',#164833,#164833,#138483,.T.); #206569=EDGE_CURVE('',#164833,#164834,#89946,.T.); #206570=EDGE_CURVE('',#164834,#164834,#138484,.T.); #206571=EDGE_CURVE('',#164835,#164835,#138485,.T.); #206572=EDGE_CURVE('',#164835,#164836,#89947,.T.); #206573=EDGE_CURVE('',#164836,#164836,#138486,.T.); #206574=EDGE_CURVE('',#164837,#164837,#138487,.T.); #206575=EDGE_CURVE('',#164837,#164838,#89948,.T.); #206576=EDGE_CURVE('',#164838,#164838,#138488,.T.); #206577=EDGE_CURVE('',#164839,#164839,#138489,.T.); #206578=EDGE_CURVE('',#164839,#164840,#89949,.T.); #206579=EDGE_CURVE('',#164840,#164840,#138490,.T.); #206580=EDGE_CURVE('',#164841,#164841,#138491,.T.); #206581=EDGE_CURVE('',#164841,#164842,#89950,.T.); #206582=EDGE_CURVE('',#164842,#164842,#138492,.T.); #206583=EDGE_CURVE('',#164843,#164843,#138493,.T.); #206584=EDGE_CURVE('',#164843,#164844,#89951,.T.); #206585=EDGE_CURVE('',#164844,#164844,#138494,.T.); #206586=EDGE_CURVE('',#164845,#164845,#138495,.T.); #206587=EDGE_CURVE('',#164845,#164846,#89952,.T.); #206588=EDGE_CURVE('',#164846,#164846,#138496,.T.); #206589=EDGE_CURVE('',#164847,#164847,#138497,.T.); #206590=EDGE_CURVE('',#164847,#164848,#89953,.T.); #206591=EDGE_CURVE('',#164848,#164848,#138498,.T.); #206592=EDGE_CURVE('',#164849,#164849,#138499,.T.); #206593=EDGE_CURVE('',#164849,#164850,#89954,.T.); #206594=EDGE_CURVE('',#164850,#164850,#138500,.T.); #206595=EDGE_CURVE('',#164851,#164851,#138501,.T.); #206596=EDGE_CURVE('',#164851,#164852,#89955,.T.); #206597=EDGE_CURVE('',#164852,#164852,#138502,.T.); #206598=EDGE_CURVE('',#164853,#164853,#138503,.T.); #206599=EDGE_CURVE('',#164853,#164854,#89956,.T.); #206600=EDGE_CURVE('',#164854,#164854,#138504,.T.); #206601=EDGE_CURVE('',#164855,#164855,#138505,.T.); #206602=EDGE_CURVE('',#164855,#164856,#89957,.T.); #206603=EDGE_CURVE('',#164856,#164856,#138506,.T.); #206604=EDGE_CURVE('',#164857,#164857,#138507,.T.); #206605=EDGE_CURVE('',#164857,#164858,#89958,.T.); #206606=EDGE_CURVE('',#164858,#164858,#138508,.T.); #206607=EDGE_CURVE('',#164859,#164859,#138509,.T.); #206608=EDGE_CURVE('',#164859,#164860,#89959,.T.); #206609=EDGE_CURVE('',#164860,#164860,#138510,.T.); #206610=EDGE_CURVE('',#164861,#164861,#138511,.T.); #206611=EDGE_CURVE('',#164861,#164862,#89960,.T.); #206612=EDGE_CURVE('',#164862,#164862,#138512,.T.); #206613=EDGE_CURVE('',#164863,#164863,#138513,.T.); #206614=EDGE_CURVE('',#164863,#164864,#89961,.T.); #206615=EDGE_CURVE('',#164864,#164864,#138514,.T.); #206616=EDGE_CURVE('',#164865,#164865,#138515,.T.); #206617=EDGE_CURVE('',#164865,#164866,#89962,.T.); #206618=EDGE_CURVE('',#164866,#164866,#138516,.T.); #206619=EDGE_CURVE('',#164867,#164867,#138517,.T.); #206620=EDGE_CURVE('',#164867,#164868,#89963,.T.); #206621=EDGE_CURVE('',#164868,#164868,#138518,.T.); #206622=EDGE_CURVE('',#164869,#164869,#138519,.T.); #206623=EDGE_CURVE('',#164869,#164870,#89964,.T.); #206624=EDGE_CURVE('',#164870,#164870,#138520,.T.); #206625=EDGE_CURVE('',#164871,#164871,#138521,.T.); #206626=EDGE_CURVE('',#164871,#164872,#89965,.T.); #206627=EDGE_CURVE('',#164872,#164872,#138522,.T.); #206628=EDGE_CURVE('',#164873,#164873,#138523,.T.); #206629=EDGE_CURVE('',#164873,#164874,#89966,.T.); #206630=EDGE_CURVE('',#164874,#164874,#138524,.T.); #206631=EDGE_CURVE('',#164875,#164875,#138525,.T.); #206632=EDGE_CURVE('',#164875,#164876,#89967,.T.); #206633=EDGE_CURVE('',#164876,#164876,#138526,.T.); #206634=EDGE_CURVE('',#164877,#164877,#138527,.T.); #206635=EDGE_CURVE('',#164877,#164878,#89968,.T.); #206636=EDGE_CURVE('',#164878,#164878,#138528,.T.); #206637=EDGE_CURVE('',#164879,#164879,#138529,.T.); #206638=EDGE_CURVE('',#164879,#164880,#89969,.T.); #206639=EDGE_CURVE('',#164880,#164880,#138530,.T.); #206640=EDGE_CURVE('',#164881,#164881,#138531,.T.); #206641=EDGE_CURVE('',#164881,#164882,#89970,.T.); #206642=EDGE_CURVE('',#164882,#164882,#138532,.T.); #206643=EDGE_CURVE('',#164883,#164883,#138533,.T.); #206644=EDGE_CURVE('',#164883,#164884,#89971,.T.); #206645=EDGE_CURVE('',#164884,#164884,#138534,.T.); #206646=EDGE_CURVE('',#164885,#164885,#138535,.T.); #206647=EDGE_CURVE('',#164885,#164886,#89972,.T.); #206648=EDGE_CURVE('',#164886,#164886,#138536,.T.); #206649=EDGE_CURVE('',#164887,#164887,#138537,.T.); #206650=EDGE_CURVE('',#164887,#164888,#89973,.T.); #206651=EDGE_CURVE('',#164888,#164888,#138538,.T.); #206652=EDGE_CURVE('',#164889,#164889,#138539,.T.); #206653=EDGE_CURVE('',#164889,#164890,#89974,.T.); #206654=EDGE_CURVE('',#164890,#164890,#138540,.T.); #206655=EDGE_CURVE('',#164891,#164891,#138541,.T.); #206656=EDGE_CURVE('',#164891,#164892,#89975,.T.); #206657=EDGE_CURVE('',#164892,#164892,#138542,.T.); #206658=EDGE_CURVE('',#164893,#164893,#138543,.T.); #206659=EDGE_CURVE('',#164893,#164894,#89976,.T.); #206660=EDGE_CURVE('',#164894,#164894,#138544,.T.); #206661=EDGE_CURVE('',#164895,#164895,#138545,.T.); #206662=EDGE_CURVE('',#164895,#164896,#89977,.T.); #206663=EDGE_CURVE('',#164896,#164896,#138546,.T.); #206664=EDGE_CURVE('',#164897,#164897,#138547,.T.); #206665=EDGE_CURVE('',#164897,#164898,#89978,.T.); #206666=EDGE_CURVE('',#164898,#164898,#138548,.T.); #206667=EDGE_CURVE('',#164899,#164899,#138549,.T.); #206668=EDGE_CURVE('',#164899,#164900,#89979,.T.); #206669=EDGE_CURVE('',#164900,#164900,#138550,.T.); #206670=EDGE_CURVE('',#164901,#164901,#138551,.T.); #206671=EDGE_CURVE('',#164901,#164902,#89980,.T.); #206672=EDGE_CURVE('',#164902,#164902,#138552,.T.); #206673=EDGE_CURVE('',#164903,#164903,#138553,.T.); #206674=EDGE_CURVE('',#164903,#164904,#89981,.T.); #206675=EDGE_CURVE('',#164904,#164904,#138554,.T.); #206676=EDGE_CURVE('',#164905,#164905,#138555,.T.); #206677=EDGE_CURVE('',#164905,#164906,#89982,.T.); #206678=EDGE_CURVE('',#164906,#164906,#138556,.T.); #206679=EDGE_CURVE('',#164907,#164907,#138557,.T.); #206680=EDGE_CURVE('',#164907,#164908,#89983,.T.); #206681=EDGE_CURVE('',#164908,#164908,#138558,.T.); #206682=EDGE_CURVE('',#164909,#164909,#138559,.T.); #206683=EDGE_CURVE('',#164909,#164910,#89984,.T.); #206684=EDGE_CURVE('',#164910,#164910,#138560,.T.); #206685=EDGE_CURVE('',#164911,#164911,#138561,.T.); #206686=EDGE_CURVE('',#164911,#164912,#89985,.T.); #206687=EDGE_CURVE('',#164912,#164912,#138562,.T.); #206688=EDGE_CURVE('',#164913,#164913,#138563,.T.); #206689=EDGE_CURVE('',#164913,#164914,#89986,.T.); #206690=EDGE_CURVE('',#164914,#164914,#138564,.T.); #206691=EDGE_CURVE('',#164915,#164915,#138565,.T.); #206692=EDGE_CURVE('',#164915,#164916,#89987,.T.); #206693=EDGE_CURVE('',#164916,#164916,#138566,.T.); #206694=EDGE_CURVE('',#164917,#164917,#138567,.T.); #206695=EDGE_CURVE('',#164917,#164918,#89988,.T.); #206696=EDGE_CURVE('',#164918,#164918,#138568,.T.); #206697=EDGE_CURVE('',#164919,#164919,#138569,.T.); #206698=EDGE_CURVE('',#164919,#164920,#89989,.T.); #206699=EDGE_CURVE('',#164920,#164920,#138570,.T.); #206700=EDGE_CURVE('',#164921,#164921,#138571,.T.); #206701=EDGE_CURVE('',#164921,#164922,#89990,.T.); #206702=EDGE_CURVE('',#164922,#164922,#138572,.T.); #206703=EDGE_CURVE('',#164923,#164923,#138573,.T.); #206704=EDGE_CURVE('',#164923,#164924,#89991,.T.); #206705=EDGE_CURVE('',#164924,#164924,#138574,.T.); #206706=EDGE_CURVE('',#164925,#164925,#138575,.T.); #206707=EDGE_CURVE('',#164925,#164926,#89992,.T.); #206708=EDGE_CURVE('',#164926,#164926,#138576,.T.); #206709=EDGE_CURVE('',#164927,#164927,#138577,.T.); #206710=EDGE_CURVE('',#164927,#164928,#89993,.T.); #206711=EDGE_CURVE('',#164928,#164928,#138578,.T.); #206712=EDGE_CURVE('',#164929,#164929,#138579,.T.); #206713=EDGE_CURVE('',#164929,#164930,#89994,.T.); #206714=EDGE_CURVE('',#164930,#164930,#138580,.T.); #206715=EDGE_CURVE('',#164931,#164931,#138581,.T.); #206716=EDGE_CURVE('',#164931,#164932,#89995,.T.); #206717=EDGE_CURVE('',#164932,#164932,#138582,.T.); #206718=EDGE_CURVE('',#164933,#164933,#138583,.T.); #206719=EDGE_CURVE('',#164933,#164934,#89996,.T.); #206720=EDGE_CURVE('',#164934,#164934,#138584,.T.); #206721=EDGE_CURVE('',#164935,#164935,#138585,.T.); #206722=EDGE_CURVE('',#164935,#164936,#89997,.T.); #206723=EDGE_CURVE('',#164936,#164936,#138586,.T.); #206724=EDGE_CURVE('',#164937,#164937,#138587,.T.); #206725=EDGE_CURVE('',#164937,#164938,#89998,.T.); #206726=EDGE_CURVE('',#164938,#164938,#138588,.T.); #206727=EDGE_CURVE('',#164939,#164939,#138589,.T.); #206728=EDGE_CURVE('',#164939,#164940,#89999,.T.); #206729=EDGE_CURVE('',#164940,#164940,#138590,.T.); #206730=EDGE_CURVE('',#164941,#164941,#138591,.T.); #206731=EDGE_CURVE('',#164941,#164942,#90000,.T.); #206732=EDGE_CURVE('',#164942,#164942,#138592,.T.); #206733=EDGE_CURVE('',#164943,#164943,#138593,.T.); #206734=EDGE_CURVE('',#164943,#164944,#90001,.T.); #206735=EDGE_CURVE('',#164944,#164944,#138594,.T.); #206736=EDGE_CURVE('',#164945,#164945,#138595,.T.); #206737=EDGE_CURVE('',#164945,#164946,#90002,.T.); #206738=EDGE_CURVE('',#164946,#164946,#138596,.T.); #206739=EDGE_CURVE('',#164947,#164947,#138597,.T.); #206740=EDGE_CURVE('',#164947,#164948,#90003,.T.); #206741=EDGE_CURVE('',#164948,#164948,#138598,.T.); #206742=EDGE_CURVE('',#164949,#164949,#138599,.T.); #206743=EDGE_CURVE('',#164949,#164950,#90004,.T.); #206744=EDGE_CURVE('',#164950,#164950,#138600,.T.); #206745=EDGE_CURVE('',#164951,#164951,#138601,.T.); #206746=EDGE_CURVE('',#164951,#164952,#90005,.T.); #206747=EDGE_CURVE('',#164952,#164952,#138602,.T.); #206748=EDGE_CURVE('',#164953,#164953,#138603,.T.); #206749=EDGE_CURVE('',#164953,#164954,#90006,.T.); #206750=EDGE_CURVE('',#164954,#164954,#138604,.T.); #206751=EDGE_CURVE('',#164955,#164955,#138605,.T.); #206752=EDGE_CURVE('',#164955,#164956,#90007,.T.); #206753=EDGE_CURVE('',#164956,#164956,#138606,.T.); #206754=EDGE_CURVE('',#164957,#164957,#138607,.T.); #206755=EDGE_CURVE('',#164957,#164958,#90008,.T.); #206756=EDGE_CURVE('',#164958,#164958,#138608,.T.); #206757=EDGE_CURVE('',#164959,#164959,#138609,.T.); #206758=EDGE_CURVE('',#164959,#164960,#90009,.T.); #206759=EDGE_CURVE('',#164960,#164960,#138610,.T.); #206760=EDGE_CURVE('',#164961,#164961,#138611,.T.); #206761=EDGE_CURVE('',#164961,#164962,#90010,.T.); #206762=EDGE_CURVE('',#164962,#164962,#138612,.T.); #206763=EDGE_CURVE('',#164963,#164963,#138613,.T.); #206764=EDGE_CURVE('',#164963,#164964,#90011,.T.); #206765=EDGE_CURVE('',#164964,#164964,#138614,.T.); #206766=EDGE_CURVE('',#164965,#164965,#138615,.T.); #206767=EDGE_CURVE('',#164965,#164966,#90012,.T.); #206768=EDGE_CURVE('',#164966,#164966,#138616,.T.); #206769=EDGE_CURVE('',#164967,#164967,#138617,.T.); #206770=EDGE_CURVE('',#164967,#164968,#90013,.T.); #206771=EDGE_CURVE('',#164968,#164968,#138618,.T.); #206772=EDGE_CURVE('',#164969,#164969,#138619,.T.); #206773=EDGE_CURVE('',#164969,#164970,#90014,.T.); #206774=EDGE_CURVE('',#164970,#164970,#138620,.T.); #206775=EDGE_CURVE('',#164971,#164971,#138621,.T.); #206776=EDGE_CURVE('',#164971,#164972,#90015,.T.); #206777=EDGE_CURVE('',#164972,#164972,#138622,.T.); #206778=EDGE_CURVE('',#164973,#164973,#138623,.T.); #206779=EDGE_CURVE('',#164973,#164974,#90016,.T.); #206780=EDGE_CURVE('',#164974,#164974,#138624,.T.); #206781=EDGE_CURVE('',#164975,#164975,#138625,.T.); #206782=EDGE_CURVE('',#164975,#164976,#90017,.T.); #206783=EDGE_CURVE('',#164976,#164976,#138626,.T.); #206784=EDGE_CURVE('',#164977,#164977,#138627,.T.); #206785=EDGE_CURVE('',#164977,#164978,#90018,.T.); #206786=EDGE_CURVE('',#164978,#164978,#138628,.T.); #206787=EDGE_CURVE('',#164979,#164979,#138629,.T.); #206788=EDGE_CURVE('',#164979,#164980,#90019,.T.); #206789=EDGE_CURVE('',#164980,#164980,#138630,.T.); #206790=EDGE_CURVE('',#164981,#164981,#138631,.T.); #206791=EDGE_CURVE('',#164981,#164982,#90020,.T.); #206792=EDGE_CURVE('',#164982,#164982,#138632,.T.); #206793=EDGE_CURVE('',#164983,#164983,#138633,.T.); #206794=EDGE_CURVE('',#164983,#164984,#90021,.T.); #206795=EDGE_CURVE('',#164984,#164984,#138634,.T.); #206796=EDGE_CURVE('',#164985,#164985,#138635,.T.); #206797=EDGE_CURVE('',#164985,#164986,#90022,.T.); #206798=EDGE_CURVE('',#164986,#164986,#138636,.T.); #206799=EDGE_CURVE('',#164987,#164987,#138637,.T.); #206800=EDGE_CURVE('',#164987,#164988,#90023,.T.); #206801=EDGE_CURVE('',#164988,#164988,#138638,.T.); #206802=EDGE_CURVE('',#164989,#164989,#138639,.T.); #206803=EDGE_CURVE('',#164989,#164990,#90024,.T.); #206804=EDGE_CURVE('',#164990,#164990,#138640,.T.); #206805=EDGE_CURVE('',#164991,#164991,#138641,.T.); #206806=EDGE_CURVE('',#164991,#164992,#90025,.T.); #206807=EDGE_CURVE('',#164992,#164992,#138642,.T.); #206808=EDGE_CURVE('',#164993,#164993,#138643,.T.); #206809=EDGE_CURVE('',#164993,#164994,#90026,.T.); #206810=EDGE_CURVE('',#164994,#164994,#138644,.T.); #206811=EDGE_CURVE('',#164995,#164995,#138645,.T.); #206812=EDGE_CURVE('',#164995,#164996,#90027,.T.); #206813=EDGE_CURVE('',#164996,#164996,#138646,.T.); #206814=EDGE_CURVE('',#164997,#164997,#138647,.T.); #206815=EDGE_CURVE('',#164997,#164998,#90028,.T.); #206816=EDGE_CURVE('',#164998,#164998,#138648,.T.); #206817=EDGE_CURVE('',#164999,#164999,#138649,.T.); #206818=EDGE_CURVE('',#164999,#165000,#90029,.T.); #206819=EDGE_CURVE('',#165000,#165000,#138650,.T.); #206820=EDGE_CURVE('',#165001,#165001,#138651,.T.); #206821=EDGE_CURVE('',#165001,#165002,#90030,.T.); #206822=EDGE_CURVE('',#165002,#165002,#138652,.T.); #206823=EDGE_CURVE('',#165003,#165003,#138653,.T.); #206824=EDGE_CURVE('',#165003,#165004,#90031,.T.); #206825=EDGE_CURVE('',#165004,#165004,#138654,.T.); #206826=EDGE_CURVE('',#165005,#165005,#138655,.T.); #206827=EDGE_CURVE('',#165005,#165006,#90032,.T.); #206828=EDGE_CURVE('',#165006,#165006,#138656,.T.); #206829=EDGE_CURVE('',#165007,#165007,#138657,.T.); #206830=EDGE_CURVE('',#165007,#165008,#90033,.T.); #206831=EDGE_CURVE('',#165008,#165008,#138658,.T.); #206832=EDGE_CURVE('',#165009,#165009,#138659,.T.); #206833=EDGE_CURVE('',#165009,#165010,#90034,.T.); #206834=EDGE_CURVE('',#165010,#165010,#138660,.T.); #206835=EDGE_CURVE('',#165011,#165011,#138661,.T.); #206836=EDGE_CURVE('',#165011,#165012,#90035,.T.); #206837=EDGE_CURVE('',#165012,#165012,#138662,.T.); #206838=EDGE_CURVE('',#165013,#165013,#138663,.T.); #206839=EDGE_CURVE('',#165013,#165014,#90036,.T.); #206840=EDGE_CURVE('',#165014,#165014,#138664,.T.); #206841=EDGE_CURVE('',#165015,#165015,#138665,.T.); #206842=EDGE_CURVE('',#165015,#165016,#90037,.T.); #206843=EDGE_CURVE('',#165016,#165016,#138666,.T.); #206844=EDGE_CURVE('',#165017,#165017,#138667,.T.); #206845=EDGE_CURVE('',#165017,#165018,#90038,.T.); #206846=EDGE_CURVE('',#165018,#165018,#138668,.T.); #206847=EDGE_CURVE('',#165019,#165019,#138669,.T.); #206848=EDGE_CURVE('',#165019,#165020,#90039,.T.); #206849=EDGE_CURVE('',#165020,#165020,#138670,.T.); #206850=EDGE_CURVE('',#165021,#165021,#138671,.T.); #206851=EDGE_CURVE('',#165021,#165022,#90040,.T.); #206852=EDGE_CURVE('',#165022,#165022,#138672,.T.); #206853=EDGE_CURVE('',#165023,#165023,#138673,.T.); #206854=EDGE_CURVE('',#165023,#165024,#90041,.T.); #206855=EDGE_CURVE('',#165024,#165024,#138674,.T.); #206856=EDGE_CURVE('',#165025,#165025,#138675,.T.); #206857=EDGE_CURVE('',#165025,#165026,#90042,.T.); #206858=EDGE_CURVE('',#165026,#165026,#138676,.T.); #206859=EDGE_CURVE('',#165027,#165027,#138677,.T.); #206860=EDGE_CURVE('',#165027,#165028,#90043,.T.); #206861=EDGE_CURVE('',#165028,#165028,#138678,.T.); #206862=EDGE_CURVE('',#165029,#165029,#138679,.T.); #206863=EDGE_CURVE('',#165029,#165030,#90044,.T.); #206864=EDGE_CURVE('',#165030,#165030,#138680,.T.); #206865=EDGE_CURVE('',#165031,#165031,#138681,.T.); #206866=EDGE_CURVE('',#165031,#165032,#90045,.T.); #206867=EDGE_CURVE('',#165032,#165032,#138682,.T.); #206868=EDGE_CURVE('',#165033,#165033,#138683,.T.); #206869=EDGE_CURVE('',#165033,#165034,#90046,.T.); #206870=EDGE_CURVE('',#165034,#165034,#138684,.T.); #206871=EDGE_CURVE('',#165035,#165035,#138685,.T.); #206872=EDGE_CURVE('',#165035,#165036,#90047,.T.); #206873=EDGE_CURVE('',#165036,#165036,#138686,.T.); #206874=EDGE_CURVE('',#165037,#165037,#138687,.T.); #206875=EDGE_CURVE('',#165037,#165038,#90048,.T.); #206876=EDGE_CURVE('',#165038,#165038,#138688,.T.); #206877=EDGE_CURVE('',#165039,#165039,#138689,.T.); #206878=EDGE_CURVE('',#165039,#165040,#90049,.T.); #206879=EDGE_CURVE('',#165040,#165040,#138690,.T.); #206880=EDGE_CURVE('',#165041,#165041,#138691,.T.); #206881=EDGE_CURVE('',#165041,#165042,#90050,.T.); #206882=EDGE_CURVE('',#165042,#165042,#138692,.T.); #206883=EDGE_CURVE('',#165043,#165043,#138693,.T.); #206884=EDGE_CURVE('',#165043,#165044,#90051,.T.); #206885=EDGE_CURVE('',#165044,#165044,#138694,.T.); #206886=EDGE_CURVE('',#165045,#165045,#138695,.T.); #206887=EDGE_CURVE('',#165045,#165046,#90052,.T.); #206888=EDGE_CURVE('',#165046,#165046,#138696,.T.); #206889=EDGE_CURVE('',#165047,#165047,#138697,.T.); #206890=EDGE_CURVE('',#165047,#165048,#90053,.T.); #206891=EDGE_CURVE('',#165048,#165048,#138698,.T.); #206892=EDGE_CURVE('',#165049,#165049,#138699,.T.); #206893=EDGE_CURVE('',#165049,#165050,#90054,.T.); #206894=EDGE_CURVE('',#165050,#165050,#138700,.T.); #206895=EDGE_CURVE('',#165051,#165051,#138701,.T.); #206896=EDGE_CURVE('',#165051,#165052,#90055,.T.); #206897=EDGE_CURVE('',#165052,#165052,#138702,.T.); #206898=EDGE_CURVE('',#165053,#165053,#138703,.T.); #206899=EDGE_CURVE('',#165053,#165054,#90056,.T.); #206900=EDGE_CURVE('',#165054,#165054,#138704,.T.); #206901=EDGE_CURVE('',#165055,#165055,#138705,.T.); #206902=EDGE_CURVE('',#165055,#165056,#90057,.T.); #206903=EDGE_CURVE('',#165056,#165056,#138706,.T.); #206904=EDGE_CURVE('',#165057,#165057,#138707,.T.); #206905=EDGE_CURVE('',#165057,#165058,#90058,.T.); #206906=EDGE_CURVE('',#165058,#165058,#138708,.T.); #206907=EDGE_CURVE('',#165059,#165059,#138709,.T.); #206908=EDGE_CURVE('',#165059,#165060,#90059,.T.); #206909=EDGE_CURVE('',#165060,#165060,#138710,.T.); #206910=EDGE_CURVE('',#165061,#165061,#138711,.T.); #206911=EDGE_CURVE('',#165061,#165062,#90060,.T.); #206912=EDGE_CURVE('',#165062,#165062,#138712,.T.); #206913=EDGE_CURVE('',#165063,#165063,#138713,.T.); #206914=EDGE_CURVE('',#165063,#165064,#90061,.T.); #206915=EDGE_CURVE('',#165064,#165064,#138714,.T.); #206916=EDGE_CURVE('',#165065,#165065,#138715,.T.); #206917=EDGE_CURVE('',#165065,#165066,#90062,.T.); #206918=EDGE_CURVE('',#165066,#165066,#138716,.T.); #206919=EDGE_CURVE('',#165067,#165067,#138717,.T.); #206920=EDGE_CURVE('',#165067,#165068,#90063,.T.); #206921=EDGE_CURVE('',#165068,#165068,#138718,.T.); #206922=EDGE_CURVE('',#165069,#165069,#138719,.T.); #206923=EDGE_CURVE('',#165069,#165070,#90064,.T.); #206924=EDGE_CURVE('',#165070,#165070,#138720,.T.); #206925=EDGE_CURVE('',#165071,#165071,#138721,.T.); #206926=EDGE_CURVE('',#165071,#165072,#90065,.T.); #206927=EDGE_CURVE('',#165072,#165072,#138722,.T.); #206928=EDGE_CURVE('',#165073,#165073,#138723,.T.); #206929=EDGE_CURVE('',#165073,#165074,#90066,.T.); #206930=EDGE_CURVE('',#165074,#165074,#138724,.T.); #206931=EDGE_CURVE('',#165075,#165075,#138725,.T.); #206932=EDGE_CURVE('',#165075,#165076,#90067,.T.); #206933=EDGE_CURVE('',#165076,#165076,#138726,.T.); #206934=EDGE_CURVE('',#165077,#165077,#138727,.T.); #206935=EDGE_CURVE('',#165077,#165078,#90068,.T.); #206936=EDGE_CURVE('',#165078,#165078,#138728,.T.); #206937=EDGE_CURVE('',#165079,#165079,#138729,.T.); #206938=EDGE_CURVE('',#165079,#165080,#90069,.T.); #206939=EDGE_CURVE('',#165080,#165080,#138730,.T.); #206940=EDGE_CURVE('',#165081,#165081,#138731,.T.); #206941=EDGE_CURVE('',#165081,#165082,#90070,.T.); #206942=EDGE_CURVE('',#165082,#165082,#138732,.T.); #206943=EDGE_CURVE('',#165083,#165083,#138733,.T.); #206944=EDGE_CURVE('',#165083,#165084,#90071,.T.); #206945=EDGE_CURVE('',#165084,#165084,#138734,.T.); #206946=EDGE_CURVE('',#165085,#165085,#138735,.T.); #206947=EDGE_CURVE('',#165085,#165086,#90072,.T.); #206948=EDGE_CURVE('',#165086,#165086,#138736,.T.); #206949=EDGE_CURVE('',#165087,#165087,#138737,.T.); #206950=EDGE_CURVE('',#165087,#165088,#90073,.T.); #206951=EDGE_CURVE('',#165088,#165088,#138738,.T.); #206952=EDGE_CURVE('',#165089,#165089,#138739,.T.); #206953=EDGE_CURVE('',#165089,#165090,#90074,.T.); #206954=EDGE_CURVE('',#165090,#165090,#138740,.T.); #206955=EDGE_CURVE('',#165091,#165091,#138741,.T.); #206956=EDGE_CURVE('',#165091,#165092,#90075,.T.); #206957=EDGE_CURVE('',#165092,#165092,#138742,.T.); #206958=EDGE_CURVE('',#165093,#165093,#138743,.T.); #206959=EDGE_CURVE('',#165093,#165094,#90076,.T.); #206960=EDGE_CURVE('',#165094,#165094,#138744,.T.); #206961=EDGE_CURVE('',#165095,#165095,#138745,.T.); #206962=EDGE_CURVE('',#165095,#165096,#90077,.T.); #206963=EDGE_CURVE('',#165096,#165096,#138746,.T.); #206964=EDGE_CURVE('',#165097,#165097,#138747,.T.); #206965=EDGE_CURVE('',#165097,#165098,#90078,.T.); #206966=EDGE_CURVE('',#165098,#165098,#138748,.T.); #206967=EDGE_CURVE('',#165099,#165099,#138749,.T.); #206968=EDGE_CURVE('',#165099,#165100,#90079,.T.); #206969=EDGE_CURVE('',#165100,#165100,#138750,.T.); #206970=EDGE_CURVE('',#165101,#165101,#138751,.T.); #206971=EDGE_CURVE('',#165101,#165102,#90080,.T.); #206972=EDGE_CURVE('',#165102,#165102,#138752,.T.); #206973=EDGE_CURVE('',#165103,#165103,#138753,.T.); #206974=EDGE_CURVE('',#165103,#165104,#90081,.T.); #206975=EDGE_CURVE('',#165104,#165104,#138754,.T.); #206976=EDGE_CURVE('',#165105,#165105,#138755,.T.); #206977=EDGE_CURVE('',#165105,#165106,#90082,.T.); #206978=EDGE_CURVE('',#165106,#165106,#138756,.T.); #206979=EDGE_CURVE('',#165107,#165107,#138757,.T.); #206980=EDGE_CURVE('',#165107,#165108,#90083,.T.); #206981=EDGE_CURVE('',#165108,#165108,#138758,.T.); #206982=EDGE_CURVE('',#165109,#165109,#138759,.T.); #206983=EDGE_CURVE('',#165109,#165110,#90084,.T.); #206984=EDGE_CURVE('',#165110,#165110,#138760,.T.); #206985=EDGE_CURVE('',#165111,#165111,#138761,.T.); #206986=EDGE_CURVE('',#165111,#165112,#90085,.T.); #206987=EDGE_CURVE('',#165112,#165112,#138762,.T.); #206988=EDGE_CURVE('',#165113,#165113,#138763,.T.); #206989=EDGE_CURVE('',#165113,#165114,#90086,.T.); #206990=EDGE_CURVE('',#165114,#165114,#138764,.T.); #206991=EDGE_CURVE('',#165115,#165115,#138765,.T.); #206992=EDGE_CURVE('',#165115,#165116,#90087,.T.); #206993=EDGE_CURVE('',#165116,#165116,#138766,.T.); #206994=EDGE_CURVE('',#165117,#165117,#138767,.T.); #206995=EDGE_CURVE('',#165117,#165118,#90088,.T.); #206996=EDGE_CURVE('',#165118,#165118,#138768,.T.); #206997=EDGE_CURVE('',#165119,#165119,#138769,.T.); #206998=EDGE_CURVE('',#165119,#165120,#90089,.T.); #206999=EDGE_CURVE('',#165120,#165120,#138770,.T.); #207000=EDGE_CURVE('',#165121,#165121,#138771,.T.); #207001=EDGE_CURVE('',#165121,#165122,#90090,.T.); #207002=EDGE_CURVE('',#165122,#165122,#138772,.T.); #207003=EDGE_CURVE('',#165123,#165123,#138773,.T.); #207004=EDGE_CURVE('',#165123,#165124,#90091,.T.); #207005=EDGE_CURVE('',#165124,#165124,#138774,.T.); #207006=EDGE_CURVE('',#165125,#165125,#138775,.T.); #207007=EDGE_CURVE('',#165125,#165126,#90092,.T.); #207008=EDGE_CURVE('',#165126,#165126,#138776,.T.); #207009=EDGE_CURVE('',#165127,#165127,#138777,.T.); #207010=EDGE_CURVE('',#165127,#165128,#90093,.T.); #207011=EDGE_CURVE('',#165128,#165128,#138778,.T.); #207012=EDGE_CURVE('',#165129,#165129,#138779,.T.); #207013=EDGE_CURVE('',#165129,#165130,#90094,.T.); #207014=EDGE_CURVE('',#165130,#165130,#138780,.T.); #207015=EDGE_CURVE('',#165131,#165131,#138781,.T.); #207016=EDGE_CURVE('',#165131,#165132,#90095,.T.); #207017=EDGE_CURVE('',#165132,#165132,#138782,.T.); #207018=EDGE_CURVE('',#165133,#165133,#138783,.T.); #207019=EDGE_CURVE('',#165133,#165134,#90096,.T.); #207020=EDGE_CURVE('',#165134,#165134,#138784,.T.); #207021=EDGE_CURVE('',#165135,#165135,#138785,.T.); #207022=EDGE_CURVE('',#165135,#165136,#90097,.T.); #207023=EDGE_CURVE('',#165136,#165136,#138786,.T.); #207024=EDGE_CURVE('',#165137,#165137,#138787,.T.); #207025=EDGE_CURVE('',#165137,#165138,#90098,.T.); #207026=EDGE_CURVE('',#165138,#165138,#138788,.T.); #207027=EDGE_CURVE('',#165139,#165139,#138789,.T.); #207028=EDGE_CURVE('',#165139,#165140,#90099,.T.); #207029=EDGE_CURVE('',#165140,#165140,#138790,.T.); #207030=EDGE_CURVE('',#165141,#165141,#138791,.T.); #207031=EDGE_CURVE('',#165141,#165142,#90100,.T.); #207032=EDGE_CURVE('',#165142,#165142,#138792,.T.); #207033=EDGE_CURVE('',#165143,#165143,#138793,.T.); #207034=EDGE_CURVE('',#165143,#165144,#90101,.T.); #207035=EDGE_CURVE('',#165144,#165144,#138794,.T.); #207036=EDGE_CURVE('',#165145,#165145,#138795,.T.); #207037=EDGE_CURVE('',#165145,#165146,#90102,.T.); #207038=EDGE_CURVE('',#165146,#165146,#138796,.T.); #207039=EDGE_CURVE('',#165147,#165147,#138797,.T.); #207040=EDGE_CURVE('',#165147,#165148,#90103,.T.); #207041=EDGE_CURVE('',#165148,#165148,#138798,.T.); #207042=EDGE_CURVE('',#165149,#165149,#138799,.T.); #207043=EDGE_CURVE('',#165149,#165150,#90104,.T.); #207044=EDGE_CURVE('',#165150,#165150,#138800,.T.); #207045=EDGE_CURVE('',#165151,#165151,#138801,.T.); #207046=EDGE_CURVE('',#165151,#165152,#90105,.T.); #207047=EDGE_CURVE('',#165152,#165152,#138802,.T.); #207048=EDGE_CURVE('',#165153,#165153,#138803,.T.); #207049=EDGE_CURVE('',#165153,#165154,#90106,.T.); #207050=EDGE_CURVE('',#165154,#165154,#138804,.T.); #207051=EDGE_CURVE('',#165155,#165155,#138805,.T.); #207052=EDGE_CURVE('',#165155,#165156,#90107,.T.); #207053=EDGE_CURVE('',#165156,#165156,#138806,.T.); #207054=EDGE_CURVE('',#165157,#165157,#138807,.T.); #207055=EDGE_CURVE('',#165157,#165158,#90108,.T.); #207056=EDGE_CURVE('',#165158,#165158,#138808,.T.); #207057=EDGE_CURVE('',#165159,#165159,#138809,.T.); #207058=EDGE_CURVE('',#165159,#165160,#90109,.T.); #207059=EDGE_CURVE('',#165160,#165160,#138810,.T.); #207060=EDGE_CURVE('',#165161,#165162,#90110,.T.); #207061=EDGE_CURVE('',#165163,#165161,#90111,.T.); #207062=EDGE_CURVE('',#165164,#165163,#90112,.T.); #207063=EDGE_CURVE('',#165164,#165162,#90113,.T.); #207064=EDGE_CURVE('',#165162,#165165,#138811,.T.); #207065=EDGE_CURVE('',#165166,#165164,#138812,.T.); #207066=EDGE_CURVE('',#165166,#165165,#90114,.T.); #207067=EDGE_CURVE('',#165165,#165167,#90115,.T.); #207068=EDGE_CURVE('',#165168,#165166,#90116,.T.); #207069=EDGE_CURVE('',#165168,#165167,#90117,.T.); #207070=EDGE_CURVE('',#165167,#165161,#138813,.T.); #207071=EDGE_CURVE('',#165163,#165168,#138814,.T.); #207072=EDGE_CURVE('',#165169,#165169,#138815,.T.); #207073=EDGE_CURVE('',#165169,#165170,#90118,.T.); #207074=EDGE_CURVE('',#165170,#165170,#138816,.T.); #207075=EDGE_CURVE('',#165171,#165172,#90119,.T.); #207076=EDGE_CURVE('',#165173,#165171,#90120,.T.); #207077=EDGE_CURVE('',#165174,#165173,#90121,.T.); #207078=EDGE_CURVE('',#165174,#165172,#90122,.T.); #207079=EDGE_CURVE('',#165172,#165175,#138817,.T.); #207080=EDGE_CURVE('',#165176,#165174,#138818,.T.); #207081=EDGE_CURVE('',#165176,#165175,#90123,.T.); #207082=EDGE_CURVE('',#165175,#165177,#90124,.T.); #207083=EDGE_CURVE('',#165178,#165176,#90125,.T.); #207084=EDGE_CURVE('',#165178,#165177,#90126,.T.); #207085=EDGE_CURVE('',#165177,#165171,#138819,.T.); #207086=EDGE_CURVE('',#165173,#165178,#138820,.T.); #207087=EDGE_CURVE('',#165179,#165179,#138821,.T.); #207088=EDGE_CURVE('',#165179,#165180,#90127,.T.); #207089=EDGE_CURVE('',#165180,#165180,#138822,.T.); #207090=EDGE_CURVE('',#165181,#165181,#138823,.T.); #207091=EDGE_CURVE('',#165181,#165182,#90128,.T.); #207092=EDGE_CURVE('',#165182,#165182,#138824,.T.); #207093=EDGE_CURVE('',#165183,#165183,#138825,.T.); #207094=EDGE_CURVE('',#165183,#165184,#90129,.T.); #207095=EDGE_CURVE('',#165184,#165184,#138826,.T.); #207096=EDGE_CURVE('',#165185,#165186,#90130,.T.); #207097=EDGE_CURVE('',#165187,#165185,#90131,.T.); #207098=EDGE_CURVE('',#165188,#165187,#90132,.T.); #207099=EDGE_CURVE('',#165188,#165186,#90133,.T.); #207100=EDGE_CURVE('',#165186,#165189,#138827,.T.); #207101=EDGE_CURVE('',#165190,#165188,#138828,.T.); #207102=EDGE_CURVE('',#165190,#165189,#90134,.T.); #207103=EDGE_CURVE('',#165189,#165191,#90135,.T.); #207104=EDGE_CURVE('',#165192,#165190,#90136,.T.); #207105=EDGE_CURVE('',#165192,#165191,#90137,.T.); #207106=EDGE_CURVE('',#165191,#165185,#138829,.T.); #207107=EDGE_CURVE('',#165187,#165192,#138830,.T.); #207108=EDGE_CURVE('',#165193,#165193,#138831,.T.); #207109=EDGE_CURVE('',#165193,#165194,#90138,.T.); #207110=EDGE_CURVE('',#165194,#165194,#138832,.T.); #207111=EDGE_CURVE('',#165195,#165195,#138833,.T.); #207112=EDGE_CURVE('',#165195,#165196,#90139,.T.); #207113=EDGE_CURVE('',#165196,#165196,#138834,.T.); #207114=EDGE_CURVE('',#165197,#165197,#138835,.T.); #207115=EDGE_CURVE('',#165197,#165198,#90140,.T.); #207116=EDGE_CURVE('',#165198,#165198,#138836,.T.); #207117=EDGE_CURVE('',#165199,#165199,#138837,.T.); #207118=EDGE_CURVE('',#165199,#165200,#90141,.T.); #207119=EDGE_CURVE('',#165200,#165200,#138838,.T.); #207120=EDGE_CURVE('',#165201,#165201,#138839,.T.); #207121=EDGE_CURVE('',#165201,#165202,#90142,.T.); #207122=EDGE_CURVE('',#165202,#165202,#138840,.T.); #207123=EDGE_CURVE('',#165203,#165203,#138841,.T.); #207124=EDGE_CURVE('',#165203,#165204,#90143,.T.); #207125=EDGE_CURVE('',#165204,#165204,#138842,.T.); #207126=EDGE_CURVE('',#165205,#165205,#138843,.T.); #207127=EDGE_CURVE('',#165205,#165206,#90144,.T.); #207128=EDGE_CURVE('',#165206,#165206,#138844,.T.); #207129=EDGE_CURVE('',#165207,#165207,#138845,.T.); #207130=EDGE_CURVE('',#165207,#165208,#90145,.T.); #207131=EDGE_CURVE('',#165208,#165208,#138846,.T.); #207132=EDGE_CURVE('',#165209,#165209,#138847,.T.); #207133=EDGE_CURVE('',#165209,#165210,#90146,.T.); #207134=EDGE_CURVE('',#165210,#165210,#138848,.T.); #207135=EDGE_CURVE('',#165211,#165211,#138849,.T.); #207136=EDGE_CURVE('',#165211,#165212,#90147,.T.); #207137=EDGE_CURVE('',#165212,#165212,#138850,.T.); #207138=EDGE_CURVE('',#165213,#165214,#90148,.T.); #207139=EDGE_CURVE('',#165215,#165213,#90149,.T.); #207140=EDGE_CURVE('',#165216,#165215,#90150,.T.); #207141=EDGE_CURVE('',#165216,#165214,#90151,.T.); #207142=EDGE_CURVE('',#165214,#165217,#138851,.T.); #207143=EDGE_CURVE('',#165218,#165216,#138852,.T.); #207144=EDGE_CURVE('',#165218,#165217,#90152,.T.); #207145=EDGE_CURVE('',#165217,#165219,#90153,.T.); #207146=EDGE_CURVE('',#165220,#165218,#90154,.T.); #207147=EDGE_CURVE('',#165220,#165219,#90155,.T.); #207148=EDGE_CURVE('',#165219,#165213,#138853,.T.); #207149=EDGE_CURVE('',#165215,#165220,#138854,.T.); #207150=EDGE_CURVE('',#165221,#165221,#138855,.T.); #207151=EDGE_CURVE('',#165221,#165222,#90156,.T.); #207152=EDGE_CURVE('',#165222,#165222,#138856,.T.); #207153=EDGE_CURVE('',#165223,#165224,#90157,.T.); #207154=EDGE_CURVE('',#165225,#165223,#90158,.T.); #207155=EDGE_CURVE('',#165226,#165225,#90159,.T.); #207156=EDGE_CURVE('',#165226,#165224,#90160,.T.); #207157=EDGE_CURVE('',#165224,#165227,#138857,.T.); #207158=EDGE_CURVE('',#165228,#165226,#138858,.T.); #207159=EDGE_CURVE('',#165228,#165227,#90161,.T.); #207160=EDGE_CURVE('',#165227,#165229,#90162,.T.); #207161=EDGE_CURVE('',#165230,#165228,#90163,.T.); #207162=EDGE_CURVE('',#165230,#165229,#90164,.T.); #207163=EDGE_CURVE('',#165229,#165223,#138859,.T.); #207164=EDGE_CURVE('',#165225,#165230,#138860,.T.); #207165=EDGE_CURVE('',#165231,#165231,#138861,.T.); #207166=EDGE_CURVE('',#165231,#165232,#90165,.T.); #207167=EDGE_CURVE('',#165232,#165232,#138862,.T.); #207168=EDGE_CURVE('',#165233,#165234,#90166,.T.); #207169=EDGE_CURVE('',#165235,#165233,#90167,.T.); #207170=EDGE_CURVE('',#165236,#165235,#90168,.T.); #207171=EDGE_CURVE('',#165236,#165234,#90169,.T.); #207172=EDGE_CURVE('',#165234,#165237,#138863,.T.); #207173=EDGE_CURVE('',#165238,#165236,#138864,.T.); #207174=EDGE_CURVE('',#165238,#165237,#90170,.T.); #207175=EDGE_CURVE('',#165237,#165239,#90171,.T.); #207176=EDGE_CURVE('',#165240,#165238,#90172,.T.); #207177=EDGE_CURVE('',#165240,#165239,#90173,.T.); #207178=EDGE_CURVE('',#165239,#165233,#138865,.T.); #207179=EDGE_CURVE('',#165235,#165240,#138866,.T.); #207180=EDGE_CURVE('',#165241,#165241,#138867,.T.); #207181=EDGE_CURVE('',#165241,#165242,#90174,.T.); #207182=EDGE_CURVE('',#165242,#165242,#138868,.T.); #207183=EDGE_CURVE('',#165243,#165243,#138869,.T.); #207184=EDGE_CURVE('',#165243,#165244,#90175,.T.); #207185=EDGE_CURVE('',#165244,#165244,#138870,.T.); #207186=EDGE_CURVE('',#165245,#165245,#138871,.T.); #207187=EDGE_CURVE('',#165245,#165246,#90176,.T.); #207188=EDGE_CURVE('',#165246,#165246,#138872,.T.); #207189=EDGE_CURVE('',#165247,#165247,#138873,.T.); #207190=EDGE_CURVE('',#165247,#165248,#90177,.T.); #207191=EDGE_CURVE('',#165248,#165248,#138874,.T.); #207192=EDGE_CURVE('',#165249,#165249,#138875,.T.); #207193=EDGE_CURVE('',#165249,#165250,#90178,.T.); #207194=EDGE_CURVE('',#165250,#165250,#138876,.T.); #207195=EDGE_CURVE('',#165251,#165251,#138877,.T.); #207196=EDGE_CURVE('',#165251,#165252,#90179,.T.); #207197=EDGE_CURVE('',#165252,#165252,#138878,.T.); #207198=EDGE_CURVE('',#165253,#165253,#138879,.T.); #207199=EDGE_CURVE('',#165253,#165254,#90180,.T.); #207200=EDGE_CURVE('',#165254,#165254,#138880,.T.); #207201=EDGE_CURVE('',#165255,#165255,#138881,.T.); #207202=EDGE_CURVE('',#165255,#165256,#90181,.T.); #207203=EDGE_CURVE('',#165256,#165256,#138882,.T.); #207204=EDGE_CURVE('',#165257,#165257,#138883,.T.); #207205=EDGE_CURVE('',#165257,#165258,#90182,.T.); #207206=EDGE_CURVE('',#165258,#165258,#138884,.T.); #207207=EDGE_CURVE('',#165259,#165259,#138885,.T.); #207208=EDGE_CURVE('',#165259,#165260,#90183,.T.); #207209=EDGE_CURVE('',#165260,#165260,#138886,.T.); #207210=EDGE_CURVE('',#165261,#165261,#138887,.T.); #207211=EDGE_CURVE('',#165261,#165262,#90184,.T.); #207212=EDGE_CURVE('',#165262,#165262,#138888,.T.); #207213=EDGE_CURVE('',#165263,#165263,#138889,.T.); #207214=EDGE_CURVE('',#165263,#165264,#90185,.T.); #207215=EDGE_CURVE('',#165264,#165264,#138890,.T.); #207216=EDGE_CURVE('',#165265,#165265,#138891,.T.); #207217=EDGE_CURVE('',#165265,#165266,#90186,.T.); #207218=EDGE_CURVE('',#165266,#165266,#138892,.T.); #207219=EDGE_CURVE('',#165267,#165267,#138893,.T.); #207220=EDGE_CURVE('',#165267,#165268,#90187,.T.); #207221=EDGE_CURVE('',#165268,#165268,#138894,.T.); #207222=EDGE_CURVE('',#165269,#165269,#138895,.T.); #207223=EDGE_CURVE('',#165269,#165270,#90188,.T.); #207224=EDGE_CURVE('',#165270,#165270,#138896,.T.); #207225=EDGE_CURVE('',#165271,#165271,#138897,.T.); #207226=EDGE_CURVE('',#165271,#165272,#90189,.T.); #207227=EDGE_CURVE('',#165272,#165272,#138898,.T.); #207228=EDGE_CURVE('',#165273,#165273,#138899,.T.); #207229=EDGE_CURVE('',#165273,#165274,#90190,.T.); #207230=EDGE_CURVE('',#165274,#165274,#138900,.T.); #207231=EDGE_CURVE('',#165275,#165275,#138901,.T.); #207232=EDGE_CURVE('',#165275,#165276,#90191,.T.); #207233=EDGE_CURVE('',#165276,#165276,#138902,.T.); #207234=EDGE_CURVE('',#165277,#165277,#138903,.T.); #207235=EDGE_CURVE('',#165277,#165278,#90192,.T.); #207236=EDGE_CURVE('',#165278,#165278,#138904,.T.); #207237=EDGE_CURVE('',#165279,#165279,#138905,.T.); #207238=EDGE_CURVE('',#165279,#165280,#90193,.T.); #207239=EDGE_CURVE('',#165280,#165280,#138906,.T.); #207240=EDGE_CURVE('',#165281,#165281,#138907,.T.); #207241=EDGE_CURVE('',#165281,#165282,#90194,.T.); #207242=EDGE_CURVE('',#165282,#165282,#138908,.T.); #207243=EDGE_CURVE('',#165283,#165283,#138909,.T.); #207244=EDGE_CURVE('',#165283,#165284,#90195,.T.); #207245=EDGE_CURVE('',#165284,#165284,#138910,.T.); #207246=EDGE_CURVE('',#165285,#165285,#138911,.T.); #207247=EDGE_CURVE('',#165285,#165286,#90196,.T.); #207248=EDGE_CURVE('',#165286,#165286,#138912,.T.); #207249=EDGE_CURVE('',#165287,#165287,#138913,.T.); #207250=EDGE_CURVE('',#165287,#165288,#90197,.T.); #207251=EDGE_CURVE('',#165288,#165288,#138914,.T.); #207252=EDGE_CURVE('',#165289,#165289,#138915,.T.); #207253=EDGE_CURVE('',#165289,#165290,#90198,.T.); #207254=EDGE_CURVE('',#165290,#165290,#138916,.T.); #207255=EDGE_CURVE('',#165291,#165291,#138917,.T.); #207256=EDGE_CURVE('',#165291,#165292,#90199,.T.); #207257=EDGE_CURVE('',#165292,#165292,#138918,.T.); #207258=EDGE_CURVE('',#165293,#165293,#138919,.T.); #207259=EDGE_CURVE('',#165293,#165294,#90200,.T.); #207260=EDGE_CURVE('',#165294,#165294,#138920,.T.); #207261=EDGE_CURVE('',#165295,#165295,#138921,.T.); #207262=EDGE_CURVE('',#165295,#165296,#90201,.T.); #207263=EDGE_CURVE('',#165296,#165296,#138922,.T.); #207264=EDGE_CURVE('',#165297,#165297,#138923,.T.); #207265=EDGE_CURVE('',#165297,#165298,#90202,.T.); #207266=EDGE_CURVE('',#165298,#165298,#138924,.T.); #207267=EDGE_CURVE('',#165299,#165299,#138925,.T.); #207268=EDGE_CURVE('',#165299,#165300,#90203,.T.); #207269=EDGE_CURVE('',#165300,#165300,#138926,.T.); #207270=EDGE_CURVE('',#165301,#165301,#138927,.T.); #207271=EDGE_CURVE('',#165301,#165302,#90204,.T.); #207272=EDGE_CURVE('',#165302,#165302,#138928,.T.); #207273=EDGE_CURVE('',#165303,#165303,#138929,.T.); #207274=EDGE_CURVE('',#165303,#165304,#90205,.T.); #207275=EDGE_CURVE('',#165304,#165304,#138930,.T.); #207276=EDGE_CURVE('',#165305,#165305,#138931,.T.); #207277=EDGE_CURVE('',#165305,#165306,#90206,.T.); #207278=EDGE_CURVE('',#165306,#165306,#138932,.T.); #207279=EDGE_CURVE('',#165307,#165307,#138933,.T.); #207280=EDGE_CURVE('',#165307,#165308,#90207,.T.); #207281=EDGE_CURVE('',#165308,#165308,#138934,.T.); #207282=EDGE_CURVE('',#165309,#165309,#138935,.T.); #207283=EDGE_CURVE('',#165309,#165310,#90208,.T.); #207284=EDGE_CURVE('',#165310,#165310,#138936,.T.); #207285=EDGE_CURVE('',#165311,#165311,#138937,.T.); #207286=EDGE_CURVE('',#165311,#165312,#90209,.T.); #207287=EDGE_CURVE('',#165312,#165312,#138938,.T.); #207288=EDGE_CURVE('',#165313,#165313,#138939,.T.); #207289=EDGE_CURVE('',#165313,#165314,#90210,.T.); #207290=EDGE_CURVE('',#165314,#165314,#138940,.T.); #207291=EDGE_CURVE('',#165315,#165315,#138941,.T.); #207292=EDGE_CURVE('',#165315,#165316,#90211,.T.); #207293=EDGE_CURVE('',#165316,#165316,#138942,.T.); #207294=EDGE_CURVE('',#165317,#165317,#138943,.T.); #207295=EDGE_CURVE('',#165317,#165318,#90212,.T.); #207296=EDGE_CURVE('',#165318,#165318,#138944,.T.); #207297=EDGE_CURVE('',#165319,#165319,#138945,.T.); #207298=EDGE_CURVE('',#165319,#165320,#90213,.T.); #207299=EDGE_CURVE('',#165320,#165320,#138946,.T.); #207300=EDGE_CURVE('',#165321,#165321,#138947,.T.); #207301=EDGE_CURVE('',#165321,#165322,#90214,.T.); #207302=EDGE_CURVE('',#165322,#165322,#138948,.T.); #207303=EDGE_CURVE('',#165323,#165323,#138949,.T.); #207304=EDGE_CURVE('',#165323,#165324,#90215,.T.); #207305=EDGE_CURVE('',#165324,#165324,#138950,.T.); #207306=EDGE_CURVE('',#165325,#165325,#138951,.T.); #207307=EDGE_CURVE('',#165325,#165326,#90216,.T.); #207308=EDGE_CURVE('',#165326,#165326,#138952,.T.); #207309=EDGE_CURVE('',#165327,#165327,#138953,.T.); #207310=EDGE_CURVE('',#165327,#165328,#90217,.T.); #207311=EDGE_CURVE('',#165328,#165328,#138954,.T.); #207312=EDGE_CURVE('',#165329,#165329,#138955,.T.); #207313=EDGE_CURVE('',#165329,#165330,#90218,.T.); #207314=EDGE_CURVE('',#165330,#165330,#138956,.T.); #207315=EDGE_CURVE('',#165331,#165331,#138957,.T.); #207316=EDGE_CURVE('',#165331,#165332,#90219,.T.); #207317=EDGE_CURVE('',#165332,#165332,#138958,.T.); #207318=EDGE_CURVE('',#165333,#165333,#138959,.T.); #207319=EDGE_CURVE('',#165333,#165334,#90220,.T.); #207320=EDGE_CURVE('',#165334,#165334,#138960,.T.); #207321=EDGE_CURVE('',#165335,#165335,#138961,.T.); #207322=EDGE_CURVE('',#165335,#165336,#90221,.T.); #207323=EDGE_CURVE('',#165336,#165336,#138962,.T.); #207324=EDGE_CURVE('',#165337,#165337,#138963,.T.); #207325=EDGE_CURVE('',#165337,#165338,#90222,.T.); #207326=EDGE_CURVE('',#165338,#165338,#138964,.T.); #207327=EDGE_CURVE('',#165339,#165339,#138965,.T.); #207328=EDGE_CURVE('',#165339,#165340,#90223,.T.); #207329=EDGE_CURVE('',#165340,#165340,#138966,.T.); #207330=EDGE_CURVE('',#165341,#165341,#138967,.T.); #207331=EDGE_CURVE('',#165341,#165342,#90224,.T.); #207332=EDGE_CURVE('',#165342,#165342,#138968,.T.); #207333=EDGE_CURVE('',#165343,#165343,#138969,.T.); #207334=EDGE_CURVE('',#165343,#165344,#90225,.T.); #207335=EDGE_CURVE('',#165344,#165344,#138970,.T.); #207336=EDGE_CURVE('',#165345,#165345,#138971,.T.); #207337=EDGE_CURVE('',#165345,#165346,#90226,.T.); #207338=EDGE_CURVE('',#165346,#165346,#138972,.T.); #207339=EDGE_CURVE('',#165347,#165347,#138973,.T.); #207340=EDGE_CURVE('',#165347,#165348,#90227,.T.); #207341=EDGE_CURVE('',#165348,#165348,#138974,.T.); #207342=EDGE_CURVE('',#165349,#165349,#138975,.T.); #207343=EDGE_CURVE('',#165349,#165350,#90228,.T.); #207344=EDGE_CURVE('',#165350,#165350,#138976,.T.); #207345=EDGE_CURVE('',#165351,#165351,#138977,.T.); #207346=EDGE_CURVE('',#165351,#165352,#90229,.T.); #207347=EDGE_CURVE('',#165352,#165352,#138978,.T.); #207348=EDGE_CURVE('',#165353,#165353,#138979,.T.); #207349=EDGE_CURVE('',#165353,#165354,#90230,.T.); #207350=EDGE_CURVE('',#165354,#165354,#138980,.T.); #207351=EDGE_CURVE('',#165355,#165355,#138981,.T.); #207352=EDGE_CURVE('',#165355,#165356,#90231,.T.); #207353=EDGE_CURVE('',#165356,#165356,#138982,.T.); #207354=EDGE_CURVE('',#165357,#165357,#138983,.T.); #207355=EDGE_CURVE('',#165357,#165358,#90232,.T.); #207356=EDGE_CURVE('',#165358,#165358,#138984,.T.); #207357=EDGE_CURVE('',#165359,#165359,#138985,.T.); #207358=EDGE_CURVE('',#165359,#165360,#90233,.T.); #207359=EDGE_CURVE('',#165360,#165360,#138986,.T.); #207360=EDGE_CURVE('',#165361,#165361,#138987,.T.); #207361=EDGE_CURVE('',#165361,#165362,#90234,.T.); #207362=EDGE_CURVE('',#165362,#165362,#138988,.T.); #207363=EDGE_CURVE('',#165363,#165363,#138989,.T.); #207364=EDGE_CURVE('',#165363,#165364,#90235,.T.); #207365=EDGE_CURVE('',#165364,#165364,#138990,.T.); #207366=EDGE_CURVE('',#165365,#165365,#138991,.T.); #207367=EDGE_CURVE('',#165365,#165366,#90236,.T.); #207368=EDGE_CURVE('',#165366,#165366,#138992,.T.); #207369=EDGE_CURVE('',#165367,#165367,#138993,.T.); #207370=EDGE_CURVE('',#165367,#165368,#90237,.T.); #207371=EDGE_CURVE('',#165368,#165368,#138994,.T.); #207372=EDGE_CURVE('',#165369,#165369,#138995,.T.); #207373=EDGE_CURVE('',#165369,#165370,#90238,.T.); #207374=EDGE_CURVE('',#165370,#165370,#138996,.T.); #207375=EDGE_CURVE('',#165371,#165371,#138997,.T.); #207376=EDGE_CURVE('',#165371,#165372,#90239,.T.); #207377=EDGE_CURVE('',#165372,#165372,#138998,.T.); #207378=EDGE_CURVE('',#165373,#165373,#138999,.T.); #207379=EDGE_CURVE('',#165373,#165374,#90240,.T.); #207380=EDGE_CURVE('',#165374,#165374,#139000,.T.); #207381=EDGE_CURVE('',#165375,#165375,#139001,.T.); #207382=EDGE_CURVE('',#165375,#165376,#90241,.T.); #207383=EDGE_CURVE('',#165376,#165376,#139002,.T.); #207384=EDGE_CURVE('',#165377,#165377,#139003,.T.); #207385=EDGE_CURVE('',#165377,#165378,#90242,.T.); #207386=EDGE_CURVE('',#165378,#165378,#139004,.T.); #207387=EDGE_CURVE('',#165379,#165379,#139005,.T.); #207388=EDGE_CURVE('',#165379,#165380,#90243,.T.); #207389=EDGE_CURVE('',#165380,#165380,#139006,.T.); #207390=EDGE_CURVE('',#165381,#165381,#139007,.T.); #207391=EDGE_CURVE('',#165381,#165382,#90244,.T.); #207392=EDGE_CURVE('',#165382,#165382,#139008,.T.); #207393=EDGE_CURVE('',#165383,#165383,#139009,.T.); #207394=EDGE_CURVE('',#165383,#165384,#90245,.T.); #207395=EDGE_CURVE('',#165384,#165384,#139010,.T.); #207396=EDGE_CURVE('',#165385,#165385,#139011,.T.); #207397=EDGE_CURVE('',#165385,#165386,#90246,.T.); #207398=EDGE_CURVE('',#165386,#165386,#139012,.T.); #207399=EDGE_CURVE('',#165387,#165387,#139013,.T.); #207400=EDGE_CURVE('',#165387,#165388,#90247,.T.); #207401=EDGE_CURVE('',#165388,#165388,#139014,.T.); #207402=EDGE_CURVE('',#165389,#165389,#139015,.T.); #207403=EDGE_CURVE('',#165389,#165390,#90248,.T.); #207404=EDGE_CURVE('',#165390,#165390,#139016,.T.); #207405=EDGE_CURVE('',#165391,#165391,#139017,.T.); #207406=EDGE_CURVE('',#165391,#165392,#90249,.T.); #207407=EDGE_CURVE('',#165392,#165392,#139018,.T.); #207408=EDGE_CURVE('',#165393,#165393,#139019,.T.); #207409=EDGE_CURVE('',#165393,#165394,#90250,.T.); #207410=EDGE_CURVE('',#165394,#165394,#139020,.T.); #207411=EDGE_CURVE('',#165395,#165395,#139021,.T.); #207412=EDGE_CURVE('',#165395,#165396,#90251,.T.); #207413=EDGE_CURVE('',#165396,#165396,#139022,.T.); #207414=EDGE_CURVE('',#165397,#165397,#139023,.T.); #207415=EDGE_CURVE('',#165397,#165398,#90252,.T.); #207416=EDGE_CURVE('',#165398,#165398,#139024,.T.); #207417=EDGE_CURVE('',#165399,#165399,#139025,.T.); #207418=EDGE_CURVE('',#165399,#165400,#90253,.T.); #207419=EDGE_CURVE('',#165400,#165400,#139026,.T.); #207420=EDGE_CURVE('',#165401,#165401,#139027,.T.); #207421=EDGE_CURVE('',#165401,#165402,#90254,.T.); #207422=EDGE_CURVE('',#165402,#165402,#139028,.T.); #207423=EDGE_CURVE('',#165403,#165403,#139029,.T.); #207424=EDGE_CURVE('',#165403,#165404,#90255,.T.); #207425=EDGE_CURVE('',#165404,#165404,#139030,.T.); #207426=EDGE_CURVE('',#165405,#165405,#139031,.T.); #207427=EDGE_CURVE('',#165405,#165406,#90256,.T.); #207428=EDGE_CURVE('',#165406,#165406,#139032,.T.); #207429=EDGE_CURVE('',#165407,#165407,#139033,.T.); #207430=EDGE_CURVE('',#165407,#165408,#90257,.T.); #207431=EDGE_CURVE('',#165408,#165408,#139034,.T.); #207432=EDGE_CURVE('',#165409,#165409,#139035,.T.); #207433=EDGE_CURVE('',#165409,#165410,#90258,.T.); #207434=EDGE_CURVE('',#165410,#165410,#139036,.T.); #207435=EDGE_CURVE('',#165411,#165411,#139037,.T.); #207436=EDGE_CURVE('',#165411,#165412,#90259,.T.); #207437=EDGE_CURVE('',#165412,#165412,#139038,.T.); #207438=EDGE_CURVE('',#165413,#165413,#139039,.T.); #207439=EDGE_CURVE('',#165413,#165414,#90260,.T.); #207440=EDGE_CURVE('',#165414,#165414,#139040,.T.); #207441=EDGE_CURVE('',#165415,#165415,#139041,.T.); #207442=EDGE_CURVE('',#165415,#165416,#90261,.T.); #207443=EDGE_CURVE('',#165416,#165416,#139042,.T.); #207444=EDGE_CURVE('',#165417,#165417,#139043,.T.); #207445=EDGE_CURVE('',#165417,#165418,#90262,.T.); #207446=EDGE_CURVE('',#165418,#165418,#139044,.T.); #207447=EDGE_CURVE('',#165419,#165419,#139045,.T.); #207448=EDGE_CURVE('',#165419,#165420,#90263,.T.); #207449=EDGE_CURVE('',#165420,#165420,#139046,.T.); #207450=EDGE_CURVE('',#165421,#165421,#139047,.T.); #207451=EDGE_CURVE('',#165421,#165422,#90264,.T.); #207452=EDGE_CURVE('',#165422,#165422,#139048,.T.); #207453=EDGE_CURVE('',#165423,#165423,#139049,.T.); #207454=EDGE_CURVE('',#165423,#165424,#90265,.T.); #207455=EDGE_CURVE('',#165424,#165424,#139050,.T.); #207456=EDGE_CURVE('',#165425,#165425,#139051,.T.); #207457=EDGE_CURVE('',#165425,#165426,#90266,.T.); #207458=EDGE_CURVE('',#165426,#165426,#139052,.T.); #207459=EDGE_CURVE('',#165427,#165427,#139053,.T.); #207460=EDGE_CURVE('',#165427,#165428,#90267,.T.); #207461=EDGE_CURVE('',#165428,#165428,#139054,.T.); #207462=EDGE_CURVE('',#165429,#165429,#139055,.T.); #207463=EDGE_CURVE('',#165429,#165430,#90268,.T.); #207464=EDGE_CURVE('',#165430,#165430,#139056,.T.); #207465=EDGE_CURVE('',#165431,#165431,#139057,.T.); #207466=EDGE_CURVE('',#165431,#165432,#90269,.T.); #207467=EDGE_CURVE('',#165432,#165432,#139058,.T.); #207468=EDGE_CURVE('',#165433,#165433,#139059,.T.); #207469=EDGE_CURVE('',#165433,#165434,#90270,.T.); #207470=EDGE_CURVE('',#165434,#165434,#139060,.T.); #207471=EDGE_CURVE('',#165435,#165435,#139061,.T.); #207472=EDGE_CURVE('',#165435,#165436,#90271,.T.); #207473=EDGE_CURVE('',#165436,#165436,#139062,.T.); #207474=EDGE_CURVE('',#165437,#165437,#139063,.T.); #207475=EDGE_CURVE('',#165437,#165438,#90272,.T.); #207476=EDGE_CURVE('',#165438,#165438,#139064,.T.); #207477=EDGE_CURVE('',#165439,#165439,#139065,.T.); #207478=EDGE_CURVE('',#165439,#165440,#90273,.T.); #207479=EDGE_CURVE('',#165440,#165440,#139066,.T.); #207480=EDGE_CURVE('',#165441,#165441,#139067,.T.); #207481=EDGE_CURVE('',#165441,#165442,#90274,.T.); #207482=EDGE_CURVE('',#165442,#165442,#139068,.T.); #207483=EDGE_CURVE('',#165443,#165443,#139069,.T.); #207484=EDGE_CURVE('',#165443,#165444,#90275,.T.); #207485=EDGE_CURVE('',#165444,#165444,#139070,.T.); #207486=EDGE_CURVE('',#165445,#165445,#139071,.T.); #207487=EDGE_CURVE('',#165445,#165446,#90276,.T.); #207488=EDGE_CURVE('',#165446,#165446,#139072,.T.); #207489=EDGE_CURVE('',#165447,#165447,#139073,.T.); #207490=EDGE_CURVE('',#165447,#165448,#90277,.T.); #207491=EDGE_CURVE('',#165448,#165448,#139074,.T.); #207492=EDGE_CURVE('',#165449,#165449,#139075,.T.); #207493=EDGE_CURVE('',#165449,#165450,#90278,.T.); #207494=EDGE_CURVE('',#165450,#165450,#139076,.T.); #207495=EDGE_CURVE('',#165451,#165451,#139077,.T.); #207496=EDGE_CURVE('',#165451,#165452,#90279,.T.); #207497=EDGE_CURVE('',#165452,#165452,#139078,.T.); #207498=EDGE_CURVE('',#165453,#165453,#139079,.T.); #207499=EDGE_CURVE('',#165453,#165454,#90280,.T.); #207500=EDGE_CURVE('',#165454,#165454,#139080,.T.); #207501=EDGE_CURVE('',#165455,#165455,#139081,.T.); #207502=EDGE_CURVE('',#165455,#165456,#90281,.T.); #207503=EDGE_CURVE('',#165456,#165456,#139082,.T.); #207504=EDGE_CURVE('',#165457,#165457,#139083,.T.); #207505=EDGE_CURVE('',#165457,#165458,#90282,.T.); #207506=EDGE_CURVE('',#165458,#165458,#139084,.T.); #207507=EDGE_CURVE('',#165459,#165459,#139085,.T.); #207508=EDGE_CURVE('',#165459,#165460,#90283,.T.); #207509=EDGE_CURVE('',#165460,#165460,#139086,.T.); #207510=EDGE_CURVE('',#165461,#165461,#139087,.T.); #207511=EDGE_CURVE('',#165461,#165462,#90284,.T.); #207512=EDGE_CURVE('',#165462,#165462,#139088,.T.); #207513=EDGE_CURVE('',#165463,#165463,#139089,.T.); #207514=EDGE_CURVE('',#165463,#165464,#90285,.T.); #207515=EDGE_CURVE('',#165464,#165464,#139090,.T.); #207516=EDGE_CURVE('',#165465,#165465,#139091,.T.); #207517=EDGE_CURVE('',#165465,#165466,#90286,.T.); #207518=EDGE_CURVE('',#165466,#165466,#139092,.T.); #207519=EDGE_CURVE('',#165467,#165467,#139093,.T.); #207520=EDGE_CURVE('',#165467,#165468,#90287,.T.); #207521=EDGE_CURVE('',#165468,#165468,#139094,.T.); #207522=EDGE_CURVE('',#165469,#165469,#139095,.T.); #207523=EDGE_CURVE('',#165469,#165470,#90288,.T.); #207524=EDGE_CURVE('',#165470,#165470,#139096,.T.); #207525=EDGE_CURVE('',#165471,#165471,#139097,.T.); #207526=EDGE_CURVE('',#165471,#165472,#90289,.T.); #207527=EDGE_CURVE('',#165472,#165472,#139098,.T.); #207528=EDGE_CURVE('',#165473,#165473,#139099,.T.); #207529=EDGE_CURVE('',#165473,#165474,#90290,.T.); #207530=EDGE_CURVE('',#165474,#165474,#139100,.T.); #207531=EDGE_CURVE('',#165475,#165475,#139101,.T.); #207532=EDGE_CURVE('',#165475,#165476,#90291,.T.); #207533=EDGE_CURVE('',#165476,#165476,#139102,.T.); #207534=EDGE_CURVE('',#165477,#165477,#139103,.T.); #207535=EDGE_CURVE('',#165477,#165478,#90292,.T.); #207536=EDGE_CURVE('',#165478,#165478,#139104,.T.); #207537=EDGE_CURVE('',#165479,#165479,#139105,.T.); #207538=EDGE_CURVE('',#165479,#165480,#90293,.T.); #207539=EDGE_CURVE('',#165480,#165480,#139106,.T.); #207540=EDGE_CURVE('',#165481,#165481,#139107,.T.); #207541=EDGE_CURVE('',#165481,#165482,#90294,.T.); #207542=EDGE_CURVE('',#165482,#165482,#139108,.T.); #207543=EDGE_CURVE('',#165483,#165483,#139109,.T.); #207544=EDGE_CURVE('',#165483,#165484,#90295,.T.); #207545=EDGE_CURVE('',#165484,#165484,#139110,.T.); #207546=EDGE_CURVE('',#165485,#165485,#139111,.T.); #207547=EDGE_CURVE('',#165485,#165486,#90296,.T.); #207548=EDGE_CURVE('',#165486,#165486,#139112,.T.); #207549=EDGE_CURVE('',#165487,#165487,#139113,.T.); #207550=EDGE_CURVE('',#165487,#165488,#90297,.T.); #207551=EDGE_CURVE('',#165488,#165488,#139114,.T.); #207552=EDGE_CURVE('',#165489,#165489,#139115,.T.); #207553=EDGE_CURVE('',#165489,#165490,#90298,.T.); #207554=EDGE_CURVE('',#165490,#165490,#139116,.T.); #207555=EDGE_CURVE('',#165491,#165491,#139117,.T.); #207556=EDGE_CURVE('',#165491,#165492,#90299,.T.); #207557=EDGE_CURVE('',#165492,#165492,#139118,.T.); #207558=EDGE_CURVE('',#165493,#165493,#139119,.T.); #207559=EDGE_CURVE('',#165493,#165494,#90300,.T.); #207560=EDGE_CURVE('',#165494,#165494,#139120,.T.); #207561=EDGE_CURVE('',#165495,#165495,#139121,.T.); #207562=EDGE_CURVE('',#165495,#165496,#90301,.T.); #207563=EDGE_CURVE('',#165496,#165496,#139122,.T.); #207564=EDGE_CURVE('',#165497,#165497,#139123,.T.); #207565=EDGE_CURVE('',#165497,#165498,#90302,.T.); #207566=EDGE_CURVE('',#165498,#165498,#139124,.T.); #207567=EDGE_CURVE('',#165499,#165499,#139125,.T.); #207568=EDGE_CURVE('',#165499,#165500,#90303,.T.); #207569=EDGE_CURVE('',#165500,#165500,#139126,.T.); #207570=EDGE_CURVE('',#165501,#165501,#139127,.T.); #207571=EDGE_CURVE('',#165501,#165502,#90304,.T.); #207572=EDGE_CURVE('',#165502,#165502,#139128,.T.); #207573=EDGE_CURVE('',#165503,#165503,#139129,.T.); #207574=EDGE_CURVE('',#165503,#165504,#90305,.T.); #207575=EDGE_CURVE('',#165504,#165504,#139130,.T.); #207576=EDGE_CURVE('',#165505,#165505,#139131,.T.); #207577=EDGE_CURVE('',#165505,#165506,#90306,.T.); #207578=EDGE_CURVE('',#165506,#165506,#139132,.T.); #207579=EDGE_CURVE('',#165507,#165508,#90307,.T.); #207580=EDGE_CURVE('',#165508,#165509,#90308,.T.); #207581=EDGE_CURVE('',#165509,#165510,#90309,.T.); #207582=EDGE_CURVE('',#165510,#165507,#90310,.T.); #207583=EDGE_CURVE('',#165508,#165511,#90311,.T.); #207584=EDGE_CURVE('',#165512,#165511,#90312,.T.); #207585=EDGE_CURVE('',#165509,#165512,#90313,.T.); #207586=EDGE_CURVE('',#165513,#165507,#90314,.T.); #207587=EDGE_CURVE('',#165513,#165514,#90315,.T.); #207588=EDGE_CURVE('',#165514,#165515,#90316,.T.); #207589=EDGE_CURVE('',#165516,#165515,#90317,.T.); #207590=EDGE_CURVE('',#165516,#165517,#90318,.T.); #207591=EDGE_CURVE('',#165517,#165518,#90319,.T.); #207592=EDGE_CURVE('',#165518,#165519,#90320,.T.); #207593=EDGE_CURVE('',#165520,#165519,#90321,.T.); #207594=EDGE_CURVE('',#165520,#165521,#90322,.T.); #207595=EDGE_CURVE('',#165521,#165522,#90323,.T.); #207596=EDGE_CURVE('',#165522,#165523,#90324,.T.); #207597=EDGE_CURVE('',#165524,#165523,#90325,.T.); #207598=EDGE_CURVE('',#165524,#165525,#90326,.T.); #207599=EDGE_CURVE('',#165525,#165526,#90327,.T.); #207600=EDGE_CURVE('',#165526,#165527,#90328,.T.); #207601=EDGE_CURVE('',#165528,#165527,#90329,.T.); #207602=EDGE_CURVE('',#165528,#165529,#90330,.T.); #207603=EDGE_CURVE('',#165529,#165530,#90331,.T.); #207604=EDGE_CURVE('',#165530,#165531,#90332,.T.); #207605=EDGE_CURVE('',#165532,#165531,#90333,.T.); #207606=EDGE_CURVE('',#165533,#165532,#90334,.T.); #207607=EDGE_CURVE('',#165533,#165534,#90335,.T.); #207608=EDGE_CURVE('',#165534,#165535,#90336,.T.); #207609=EDGE_CURVE('',#165511,#165535,#90337,.T.); #207610=EDGE_CURVE('',#165536,#165512,#90338,.T.); #207611=EDGE_CURVE('',#165535,#165536,#90339,.T.); #207612=EDGE_CURVE('',#165536,#165537,#90340,.T.); #207613=EDGE_CURVE('',#165538,#165537,#90341,.T.); #207614=EDGE_CURVE('',#165538,#165510,#90342,.T.); #207615=EDGE_CURVE('',#165539,#165534,#90343,.T.); #207616=EDGE_CURVE('',#165540,#165539,#90344,.T.); #207617=EDGE_CURVE('',#165541,#165540,#90345,.T.); #207618=EDGE_CURVE('',#165542,#165541,#90346,.T.); #207619=EDGE_CURVE('',#165537,#165542,#90347,.T.); #207620=EDGE_CURVE('',#165543,#165513,#90348,.T.); #207621=EDGE_CURVE('',#165543,#165539,#90349,.T.); #207622=EDGE_CURVE('',#165544,#165533,#90350,.T.); #207623=EDGE_CURVE('',#165544,#165545,#90351,.T.); #207624=EDGE_CURVE('',#165545,#165514,#90352,.T.); #207625=EDGE_CURVE('',#165546,#165547,#90353,.T.); #207626=EDGE_CURVE('',#165548,#165546,#90354,.T.); #207627=EDGE_CURVE('',#165548,#165549,#90355,.T.); #207628=EDGE_CURVE('',#165549,#165547,#90356,.T.); #207629=EDGE_CURVE('',#165550,#165551,#90357,.T.); #207630=EDGE_CURVE('',#165552,#165550,#90358,.T.); #207631=EDGE_CURVE('',#165552,#165553,#90359,.T.); #207632=EDGE_CURVE('',#165553,#165551,#90360,.T.); #207633=EDGE_CURVE('',#165554,#165555,#90361,.T.); #207634=EDGE_CURVE('',#165556,#165554,#90362,.T.); #207635=EDGE_CURVE('',#165556,#165557,#90363,.T.); #207636=EDGE_CURVE('',#165557,#165555,#90364,.T.); #207637=EDGE_CURVE('',#165558,#165559,#90365,.T.); #207638=EDGE_CURVE('',#165560,#165558,#90366,.T.); #207639=EDGE_CURVE('',#165560,#165561,#90367,.T.); #207640=EDGE_CURVE('',#165561,#165559,#90368,.T.); #207641=EDGE_CURVE('',#165562,#165563,#90369,.T.); #207642=EDGE_CURVE('',#165518,#165563,#90370,.T.); #207643=EDGE_CURVE('',#165517,#165562,#90371,.T.); #207644=EDGE_CURVE('',#165564,#165565,#90372,.T.); #207645=EDGE_CURVE('',#165564,#165566,#90373,.T.); #207646=EDGE_CURVE('',#165566,#165567,#90374,.T.); #207647=EDGE_CURVE('',#165565,#165567,#90375,.T.); #207648=EDGE_CURVE('',#165568,#165569,#90376,.T.); #207649=EDGE_CURVE('',#165522,#165569,#90377,.T.); #207650=EDGE_CURVE('',#165521,#165568,#90378,.T.); #207651=EDGE_CURVE('',#165570,#165571,#90379,.T.); #207652=EDGE_CURVE('',#165570,#165572,#90380,.T.); #207653=EDGE_CURVE('',#165572,#165573,#90381,.T.); #207654=EDGE_CURVE('',#165571,#165573,#90382,.T.); #207655=EDGE_CURVE('',#165574,#165575,#90383,.T.); #207656=EDGE_CURVE('',#165526,#165575,#90384,.T.); #207657=EDGE_CURVE('',#165525,#165574,#90385,.T.); #207658=EDGE_CURVE('',#165576,#165577,#90386,.T.); #207659=EDGE_CURVE('',#165576,#165578,#90387,.T.); #207660=EDGE_CURVE('',#165578,#165579,#90388,.T.); #207661=EDGE_CURVE('',#165577,#165579,#90389,.T.); #207662=EDGE_CURVE('',#165580,#165581,#90390,.T.); #207663=EDGE_CURVE('',#165580,#165520,#90391,.T.); #207664=EDGE_CURVE('',#165519,#165581,#90392,.T.); #207665=EDGE_CURVE('',#165582,#165583,#90393,.T.); #207666=EDGE_CURVE('',#165582,#165516,#90394,.T.); #207667=EDGE_CURVE('',#165515,#165583,#90395,.T.); #207668=EDGE_CURVE('',#165584,#165585,#90396,.T.); #207669=EDGE_CURVE('',#165530,#165585,#90397,.T.); #207670=EDGE_CURVE('',#165529,#165584,#90398,.T.); #207671=EDGE_CURVE('',#165586,#165587,#90399,.T.); #207672=EDGE_CURVE('',#165586,#165588,#90400,.T.); #207673=EDGE_CURVE('',#165588,#165589,#90401,.T.); #207674=EDGE_CURVE('',#165587,#165589,#90402,.T.); #207675=EDGE_CURVE('',#165527,#165590,#90403,.T.); #207676=EDGE_CURVE('',#165591,#165590,#90404,.T.); #207677=EDGE_CURVE('',#165591,#165528,#90405,.T.); #207678=EDGE_CURVE('',#165592,#165574,#90406,.T.); #207679=EDGE_CURVE('',#165592,#165593,#90407,.T.); #207680=EDGE_CURVE('',#165569,#165593,#90408,.T.); #207681=EDGE_CURVE('',#165580,#165568,#90409,.T.); #207682=EDGE_CURVE('',#165563,#165581,#90410,.T.); #207683=EDGE_CURVE('',#165582,#165562,#90411,.T.); #207684=EDGE_CURVE('',#165583,#165545,#90412,.T.); #207685=EDGE_CURVE('',#165594,#165544,#90413,.T.); #207686=EDGE_CURVE('',#165594,#165595,#90414,.T.); #207687=EDGE_CURVE('',#165585,#165595,#90415,.T.); #207688=EDGE_CURVE('',#165591,#165584,#90416,.T.); #207689=EDGE_CURVE('',#165575,#165590,#90417,.T.); #207690=EDGE_CURVE('',#165531,#165595,#90418,.T.); #207691=EDGE_CURVE('',#165532,#165594,#90419,.T.); #207692=EDGE_CURVE('',#165538,#165596,#90420,.T.); #207693=EDGE_CURVE('',#165596,#165597,#90421,.T.); #207694=EDGE_CURVE('',#165597,#165598,#90422,.T.); #207695=EDGE_CURVE('',#165543,#165598,#90423,.T.); #207696=EDGE_CURVE('',#165598,#165540,#90424,.T.); #207697=EDGE_CURVE('',#165597,#165541,#90425,.T.); #207698=EDGE_CURVE('',#165596,#165542,#90426,.T.); #207699=EDGE_CURVE('',#165599,#165600,#90427,.T.); #207700=EDGE_CURVE('',#165600,#165601,#90428,.T.); #207701=EDGE_CURVE('',#165601,#165602,#90429,.T.); #207702=EDGE_CURVE('',#165602,#165599,#90430,.T.); #207703=EDGE_CURVE('',#165588,#165603,#90431,.T.); #207704=EDGE_CURVE('',#165603,#165604,#90432,.T.); #207705=EDGE_CURVE('',#165589,#165604,#90433,.T.); #207706=EDGE_CURVE('',#165587,#165605,#90434,.T.); #207707=EDGE_CURVE('',#165605,#165606,#90435,.T.); #207708=EDGE_CURVE('',#165586,#165606,#90436,.T.); #207709=EDGE_CURVE('',#165606,#165603,#90437,.T.); #207710=EDGE_CURVE('',#165604,#165605,#90438,.T.); #207711=EDGE_CURVE('',#165607,#165558,#90439,.T.); #207712=EDGE_CURVE('',#165607,#165608,#90440,.T.); #207713=EDGE_CURVE('',#165608,#165560,#90441,.T.); #207714=EDGE_CURVE('',#165609,#165561,#90442,.T.); #207715=EDGE_CURVE('',#165609,#165610,#90443,.T.); #207716=EDGE_CURVE('',#165610,#165559,#90444,.T.); #207717=EDGE_CURVE('',#165610,#165607,#90445,.T.); #207718=EDGE_CURVE('',#165608,#165609,#90446,.T.); #207719=EDGE_CURVE('',#165611,#165612,#90447,.T.); #207720=EDGE_CURVE('',#165612,#165613,#90448,.T.); #207721=EDGE_CURVE('',#165613,#165614,#90449,.T.); #207722=EDGE_CURVE('',#165614,#165611,#90450,.T.); #207723=EDGE_CURVE('',#165603,#165602,#90451,.T.); #207724=EDGE_CURVE('',#165599,#165606,#90452,.T.); #207725=EDGE_CURVE('',#165600,#165605,#90453,.T.); #207726=EDGE_CURVE('',#165604,#165601,#90454,.T.); #207727=EDGE_CURVE('',#165608,#165614,#90455,.T.); #207728=EDGE_CURVE('',#165611,#165607,#90456,.T.); #207729=EDGE_CURVE('',#165612,#165610,#90457,.T.); #207730=EDGE_CURVE('',#165609,#165613,#90458,.T.); #207731=EDGE_CURVE('',#165523,#165593,#90459,.T.); #207732=EDGE_CURVE('',#165592,#165524,#90460,.T.); #207733=EDGE_CURVE('',#165615,#165616,#90461,.T.); #207734=EDGE_CURVE('',#165616,#165617,#90462,.T.); #207735=EDGE_CURVE('',#165617,#165618,#90463,.T.); #207736=EDGE_CURVE('',#165618,#165615,#90464,.T.); #207737=EDGE_CURVE('',#165578,#165619,#90465,.T.); #207738=EDGE_CURVE('',#165619,#165620,#90466,.T.); #207739=EDGE_CURVE('',#165579,#165620,#90467,.T.); #207740=EDGE_CURVE('',#165577,#165621,#90468,.T.); #207741=EDGE_CURVE('',#165621,#165622,#90469,.T.); #207742=EDGE_CURVE('',#165576,#165622,#90470,.T.); #207743=EDGE_CURVE('',#165622,#165619,#90471,.T.); #207744=EDGE_CURVE('',#165620,#165621,#90472,.T.); #207745=EDGE_CURVE('',#165623,#165546,#90473,.T.); #207746=EDGE_CURVE('',#165623,#165624,#90474,.T.); #207747=EDGE_CURVE('',#165624,#165548,#90475,.T.); #207748=EDGE_CURVE('',#165625,#165549,#90476,.T.); #207749=EDGE_CURVE('',#165625,#165626,#90477,.T.); #207750=EDGE_CURVE('',#165626,#165547,#90478,.T.); #207751=EDGE_CURVE('',#165626,#165623,#90479,.T.); #207752=EDGE_CURVE('',#165624,#165625,#90480,.T.); #207753=EDGE_CURVE('',#165627,#165628,#90481,.T.); #207754=EDGE_CURVE('',#165628,#165629,#90482,.T.); #207755=EDGE_CURVE('',#165629,#165630,#90483,.T.); #207756=EDGE_CURVE('',#165630,#165627,#90484,.T.); #207757=EDGE_CURVE('',#165631,#165632,#90485,.T.); #207758=EDGE_CURVE('',#165632,#165633,#90486,.T.); #207759=EDGE_CURVE('',#165633,#165634,#90487,.T.); #207760=EDGE_CURVE('',#165634,#165631,#90488,.T.); #207761=EDGE_CURVE('',#165572,#165635,#90489,.T.); #207762=EDGE_CURVE('',#165635,#165636,#90490,.T.); #207763=EDGE_CURVE('',#165573,#165636,#90491,.T.); #207764=EDGE_CURVE('',#165571,#165637,#90492,.T.); #207765=EDGE_CURVE('',#165637,#165638,#90493,.T.); #207766=EDGE_CURVE('',#165570,#165638,#90494,.T.); #207767=EDGE_CURVE('',#165638,#165635,#90495,.T.); #207768=EDGE_CURVE('',#165636,#165637,#90496,.T.); #207769=EDGE_CURVE('',#165639,#165554,#90497,.T.); #207770=EDGE_CURVE('',#165639,#165640,#90498,.T.); #207771=EDGE_CURVE('',#165640,#165556,#90499,.T.); #207772=EDGE_CURVE('',#165641,#165557,#90500,.T.); #207773=EDGE_CURVE('',#165641,#165642,#90501,.T.); #207774=EDGE_CURVE('',#165642,#165555,#90502,.T.); #207775=EDGE_CURVE('',#165642,#165639,#90503,.T.); #207776=EDGE_CURVE('',#165640,#165641,#90504,.T.); #207777=EDGE_CURVE('',#165643,#165644,#90505,.T.); #207778=EDGE_CURVE('',#165644,#165645,#90506,.T.); #207779=EDGE_CURVE('',#165645,#165646,#90507,.T.); #207780=EDGE_CURVE('',#165646,#165643,#90508,.T.); #207781=EDGE_CURVE('',#165647,#165648,#90509,.T.); #207782=EDGE_CURVE('',#165648,#165649,#90510,.T.); #207783=EDGE_CURVE('',#165649,#165650,#90511,.T.); #207784=EDGE_CURVE('',#165650,#165647,#90512,.T.); #207785=EDGE_CURVE('',#165566,#165651,#90513,.T.); #207786=EDGE_CURVE('',#165651,#165652,#90514,.T.); #207787=EDGE_CURVE('',#165567,#165652,#90515,.T.); #207788=EDGE_CURVE('',#165565,#165653,#90516,.T.); #207789=EDGE_CURVE('',#165653,#165654,#90517,.T.); #207790=EDGE_CURVE('',#165564,#165654,#90518,.T.); #207791=EDGE_CURVE('',#165654,#165651,#90519,.T.); #207792=EDGE_CURVE('',#165652,#165653,#90520,.T.); #207793=EDGE_CURVE('',#165655,#165550,#90521,.T.); #207794=EDGE_CURVE('',#165655,#165656,#90522,.T.); #207795=EDGE_CURVE('',#165656,#165552,#90523,.T.); #207796=EDGE_CURVE('',#165657,#165553,#90524,.T.); #207797=EDGE_CURVE('',#165657,#165658,#90525,.T.); #207798=EDGE_CURVE('',#165658,#165551,#90526,.T.); #207799=EDGE_CURVE('',#165658,#165655,#90527,.T.); #207800=EDGE_CURVE('',#165656,#165657,#90528,.T.); #207801=EDGE_CURVE('',#165659,#165660,#90529,.T.); #207802=EDGE_CURVE('',#165660,#165661,#90530,.T.); #207803=EDGE_CURVE('',#165661,#165662,#90531,.T.); #207804=EDGE_CURVE('',#165662,#165659,#90532,.T.); #207805=EDGE_CURVE('',#165619,#165618,#90533,.T.); #207806=EDGE_CURVE('',#165615,#165622,#90534,.T.); #207807=EDGE_CURVE('',#165616,#165621,#90535,.T.); #207808=EDGE_CURVE('',#165620,#165617,#90536,.T.); #207809=EDGE_CURVE('',#165624,#165630,#90537,.T.); #207810=EDGE_CURVE('',#165627,#165623,#90538,.T.); #207811=EDGE_CURVE('',#165628,#165626,#90539,.T.); #207812=EDGE_CURVE('',#165625,#165629,#90540,.T.); #207813=EDGE_CURVE('',#165635,#165634,#90541,.T.); #207814=EDGE_CURVE('',#165631,#165638,#90542,.T.); #207815=EDGE_CURVE('',#165632,#165637,#90543,.T.); #207816=EDGE_CURVE('',#165636,#165633,#90544,.T.); #207817=EDGE_CURVE('',#165640,#165646,#90545,.T.); #207818=EDGE_CURVE('',#165643,#165639,#90546,.T.); #207819=EDGE_CURVE('',#165644,#165642,#90547,.T.); #207820=EDGE_CURVE('',#165641,#165645,#90548,.T.); #207821=EDGE_CURVE('',#165651,#165650,#90549,.T.); #207822=EDGE_CURVE('',#165647,#165654,#90550,.T.); #207823=EDGE_CURVE('',#165648,#165653,#90551,.T.); #207824=EDGE_CURVE('',#165652,#165649,#90552,.T.); #207825=EDGE_CURVE('',#165656,#165662,#90553,.T.); #207826=EDGE_CURVE('',#165659,#165655,#90554,.T.); #207827=EDGE_CURVE('',#165660,#165658,#90555,.T.); #207828=EDGE_CURVE('',#165657,#165661,#90556,.T.); #207829=EDGE_CURVE('',#165663,#165664,#139133,.F.); #207830=EDGE_CURVE('',#165665,#165663,#139134,.F.); #207831=EDGE_CURVE('',#165664,#165665,#139135,.F.); #207832=EDGE_CURVE('',#165666,#165667,#139136,.F.); #207833=EDGE_CURVE('',#165668,#165666,#139137,.F.); #207834=EDGE_CURVE('',#165667,#165668,#139138,.F.); #207835=EDGE_CURVE('',#165669,#165670,#139139,.F.); #207836=EDGE_CURVE('',#165671,#165669,#139140,.F.); #207837=EDGE_CURVE('',#165670,#165671,#139141,.F.); #207838=EDGE_CURVE('',#165672,#165673,#139142,.F.); #207839=EDGE_CURVE('',#165674,#165672,#139143,.F.); #207840=EDGE_CURVE('',#165673,#165674,#139144,.F.); #207841=EDGE_CURVE('',#165675,#165676,#139145,.F.); #207842=EDGE_CURVE('',#165677,#165675,#139146,.F.); #207843=EDGE_CURVE('',#165676,#165677,#139147,.F.); #207844=EDGE_CURVE('',#165675,#165670,#90557,.T.); #207845=EDGE_CURVE('',#165671,#165677,#90558,.T.); #207846=EDGE_CURVE('',#165678,#165679,#139148,.F.); #207847=EDGE_CURVE('',#165680,#165678,#139149,.F.); #207848=EDGE_CURVE('',#165679,#165680,#139150,.F.); #207849=EDGE_CURVE('',#165681,#165682,#139151,.F.); #207850=EDGE_CURVE('',#165683,#165681,#139152,.F.); #207851=EDGE_CURVE('',#165682,#165683,#139153,.F.); #207852=EDGE_CURVE('',#165669,#165683,#90559,.T.); #207853=EDGE_CURVE('',#165681,#165671,#90560,.T.); #207854=EDGE_CURVE('',#165672,#165682,#90561,.T.); #207855=EDGE_CURVE('',#165683,#165674,#90562,.T.); #207856=EDGE_CURVE('',#165684,#165685,#139154,.F.); #207857=EDGE_CURVE('',#165686,#165684,#139155,.F.); #207858=EDGE_CURVE('',#165685,#165686,#139156,.F.); #207859=EDGE_CURVE('',#165677,#165686,#90563,.T.); #207860=EDGE_CURVE('',#165684,#165676,#90564,.T.); #207861=EDGE_CURVE('',#165682,#165685,#90565,.T.); #207862=EDGE_CURVE('',#165686,#165681,#90566,.T.); #207863=EDGE_CURVE('',#165678,#165684,#90567,.T.); #207864=EDGE_CURVE('',#165685,#165680,#90568,.T.); #207865=EDGE_CURVE('',#165673,#165679,#90569,.T.); #207866=EDGE_CURVE('',#165680,#165672,#90570,.T.); #207867=EDGE_CURVE('',#165679,#165667,#90571,.T.); #207868=EDGE_CURVE('',#165668,#165678,#90572,.T.); #207869=EDGE_CURVE('',#165666,#165675,#90573,.T.); #207870=EDGE_CURVE('',#165676,#165668,#90574,.T.); #207871=EDGE_CURVE('',#165664,#165673,#90575,.T.); #207872=EDGE_CURVE('',#165674,#165663,#90576,.T.); #207873=EDGE_CURVE('',#165663,#165669,#90577,.T.); #207874=EDGE_CURVE('',#165670,#165665,#90578,.T.); #207875=EDGE_CURVE('',#165667,#165664,#90579,.T.); #207876=EDGE_CURVE('',#165665,#165666,#90580,.T.); #207877=EDGE_CURVE('',#165687,#165688,#139157,.F.); #207878=EDGE_CURVE('',#165689,#165687,#139158,.F.); #207879=EDGE_CURVE('',#165688,#165689,#139159,.F.); #207880=EDGE_CURVE('',#165690,#165691,#139160,.F.); #207881=EDGE_CURVE('',#165692,#165690,#139161,.F.); #207882=EDGE_CURVE('',#165691,#165692,#139162,.F.); #207883=EDGE_CURVE('',#165693,#165694,#139163,.F.); #207884=EDGE_CURVE('',#165695,#165693,#139164,.F.); #207885=EDGE_CURVE('',#165694,#165695,#139165,.F.); #207886=EDGE_CURVE('',#165696,#165697,#139166,.F.); #207887=EDGE_CURVE('',#165698,#165696,#139167,.F.); #207888=EDGE_CURVE('',#165697,#165698,#139168,.F.); #207889=EDGE_CURVE('',#165699,#165700,#139169,.F.); #207890=EDGE_CURVE('',#165701,#165699,#139170,.F.); #207891=EDGE_CURVE('',#165700,#165701,#139171,.F.); #207892=EDGE_CURVE('',#165699,#165694,#90581,.T.); #207893=EDGE_CURVE('',#165695,#165701,#90582,.T.); #207894=EDGE_CURVE('',#165702,#165703,#139172,.F.); #207895=EDGE_CURVE('',#165704,#165702,#139173,.F.); #207896=EDGE_CURVE('',#165703,#165704,#139174,.F.); #207897=EDGE_CURVE('',#165705,#165706,#139175,.F.); #207898=EDGE_CURVE('',#165707,#165705,#139176,.F.); #207899=EDGE_CURVE('',#165706,#165707,#139177,.F.); #207900=EDGE_CURVE('',#165693,#165707,#90583,.T.); #207901=EDGE_CURVE('',#165705,#165695,#90584,.T.); #207902=EDGE_CURVE('',#165696,#165706,#90585,.T.); #207903=EDGE_CURVE('',#165707,#165698,#90586,.T.); #207904=EDGE_CURVE('',#165708,#165709,#139178,.F.); #207905=EDGE_CURVE('',#165710,#165708,#139179,.F.); #207906=EDGE_CURVE('',#165709,#165710,#139180,.F.); #207907=EDGE_CURVE('',#165708,#165700,#90587,.T.); #207908=EDGE_CURVE('',#165701,#165710,#90588,.T.); #207909=EDGE_CURVE('',#165706,#165709,#90589,.T.); #207910=EDGE_CURVE('',#165710,#165705,#90590,.T.); #207911=EDGE_CURVE('',#165702,#165708,#90591,.T.); #207912=EDGE_CURVE('',#165709,#165704,#90592,.T.); #207913=EDGE_CURVE('',#165697,#165703,#90593,.T.); #207914=EDGE_CURVE('',#165704,#165696,#90594,.T.); #207915=EDGE_CURVE('',#165703,#165691,#90595,.T.); #207916=EDGE_CURVE('',#165692,#165702,#90596,.T.); #207917=EDGE_CURVE('',#165690,#165699,#90597,.T.); #207918=EDGE_CURVE('',#165700,#165692,#90598,.T.); #207919=EDGE_CURVE('',#165688,#165697,#90599,.T.); #207920=EDGE_CURVE('',#165698,#165687,#90600,.T.); #207921=EDGE_CURVE('',#165687,#165693,#90601,.T.); #207922=EDGE_CURVE('',#165694,#165689,#90602,.T.); #207923=EDGE_CURVE('',#165691,#165688,#90603,.T.); #207924=EDGE_CURVE('',#165689,#165690,#90604,.T.); #207925=EDGE_CURVE('',#165711,#165712,#90605,.T.); #207926=EDGE_CURVE('',#165711,#165713,#90606,.T.); #207927=EDGE_CURVE('',#165714,#165713,#90607,.T.); #207928=EDGE_CURVE('',#165712,#165714,#90608,.T.); #207929=EDGE_CURVE('',#165715,#165712,#90609,.T.); #207930=EDGE_CURVE('',#165716,#165714,#90610,.T.); #207931=EDGE_CURVE('',#165715,#165716,#90611,.T.); #207932=EDGE_CURVE('',#165717,#165715,#90612,.T.); #207933=EDGE_CURVE('',#165718,#165716,#90613,.T.); #207934=EDGE_CURVE('',#165717,#165718,#90614,.T.); #207935=EDGE_CURVE('',#165711,#165717,#90615,.T.); #207936=EDGE_CURVE('',#165713,#165718,#90616,.T.); #207937=EDGE_CURVE('',#165719,#165720,#90617,.T.); #207938=EDGE_CURVE('',#165721,#165719,#90618,.T.); #207939=EDGE_CURVE('',#165722,#165721,#90619,.T.); #207940=EDGE_CURVE('',#165720,#165722,#90620,.T.); #207941=EDGE_CURVE('',#165723,#165724,#90621,.T.); #207942=EDGE_CURVE('',#165724,#165725,#90622,.T.); #207943=EDGE_CURVE('',#165725,#165726,#90623,.T.); #207944=EDGE_CURVE('',#165726,#165723,#90624,.T.); #207945=EDGE_CURVE('',#165723,#165719,#90625,.T.); #207946=EDGE_CURVE('',#165724,#165720,#90626,.T.); #207947=EDGE_CURVE('',#165725,#165722,#90627,.T.); #207948=EDGE_CURVE('',#165726,#165721,#90628,.T.); #207949=EDGE_CURVE('',#165727,#165728,#90629,.T.); #207950=EDGE_CURVE('',#165728,#165729,#90630,.T.); #207951=EDGE_CURVE('',#165730,#165729,#90631,.T.); #207952=EDGE_CURVE('',#165727,#165730,#90632,.T.); #207953=EDGE_CURVE('',#165731,#165727,#90633,.T.); #207954=EDGE_CURVE('',#165732,#165730,#90634,.T.); #207955=EDGE_CURVE('',#165731,#165732,#90635,.T.); #207956=EDGE_CURVE('',#165733,#165731,#90636,.T.); #207957=EDGE_CURVE('',#165734,#165732,#90637,.T.); #207958=EDGE_CURVE('',#165733,#165734,#90638,.T.); #207959=EDGE_CURVE('',#165728,#165733,#90639,.T.); #207960=EDGE_CURVE('',#165729,#165734,#90640,.T.); #207961=EDGE_CURVE('',#165735,#165736,#90641,.T.); #207962=EDGE_CURVE('',#165737,#165735,#90642,.T.); #207963=EDGE_CURVE('',#165738,#165737,#90643,.T.); #207964=EDGE_CURVE('',#165739,#165738,#90644,.T.); #207965=EDGE_CURVE('',#165739,#165740,#90645,.T.); #207966=EDGE_CURVE('',#165740,#165741,#90646,.T.); #207967=EDGE_CURVE('',#165741,#165742,#90647,.T.); #207968=EDGE_CURVE('',#165743,#165742,#90648,.T.); #207969=EDGE_CURVE('',#165743,#165744,#90649,.T.); #207970=EDGE_CURVE('',#165745,#165744,#90650,.T.); #207971=EDGE_CURVE('',#165746,#165745,#90651,.T.); #207972=EDGE_CURVE('',#165746,#165747,#90652,.T.); #207973=EDGE_CURVE('',#165748,#165747,#90653,.T.); #207974=EDGE_CURVE('',#165749,#165748,#90654,.T.); #207975=EDGE_CURVE('',#165750,#165749,#90655,.T.); #207976=EDGE_CURVE('',#165750,#165736,#90656,.T.); #207977=EDGE_CURVE('',#165751,#165752,#90657,.T.); #207978=EDGE_CURVE('',#165753,#165751,#90658,.T.); #207979=EDGE_CURVE('',#165753,#165754,#90659,.T.); #207980=EDGE_CURVE('',#165754,#165752,#90660,.T.); #207981=EDGE_CURVE('',#165755,#165756,#139181,.T.); #207982=EDGE_CURVE('',#165755,#165757,#90661,.T.); #207983=EDGE_CURVE('',#165757,#165758,#139182,.T.); #207984=EDGE_CURVE('',#165759,#165758,#90662,.T.); #207985=EDGE_CURVE('',#165759,#165760,#139183,.F.); #207986=EDGE_CURVE('',#165760,#165761,#90663,.T.); #207987=EDGE_CURVE('',#165762,#165761,#139184,.T.); #207988=EDGE_CURVE('',#165756,#165762,#90664,.F.); #207989=EDGE_CURVE('',#165763,#165764,#139185,.T.); #207990=EDGE_CURVE('',#165765,#165763,#90665,.T.); #207991=EDGE_CURVE('',#165766,#165765,#139186,.T.); #207992=EDGE_CURVE('',#165767,#165766,#90666,.T.); #207993=EDGE_CURVE('',#165767,#165768,#139187,.F.); #207994=EDGE_CURVE('',#165768,#165769,#90667,.T.); #207995=EDGE_CURVE('',#165769,#165770,#139188,.T.); #207996=EDGE_CURVE('',#165764,#165770,#90668,.T.); #207997=EDGE_CURVE('',#165764,#165755,#90669,.T.); #207998=EDGE_CURVE('',#165770,#165757,#90670,.T.); #207999=EDGE_CURVE('',#165761,#165766,#90671,.T.); #208000=EDGE_CURVE('',#165760,#165767,#90672,.T.); #208001=EDGE_CURVE('',#165771,#165772,#90673,.T.); #208002=EDGE_CURVE('',#165771,#165773,#90674,.T.); #208003=EDGE_CURVE('',#165773,#165774,#90675,.T.); #208004=EDGE_CURVE('',#165774,#165775,#90676,.T.); #208005=EDGE_CURVE('',#165775,#165776,#90677,.T.); #208006=EDGE_CURVE('',#165777,#165776,#90678,.T.); #208007=EDGE_CURVE('',#165777,#165778,#90679,.T.); #208008=EDGE_CURVE('',#165778,#165779,#90680,.T.); #208009=EDGE_CURVE('',#165779,#165780,#90681,.T.); #208010=EDGE_CURVE('',#165781,#165780,#90682,.T.); #208011=EDGE_CURVE('',#165782,#165781,#90683,.T.); #208012=EDGE_CURVE('',#165782,#165783,#90684,.T.); #208013=EDGE_CURVE('',#165783,#165784,#90685,.T.); #208014=EDGE_CURVE('',#165785,#165784,#90686,.T.); #208015=EDGE_CURVE('',#165785,#165786,#90687,.T.); #208016=EDGE_CURVE('',#165772,#165786,#90688,.T.); #208017=EDGE_CURVE('',#165786,#165787,#90689,.T.); #208018=EDGE_CURVE('',#165788,#165785,#90690,.T.); #208019=EDGE_CURVE('',#165787,#165788,#90691,.T.); #208020=EDGE_CURVE('',#165789,#165790,#90692,.T.); #208021=EDGE_CURVE('',#165789,#165791,#90693,.T.); #208022=EDGE_CURVE('',#165791,#165792,#90694,.T.); #208023=EDGE_CURVE('',#165792,#165793,#90695,.T.); #208024=EDGE_CURVE('',#165794,#165793,#90696,.T.); #208025=EDGE_CURVE('',#165795,#165794,#90697,.T.); #208026=EDGE_CURVE('',#165796,#165795,#90698,.T.); #208027=EDGE_CURVE('',#165797,#165796,#90699,.T.); #208028=EDGE_CURVE('',#165798,#165797,#90700,.T.); #208029=EDGE_CURVE('',#165799,#165798,#90701,.T.); #208030=EDGE_CURVE('',#165800,#165799,#90702,.T.); #208031=EDGE_CURVE('',#165801,#165800,#90703,.T.); #208032=EDGE_CURVE('',#165802,#165801,#90704,.T.); #208033=EDGE_CURVE('',#165803,#165802,#90705,.T.); #208034=EDGE_CURVE('',#165804,#165803,#90706,.T.); #208035=EDGE_CURVE('',#165805,#165804,#90707,.T.); #208036=EDGE_CURVE('',#165806,#165805,#90708,.T.); #208037=EDGE_CURVE('',#165807,#165806,#90709,.T.); #208038=EDGE_CURVE('',#165790,#165807,#90710,.T.); #208039=EDGE_CURVE('',#165808,#165737,#90711,.T.); #208040=EDGE_CURVE('',#165808,#165809,#139189,.F.); #208041=EDGE_CURVE('',#165809,#165810,#90712,.T.); #208042=EDGE_CURVE('',#165811,#165810,#90713,.T.); #208043=EDGE_CURVE('',#165737,#165811,#90714,.T.); #208044=EDGE_CURVE('',#165812,#165813,#139190,.F.); #208045=EDGE_CURVE('',#165813,#165740,#90715,.T.); #208046=EDGE_CURVE('',#165740,#165814,#90716,.T.); #208047=EDGE_CURVE('',#165815,#165814,#90717,.T.); #208048=EDGE_CURVE('',#165815,#165812,#90718,.T.); #208049=EDGE_CURVE('',#165816,#165817,#139191,.F.); #208050=EDGE_CURVE('',#165816,#165813,#112,.T.); #208051=EDGE_CURVE('',#165812,#165818,#90719,.T.); #208052=EDGE_CURVE('',#165774,#165818,#139192,.T.); #208053=EDGE_CURVE('',#165819,#165774,#90720,.T.); #208054=EDGE_CURVE('',#165820,#165819,#139193,.T.); #208055=EDGE_CURVE('',#165820,#165817,#113,.T.); #208056=EDGE_CURVE('',#165821,#165822,#90721,.T.); #208057=EDGE_CURVE('',#165821,#165823,#90722,.T.); #208058=EDGE_CURVE('',#165823,#165824,#90723,.T.); #208059=EDGE_CURVE('',#165824,#165822,#90724,.T.); #208060=EDGE_CURVE('',#165825,#165826,#90725,.T.); #208061=EDGE_CURVE('',#165825,#165827,#90726,.T.); #208062=EDGE_CURVE('',#165827,#165828,#90727,.T.); #208063=EDGE_CURVE('',#165828,#165826,#90728,.T.); #208064=EDGE_CURVE('',#165829,#165830,#90729,.T.); #208065=EDGE_CURVE('',#165829,#165831,#90730,.T.); #208066=EDGE_CURVE('',#165831,#165832,#90731,.T.); #208067=EDGE_CURVE('',#165832,#165830,#90732,.T.); #208068=EDGE_CURVE('',#165833,#165834,#90733,.T.); #208069=EDGE_CURVE('',#165833,#165835,#90734,.T.); #208070=EDGE_CURVE('',#165835,#165836,#90735,.T.); #208071=EDGE_CURVE('',#165836,#165834,#90736,.T.); #208072=EDGE_CURVE('',#165837,#165838,#90737,.T.); #208073=EDGE_CURVE('',#165837,#165839,#90738,.T.); #208074=EDGE_CURVE('',#165839,#165840,#90739,.T.); #208075=EDGE_CURVE('',#165840,#165838,#90740,.T.); #208076=EDGE_CURVE('',#165841,#165842,#90741,.T.); #208077=EDGE_CURVE('',#165841,#165843,#90742,.T.); #208078=EDGE_CURVE('',#165843,#165844,#90743,.T.); #208079=EDGE_CURVE('',#165844,#165842,#90744,.T.); #208080=EDGE_CURVE('',#165845,#165846,#90745,.T.); #208081=EDGE_CURVE('',#165845,#165847,#90746,.T.); #208082=EDGE_CURVE('',#165847,#165848,#90747,.T.); #208083=EDGE_CURVE('',#165848,#165846,#90748,.T.); #208084=EDGE_CURVE('',#165849,#165850,#90749,.T.); #208085=EDGE_CURVE('',#165849,#165851,#90750,.T.); #208086=EDGE_CURVE('',#165851,#165852,#90751,.T.); #208087=EDGE_CURVE('',#165852,#165850,#90752,.T.); #208088=EDGE_CURVE('',#165853,#165854,#90753,.T.); #208089=EDGE_CURVE('',#165853,#165855,#90754,.T.); #208090=EDGE_CURVE('',#165855,#165856,#90755,.T.); #208091=EDGE_CURVE('',#165856,#165854,#90756,.T.); #208092=EDGE_CURVE('',#165857,#165858,#90757,.T.); #208093=EDGE_CURVE('',#165857,#165859,#90758,.T.); #208094=EDGE_CURVE('',#165859,#165860,#90759,.T.); #208095=EDGE_CURVE('',#165860,#165858,#90760,.T.); #208096=EDGE_CURVE('',#165861,#165862,#90761,.T.); #208097=EDGE_CURVE('',#165861,#165863,#90762,.T.); #208098=EDGE_CURVE('',#165863,#165864,#90763,.T.); #208099=EDGE_CURVE('',#165864,#165862,#90764,.T.); #208100=EDGE_CURVE('',#165865,#165866,#90765,.T.); #208101=EDGE_CURVE('',#165865,#165867,#90766,.T.); #208102=EDGE_CURVE('',#165867,#165868,#90767,.T.); #208103=EDGE_CURVE('',#165868,#165866,#90768,.T.); #208104=EDGE_CURVE('',#165867,#165869,#90769,.T.); #208105=EDGE_CURVE('',#165869,#165870,#90770,.T.); #208106=EDGE_CURVE('',#165868,#165870,#90771,.T.); #208107=EDGE_CURVE('',#165869,#165871,#90772,.T.); #208108=EDGE_CURVE('',#165871,#165872,#90773,.T.); #208109=EDGE_CURVE('',#165870,#165872,#90774,.T.); #208110=EDGE_CURVE('',#165873,#165874,#90775,.T.); #208111=EDGE_CURVE('',#165873,#165875,#90776,.T.); #208112=EDGE_CURVE('',#165875,#165876,#90777,.T.); #208113=EDGE_CURVE('',#165874,#165876,#90778,.T.); #208114=EDGE_CURVE('',#165875,#165861,#90779,.T.); #208115=EDGE_CURVE('',#165876,#165862,#90780,.T.); #208116=EDGE_CURVE('',#165863,#165877,#90781,.T.); #208117=EDGE_CURVE('',#165877,#165878,#90782,.T.); #208118=EDGE_CURVE('',#165864,#165878,#90783,.T.); #208119=EDGE_CURVE('',#165877,#165879,#90784,.T.); #208120=EDGE_CURVE('',#165879,#165880,#90785,.T.); #208121=EDGE_CURVE('',#165878,#165880,#90786,.T.); #208122=EDGE_CURVE('',#165881,#165882,#90787,.T.); #208123=EDGE_CURVE('',#165881,#165883,#90788,.T.); #208124=EDGE_CURVE('',#165883,#165884,#90789,.T.); #208125=EDGE_CURVE('',#165882,#165884,#90790,.T.); #208126=EDGE_CURVE('',#165883,#165857,#90791,.T.); #208127=EDGE_CURVE('',#165884,#165858,#90792,.T.); #208128=EDGE_CURVE('',#165859,#165885,#90793,.T.); #208129=EDGE_CURVE('',#165885,#165886,#90794,.T.); #208130=EDGE_CURVE('',#165860,#165886,#90795,.T.); #208131=EDGE_CURVE('',#165885,#165887,#90796,.T.); #208132=EDGE_CURVE('',#165887,#165888,#90797,.T.); #208133=EDGE_CURVE('',#165886,#165888,#90798,.T.); #208134=EDGE_CURVE('',#165889,#165890,#90799,.T.); #208135=EDGE_CURVE('',#165889,#165891,#90800,.T.); #208136=EDGE_CURVE('',#165891,#165892,#90801,.T.); #208137=EDGE_CURVE('',#165890,#165892,#90802,.T.); #208138=EDGE_CURVE('',#165891,#165853,#90803,.T.); #208139=EDGE_CURVE('',#165892,#165854,#90804,.T.); #208140=EDGE_CURVE('',#165855,#165893,#90805,.T.); #208141=EDGE_CURVE('',#165893,#165894,#90806,.T.); #208142=EDGE_CURVE('',#165856,#165894,#90807,.T.); #208143=EDGE_CURVE('',#165893,#165895,#90808,.T.); #208144=EDGE_CURVE('',#165895,#165896,#90809,.T.); #208145=EDGE_CURVE('',#165894,#165896,#90810,.T.); #208146=EDGE_CURVE('',#165897,#165898,#90811,.T.); #208147=EDGE_CURVE('',#165897,#165899,#90812,.T.); #208148=EDGE_CURVE('',#165899,#165900,#90813,.T.); #208149=EDGE_CURVE('',#165898,#165900,#90814,.T.); #208150=EDGE_CURVE('',#165899,#165849,#90815,.T.); #208151=EDGE_CURVE('',#165900,#165850,#90816,.T.); #208152=EDGE_CURVE('',#165851,#165901,#90817,.T.); #208153=EDGE_CURVE('',#165901,#165902,#90818,.T.); #208154=EDGE_CURVE('',#165852,#165902,#90819,.T.); #208155=EDGE_CURVE('',#165901,#165903,#90820,.T.); #208156=EDGE_CURVE('',#165903,#165904,#90821,.T.); #208157=EDGE_CURVE('',#165902,#165904,#90822,.T.); #208158=EDGE_CURVE('',#165905,#165906,#90823,.T.); #208159=EDGE_CURVE('',#165905,#165907,#90824,.T.); #208160=EDGE_CURVE('',#165907,#165908,#90825,.T.); #208161=EDGE_CURVE('',#165906,#165908,#90826,.T.); #208162=EDGE_CURVE('',#165907,#165845,#90827,.T.); #208163=EDGE_CURVE('',#165908,#165846,#90828,.T.); #208164=EDGE_CURVE('',#165847,#165909,#90829,.T.); #208165=EDGE_CURVE('',#165909,#165910,#90830,.T.); #208166=EDGE_CURVE('',#165848,#165910,#90831,.T.); #208167=EDGE_CURVE('',#165909,#165911,#90832,.T.); #208168=EDGE_CURVE('',#165911,#165912,#90833,.T.); #208169=EDGE_CURVE('',#165910,#165912,#90834,.T.); #208170=EDGE_CURVE('',#165913,#165914,#90835,.T.); #208171=EDGE_CURVE('',#165913,#165915,#90836,.T.); #208172=EDGE_CURVE('',#165915,#165916,#90837,.T.); #208173=EDGE_CURVE('',#165914,#165916,#90838,.T.); #208174=EDGE_CURVE('',#165915,#165821,#90839,.T.); #208175=EDGE_CURVE('',#165916,#165822,#90840,.T.); #208176=EDGE_CURVE('',#165843,#165917,#90841,.T.); #208177=EDGE_CURVE('',#165917,#165918,#90842,.T.); #208178=EDGE_CURVE('',#165844,#165918,#90843,.T.); #208179=EDGE_CURVE('',#165917,#165919,#90844,.T.); #208180=EDGE_CURVE('',#165919,#165920,#90845,.T.); #208181=EDGE_CURVE('',#165918,#165920,#90846,.T.); #208182=EDGE_CURVE('',#165841,#165921,#90847,.T.); #208183=EDGE_CURVE('',#165842,#165922,#90848,.T.); #208184=EDGE_CURVE('',#165921,#165922,#90849,.T.); #208185=EDGE_CURVE('',#165921,#165923,#90850,.T.); #208186=EDGE_CURVE('',#165922,#165924,#90851,.T.); #208187=EDGE_CURVE('',#165923,#165924,#90852,.T.); #208188=EDGE_CURVE('',#165925,#165926,#90853,.T.); #208189=EDGE_CURVE('',#165925,#165927,#90854,.T.); #208190=EDGE_CURVE('',#165927,#165928,#90855,.T.); #208191=EDGE_CURVE('',#165926,#165928,#90856,.T.); #208192=EDGE_CURVE('',#165926,#165839,#90857,.T.); #208193=EDGE_CURVE('',#165928,#165840,#90858,.T.); #208194=EDGE_CURVE('',#165837,#165929,#90859,.T.); #208195=EDGE_CURVE('',#165838,#165930,#90860,.T.); #208196=EDGE_CURVE('',#165929,#165930,#90861,.T.); #208197=EDGE_CURVE('',#165929,#165931,#90862,.T.); #208198=EDGE_CURVE('',#165930,#165932,#90863,.T.); #208199=EDGE_CURVE('',#165931,#165932,#90864,.T.); #208200=EDGE_CURVE('',#165933,#165934,#90865,.T.); #208201=EDGE_CURVE('',#165933,#165935,#90866,.T.); #208202=EDGE_CURVE('',#165935,#165936,#90867,.T.); #208203=EDGE_CURVE('',#165934,#165936,#90868,.T.); #208204=EDGE_CURVE('',#165934,#165835,#90869,.T.); #208205=EDGE_CURVE('',#165936,#165836,#90870,.T.); #208206=EDGE_CURVE('',#165833,#165937,#90871,.T.); #208207=EDGE_CURVE('',#165834,#165938,#90872,.T.); #208208=EDGE_CURVE('',#165937,#165938,#90873,.T.); #208209=EDGE_CURVE('',#165937,#165939,#90874,.T.); #208210=EDGE_CURVE('',#165938,#165940,#90875,.T.); #208211=EDGE_CURVE('',#165939,#165940,#90876,.T.); #208212=EDGE_CURVE('',#165941,#165942,#90877,.T.); #208213=EDGE_CURVE('',#165941,#165943,#90878,.T.); #208214=EDGE_CURVE('',#165943,#165944,#90879,.T.); #208215=EDGE_CURVE('',#165942,#165944,#90880,.T.); #208216=EDGE_CURVE('',#165942,#165831,#90881,.T.); #208217=EDGE_CURVE('',#165944,#165832,#90882,.T.); #208218=EDGE_CURVE('',#165829,#165945,#90883,.T.); #208219=EDGE_CURVE('',#165830,#165946,#90884,.T.); #208220=EDGE_CURVE('',#165945,#165946,#90885,.T.); #208221=EDGE_CURVE('',#165945,#165947,#90886,.T.); #208222=EDGE_CURVE('',#165946,#165948,#90887,.T.); #208223=EDGE_CURVE('',#165947,#165948,#90888,.T.); #208224=EDGE_CURVE('',#165949,#165950,#90889,.T.); #208225=EDGE_CURVE('',#165949,#165951,#90890,.T.); #208226=EDGE_CURVE('',#165951,#165952,#90891,.T.); #208227=EDGE_CURVE('',#165950,#165952,#90892,.T.); #208228=EDGE_CURVE('',#165950,#165827,#90893,.T.); #208229=EDGE_CURVE('',#165952,#165828,#90894,.T.); #208230=EDGE_CURVE('',#165825,#165953,#90895,.T.); #208231=EDGE_CURVE('',#165826,#165954,#90896,.T.); #208232=EDGE_CURVE('',#165953,#165954,#90897,.T.); #208233=EDGE_CURVE('',#165953,#165955,#90898,.T.); #208234=EDGE_CURVE('',#165954,#165956,#90899,.T.); #208235=EDGE_CURVE('',#165955,#165956,#90900,.T.); #208236=EDGE_CURVE('',#165957,#165958,#90901,.T.); #208237=EDGE_CURVE('',#165957,#165959,#90902,.T.); #208238=EDGE_CURVE('',#165959,#165960,#90903,.T.); #208239=EDGE_CURVE('',#165958,#165960,#90904,.T.); #208240=EDGE_CURVE('',#165958,#165823,#90905,.T.); #208241=EDGE_CURVE('',#165960,#165824,#90906,.T.); #208242=EDGE_CURVE('',#165865,#165961,#90907,.T.); #208243=EDGE_CURVE('',#165866,#165962,#90908,.T.); #208244=EDGE_CURVE('',#165961,#165962,#90909,.T.); #208245=EDGE_CURVE('',#165961,#165963,#90910,.T.); #208246=EDGE_CURVE('',#165962,#165964,#90911,.T.); #208247=EDGE_CURVE('',#165963,#165964,#90912,.T.); #208248=EDGE_CURVE('',#165965,#165966,#90913,.T.); #208249=EDGE_CURVE('',#165966,#165967,#90914,.T.); #208250=EDGE_CURVE('',#165967,#165738,#90915,.T.); #208251=EDGE_CURVE('',#165811,#165965,#90916,.T.); #208252=EDGE_CURVE('',#165966,#165968,#90917,.T.); #208253=EDGE_CURVE('',#165969,#165965,#90918,.T.); #208254=EDGE_CURVE('',#165969,#165970,#90919,.T.); #208255=EDGE_CURVE('',#165970,#165971,#90920,.T.); #208256=EDGE_CURVE('',#165971,#165968,#90921,.T.); #208257=EDGE_CURVE('',#165968,#165972,#90922,.T.); #208258=EDGE_CURVE('',#165814,#165971,#90923,.T.); #208259=EDGE_CURVE('',#165972,#165739,#90924,.T.); #208260=EDGE_CURVE('',#165872,#165874,#90925,.T.); #208261=EDGE_CURVE('',#165880,#165882,#90926,.T.); #208262=EDGE_CURVE('',#165888,#165890,#90927,.T.); #208263=EDGE_CURVE('',#165896,#165898,#90928,.T.); #208264=EDGE_CURVE('',#165904,#165906,#90929,.T.); #208265=EDGE_CURVE('',#165912,#165914,#90930,.T.); #208266=EDGE_CURVE('',#165956,#165959,#90931,.T.); #208267=EDGE_CURVE('',#165948,#165951,#90932,.T.); #208268=EDGE_CURVE('',#165940,#165943,#90933,.T.); #208269=EDGE_CURVE('',#165932,#165935,#90934,.T.); #208270=EDGE_CURVE('',#165924,#165927,#90935,.T.); #208271=EDGE_CURVE('',#165920,#165972,#90936,.T.); #208272=EDGE_CURVE('',#165964,#165967,#90937,.T.); #208273=EDGE_CURVE('',#165971,#165973,#90938,.T.); #208274=EDGE_CURVE('',#165974,#165973,#90939,.T.); #208275=EDGE_CURVE('',#165975,#165974,#90940,.T.); #208276=EDGE_CURVE('',#165976,#165975,#90941,.T.); #208277=EDGE_CURVE('',#165976,#165815,#90942,.T.); #208278=EDGE_CURVE('',#165810,#165977,#90943,.T.); #208279=EDGE_CURVE('',#165977,#165978,#90944,.T.); #208280=EDGE_CURVE('',#165978,#165979,#90945,.T.); #208281=EDGE_CURVE('',#165980,#165979,#90946,.T.); #208282=EDGE_CURVE('',#165980,#165965,#90947,.T.); #208283=EDGE_CURVE('',#165981,#165982,#90948,.T.); #208284=EDGE_CURVE('',#165981,#165983,#139194,.F.); #208285=EDGE_CURVE('',#165983,#165984,#90949,.T.); #208286=EDGE_CURVE('',#165984,#165982,#139195,.F.); #208287=EDGE_CURVE('',#165985,#165983,#90950,.T.); #208288=EDGE_CURVE('',#165986,#165985,#90951,.T.); #208289=EDGE_CURVE('',#165986,#165984,#90952,.T.); #208290=EDGE_CURVE('',#165987,#165988,#90953,.T.); #208291=EDGE_CURVE('',#165989,#165988,#90954,.T.); #208292=EDGE_CURVE('',#165989,#165990,#90955,.T.); #208293=EDGE_CURVE('',#165990,#165987,#90956,.T.); #208294=EDGE_CURVE('',#165991,#165992,#90957,.T.); #208295=EDGE_CURVE('',#165993,#165991,#90958,.T.); #208296=EDGE_CURVE('',#165994,#165993,#90959,.T.); #208297=EDGE_CURVE('',#165994,#165995,#90960,.T.); #208298=EDGE_CURVE('',#165995,#165996,#90961,.T.); #208299=EDGE_CURVE('',#165996,#165997,#90962,.T.); #208300=EDGE_CURVE('',#165998,#165997,#90963,.T.); #208301=EDGE_CURVE('',#165999,#165998,#90964,.T.); #208302=EDGE_CURVE('',#165999,#166000,#90965,.T.); #208303=EDGE_CURVE('',#166000,#166001,#90966,.T.); #208304=EDGE_CURVE('',#166001,#166002,#90967,.T.); #208305=EDGE_CURVE('',#166002,#166003,#90968,.T.); #208306=EDGE_CURVE('',#166004,#166003,#90969,.T.); #208307=EDGE_CURVE('',#165992,#166004,#90970,.T.); #208308=EDGE_CURVE('',#166005,#166006,#90971,.T.); #208309=EDGE_CURVE('',#166005,#166007,#90972,.T.); #208310=EDGE_CURVE('',#166007,#166008,#90973,.T.); #208311=EDGE_CURVE('',#166006,#166008,#90974,.T.); #208312=EDGE_CURVE('',#166009,#165986,#90975,.F.); #208313=EDGE_CURVE('',#166010,#166009,#90976,.T.); #208314=EDGE_CURVE('',#166010,#165984,#90977,.T.); #208315=EDGE_CURVE('',#166011,#166012,#90978,.T.); #208316=EDGE_CURVE('',#166011,#166013,#90979,.T.); #208317=EDGE_CURVE('',#166013,#166014,#90980,.T.); #208318=EDGE_CURVE('',#166014,#166015,#139196,.T.); #208319=EDGE_CURVE('',#166015,#166016,#90981,.T.); #208320=EDGE_CURVE('',#166016,#166017,#139197,.T.); #208321=EDGE_CURVE('',#166017,#166018,#90982,.T.); #208322=EDGE_CURVE('',#166019,#166018,#90983,.T.); #208323=EDGE_CURVE('',#166020,#166019,#90984,.T.); #208324=EDGE_CURVE('',#165752,#166020,#139198,.T.); #208325=EDGE_CURVE('',#166012,#165754,#139199,.T.); #208326=EDGE_CURVE('',#166013,#165987,#90985,.F.); #208327=EDGE_CURVE('',#166014,#165990,#90986,.T.); #208328=EDGE_CURVE('',#166003,#166007,#90987,.F.); #208329=EDGE_CURVE('',#166002,#166008,#90988,.F.); #208330=EDGE_CURVE('',#166021,#166009,#90989,.T.); #208331=EDGE_CURVE('',#165758,#166021,#90990,.T.); #208332=EDGE_CURVE('',#166022,#165769,#90991,.T.); #208333=EDGE_CURVE('',#166022,#166023,#90992,.T.); #208334=EDGE_CURVE('',#166023,#166024,#90993,.T.); #208335=EDGE_CURVE('',#166024,#166025,#139200,.T.); #208336=EDGE_CURVE('',#166025,#166026,#90994,.T.); #208337=EDGE_CURVE('',#166026,#166010,#139201,.T.); #208338=EDGE_CURVE('',#166027,#166028,#90995,.T.); #208339=EDGE_CURVE('',#166028,#166029,#90996,.T.); #208340=EDGE_CURVE('',#166030,#166029,#90997,.T.); #208341=EDGE_CURVE('',#166027,#166030,#90998,.T.); #208342=EDGE_CURVE('',#166023,#165776,#90999,.F.); #208343=EDGE_CURVE('',#165775,#166024,#91000,.T.); #208344=EDGE_CURVE('',#165779,#166028,#91001,.F.); #208345=EDGE_CURVE('',#165780,#166027,#91002,.F.); #208346=EDGE_CURVE('',#166018,#165783,#91003,.F.); #208347=EDGE_CURVE('',#165784,#166017,#91004,.T.); #208348=EDGE_CURVE('',#166031,#165989,#139202,.F.); #208349=EDGE_CURVE('',#166031,#166032,#91005,.T.); #208350=EDGE_CURVE('',#166032,#165990,#139203,.F.); #208351=EDGE_CURVE('',#165977,#166033,#91006,.T.); #208352=EDGE_CURVE('',#166033,#166034,#91007,.T.); #208353=EDGE_CURVE('',#166034,#166032,#91008,.T.); #208354=EDGE_CURVE('',#166031,#165978,#91009,.T.); #208355=EDGE_CURVE('',#166033,#165785,#139204,.T.); #208356=EDGE_CURVE('',#165784,#166034,#139205,.F.); #208357=EDGE_CURVE('',#165818,#166035,#91010,.T.); #208358=EDGE_CURVE('',#166035,#165775,#139206,.F.); #208359=EDGE_CURVE('',#165818,#165976,#91011,.T.); #208360=EDGE_CURVE('',#165981,#165975,#91012,.T.); #208361=EDGE_CURVE('',#165982,#166035,#91013,.T.); #208362=EDGE_CURVE('',#166036,#166012,#91014,.T.); #208363=EDGE_CURVE('',#166036,#165759,#91015,.T.); #208364=EDGE_CURVE('',#166021,#166037,#91016,.T.); #208365=EDGE_CURVE('',#166037,#166038,#91017,.T.); #208366=EDGE_CURVE('',#166038,#166039,#91018,.T.); #208367=EDGE_CURVE('',#166039,#166005,#91019,.T.); #208368=EDGE_CURVE('',#166040,#166006,#91020,.T.); #208369=EDGE_CURVE('',#166041,#166040,#91021,.T.); #208370=EDGE_CURVE('',#166042,#166041,#91022,.T.); #208371=EDGE_CURVE('',#166011,#166042,#91023,.T.); #208372=EDGE_CURVE('',#165753,#166043,#139207,.T.); #208373=EDGE_CURVE('',#166043,#166044,#91024,.F.); #208374=EDGE_CURVE('',#166044,#166045,#139208,.T.); #208375=EDGE_CURVE('',#166046,#166045,#91025,.T.); #208376=EDGE_CURVE('',#166046,#166036,#139209,.F.); #208377=EDGE_CURVE('',#166045,#166047,#91026,.T.); #208378=EDGE_CURVE('',#166048,#166047,#91027,.T.); #208379=EDGE_CURVE('',#166048,#166046,#91028,.T.); #208380=EDGE_CURVE('',#166049,#166050,#91029,.T.); #208381=EDGE_CURVE('',#166050,#165751,#139210,.T.); #208382=EDGE_CURVE('',#166051,#166020,#91030,.T.); #208383=EDGE_CURVE('',#166051,#166048,#139211,.F.); #208384=EDGE_CURVE('',#166047,#166049,#139212,.T.); #208385=EDGE_CURVE('',#165768,#166051,#91031,.T.); #208386=EDGE_CURVE('',#166052,#166019,#91032,.T.); #208387=EDGE_CURVE('',#166053,#166052,#91033,.T.); #208388=EDGE_CURVE('',#166053,#166030,#91034,.T.); #208389=EDGE_CURVE('',#166054,#166029,#91035,.T.); #208390=EDGE_CURVE('',#166054,#166055,#91036,.T.); #208391=EDGE_CURVE('',#166055,#166022,#91037,.T.); #208392=EDGE_CURVE('',#166056,#166057,#91038,.T.); #208393=EDGE_CURVE('',#166057,#166058,#139213,.T.); #208394=EDGE_CURVE('',#166058,#166059,#91039,.T.); #208395=EDGE_CURVE('',#166059,#166060,#139214,.T.); #208396=EDGE_CURVE('',#166060,#166061,#91040,.T.); #208397=EDGE_CURVE('',#166061,#166062,#139215,.T.); #208398=EDGE_CURVE('',#166062,#166063,#91041,.T.); #208399=EDGE_CURVE('',#166063,#166056,#139216,.T.); #208400=EDGE_CURVE('',#166064,#166065,#91042,.T.); #208401=EDGE_CURVE('',#166066,#166064,#91043,.T.); #208402=EDGE_CURVE('',#166066,#166067,#91044,.T.); #208403=EDGE_CURVE('',#166067,#166065,#91045,.T.); #208404=EDGE_CURVE('',#166068,#166064,#91046,.T.); #208405=EDGE_CURVE('',#166069,#166068,#91047,.T.); #208406=EDGE_CURVE('',#166069,#166066,#91048,.T.); #208407=EDGE_CURVE('',#166065,#166070,#91049,.T.); #208408=EDGE_CURVE('',#166067,#166071,#91050,.T.); #208409=EDGE_CURVE('',#166071,#166070,#91051,.T.); #208410=EDGE_CURVE('',#166070,#166072,#139217,.T.); #208411=EDGE_CURVE('',#166071,#166073,#139218,.T.); #208412=EDGE_CURVE('',#166073,#166072,#91052,.T.); #208413=EDGE_CURVE('',#166072,#166074,#91053,.T.); #208414=EDGE_CURVE('',#166073,#166075,#91054,.T.); #208415=EDGE_CURVE('',#166075,#166074,#91055,.T.); #208416=EDGE_CURVE('',#166075,#166076,#91056,.T.); #208417=EDGE_CURVE('',#166076,#166077,#91057,.T.); #208418=EDGE_CURVE('',#166074,#166077,#91058,.T.); #208419=EDGE_CURVE('',#166078,#166079,#91059,.T.); #208420=EDGE_CURVE('',#166079,#166080,#91060,.F.); #208421=EDGE_CURVE('',#166080,#166081,#91061,.T.); #208422=EDGE_CURVE('',#166081,#166078,#91062,.T.); #208423=EDGE_CURVE('',#166082,#166083,#91063,.T.); #208424=EDGE_CURVE('',#166082,#166084,#91064,.F.); #208425=EDGE_CURVE('',#166084,#166085,#91065,.T.); #208426=EDGE_CURVE('',#166085,#166083,#91066,.T.); #208427=EDGE_CURVE('',#166083,#166086,#91067,.T.); #208428=EDGE_CURVE('',#166085,#166087,#91068,.T.); #208429=EDGE_CURVE('',#166087,#166086,#91069,.T.); #208430=EDGE_CURVE('',#166086,#166068,#139219,.T.); #208431=EDGE_CURVE('',#166087,#166069,#139220,.T.); #208432=EDGE_CURVE('',#166088,#166084,#91070,.T.); #208433=EDGE_CURVE('',#166088,#166089,#91071,.T.); #208434=EDGE_CURVE('',#166076,#166089,#91072,.T.); #208435=EDGE_CURVE('',#166077,#166090,#91073,.T.); #208436=EDGE_CURVE('',#166090,#166091,#91074,.T.); #208437=EDGE_CURVE('',#166091,#166082,#91075,.T.); #208438=EDGE_CURVE('',#165760,#166061,#91076,.T.); #208439=EDGE_CURVE('',#166062,#165759,#91077,.T.); #208440=EDGE_CURVE('',#166063,#166036,#91078,.T.); #208441=EDGE_CURVE('',#165767,#166060,#91079,.T.); #208442=EDGE_CURVE('',#166056,#166046,#91080,.T.); #208443=EDGE_CURVE('',#165768,#166059,#91081,.T.); #208444=EDGE_CURVE('',#166057,#166048,#91082,.T.); #208445=EDGE_CURVE('',#166051,#166058,#91083,.T.); #208446=EDGE_CURVE('',#165982,#166026,#91084,.T.); #208447=EDGE_CURVE('',#166035,#166025,#91085,.T.); #208448=EDGE_CURVE('',#166015,#166032,#91086,.T.); #208449=EDGE_CURVE('',#166016,#166034,#91087,.T.); #208450=EDGE_CURVE('',#166090,#166079,#90,.T.); #208451=EDGE_CURVE('',#166078,#166091,#91,.T.); #208452=EDGE_CURVE('',#166080,#166089,#92,.F.); #208453=EDGE_CURVE('',#166088,#166081,#93,.F.); #208454=EDGE_CURVE('',#166052,#165782,#91088,.T.); #208455=EDGE_CURVE('',#165781,#166053,#91089,.T.); #208456=EDGE_CURVE('',#166055,#165777,#91090,.T.); #208457=EDGE_CURVE('',#165778,#166054,#91091,.T.); #208458=EDGE_CURVE('',#166042,#165988,#91092,.T.); #208459=EDGE_CURVE('',#166092,#165999,#91093,.T.); #208460=EDGE_CURVE('',#166093,#166092,#91094,.T.); #208461=EDGE_CURVE('',#166093,#165979,#91095,.T.); #208462=EDGE_CURVE('',#166041,#166000,#91096,.T.); #208463=EDGE_CURVE('',#166040,#166001,#91097,.T.); #208464=EDGE_CURVE('',#166094,#165994,#91098,.T.); #208465=EDGE_CURVE('',#166095,#165993,#91099,.T.); #208466=EDGE_CURVE('',#166096,#166095,#91100,.T.); #208467=EDGE_CURVE('',#166096,#165998,#91101,.T.); #208468=EDGE_CURVE('',#165997,#166097,#91102,.T.); #208469=EDGE_CURVE('',#166094,#166097,#91103,.T.); #208470=EDGE_CURVE('',#166098,#166099,#91104,.T.); #208471=EDGE_CURVE('',#166099,#166100,#91105,.T.); #208472=EDGE_CURVE('',#166100,#166101,#91106,.T.); #208473=EDGE_CURVE('',#166098,#166101,#91107,.T.); #208474=EDGE_CURVE('',#166101,#166094,#91108,.T.); #208475=EDGE_CURVE('',#166097,#166098,#91109,.T.); #208476=EDGE_CURVE('',#166037,#165985,#91110,.T.); #208477=EDGE_CURVE('',#166102,#165974,#91111,.T.); #208478=EDGE_CURVE('',#166103,#166102,#91112,.T.); #208479=EDGE_CURVE('',#166103,#165991,#91113,.T.); #208480=EDGE_CURVE('',#166038,#165992,#91114,.T.); #208481=EDGE_CURVE('',#166039,#166004,#91115,.T.); #208482=EDGE_CURVE('',#165996,#166099,#91116,.T.); #208483=EDGE_CURVE('',#166100,#165995,#91117,.T.); #208484=EDGE_CURVE('',#166095,#166103,#91118,.T.); #208485=EDGE_CURVE('',#166104,#165973,#91119,.T.); #208486=EDGE_CURVE('',#166102,#166104,#91120,.T.); #208487=EDGE_CURVE('',#166105,#165973,#91121,.T.); #208488=EDGE_CURVE('',#166105,#166106,#91122,.T.); #208489=EDGE_CURVE('',#166106,#165980,#91123,.T.); #208490=EDGE_CURVE('',#166107,#165980,#91124,.T.); #208491=EDGE_CURVE('',#166104,#166107,#91125,.T.); #208492=EDGE_CURVE('',#166107,#166093,#91126,.T.); #208493=EDGE_CURVE('',#166092,#166096,#91127,.T.); #208494=EDGE_CURVE('',#166108,#166108,#139221,.T.); #208495=EDGE_CURVE('',#166109,#166109,#139222,.T.); #208496=EDGE_CURVE('',#166109,#166110,#91128,.T.); #208497=EDGE_CURVE('',#166110,#166110,#139223,.T.); #208498=EDGE_CURVE('',#166111,#166111,#139224,.F.); #208499=EDGE_CURVE('',#166108,#166112,#91129,.T.); #208500=EDGE_CURVE('',#166112,#166112,#139225,.F.); #208501=EDGE_CURVE('',#166113,#166113,#139226,.T.); #208502=EDGE_CURVE('',#166112,#166113,#91130,.T.); #208503=EDGE_CURVE('',#166110,#166111,#91131,.T.); #208504=EDGE_CURVE('',#166114,#165773,#91132,.T.); #208505=EDGE_CURVE('',#165819,#166114,#91133,.T.); #208506=EDGE_CURVE('',#166115,#165808,#114,.T.); #208507=EDGE_CURVE('',#166115,#166116,#139227,.F.); #208508=EDGE_CURVE('',#166117,#166116,#115,.T.); #208509=EDGE_CURVE('',#165788,#166117,#139228,.F.); #208510=EDGE_CURVE('',#165809,#166033,#91134,.T.); #208511=EDGE_CURVE('',#165970,#166105,#91135,.T.); #208512=EDGE_CURVE('',#166106,#165969,#91136,.T.); #208513=EDGE_CURVE('',#165923,#165919,#91137,.T.); #208514=EDGE_CURVE('',#165931,#165925,#91138,.T.); #208515=EDGE_CURVE('',#165939,#165933,#91139,.T.); #208516=EDGE_CURVE('',#165947,#165941,#91140,.T.); #208517=EDGE_CURVE('',#165955,#165949,#91141,.T.); #208518=EDGE_CURVE('',#165913,#165957,#91142,.T.); #208519=EDGE_CURVE('',#165905,#165911,#91143,.T.); #208520=EDGE_CURVE('',#165897,#165903,#91144,.T.); #208521=EDGE_CURVE('',#165889,#165895,#91145,.T.); #208522=EDGE_CURVE('',#165881,#165887,#91146,.T.); #208523=EDGE_CURVE('',#165873,#165879,#91147,.T.); #208524=EDGE_CURVE('',#165963,#165871,#91148,.T.); #208525=EDGE_CURVE('',#165961,#165869,#91149,.T.); #208526=EDGE_CURVE('',#165915,#165958,#91150,.T.); #208527=EDGE_CURVE('',#165953,#165950,#91151,.T.); #208528=EDGE_CURVE('',#165945,#165942,#91152,.T.); #208529=EDGE_CURVE('',#165937,#165934,#91153,.T.); #208530=EDGE_CURVE('',#165929,#165926,#91154,.T.); #208531=EDGE_CURVE('',#165921,#165917,#91155,.T.); #208532=EDGE_CURVE('',#165907,#165909,#91156,.T.); #208533=EDGE_CURVE('',#165899,#165901,#91157,.T.); #208534=EDGE_CURVE('',#165891,#165893,#91158,.T.); #208535=EDGE_CURVE('',#165883,#165885,#91159,.T.); #208536=EDGE_CURVE('',#165875,#165877,#91160,.T.); #208537=EDGE_CURVE('',#166118,#166119,#91161,.T.); #208538=EDGE_CURVE('',#166119,#166120,#91162,.F.); #208539=EDGE_CURVE('',#166120,#165746,#91163,.T.); #208540=EDGE_CURVE('',#166121,#165745,#91164,.T.); #208541=EDGE_CURVE('',#166118,#166121,#91165,.T.); #208542=EDGE_CURVE('',#166122,#165744,#91166,.T.); #208543=EDGE_CURVE('',#166121,#166122,#91167,.T.); #208544=EDGE_CURVE('',#166114,#165743,#91168,.T.); #208545=EDGE_CURVE('',#166123,#165771,#91169,.T.); #208546=EDGE_CURVE('',#166123,#166124,#91170,.T.); #208547=EDGE_CURVE('',#166122,#166124,#91171,.T.); #208548=EDGE_CURVE('',#165787,#165750,#91172,.T.); #208549=EDGE_CURVE('',#165791,#165749,#91173,.T.); #208550=EDGE_CURVE('',#166125,#165789,#91174,.T.); #208551=EDGE_CURVE('',#165772,#166125,#91175,.T.); #208552=EDGE_CURVE('',#165792,#165748,#91176,.T.); #208553=EDGE_CURVE('',#165747,#166126,#91177,.T.); #208554=EDGE_CURVE('',#166126,#166127,#91178,.F.); #208555=EDGE_CURVE('',#165793,#166127,#91179,.T.); #208556=EDGE_CURVE('',#166127,#166119,#91180,.T.); #208557=EDGE_CURVE('',#166118,#166128,#91181,.T.); #208558=EDGE_CURVE('',#166129,#166128,#91182,.T.); #208559=EDGE_CURVE('',#166130,#166129,#91183,.T.); #208560=EDGE_CURVE('',#166130,#165794,#91184,.T.); #208561=EDGE_CURVE('',#166131,#166124,#91185,.T.); #208562=EDGE_CURVE('',#166132,#166131,#91186,.T.); #208563=EDGE_CURVE('',#166133,#166132,#91187,.T.); #208564=EDGE_CURVE('',#166134,#166133,#91188,.T.); #208565=EDGE_CURVE('',#166135,#166134,#91189,.T.); #208566=EDGE_CURVE('',#166136,#166135,#91190,.T.); #208567=EDGE_CURVE('',#166137,#166136,#91191,.T.); #208568=EDGE_CURVE('',#166138,#166137,#91192,.T.); #208569=EDGE_CURVE('',#166139,#166138,#91193,.T.); #208570=EDGE_CURVE('',#166140,#166139,#91194,.T.); #208571=EDGE_CURVE('',#166141,#166140,#91195,.T.); #208572=EDGE_CURVE('',#166142,#166141,#91196,.T.); #208573=EDGE_CURVE('',#166143,#166142,#91197,.T.); #208574=EDGE_CURVE('',#166144,#166143,#91198,.T.); #208575=EDGE_CURVE('',#166128,#166144,#91199,.T.); #208576=EDGE_CURVE('',#165816,#166145,#91200,.T.); #208577=EDGE_CURVE('',#166145,#165740,#91201,.T.); #208578=EDGE_CURVE('',#166145,#166146,#91202,.T.); #208579=EDGE_CURVE('',#166146,#165741,#91203,.T.); #208580=EDGE_CURVE('',#165742,#165820,#91204,.T.); #208581=EDGE_CURVE('',#166146,#165817,#91205,.T.); #208582=EDGE_CURVE('',#166147,#165737,#91206,.T.); #208583=EDGE_CURVE('',#166115,#166147,#91207,.T.); #208584=EDGE_CURVE('',#166148,#165735,#91208,.T.); #208585=EDGE_CURVE('',#166147,#166148,#91209,.T.); #208586=EDGE_CURVE('',#165736,#166117,#91210,.T.); #208587=EDGE_CURVE('',#166148,#166116,#91211,.T.); #208588=EDGE_CURVE('',#166149,#165790,#91212,.T.); #208589=EDGE_CURVE('',#166125,#166149,#91213,.T.); #208590=EDGE_CURVE('',#166150,#165807,#91214,.T.); #208591=EDGE_CURVE('',#166149,#166150,#91215,.T.); #208592=EDGE_CURVE('',#166151,#165806,#91216,.T.); #208593=EDGE_CURVE('',#166150,#166151,#91217,.T.); #208594=EDGE_CURVE('',#166152,#165805,#91218,.T.); #208595=EDGE_CURVE('',#166151,#166152,#91219,.T.); #208596=EDGE_CURVE('',#166153,#165804,#91220,.T.); #208597=EDGE_CURVE('',#166152,#166153,#91221,.T.); #208598=EDGE_CURVE('',#166154,#165803,#91222,.T.); #208599=EDGE_CURVE('',#166153,#166154,#91223,.T.); #208600=EDGE_CURVE('',#166155,#165802,#91224,.T.); #208601=EDGE_CURVE('',#166154,#166155,#91225,.T.); #208602=EDGE_CURVE('',#166156,#165801,#91226,.T.); #208603=EDGE_CURVE('',#166155,#166156,#91227,.T.); #208604=EDGE_CURVE('',#166157,#165800,#91228,.T.); #208605=EDGE_CURVE('',#166156,#166157,#91229,.T.); #208606=EDGE_CURVE('',#166158,#165799,#91230,.T.); #208607=EDGE_CURVE('',#166157,#166158,#91231,.T.); #208608=EDGE_CURVE('',#166159,#165798,#91232,.T.); #208609=EDGE_CURVE('',#166158,#166159,#91233,.T.); #208610=EDGE_CURVE('',#166160,#165797,#91234,.T.); #208611=EDGE_CURVE('',#166159,#166160,#91235,.T.); #208612=EDGE_CURVE('',#166161,#165796,#91236,.T.); #208613=EDGE_CURVE('',#166160,#166161,#91237,.T.); #208614=EDGE_CURVE('',#166162,#165795,#91238,.T.); #208615=EDGE_CURVE('',#166161,#166162,#91239,.T.); #208616=EDGE_CURVE('',#166162,#166130,#91240,.T.); #208617=EDGE_CURVE('',#166163,#166144,#91241,.T.); #208618=EDGE_CURVE('',#166129,#166163,#91242,.T.); #208619=EDGE_CURVE('',#166164,#166143,#91243,.T.); #208620=EDGE_CURVE('',#166163,#166164,#91244,.T.); #208621=EDGE_CURVE('',#166165,#166142,#91245,.T.); #208622=EDGE_CURVE('',#166164,#166165,#91246,.T.); #208623=EDGE_CURVE('',#166166,#166141,#91247,.T.); #208624=EDGE_CURVE('',#166165,#166166,#91248,.T.); #208625=EDGE_CURVE('',#166167,#166140,#91249,.T.); #208626=EDGE_CURVE('',#166166,#166167,#91250,.T.); #208627=EDGE_CURVE('',#166168,#166139,#91251,.T.); #208628=EDGE_CURVE('',#166167,#166168,#91252,.T.); #208629=EDGE_CURVE('',#166169,#166138,#91253,.T.); #208630=EDGE_CURVE('',#166168,#166169,#91254,.T.); #208631=EDGE_CURVE('',#166170,#166137,#91255,.T.); #208632=EDGE_CURVE('',#166169,#166170,#91256,.T.); #208633=EDGE_CURVE('',#166171,#166136,#91257,.T.); #208634=EDGE_CURVE('',#166170,#166171,#91258,.T.); #208635=EDGE_CURVE('',#166172,#166135,#91259,.T.); #208636=EDGE_CURVE('',#166171,#166172,#91260,.T.); #208637=EDGE_CURVE('',#166173,#166134,#91261,.T.); #208638=EDGE_CURVE('',#166172,#166173,#91262,.T.); #208639=EDGE_CURVE('',#166174,#166133,#91263,.T.); #208640=EDGE_CURVE('',#166173,#166174,#91264,.T.); #208641=EDGE_CURVE('',#166175,#166132,#91265,.T.); #208642=EDGE_CURVE('',#166174,#166175,#91266,.T.); #208643=EDGE_CURVE('',#166176,#166131,#91267,.T.); #208644=EDGE_CURVE('',#166175,#166176,#91268,.T.); #208645=EDGE_CURVE('',#166176,#166123,#91269,.T.); #208646=EDGE_CURVE('',#165756,#165763,#91270,.T.); #208647=EDGE_CURVE('',#165762,#165765,#91271,.T.); #208648=EDGE_CURVE('',#166043,#166050,#91272,.T.); #208649=EDGE_CURVE('',#166044,#166049,#91273,.T.); #208650=EDGE_CURVE('',#166120,#166126,#91274,.T.); #208651=EDGE_CURVE('',#166177,#166178,#91275,.T.); #208652=EDGE_CURVE('',#166179,#166178,#91276,.T.); #208653=EDGE_CURVE('',#166180,#166179,#91277,.T.); #208654=EDGE_CURVE('',#166180,#166177,#91278,.T.); #208655=EDGE_CURVE('',#166178,#166181,#91279,.T.); #208656=EDGE_CURVE('',#166181,#166182,#139229,.T.); #208657=EDGE_CURVE('',#166182,#166183,#91280,.F.); #208658=EDGE_CURVE('',#166183,#166184,#139230,.F.); #208659=EDGE_CURVE('',#166184,#166185,#91281,.F.); #208660=EDGE_CURVE('',#166185,#166186,#91282,.T.); #208661=EDGE_CURVE('',#166187,#166186,#91283,.T.); #208662=EDGE_CURVE('',#166188,#166187,#139231,.T.); #208663=EDGE_CURVE('',#166189,#166188,#91284,.T.); #208664=EDGE_CURVE('',#166190,#166189,#139232,.T.); #208665=EDGE_CURVE('',#166179,#166190,#91285,.T.); #208666=EDGE_CURVE('',#166191,#166192,#91286,.T.); #208667=EDGE_CURVE('',#166193,#166192,#91287,.T.); #208668=EDGE_CURVE('',#166194,#166193,#91288,.T.); #208669=EDGE_CURVE('',#166194,#166195,#139233,.T.); #208670=EDGE_CURVE('',#166195,#166196,#91289,.T.); #208671=EDGE_CURVE('',#166196,#166197,#139234,.T.); #208672=EDGE_CURVE('',#166197,#166198,#91290,.T.); #208673=EDGE_CURVE('',#166199,#166198,#91291,.T.); #208674=EDGE_CURVE('',#166200,#166199,#91292,.F.); #208675=EDGE_CURVE('',#166201,#166200,#139235,.F.); #208676=EDGE_CURVE('',#166202,#166201,#91293,.F.); #208677=EDGE_CURVE('',#166191,#166202,#139236,.T.); #208678=EDGE_CURVE('',#166192,#166203,#91294,.T.); #208679=EDGE_CURVE('',#166204,#166203,#91295,.T.); #208680=EDGE_CURVE('',#166193,#166204,#91296,.T.); #208681=EDGE_CURVE('',#166205,#166206,#91297,.T.); #208682=EDGE_CURVE('',#166205,#166207,#91298,.T.); #208683=EDGE_CURVE('',#166204,#166207,#91299,.T.); #208684=EDGE_CURVE('',#166203,#166208,#91300,.T.); #208685=EDGE_CURVE('',#166208,#166206,#91301,.F.); #208686=EDGE_CURVE('',#166209,#166210,#91302,.T.); #208687=EDGE_CURVE('',#166209,#166205,#91303,.T.); #208688=EDGE_CURVE('',#166206,#166210,#91304,.T.); #208689=EDGE_CURVE('',#166211,#166180,#91305,.T.); #208690=EDGE_CURVE('',#166211,#166209,#91306,.T.); #208691=EDGE_CURVE('',#166210,#166212,#91307,.F.); #208692=EDGE_CURVE('',#166212,#166177,#91308,.T.); #208693=EDGE_CURVE('',#166207,#166211,#91309,.T.); #208694=EDGE_CURVE('',#166190,#166194,#91310,.T.); #208695=EDGE_CURVE('',#166212,#166208,#91311,.T.); #208696=EDGE_CURVE('',#166181,#166191,#91312,.T.); #208697=EDGE_CURVE('',#166199,#166185,#91313,.F.); #208698=EDGE_CURVE('',#166198,#166186,#91314,.T.); #208699=EDGE_CURVE('',#166195,#166189,#91315,.T.); #208700=EDGE_CURVE('',#166196,#166188,#91316,.T.); #208701=EDGE_CURVE('',#166197,#166187,#91317,.T.); #208702=EDGE_CURVE('',#166202,#166182,#91318,.F.); #208703=EDGE_CURVE('',#166201,#166183,#91319,.T.); #208704=EDGE_CURVE('',#166200,#166184,#91320,.F.); #208705=EDGE_CURVE('',#166213,#166214,#91321,.T.); #208706=EDGE_CURVE('',#166215,#166214,#91322,.T.); #208707=EDGE_CURVE('',#166216,#166215,#91323,.T.); #208708=EDGE_CURVE('',#166216,#166213,#91324,.T.); #208709=EDGE_CURVE('',#166214,#166217,#91325,.T.); #208710=EDGE_CURVE('',#166217,#166218,#139237,.T.); #208711=EDGE_CURVE('',#166218,#166219,#91326,.F.); #208712=EDGE_CURVE('',#166219,#166220,#139238,.F.); #208713=EDGE_CURVE('',#166220,#166221,#91327,.F.); #208714=EDGE_CURVE('',#166221,#166222,#91328,.T.); #208715=EDGE_CURVE('',#166223,#166222,#91329,.T.); #208716=EDGE_CURVE('',#166224,#166223,#139239,.T.); #208717=EDGE_CURVE('',#166225,#166224,#91330,.T.); #208718=EDGE_CURVE('',#166226,#166225,#139240,.T.); #208719=EDGE_CURVE('',#166215,#166226,#91331,.T.); #208720=EDGE_CURVE('',#166227,#166228,#91332,.T.); #208721=EDGE_CURVE('',#166229,#166228,#91333,.T.); #208722=EDGE_CURVE('',#166230,#166229,#91334,.T.); #208723=EDGE_CURVE('',#166230,#166231,#139241,.T.); #208724=EDGE_CURVE('',#166231,#166232,#91335,.T.); #208725=EDGE_CURVE('',#166232,#166233,#139242,.T.); #208726=EDGE_CURVE('',#166233,#166234,#91336,.T.); #208727=EDGE_CURVE('',#166235,#166234,#91337,.T.); #208728=EDGE_CURVE('',#166236,#166235,#91338,.F.); #208729=EDGE_CURVE('',#166237,#166236,#139243,.F.); #208730=EDGE_CURVE('',#166238,#166237,#91339,.F.); #208731=EDGE_CURVE('',#166227,#166238,#139244,.T.); #208732=EDGE_CURVE('',#166228,#166239,#91340,.T.); #208733=EDGE_CURVE('',#166240,#166239,#91341,.T.); #208734=EDGE_CURVE('',#166229,#166240,#91342,.T.); #208735=EDGE_CURVE('',#166241,#166242,#91343,.T.); #208736=EDGE_CURVE('',#166241,#166243,#91344,.T.); #208737=EDGE_CURVE('',#166240,#166243,#91345,.T.); #208738=EDGE_CURVE('',#166239,#166244,#91346,.T.); #208739=EDGE_CURVE('',#166244,#166242,#91347,.F.); #208740=EDGE_CURVE('',#166245,#166246,#91348,.T.); #208741=EDGE_CURVE('',#166245,#166241,#91349,.T.); #208742=EDGE_CURVE('',#166242,#166246,#91350,.T.); #208743=EDGE_CURVE('',#166247,#166216,#91351,.T.); #208744=EDGE_CURVE('',#166247,#166245,#91352,.T.); #208745=EDGE_CURVE('',#166246,#166248,#91353,.F.); #208746=EDGE_CURVE('',#166248,#166213,#91354,.T.); #208747=EDGE_CURVE('',#166243,#166247,#91355,.T.); #208748=EDGE_CURVE('',#166226,#166230,#91356,.T.); #208749=EDGE_CURVE('',#166248,#166244,#91357,.T.); #208750=EDGE_CURVE('',#166217,#166227,#91358,.T.); #208751=EDGE_CURVE('',#166235,#166221,#91359,.F.); #208752=EDGE_CURVE('',#166234,#166222,#91360,.T.); #208753=EDGE_CURVE('',#166231,#166225,#91361,.T.); #208754=EDGE_CURVE('',#166232,#166224,#91362,.T.); #208755=EDGE_CURVE('',#166233,#166223,#91363,.T.); #208756=EDGE_CURVE('',#166238,#166218,#91364,.F.); #208757=EDGE_CURVE('',#166237,#166219,#91365,.T.); #208758=EDGE_CURVE('',#166236,#166220,#91366,.F.); #208759=EDGE_CURVE('',#166249,#166250,#91367,.T.); #208760=EDGE_CURVE('',#166251,#166250,#91368,.T.); #208761=EDGE_CURVE('',#166252,#166251,#91369,.T.); #208762=EDGE_CURVE('',#166252,#166249,#91370,.T.); #208763=EDGE_CURVE('',#166250,#166253,#91371,.T.); #208764=EDGE_CURVE('',#166253,#166254,#139245,.T.); #208765=EDGE_CURVE('',#166254,#166255,#91372,.F.); #208766=EDGE_CURVE('',#166255,#166256,#139246,.F.); #208767=EDGE_CURVE('',#166256,#166257,#91373,.F.); #208768=EDGE_CURVE('',#166257,#166258,#91374,.T.); #208769=EDGE_CURVE('',#166259,#166258,#91375,.T.); #208770=EDGE_CURVE('',#166260,#166259,#139247,.T.); #208771=EDGE_CURVE('',#166261,#166260,#91376,.T.); #208772=EDGE_CURVE('',#166262,#166261,#139248,.T.); #208773=EDGE_CURVE('',#166251,#166262,#91377,.T.); #208774=EDGE_CURVE('',#166263,#166264,#91378,.T.); #208775=EDGE_CURVE('',#166265,#166264,#91379,.T.); #208776=EDGE_CURVE('',#166266,#166265,#91380,.T.); #208777=EDGE_CURVE('',#166266,#166267,#139249,.T.); #208778=EDGE_CURVE('',#166267,#166268,#91381,.T.); #208779=EDGE_CURVE('',#166268,#166269,#139250,.T.); #208780=EDGE_CURVE('',#166269,#166270,#91382,.T.); #208781=EDGE_CURVE('',#166271,#166270,#91383,.T.); #208782=EDGE_CURVE('',#166272,#166271,#91384,.F.); #208783=EDGE_CURVE('',#166273,#166272,#139251,.F.); #208784=EDGE_CURVE('',#166274,#166273,#91385,.F.); #208785=EDGE_CURVE('',#166263,#166274,#139252,.T.); #208786=EDGE_CURVE('',#166264,#166275,#91386,.T.); #208787=EDGE_CURVE('',#166276,#166275,#91387,.T.); #208788=EDGE_CURVE('',#166265,#166276,#91388,.T.); #208789=EDGE_CURVE('',#166277,#166278,#91389,.T.); #208790=EDGE_CURVE('',#166277,#166279,#91390,.T.); #208791=EDGE_CURVE('',#166276,#166279,#91391,.T.); #208792=EDGE_CURVE('',#166275,#166280,#91392,.T.); #208793=EDGE_CURVE('',#166280,#166278,#91393,.F.); #208794=EDGE_CURVE('',#166281,#166282,#91394,.T.); #208795=EDGE_CURVE('',#166281,#166277,#91395,.T.); #208796=EDGE_CURVE('',#166278,#166282,#91396,.T.); #208797=EDGE_CURVE('',#166283,#166252,#91397,.T.); #208798=EDGE_CURVE('',#166283,#166281,#91398,.T.); #208799=EDGE_CURVE('',#166282,#166284,#91399,.F.); #208800=EDGE_CURVE('',#166284,#166249,#91400,.T.); #208801=EDGE_CURVE('',#166279,#166283,#91401,.T.); #208802=EDGE_CURVE('',#166262,#166266,#91402,.T.); #208803=EDGE_CURVE('',#166284,#166280,#91403,.T.); #208804=EDGE_CURVE('',#166253,#166263,#91404,.T.); #208805=EDGE_CURVE('',#166271,#166257,#91405,.F.); #208806=EDGE_CURVE('',#166270,#166258,#91406,.T.); #208807=EDGE_CURVE('',#166267,#166261,#91407,.T.); #208808=EDGE_CURVE('',#166268,#166260,#91408,.T.); #208809=EDGE_CURVE('',#166269,#166259,#91409,.T.); #208810=EDGE_CURVE('',#166274,#166254,#91410,.F.); #208811=EDGE_CURVE('',#166273,#166255,#91411,.T.); #208812=EDGE_CURVE('',#166272,#166256,#91412,.F.); #208813=EDGE_CURVE('',#166285,#166286,#91413,.T.); #208814=EDGE_CURVE('',#166287,#166286,#91414,.T.); #208815=EDGE_CURVE('',#166288,#166287,#91415,.T.); #208816=EDGE_CURVE('',#166288,#166285,#91416,.T.); #208817=EDGE_CURVE('',#166286,#166289,#91417,.T.); #208818=EDGE_CURVE('',#166289,#166290,#139253,.T.); #208819=EDGE_CURVE('',#166290,#166291,#91418,.F.); #208820=EDGE_CURVE('',#166291,#166292,#139254,.F.); #208821=EDGE_CURVE('',#166292,#166293,#91419,.F.); #208822=EDGE_CURVE('',#166293,#166294,#91420,.T.); #208823=EDGE_CURVE('',#166295,#166294,#91421,.T.); #208824=EDGE_CURVE('',#166296,#166295,#139255,.T.); #208825=EDGE_CURVE('',#166297,#166296,#91422,.T.); #208826=EDGE_CURVE('',#166298,#166297,#139256,.T.); #208827=EDGE_CURVE('',#166287,#166298,#91423,.T.); #208828=EDGE_CURVE('',#166299,#166300,#91424,.T.); #208829=EDGE_CURVE('',#166301,#166300,#91425,.T.); #208830=EDGE_CURVE('',#166302,#166301,#91426,.T.); #208831=EDGE_CURVE('',#166302,#166303,#139257,.T.); #208832=EDGE_CURVE('',#166303,#166304,#91427,.T.); #208833=EDGE_CURVE('',#166304,#166305,#139258,.T.); #208834=EDGE_CURVE('',#166305,#166306,#91428,.T.); #208835=EDGE_CURVE('',#166307,#166306,#91429,.T.); #208836=EDGE_CURVE('',#166308,#166307,#91430,.F.); #208837=EDGE_CURVE('',#166309,#166308,#139259,.F.); #208838=EDGE_CURVE('',#166310,#166309,#91431,.F.); #208839=EDGE_CURVE('',#166299,#166310,#139260,.T.); #208840=EDGE_CURVE('',#166300,#166311,#91432,.T.); #208841=EDGE_CURVE('',#166312,#166311,#91433,.T.); #208842=EDGE_CURVE('',#166301,#166312,#91434,.T.); #208843=EDGE_CURVE('',#166313,#166314,#91435,.T.); #208844=EDGE_CURVE('',#166313,#166315,#91436,.T.); #208845=EDGE_CURVE('',#166312,#166315,#91437,.T.); #208846=EDGE_CURVE('',#166311,#166316,#91438,.T.); #208847=EDGE_CURVE('',#166316,#166314,#91439,.F.); #208848=EDGE_CURVE('',#166317,#166318,#91440,.T.); #208849=EDGE_CURVE('',#166317,#166313,#91441,.T.); #208850=EDGE_CURVE('',#166314,#166318,#91442,.T.); #208851=EDGE_CURVE('',#166319,#166288,#91443,.T.); #208852=EDGE_CURVE('',#166319,#166317,#91444,.T.); #208853=EDGE_CURVE('',#166318,#166320,#91445,.F.); #208854=EDGE_CURVE('',#166320,#166285,#91446,.T.); #208855=EDGE_CURVE('',#166315,#166319,#91447,.T.); #208856=EDGE_CURVE('',#166298,#166302,#91448,.T.); #208857=EDGE_CURVE('',#166320,#166316,#91449,.T.); #208858=EDGE_CURVE('',#166289,#166299,#91450,.T.); #208859=EDGE_CURVE('',#166307,#166293,#91451,.F.); #208860=EDGE_CURVE('',#166306,#166294,#91452,.T.); #208861=EDGE_CURVE('',#166303,#166297,#91453,.T.); #208862=EDGE_CURVE('',#166304,#166296,#91454,.T.); #208863=EDGE_CURVE('',#166305,#166295,#91455,.T.); #208864=EDGE_CURVE('',#166310,#166290,#91456,.F.); #208865=EDGE_CURVE('',#166309,#166291,#91457,.T.); #208866=EDGE_CURVE('',#166308,#166292,#91458,.F.); #208867=EDGE_CURVE('',#166321,#166322,#91459,.T.); #208868=EDGE_CURVE('',#166323,#166322,#91460,.T.); #208869=EDGE_CURVE('',#166324,#166323,#91461,.T.); #208870=EDGE_CURVE('',#166324,#166321,#91462,.T.); #208871=EDGE_CURVE('',#166322,#166325,#91463,.T.); #208872=EDGE_CURVE('',#166325,#166326,#139261,.T.); #208873=EDGE_CURVE('',#166326,#166327,#91464,.F.); #208874=EDGE_CURVE('',#166327,#166328,#139262,.F.); #208875=EDGE_CURVE('',#166328,#166329,#91465,.F.); #208876=EDGE_CURVE('',#166329,#166330,#91466,.T.); #208877=EDGE_CURVE('',#166331,#166330,#91467,.T.); #208878=EDGE_CURVE('',#166332,#166331,#139263,.T.); #208879=EDGE_CURVE('',#166333,#166332,#91468,.T.); #208880=EDGE_CURVE('',#166334,#166333,#139264,.T.); #208881=EDGE_CURVE('',#166323,#166334,#91469,.T.); #208882=EDGE_CURVE('',#166335,#166336,#91470,.T.); #208883=EDGE_CURVE('',#166337,#166336,#91471,.T.); #208884=EDGE_CURVE('',#166338,#166337,#91472,.T.); #208885=EDGE_CURVE('',#166338,#166339,#139265,.T.); #208886=EDGE_CURVE('',#166339,#166340,#91473,.T.); #208887=EDGE_CURVE('',#166340,#166341,#139266,.T.); #208888=EDGE_CURVE('',#166341,#166342,#91474,.T.); #208889=EDGE_CURVE('',#166343,#166342,#91475,.T.); #208890=EDGE_CURVE('',#166344,#166343,#91476,.F.); #208891=EDGE_CURVE('',#166345,#166344,#139267,.F.); #208892=EDGE_CURVE('',#166346,#166345,#91477,.F.); #208893=EDGE_CURVE('',#166335,#166346,#139268,.T.); #208894=EDGE_CURVE('',#166336,#166347,#91478,.T.); #208895=EDGE_CURVE('',#166348,#166347,#91479,.T.); #208896=EDGE_CURVE('',#166337,#166348,#91480,.T.); #208897=EDGE_CURVE('',#166349,#166350,#91481,.T.); #208898=EDGE_CURVE('',#166349,#166351,#91482,.T.); #208899=EDGE_CURVE('',#166348,#166351,#91483,.T.); #208900=EDGE_CURVE('',#166347,#166352,#91484,.T.); #208901=EDGE_CURVE('',#166352,#166350,#91485,.F.); #208902=EDGE_CURVE('',#166353,#166354,#91486,.T.); #208903=EDGE_CURVE('',#166353,#166349,#91487,.T.); #208904=EDGE_CURVE('',#166350,#166354,#91488,.T.); #208905=EDGE_CURVE('',#166355,#166324,#91489,.T.); #208906=EDGE_CURVE('',#166355,#166353,#91490,.T.); #208907=EDGE_CURVE('',#166354,#166356,#91491,.F.); #208908=EDGE_CURVE('',#166356,#166321,#91492,.T.); #208909=EDGE_CURVE('',#166351,#166355,#91493,.T.); #208910=EDGE_CURVE('',#166334,#166338,#91494,.T.); #208911=EDGE_CURVE('',#166356,#166352,#91495,.T.); #208912=EDGE_CURVE('',#166325,#166335,#91496,.T.); #208913=EDGE_CURVE('',#166343,#166329,#91497,.F.); #208914=EDGE_CURVE('',#166342,#166330,#91498,.T.); #208915=EDGE_CURVE('',#166339,#166333,#91499,.T.); #208916=EDGE_CURVE('',#166340,#166332,#91500,.T.); #208917=EDGE_CURVE('',#166341,#166331,#91501,.T.); #208918=EDGE_CURVE('',#166346,#166326,#91502,.F.); #208919=EDGE_CURVE('',#166345,#166327,#91503,.T.); #208920=EDGE_CURVE('',#166344,#166328,#91504,.F.); #208921=EDGE_CURVE('',#166357,#166358,#91505,.T.); #208922=EDGE_CURVE('',#166359,#166358,#91506,.T.); #208923=EDGE_CURVE('',#166360,#166359,#91507,.T.); #208924=EDGE_CURVE('',#166360,#166357,#91508,.T.); #208925=EDGE_CURVE('',#166358,#166361,#91509,.T.); #208926=EDGE_CURVE('',#166361,#166362,#139269,.T.); #208927=EDGE_CURVE('',#166362,#166363,#91510,.F.); #208928=EDGE_CURVE('',#166363,#166364,#139270,.F.); #208929=EDGE_CURVE('',#166364,#166365,#91511,.F.); #208930=EDGE_CURVE('',#166365,#166366,#91512,.T.); #208931=EDGE_CURVE('',#166367,#166366,#91513,.T.); #208932=EDGE_CURVE('',#166368,#166367,#139271,.T.); #208933=EDGE_CURVE('',#166369,#166368,#91514,.T.); #208934=EDGE_CURVE('',#166370,#166369,#139272,.T.); #208935=EDGE_CURVE('',#166359,#166370,#91515,.T.); #208936=EDGE_CURVE('',#166371,#166372,#91516,.T.); #208937=EDGE_CURVE('',#166373,#166372,#91517,.T.); #208938=EDGE_CURVE('',#166374,#166373,#91518,.T.); #208939=EDGE_CURVE('',#166374,#166375,#139273,.T.); #208940=EDGE_CURVE('',#166375,#166376,#91519,.T.); #208941=EDGE_CURVE('',#166376,#166377,#139274,.T.); #208942=EDGE_CURVE('',#166377,#166378,#91520,.T.); #208943=EDGE_CURVE('',#166379,#166378,#91521,.T.); #208944=EDGE_CURVE('',#166380,#166379,#91522,.F.); #208945=EDGE_CURVE('',#166381,#166380,#139275,.F.); #208946=EDGE_CURVE('',#166382,#166381,#91523,.F.); #208947=EDGE_CURVE('',#166371,#166382,#139276,.T.); #208948=EDGE_CURVE('',#166372,#166383,#91524,.T.); #208949=EDGE_CURVE('',#166384,#166383,#91525,.T.); #208950=EDGE_CURVE('',#166373,#166384,#91526,.T.); #208951=EDGE_CURVE('',#166385,#166386,#91527,.T.); #208952=EDGE_CURVE('',#166385,#166387,#91528,.T.); #208953=EDGE_CURVE('',#166384,#166387,#91529,.T.); #208954=EDGE_CURVE('',#166383,#166388,#91530,.T.); #208955=EDGE_CURVE('',#166388,#166386,#91531,.F.); #208956=EDGE_CURVE('',#166389,#166390,#91532,.T.); #208957=EDGE_CURVE('',#166389,#166385,#91533,.T.); #208958=EDGE_CURVE('',#166386,#166390,#91534,.T.); #208959=EDGE_CURVE('',#166391,#166360,#91535,.T.); #208960=EDGE_CURVE('',#166391,#166389,#91536,.T.); #208961=EDGE_CURVE('',#166390,#166392,#91537,.F.); #208962=EDGE_CURVE('',#166392,#166357,#91538,.T.); #208963=EDGE_CURVE('',#166387,#166391,#91539,.T.); #208964=EDGE_CURVE('',#166370,#166374,#91540,.T.); #208965=EDGE_CURVE('',#166392,#166388,#91541,.T.); #208966=EDGE_CURVE('',#166361,#166371,#91542,.T.); #208967=EDGE_CURVE('',#166379,#166365,#91543,.F.); #208968=EDGE_CURVE('',#166378,#166366,#91544,.T.); #208969=EDGE_CURVE('',#166375,#166369,#91545,.T.); #208970=EDGE_CURVE('',#166376,#166368,#91546,.T.); #208971=EDGE_CURVE('',#166377,#166367,#91547,.T.); #208972=EDGE_CURVE('',#166382,#166362,#91548,.F.); #208973=EDGE_CURVE('',#166381,#166363,#91549,.T.); #208974=EDGE_CURVE('',#166380,#166364,#91550,.F.); #208975=EDGE_CURVE('',#166393,#166394,#91551,.T.); #208976=EDGE_CURVE('',#166395,#166394,#91552,.T.); #208977=EDGE_CURVE('',#166396,#166395,#91553,.T.); #208978=EDGE_CURVE('',#166396,#166393,#91554,.T.); #208979=EDGE_CURVE('',#166394,#166397,#91555,.T.); #208980=EDGE_CURVE('',#166397,#166398,#139277,.T.); #208981=EDGE_CURVE('',#166398,#166399,#91556,.F.); #208982=EDGE_CURVE('',#166399,#166400,#139278,.F.); #208983=EDGE_CURVE('',#166400,#166401,#91557,.F.); #208984=EDGE_CURVE('',#166401,#166402,#91558,.T.); #208985=EDGE_CURVE('',#166403,#166402,#91559,.T.); #208986=EDGE_CURVE('',#166404,#166403,#139279,.T.); #208987=EDGE_CURVE('',#166405,#166404,#91560,.T.); #208988=EDGE_CURVE('',#166406,#166405,#139280,.T.); #208989=EDGE_CURVE('',#166395,#166406,#91561,.T.); #208990=EDGE_CURVE('',#166407,#166408,#91562,.T.); #208991=EDGE_CURVE('',#166409,#166408,#91563,.T.); #208992=EDGE_CURVE('',#166410,#166409,#91564,.T.); #208993=EDGE_CURVE('',#166410,#166411,#139281,.T.); #208994=EDGE_CURVE('',#166411,#166412,#91565,.T.); #208995=EDGE_CURVE('',#166412,#166413,#139282,.T.); #208996=EDGE_CURVE('',#166413,#166414,#91566,.T.); #208997=EDGE_CURVE('',#166415,#166414,#91567,.T.); #208998=EDGE_CURVE('',#166416,#166415,#91568,.F.); #208999=EDGE_CURVE('',#166417,#166416,#139283,.F.); #209000=EDGE_CURVE('',#166418,#166417,#91569,.F.); #209001=EDGE_CURVE('',#166407,#166418,#139284,.T.); #209002=EDGE_CURVE('',#166408,#166419,#91570,.T.); #209003=EDGE_CURVE('',#166420,#166419,#91571,.T.); #209004=EDGE_CURVE('',#166409,#166420,#91572,.T.); #209005=EDGE_CURVE('',#166421,#166422,#91573,.T.); #209006=EDGE_CURVE('',#166421,#166423,#91574,.T.); #209007=EDGE_CURVE('',#166420,#166423,#91575,.T.); #209008=EDGE_CURVE('',#166419,#166424,#91576,.T.); #209009=EDGE_CURVE('',#166424,#166422,#91577,.F.); #209010=EDGE_CURVE('',#166425,#166426,#91578,.T.); #209011=EDGE_CURVE('',#166425,#166421,#91579,.T.); #209012=EDGE_CURVE('',#166422,#166426,#91580,.T.); #209013=EDGE_CURVE('',#166427,#166396,#91581,.T.); #209014=EDGE_CURVE('',#166427,#166425,#91582,.T.); #209015=EDGE_CURVE('',#166426,#166428,#91583,.F.); #209016=EDGE_CURVE('',#166428,#166393,#91584,.T.); #209017=EDGE_CURVE('',#166423,#166427,#91585,.T.); #209018=EDGE_CURVE('',#166406,#166410,#91586,.T.); #209019=EDGE_CURVE('',#166428,#166424,#91587,.T.); #209020=EDGE_CURVE('',#166397,#166407,#91588,.T.); #209021=EDGE_CURVE('',#166415,#166401,#91589,.F.); #209022=EDGE_CURVE('',#166414,#166402,#91590,.T.); #209023=EDGE_CURVE('',#166411,#166405,#91591,.T.); #209024=EDGE_CURVE('',#166412,#166404,#91592,.T.); #209025=EDGE_CURVE('',#166413,#166403,#91593,.T.); #209026=EDGE_CURVE('',#166418,#166398,#91594,.F.); #209027=EDGE_CURVE('',#166417,#166399,#91595,.T.); #209028=EDGE_CURVE('',#166416,#166400,#91596,.F.); #209029=EDGE_CURVE('',#166429,#166430,#91597,.T.); #209030=EDGE_CURVE('',#166431,#166429,#91598,.T.); #209031=EDGE_CURVE('',#166431,#166432,#91599,.T.); #209032=EDGE_CURVE('',#166432,#166430,#91600,.T.); #209033=EDGE_CURVE('',#166430,#166433,#91601,.T.); #209034=EDGE_CURVE('',#166432,#166434,#91602,.T.); #209035=EDGE_CURVE('',#166434,#166435,#139285,.T.); #209036=EDGE_CURVE('',#166435,#166436,#91603,.T.); #209037=EDGE_CURVE('',#166436,#166437,#139286,.T.); #209038=EDGE_CURVE('',#166437,#166438,#91604,.T.); #209039=EDGE_CURVE('',#166439,#166438,#91605,.T.); #209040=EDGE_CURVE('',#166440,#166439,#91606,.F.); #209041=EDGE_CURVE('',#166441,#166440,#139287,.F.); #209042=EDGE_CURVE('',#166442,#166441,#91607,.F.); #209043=EDGE_CURVE('',#166433,#166442,#139288,.T.); #209044=EDGE_CURVE('',#166443,#166444,#91608,.T.); #209045=EDGE_CURVE('',#166443,#166445,#139289,.T.); #209046=EDGE_CURVE('',#166445,#166446,#91609,.F.); #209047=EDGE_CURVE('',#166446,#166447,#139290,.F.); #209048=EDGE_CURVE('',#166447,#166448,#91610,.F.); #209049=EDGE_CURVE('',#166448,#166449,#91611,.T.); #209050=EDGE_CURVE('',#166450,#166449,#91612,.T.); #209051=EDGE_CURVE('',#166451,#166450,#139291,.T.); #209052=EDGE_CURVE('',#166452,#166451,#91613,.T.); #209053=EDGE_CURVE('',#166453,#166452,#139292,.T.); #209054=EDGE_CURVE('',#166453,#166454,#91614,.T.); #209055=EDGE_CURVE('',#166454,#166444,#91615,.T.); #209056=EDGE_CURVE('',#166444,#166455,#91616,.T.); #209057=EDGE_CURVE('',#166454,#166456,#91617,.T.); #209058=EDGE_CURVE('',#166456,#166455,#91618,.T.); #209059=EDGE_CURVE('',#166457,#166458,#91619,.T.); #209060=EDGE_CURVE('',#166459,#166458,#91620,.F.); #209061=EDGE_CURVE('',#166455,#166459,#91621,.T.); #209062=EDGE_CURVE('',#166456,#166460,#91622,.T.); #209063=EDGE_CURVE('',#166457,#166460,#91623,.T.); #209064=EDGE_CURVE('',#166461,#166462,#91624,.T.); #209065=EDGE_CURVE('',#166458,#166462,#91625,.T.); #209066=EDGE_CURVE('',#166461,#166457,#91626,.T.); #209067=EDGE_CURVE('',#166463,#166431,#91627,.T.); #209068=EDGE_CURVE('',#166464,#166429,#91628,.T.); #209069=EDGE_CURVE('',#166462,#166464,#91629,.F.); #209070=EDGE_CURVE('',#166463,#166461,#91630,.T.); #209071=EDGE_CURVE('',#166434,#166453,#91631,.T.); #209072=EDGE_CURVE('',#166460,#166463,#91632,.T.); #209073=EDGE_CURVE('',#166433,#166443,#91633,.T.); #209074=EDGE_CURVE('',#166464,#166459,#91634,.T.); #209075=EDGE_CURVE('',#166449,#166438,#91635,.T.); #209076=EDGE_CURVE('',#166448,#166439,#91636,.F.); #209077=EDGE_CURVE('',#166452,#166435,#91637,.T.); #209078=EDGE_CURVE('',#166451,#166436,#91638,.T.); #209079=EDGE_CURVE('',#166450,#166437,#91639,.T.); #209080=EDGE_CURVE('',#166445,#166442,#91640,.F.); #209081=EDGE_CURVE('',#166446,#166441,#91641,.T.); #209082=EDGE_CURVE('',#166447,#166440,#91642,.F.); #209083=EDGE_CURVE('',#166465,#166466,#91643,.T.); #209084=EDGE_CURVE('',#166467,#166465,#91644,.T.); #209085=EDGE_CURVE('',#166467,#166468,#91645,.T.); #209086=EDGE_CURVE('',#166468,#166466,#91646,.T.); #209087=EDGE_CURVE('',#166466,#166469,#91647,.T.); #209088=EDGE_CURVE('',#166468,#166470,#91648,.T.); #209089=EDGE_CURVE('',#166470,#166471,#139293,.T.); #209090=EDGE_CURVE('',#166471,#166472,#91649,.T.); #209091=EDGE_CURVE('',#166472,#166473,#139294,.T.); #209092=EDGE_CURVE('',#166473,#166474,#91650,.T.); #209093=EDGE_CURVE('',#166475,#166474,#91651,.T.); #209094=EDGE_CURVE('',#166476,#166475,#91652,.F.); #209095=EDGE_CURVE('',#166477,#166476,#139295,.F.); #209096=EDGE_CURVE('',#166478,#166477,#91653,.F.); #209097=EDGE_CURVE('',#166469,#166478,#139296,.T.); #209098=EDGE_CURVE('',#166479,#166480,#91654,.T.); #209099=EDGE_CURVE('',#166479,#166481,#139297,.T.); #209100=EDGE_CURVE('',#166481,#166482,#91655,.F.); #209101=EDGE_CURVE('',#166482,#166483,#139298,.F.); #209102=EDGE_CURVE('',#166483,#166484,#91656,.F.); #209103=EDGE_CURVE('',#166484,#166485,#91657,.T.); #209104=EDGE_CURVE('',#166486,#166485,#91658,.T.); #209105=EDGE_CURVE('',#166487,#166486,#139299,.T.); #209106=EDGE_CURVE('',#166488,#166487,#91659,.T.); #209107=EDGE_CURVE('',#166489,#166488,#139300,.T.); #209108=EDGE_CURVE('',#166489,#166490,#91660,.T.); #209109=EDGE_CURVE('',#166490,#166480,#91661,.T.); #209110=EDGE_CURVE('',#166480,#166491,#91662,.T.); #209111=EDGE_CURVE('',#166490,#166492,#91663,.T.); #209112=EDGE_CURVE('',#166492,#166491,#91664,.T.); #209113=EDGE_CURVE('',#166493,#166494,#91665,.T.); #209114=EDGE_CURVE('',#166495,#166494,#91666,.F.); #209115=EDGE_CURVE('',#166491,#166495,#91667,.T.); #209116=EDGE_CURVE('',#166492,#166496,#91668,.T.); #209117=EDGE_CURVE('',#166493,#166496,#91669,.T.); #209118=EDGE_CURVE('',#166497,#166498,#91670,.T.); #209119=EDGE_CURVE('',#166494,#166498,#91671,.T.); #209120=EDGE_CURVE('',#166497,#166493,#91672,.T.); #209121=EDGE_CURVE('',#166499,#166467,#91673,.T.); #209122=EDGE_CURVE('',#166500,#166465,#91674,.T.); #209123=EDGE_CURVE('',#166498,#166500,#91675,.F.); #209124=EDGE_CURVE('',#166499,#166497,#91676,.T.); #209125=EDGE_CURVE('',#166470,#166489,#91677,.T.); #209126=EDGE_CURVE('',#166496,#166499,#91678,.T.); #209127=EDGE_CURVE('',#166469,#166479,#91679,.T.); #209128=EDGE_CURVE('',#166500,#166495,#91680,.T.); #209129=EDGE_CURVE('',#166485,#166474,#91681,.T.); #209130=EDGE_CURVE('',#166484,#166475,#91682,.F.); #209131=EDGE_CURVE('',#166488,#166471,#91683,.T.); #209132=EDGE_CURVE('',#166487,#166472,#91684,.T.); #209133=EDGE_CURVE('',#166486,#166473,#91685,.T.); #209134=EDGE_CURVE('',#166481,#166478,#91686,.F.); #209135=EDGE_CURVE('',#166482,#166477,#91687,.T.); #209136=EDGE_CURVE('',#166483,#166476,#91688,.F.); #209137=EDGE_CURVE('',#166501,#166502,#91689,.T.); #209138=EDGE_CURVE('',#166503,#166501,#91690,.T.); #209139=EDGE_CURVE('',#166503,#166504,#91691,.T.); #209140=EDGE_CURVE('',#166504,#166502,#91692,.T.); #209141=EDGE_CURVE('',#166502,#166505,#91693,.T.); #209142=EDGE_CURVE('',#166504,#166506,#91694,.T.); #209143=EDGE_CURVE('',#166506,#166507,#139301,.T.); #209144=EDGE_CURVE('',#166507,#166508,#91695,.T.); #209145=EDGE_CURVE('',#166508,#166509,#139302,.T.); #209146=EDGE_CURVE('',#166509,#166510,#91696,.T.); #209147=EDGE_CURVE('',#166511,#166510,#91697,.T.); #209148=EDGE_CURVE('',#166512,#166511,#91698,.F.); #209149=EDGE_CURVE('',#166513,#166512,#139303,.F.); #209150=EDGE_CURVE('',#166514,#166513,#91699,.F.); #209151=EDGE_CURVE('',#166505,#166514,#139304,.T.); #209152=EDGE_CURVE('',#166515,#166516,#91700,.T.); #209153=EDGE_CURVE('',#166515,#166517,#139305,.T.); #209154=EDGE_CURVE('',#166517,#166518,#91701,.F.); #209155=EDGE_CURVE('',#166518,#166519,#139306,.F.); #209156=EDGE_CURVE('',#166519,#166520,#91702,.F.); #209157=EDGE_CURVE('',#166520,#166521,#91703,.T.); #209158=EDGE_CURVE('',#166522,#166521,#91704,.T.); #209159=EDGE_CURVE('',#166523,#166522,#139307,.T.); #209160=EDGE_CURVE('',#166524,#166523,#91705,.T.); #209161=EDGE_CURVE('',#166525,#166524,#139308,.T.); #209162=EDGE_CURVE('',#166525,#166526,#91706,.T.); #209163=EDGE_CURVE('',#166526,#166516,#91707,.T.); #209164=EDGE_CURVE('',#166516,#166527,#91708,.T.); #209165=EDGE_CURVE('',#166526,#166528,#91709,.T.); #209166=EDGE_CURVE('',#166528,#166527,#91710,.T.); #209167=EDGE_CURVE('',#166529,#166530,#91711,.T.); #209168=EDGE_CURVE('',#166531,#166530,#91712,.F.); #209169=EDGE_CURVE('',#166527,#166531,#91713,.T.); #209170=EDGE_CURVE('',#166528,#166532,#91714,.T.); #209171=EDGE_CURVE('',#166529,#166532,#91715,.T.); #209172=EDGE_CURVE('',#166533,#166534,#91716,.T.); #209173=EDGE_CURVE('',#166530,#166534,#91717,.T.); #209174=EDGE_CURVE('',#166533,#166529,#91718,.T.); #209175=EDGE_CURVE('',#166535,#166503,#91719,.T.); #209176=EDGE_CURVE('',#166536,#166501,#91720,.T.); #209177=EDGE_CURVE('',#166534,#166536,#91721,.F.); #209178=EDGE_CURVE('',#166535,#166533,#91722,.T.); #209179=EDGE_CURVE('',#166506,#166525,#91723,.T.); #209180=EDGE_CURVE('',#166532,#166535,#91724,.T.); #209181=EDGE_CURVE('',#166505,#166515,#91725,.T.); #209182=EDGE_CURVE('',#166536,#166531,#91726,.T.); #209183=EDGE_CURVE('',#166521,#166510,#91727,.T.); #209184=EDGE_CURVE('',#166520,#166511,#91728,.F.); #209185=EDGE_CURVE('',#166524,#166507,#91729,.T.); #209186=EDGE_CURVE('',#166523,#166508,#91730,.T.); #209187=EDGE_CURVE('',#166522,#166509,#91731,.T.); #209188=EDGE_CURVE('',#166517,#166514,#91732,.F.); #209189=EDGE_CURVE('',#166518,#166513,#91733,.T.); #209190=EDGE_CURVE('',#166519,#166512,#91734,.F.); #209191=EDGE_CURVE('',#166537,#166538,#91735,.T.); #209192=EDGE_CURVE('',#166539,#166537,#91736,.T.); #209193=EDGE_CURVE('',#166539,#166540,#91737,.T.); #209194=EDGE_CURVE('',#166540,#166538,#91738,.T.); #209195=EDGE_CURVE('',#166538,#166541,#91739,.T.); #209196=EDGE_CURVE('',#166540,#166542,#91740,.T.); #209197=EDGE_CURVE('',#166542,#166543,#139309,.T.); #209198=EDGE_CURVE('',#166543,#166544,#91741,.T.); #209199=EDGE_CURVE('',#166544,#166545,#139310,.T.); #209200=EDGE_CURVE('',#166545,#166546,#91742,.T.); #209201=EDGE_CURVE('',#166547,#166546,#91743,.T.); #209202=EDGE_CURVE('',#166548,#166547,#91744,.F.); #209203=EDGE_CURVE('',#166549,#166548,#139311,.F.); #209204=EDGE_CURVE('',#166550,#166549,#91745,.F.); #209205=EDGE_CURVE('',#166541,#166550,#139312,.T.); #209206=EDGE_CURVE('',#166551,#166552,#91746,.T.); #209207=EDGE_CURVE('',#166551,#166553,#139313,.T.); #209208=EDGE_CURVE('',#166553,#166554,#91747,.F.); #209209=EDGE_CURVE('',#166554,#166555,#139314,.F.); #209210=EDGE_CURVE('',#166555,#166556,#91748,.F.); #209211=EDGE_CURVE('',#166556,#166557,#91749,.T.); #209212=EDGE_CURVE('',#166558,#166557,#91750,.T.); #209213=EDGE_CURVE('',#166559,#166558,#139315,.T.); #209214=EDGE_CURVE('',#166560,#166559,#91751,.T.); #209215=EDGE_CURVE('',#166561,#166560,#139316,.T.); #209216=EDGE_CURVE('',#166561,#166562,#91752,.T.); #209217=EDGE_CURVE('',#166562,#166552,#91753,.T.); #209218=EDGE_CURVE('',#166552,#166563,#91754,.T.); #209219=EDGE_CURVE('',#166562,#166564,#91755,.T.); #209220=EDGE_CURVE('',#166564,#166563,#91756,.T.); #209221=EDGE_CURVE('',#166565,#166566,#91757,.T.); #209222=EDGE_CURVE('',#166567,#166566,#91758,.F.); #209223=EDGE_CURVE('',#166563,#166567,#91759,.T.); #209224=EDGE_CURVE('',#166564,#166568,#91760,.T.); #209225=EDGE_CURVE('',#166565,#166568,#91761,.T.); #209226=EDGE_CURVE('',#166569,#166570,#91762,.T.); #209227=EDGE_CURVE('',#166566,#166570,#91763,.T.); #209228=EDGE_CURVE('',#166569,#166565,#91764,.T.); #209229=EDGE_CURVE('',#166571,#166539,#91765,.T.); #209230=EDGE_CURVE('',#166572,#166537,#91766,.T.); #209231=EDGE_CURVE('',#166570,#166572,#91767,.F.); #209232=EDGE_CURVE('',#166571,#166569,#91768,.T.); #209233=EDGE_CURVE('',#166542,#166561,#91769,.T.); #209234=EDGE_CURVE('',#166568,#166571,#91770,.T.); #209235=EDGE_CURVE('',#166541,#166551,#91771,.T.); #209236=EDGE_CURVE('',#166572,#166567,#91772,.T.); #209237=EDGE_CURVE('',#166557,#166546,#91773,.T.); #209238=EDGE_CURVE('',#166556,#166547,#91774,.F.); #209239=EDGE_CURVE('',#166560,#166543,#91775,.T.); #209240=EDGE_CURVE('',#166559,#166544,#91776,.T.); #209241=EDGE_CURVE('',#166558,#166545,#91777,.T.); #209242=EDGE_CURVE('',#166553,#166550,#91778,.F.); #209243=EDGE_CURVE('',#166554,#166549,#91779,.T.); #209244=EDGE_CURVE('',#166555,#166548,#91780,.F.); #209245=EDGE_CURVE('',#166573,#166574,#91781,.T.); #209246=EDGE_CURVE('',#166575,#166573,#91782,.T.); #209247=EDGE_CURVE('',#166575,#166576,#91783,.T.); #209248=EDGE_CURVE('',#166576,#166574,#91784,.T.); #209249=EDGE_CURVE('',#166574,#166577,#91785,.T.); #209250=EDGE_CURVE('',#166576,#166578,#91786,.T.); #209251=EDGE_CURVE('',#166578,#166579,#139317,.T.); #209252=EDGE_CURVE('',#166579,#166580,#91787,.T.); #209253=EDGE_CURVE('',#166580,#166581,#139318,.T.); #209254=EDGE_CURVE('',#166581,#166582,#91788,.T.); #209255=EDGE_CURVE('',#166583,#166582,#91789,.T.); #209256=EDGE_CURVE('',#166584,#166583,#91790,.F.); #209257=EDGE_CURVE('',#166585,#166584,#139319,.F.); #209258=EDGE_CURVE('',#166586,#166585,#91791,.F.); #209259=EDGE_CURVE('',#166577,#166586,#139320,.T.); #209260=EDGE_CURVE('',#166587,#166588,#91792,.T.); #209261=EDGE_CURVE('',#166587,#166589,#139321,.T.); #209262=EDGE_CURVE('',#166589,#166590,#91793,.F.); #209263=EDGE_CURVE('',#166590,#166591,#139322,.F.); #209264=EDGE_CURVE('',#166591,#166592,#91794,.F.); #209265=EDGE_CURVE('',#166592,#166593,#91795,.T.); #209266=EDGE_CURVE('',#166594,#166593,#91796,.T.); #209267=EDGE_CURVE('',#166595,#166594,#139323,.T.); #209268=EDGE_CURVE('',#166596,#166595,#91797,.T.); #209269=EDGE_CURVE('',#166597,#166596,#139324,.T.); #209270=EDGE_CURVE('',#166597,#166598,#91798,.T.); #209271=EDGE_CURVE('',#166598,#166588,#91799,.T.); #209272=EDGE_CURVE('',#166588,#166599,#91800,.T.); #209273=EDGE_CURVE('',#166598,#166600,#91801,.T.); #209274=EDGE_CURVE('',#166600,#166599,#91802,.T.); #209275=EDGE_CURVE('',#166601,#166602,#91803,.T.); #209276=EDGE_CURVE('',#166603,#166602,#91804,.F.); #209277=EDGE_CURVE('',#166599,#166603,#91805,.T.); #209278=EDGE_CURVE('',#166600,#166604,#91806,.T.); #209279=EDGE_CURVE('',#166601,#166604,#91807,.T.); #209280=EDGE_CURVE('',#166605,#166606,#91808,.T.); #209281=EDGE_CURVE('',#166602,#166606,#91809,.T.); #209282=EDGE_CURVE('',#166605,#166601,#91810,.T.); #209283=EDGE_CURVE('',#166607,#166575,#91811,.T.); #209284=EDGE_CURVE('',#166608,#166573,#91812,.T.); #209285=EDGE_CURVE('',#166606,#166608,#91813,.F.); #209286=EDGE_CURVE('',#166607,#166605,#91814,.T.); #209287=EDGE_CURVE('',#166578,#166597,#91815,.T.); #209288=EDGE_CURVE('',#166604,#166607,#91816,.T.); #209289=EDGE_CURVE('',#166577,#166587,#91817,.T.); #209290=EDGE_CURVE('',#166608,#166603,#91818,.T.); #209291=EDGE_CURVE('',#166593,#166582,#91819,.T.); #209292=EDGE_CURVE('',#166592,#166583,#91820,.F.); #209293=EDGE_CURVE('',#166596,#166579,#91821,.T.); #209294=EDGE_CURVE('',#166595,#166580,#91822,.T.); #209295=EDGE_CURVE('',#166594,#166581,#91823,.T.); #209296=EDGE_CURVE('',#166589,#166586,#91824,.F.); #209297=EDGE_CURVE('',#166590,#166585,#91825,.T.); #209298=EDGE_CURVE('',#166591,#166584,#91826,.F.); #209299=EDGE_CURVE('',#166609,#166610,#91827,.T.); #209300=EDGE_CURVE('',#166611,#166609,#91828,.T.); #209301=EDGE_CURVE('',#166611,#166612,#91829,.T.); #209302=EDGE_CURVE('',#166612,#166610,#91830,.T.); #209303=EDGE_CURVE('',#166610,#166613,#91831,.T.); #209304=EDGE_CURVE('',#166612,#166614,#91832,.T.); #209305=EDGE_CURVE('',#166614,#166615,#139325,.T.); #209306=EDGE_CURVE('',#166615,#166616,#91833,.T.); #209307=EDGE_CURVE('',#166616,#166617,#139326,.T.); #209308=EDGE_CURVE('',#166617,#166618,#91834,.T.); #209309=EDGE_CURVE('',#166619,#166618,#91835,.T.); #209310=EDGE_CURVE('',#166620,#166619,#91836,.F.); #209311=EDGE_CURVE('',#166621,#166620,#139327,.F.); #209312=EDGE_CURVE('',#166622,#166621,#91837,.F.); #209313=EDGE_CURVE('',#166613,#166622,#139328,.T.); #209314=EDGE_CURVE('',#166623,#166624,#91838,.T.); #209315=EDGE_CURVE('',#166623,#166625,#139329,.T.); #209316=EDGE_CURVE('',#166625,#166626,#91839,.F.); #209317=EDGE_CURVE('',#166626,#166627,#139330,.F.); #209318=EDGE_CURVE('',#166627,#166628,#91840,.F.); #209319=EDGE_CURVE('',#166628,#166629,#91841,.T.); #209320=EDGE_CURVE('',#166630,#166629,#91842,.T.); #209321=EDGE_CURVE('',#166631,#166630,#139331,.T.); #209322=EDGE_CURVE('',#166632,#166631,#91843,.T.); #209323=EDGE_CURVE('',#166633,#166632,#139332,.T.); #209324=EDGE_CURVE('',#166633,#166634,#91844,.T.); #209325=EDGE_CURVE('',#166634,#166624,#91845,.T.); #209326=EDGE_CURVE('',#166624,#166635,#91846,.T.); #209327=EDGE_CURVE('',#166634,#166636,#91847,.T.); #209328=EDGE_CURVE('',#166636,#166635,#91848,.T.); #209329=EDGE_CURVE('',#166637,#166638,#91849,.T.); #209330=EDGE_CURVE('',#166639,#166638,#91850,.F.); #209331=EDGE_CURVE('',#166635,#166639,#91851,.T.); #209332=EDGE_CURVE('',#166636,#166640,#91852,.T.); #209333=EDGE_CURVE('',#166637,#166640,#91853,.T.); #209334=EDGE_CURVE('',#166641,#166642,#91854,.T.); #209335=EDGE_CURVE('',#166638,#166642,#91855,.T.); #209336=EDGE_CURVE('',#166641,#166637,#91856,.T.); #209337=EDGE_CURVE('',#166643,#166611,#91857,.T.); #209338=EDGE_CURVE('',#166644,#166609,#91858,.T.); #209339=EDGE_CURVE('',#166642,#166644,#91859,.F.); #209340=EDGE_CURVE('',#166643,#166641,#91860,.T.); #209341=EDGE_CURVE('',#166614,#166633,#91861,.T.); #209342=EDGE_CURVE('',#166640,#166643,#91862,.T.); #209343=EDGE_CURVE('',#166613,#166623,#91863,.T.); #209344=EDGE_CURVE('',#166644,#166639,#91864,.T.); #209345=EDGE_CURVE('',#166629,#166618,#91865,.T.); #209346=EDGE_CURVE('',#166628,#166619,#91866,.F.); #209347=EDGE_CURVE('',#166632,#166615,#91867,.T.); #209348=EDGE_CURVE('',#166631,#166616,#91868,.T.); #209349=EDGE_CURVE('',#166630,#166617,#91869,.T.); #209350=EDGE_CURVE('',#166625,#166622,#91870,.F.); #209351=EDGE_CURVE('',#166626,#166621,#91871,.T.); #209352=EDGE_CURVE('',#166627,#166620,#91872,.F.); #209353=EDGE_CURVE('',#166645,#166646,#91873,.T.); #209354=EDGE_CURVE('',#166647,#166645,#91874,.T.); #209355=EDGE_CURVE('',#166647,#166648,#91875,.T.); #209356=EDGE_CURVE('',#166648,#166646,#91876,.T.); #209357=EDGE_CURVE('',#166646,#166649,#91877,.T.); #209358=EDGE_CURVE('',#166648,#166650,#91878,.T.); #209359=EDGE_CURVE('',#166650,#166651,#139333,.T.); #209360=EDGE_CURVE('',#166651,#166652,#91879,.T.); #209361=EDGE_CURVE('',#166652,#166653,#139334,.T.); #209362=EDGE_CURVE('',#166653,#166654,#91880,.T.); #209363=EDGE_CURVE('',#166655,#166654,#91881,.T.); #209364=EDGE_CURVE('',#166656,#166655,#91882,.F.); #209365=EDGE_CURVE('',#166657,#166656,#139335,.F.); #209366=EDGE_CURVE('',#166658,#166657,#91883,.F.); #209367=EDGE_CURVE('',#166649,#166658,#139336,.T.); #209368=EDGE_CURVE('',#166659,#166660,#91884,.T.); #209369=EDGE_CURVE('',#166659,#166661,#139337,.T.); #209370=EDGE_CURVE('',#166661,#166662,#91885,.F.); #209371=EDGE_CURVE('',#166662,#166663,#139338,.F.); #209372=EDGE_CURVE('',#166663,#166664,#91886,.F.); #209373=EDGE_CURVE('',#166664,#166665,#91887,.T.); #209374=EDGE_CURVE('',#166666,#166665,#91888,.T.); #209375=EDGE_CURVE('',#166667,#166666,#139339,.T.); #209376=EDGE_CURVE('',#166668,#166667,#91889,.T.); #209377=EDGE_CURVE('',#166669,#166668,#139340,.T.); #209378=EDGE_CURVE('',#166669,#166670,#91890,.T.); #209379=EDGE_CURVE('',#166670,#166660,#91891,.T.); #209380=EDGE_CURVE('',#166660,#166671,#91892,.T.); #209381=EDGE_CURVE('',#166670,#166672,#91893,.T.); #209382=EDGE_CURVE('',#166672,#166671,#91894,.T.); #209383=EDGE_CURVE('',#166673,#166674,#91895,.T.); #209384=EDGE_CURVE('',#166675,#166674,#91896,.F.); #209385=EDGE_CURVE('',#166671,#166675,#91897,.T.); #209386=EDGE_CURVE('',#166672,#166676,#91898,.T.); #209387=EDGE_CURVE('',#166673,#166676,#91899,.T.); #209388=EDGE_CURVE('',#166677,#166678,#91900,.T.); #209389=EDGE_CURVE('',#166674,#166678,#91901,.T.); #209390=EDGE_CURVE('',#166677,#166673,#91902,.T.); #209391=EDGE_CURVE('',#166679,#166647,#91903,.T.); #209392=EDGE_CURVE('',#166680,#166645,#91904,.T.); #209393=EDGE_CURVE('',#166678,#166680,#91905,.F.); #209394=EDGE_CURVE('',#166679,#166677,#91906,.T.); #209395=EDGE_CURVE('',#166650,#166669,#91907,.T.); #209396=EDGE_CURVE('',#166676,#166679,#91908,.T.); #209397=EDGE_CURVE('',#166649,#166659,#91909,.T.); #209398=EDGE_CURVE('',#166680,#166675,#91910,.T.); #209399=EDGE_CURVE('',#166665,#166654,#91911,.T.); #209400=EDGE_CURVE('',#166664,#166655,#91912,.F.); #209401=EDGE_CURVE('',#166668,#166651,#91913,.T.); #209402=EDGE_CURVE('',#166667,#166652,#91914,.T.); #209403=EDGE_CURVE('',#166666,#166653,#91915,.T.); #209404=EDGE_CURVE('',#166661,#166658,#91916,.F.); #209405=EDGE_CURVE('',#166662,#166657,#91917,.T.); #209406=EDGE_CURVE('',#166663,#166656,#91918,.F.); #209407=EDGE_CURVE('',#166681,#166682,#91919,.T.); #209408=EDGE_CURVE('',#166683,#166682,#91920,.T.); #209409=EDGE_CURVE('',#166684,#166683,#91921,.T.); #209410=EDGE_CURVE('',#166684,#166681,#91922,.T.); #209411=EDGE_CURVE('',#166682,#166685,#91923,.T.); #209412=EDGE_CURVE('',#166686,#166685,#91924,.T.); #209413=EDGE_CURVE('',#166683,#166686,#91925,.T.); #209414=EDGE_CURVE('',#166685,#166687,#91926,.T.); #209415=EDGE_CURVE('',#166688,#166687,#91927,.T.); #209416=EDGE_CURVE('',#166686,#166688,#91928,.T.); #209417=EDGE_CURVE('',#166687,#166689,#91929,.T.); #209418=EDGE_CURVE('',#166690,#166689,#91930,.T.); #209419=EDGE_CURVE('',#166688,#166690,#91931,.T.); #209420=EDGE_CURVE('',#166689,#166691,#139341,.T.); #209421=EDGE_CURVE('',#166692,#166691,#91932,.T.); #209422=EDGE_CURVE('',#166690,#166692,#139342,.T.); #209423=EDGE_CURVE('',#166691,#166693,#91933,.T.); #209424=EDGE_CURVE('',#166694,#166693,#91934,.T.); #209425=EDGE_CURVE('',#166692,#166694,#91935,.T.); #209426=EDGE_CURVE('',#166693,#166695,#91936,.T.); #209427=EDGE_CURVE('',#166696,#166695,#91937,.T.); #209428=EDGE_CURVE('',#166694,#166696,#91938,.T.); #209429=EDGE_CURVE('',#166695,#166697,#91939,.T.); #209430=EDGE_CURVE('',#166698,#166697,#91940,.T.); #209431=EDGE_CURVE('',#166696,#166698,#91941,.T.); #209432=EDGE_CURVE('',#166697,#166699,#139343,.T.); #209433=EDGE_CURVE('',#166700,#166699,#91942,.T.); #209434=EDGE_CURVE('',#166698,#166700,#139344,.T.); #209435=EDGE_CURVE('',#166699,#166701,#91943,.T.); #209436=EDGE_CURVE('',#166702,#166701,#91944,.T.); #209437=EDGE_CURVE('',#166700,#166702,#91945,.T.); #209438=EDGE_CURVE('',#166701,#166703,#139345,.T.); #209439=EDGE_CURVE('',#166704,#166703,#91946,.T.); #209440=EDGE_CURVE('',#166702,#166704,#139346,.T.); #209441=EDGE_CURVE('',#166703,#166705,#139347,.T.); #209442=EDGE_CURVE('',#166706,#166705,#91947,.T.); #209443=EDGE_CURVE('',#166704,#166706,#139348,.T.); #209444=EDGE_CURVE('',#166705,#166707,#91948,.T.); #209445=EDGE_CURVE('',#166708,#166707,#91949,.T.); #209446=EDGE_CURVE('',#166706,#166708,#91950,.T.); #209447=EDGE_CURVE('',#166707,#166709,#139349,.T.); #209448=EDGE_CURVE('',#166710,#166709,#91951,.T.); #209449=EDGE_CURVE('',#166708,#166710,#139350,.T.); #209450=EDGE_CURVE('',#166709,#166711,#91952,.T.); #209451=EDGE_CURVE('',#166712,#166711,#91953,.T.); #209452=EDGE_CURVE('',#166710,#166712,#91954,.T.); #209453=EDGE_CURVE('',#166711,#166713,#139351,.T.); #209454=EDGE_CURVE('',#166714,#166713,#91955,.T.); #209455=EDGE_CURVE('',#166712,#166714,#139352,.T.); #209456=EDGE_CURVE('',#166713,#166715,#91956,.T.); #209457=EDGE_CURVE('',#166716,#166715,#91957,.T.); #209458=EDGE_CURVE('',#166714,#166716,#91958,.T.); #209459=EDGE_CURVE('',#166715,#166717,#91959,.T.); #209460=EDGE_CURVE('',#166718,#166717,#91960,.T.); #209461=EDGE_CURVE('',#166716,#166718,#91961,.T.); #209462=EDGE_CURVE('',#166717,#166719,#91962,.T.); #209463=EDGE_CURVE('',#166720,#166719,#91963,.T.); #209464=EDGE_CURVE('',#166718,#166720,#91964,.T.); #209465=EDGE_CURVE('',#166719,#166721,#91965,.T.); #209466=EDGE_CURVE('',#166722,#166721,#91966,.T.); #209467=EDGE_CURVE('',#166720,#166722,#91967,.T.); #209468=EDGE_CURVE('',#166721,#166723,#91968,.T.); #209469=EDGE_CURVE('',#166724,#166723,#91969,.T.); #209470=EDGE_CURVE('',#166722,#166724,#91970,.T.); #209471=EDGE_CURVE('',#166723,#166725,#91971,.T.); #209472=EDGE_CURVE('',#166726,#166725,#91972,.T.); #209473=EDGE_CURVE('',#166724,#166726,#91973,.T.); #209474=EDGE_CURVE('',#166725,#166727,#91974,.T.); #209475=EDGE_CURVE('',#166728,#166727,#91975,.T.); #209476=EDGE_CURVE('',#166726,#166728,#91976,.T.); #209477=EDGE_CURVE('',#166727,#166729,#139353,.T.); #209478=EDGE_CURVE('',#166730,#166729,#91977,.T.); #209479=EDGE_CURVE('',#166728,#166730,#139354,.T.); #209480=EDGE_CURVE('',#166729,#166731,#91978,.T.); #209481=EDGE_CURVE('',#166732,#166731,#91979,.T.); #209482=EDGE_CURVE('',#166730,#166732,#91980,.T.); #209483=EDGE_CURVE('',#166731,#166733,#139355,.T.); #209484=EDGE_CURVE('',#166734,#166733,#91981,.T.); #209485=EDGE_CURVE('',#166732,#166734,#139356,.T.); #209486=EDGE_CURVE('',#166733,#166735,#91982,.T.); #209487=EDGE_CURVE('',#166736,#166735,#91983,.T.); #209488=EDGE_CURVE('',#166734,#166736,#91984,.T.); #209489=EDGE_CURVE('',#166735,#166737,#139357,.T.); #209490=EDGE_CURVE('',#166738,#166737,#91985,.T.); #209491=EDGE_CURVE('',#166736,#166738,#139358,.T.); #209492=EDGE_CURVE('',#166737,#166739,#139359,.T.); #209493=EDGE_CURVE('',#166740,#166739,#91986,.T.); #209494=EDGE_CURVE('',#166738,#166740,#139360,.T.); #209495=EDGE_CURVE('',#166739,#166741,#91987,.T.); #209496=EDGE_CURVE('',#166742,#166741,#91988,.T.); #209497=EDGE_CURVE('',#166740,#166742,#91989,.T.); #209498=EDGE_CURVE('',#166741,#166743,#139361,.T.); #209499=EDGE_CURVE('',#166744,#166743,#91990,.T.); #209500=EDGE_CURVE('',#166742,#166744,#139362,.T.); #209501=EDGE_CURVE('',#166743,#166745,#91991,.T.); #209502=EDGE_CURVE('',#166746,#166745,#91992,.T.); #209503=EDGE_CURVE('',#166744,#166746,#91993,.T.); #209504=EDGE_CURVE('',#166745,#166747,#91994,.T.); #209505=EDGE_CURVE('',#166748,#166747,#91995,.T.); #209506=EDGE_CURVE('',#166746,#166748,#91996,.T.); #209507=EDGE_CURVE('',#166747,#166749,#91997,.T.); #209508=EDGE_CURVE('',#166750,#166749,#91998,.T.); #209509=EDGE_CURVE('',#166748,#166750,#91999,.T.); #209510=EDGE_CURVE('',#166749,#166751,#139363,.T.); #209511=EDGE_CURVE('',#166752,#166751,#92000,.T.); #209512=EDGE_CURVE('',#166750,#166752,#139364,.T.); #209513=EDGE_CURVE('',#166751,#166681,#92001,.T.); #209514=EDGE_CURVE('',#166752,#166684,#92002,.T.); #209515=EDGE_CURVE('',#166753,#166754,#92003,.T.); #209516=EDGE_CURVE('',#166754,#166755,#92004,.T.); #209517=EDGE_CURVE('',#166756,#166755,#92005,.F.); #209518=EDGE_CURVE('',#166753,#166756,#92006,.F.); #209519=EDGE_CURVE('',#166757,#166758,#92007,.T.); #209520=EDGE_CURVE('',#166757,#166759,#92008,.T.); #209521=EDGE_CURVE('',#166759,#166760,#92009,.T.); #209522=EDGE_CURVE('',#166758,#166760,#92010,.F.); #209523=EDGE_CURVE('',#166761,#166762,#139365,.F.); #209524=EDGE_CURVE('',#166763,#166761,#92011,.T.); #209525=EDGE_CURVE('',#166764,#166763,#139366,.T.); #209526=EDGE_CURVE('',#166765,#166764,#92012,.T.); #209527=EDGE_CURVE('',#166766,#166765,#139367,.T.); #209528=EDGE_CURVE('',#166767,#166766,#92013,.T.); #209529=EDGE_CURVE('',#166768,#166767,#139368,.F.); #209530=EDGE_CURVE('',#166768,#166769,#92014,.T.); #209531=EDGE_CURVE('',#166769,#166770,#139369,.T.); #209532=EDGE_CURVE('',#166770,#166771,#92015,.T.); #209533=EDGE_CURVE('',#166771,#166772,#139370,.F.); #209534=EDGE_CURVE('',#166772,#166773,#92016,.T.); #209535=EDGE_CURVE('',#166773,#166774,#139371,.F.); #209536=EDGE_CURVE('',#166774,#166775,#92017,.T.); #209537=EDGE_CURVE('',#166775,#166776,#139372,.T.); #209538=EDGE_CURVE('',#166776,#166777,#92018,.T.); #209539=EDGE_CURVE('',#166777,#166778,#92019,.T.); #209540=EDGE_CURVE('',#166779,#166778,#92020,.T.); #209541=EDGE_CURVE('',#166780,#166779,#92021,.T.); #209542=EDGE_CURVE('',#166781,#166780,#139373,.T.); #209543=EDGE_CURVE('',#166782,#166781,#92022,.T.); #209544=EDGE_CURVE('',#166783,#166782,#92023,.T.); #209545=EDGE_CURVE('',#166784,#166783,#139374,.T.); #209546=EDGE_CURVE('',#166785,#166784,#92024,.T.); #209547=EDGE_CURVE('',#166786,#166785,#139375,.T.); #209548=EDGE_CURVE('',#166787,#166786,#92025,.T.); #209549=EDGE_CURVE('',#166788,#166787,#139376,.F.); #209550=EDGE_CURVE('',#166789,#166788,#139377,.T.); #209551=EDGE_CURVE('',#166790,#166789,#92026,.T.); #209552=EDGE_CURVE('',#166791,#166790,#139378,.F.); #209553=EDGE_CURVE('',#166792,#166791,#92027,.T.); #209554=EDGE_CURVE('',#166793,#166792,#139379,.T.); #209555=EDGE_CURVE('',#166794,#166793,#92028,.T.); #209556=EDGE_CURVE('',#166795,#166794,#139380,.F.); #209557=EDGE_CURVE('',#166796,#166795,#92029,.T.); #209558=EDGE_CURVE('',#166796,#166797,#92030,.T.); #209559=EDGE_CURVE('',#166798,#166797,#139381,.T.); #209560=EDGE_CURVE('',#166799,#166798,#92031,.T.); #209561=EDGE_CURVE('',#166799,#166762,#92032,.T.); #209562=EDGE_CURVE('',#166800,#166801,#92033,.T.); #209563=EDGE_CURVE('',#166801,#166802,#92034,.T.); #209564=EDGE_CURVE('',#166803,#166802,#92035,.T.); #209565=EDGE_CURVE('',#166803,#166804,#92036,.T.); #209566=EDGE_CURVE('',#166804,#166805,#92037,.T.); #209567=EDGE_CURVE('',#166805,#166806,#92038,.T.); #209568=EDGE_CURVE('',#166806,#166807,#92039,.T.); #209569=EDGE_CURVE('',#166807,#166800,#92040,.T.); #209570=EDGE_CURVE('',#166808,#166809,#92041,.T.); #209571=EDGE_CURVE('',#166810,#166808,#139382,.T.); #209572=EDGE_CURVE('',#166811,#166810,#92042,.F.); #209573=EDGE_CURVE('',#166812,#166811,#92043,.T.); #209574=EDGE_CURVE('',#166813,#166812,#139383,.T.); #209575=EDGE_CURVE('',#166814,#166813,#92044,.T.); #209576=EDGE_CURVE('',#166815,#166814,#92045,.T.); #209577=EDGE_CURVE('',#166816,#166815,#139384,.T.); #209578=EDGE_CURVE('',#166817,#166816,#92046,.T.); #209579=EDGE_CURVE('',#166818,#166817,#139385,.T.); #209580=EDGE_CURVE('',#166819,#166818,#92047,.T.); #209581=EDGE_CURVE('',#166820,#166819,#139386,.T.); #209582=EDGE_CURVE('',#166820,#166821,#92048,.T.); #209583=EDGE_CURVE('',#166822,#166821,#139387,.T.); #209584=EDGE_CURVE('',#166823,#166822,#139388,.T.); #209585=EDGE_CURVE('',#166824,#166823,#92049,.T.); #209586=EDGE_CURVE('',#166825,#166824,#139389,.T.); #209587=EDGE_CURVE('',#166826,#166825,#92050,.T.); #209588=EDGE_CURVE('',#166827,#166826,#139390,.T.); #209589=EDGE_CURVE('',#166828,#166827,#92051,.T.); #209590=EDGE_CURVE('',#166829,#166828,#92052,.T.); #209591=EDGE_CURVE('',#166830,#166829,#139391,.T.); #209592=EDGE_CURVE('',#166831,#166830,#92053,.T.); #209593=EDGE_CURVE('',#166831,#166832,#92054,.T.); #209594=EDGE_CURVE('',#166832,#166833,#92055,.F.); #209595=EDGE_CURVE('',#166834,#166833,#92056,.F.); #209596=EDGE_CURVE('',#166834,#166835,#139392,.T.); #209597=EDGE_CURVE('',#166835,#166836,#92057,.T.); #209598=EDGE_CURVE('',#166836,#166837,#139393,.T.); #209599=EDGE_CURVE('',#166837,#166838,#92058,.T.); #209600=EDGE_CURVE('',#166838,#166839,#139394,.T.); #209601=EDGE_CURVE('',#166839,#166840,#92059,.T.); #209602=EDGE_CURVE('',#166840,#166841,#139395,.T.); #209603=EDGE_CURVE('',#166842,#166841,#92060,.F.); #209604=EDGE_CURVE('',#166843,#166842,#139396,.T.); #209605=EDGE_CURVE('',#166844,#166843,#92061,.T.); #209606=EDGE_CURVE('',#166845,#166844,#139397,.T.); #209607=EDGE_CURVE('',#166846,#166845,#92062,.T.); #209608=EDGE_CURVE('',#166809,#166846,#139398,.T.); #209609=EDGE_CURVE('',#166847,#166848,#92063,.T.); #209610=EDGE_CURVE('',#166849,#166847,#92064,.T.); #209611=EDGE_CURVE('',#166850,#166849,#92065,.T.); #209612=EDGE_CURVE('',#166851,#166850,#92066,.T.); #209613=EDGE_CURVE('',#166852,#166851,#92067,.T.); #209614=EDGE_CURVE('',#166853,#166852,#92068,.T.); #209615=EDGE_CURVE('',#166854,#166853,#92069,.T.); #209616=EDGE_CURVE('',#166854,#166848,#92070,.T.); #209617=EDGE_CURVE('',#166762,#166810,#92071,.T.); #209618=EDGE_CURVE('',#166811,#166799,#92072,.T.); #209619=EDGE_CURVE('',#166776,#166834,#92073,.T.); #209620=EDGE_CURVE('',#166833,#166855,#92074,.T.); #209621=EDGE_CURVE('',#166855,#166777,#92075,.T.); #209622=EDGE_CURVE('',#166856,#166857,#139399,.T.); #209623=EDGE_CURVE('',#166857,#166858,#92076,.T.); #209624=EDGE_CURVE('',#166858,#166859,#92077,.T.); #209625=EDGE_CURVE('',#166860,#166859,#139400,.T.); #209626=EDGE_CURVE('',#166856,#166860,#92078,.T.); #209627=EDGE_CURVE('',#166861,#166862,#139401,.T.); #209628=EDGE_CURVE('',#166862,#166863,#92079,.T.); #209629=EDGE_CURVE('',#166863,#166864,#92080,.T.); #209630=EDGE_CURVE('',#166865,#166864,#139402,.T.); #209631=EDGE_CURVE('',#166861,#166865,#92081,.T.); #209632=EDGE_CURVE('',#166866,#166867,#139403,.T.); #209633=EDGE_CURVE('',#166866,#166862,#92082,.T.); #209634=EDGE_CURVE('',#166861,#166868,#92083,.T.); #209635=EDGE_CURVE('',#166869,#166868,#139404,.T.); #209636=EDGE_CURVE('',#166869,#166857,#92084,.T.); #209637=EDGE_CURVE('',#166856,#166870,#92085,.T.); #209638=EDGE_CURVE('',#166870,#166871,#92086,.T.); #209639=EDGE_CURVE('',#166871,#166872,#92087,.T.); #209640=EDGE_CURVE('',#166873,#166872,#92088,.T.); #209641=EDGE_CURVE('',#166873,#166867,#92089,.T.); #209642=EDGE_CURVE('',#166874,#166875,#92090,.T.); #209643=EDGE_CURVE('',#166875,#166876,#92091,.T.); #209644=EDGE_CURVE('',#166876,#166877,#92092,.T.); #209645=EDGE_CURVE('',#166877,#166878,#92093,.T.); #209646=EDGE_CURVE('',#166878,#166879,#92094,.T.); #209647=EDGE_CURVE('',#166880,#166879,#92095,.T.); #209648=EDGE_CURVE('',#166880,#166881,#92096,.T.); #209649=EDGE_CURVE('',#166881,#166874,#92097,.T.); #209650=EDGE_CURVE('',#166882,#166883,#92098,.T.); #209651=EDGE_CURVE('',#166883,#166884,#92099,.T.); #209652=EDGE_CURVE('',#166885,#166884,#92100,.T.); #209653=EDGE_CURVE('',#166885,#166886,#92101,.T.); #209654=EDGE_CURVE('',#166886,#166887,#92102,.T.); #209655=EDGE_CURVE('',#166887,#166888,#92103,.T.); #209656=EDGE_CURVE('',#166888,#166889,#92104,.T.); #209657=EDGE_CURVE('',#166889,#166882,#92105,.T.); #209658=EDGE_CURVE('',#166890,#166891,#92106,.T.); #209659=EDGE_CURVE('',#166890,#166892,#139405,.F.); #209660=EDGE_CURVE('',#166892,#166893,#92107,.T.); #209661=EDGE_CURVE('',#166893,#166894,#139406,.T.); #209662=EDGE_CURVE('',#166894,#166895,#139407,.T.); #209663=EDGE_CURVE('',#166895,#166896,#92108,.T.); #209664=EDGE_CURVE('',#166896,#166897,#139408,.T.); #209665=EDGE_CURVE('',#166897,#166898,#92109,.T.); #209666=EDGE_CURVE('',#166898,#166899,#139409,.T.); #209667=EDGE_CURVE('',#166899,#166900,#92110,.T.); #209668=EDGE_CURVE('',#166900,#166901,#139410,.T.); #209669=EDGE_CURVE('',#166901,#166902,#92111,.T.); #209670=EDGE_CURVE('',#166902,#166903,#139411,.T.); #209671=EDGE_CURVE('',#166903,#166904,#92112,.T.); #209672=EDGE_CURVE('',#166904,#166905,#92113,.T.); #209673=EDGE_CURVE('',#166905,#166906,#92114,.T.); #209674=EDGE_CURVE('',#166906,#166907,#139412,.T.); #209675=EDGE_CURVE('',#166907,#166908,#139413,.T.); #209676=EDGE_CURVE('',#166908,#166909,#92115,.T.); #209677=EDGE_CURVE('',#166909,#166910,#92116,.T.); #209678=EDGE_CURVE('',#166910,#166911,#92117,.T.); #209679=EDGE_CURVE('',#166912,#166911,#92118,.T.); #209680=EDGE_CURVE('',#166912,#166913,#92119,.T.); #209681=EDGE_CURVE('',#166913,#166914,#139414,.F.); #209682=EDGE_CURVE('',#166915,#166914,#92120,.T.); #209683=EDGE_CURVE('',#166916,#166915,#139415,.T.); #209684=EDGE_CURVE('',#166917,#166916,#92121,.T.); #209685=EDGE_CURVE('',#166918,#166917,#139416,.T.); #209686=EDGE_CURVE('',#166919,#166918,#92122,.T.); #209687=EDGE_CURVE('',#166920,#166919,#139417,.T.); #209688=EDGE_CURVE('',#166921,#166920,#92123,.T.); #209689=EDGE_CURVE('',#166922,#166921,#139418,.T.); #209690=EDGE_CURVE('',#166923,#166922,#92124,.T.); #209691=EDGE_CURVE('',#166924,#166923,#139419,.T.); #209692=EDGE_CURVE('',#166925,#166924,#139420,.T.); #209693=EDGE_CURVE('',#166926,#166925,#139421,.T.); #209694=EDGE_CURVE('',#166927,#166926,#92125,.T.); #209695=EDGE_CURVE('',#166928,#166927,#139422,.T.); #209696=EDGE_CURVE('',#166929,#166928,#92126,.T.); #209697=EDGE_CURVE('',#166929,#166930,#139423,.F.); #209698=EDGE_CURVE('',#166930,#166931,#92127,.T.); #209699=EDGE_CURVE('',#166891,#166931,#92128,.T.); #209700=EDGE_CURVE('',#166932,#166933,#92129,.T.); #209701=EDGE_CURVE('',#166932,#166934,#92130,.T.); #209702=EDGE_CURVE('',#166934,#166935,#92131,.T.); #209703=EDGE_CURVE('',#166933,#166935,#92132,.T.); #209704=EDGE_CURVE('',#166936,#166937,#92133,.T.); #209705=EDGE_CURVE('',#166938,#166937,#139424,.F.); #209706=EDGE_CURVE('',#166938,#166939,#92134,.T.); #209707=EDGE_CURVE('',#166939,#166940,#92135,.T.); #209708=EDGE_CURVE('',#166940,#166941,#92136,.T.); #209709=EDGE_CURVE('',#166941,#166942,#139425,.T.); #209710=EDGE_CURVE('',#166942,#166943,#92137,.T.); #209711=EDGE_CURVE('',#166936,#166943,#92138,.T.); #209712=EDGE_CURVE('',#166944,#166945,#139426,.T.); #209713=EDGE_CURVE('',#166944,#166937,#92139,.T.); #209714=EDGE_CURVE('',#166946,#166936,#139427,.F.); #209715=EDGE_CURVE('',#166947,#166946,#92140,.T.); #209716=EDGE_CURVE('',#166948,#166947,#139428,.T.); #209717=EDGE_CURVE('',#166949,#166948,#92141,.T.); #209718=EDGE_CURVE('',#166950,#166949,#139429,.F.); #209719=EDGE_CURVE('',#166950,#166951,#92142,.T.); #209720=EDGE_CURVE('',#166951,#166952,#139430,.F.); #209721=EDGE_CURVE('',#166952,#166953,#139431,.T.); #209722=EDGE_CURVE('',#166953,#166954,#92143,.T.); #209723=EDGE_CURVE('',#166954,#166955,#139432,.F.); #209724=EDGE_CURVE('',#166955,#166956,#92144,.T.); #209725=EDGE_CURVE('',#166956,#166957,#139433,.F.); #209726=EDGE_CURVE('',#166957,#166958,#92145,.T.); #209727=EDGE_CURVE('',#166958,#166959,#92146,.T.); #209728=EDGE_CURVE('',#166959,#166960,#139434,.F.); #209729=EDGE_CURVE('',#166960,#166961,#92147,.T.); #209730=EDGE_CURVE('',#166961,#166962,#92148,.T.); #209731=EDGE_CURVE('',#166962,#166963,#92149,.T.); #209732=EDGE_CURVE('',#166964,#166963,#92150,.T.); #209733=EDGE_CURVE('',#166965,#166964,#139435,.T.); #209734=EDGE_CURVE('',#166966,#166965,#92151,.T.); #209735=EDGE_CURVE('',#166967,#166966,#139436,.T.); #209736=EDGE_CURVE('',#166968,#166967,#92152,.T.); #209737=EDGE_CURVE('',#166969,#166968,#139437,.T.); #209738=EDGE_CURVE('',#166970,#166969,#92153,.T.); #209739=EDGE_CURVE('',#166759,#166970,#139438,.T.); #209740=EDGE_CURVE('',#166757,#166971,#139439,.T.); #209741=EDGE_CURVE('',#166971,#166972,#92154,.T.); #209742=EDGE_CURVE('',#166972,#166973,#139440,.T.); #209743=EDGE_CURVE('',#166973,#166974,#92155,.T.); #209744=EDGE_CURVE('',#166974,#166975,#139441,.T.); #209745=EDGE_CURVE('',#166975,#166976,#92156,.T.); #209746=EDGE_CURVE('',#166976,#166754,#139442,.T.); #209747=EDGE_CURVE('',#166945,#166753,#92157,.T.); #209748=EDGE_CURVE('',#166977,#166978,#92158,.T.); #209749=EDGE_CURVE('',#166978,#166979,#92159,.T.); #209750=EDGE_CURVE('',#166979,#166980,#92160,.T.); #209751=EDGE_CURVE('',#166980,#166981,#92161,.T.); #209752=EDGE_CURVE('',#166981,#166982,#92162,.T.); #209753=EDGE_CURVE('',#166983,#166982,#92163,.T.); #209754=EDGE_CURVE('',#166983,#166984,#92164,.T.); #209755=EDGE_CURVE('',#166984,#166977,#92165,.T.); #209756=EDGE_CURVE('',#166978,#166985,#92166,.T.); #209757=EDGE_CURVE('',#166977,#166986,#92167,.T.); #209758=EDGE_CURVE('',#166986,#166985,#92168,.T.); #209759=EDGE_CURVE('',#166982,#166987,#92169,.T.); #209760=EDGE_CURVE('',#166981,#166988,#92170,.T.); #209761=EDGE_CURVE('',#166988,#166987,#92171,.T.); #209762=EDGE_CURVE('',#166884,#166989,#92172,.T.); #209763=EDGE_CURVE('',#166883,#166990,#92173,.T.); #209764=EDGE_CURVE('',#166990,#166989,#92174,.T.); #209765=EDGE_CURVE('',#166888,#166991,#92175,.T.); #209766=EDGE_CURVE('',#166887,#166992,#92176,.T.); #209767=EDGE_CURVE('',#166992,#166991,#92177,.T.); #209768=EDGE_CURVE('',#166993,#166994,#94,.T.); #209769=EDGE_CURVE('',#166995,#166993,#95,.F.); #209770=EDGE_CURVE('',#166996,#166995,#96,.T.); #209771=EDGE_CURVE('',#166997,#166996,#92178,.T.); #209772=EDGE_CURVE('',#166998,#166997,#92179,.F.); #209773=EDGE_CURVE('',#166994,#166998,#92180,.T.); #209774=EDGE_CURVE('',#166908,#166999,#92181,.T.); #209775=EDGE_CURVE('',#166999,#167000,#139443,.F.); #209776=EDGE_CURVE('',#167000,#167001,#92182,.F.); #209777=EDGE_CURVE('',#166867,#167001,#92183,.T.); #209778=EDGE_CURVE('',#166909,#166873,#139444,.T.); #209779=EDGE_CURVE('',#167002,#167003,#92184,.T.); #209780=EDGE_CURVE('',#167003,#167004,#92185,.T.); #209781=EDGE_CURVE('',#167005,#167004,#92186,.T.); #209782=EDGE_CURVE('',#167005,#167006,#92187,.T.); #209783=EDGE_CURVE('',#167006,#167007,#139445,.T.); #209784=EDGE_CURVE('',#167007,#167008,#139446,.T.); #209785=EDGE_CURVE('',#167008,#167009,#92188,.T.); #209786=EDGE_CURVE('',#167009,#167010,#92189,.T.); #209787=EDGE_CURVE('',#167010,#167011,#92190,.T.); #209788=EDGE_CURVE('',#167011,#167012,#139447,.T.); #209789=EDGE_CURVE('',#167012,#167013,#92191,.T.); #209790=EDGE_CURVE('',#167013,#167014,#139448,.T.); #209791=EDGE_CURVE('',#167014,#167015,#92192,.T.); #209792=EDGE_CURVE('',#167015,#167016,#139449,.T.); #209793=EDGE_CURVE('',#167016,#167017,#92193,.T.); #209794=EDGE_CURVE('',#167017,#167018,#139450,.T.); #209795=EDGE_CURVE('',#167018,#167019,#92194,.T.); #209796=EDGE_CURVE('',#167019,#167020,#139451,.T.); #209797=EDGE_CURVE('',#167020,#167021,#139452,.T.); #209798=EDGE_CURVE('',#167021,#167022,#92195,.T.); #209799=EDGE_CURVE('',#167022,#167023,#139453,.F.); #209800=EDGE_CURVE('',#167024,#167023,#92196,.T.); #209801=EDGE_CURVE('',#167024,#167025,#92197,.T.); #209802=EDGE_CURVE('',#167026,#167025,#92198,.T.); #209803=EDGE_CURVE('',#167026,#167027,#139454,.F.); #209804=EDGE_CURVE('',#167028,#167027,#92199,.T.); #209805=EDGE_CURVE('',#167029,#167028,#139455,.T.); #209806=EDGE_CURVE('',#167030,#167029,#92200,.T.); #209807=EDGE_CURVE('',#167031,#167030,#139456,.T.); #209808=EDGE_CURVE('',#167032,#167031,#139457,.T.); #209809=EDGE_CURVE('',#167033,#167032,#139458,.T.); #209810=EDGE_CURVE('',#167034,#167033,#92201,.T.); #209811=EDGE_CURVE('',#167035,#167034,#139459,.T.); #209812=EDGE_CURVE('',#167036,#167035,#92202,.T.); #209813=EDGE_CURVE('',#167037,#167036,#139460,.T.); #209814=EDGE_CURVE('',#167038,#167037,#92203,.T.); #209815=EDGE_CURVE('',#167039,#167038,#139461,.T.); #209816=EDGE_CURVE('',#167040,#167039,#92204,.T.); #209817=EDGE_CURVE('',#167041,#167040,#139462,.T.); #209818=EDGE_CURVE('',#167042,#167041,#92205,.T.); #209819=EDGE_CURVE('',#167042,#167043,#139463,.F.); #209820=EDGE_CURVE('',#167002,#167043,#92206,.T.); #209821=EDGE_CURVE('',#167044,#167045,#92207,.T.); #209822=EDGE_CURVE('',#167044,#167046,#92208,.T.); #209823=EDGE_CURVE('',#167046,#167047,#92209,.T.); #209824=EDGE_CURVE('',#167045,#167047,#92210,.T.); #209825=EDGE_CURVE('',#166797,#167048,#92211,.T.); #209826=EDGE_CURVE('',#167048,#166813,#92212,.T.); #209827=EDGE_CURVE('',#166798,#166812,#92213,.T.); #209828=EDGE_CURVE('',#166802,#166848,#92214,.T.); #209829=EDGE_CURVE('',#166801,#166847,#92215,.T.); #209830=EDGE_CURVE('',#166806,#166851,#92216,.T.); #209831=EDGE_CURVE('',#166805,#166852,#92217,.T.); #209832=EDGE_CURVE('',#166875,#167049,#92218,.T.); #209833=EDGE_CURVE('',#166874,#167050,#92219,.T.); #209834=EDGE_CURVE('',#167050,#167049,#92220,.T.); #209835=EDGE_CURVE('',#166879,#167051,#92221,.T.); #209836=EDGE_CURVE('',#166878,#167052,#92222,.T.); #209837=EDGE_CURVE('',#167052,#167051,#92223,.T.); #209838=EDGE_CURVE('',#167053,#167054,#92224,.T.); #209839=EDGE_CURVE('',#167054,#167055,#92225,.T.); #209840=EDGE_CURVE('',#167055,#167056,#92226,.F.); #209841=EDGE_CURVE('',#167057,#167056,#92227,.F.); #209842=EDGE_CURVE('',#167058,#167057,#92228,.T.); #209843=EDGE_CURVE('',#167058,#167059,#139464,.T.); #209844=EDGE_CURVE('',#167059,#167060,#92229,.T.); #209845=EDGE_CURVE('',#167060,#167061,#139465,.T.); #209846=EDGE_CURVE('',#167061,#167062,#92230,.T.); #209847=EDGE_CURVE('',#167062,#167063,#139466,.T.); #209848=EDGE_CURVE('',#167063,#167064,#92231,.T.); #209849=EDGE_CURVE('',#167064,#167065,#139467,.T.); #209850=EDGE_CURVE('',#167065,#167066,#92232,.T.); #209851=EDGE_CURVE('',#167066,#167067,#139468,.T.); #209852=EDGE_CURVE('',#167067,#167068,#92233,.T.); #209853=EDGE_CURVE('',#167068,#167069,#139469,.T.); #209854=EDGE_CURVE('',#167069,#167070,#139470,.T.); #209855=EDGE_CURVE('',#167070,#167071,#139471,.T.); #209856=EDGE_CURVE('',#167071,#167072,#92234,.T.); #209857=EDGE_CURVE('',#167072,#167073,#139472,.T.); #209858=EDGE_CURVE('',#167073,#167074,#92235,.T.); #209859=EDGE_CURVE('',#167074,#167075,#139473,.T.); #209860=EDGE_CURVE('',#167075,#167076,#92236,.T.); #209861=EDGE_CURVE('',#167077,#167076,#92237,.F.); #209862=EDGE_CURVE('',#167078,#167077,#92238,.T.); #209863=EDGE_CURVE('',#167078,#167079,#139474,.T.); #209864=EDGE_CURVE('',#167080,#167079,#92239,.T.); #209865=EDGE_CURVE('',#167081,#167080,#139475,.T.); #209866=EDGE_CURVE('',#167082,#167081,#139476,.T.); #209867=EDGE_CURVE('',#167083,#167082,#92240,.T.); #209868=EDGE_CURVE('',#167084,#167083,#139477,.T.); #209869=EDGE_CURVE('',#167085,#167084,#92241,.T.); #209870=EDGE_CURVE('',#167086,#167085,#139478,.T.); #209871=EDGE_CURVE('',#167087,#167086,#92242,.T.); #209872=EDGE_CURVE('',#167088,#167087,#139479,.T.); #209873=EDGE_CURVE('',#167089,#167088,#92243,.T.); #209874=EDGE_CURVE('',#167090,#167089,#139480,.T.); #209875=EDGE_CURVE('',#167091,#167090,#92244,.T.); #209876=EDGE_CURVE('',#167091,#167053,#92245,.T.); #209877=EDGE_CURVE('',#167092,#167093,#92246,.T.); #209878=EDGE_CURVE('',#167092,#167094,#92247,.T.); #209879=EDGE_CURVE('',#167094,#167095,#92248,.T.); #209880=EDGE_CURVE('',#167095,#167096,#139481,.T.); #209881=EDGE_CURVE('',#167097,#167096,#92249,.T.); #209882=EDGE_CURVE('',#167097,#167093,#139482,.T.); #209883=EDGE_CURVE('',#167054,#167098,#97,.T.); #209884=EDGE_CURVE('',#167099,#167053,#92250,.F.); #209885=EDGE_CURVE('',#167100,#167099,#92251,.T.); #209886=EDGE_CURVE('',#167101,#167100,#98,.T.); #209887=EDGE_CURVE('',#167098,#167101,#99,.F.); #209888=EDGE_CURVE('',#167102,#166942,#92252,.T.); #209889=EDGE_CURVE('',#167103,#167102,#139483,.T.); #209890=EDGE_CURVE('',#166756,#167103,#92253,.T.); #209891=EDGE_CURVE('',#167104,#166755,#139484,.T.); #209892=EDGE_CURVE('',#167105,#167104,#92254,.T.); #209893=EDGE_CURVE('',#167106,#167105,#139485,.T.); #209894=EDGE_CURVE('',#167107,#167106,#92255,.T.); #209895=EDGE_CURVE('',#167108,#167107,#139486,.T.); #209896=EDGE_CURVE('',#167109,#167108,#92256,.T.); #209897=EDGE_CURVE('',#166758,#167109,#139487,.T.); #209898=EDGE_CURVE('',#166760,#167110,#139488,.T.); #209899=EDGE_CURVE('',#167110,#167111,#92257,.T.); #209900=EDGE_CURVE('',#167111,#167112,#139489,.T.); #209901=EDGE_CURVE('',#167112,#167113,#92258,.T.); #209902=EDGE_CURVE('',#167113,#167114,#139490,.T.); #209903=EDGE_CURVE('',#167114,#167115,#92259,.T.); #209904=EDGE_CURVE('',#167115,#167116,#139491,.T.); #209905=EDGE_CURVE('',#167116,#167117,#92260,.F.); #209906=EDGE_CURVE('',#167117,#167118,#92261,.F.); #209907=EDGE_CURVE('',#167119,#167118,#92262,.F.); #209908=EDGE_CURVE('',#167119,#167120,#92263,.T.); #209909=EDGE_CURVE('',#167120,#167121,#139492,.T.); #209910=EDGE_CURVE('',#167121,#167122,#92264,.T.); #209911=EDGE_CURVE('',#167122,#167123,#92265,.T.); #209912=EDGE_CURVE('',#167123,#167124,#139493,.T.); #209913=EDGE_CURVE('',#167124,#167125,#92266,.T.); #209914=EDGE_CURVE('',#167125,#167126,#139494,.T.); #209915=EDGE_CURVE('',#167126,#167127,#92267,.T.); #209916=EDGE_CURVE('',#167127,#167128,#139495,.T.); #209917=EDGE_CURVE('',#167128,#167129,#139496,.T.); #209918=EDGE_CURVE('',#167130,#167129,#92268,.F.); #209919=EDGE_CURVE('',#167131,#167130,#139497,.T.); #209920=EDGE_CURVE('',#167132,#167131,#92269,.T.); #209921=EDGE_CURVE('',#167133,#167132,#139498,.T.); #209922=EDGE_CURVE('',#167134,#167133,#92270,.T.); #209923=EDGE_CURVE('',#166943,#167134,#139499,.T.); #209924=EDGE_CURVE('',#167135,#166988,#92271,.T.); #209925=EDGE_CURVE('',#167136,#167135,#92272,.T.); #209926=EDGE_CURVE('',#166985,#167136,#92273,.T.); #209927=EDGE_CURVE('',#167137,#166986,#92274,.T.); #209928=EDGE_CURVE('',#167138,#167137,#92275,.T.); #209929=EDGE_CURVE('',#167138,#166987,#92276,.T.); #209930=EDGE_CURVE('',#166911,#166962,#139500,.T.); #209931=EDGE_CURVE('',#166961,#166912,#139501,.T.); #209932=EDGE_CURVE('',#166931,#167139,#139502,.T.); #209933=EDGE_CURVE('',#167139,#166951,#116,.T.); #209934=EDGE_CURVE('',#167140,#166950,#117,.T.); #209935=EDGE_CURVE('',#166891,#167140,#139503,.T.); #209936=EDGE_CURVE('',#167119,#167141,#139504,.T.); #209937=EDGE_CURVE('',#167118,#167142,#139505,.F.); #209938=EDGE_CURVE('',#167141,#167142,#92277,.T.); #209939=EDGE_CURVE('',#167143,#167129,#118,.T.); #209940=EDGE_CURVE('',#167144,#167143,#139506,.T.); #209941=EDGE_CURVE('',#167145,#167144,#92278,.T.); #209942=EDGE_CURVE('',#167145,#167146,#139507,.T.); #209943=EDGE_CURVE('',#167146,#167130,#119,.T.); #209944=EDGE_CURVE('',#166778,#167003,#139508,.T.); #209945=EDGE_CURVE('',#166779,#167002,#139509,.T.); #209946=EDGE_CURVE('',#166831,#167057,#139510,.T.); #209947=EDGE_CURVE('',#167056,#166832,#139511,.F.); #209948=EDGE_CURVE('',#166768,#166842,#92279,.T.); #209949=EDGE_CURVE('',#166769,#166841,#92280,.T.); #209950=EDGE_CURVE('',#166964,#167116,#92281,.T.); #209951=EDGE_CURVE('',#166963,#167147,#92282,.F.); #209952=EDGE_CURVE('',#167117,#167147,#92283,.T.); #209953=EDGE_CURVE('',#167006,#167148,#92284,.T.); #209954=EDGE_CURVE('',#166870,#167005,#139512,.T.); #209955=EDGE_CURVE('',#166860,#167149,#92285,.F.); #209956=EDGE_CURVE('',#167149,#167148,#139513,.F.); #209957=EDGE_CURVE('',#166868,#167150,#92286,.T.); #209958=EDGE_CURVE('',#166865,#167150,#92287,.F.); #209959=EDGE_CURVE('',#167147,#167151,#92288,.F.); #209960=EDGE_CURVE('',#167151,#167152,#139514,.T.); #209961=EDGE_CURVE('',#167152,#167153,#92289,.F.); #209962=EDGE_CURVE('',#167153,#167154,#139515,.T.); #209963=EDGE_CURVE('',#167154,#167155,#92290,.F.); #209964=EDGE_CURVE('',#167155,#167156,#139516,.T.); #209965=EDGE_CURVE('',#167156,#167157,#92291,.F.); #209966=EDGE_CURVE('',#167157,#167158,#139517,.T.); #209967=EDGE_CURVE('',#167158,#166855,#92292,.F.); #209968=EDGE_CURVE('',#167159,#167055,#139518,.F.); #209969=EDGE_CURVE('',#167160,#167159,#92293,.F.); #209970=EDGE_CURVE('',#167161,#167160,#139519,.F.); #209971=EDGE_CURVE('',#167142,#167161,#92294,.F.); #209972=EDGE_CURVE('',#166872,#166910,#139520,.T.); #209973=EDGE_CURVE('',#167004,#166871,#139521,.T.); #209974=EDGE_CURVE('',#167162,#166789,#120,.T.); #209975=EDGE_CURVE('',#167025,#167162,#139522,.T.); #209976=EDGE_CURVE('',#167163,#167024,#139523,.T.); #209977=EDGE_CURVE('',#166790,#167163,#121,.T.); #209978=EDGE_CURVE('',#166994,#167161,#92295,.F.); #209979=EDGE_CURVE('',#166998,#167164,#92296,.T.); #209980=EDGE_CURVE('',#167165,#167164,#92297,.T.); #209981=EDGE_CURVE('',#167166,#167165,#139524,.T.); #209982=EDGE_CURVE('',#167167,#167166,#92298,.T.); #209983=EDGE_CURVE('',#167168,#167167,#139525,.T.); #209984=EDGE_CURVE('',#167169,#167168,#92299,.T.); #209985=EDGE_CURVE('',#167170,#167169,#139526,.T.); #209986=EDGE_CURVE('',#167171,#167170,#92300,.T.); #209987=EDGE_CURVE('',#167172,#167171,#139527,.T.); #209988=EDGE_CURVE('',#167173,#167172,#92301,.T.); #209989=EDGE_CURVE('',#167174,#167173,#139528,.T.); #209990=EDGE_CURVE('',#167175,#167174,#139529,.T.); #209991=EDGE_CURVE('',#167176,#167175,#92302,.T.); #209992=EDGE_CURVE('',#167176,#167177,#139530,.T.); #209993=EDGE_CURVE('',#167177,#167145,#92303,.T.); #209994=EDGE_CURVE('',#167178,#167144,#92304,.T.); #209995=EDGE_CURVE('',#167178,#167179,#139531,.T.); #209996=EDGE_CURVE('',#167179,#167180,#92305,.T.); #209997=EDGE_CURVE('',#167180,#167181,#139532,.T.); #209998=EDGE_CURVE('',#167181,#167182,#92306,.T.); #209999=EDGE_CURVE('',#167182,#167183,#139533,.T.); #210000=EDGE_CURVE('',#167183,#167184,#139534,.T.); #210001=EDGE_CURVE('',#167184,#167185,#139535,.T.); #210002=EDGE_CURVE('',#167185,#167186,#92307,.T.); #210003=EDGE_CURVE('',#167186,#167187,#139536,.T.); #210004=EDGE_CURVE('',#167187,#167188,#92308,.T.); #210005=EDGE_CURVE('',#167188,#167189,#139537,.T.); #210006=EDGE_CURVE('',#167189,#167190,#92309,.T.); #210007=EDGE_CURVE('',#167190,#167191,#139538,.T.); #210008=EDGE_CURVE('',#167191,#167192,#92310,.T.); #210009=EDGE_CURVE('',#167192,#167193,#139539,.T.); #210010=EDGE_CURVE('',#167193,#167194,#92311,.T.); #210011=EDGE_CURVE('',#167194,#167195,#139540,.T.); #210012=EDGE_CURVE('',#167195,#167141,#92312,.T.); #210013=EDGE_CURVE('',#167196,#167197,#92313,.T.); #210014=EDGE_CURVE('',#167196,#167198,#92314,.T.); #210015=EDGE_CURVE('',#167198,#167199,#92315,.T.); #210016=EDGE_CURVE('',#167199,#167200,#139541,.T.); #210017=EDGE_CURVE('',#167201,#167200,#92316,.T.); #210018=EDGE_CURVE('',#167201,#167197,#139542,.T.); #210019=EDGE_CURVE('',#167000,#167160,#92317,.T.); #210020=EDGE_CURVE('',#166993,#166999,#122,.T.); #210021=EDGE_CURVE('',#167202,#166864,#92318,.T.); #210022=EDGE_CURVE('',#167202,#167001,#139543,.T.); #210023=EDGE_CURVE('',#167149,#167159,#92319,.F.); #210024=EDGE_CURVE('',#167203,#166859,#92320,.T.); #210025=EDGE_CURVE('',#167203,#167150,#139544,.T.); #210026=EDGE_CURVE('',#167204,#167052,#92321,.T.); #210027=EDGE_CURVE('',#167205,#167204,#92322,.T.); #210028=EDGE_CURVE('',#167049,#167205,#92323,.T.); #210029=EDGE_CURVE('',#167206,#167050,#92324,.T.); #210030=EDGE_CURVE('',#167207,#167206,#92325,.T.); #210031=EDGE_CURVE('',#167207,#167051,#92326,.T.); #210032=EDGE_CURVE('',#167208,#166990,#92327,.T.); #210033=EDGE_CURVE('',#167209,#167208,#92328,.T.); #210034=EDGE_CURVE('',#166991,#167209,#92329,.T.); #210035=EDGE_CURVE('',#167210,#166992,#92330,.T.); #210036=EDGE_CURVE('',#167211,#167210,#92331,.T.); #210037=EDGE_CURVE('',#167211,#166989,#92332,.T.); #210038=EDGE_CURVE('',#167148,#167098,#123,.T.); #210039=EDGE_CURVE('',#167076,#167212,#139545,.T.); #210040=EDGE_CURVE('',#167212,#166821,#124,.T.); #210041=EDGE_CURVE('',#166820,#167213,#125,.T.); #210042=EDGE_CURVE('',#167213,#167077,#139546,.T.); #210043=EDGE_CURVE('',#166869,#167214,#92333,.T.); #210044=EDGE_CURVE('',#167214,#167203,#92334,.T.); #210045=EDGE_CURVE('',#166866,#167215,#92335,.T.); #210046=EDGE_CURVE('',#167215,#167202,#92336,.T.); #210047=EDGE_CURVE('',#167163,#167213,#92337,.T.); #210048=EDGE_CURVE('',#166790,#166820,#92338,.T.); #210049=EDGE_CURVE('',#167023,#167078,#92339,.F.); #210050=EDGE_CURVE('',#166814,#167216,#139547,.T.); #210051=EDGE_CURVE('',#167216,#167217,#92340,.T.); #210052=EDGE_CURVE('',#167218,#167217,#92341,.T.); #210053=EDGE_CURVE('',#167218,#167219,#92342,.T.); #210054=EDGE_CURVE('',#166796,#167219,#139548,.T.); #210055=EDGE_CURVE('',#166795,#166815,#92343,.T.); #210056=EDGE_CURVE('',#166794,#166816,#92344,.T.); #210057=EDGE_CURVE('',#166793,#166817,#92345,.T.); #210058=EDGE_CURVE('',#166792,#166818,#92346,.T.); #210059=EDGE_CURVE('',#166791,#166819,#92347,.T.); #210060=EDGE_CURVE('',#166948,#167132,#92348,.T.); #210061=EDGE_CURVE('',#166949,#167131,#92349,.T.); #210062=EDGE_CURVE('',#166947,#167133,#92350,.T.); #210063=EDGE_CURVE('',#166946,#167134,#92351,.T.); #210064=EDGE_CURVE('',#167177,#166890,#92352,.F.); #210065=EDGE_CURVE('',#167140,#167146,#92353,.T.); #210066=EDGE_CURVE('',#166950,#167130,#92354,.T.); #210067=EDGE_CURVE('',#166945,#167103,#92355,.T.); #210068=EDGE_CURVE('',#166944,#167220,#92356,.T.); #210069=EDGE_CURVE('',#167220,#167102,#92357,.T.); #210070=EDGE_CURVE('',#167043,#167058,#92358,.F.); #210071=EDGE_CURVE('',#166780,#166830,#92359,.T.); #210072=EDGE_CURVE('',#166788,#166822,#92360,.T.); #210073=EDGE_CURVE('',#167162,#167212,#92361,.T.); #210074=EDGE_CURVE('',#167075,#167026,#92362,.F.); #210075=EDGE_CURVE('',#166781,#166829,#92363,.T.); #210076=EDGE_CURVE('',#166782,#166828,#92364,.T.); #210077=EDGE_CURVE('',#166783,#166827,#92365,.T.); #210078=EDGE_CURVE('',#166784,#166826,#92366,.T.); #210079=EDGE_CURVE('',#166785,#166825,#92367,.T.); #210080=EDGE_CURVE('',#166786,#166824,#92368,.T.); #210081=EDGE_CURVE('',#166787,#166823,#92369,.T.); #210082=EDGE_CURVE('',#167195,#166913,#92370,.T.); #210083=EDGE_CURVE('',#166960,#167120,#92371,.T.); #210084=EDGE_CURVE('',#167139,#167143,#92372,.T.); #210085=EDGE_CURVE('',#166952,#167128,#92373,.T.); #210086=EDGE_CURVE('',#166930,#167178,#92374,.T.); #210087=EDGE_CURVE('',#166959,#167121,#92375,.T.); #210088=EDGE_CURVE('',#166958,#167122,#92376,.T.); #210089=EDGE_CURVE('',#166957,#167123,#92377,.T.); #210090=EDGE_CURVE('',#166956,#167124,#92378,.T.); #210091=EDGE_CURVE('',#166955,#167125,#92379,.T.); #210092=EDGE_CURVE('',#166954,#167126,#92380,.T.); #210093=EDGE_CURVE('',#166953,#167127,#92381,.T.); #210094=EDGE_CURVE('',#167059,#167042,#92382,.F.); #210095=EDGE_CURVE('',#167041,#167060,#92383,.T.); #210096=EDGE_CURVE('',#167040,#167061,#92384,.T.); #210097=EDGE_CURVE('',#167039,#167062,#92385,.T.); #210098=EDGE_CURVE('',#167038,#167063,#92386,.T.); #210099=EDGE_CURVE('',#167037,#167064,#92387,.T.); #210100=EDGE_CURVE('',#167036,#167065,#92388,.T.); #210101=EDGE_CURVE('',#167035,#167066,#92389,.T.); #210102=EDGE_CURVE('',#167034,#167067,#92390,.T.); #210103=EDGE_CURVE('',#167033,#167068,#92391,.T.); #210104=EDGE_CURVE('',#167032,#167069,#92392,.T.); #210105=EDGE_CURVE('',#167031,#167070,#92393,.T.); #210106=EDGE_CURVE('',#167030,#167071,#92394,.T.); #210107=EDGE_CURVE('',#167029,#167072,#92395,.T.); #210108=EDGE_CURVE('',#167028,#167073,#92396,.T.); #210109=EDGE_CURVE('',#167027,#167074,#92397,.T.); #210110=EDGE_CURVE('',#167007,#167101,#92398,.T.); #210111=EDGE_CURVE('',#167008,#167100,#92399,.T.); #210112=EDGE_CURVE('',#167009,#167099,#92400,.T.); #210113=EDGE_CURVE('',#167099,#167221,#92401,.T.); #210114=EDGE_CURVE('',#167010,#167221,#92402,.T.); #210115=EDGE_CURVE('',#167221,#167091,#92403,.F.); #210116=EDGE_CURVE('',#167011,#167090,#92404,.T.); #210117=EDGE_CURVE('',#167012,#167089,#92405,.T.); #210118=EDGE_CURVE('',#167013,#167088,#92406,.T.); #210119=EDGE_CURVE('',#167014,#167087,#92407,.T.); #210120=EDGE_CURVE('',#167015,#167086,#92408,.T.); #210121=EDGE_CURVE('',#167016,#167085,#92409,.T.); #210122=EDGE_CURVE('',#167017,#167084,#92410,.T.); #210123=EDGE_CURVE('',#167018,#167083,#92411,.T.); #210124=EDGE_CURVE('',#167019,#167082,#92412,.T.); #210125=EDGE_CURVE('',#167020,#167081,#92413,.T.); #210126=EDGE_CURVE('',#167021,#167080,#92414,.T.); #210127=EDGE_CURVE('',#167079,#167022,#92415,.F.); #210128=EDGE_CURVE('',#166778,#167158,#92416,.T.); #210129=EDGE_CURVE('',#167003,#167157,#92417,.T.); #210130=EDGE_CURVE('',#167004,#167156,#92418,.T.); #210131=EDGE_CURVE('',#166871,#167155,#92419,.T.); #210132=EDGE_CURVE('',#166872,#167154,#92420,.T.); #210133=EDGE_CURVE('',#166910,#167153,#92421,.T.); #210134=EDGE_CURVE('',#166911,#167152,#92422,.T.); #210135=EDGE_CURVE('',#166962,#167151,#92423,.T.); #210136=EDGE_CURVE('',#166876,#167205,#92424,.T.); #210137=EDGE_CURVE('',#166877,#167204,#92425,.T.); #210138=EDGE_CURVE('',#166880,#167207,#92426,.T.); #210139=EDGE_CURVE('',#166881,#167206,#92427,.T.); #210140=EDGE_CURVE('',#167049,#167222,#139549,.T.); #210141=EDGE_CURVE('',#167222,#167223,#92428,.T.); #210142=EDGE_CURVE('',#167223,#167224,#139550,.T.); #210143=EDGE_CURVE('',#167224,#167225,#92429,.T.); #210144=EDGE_CURVE('',#167225,#167226,#139551,.T.); #210145=EDGE_CURVE('',#167226,#167227,#92430,.T.); #210146=EDGE_CURVE('',#167227,#167207,#139552,.T.); #210147=EDGE_CURVE('',#167228,#166880,#139553,.F.); #210148=EDGE_CURVE('',#167229,#167228,#92431,.F.); #210149=EDGE_CURVE('',#167230,#167229,#139554,.T.); #210150=EDGE_CURVE('',#167231,#167230,#92432,.F.); #210151=EDGE_CURVE('',#167232,#167231,#139555,.T.); #210152=EDGE_CURVE('',#167233,#167232,#92433,.F.); #210153=EDGE_CURVE('',#166875,#167233,#139556,.F.); #210154=EDGE_CURVE('',#167234,#167235,#92434,.F.); #210155=EDGE_CURVE('',#167235,#167236,#139557,.T.); #210156=EDGE_CURVE('',#167236,#167237,#92435,.F.); #210157=EDGE_CURVE('',#167237,#167238,#139558,.T.); #210158=EDGE_CURVE('',#167238,#167239,#92436,.F.); #210159=EDGE_CURVE('',#167239,#166879,#139559,.F.); #210160=EDGE_CURVE('',#167240,#167051,#139560,.T.); #210161=EDGE_CURVE('',#167241,#167240,#92437,.T.); #210162=EDGE_CURVE('',#167242,#167241,#139561,.T.); #210163=EDGE_CURVE('',#167243,#167242,#92438,.T.); #210164=EDGE_CURVE('',#167244,#167243,#139562,.T.); #210165=EDGE_CURVE('',#167245,#167244,#92439,.T.); #210166=EDGE_CURVE('',#167205,#167245,#139563,.T.); #210167=EDGE_CURVE('',#166876,#167234,#139564,.F.); #210168=EDGE_CURVE('',#167222,#167245,#92440,.T.); #210169=EDGE_CURVE('',#167223,#167244,#92441,.T.); #210170=EDGE_CURVE('',#167224,#167243,#92442,.T.); #210171=EDGE_CURVE('',#167225,#167242,#92443,.T.); #210172=EDGE_CURVE('',#167226,#167241,#92444,.T.); #210173=EDGE_CURVE('',#167227,#167240,#92445,.T.); #210174=EDGE_CURVE('',#167233,#167234,#92446,.F.); #210175=EDGE_CURVE('',#167232,#167235,#92447,.T.); #210176=EDGE_CURVE('',#167231,#167236,#92448,.F.); #210177=EDGE_CURVE('',#167230,#167237,#92449,.T.); #210178=EDGE_CURVE('',#167229,#167238,#92450,.F.); #210179=EDGE_CURVE('',#167228,#167239,#92451,.T.); #210180=EDGE_CURVE('',#166800,#166849,#92452,.T.); #210181=EDGE_CURVE('',#166803,#166854,#92453,.T.); #210182=EDGE_CURVE('',#166804,#166853,#92454,.T.); #210183=EDGE_CURVE('',#166807,#166850,#92455,.T.); #210184=EDGE_CURVE('',#166851,#167246,#139565,.T.); #210185=EDGE_CURVE('',#167246,#167247,#92456,.T.); #210186=EDGE_CURVE('',#167247,#167248,#139566,.T.); #210187=EDGE_CURVE('',#167248,#167249,#92457,.T.); #210188=EDGE_CURVE('',#167249,#167250,#139567,.T.); #210189=EDGE_CURVE('',#167250,#167251,#92458,.T.); #210190=EDGE_CURVE('',#167251,#166854,#139568,.T.); #210191=EDGE_CURVE('',#167252,#166803,#139569,.F.); #210192=EDGE_CURVE('',#167253,#167252,#92459,.F.); #210193=EDGE_CURVE('',#167254,#167253,#139570,.T.); #210194=EDGE_CURVE('',#167255,#167254,#92460,.F.); #210195=EDGE_CURVE('',#167256,#167255,#139571,.T.); #210196=EDGE_CURVE('',#167257,#167256,#92461,.F.); #210197=EDGE_CURVE('',#166806,#167257,#139572,.F.); #210198=EDGE_CURVE('',#167258,#167259,#92462,.F.); #210199=EDGE_CURVE('',#167259,#167260,#139573,.T.); #210200=EDGE_CURVE('',#167260,#167261,#92463,.F.); #210201=EDGE_CURVE('',#167261,#167262,#139574,.T.); #210202=EDGE_CURVE('',#167262,#167263,#92464,.F.); #210203=EDGE_CURVE('',#167263,#166802,#139575,.F.); #210204=EDGE_CURVE('',#167264,#166848,#139576,.T.); #210205=EDGE_CURVE('',#167265,#167264,#92465,.T.); #210206=EDGE_CURVE('',#167266,#167265,#139577,.T.); #210207=EDGE_CURVE('',#167267,#167266,#92466,.T.); #210208=EDGE_CURVE('',#167268,#167267,#139578,.T.); #210209=EDGE_CURVE('',#167269,#167268,#92467,.T.); #210210=EDGE_CURVE('',#166850,#167269,#139579,.T.); #210211=EDGE_CURVE('',#166807,#167258,#139580,.F.); #210212=EDGE_CURVE('',#167246,#167269,#92468,.T.); #210213=EDGE_CURVE('',#167247,#167268,#92469,.T.); #210214=EDGE_CURVE('',#167248,#167267,#92470,.T.); #210215=EDGE_CURVE('',#167249,#167266,#92471,.T.); #210216=EDGE_CURVE('',#167250,#167265,#92472,.T.); #210217=EDGE_CURVE('',#167251,#167264,#92473,.T.); #210218=EDGE_CURVE('',#167257,#167258,#92474,.F.); #210219=EDGE_CURVE('',#167256,#167259,#92475,.T.); #210220=EDGE_CURVE('',#167255,#167260,#92476,.F.); #210221=EDGE_CURVE('',#167254,#167261,#92477,.T.); #210222=EDGE_CURVE('',#167253,#167262,#92478,.F.); #210223=EDGE_CURVE('',#167252,#167263,#92479,.T.); #210224=EDGE_CURVE('',#167270,#167271,#92480,.T.); #210225=EDGE_CURVE('',#167271,#167272,#92481,.T.); #210226=EDGE_CURVE('',#167272,#167273,#92482,.T.); #210227=EDGE_CURVE('',#167270,#167273,#92483,.T.); #210228=EDGE_CURVE('',#167274,#167270,#139581,.F.); #210229=EDGE_CURVE('',#167273,#167275,#139582,.T.); #210230=EDGE_CURVE('',#167274,#167275,#92484,.T.); #210231=EDGE_CURVE('',#167276,#167274,#92485,.T.); #210232=EDGE_CURVE('',#167275,#167277,#92486,.T.); #210233=EDGE_CURVE('',#167276,#167277,#92487,.T.); #210234=EDGE_CURVE('',#167271,#167218,#139583,.F.); #210235=EDGE_CURVE('',#167217,#167272,#139584,.T.); #210236=EDGE_CURVE('',#167216,#167278,#92488,.T.); #210237=EDGE_CURVE('',#167277,#167278,#139585,.T.); #210238=EDGE_CURVE('',#167279,#167280,#92489,.T.); #210239=EDGE_CURVE('',#167279,#167276,#139586,.T.); #210240=EDGE_CURVE('',#167280,#167278,#92490,.T.); #210241=EDGE_CURVE('',#167219,#167279,#92491,.T.); #210242=EDGE_CURVE('',#167279,#166797,#126,.T.); #210243=EDGE_CURVE('',#167278,#166813,#127,.T.); #210244=EDGE_CURVE('',#167281,#167282,#92492,.T.); #210245=EDGE_CURVE('',#167282,#167095,#139587,.F.); #210246=EDGE_CURVE('',#167094,#167281,#139588,.T.); #210247=EDGE_CURVE('',#167283,#167284,#92493,.T.); #210248=EDGE_CURVE('',#167284,#167282,#92494,.F.); #210249=EDGE_CURVE('',#167281,#167283,#92495,.T.); #210250=EDGE_CURVE('',#167093,#167284,#139589,.F.); #210251=EDGE_CURVE('',#167283,#167092,#139590,.T.); #210252=EDGE_CURVE('',#167284,#167097,#139591,.T.); #210253=EDGE_CURVE('',#167282,#167096,#139592,.T.); #210254=EDGE_CURVE('',#167285,#167045,#92496,.T.); #210255=EDGE_CURVE('',#167286,#167285,#92497,.T.); #210256=EDGE_CURVE('',#167286,#167044,#92498,.T.); #210257=EDGE_CURVE('',#167047,#167287,#92499,.T.); #210258=EDGE_CURVE('',#167046,#167288,#92500,.T.); #210259=EDGE_CURVE('',#167288,#167287,#92501,.T.); #210260=EDGE_CURVE('',#167287,#167285,#92502,.T.); #210261=EDGE_CURVE('',#167288,#167286,#92503,.T.); #210262=EDGE_CURVE('',#166914,#167194,#92504,.T.); #210263=EDGE_CURVE('',#166915,#167193,#92505,.T.); #210264=EDGE_CURVE('',#167179,#166929,#92506,.F.); #210265=EDGE_CURVE('',#166928,#167180,#92507,.T.); #210266=EDGE_CURVE('',#166927,#167181,#92508,.T.); #210267=EDGE_CURVE('',#166926,#167182,#92509,.T.); #210268=EDGE_CURVE('',#166925,#167183,#92510,.T.); #210269=EDGE_CURVE('',#166924,#167184,#92511,.T.); #210270=EDGE_CURVE('',#166923,#167185,#92512,.T.); #210271=EDGE_CURVE('',#166922,#167186,#92513,.T.); #210272=EDGE_CURVE('',#166921,#167187,#92514,.T.); #210273=EDGE_CURVE('',#166920,#167188,#92515,.T.); #210274=EDGE_CURVE('',#166919,#167189,#92516,.T.); #210275=EDGE_CURVE('',#166918,#167190,#92517,.T.); #210276=EDGE_CURVE('',#166917,#167191,#92518,.T.); #210277=EDGE_CURVE('',#166916,#167192,#92519,.T.); #210278=EDGE_CURVE('',#166892,#167176,#92520,.T.); #210279=EDGE_CURVE('',#166893,#167175,#92521,.T.); #210280=EDGE_CURVE('',#166894,#167174,#92522,.T.); #210281=EDGE_CURVE('',#166895,#167173,#92523,.T.); #210282=EDGE_CURVE('',#166896,#167172,#92524,.T.); #210283=EDGE_CURVE('',#166897,#167171,#92525,.T.); #210284=EDGE_CURVE('',#166898,#167170,#92526,.T.); #210285=EDGE_CURVE('',#166899,#167169,#92527,.T.); #210286=EDGE_CURVE('',#166900,#167168,#92528,.T.); #210287=EDGE_CURVE('',#166901,#167167,#92529,.T.); #210288=EDGE_CURVE('',#166902,#167166,#92530,.T.); #210289=EDGE_CURVE('',#166903,#167165,#92531,.T.); #210290=EDGE_CURVE('',#167164,#167289,#92532,.F.); #210291=EDGE_CURVE('',#166904,#167289,#92533,.T.); #210292=EDGE_CURVE('',#167289,#166997,#92534,.T.); #210293=EDGE_CURVE('',#166905,#166997,#92535,.T.); #210294=EDGE_CURVE('',#166906,#166996,#92536,.T.); #210295=EDGE_CURVE('',#166907,#166995,#92537,.T.); #210296=EDGE_CURVE('',#166882,#167208,#92538,.T.); #210297=EDGE_CURVE('',#166885,#167211,#92539,.T.); #210298=EDGE_CURVE('',#166886,#167210,#92540,.T.); #210299=EDGE_CURVE('',#166889,#167209,#92541,.T.); #210300=EDGE_CURVE('',#166991,#167290,#139593,.T.); #210301=EDGE_CURVE('',#167290,#167291,#92542,.T.); #210302=EDGE_CURVE('',#167291,#167292,#139594,.T.); #210303=EDGE_CURVE('',#167292,#167293,#92543,.T.); #210304=EDGE_CURVE('',#167293,#167294,#139595,.T.); #210305=EDGE_CURVE('',#167294,#167295,#92544,.T.); #210306=EDGE_CURVE('',#167295,#167211,#139596,.T.); #210307=EDGE_CURVE('',#167296,#166885,#139597,.F.); #210308=EDGE_CURVE('',#167297,#167296,#92545,.F.); #210309=EDGE_CURVE('',#167298,#167297,#139598,.T.); #210310=EDGE_CURVE('',#167299,#167298,#92546,.F.); #210311=EDGE_CURVE('',#167300,#167299,#139599,.T.); #210312=EDGE_CURVE('',#167301,#167300,#92547,.F.); #210313=EDGE_CURVE('',#166888,#167301,#139600,.F.); #210314=EDGE_CURVE('',#167302,#167303,#92548,.F.); #210315=EDGE_CURVE('',#167303,#167304,#139601,.T.); #210316=EDGE_CURVE('',#167304,#167305,#92549,.F.); #210317=EDGE_CURVE('',#167305,#167306,#139602,.T.); #210318=EDGE_CURVE('',#167306,#167307,#92550,.F.); #210319=EDGE_CURVE('',#167307,#166884,#139603,.F.); #210320=EDGE_CURVE('',#167308,#166989,#139604,.T.); #210321=EDGE_CURVE('',#167309,#167308,#92551,.T.); #210322=EDGE_CURVE('',#167310,#167309,#139605,.T.); #210323=EDGE_CURVE('',#167311,#167310,#92552,.T.); #210324=EDGE_CURVE('',#167312,#167311,#139606,.T.); #210325=EDGE_CURVE('',#167313,#167312,#92553,.T.); #210326=EDGE_CURVE('',#167209,#167313,#139607,.T.); #210327=EDGE_CURVE('',#166889,#167302,#139608,.F.); #210328=EDGE_CURVE('',#167290,#167313,#92554,.T.); #210329=EDGE_CURVE('',#167291,#167312,#92555,.T.); #210330=EDGE_CURVE('',#167292,#167311,#92556,.T.); #210331=EDGE_CURVE('',#167293,#167310,#92557,.T.); #210332=EDGE_CURVE('',#167294,#167309,#92558,.T.); #210333=EDGE_CURVE('',#167295,#167308,#92559,.T.); #210334=EDGE_CURVE('',#167301,#167302,#92560,.F.); #210335=EDGE_CURVE('',#167300,#167303,#92561,.T.); #210336=EDGE_CURVE('',#167299,#167304,#92562,.F.); #210337=EDGE_CURVE('',#167298,#167305,#92563,.T.); #210338=EDGE_CURVE('',#167297,#167306,#92564,.F.); #210339=EDGE_CURVE('',#167296,#167307,#92565,.T.); #210340=EDGE_CURVE('',#166979,#167136,#92566,.T.); #210341=EDGE_CURVE('',#166980,#167135,#92567,.T.); #210342=EDGE_CURVE('',#166983,#167138,#92568,.T.); #210343=EDGE_CURVE('',#166984,#167137,#92569,.T.); #210344=EDGE_CURVE('',#166985,#167314,#139609,.T.); #210345=EDGE_CURVE('',#167314,#167315,#92570,.T.); #210346=EDGE_CURVE('',#167315,#167316,#139610,.T.); #210347=EDGE_CURVE('',#167316,#167317,#92571,.T.); #210348=EDGE_CURVE('',#167317,#167318,#139611,.T.); #210349=EDGE_CURVE('',#167318,#167319,#92572,.T.); #210350=EDGE_CURVE('',#167319,#167138,#139612,.T.); #210351=EDGE_CURVE('',#167320,#166983,#139613,.F.); #210352=EDGE_CURVE('',#167321,#167320,#92573,.F.); #210353=EDGE_CURVE('',#167322,#167321,#139614,.T.); #210354=EDGE_CURVE('',#167323,#167322,#92574,.F.); #210355=EDGE_CURVE('',#167324,#167323,#139615,.T.); #210356=EDGE_CURVE('',#167325,#167324,#92575,.F.); #210357=EDGE_CURVE('',#166978,#167325,#139616,.F.); #210358=EDGE_CURVE('',#167326,#167327,#92576,.F.); #210359=EDGE_CURVE('',#167327,#167328,#139617,.T.); #210360=EDGE_CURVE('',#167328,#167329,#92577,.F.); #210361=EDGE_CURVE('',#167329,#167330,#139618,.T.); #210362=EDGE_CURVE('',#167330,#167331,#92578,.F.); #210363=EDGE_CURVE('',#167331,#166982,#139619,.F.); #210364=EDGE_CURVE('',#167332,#166987,#139620,.T.); #210365=EDGE_CURVE('',#167333,#167332,#92579,.T.); #210366=EDGE_CURVE('',#167334,#167333,#139621,.T.); #210367=EDGE_CURVE('',#167335,#167334,#92580,.T.); #210368=EDGE_CURVE('',#167336,#167335,#139622,.T.); #210369=EDGE_CURVE('',#167337,#167336,#92581,.T.); #210370=EDGE_CURVE('',#167136,#167337,#139623,.T.); #210371=EDGE_CURVE('',#166979,#167326,#139624,.F.); #210372=EDGE_CURVE('',#167314,#167337,#92582,.T.); #210373=EDGE_CURVE('',#167315,#167336,#92583,.T.); #210374=EDGE_CURVE('',#167316,#167335,#92584,.T.); #210375=EDGE_CURVE('',#167317,#167334,#92585,.T.); #210376=EDGE_CURVE('',#167318,#167333,#92586,.T.); #210377=EDGE_CURVE('',#167319,#167332,#92587,.T.); #210378=EDGE_CURVE('',#167325,#167326,#92588,.F.); #210379=EDGE_CURVE('',#167324,#167327,#92589,.T.); #210380=EDGE_CURVE('',#167323,#167328,#92590,.F.); #210381=EDGE_CURVE('',#167322,#167329,#92591,.T.); #210382=EDGE_CURVE('',#167321,#167330,#92592,.F.); #210383=EDGE_CURVE('',#167320,#167331,#92593,.T.); #210384=EDGE_CURVE('',#167338,#167339,#139625,.F.); #210385=EDGE_CURVE('',#167339,#167340,#92594,.T.); #210386=EDGE_CURVE('',#167340,#167341,#139626,.T.); #210387=EDGE_CURVE('',#167338,#167341,#92595,.T.); #210388=EDGE_CURVE('',#167342,#167338,#92596,.T.); #210389=EDGE_CURVE('',#167341,#167343,#92597,.T.); #210390=EDGE_CURVE('',#167342,#167343,#92598,.T.); #210391=EDGE_CURVE('',#166939,#167342,#139627,.F.); #210392=EDGE_CURVE('',#167343,#166940,#139628,.T.); #210393=EDGE_CURVE('',#167344,#167345,#92599,.T.); #210394=EDGE_CURVE('',#167345,#167340,#92600,.T.); #210395=EDGE_CURVE('',#167339,#167344,#92601,.T.); #210396=EDGE_CURVE('',#167344,#167346,#139629,.T.); #210397=EDGE_CURVE('',#167346,#167347,#92602,.T.); #210398=EDGE_CURVE('',#167347,#167348,#92603,.T.); #210399=EDGE_CURVE('',#167348,#167345,#139630,.T.); #210400=EDGE_CURVE('',#167348,#166941,#92604,.T.); #210401=EDGE_CURVE('',#167346,#166938,#92605,.T.); #210402=EDGE_CURVE('',#166944,#167346,#128,.T.); #210403=EDGE_CURVE('',#167102,#167348,#129,.T.); #210404=EDGE_CURVE('',#167197,#167349,#139631,.F.); #210405=EDGE_CURVE('',#167350,#167349,#92606,.T.); #210406=EDGE_CURVE('',#167350,#167196,#139632,.T.); #210407=EDGE_CURVE('',#167351,#167352,#92607,.T.); #210408=EDGE_CURVE('',#167352,#167199,#139633,.F.); #210409=EDGE_CURVE('',#167198,#167351,#139634,.T.); #210410=EDGE_CURVE('',#167349,#167352,#92608,.F.); #210411=EDGE_CURVE('',#167351,#167350,#92609,.T.); #210412=EDGE_CURVE('',#167200,#167352,#139635,.T.); #210413=EDGE_CURVE('',#167201,#167349,#139636,.T.); #210414=EDGE_CURVE('',#166935,#167353,#92610,.T.); #210415=EDGE_CURVE('',#166934,#167354,#92611,.T.); #210416=EDGE_CURVE('',#167354,#167353,#92612,.T.); #210417=EDGE_CURVE('',#167353,#167355,#92613,.T.); #210418=EDGE_CURVE('',#167354,#167356,#92614,.T.); #210419=EDGE_CURVE('',#167356,#167355,#92615,.T.); #210420=EDGE_CURVE('',#167355,#166933,#92616,.T.); #210421=EDGE_CURVE('',#167356,#166932,#92617,.T.); #210422=EDGE_CURVE('',#167357,#167358,#92618,.T.); #210423=EDGE_CURVE('',#167357,#167359,#92619,.T.); #210424=EDGE_CURVE('',#167359,#167360,#92620,.T.); #210425=EDGE_CURVE('',#167358,#167360,#92621,.T.); #210426=EDGE_CURVE('',#167359,#167361,#100,.T.); #210427=EDGE_CURVE('',#167361,#167362,#92622,.T.); #210428=EDGE_CURVE('',#167360,#167362,#139637,.T.); #210429=EDGE_CURVE('',#167363,#167364,#92623,.T.); #210430=EDGE_CURVE('',#167365,#167363,#92624,.T.); #210431=EDGE_CURVE('',#167365,#167366,#92625,.T.); #210432=EDGE_CURVE('',#167366,#167364,#92626,.T.); #210433=EDGE_CURVE('',#167364,#167367,#139638,.T.); #210434=EDGE_CURVE('',#167366,#167368,#139639,.T.); #210435=EDGE_CURVE('',#167368,#167367,#92627,.T.); #210436=EDGE_CURVE('',#167367,#167369,#139640,.T.); #210437=EDGE_CURVE('',#167368,#167370,#139641,.T.); #210438=EDGE_CURVE('',#167370,#167369,#92628,.T.); #210439=EDGE_CURVE('',#167369,#167371,#139642,.T.); #210440=EDGE_CURVE('',#167370,#167372,#139643,.T.); #210441=EDGE_CURVE('',#167372,#167371,#92629,.T.); #210442=EDGE_CURVE('',#167371,#167373,#92630,.T.); #210443=EDGE_CURVE('',#167372,#167374,#92631,.T.); #210444=EDGE_CURVE('',#167374,#167373,#92632,.T.); #210445=EDGE_CURVE('',#167373,#167375,#139644,.T.); #210446=EDGE_CURVE('',#167374,#167376,#139645,.T.); #210447=EDGE_CURVE('',#167376,#167375,#92633,.T.); #210448=EDGE_CURVE('',#167375,#167377,#139646,.T.); #210449=EDGE_CURVE('',#167376,#167378,#139647,.T.); #210450=EDGE_CURVE('',#167378,#167377,#92634,.T.); #210451=EDGE_CURVE('',#167377,#167379,#139648,.T.); #210452=EDGE_CURVE('',#167378,#167380,#139649,.T.); #210453=EDGE_CURVE('',#167380,#167379,#92635,.T.); #210454=EDGE_CURVE('',#167379,#167381,#92636,.T.); #210455=EDGE_CURVE('',#167380,#167382,#92637,.T.); #210456=EDGE_CURVE('',#167382,#167381,#92638,.T.); #210457=EDGE_CURVE('',#167381,#167383,#139650,.T.); #210458=EDGE_CURVE('',#167382,#167384,#139651,.T.); #210459=EDGE_CURVE('',#167384,#167383,#92639,.T.); #210460=EDGE_CURVE('',#167383,#167385,#92640,.T.); #210461=EDGE_CURVE('',#167384,#167386,#92641,.T.); #210462=EDGE_CURVE('',#167386,#167385,#92642,.T.); #210463=EDGE_CURVE('',#167385,#167363,#139652,.T.); #210464=EDGE_CURVE('',#167386,#167365,#139653,.T.); #210465=EDGE_CURVE('',#167387,#167388,#92643,.T.); #210466=EDGE_CURVE('',#167389,#167387,#92644,.T.); #210467=EDGE_CURVE('',#167389,#167390,#92645,.T.); #210468=EDGE_CURVE('',#167390,#167388,#92646,.T.); #210469=EDGE_CURVE('',#167391,#167387,#139654,.T.); #210470=EDGE_CURVE('',#167392,#167391,#92647,.T.); #210471=EDGE_CURVE('',#167392,#167389,#139655,.T.); #210472=EDGE_CURVE('',#167393,#167391,#139656,.T.); #210473=EDGE_CURVE('',#167394,#167393,#92648,.T.); #210474=EDGE_CURVE('',#167394,#167392,#139657,.T.); #210475=EDGE_CURVE('',#167395,#167393,#139658,.T.); #210476=EDGE_CURVE('',#167396,#167395,#92649,.T.); #210477=EDGE_CURVE('',#167396,#167394,#139659,.T.); #210478=EDGE_CURVE('',#167397,#167395,#92650,.T.); #210479=EDGE_CURVE('',#167398,#167397,#92651,.T.); #210480=EDGE_CURVE('',#167398,#167396,#92652,.T.); #210481=EDGE_CURVE('',#167399,#167397,#139660,.T.); #210482=EDGE_CURVE('',#167400,#167399,#92653,.T.); #210483=EDGE_CURVE('',#167400,#167398,#139661,.T.); #210484=EDGE_CURVE('',#167401,#167399,#139662,.T.); #210485=EDGE_CURVE('',#167402,#167401,#92654,.T.); #210486=EDGE_CURVE('',#167402,#167400,#139663,.T.); #210487=EDGE_CURVE('',#167403,#167401,#139664,.T.); #210488=EDGE_CURVE('',#167404,#167403,#92655,.T.); #210489=EDGE_CURVE('',#167404,#167402,#139665,.T.); #210490=EDGE_CURVE('',#167405,#167403,#92656,.T.); #210491=EDGE_CURVE('',#167406,#167405,#92657,.T.); #210492=EDGE_CURVE('',#167406,#167404,#92658,.T.); #210493=EDGE_CURVE('',#167407,#167405,#139666,.T.); #210494=EDGE_CURVE('',#167408,#167407,#92659,.T.); #210495=EDGE_CURVE('',#167408,#167406,#139667,.T.); #210496=EDGE_CURVE('',#167409,#167407,#92660,.T.); #210497=EDGE_CURVE('',#167410,#167409,#92661,.T.); #210498=EDGE_CURVE('',#167410,#167408,#92662,.T.); #210499=EDGE_CURVE('',#167388,#167409,#139668,.T.); #210500=EDGE_CURVE('',#167390,#167410,#139669,.T.); #210501=EDGE_CURVE('',#167411,#167412,#92663,.T.); #210502=EDGE_CURVE('',#167411,#167413,#92664,.T.); #210503=EDGE_CURVE('',#167413,#167414,#92665,.T.); #210504=EDGE_CURVE('',#167412,#167414,#92666,.T.); #210505=EDGE_CURVE('',#167415,#167416,#92667,.T.); #210506=EDGE_CURVE('',#167415,#167411,#101,.T.); #210507=EDGE_CURVE('',#167416,#167412,#139670,.T.); #210508=EDGE_CURVE('',#167417,#167418,#92668,.T.); #210509=EDGE_CURVE('',#167417,#167419,#130,.T.); #210510=EDGE_CURVE('',#167419,#167420,#92669,.T.); #210511=EDGE_CURVE('',#167420,#167421,#92670,.T.); #210512=EDGE_CURVE('',#167418,#167421,#131,.T.); #210513=EDGE_CURVE('',#167422,#167423,#92671,.T.); #210514=EDGE_CURVE('',#167422,#167417,#132,.T.); #210515=EDGE_CURVE('',#167423,#167418,#133,.T.); #210516=EDGE_CURVE('',#167361,#167424,#92672,.F.); #210517=EDGE_CURVE('',#167424,#167425,#92673,.T.); #210518=EDGE_CURVE('',#167425,#167422,#139671,.F.); #210519=EDGE_CURVE('',#167426,#167423,#139672,.T.); #210520=EDGE_CURVE('',#167362,#167426,#92674,.T.); #210521=EDGE_CURVE('',#167426,#167427,#92675,.T.); #210522=EDGE_CURVE('',#167427,#167428,#92676,.T.); #210523=EDGE_CURVE('',#167428,#167358,#139673,.T.); #210524=EDGE_CURVE('',#167429,#167428,#92677,.T.); #210525=EDGE_CURVE('',#167429,#167357,#102,.T.); #210526=EDGE_CURVE('',#167430,#167429,#92678,.F.); #210527=EDGE_CURVE('',#167424,#167430,#92679,.T.); #210528=EDGE_CURVE('',#167431,#167430,#92680,.T.); #210529=EDGE_CURVE('',#167425,#167431,#92681,.T.); #210530=EDGE_CURVE('',#167432,#167433,#92682,.T.); #210531=EDGE_CURVE('',#167432,#167434,#92683,.T.); #210532=EDGE_CURVE('',#167435,#167434,#92684,.T.); #210533=EDGE_CURVE('',#167433,#167435,#92685,.T.); #210534=EDGE_CURVE('',#167434,#167415,#92686,.F.); #210535=EDGE_CURVE('',#167436,#167432,#92687,.F.); #210536=EDGE_CURVE('',#167413,#167436,#103,.T.); #210537=EDGE_CURVE('',#167436,#167437,#92688,.T.); #210538=EDGE_CURVE('',#167414,#167437,#139674,.T.); #210539=EDGE_CURVE('',#167438,#167439,#92689,.T.); #210540=EDGE_CURVE('',#167438,#167440,#134,.T.); #210541=EDGE_CURVE('',#167440,#167441,#92690,.F.); #210542=EDGE_CURVE('',#167439,#167441,#135,.T.); #210543=EDGE_CURVE('',#167437,#167442,#92691,.T.); #210544=EDGE_CURVE('',#167442,#167443,#92692,.T.); #210545=EDGE_CURVE('',#167443,#167416,#92693,.T.); #210546=EDGE_CURVE('',#167441,#167443,#139675,.T.); #210547=EDGE_CURVE('',#167440,#167435,#139676,.T.); #210548=EDGE_CURVE('',#167444,#167445,#92694,.T.); #210549=EDGE_CURVE('',#167444,#167438,#136,.T.); #210550=EDGE_CURVE('',#167446,#167439,#137,.T.); #210551=EDGE_CURVE('',#167445,#167446,#92695,.T.); #210552=EDGE_CURVE('',#167447,#167444,#92696,.T.); #210553=EDGE_CURVE('',#167433,#167447,#139677,.F.); #210554=EDGE_CURVE('',#167448,#167449,#92697,.T.); #210555=EDGE_CURVE('',#167449,#167450,#92698,.T.); #210556=EDGE_CURVE('',#167451,#167450,#92699,.T.); #210557=EDGE_CURVE('',#167451,#167448,#92700,.T.); #210558=EDGE_CURVE('',#167452,#167453,#92701,.T.); #210559=EDGE_CURVE('',#167453,#167454,#92702,.T.); #210560=EDGE_CURVE('',#167455,#167454,#92703,.T.); #210561=EDGE_CURVE('',#167455,#167452,#92704,.T.); #210562=EDGE_CURVE('',#167456,#167431,#139678,.T.); #210563=EDGE_CURVE('',#167419,#167456,#92705,.T.); #210564=EDGE_CURVE('',#167457,#167458,#92706,.T.); #210565=EDGE_CURVE('',#167459,#167457,#92707,.T.); #210566=EDGE_CURVE('',#167459,#167460,#92708,.T.); #210567=EDGE_CURVE('',#167460,#167458,#92709,.T.); #210568=EDGE_CURVE('',#167461,#167457,#139679,.T.); #210569=EDGE_CURVE('',#167462,#167461,#92710,.T.); #210570=EDGE_CURVE('',#167462,#167459,#139680,.T.); #210571=EDGE_CURVE('',#167463,#167461,#139681,.T.); #210572=EDGE_CURVE('',#167464,#167463,#92711,.T.); #210573=EDGE_CURVE('',#167464,#167462,#139682,.T.); #210574=EDGE_CURVE('',#167419,#167464,#139683,.T.); #210575=EDGE_CURVE('',#167421,#167463,#139684,.T.); #210576=EDGE_CURVE('',#167465,#167427,#139685,.T.); #210577=EDGE_CURVE('',#167421,#167465,#92712,.T.); #210578=EDGE_CURVE('',#167466,#167465,#92713,.T.); #210579=EDGE_CURVE('',#167467,#167466,#92714,.T.); #210580=EDGE_CURVE('',#167467,#167456,#92715,.T.); #210581=EDGE_CURVE('',#167468,#167466,#139686,.T.); #210582=EDGE_CURVE('',#167469,#167468,#92716,.T.); #210583=EDGE_CURVE('',#167469,#167467,#139687,.T.); #210584=EDGE_CURVE('',#167470,#167468,#92717,.T.); #210585=EDGE_CURVE('',#167471,#167470,#92718,.T.); #210586=EDGE_CURVE('',#167471,#167469,#92719,.T.); #210587=EDGE_CURVE('',#167472,#167470,#139688,.T.); #210588=EDGE_CURVE('',#167473,#167472,#92720,.T.); #210589=EDGE_CURVE('',#167473,#167471,#139689,.T.); #210590=EDGE_CURVE('',#167474,#167472,#92721,.T.); #210591=EDGE_CURVE('',#167475,#167474,#92722,.T.); #210592=EDGE_CURVE('',#167475,#167473,#92723,.T.); #210593=EDGE_CURVE('',#167476,#167474,#139690,.T.); #210594=EDGE_CURVE('',#167477,#167476,#92724,.T.); #210595=EDGE_CURVE('',#167477,#167475,#139691,.T.); #210596=EDGE_CURVE('',#167478,#167476,#139692,.T.); #210597=EDGE_CURVE('',#167478,#167453,#104,.T.); #210598=EDGE_CURVE('',#167452,#167477,#139693,.T.); #210599=EDGE_CURVE('',#167454,#167479,#105,.T.); #210600=EDGE_CURVE('',#167479,#167478,#92725,.T.); #210601=EDGE_CURVE('',#167480,#167479,#139694,.T.); #210602=EDGE_CURVE('',#167481,#167480,#92726,.T.); #210603=EDGE_CURVE('',#167481,#167455,#139695,.T.); #210604=EDGE_CURVE('',#167482,#167480,#139696,.T.); #210605=EDGE_CURVE('',#167483,#167482,#92727,.T.); #210606=EDGE_CURVE('',#167483,#167481,#139697,.T.); #210607=EDGE_CURVE('',#167484,#167482,#92728,.T.); #210608=EDGE_CURVE('',#167485,#167484,#92729,.T.); #210609=EDGE_CURVE('',#167485,#167483,#92730,.T.); #210610=EDGE_CURVE('',#167486,#167484,#139698,.T.); #210611=EDGE_CURVE('',#167487,#167486,#92731,.T.); #210612=EDGE_CURVE('',#167487,#167485,#139699,.T.); #210613=EDGE_CURVE('',#167488,#167486,#139700,.T.); #210614=EDGE_CURVE('',#167488,#167449,#106,.T.); #210615=EDGE_CURVE('',#167448,#167487,#139701,.T.); #210616=EDGE_CURVE('',#167450,#167489,#107,.T.); #210617=EDGE_CURVE('',#167489,#167488,#92732,.T.); #210618=EDGE_CURVE('',#167490,#167489,#139702,.T.); #210619=EDGE_CURVE('',#167491,#167490,#92733,.T.); #210620=EDGE_CURVE('',#167491,#167451,#139703,.T.); #210621=EDGE_CURVE('',#167492,#167490,#139704,.T.); #210622=EDGE_CURVE('',#167493,#167492,#92734,.T.); #210623=EDGE_CURVE('',#167493,#167491,#139705,.T.); #210624=EDGE_CURVE('',#167494,#167492,#92735,.T.); #210625=EDGE_CURVE('',#167495,#167494,#92736,.T.); #210626=EDGE_CURVE('',#167495,#167493,#92737,.T.); #210627=EDGE_CURVE('',#167496,#167494,#139706,.T.); #210628=EDGE_CURVE('',#167497,#167496,#92738,.T.); #210629=EDGE_CURVE('',#167497,#167495,#139707,.T.); #210630=EDGE_CURVE('',#167498,#167496,#92739,.T.); #210631=EDGE_CURVE('',#167499,#167498,#92740,.T.); #210632=EDGE_CURVE('',#167499,#167497,#92741,.T.); #210633=EDGE_CURVE('',#167500,#167498,#139708,.T.); #210634=EDGE_CURVE('',#167501,#167500,#92742,.T.); #210635=EDGE_CURVE('',#167501,#167499,#139709,.T.); #210636=EDGE_CURVE('',#167447,#167501,#92743,.T.); #210637=EDGE_CURVE('',#167502,#167500,#92744,.T.); #210638=EDGE_CURVE('',#167442,#167502,#139710,.T.); #210639=EDGE_CURVE('',#167502,#167446,#92745,.T.); #210640=EDGE_CURVE('',#167503,#167444,#139711,.T.); #210641=EDGE_CURVE('',#167504,#167446,#139712,.T.); #210642=EDGE_CURVE('',#167503,#167504,#92746,.T.); #210643=EDGE_CURVE('',#167505,#167504,#139713,.T.); #210644=EDGE_CURVE('',#167506,#167505,#92747,.T.); #210645=EDGE_CURVE('',#167506,#167503,#139714,.T.); #210646=EDGE_CURVE('',#167507,#167505,#139715,.T.); #210647=EDGE_CURVE('',#167508,#167507,#92748,.T.); #210648=EDGE_CURVE('',#167508,#167506,#139716,.T.); #210649=EDGE_CURVE('',#167509,#167507,#92749,.T.); #210650=EDGE_CURVE('',#167510,#167509,#92750,.T.); #210651=EDGE_CURVE('',#167510,#167508,#92751,.T.); #210652=EDGE_CURVE('',#167511,#167512,#92752,.T.); #210653=EDGE_CURVE('',#167513,#167511,#92753,.T.); #210654=EDGE_CURVE('',#167513,#167514,#92754,.T.); #210655=EDGE_CURVE('',#167514,#167512,#92755,.T.); #210656=EDGE_CURVE('',#167515,#167511,#139717,.T.); #210657=EDGE_CURVE('',#167516,#167515,#92756,.T.); #210658=EDGE_CURVE('',#167516,#167513,#139718,.T.); #210659=EDGE_CURVE('',#167512,#167517,#139719,.T.); #210660=EDGE_CURVE('',#167514,#167518,#139720,.T.); #210661=EDGE_CURVE('',#167518,#167517,#92757,.T.); #210662=EDGE_CURVE('',#167518,#167519,#92758,.T.); #210663=EDGE_CURVE('',#167519,#167520,#139721,.F.); #210664=EDGE_CURVE('',#167520,#167521,#92759,.T.); #210665=EDGE_CURVE('',#167522,#167521,#139722,.T.); #210666=EDGE_CURVE('',#167517,#167522,#92760,.T.); #210667=EDGE_CURVE('',#167458,#167523,#139723,.T.); #210668=EDGE_CURVE('',#167523,#167524,#92761,.T.); #210669=EDGE_CURVE('',#167524,#167515,#92762,.T.); #210670=EDGE_CURVE('',#167522,#167525,#92763,.T.); #210671=EDGE_CURVE('',#167525,#167509,#139724,.T.); #210672=EDGE_CURVE('',#167526,#167527,#92764,.T.); #210673=EDGE_CURVE('',#167526,#167510,#139725,.T.); #210674=EDGE_CURVE('',#167527,#167525,#92765,.T.); #210675=EDGE_CURVE('',#167528,#167529,#92766,.T.); #210676=EDGE_CURVE('',#167460,#167528,#139726,.T.); #210677=EDGE_CURVE('',#167519,#167526,#92767,.T.); #210678=EDGE_CURVE('',#167529,#167516,#92768,.T.); #210679=EDGE_CURVE('',#167528,#167530,#92769,.T.); #210680=EDGE_CURVE('',#167530,#167523,#92770,.T.); #210681=EDGE_CURVE('',#167531,#167524,#139727,.T.); #210682=EDGE_CURVE('',#167532,#167531,#92771,.F.); #210683=EDGE_CURVE('',#167532,#167529,#139728,.T.); #210684=EDGE_CURVE('',#167528,#167533,#108,.T.); #210685=EDGE_CURVE('',#167533,#167534,#92772,.F.); #210686=EDGE_CURVE('',#167523,#167534,#109,.T.); #210687=EDGE_CURVE('',#167533,#167535,#139729,.F.); #210688=EDGE_CURVE('',#167535,#167536,#92773,.T.); #210689=EDGE_CURVE('',#167534,#167536,#139730,.T.); #210690=EDGE_CURVE('',#167535,#166866,#138,.T.); #210691=EDGE_CURVE('',#167536,#167202,#139,.T.); #210692=EDGE_CURVE('',#166862,#167532,#140,.T.); #210693=EDGE_CURVE('',#166864,#167531,#141,.T.); #210694=EDGE_CURVE('',#167520,#166869,#142,.T.); #210695=EDGE_CURVE('',#167521,#167203,#143,.T.); #210696=EDGE_CURVE('',#166857,#167537,#144,.T.); #210697=EDGE_CURVE('',#167537,#167538,#92774,.F.); #210698=EDGE_CURVE('',#166859,#167538,#145,.T.); #210699=EDGE_CURVE('',#167537,#167539,#139731,.T.); #210700=EDGE_CURVE('',#167539,#167540,#92775,.T.); #210701=EDGE_CURVE('',#167538,#167540,#139732,.T.); #210702=EDGE_CURVE('',#167539,#167526,#110,.T.); #210703=EDGE_CURVE('',#167540,#167525,#111,.T.); #210704=EDGE_CURVE('',#166775,#166835,#92776,.T.); #210705=EDGE_CURVE('',#166774,#166836,#92777,.T.); #210706=EDGE_CURVE('',#166773,#166837,#92778,.T.); #210707=EDGE_CURVE('',#166772,#166838,#92779,.T.); #210708=EDGE_CURVE('',#166771,#166839,#92780,.T.); #210709=EDGE_CURVE('',#166770,#166840,#92781,.T.); #210710=EDGE_CURVE('',#166761,#166808,#92782,.T.); #210711=EDGE_CURVE('',#166763,#166809,#92783,.T.); #210712=EDGE_CURVE('',#166764,#166846,#92784,.T.); #210713=EDGE_CURVE('',#166765,#166845,#92785,.T.); #210714=EDGE_CURVE('',#166766,#166844,#92786,.T.); #210715=EDGE_CURVE('',#166767,#166843,#92787,.T.); #210716=EDGE_CURVE('',#166970,#167110,#92788,.T.); #210717=EDGE_CURVE('',#166969,#167111,#92789,.T.); #210718=EDGE_CURVE('',#166968,#167112,#92790,.T.); #210719=EDGE_CURVE('',#166967,#167113,#92791,.T.); #210720=EDGE_CURVE('',#166966,#167114,#92792,.T.); #210721=EDGE_CURVE('',#166965,#167115,#92793,.T.); #210722=EDGE_CURVE('',#166971,#167109,#92794,.T.); #210723=EDGE_CURVE('',#166972,#167108,#92795,.T.); #210724=EDGE_CURVE('',#166973,#167107,#92796,.T.); #210725=EDGE_CURVE('',#166974,#167106,#92797,.T.); #210726=EDGE_CURVE('',#166975,#167105,#92798,.T.); #210727=EDGE_CURVE('',#166976,#167104,#92799,.T.); #210728=EDGE_CURVE('',#167541,#167542,#92800,.T.); #210729=EDGE_CURVE('',#167543,#167542,#92801,.T.); #210730=EDGE_CURVE('',#167544,#167543,#92802,.T.); #210731=EDGE_CURVE('',#167544,#167541,#92803,.T.); #210732=EDGE_CURVE('',#167542,#167545,#92804,.T.); #210733=EDGE_CURVE('',#167545,#167546,#139733,.T.); #210734=EDGE_CURVE('',#167546,#167547,#92805,.F.); #210735=EDGE_CURVE('',#167547,#167548,#139734,.F.); #210736=EDGE_CURVE('',#167548,#167549,#92806,.F.); #210737=EDGE_CURVE('',#167549,#167550,#92807,.T.); #210738=EDGE_CURVE('',#167551,#167550,#92808,.T.); #210739=EDGE_CURVE('',#167552,#167551,#139735,.T.); #210740=EDGE_CURVE('',#167553,#167552,#92809,.T.); #210741=EDGE_CURVE('',#167554,#167553,#139736,.T.); #210742=EDGE_CURVE('',#167543,#167554,#92810,.T.); #210743=EDGE_CURVE('',#167555,#167556,#92811,.T.); #210744=EDGE_CURVE('',#167557,#167556,#92812,.T.); #210745=EDGE_CURVE('',#167558,#167557,#92813,.T.); #210746=EDGE_CURVE('',#167558,#167559,#139737,.T.); #210747=EDGE_CURVE('',#167559,#167560,#92814,.T.); #210748=EDGE_CURVE('',#167560,#167561,#139738,.T.); #210749=EDGE_CURVE('',#167561,#167562,#92815,.T.); #210750=EDGE_CURVE('',#167563,#167562,#92816,.T.); #210751=EDGE_CURVE('',#167564,#167563,#92817,.F.); #210752=EDGE_CURVE('',#167565,#167564,#139739,.F.); #210753=EDGE_CURVE('',#167566,#167565,#92818,.F.); #210754=EDGE_CURVE('',#167555,#167566,#139740,.T.); #210755=EDGE_CURVE('',#167556,#167567,#92819,.T.); #210756=EDGE_CURVE('',#167568,#167567,#92820,.T.); #210757=EDGE_CURVE('',#167557,#167568,#92821,.T.); #210758=EDGE_CURVE('',#167569,#167570,#92822,.T.); #210759=EDGE_CURVE('',#167569,#167571,#92823,.T.); #210760=EDGE_CURVE('',#167568,#167571,#92824,.T.); #210761=EDGE_CURVE('',#167567,#167572,#92825,.T.); #210762=EDGE_CURVE('',#167572,#167570,#92826,.F.); #210763=EDGE_CURVE('',#167573,#167574,#92827,.T.); #210764=EDGE_CURVE('',#167573,#167569,#92828,.T.); #210765=EDGE_CURVE('',#167570,#167574,#92829,.T.); #210766=EDGE_CURVE('',#167575,#167544,#92830,.T.); #210767=EDGE_CURVE('',#167575,#167573,#92831,.T.); #210768=EDGE_CURVE('',#167574,#167576,#92832,.F.); #210769=EDGE_CURVE('',#167576,#167541,#92833,.T.); #210770=EDGE_CURVE('',#167571,#167575,#92834,.T.); #210771=EDGE_CURVE('',#167554,#167558,#92835,.T.); #210772=EDGE_CURVE('',#167576,#167572,#92836,.T.); #210773=EDGE_CURVE('',#167545,#167555,#92837,.T.); #210774=EDGE_CURVE('',#167563,#167549,#92838,.F.); #210775=EDGE_CURVE('',#167562,#167550,#92839,.T.); #210776=EDGE_CURVE('',#167559,#167553,#92840,.T.); #210777=EDGE_CURVE('',#167560,#167552,#92841,.T.); #210778=EDGE_CURVE('',#167561,#167551,#92842,.T.); #210779=EDGE_CURVE('',#167566,#167546,#92843,.F.); #210780=EDGE_CURVE('',#167565,#167547,#92844,.T.); #210781=EDGE_CURVE('',#167564,#167548,#92845,.F.); #210782=EDGE_CURVE('',#167577,#167578,#92846,.T.); #210783=EDGE_CURVE('',#167579,#167578,#92847,.T.); #210784=EDGE_CURVE('',#167580,#167579,#92848,.T.); #210785=EDGE_CURVE('',#167580,#167581,#92849,.T.); #210786=EDGE_CURVE('',#167581,#167582,#92850,.T.); #210787=EDGE_CURVE('',#167583,#167582,#92851,.T.); #210788=EDGE_CURVE('',#167584,#167583,#92852,.T.); #210789=EDGE_CURVE('',#167584,#167585,#92853,.T.); #210790=EDGE_CURVE('',#167585,#167586,#92854,.T.); #210791=EDGE_CURVE('',#167587,#167586,#92855,.T.); #210792=EDGE_CURVE('',#167588,#167587,#92856,.T.); #210793=EDGE_CURVE('',#167588,#167577,#92857,.T.); #210794=EDGE_CURVE('',#167589,#167590,#92858,.T.); #210795=EDGE_CURVE('',#167589,#167591,#92859,.T.); #210796=EDGE_CURVE('',#167591,#167592,#92860,.T.); #210797=EDGE_CURVE('',#167590,#167592,#92861,.T.); #210798=EDGE_CURVE('',#167593,#167594,#92862,.T.); #210799=EDGE_CURVE('',#167595,#167594,#92863,.T.); #210800=EDGE_CURVE('',#167595,#167596,#92864,.T.); #210801=EDGE_CURVE('',#167596,#167593,#92865,.T.); #210802=EDGE_CURVE('',#167597,#167598,#92866,.T.); #210803=EDGE_CURVE('',#167598,#167599,#92867,.T.); #210804=EDGE_CURVE('',#167599,#167600,#92868,.T.); #210805=EDGE_CURVE('',#167600,#167597,#92869,.T.); #210806=EDGE_CURVE('',#167601,#167602,#92870,.T.); #210807=EDGE_CURVE('',#167602,#167603,#92871,.T.); #210808=EDGE_CURVE('',#167604,#167603,#92872,.T.); #210809=EDGE_CURVE('',#167601,#167604,#92873,.T.); #210810=EDGE_CURVE('',#167605,#167606,#92874,.T.); #210811=EDGE_CURVE('',#167606,#167607,#92875,.T.); #210812=EDGE_CURVE('',#167607,#167608,#92876,.T.); #210813=EDGE_CURVE('',#167608,#167605,#92877,.T.); #210814=EDGE_CURVE('',#167609,#167610,#92878,.T.); #210815=EDGE_CURVE('',#167610,#167611,#92879,.T.); #210816=EDGE_CURVE('',#167612,#167611,#92880,.T.); #210817=EDGE_CURVE('',#167609,#167612,#92881,.T.); #210818=EDGE_CURVE('',#167613,#167614,#92882,.T.); #210819=EDGE_CURVE('',#167614,#167615,#92883,.T.); #210820=EDGE_CURVE('',#167615,#167616,#92884,.T.); #210821=EDGE_CURVE('',#167616,#167613,#92885,.T.); #210822=EDGE_CURVE('',#167617,#167618,#92886,.T.); #210823=EDGE_CURVE('',#167619,#167617,#92887,.T.); #210824=EDGE_CURVE('',#167620,#167619,#92888,.T.); #210825=EDGE_CURVE('',#167618,#167620,#92889,.T.); #210826=EDGE_CURVE('',#167621,#167622,#92890,.T.); #210827=EDGE_CURVE('',#167623,#167621,#92891,.T.); #210828=EDGE_CURVE('',#167624,#167623,#92892,.T.); #210829=EDGE_CURVE('',#167622,#167624,#92893,.T.); #210830=EDGE_CURVE('',#167625,#167600,#92894,.T.); #210831=EDGE_CURVE('',#167599,#167579,#92895,.T.); #210832=EDGE_CURVE('',#167578,#167626,#92896,.T.); #210833=EDGE_CURVE('',#167625,#167626,#92897,.T.); #210834=EDGE_CURVE('',#167597,#167627,#92898,.T.); #210835=EDGE_CURVE('',#167627,#167628,#92899,.T.); #210836=EDGE_CURVE('',#167628,#167581,#92900,.T.); #210837=EDGE_CURVE('',#167580,#167598,#92901,.T.); #210838=EDGE_CURVE('',#167626,#167629,#92902,.T.); #210839=EDGE_CURVE('',#167629,#167577,#92903,.T.); #210840=EDGE_CURVE('',#167630,#167608,#92904,.T.); #210841=EDGE_CURVE('',#167607,#167587,#92905,.T.); #210842=EDGE_CURVE('',#167586,#167631,#92906,.T.); #210843=EDGE_CURVE('',#167630,#167631,#92907,.T.); #210844=EDGE_CURVE('',#167605,#167632,#92908,.T.); #210845=EDGE_CURVE('',#167632,#167629,#92909,.T.); #210846=EDGE_CURVE('',#167588,#167606,#92910,.T.); #210847=EDGE_CURVE('',#167631,#167633,#92911,.T.); #210848=EDGE_CURVE('',#167633,#167585,#92912,.T.); #210849=EDGE_CURVE('',#167634,#167635,#92913,.T.); #210850=EDGE_CURVE('',#167634,#167636,#92914,.T.); #210851=EDGE_CURVE('',#167636,#167637,#92915,.T.); #210852=EDGE_CURVE('',#167637,#167638,#92916,.T.); #210853=EDGE_CURVE('',#167639,#167638,#92917,.T.); #210854=EDGE_CURVE('',#167639,#167584,#92918,.T.); #210855=EDGE_CURVE('',#167583,#167635,#92919,.T.); #210856=EDGE_CURVE('',#167640,#167641,#92920,.T.); #210857=EDGE_CURVE('',#167640,#167642,#139741,.T.); #210858=EDGE_CURVE('',#167642,#167594,#92921,.T.); #210859=EDGE_CURVE('',#167593,#167633,#92922,.T.); #210860=EDGE_CURVE('',#167641,#167639,#92923,.T.); #210861=EDGE_CURVE('',#167643,#167589,#92924,.T.); #210862=EDGE_CURVE('',#167643,#167644,#139742,.T.); #210863=EDGE_CURVE('',#167645,#167644,#92925,.T.); #210864=EDGE_CURVE('',#167645,#167635,#92926,.T.); #210865=EDGE_CURVE('',#167582,#167646,#92927,.T.); #210866=EDGE_CURVE('',#167591,#167646,#92928,.T.); #210867=EDGE_CURVE('',#167647,#167648,#139743,.T.); #210868=EDGE_CURVE('',#167649,#167647,#92929,.T.); #210869=EDGE_CURVE('',#167650,#167649,#139744,.T.); #210870=EDGE_CURVE('',#167651,#167650,#92930,.T.); #210871=EDGE_CURVE('',#167652,#167651,#92931,.T.); #210872=EDGE_CURVE('',#167653,#167652,#92932,.T.); #210873=EDGE_CURVE('',#167592,#167653,#92933,.T.); #210874=EDGE_CURVE('',#167646,#167628,#92934,.T.); #210875=EDGE_CURVE('',#167625,#167627,#92935,.T.); #210876=EDGE_CURVE('',#167630,#167632,#92936,.T.); #210877=EDGE_CURVE('',#167654,#167596,#92937,.T.); #210878=EDGE_CURVE('',#167655,#167654,#92938,.T.); #210879=EDGE_CURVE('',#167656,#167655,#92939,.T.); #210880=EDGE_CURVE('',#167648,#167656,#92940,.T.); #210881=EDGE_CURVE('',#167657,#167658,#139745,.T.); #210882=EDGE_CURVE('',#167658,#167659,#92941,.T.); #210883=EDGE_CURVE('',#167659,#167660,#139746,.T.); #210884=EDGE_CURVE('',#167660,#167661,#92942,.T.); #210885=EDGE_CURVE('',#167661,#167662,#92943,.T.); #210886=EDGE_CURVE('',#167662,#167663,#92944,.T.); #210887=EDGE_CURVE('',#167663,#167595,#92945,.T.); #210888=EDGE_CURVE('',#167642,#167664,#92946,.T.); #210889=EDGE_CURVE('',#167614,#167664,#92947,.T.); #210890=EDGE_CURVE('',#167613,#167665,#92948,.T.); #210891=EDGE_CURVE('',#167665,#167643,#92949,.T.); #210892=EDGE_CURVE('',#167590,#167666,#92950,.T.); #210893=EDGE_CURVE('',#167666,#167667,#92951,.T.); #210894=EDGE_CURVE('',#167667,#167668,#92952,.T.); #210895=EDGE_CURVE('',#167668,#167657,#92953,.T.); #210896=EDGE_CURVE('',#167616,#167669,#92954,.T.); #210897=EDGE_CURVE('',#167669,#167670,#92955,.T.); #210898=EDGE_CURVE('',#167671,#167670,#92956,.T.); #210899=EDGE_CURVE('',#167672,#167671,#92957,.T.); #210900=EDGE_CURVE('',#167672,#167665,#139747,.T.); #210901=EDGE_CURVE('',#167615,#167673,#92958,.T.); #210902=EDGE_CURVE('',#167673,#167669,#92959,.T.); #210903=EDGE_CURVE('',#167674,#167675,#92960,.T.); #210904=EDGE_CURVE('',#167675,#167673,#92961,.T.); #210905=EDGE_CURVE('',#167664,#167676,#139748,.T.); #210906=EDGE_CURVE('',#167674,#167676,#92962,.T.); #210907=EDGE_CURVE('',#167663,#167654,#92963,.T.); #210908=EDGE_CURVE('',#167662,#167655,#92964,.T.); #210909=EDGE_CURVE('',#167661,#167656,#92965,.T.); #210910=EDGE_CURVE('',#167660,#167648,#92966,.T.); #210911=EDGE_CURVE('',#167659,#167647,#92967,.T.); #210912=EDGE_CURVE('',#167666,#167653,#92968,.T.); #210913=EDGE_CURVE('',#167658,#167649,#92969,.T.); #210914=EDGE_CURVE('',#167657,#167650,#92970,.T.); #210915=EDGE_CURVE('',#167668,#167651,#92971,.T.); #210916=EDGE_CURVE('',#167667,#167652,#92972,.T.); #210917=EDGE_CURVE('',#167677,#167617,#92973,.T.); #210918=EDGE_CURVE('',#167677,#167678,#92974,.T.); #210919=EDGE_CURVE('',#167678,#167619,#92975,.T.); #210920=EDGE_CURVE('',#167679,#167618,#92976,.T.); #210921=EDGE_CURVE('',#167679,#167677,#92977,.T.); #210922=EDGE_CURVE('',#167680,#167620,#92978,.T.); #210923=EDGE_CURVE('',#167680,#167679,#92979,.T.); #210924=EDGE_CURVE('',#167678,#167680,#92980,.T.); #210925=EDGE_CURVE('',#167681,#167682,#92981,.T.); #210926=EDGE_CURVE('',#167682,#167683,#92982,.T.); #210927=EDGE_CURVE('',#167683,#167684,#92983,.T.); #210928=EDGE_CURVE('',#167684,#167681,#92984,.T.); #210929=EDGE_CURVE('',#167677,#167684,#92985,.T.); #210930=EDGE_CURVE('',#167681,#167679,#92986,.T.); #210931=EDGE_CURVE('',#167682,#167680,#92987,.T.); #210932=EDGE_CURVE('',#167678,#167683,#92988,.T.); #210933=EDGE_CURVE('',#167685,#167621,#92989,.T.); #210934=EDGE_CURVE('',#167685,#167686,#92990,.T.); #210935=EDGE_CURVE('',#167686,#167623,#92991,.T.); #210936=EDGE_CURVE('',#167687,#167622,#92992,.T.); #210937=EDGE_CURVE('',#167687,#167685,#92993,.T.); #210938=EDGE_CURVE('',#167688,#167624,#92994,.T.); #210939=EDGE_CURVE('',#167688,#167687,#92995,.T.); #210940=EDGE_CURVE('',#167686,#167688,#92996,.T.); #210941=EDGE_CURVE('',#167689,#167690,#92997,.T.); #210942=EDGE_CURVE('',#167690,#167691,#92998,.T.); #210943=EDGE_CURVE('',#167691,#167692,#92999,.T.); #210944=EDGE_CURVE('',#167692,#167689,#93000,.T.); #210945=EDGE_CURVE('',#167685,#167692,#93001,.T.); #210946=EDGE_CURVE('',#167689,#167687,#93002,.T.); #210947=EDGE_CURVE('',#167690,#167688,#93003,.T.); #210948=EDGE_CURVE('',#167686,#167691,#93004,.T.); #210949=EDGE_CURVE('',#167609,#167693,#93005,.T.); #210950=EDGE_CURVE('',#167693,#167694,#93006,.T.); #210951=EDGE_CURVE('',#167610,#167694,#93007,.T.); #210952=EDGE_CURVE('',#167695,#167696,#93008,.T.); #210953=EDGE_CURVE('',#167696,#167697,#93009,.T.); #210954=EDGE_CURVE('',#167697,#167698,#93010,.T.); #210955=EDGE_CURVE('',#167698,#167695,#93011,.T.); #210956=EDGE_CURVE('',#167611,#167699,#93012,.T.); #210957=EDGE_CURVE('',#167699,#167700,#93013,.T.); #210958=EDGE_CURVE('',#167612,#167700,#93014,.T.); #210959=EDGE_CURVE('',#167694,#167699,#93015,.T.); #210960=EDGE_CURVE('',#167700,#167693,#93016,.T.); #210961=EDGE_CURVE('',#167700,#167698,#93017,.T.); #210962=EDGE_CURVE('',#167695,#167699,#93018,.T.); #210963=EDGE_CURVE('',#167696,#167694,#93019,.T.); #210964=EDGE_CURVE('',#167693,#167697,#93020,.T.); #210965=EDGE_CURVE('',#167601,#167701,#93021,.T.); #210966=EDGE_CURVE('',#167701,#167702,#93022,.T.); #210967=EDGE_CURVE('',#167602,#167702,#93023,.T.); #210968=EDGE_CURVE('',#167703,#167704,#93024,.T.); #210969=EDGE_CURVE('',#167704,#167705,#93025,.T.); #210970=EDGE_CURVE('',#167705,#167706,#93026,.T.); #210971=EDGE_CURVE('',#167706,#167703,#93027,.T.); #210972=EDGE_CURVE('',#167603,#167707,#93028,.T.); #210973=EDGE_CURVE('',#167707,#167708,#93029,.T.); #210974=EDGE_CURVE('',#167604,#167708,#93030,.T.); #210975=EDGE_CURVE('',#167702,#167707,#93031,.T.); #210976=EDGE_CURVE('',#167708,#167701,#93032,.T.); #210977=EDGE_CURVE('',#167708,#167706,#93033,.T.); #210978=EDGE_CURVE('',#167703,#167707,#93034,.T.); #210979=EDGE_CURVE('',#167704,#167702,#93035,.T.); #210980=EDGE_CURVE('',#167701,#167705,#93036,.T.); #210981=EDGE_CURVE('',#167638,#167675,#93037,.T.); #210982=EDGE_CURVE('',#167641,#167674,#93038,.T.); #210983=EDGE_CURVE('',#167676,#167640,#93039,.T.); #210984=EDGE_CURVE('',#167670,#167634,#93040,.T.); #210985=EDGE_CURVE('',#167671,#167645,#93041,.T.); #210986=EDGE_CURVE('',#167644,#167672,#93042,.T.); #210987=EDGE_CURVE('',#167673,#167637,#93043,.T.); #210988=EDGE_CURVE('',#167669,#167636,#93044,.T.); #210989=EDGE_CURVE('',#167709,#167710,#139749,.F.); #210990=EDGE_CURVE('',#167711,#167709,#139750,.F.); #210991=EDGE_CURVE('',#167710,#167711,#139751,.F.); #210992=EDGE_CURVE('',#167712,#167713,#139752,.F.); #210993=EDGE_CURVE('',#167714,#167712,#139753,.F.); #210994=EDGE_CURVE('',#167713,#167714,#139754,.F.); #210995=EDGE_CURVE('',#167715,#167716,#139755,.F.); #210996=EDGE_CURVE('',#167717,#167715,#139756,.F.); #210997=EDGE_CURVE('',#167716,#167717,#139757,.F.); #210998=EDGE_CURVE('',#167718,#167719,#139758,.F.); #210999=EDGE_CURVE('',#167720,#167718,#139759,.F.); #211000=EDGE_CURVE('',#167719,#167720,#139760,.F.); #211001=EDGE_CURVE('',#167721,#167722,#139761,.F.); #211002=EDGE_CURVE('',#167723,#167721,#139762,.F.); #211003=EDGE_CURVE('',#167722,#167723,#139763,.F.); #211004=EDGE_CURVE('',#167721,#167716,#93045,.T.); #211005=EDGE_CURVE('',#167717,#167723,#93046,.T.); #211006=EDGE_CURVE('',#167724,#167725,#139764,.F.); #211007=EDGE_CURVE('',#167726,#167724,#139765,.F.); #211008=EDGE_CURVE('',#167725,#167726,#139766,.F.); #211009=EDGE_CURVE('',#167727,#167728,#139767,.F.); #211010=EDGE_CURVE('',#167729,#167727,#139768,.F.); #211011=EDGE_CURVE('',#167728,#167729,#139769,.F.); #211012=EDGE_CURVE('',#167715,#167729,#93047,.T.); #211013=EDGE_CURVE('',#167727,#167717,#93048,.T.); #211014=EDGE_CURVE('',#167718,#167728,#93049,.T.); #211015=EDGE_CURVE('',#167729,#167720,#93050,.T.); #211016=EDGE_CURVE('',#167730,#167731,#139770,.F.); #211017=EDGE_CURVE('',#167732,#167730,#139771,.F.); #211018=EDGE_CURVE('',#167731,#167732,#139772,.F.); #211019=EDGE_CURVE('',#167723,#167732,#93051,.T.); #211020=EDGE_CURVE('',#167730,#167722,#93052,.T.); #211021=EDGE_CURVE('',#167728,#167731,#93053,.T.); #211022=EDGE_CURVE('',#167732,#167727,#93054,.T.); #211023=EDGE_CURVE('',#167724,#167730,#93055,.T.); #211024=EDGE_CURVE('',#167731,#167726,#93056,.T.); #211025=EDGE_CURVE('',#167719,#167725,#93057,.T.); #211026=EDGE_CURVE('',#167726,#167718,#93058,.T.); #211027=EDGE_CURVE('',#167725,#167713,#93059,.T.); #211028=EDGE_CURVE('',#167714,#167724,#93060,.T.); #211029=EDGE_CURVE('',#167712,#167721,#93061,.T.); #211030=EDGE_CURVE('',#167722,#167714,#93062,.T.); #211031=EDGE_CURVE('',#167710,#167719,#93063,.T.); #211032=EDGE_CURVE('',#167720,#167709,#93064,.T.); #211033=EDGE_CURVE('',#167709,#167715,#93065,.T.); #211034=EDGE_CURVE('',#167716,#167711,#93066,.T.); #211035=EDGE_CURVE('',#167713,#167710,#93067,.T.); #211036=EDGE_CURVE('',#167711,#167712,#93068,.T.); #211037=EDGE_CURVE('',#167733,#167734,#139773,.F.); #211038=EDGE_CURVE('',#167735,#167733,#139774,.F.); #211039=EDGE_CURVE('',#167734,#167735,#139775,.F.); #211040=EDGE_CURVE('',#167736,#167737,#139776,.F.); #211041=EDGE_CURVE('',#167738,#167736,#139777,.F.); #211042=EDGE_CURVE('',#167737,#167738,#139778,.F.); #211043=EDGE_CURVE('',#167739,#167740,#139779,.F.); #211044=EDGE_CURVE('',#167741,#167739,#139780,.F.); #211045=EDGE_CURVE('',#167740,#167741,#139781,.F.); #211046=EDGE_CURVE('',#167742,#167743,#139782,.F.); #211047=EDGE_CURVE('',#167744,#167742,#139783,.F.); #211048=EDGE_CURVE('',#167743,#167744,#139784,.F.); #211049=EDGE_CURVE('',#167745,#167746,#139785,.F.); #211050=EDGE_CURVE('',#167747,#167745,#139786,.F.); #211051=EDGE_CURVE('',#167746,#167747,#139787,.F.); #211052=EDGE_CURVE('',#167745,#167740,#93069,.T.); #211053=EDGE_CURVE('',#167741,#167747,#93070,.T.); #211054=EDGE_CURVE('',#167748,#167749,#139788,.F.); #211055=EDGE_CURVE('',#167750,#167748,#139789,.F.); #211056=EDGE_CURVE('',#167749,#167750,#139790,.F.); #211057=EDGE_CURVE('',#167751,#167752,#139791,.F.); #211058=EDGE_CURVE('',#167753,#167751,#139792,.F.); #211059=EDGE_CURVE('',#167752,#167753,#139793,.F.); #211060=EDGE_CURVE('',#167739,#167753,#93071,.T.); #211061=EDGE_CURVE('',#167751,#167741,#93072,.T.); #211062=EDGE_CURVE('',#167742,#167752,#93073,.T.); #211063=EDGE_CURVE('',#167753,#167744,#93074,.T.); #211064=EDGE_CURVE('',#167754,#167755,#139794,.F.); #211065=EDGE_CURVE('',#167756,#167754,#139795,.F.); #211066=EDGE_CURVE('',#167755,#167756,#139796,.F.); #211067=EDGE_CURVE('',#167754,#167746,#93075,.T.); #211068=EDGE_CURVE('',#167747,#167756,#93076,.T.); #211069=EDGE_CURVE('',#167752,#167755,#93077,.T.); #211070=EDGE_CURVE('',#167756,#167751,#93078,.T.); #211071=EDGE_CURVE('',#167748,#167754,#93079,.T.); #211072=EDGE_CURVE('',#167755,#167750,#93080,.T.); #211073=EDGE_CURVE('',#167743,#167749,#93081,.T.); #211074=EDGE_CURVE('',#167750,#167742,#93082,.T.); #211075=EDGE_CURVE('',#167749,#167737,#93083,.T.); #211076=EDGE_CURVE('',#167738,#167748,#93084,.T.); #211077=EDGE_CURVE('',#167736,#167745,#93085,.T.); #211078=EDGE_CURVE('',#167746,#167738,#93086,.T.); #211079=EDGE_CURVE('',#167734,#167743,#93087,.T.); #211080=EDGE_CURVE('',#167744,#167733,#93088,.T.); #211081=EDGE_CURVE('',#167733,#167739,#93089,.T.); #211082=EDGE_CURVE('',#167740,#167735,#93090,.T.); #211083=EDGE_CURVE('',#167737,#167734,#93091,.T.); #211084=EDGE_CURVE('',#167735,#167736,#93092,.T.); #211085=EDGE_CURVE('',#167757,#167758,#93093,.T.); #211086=EDGE_CURVE('',#167757,#167759,#93094,.T.); #211087=EDGE_CURVE('',#167760,#167759,#93095,.T.); #211088=EDGE_CURVE('',#167758,#167760,#93096,.T.); #211089=EDGE_CURVE('',#167761,#167758,#93097,.T.); #211090=EDGE_CURVE('',#167762,#167760,#93098,.T.); #211091=EDGE_CURVE('',#167761,#167762,#93099,.T.); #211092=EDGE_CURVE('',#167763,#167761,#93100,.T.); #211093=EDGE_CURVE('',#167764,#167762,#93101,.T.); #211094=EDGE_CURVE('',#167763,#167764,#93102,.T.); #211095=EDGE_CURVE('',#167757,#167763,#93103,.T.); #211096=EDGE_CURVE('',#167759,#167764,#93104,.T.); #211097=EDGE_CURVE('',#167765,#167766,#139797,.F.); #211098=EDGE_CURVE('',#167767,#167765,#139798,.F.); #211099=EDGE_CURVE('',#167766,#167767,#139799,.F.); #211100=EDGE_CURVE('',#167768,#167769,#139800,.F.); #211101=EDGE_CURVE('',#167770,#167768,#139801,.F.); #211102=EDGE_CURVE('',#167769,#167770,#139802,.F.); #211103=EDGE_CURVE('',#167771,#167772,#139803,.F.); #211104=EDGE_CURVE('',#167773,#167771,#139804,.F.); #211105=EDGE_CURVE('',#167772,#167773,#139805,.F.); #211106=EDGE_CURVE('',#167774,#167775,#139806,.F.); #211107=EDGE_CURVE('',#167776,#167774,#139807,.F.); #211108=EDGE_CURVE('',#167775,#167776,#139808,.F.); #211109=EDGE_CURVE('',#167777,#167778,#139809,.F.); #211110=EDGE_CURVE('',#167779,#167777,#139810,.F.); #211111=EDGE_CURVE('',#167778,#167779,#139811,.F.); #211112=EDGE_CURVE('',#167777,#167772,#93105,.T.); #211113=EDGE_CURVE('',#167773,#167779,#93106,.T.); #211114=EDGE_CURVE('',#167780,#167781,#139812,.F.); #211115=EDGE_CURVE('',#167782,#167780,#139813,.F.); #211116=EDGE_CURVE('',#167781,#167782,#139814,.F.); #211117=EDGE_CURVE('',#167783,#167784,#139815,.F.); #211118=EDGE_CURVE('',#167785,#167783,#139816,.F.); #211119=EDGE_CURVE('',#167784,#167785,#139817,.F.); #211120=EDGE_CURVE('',#167771,#167785,#93107,.T.); #211121=EDGE_CURVE('',#167783,#167773,#93108,.T.); #211122=EDGE_CURVE('',#167774,#167784,#93109,.T.); #211123=EDGE_CURVE('',#167785,#167776,#93110,.T.); #211124=EDGE_CURVE('',#167786,#167787,#139818,.F.); #211125=EDGE_CURVE('',#167788,#167786,#139819,.F.); #211126=EDGE_CURVE('',#167787,#167788,#139820,.F.); #211127=EDGE_CURVE('',#167779,#167788,#93111,.T.); #211128=EDGE_CURVE('',#167786,#167778,#93112,.T.); #211129=EDGE_CURVE('',#167784,#167787,#93113,.T.); #211130=EDGE_CURVE('',#167788,#167783,#93114,.T.); #211131=EDGE_CURVE('',#167780,#167786,#93115,.T.); #211132=EDGE_CURVE('',#167787,#167782,#93116,.T.); #211133=EDGE_CURVE('',#167775,#167781,#93117,.T.); #211134=EDGE_CURVE('',#167782,#167774,#93118,.T.); #211135=EDGE_CURVE('',#167781,#167769,#93119,.T.); #211136=EDGE_CURVE('',#167770,#167780,#93120,.T.); #211137=EDGE_CURVE('',#167768,#167777,#93121,.T.); #211138=EDGE_CURVE('',#167778,#167770,#93122,.T.); #211139=EDGE_CURVE('',#167766,#167775,#93123,.T.); #211140=EDGE_CURVE('',#167776,#167765,#93124,.T.); #211141=EDGE_CURVE('',#167765,#167771,#93125,.T.); #211142=EDGE_CURVE('',#167772,#167767,#93126,.T.); #211143=EDGE_CURVE('',#167769,#167766,#93127,.T.); #211144=EDGE_CURVE('',#167767,#167768,#93128,.T.); #211145=EDGE_CURVE('',#167789,#167790,#139821,.F.); #211146=EDGE_CURVE('',#167791,#167789,#139822,.F.); #211147=EDGE_CURVE('',#167790,#167791,#139823,.F.); #211148=EDGE_CURVE('',#167792,#167793,#139824,.F.); #211149=EDGE_CURVE('',#167794,#167792,#139825,.F.); #211150=EDGE_CURVE('',#167793,#167794,#139826,.F.); #211151=EDGE_CURVE('',#167795,#167796,#139827,.F.); #211152=EDGE_CURVE('',#167797,#167795,#139828,.F.); #211153=EDGE_CURVE('',#167796,#167797,#139829,.F.); #211154=EDGE_CURVE('',#167798,#167799,#139830,.F.); #211155=EDGE_CURVE('',#167800,#167798,#139831,.F.); #211156=EDGE_CURVE('',#167799,#167800,#139832,.F.); #211157=EDGE_CURVE('',#167801,#167802,#139833,.F.); #211158=EDGE_CURVE('',#167803,#167801,#139834,.F.); #211159=EDGE_CURVE('',#167802,#167803,#139835,.F.); #211160=EDGE_CURVE('',#167801,#167796,#93129,.T.); #211161=EDGE_CURVE('',#167797,#167803,#93130,.T.); #211162=EDGE_CURVE('',#167804,#167805,#139836,.F.); #211163=EDGE_CURVE('',#167806,#167804,#139837,.F.); #211164=EDGE_CURVE('',#167805,#167806,#139838,.F.); #211165=EDGE_CURVE('',#167807,#167808,#139839,.F.); #211166=EDGE_CURVE('',#167809,#167807,#139840,.F.); #211167=EDGE_CURVE('',#167808,#167809,#139841,.F.); #211168=EDGE_CURVE('',#167795,#167809,#93131,.T.); #211169=EDGE_CURVE('',#167807,#167797,#93132,.T.); #211170=EDGE_CURVE('',#167798,#167808,#93133,.T.); #211171=EDGE_CURVE('',#167809,#167800,#93134,.T.); #211172=EDGE_CURVE('',#167810,#167811,#139842,.F.); #211173=EDGE_CURVE('',#167812,#167810,#139843,.F.); #211174=EDGE_CURVE('',#167811,#167812,#139844,.F.); #211175=EDGE_CURVE('',#167810,#167802,#93135,.T.); #211176=EDGE_CURVE('',#167803,#167812,#93136,.T.); #211177=EDGE_CURVE('',#167808,#167811,#93137,.T.); #211178=EDGE_CURVE('',#167812,#167807,#93138,.T.); #211179=EDGE_CURVE('',#167804,#167810,#93139,.T.); #211180=EDGE_CURVE('',#167811,#167806,#93140,.T.); #211181=EDGE_CURVE('',#167799,#167805,#93141,.T.); #211182=EDGE_CURVE('',#167806,#167798,#93142,.T.); #211183=EDGE_CURVE('',#167805,#167793,#93143,.T.); #211184=EDGE_CURVE('',#167794,#167804,#93144,.T.); #211185=EDGE_CURVE('',#167792,#167801,#93145,.T.); #211186=EDGE_CURVE('',#167802,#167794,#93146,.T.); #211187=EDGE_CURVE('',#167790,#167799,#93147,.T.); #211188=EDGE_CURVE('',#167800,#167789,#93148,.T.); #211189=EDGE_CURVE('',#167789,#167795,#93149,.T.); #211190=EDGE_CURVE('',#167796,#167791,#93150,.T.); #211191=EDGE_CURVE('',#167793,#167790,#93151,.T.); #211192=EDGE_CURVE('',#167791,#167792,#93152,.T.); #211193=EDGE_CURVE('',#167813,#167814,#93153,.T.); #211194=EDGE_CURVE('',#167813,#167815,#93154,.T.); #211195=EDGE_CURVE('',#167816,#167815,#93155,.T.); #211196=EDGE_CURVE('',#167814,#167816,#93156,.T.); #211197=EDGE_CURVE('',#167817,#167814,#93157,.T.); #211198=EDGE_CURVE('',#167818,#167816,#93158,.T.); #211199=EDGE_CURVE('',#167817,#167818,#93159,.T.); #211200=EDGE_CURVE('',#167819,#167817,#93160,.T.); #211201=EDGE_CURVE('',#167820,#167818,#93161,.T.); #211202=EDGE_CURVE('',#167819,#167820,#93162,.T.); #211203=EDGE_CURVE('',#167813,#167819,#93163,.T.); #211204=EDGE_CURVE('',#167815,#167820,#93164,.T.); #211205=EDGE_CURVE('',#167821,#167822,#139845,.F.); #211206=EDGE_CURVE('',#167823,#167821,#139846,.F.); #211207=EDGE_CURVE('',#167822,#167823,#139847,.F.); #211208=EDGE_CURVE('',#167824,#167825,#139848,.F.); #211209=EDGE_CURVE('',#167826,#167824,#139849,.F.); #211210=EDGE_CURVE('',#167825,#167826,#139850,.F.); #211211=EDGE_CURVE('',#167827,#167828,#139851,.F.); #211212=EDGE_CURVE('',#167829,#167827,#139852,.F.); #211213=EDGE_CURVE('',#167828,#167829,#139853,.F.); #211214=EDGE_CURVE('',#167830,#167831,#139854,.F.); #211215=EDGE_CURVE('',#167832,#167830,#139855,.F.); #211216=EDGE_CURVE('',#167831,#167832,#139856,.F.); #211217=EDGE_CURVE('',#167833,#167834,#139857,.F.); #211218=EDGE_CURVE('',#167835,#167833,#139858,.F.); #211219=EDGE_CURVE('',#167834,#167835,#139859,.F.); #211220=EDGE_CURVE('',#167833,#167828,#93165,.T.); #211221=EDGE_CURVE('',#167829,#167835,#93166,.T.); #211222=EDGE_CURVE('',#167836,#167837,#139860,.F.); #211223=EDGE_CURVE('',#167838,#167836,#139861,.F.); #211224=EDGE_CURVE('',#167837,#167838,#139862,.F.); #211225=EDGE_CURVE('',#167839,#167840,#139863,.F.); #211226=EDGE_CURVE('',#167841,#167839,#139864,.F.); #211227=EDGE_CURVE('',#167840,#167841,#139865,.F.); #211228=EDGE_CURVE('',#167827,#167841,#93167,.T.); #211229=EDGE_CURVE('',#167839,#167829,#93168,.T.); #211230=EDGE_CURVE('',#167830,#167840,#93169,.T.); #211231=EDGE_CURVE('',#167841,#167832,#93170,.T.); #211232=EDGE_CURVE('',#167842,#167843,#139866,.F.); #211233=EDGE_CURVE('',#167844,#167842,#139867,.F.); #211234=EDGE_CURVE('',#167843,#167844,#139868,.F.); #211235=EDGE_CURVE('',#167835,#167844,#93171,.T.); #211236=EDGE_CURVE('',#167842,#167834,#93172,.T.); #211237=EDGE_CURVE('',#167840,#167843,#93173,.T.); #211238=EDGE_CURVE('',#167844,#167839,#93174,.T.); #211239=EDGE_CURVE('',#167836,#167842,#93175,.T.); #211240=EDGE_CURVE('',#167843,#167838,#93176,.T.); #211241=EDGE_CURVE('',#167831,#167837,#93177,.T.); #211242=EDGE_CURVE('',#167838,#167830,#93178,.T.); #211243=EDGE_CURVE('',#167837,#167825,#93179,.T.); #211244=EDGE_CURVE('',#167826,#167836,#93180,.T.); #211245=EDGE_CURVE('',#167824,#167833,#93181,.T.); #211246=EDGE_CURVE('',#167834,#167826,#93182,.T.); #211247=EDGE_CURVE('',#167822,#167831,#93183,.T.); #211248=EDGE_CURVE('',#167832,#167821,#93184,.T.); #211249=EDGE_CURVE('',#167821,#167827,#93185,.T.); #211250=EDGE_CURVE('',#167828,#167823,#93186,.T.); #211251=EDGE_CURVE('',#167825,#167822,#93187,.T.); #211252=EDGE_CURVE('',#167823,#167824,#93188,.T.); #211253=EDGE_CURVE('',#167845,#167846,#139869,.F.); #211254=EDGE_CURVE('',#167847,#167845,#139870,.F.); #211255=EDGE_CURVE('',#167846,#167847,#139871,.F.); #211256=EDGE_CURVE('',#167848,#167849,#139872,.F.); #211257=EDGE_CURVE('',#167850,#167848,#139873,.F.); #211258=EDGE_CURVE('',#167849,#167850,#139874,.F.); #211259=EDGE_CURVE('',#167851,#167852,#139875,.F.); #211260=EDGE_CURVE('',#167853,#167851,#139876,.F.); #211261=EDGE_CURVE('',#167852,#167853,#139877,.F.); #211262=EDGE_CURVE('',#167854,#167855,#139878,.F.); #211263=EDGE_CURVE('',#167856,#167854,#139879,.F.); #211264=EDGE_CURVE('',#167855,#167856,#139880,.F.); #211265=EDGE_CURVE('',#167857,#167858,#139881,.F.); #211266=EDGE_CURVE('',#167859,#167857,#139882,.F.); #211267=EDGE_CURVE('',#167858,#167859,#139883,.F.); #211268=EDGE_CURVE('',#167857,#167852,#93189,.T.); #211269=EDGE_CURVE('',#167853,#167859,#93190,.T.); #211270=EDGE_CURVE('',#167860,#167861,#139884,.F.); #211271=EDGE_CURVE('',#167862,#167860,#139885,.F.); #211272=EDGE_CURVE('',#167861,#167862,#139886,.F.); #211273=EDGE_CURVE('',#167863,#167864,#139887,.F.); #211274=EDGE_CURVE('',#167865,#167863,#139888,.F.); #211275=EDGE_CURVE('',#167864,#167865,#139889,.F.); #211276=EDGE_CURVE('',#167851,#167865,#93191,.T.); #211277=EDGE_CURVE('',#167863,#167853,#93192,.T.); #211278=EDGE_CURVE('',#167854,#167864,#93193,.T.); #211279=EDGE_CURVE('',#167865,#167856,#93194,.T.); #211280=EDGE_CURVE('',#167866,#167867,#139890,.F.); #211281=EDGE_CURVE('',#167868,#167866,#139891,.F.); #211282=EDGE_CURVE('',#167867,#167868,#139892,.F.); #211283=EDGE_CURVE('',#167866,#167858,#93195,.T.); #211284=EDGE_CURVE('',#167859,#167868,#93196,.T.); #211285=EDGE_CURVE('',#167864,#167867,#93197,.T.); #211286=EDGE_CURVE('',#167868,#167863,#93198,.T.); #211287=EDGE_CURVE('',#167860,#167866,#93199,.T.); #211288=EDGE_CURVE('',#167867,#167862,#93200,.T.); #211289=EDGE_CURVE('',#167855,#167861,#93201,.T.); #211290=EDGE_CURVE('',#167862,#167854,#93202,.T.); #211291=EDGE_CURVE('',#167861,#167849,#93203,.T.); #211292=EDGE_CURVE('',#167850,#167860,#93204,.T.); #211293=EDGE_CURVE('',#167848,#167857,#93205,.T.); #211294=EDGE_CURVE('',#167858,#167850,#93206,.T.); #211295=EDGE_CURVE('',#167846,#167855,#93207,.T.); #211296=EDGE_CURVE('',#167856,#167845,#93208,.T.); #211297=EDGE_CURVE('',#167845,#167851,#93209,.T.); #211298=EDGE_CURVE('',#167852,#167847,#93210,.T.); #211299=EDGE_CURVE('',#167849,#167846,#93211,.T.); #211300=EDGE_CURVE('',#167847,#167848,#93212,.T.); #211301=EDGE_CURVE('',#167869,#167870,#93213,.T.); #211302=EDGE_CURVE('',#167869,#167871,#93214,.T.); #211303=EDGE_CURVE('',#167872,#167871,#93215,.T.); #211304=EDGE_CURVE('',#167870,#167872,#93216,.T.); #211305=EDGE_CURVE('',#167873,#167870,#93217,.T.); #211306=EDGE_CURVE('',#167874,#167872,#93218,.T.); #211307=EDGE_CURVE('',#167873,#167874,#93219,.T.); #211308=EDGE_CURVE('',#167875,#167873,#93220,.T.); #211309=EDGE_CURVE('',#167876,#167874,#93221,.T.); #211310=EDGE_CURVE('',#167875,#167876,#93222,.T.); #211311=EDGE_CURVE('',#167869,#167875,#93223,.T.); #211312=EDGE_CURVE('',#167871,#167876,#93224,.T.); #211313=EDGE_CURVE('',#167877,#167877,#139893,.T.); #211314=EDGE_CURVE('',#167877,#167878,#93225,.T.); #211315=EDGE_CURVE('',#167878,#167878,#139894,.T.); #211316=EDGE_CURVE('',#167879,#167879,#139895,.T.); #211317=EDGE_CURVE('',#167880,#167880,#139896,.T.); #211318=EDGE_CURVE('',#167880,#167879,#139897,.T.); #211319=EDGE_CURVE('',#167881,#167881,#139898,.T.); #211320=EDGE_CURVE('',#167881,#167880,#93226,.T.); #211321=EDGE_CURVE('',#167882,#167882,#139899,.T.); #211322=EDGE_CURVE('',#167882,#167881,#139900,.T.); #211323=EDGE_CURVE('',#167882,#167883,#139901,.T.); #211324=EDGE_CURVE('',#167883,#167883,#139902,.T.); #211325=EDGE_CURVE('',#167884,#167884,#139903,.T.); #211326=EDGE_CURVE('',#167884,#167883,#139904,.T.); #211327=EDGE_CURVE('',#167885,#167885,#139905,.T.); #211328=EDGE_CURVE('',#167885,#167884,#93227,.T.); #211329=EDGE_CURVE('',#167886,#167886,#139906,.T.); #211330=EDGE_CURVE('',#167886,#167885,#139907,.T.); #211331=EDGE_CURVE('',#167887,#167888,#139908,.T.); #211332=EDGE_CURVE('',#167888,#167889,#139909,.T.); #211333=EDGE_CURVE('',#167889,#167890,#139910,.T.); #211334=EDGE_CURVE('',#167890,#167891,#93228,.T.); #211335=EDGE_CURVE('',#167891,#167892,#139911,.T.); #211336=EDGE_CURVE('',#167892,#167893,#93229,.T.); #211337=EDGE_CURVE('',#167893,#167894,#139912,.T.); #211338=EDGE_CURVE('',#167894,#167895,#93230,.T.); #211339=EDGE_CURVE('',#167895,#167896,#139913,.T.); #211340=EDGE_CURVE('',#167896,#167897,#139914,.T.); #211341=EDGE_CURVE('',#167897,#167898,#139915,.T.); #211342=EDGE_CURVE('',#167898,#167899,#93231,.T.); #211343=EDGE_CURVE('',#167899,#167900,#139916,.T.); #211344=EDGE_CURVE('',#167900,#167901,#93232,.T.); #211345=EDGE_CURVE('',#167901,#167902,#139917,.T.); #211346=EDGE_CURVE('',#167902,#167887,#93233,.T.); #211347=EDGE_CURVE('',#167903,#167888,#139918,.T.); #211348=EDGE_CURVE('',#167904,#167903,#139919,.T.); #211349=EDGE_CURVE('',#167889,#167904,#139920,.T.); #211350=EDGE_CURVE('',#167905,#167904,#139921,.T.); #211351=EDGE_CURVE('',#167890,#167905,#139922,.T.); #211352=EDGE_CURVE('',#167906,#167905,#93234,.T.); #211353=EDGE_CURVE('',#167891,#167906,#139923,.T.); #211354=EDGE_CURVE('',#167907,#167906,#139924,.T.); #211355=EDGE_CURVE('',#167892,#167907,#139925,.T.); #211356=EDGE_CURVE('',#167908,#167907,#93235,.T.); #211357=EDGE_CURVE('',#167893,#167908,#139926,.T.); #211358=EDGE_CURVE('',#167909,#167908,#139927,.T.); #211359=EDGE_CURVE('',#167894,#167909,#139928,.T.); #211360=EDGE_CURVE('',#167910,#167909,#93236,.T.); #211361=EDGE_CURVE('',#167895,#167910,#139929,.T.); #211362=EDGE_CURVE('',#167911,#167910,#139930,.T.); #211363=EDGE_CURVE('',#167896,#167911,#139931,.T.); #211364=EDGE_CURVE('',#167912,#167911,#139932,.T.); #211365=EDGE_CURVE('',#167897,#167912,#139933,.T.); #211366=EDGE_CURVE('',#167913,#167912,#139934,.T.); #211367=EDGE_CURVE('',#167898,#167913,#139935,.T.); #211368=EDGE_CURVE('',#167914,#167913,#93237,.T.); #211369=EDGE_CURVE('',#167899,#167914,#139936,.T.); #211370=EDGE_CURVE('',#167915,#167914,#139937,.T.); #211371=EDGE_CURVE('',#167900,#167915,#139938,.T.); #211372=EDGE_CURVE('',#167916,#167915,#93238,.T.); #211373=EDGE_CURVE('',#167901,#167916,#139939,.T.); #211374=EDGE_CURVE('',#167917,#167916,#139940,.T.); #211375=EDGE_CURVE('',#167902,#167917,#139941,.T.); #211376=EDGE_CURVE('',#167918,#167917,#93239,.T.); #211377=EDGE_CURVE('',#167887,#167918,#139942,.T.); #211378=EDGE_CURVE('',#167903,#167918,#139943,.T.); #211379=EDGE_CURVE('',#167919,#167919,#139944,.T.); #211380=EDGE_CURVE('',#167919,#167920,#93240,.T.); #211381=EDGE_CURVE('',#167920,#167920,#139945,.T.); #211382=EDGE_CURVE('',#167921,#167921,#139946,.T.); #211383=EDGE_CURVE('',#167921,#167922,#93241,.T.); #211384=EDGE_CURVE('',#167922,#167922,#139947,.T.); #211385=EDGE_CURVE('',#167923,#167924,#93242,.T.); #211386=EDGE_CURVE('',#167924,#167925,#93243,.T.); #211387=EDGE_CURVE('',#167925,#167926,#93244,.T.); #211388=EDGE_CURVE('',#167926,#167923,#93245,.T.); #211389=EDGE_CURVE('',#167927,#167924,#139948,.T.); #211390=EDGE_CURVE('',#167928,#167927,#93246,.T.); #211391=EDGE_CURVE('',#167925,#167928,#139949,.T.); #211392=EDGE_CURVE('',#167929,#167928,#93247,.T.); #211393=EDGE_CURVE('',#167926,#167929,#139950,.T.); #211394=EDGE_CURVE('',#167930,#167929,#93248,.T.); #211395=EDGE_CURVE('',#167923,#167930,#139951,.T.); #211396=EDGE_CURVE('',#167927,#167930,#93249,.T.); #211397=EDGE_CURVE('',#167931,#167932,#93250,.T.); #211398=EDGE_CURVE('',#167932,#167933,#93251,.T.); #211399=EDGE_CURVE('',#167933,#167934,#93252,.T.); #211400=EDGE_CURVE('',#167934,#167931,#93253,.T.); #211401=EDGE_CURVE('',#167935,#167932,#139952,.T.); #211402=EDGE_CURVE('',#167936,#167935,#93254,.T.); #211403=EDGE_CURVE('',#167933,#167936,#139953,.T.); #211404=EDGE_CURVE('',#167937,#167936,#93255,.T.); #211405=EDGE_CURVE('',#167934,#167937,#139954,.T.); #211406=EDGE_CURVE('',#167938,#167937,#93256,.T.); #211407=EDGE_CURVE('',#167931,#167938,#139955,.T.); #211408=EDGE_CURVE('',#167935,#167938,#93257,.T.); #211409=EDGE_CURVE('',#167939,#167940,#93258,.T.); #211410=EDGE_CURVE('',#167940,#167941,#93259,.T.); #211411=EDGE_CURVE('',#167941,#167942,#93260,.T.); #211412=EDGE_CURVE('',#167942,#167939,#93261,.T.); #211413=EDGE_CURVE('',#167943,#167940,#139956,.T.); #211414=EDGE_CURVE('',#167944,#167943,#93262,.T.); #211415=EDGE_CURVE('',#167941,#167944,#139957,.T.); #211416=EDGE_CURVE('',#167945,#167944,#93263,.T.); #211417=EDGE_CURVE('',#167942,#167945,#139958,.T.); #211418=EDGE_CURVE('',#167946,#167945,#93264,.T.); #211419=EDGE_CURVE('',#167939,#167946,#139959,.T.); #211420=EDGE_CURVE('',#167943,#167946,#93265,.T.); #211421=EDGE_CURVE('',#167947,#167948,#93266,.T.); #211422=EDGE_CURVE('',#167948,#167949,#93267,.T.); #211423=EDGE_CURVE('',#167949,#167950,#93268,.T.); #211424=EDGE_CURVE('',#167950,#167947,#93269,.T.); #211425=EDGE_CURVE('',#167951,#167948,#139960,.T.); #211426=EDGE_CURVE('',#167952,#167951,#93270,.T.); #211427=EDGE_CURVE('',#167949,#167952,#139961,.T.); #211428=EDGE_CURVE('',#167953,#167952,#93271,.T.); #211429=EDGE_CURVE('',#167950,#167953,#139962,.T.); #211430=EDGE_CURVE('',#167954,#167953,#93272,.T.); #211431=EDGE_CURVE('',#167947,#167954,#139963,.T.); #211432=EDGE_CURVE('',#167951,#167954,#93273,.T.); #211433=EDGE_CURVE('',#167955,#167956,#93274,.T.); #211434=EDGE_CURVE('',#167956,#167957,#93275,.T.); #211435=EDGE_CURVE('',#167957,#167958,#93276,.T.); #211436=EDGE_CURVE('',#167958,#167955,#93277,.T.); #211437=EDGE_CURVE('',#167959,#167956,#139964,.T.); #211438=EDGE_CURVE('',#167960,#167959,#93278,.T.); #211439=EDGE_CURVE('',#167957,#167960,#139965,.T.); #211440=EDGE_CURVE('',#167961,#167960,#93279,.T.); #211441=EDGE_CURVE('',#167958,#167961,#139966,.T.); #211442=EDGE_CURVE('',#167962,#167961,#93280,.T.); #211443=EDGE_CURVE('',#167955,#167962,#139967,.T.); #211444=EDGE_CURVE('',#167959,#167962,#93281,.T.); #211445=EDGE_CURVE('',#167963,#167964,#93282,.T.); #211446=EDGE_CURVE('',#167964,#167965,#93283,.T.); #211447=EDGE_CURVE('',#167965,#167966,#93284,.T.); #211448=EDGE_CURVE('',#167966,#167963,#93285,.T.); #211449=EDGE_CURVE('',#167967,#167964,#139968,.T.); #211450=EDGE_CURVE('',#167968,#167967,#93286,.T.); #211451=EDGE_CURVE('',#167965,#167968,#139969,.T.); #211452=EDGE_CURVE('',#167969,#167968,#93287,.T.); #211453=EDGE_CURVE('',#167966,#167969,#139970,.T.); #211454=EDGE_CURVE('',#167970,#167969,#93288,.T.); #211455=EDGE_CURVE('',#167963,#167970,#139971,.T.); #211456=EDGE_CURVE('',#167967,#167970,#93289,.T.); #211457=EDGE_CURVE('',#167971,#167972,#93290,.T.); #211458=EDGE_CURVE('',#167972,#167973,#93291,.T.); #211459=EDGE_CURVE('',#167973,#167974,#93292,.T.); #211460=EDGE_CURVE('',#167974,#167971,#93293,.T.); #211461=EDGE_CURVE('',#167975,#167972,#139972,.T.); #211462=EDGE_CURVE('',#167976,#167975,#93294,.T.); #211463=EDGE_CURVE('',#167973,#167976,#139973,.T.); #211464=EDGE_CURVE('',#167977,#167976,#93295,.T.); #211465=EDGE_CURVE('',#167974,#167977,#139974,.T.); #211466=EDGE_CURVE('',#167978,#167977,#93296,.T.); #211467=EDGE_CURVE('',#167971,#167978,#139975,.T.); #211468=EDGE_CURVE('',#167975,#167978,#93297,.T.); #211469=EDGE_CURVE('',#167979,#167980,#93298,.T.); #211470=EDGE_CURVE('',#167980,#167981,#93299,.T.); #211471=EDGE_CURVE('',#167981,#167982,#93300,.T.); #211472=EDGE_CURVE('',#167982,#167979,#93301,.T.); #211473=EDGE_CURVE('',#167983,#167980,#139976,.T.); #211474=EDGE_CURVE('',#167984,#167983,#93302,.T.); #211475=EDGE_CURVE('',#167981,#167984,#139977,.T.); #211476=EDGE_CURVE('',#167985,#167984,#93303,.T.); #211477=EDGE_CURVE('',#167982,#167985,#139978,.T.); #211478=EDGE_CURVE('',#167986,#167985,#93304,.T.); #211479=EDGE_CURVE('',#167979,#167986,#139979,.T.); #211480=EDGE_CURVE('',#167983,#167986,#93305,.T.); #211481=EDGE_CURVE('',#167987,#167988,#93306,.T.); #211482=EDGE_CURVE('',#167988,#167989,#93307,.T.); #211483=EDGE_CURVE('',#167989,#167990,#93308,.T.); #211484=EDGE_CURVE('',#167990,#167987,#93309,.T.); #211485=EDGE_CURVE('',#167991,#167988,#139980,.T.); #211486=EDGE_CURVE('',#167992,#167991,#93310,.T.); #211487=EDGE_CURVE('',#167989,#167992,#139981,.T.); #211488=EDGE_CURVE('',#167993,#167992,#93311,.T.); #211489=EDGE_CURVE('',#167990,#167993,#139982,.T.); #211490=EDGE_CURVE('',#167994,#167993,#93312,.T.); #211491=EDGE_CURVE('',#167987,#167994,#139983,.T.); #211492=EDGE_CURVE('',#167991,#167994,#93313,.T.); #211493=EDGE_CURVE('',#167995,#167995,#139984,.T.); #211494=EDGE_CURVE('',#167995,#167996,#93314,.T.); #211495=EDGE_CURVE('',#167996,#167996,#139985,.T.); #211496=EDGE_CURVE('',#167997,#167998,#93315,.T.); #211497=EDGE_CURVE('',#167998,#167999,#93316,.T.); #211498=EDGE_CURVE('',#167999,#168000,#93317,.T.); #211499=EDGE_CURVE('',#168000,#167997,#93318,.T.); #211500=EDGE_CURVE('',#168001,#167999,#93319,.T.); #211501=EDGE_CURVE('',#167998,#168002,#93320,.T.); #211502=EDGE_CURVE('',#168001,#168002,#93321,.T.); #211503=EDGE_CURVE('',#168003,#167997,#93322,.T.); #211504=EDGE_CURVE('',#168000,#168004,#93323,.T.); #211505=EDGE_CURVE('',#168004,#168003,#93324,.T.); #211506=EDGE_CURVE('',#168002,#168003,#93325,.T.); #211507=EDGE_CURVE('',#168004,#168001,#93326,.T.); #211508=EDGE_CURVE('',#168000,#168005,#93327,.T.); #211509=EDGE_CURVE('',#168006,#168005,#93328,.T.); #211510=EDGE_CURVE('',#168004,#168006,#93329,.T.); #211511=EDGE_CURVE('',#167999,#168007,#93330,.T.); #211512=EDGE_CURVE('',#168005,#168007,#93331,.T.); #211513=EDGE_CURVE('',#168008,#168006,#93332,.T.); #211514=EDGE_CURVE('',#168001,#168008,#93333,.T.); #211515=EDGE_CURVE('',#168007,#168008,#93334,.T.); #211516=EDGE_CURVE('',#168009,#168010,#93335,.T.); #211517=EDGE_CURVE('',#168010,#168011,#93336,.T.); #211518=EDGE_CURVE('',#168012,#168011,#93337,.T.); #211519=EDGE_CURVE('',#168009,#168012,#93338,.T.); #211520=EDGE_CURVE('',#168013,#168009,#93339,.T.); #211521=EDGE_CURVE('',#168014,#168012,#93340,.T.); #211522=EDGE_CURVE('',#168013,#168014,#93341,.T.); #211523=EDGE_CURVE('',#168015,#168013,#93342,.T.); #211524=EDGE_CURVE('',#168016,#168014,#93343,.T.); #211525=EDGE_CURVE('',#168015,#168016,#93344,.T.); #211526=EDGE_CURVE('',#168010,#168015,#93345,.T.); #211527=EDGE_CURVE('',#168011,#168016,#93346,.T.); #211528=EDGE_CURVE('',#168017,#168018,#93347,.T.); #211529=EDGE_CURVE('',#168017,#168019,#93348,.T.); #211530=EDGE_CURVE('',#168020,#168019,#93349,.T.); #211531=EDGE_CURVE('',#168018,#168020,#93350,.T.); #211532=EDGE_CURVE('',#168021,#168018,#93351,.T.); #211533=EDGE_CURVE('',#168022,#168020,#93352,.T.); #211534=EDGE_CURVE('',#168021,#168022,#93353,.T.); #211535=EDGE_CURVE('',#168023,#168021,#139986,.T.); #211536=EDGE_CURVE('',#168024,#168022,#139987,.T.); #211537=EDGE_CURVE('',#168023,#168024,#93354,.T.); #211538=EDGE_CURVE('',#168025,#168023,#93355,.T.); #211539=EDGE_CURVE('',#168026,#168024,#93356,.T.); #211540=EDGE_CURVE('',#168025,#168026,#93357,.T.); #211541=EDGE_CURVE('',#168027,#168025,#139988,.T.); #211542=EDGE_CURVE('',#168028,#168026,#139989,.T.); #211543=EDGE_CURVE('',#168027,#168028,#93358,.T.); #211544=EDGE_CURVE('',#168017,#168027,#93359,.T.); #211545=EDGE_CURVE('',#168019,#168028,#93360,.T.); #211546=EDGE_CURVE('',#168029,#168030,#93361,.T.); #211547=EDGE_CURVE('',#168030,#168031,#93362,.T.); #211548=EDGE_CURVE('',#168031,#168032,#93363,.T.); #211549=EDGE_CURVE('',#168029,#168032,#93364,.T.); #211550=EDGE_CURVE('',#168033,#168030,#93365,.T.); #211551=EDGE_CURVE('',#168033,#168034,#93366,.T.); #211552=EDGE_CURVE('',#168034,#168031,#93367,.T.); #211553=EDGE_CURVE('',#168035,#168033,#139990,.T.); #211554=EDGE_CURVE('',#168035,#168036,#93368,.T.); #211555=EDGE_CURVE('',#168036,#168034,#139991,.T.); #211556=EDGE_CURVE('',#168037,#168035,#93369,.T.); #211557=EDGE_CURVE('',#168037,#168038,#93370,.T.); #211558=EDGE_CURVE('',#168038,#168036,#93371,.T.); #211559=EDGE_CURVE('',#168039,#168037,#139992,.T.); #211560=EDGE_CURVE('',#168039,#168040,#93372,.T.); #211561=EDGE_CURVE('',#168040,#168038,#139993,.T.); #211562=EDGE_CURVE('',#168029,#168039,#93373,.T.); #211563=EDGE_CURVE('',#168032,#168040,#93374,.T.); #211564=EDGE_CURVE('',#168041,#168042,#93375,.T.); #211565=EDGE_CURVE('',#168041,#168043,#93376,.T.); #211566=EDGE_CURVE('',#168044,#168043,#93377,.T.); #211567=EDGE_CURVE('',#168042,#168044,#93378,.T.); #211568=EDGE_CURVE('',#168045,#168042,#93379,.T.); #211569=EDGE_CURVE('',#168046,#168044,#93380,.T.); #211570=EDGE_CURVE('',#168045,#168046,#93381,.T.); #211571=EDGE_CURVE('',#168047,#168045,#139994,.T.); #211572=EDGE_CURVE('',#168048,#168046,#139995,.T.); #211573=EDGE_CURVE('',#168047,#168048,#93382,.T.); #211574=EDGE_CURVE('',#168049,#168047,#93383,.T.); #211575=EDGE_CURVE('',#168050,#168048,#93384,.T.); #211576=EDGE_CURVE('',#168049,#168050,#93385,.T.); #211577=EDGE_CURVE('',#168051,#168049,#139996,.T.); #211578=EDGE_CURVE('',#168052,#168050,#139997,.T.); #211579=EDGE_CURVE('',#168051,#168052,#93386,.T.); #211580=EDGE_CURVE('',#168041,#168051,#93387,.T.); #211581=EDGE_CURVE('',#168043,#168052,#93388,.T.); #211582=EDGE_CURVE('',#168053,#168054,#93389,.T.); #211583=EDGE_CURVE('',#168053,#168055,#93390,.T.); #211584=EDGE_CURVE('',#168056,#168055,#93391,.T.); #211585=EDGE_CURVE('',#168054,#168056,#93392,.T.); #211586=EDGE_CURVE('',#168057,#168054,#93393,.T.); #211587=EDGE_CURVE('',#168058,#168056,#93394,.T.); #211588=EDGE_CURVE('',#168057,#168058,#93395,.T.); #211589=EDGE_CURVE('',#168059,#168057,#139998,.T.); #211590=EDGE_CURVE('',#168060,#168058,#139999,.T.); #211591=EDGE_CURVE('',#168059,#168060,#93396,.T.); #211592=EDGE_CURVE('',#168061,#168059,#93397,.T.); #211593=EDGE_CURVE('',#168062,#168060,#93398,.T.); #211594=EDGE_CURVE('',#168061,#168062,#93399,.T.); #211595=EDGE_CURVE('',#168063,#168061,#140000,.T.); #211596=EDGE_CURVE('',#168064,#168062,#140001,.T.); #211597=EDGE_CURVE('',#168063,#168064,#93400,.T.); #211598=EDGE_CURVE('',#168053,#168063,#93401,.T.); #211599=EDGE_CURVE('',#168055,#168064,#93402,.T.); #211600=EDGE_CURVE('',#168065,#168066,#93403,.T.); #211601=EDGE_CURVE('',#168065,#168067,#93404,.T.); #211602=EDGE_CURVE('',#168068,#168067,#93405,.T.); #211603=EDGE_CURVE('',#168066,#168068,#93406,.T.); #211604=EDGE_CURVE('',#168069,#168066,#93407,.T.); #211605=EDGE_CURVE('',#168070,#168068,#93408,.T.); #211606=EDGE_CURVE('',#168069,#168070,#93409,.T.); #211607=EDGE_CURVE('',#168071,#168069,#140002,.T.); #211608=EDGE_CURVE('',#168072,#168070,#140003,.T.); #211609=EDGE_CURVE('',#168071,#168072,#93410,.T.); #211610=EDGE_CURVE('',#168073,#168071,#93411,.T.); #211611=EDGE_CURVE('',#168074,#168072,#93412,.T.); #211612=EDGE_CURVE('',#168073,#168074,#93413,.T.); #211613=EDGE_CURVE('',#168075,#168073,#140004,.T.); #211614=EDGE_CURVE('',#168076,#168074,#140005,.T.); #211615=EDGE_CURVE('',#168075,#168076,#93414,.T.); #211616=EDGE_CURVE('',#168065,#168075,#93415,.T.); #211617=EDGE_CURVE('',#168067,#168076,#93416,.T.); #211618=EDGE_CURVE('',#168077,#168078,#93417,.T.); #211619=EDGE_CURVE('',#168078,#168079,#93418,.T.); #211620=EDGE_CURVE('',#168079,#168080,#93419,.T.); #211621=EDGE_CURVE('',#168077,#168080,#93420,.T.); #211622=EDGE_CURVE('',#168081,#168078,#93421,.T.); #211623=EDGE_CURVE('',#168081,#168082,#93422,.T.); #211624=EDGE_CURVE('',#168082,#168079,#93423,.T.); #211625=EDGE_CURVE('',#168083,#168081,#140006,.T.); #211626=EDGE_CURVE('',#168083,#168084,#93424,.T.); #211627=EDGE_CURVE('',#168084,#168082,#140007,.T.); #211628=EDGE_CURVE('',#168085,#168083,#93425,.T.); #211629=EDGE_CURVE('',#168085,#168086,#93426,.T.); #211630=EDGE_CURVE('',#168086,#168084,#93427,.T.); #211631=EDGE_CURVE('',#168087,#168085,#140008,.T.); #211632=EDGE_CURVE('',#168087,#168088,#93428,.T.); #211633=EDGE_CURVE('',#168088,#168086,#140009,.T.); #211634=EDGE_CURVE('',#168077,#168087,#93429,.T.); #211635=EDGE_CURVE('',#168080,#168088,#93430,.T.); #211636=EDGE_CURVE('',#168089,#168090,#93431,.T.); #211637=EDGE_CURVE('',#168090,#168091,#93432,.T.); #211638=EDGE_CURVE('',#168091,#168092,#93433,.T.); #211639=EDGE_CURVE('',#168089,#168092,#93434,.T.); #211640=EDGE_CURVE('',#168093,#168090,#93435,.T.); #211641=EDGE_CURVE('',#168093,#168094,#93436,.T.); #211642=EDGE_CURVE('',#168094,#168091,#93437,.T.); #211643=EDGE_CURVE('',#168095,#168093,#140010,.T.); #211644=EDGE_CURVE('',#168095,#168096,#93438,.T.); #211645=EDGE_CURVE('',#168096,#168094,#140011,.T.); #211646=EDGE_CURVE('',#168097,#168095,#93439,.T.); #211647=EDGE_CURVE('',#168097,#168098,#93440,.T.); #211648=EDGE_CURVE('',#168098,#168096,#93441,.T.); #211649=EDGE_CURVE('',#168099,#168097,#140012,.T.); #211650=EDGE_CURVE('',#168099,#168100,#93442,.T.); #211651=EDGE_CURVE('',#168100,#168098,#140013,.T.); #211652=EDGE_CURVE('',#168089,#168099,#93443,.T.); #211653=EDGE_CURVE('',#168092,#168100,#93444,.T.); #211654=EDGE_CURVE('',#168101,#168102,#93445,.T.); #211655=EDGE_CURVE('',#168102,#168103,#93446,.T.); #211656=EDGE_CURVE('',#168103,#168104,#93447,.T.); #211657=EDGE_CURVE('',#168101,#168104,#93448,.T.); #211658=EDGE_CURVE('',#168105,#168102,#93449,.T.); #211659=EDGE_CURVE('',#168105,#168106,#93450,.T.); #211660=EDGE_CURVE('',#168106,#168103,#93451,.T.); #211661=EDGE_CURVE('',#168107,#168105,#140014,.T.); #211662=EDGE_CURVE('',#168107,#168108,#93452,.T.); #211663=EDGE_CURVE('',#168108,#168106,#140015,.T.); #211664=EDGE_CURVE('',#168109,#168107,#93453,.T.); #211665=EDGE_CURVE('',#168109,#168110,#93454,.T.); #211666=EDGE_CURVE('',#168110,#168108,#93455,.T.); #211667=EDGE_CURVE('',#168111,#168109,#140016,.T.); #211668=EDGE_CURVE('',#168111,#168112,#93456,.T.); #211669=EDGE_CURVE('',#168112,#168110,#140017,.T.); #211670=EDGE_CURVE('',#168101,#168111,#93457,.T.); #211671=EDGE_CURVE('',#168104,#168112,#93458,.T.); #211672=EDGE_CURVE('',#168113,#168114,#93459,.T.); #211673=EDGE_CURVE('',#168113,#168115,#93460,.T.); #211674=EDGE_CURVE('',#168115,#168116,#93461,.T.); #211675=EDGE_CURVE('',#168114,#168116,#93462,.T.); #211676=EDGE_CURVE('',#168117,#168113,#93463,.T.); #211677=EDGE_CURVE('',#168117,#168118,#93464,.T.); #211678=EDGE_CURVE('',#168118,#168115,#93465,.T.); #211679=EDGE_CURVE('',#168119,#168117,#93466,.T.); #211680=EDGE_CURVE('',#168119,#168120,#93467,.T.); #211681=EDGE_CURVE('',#168120,#168118,#93468,.T.); #211682=EDGE_CURVE('',#168114,#168119,#93469,.T.); #211683=EDGE_CURVE('',#168116,#168120,#93470,.T.); #211684=EDGE_CURVE('',#168121,#168122,#93471,.T.); #211685=EDGE_CURVE('',#168122,#168123,#93472,.T.); #211686=EDGE_CURVE('',#168124,#168123,#93473,.T.); #211687=EDGE_CURVE('',#168121,#168124,#93474,.T.); #211688=EDGE_CURVE('',#168125,#168121,#93475,.T.); #211689=EDGE_CURVE('',#168126,#168124,#93476,.T.); #211690=EDGE_CURVE('',#168125,#168126,#93477,.T.); #211691=EDGE_CURVE('',#168127,#168125,#93478,.T.); #211692=EDGE_CURVE('',#168128,#168126,#93479,.T.); #211693=EDGE_CURVE('',#168127,#168128,#93480,.T.); #211694=EDGE_CURVE('',#168122,#168127,#93481,.T.); #211695=EDGE_CURVE('',#168123,#168128,#93482,.T.); #211696=EDGE_CURVE('',#168129,#168130,#93483,.T.); #211697=EDGE_CURVE('',#168130,#168131,#93484,.T.); #211698=EDGE_CURVE('',#168132,#168131,#93485,.T.); #211699=EDGE_CURVE('',#168129,#168132,#93486,.T.); #211700=EDGE_CURVE('',#168133,#168129,#93487,.T.); #211701=EDGE_CURVE('',#168134,#168132,#93488,.T.); #211702=EDGE_CURVE('',#168133,#168134,#93489,.T.); #211703=EDGE_CURVE('',#168135,#168133,#93490,.T.); #211704=EDGE_CURVE('',#168136,#168134,#93491,.T.); #211705=EDGE_CURVE('',#168135,#168136,#93492,.T.); #211706=EDGE_CURVE('',#168130,#168135,#93493,.T.); #211707=EDGE_CURVE('',#168131,#168136,#93494,.T.); #211708=EDGE_CURVE('',#168137,#168138,#93495,.T.); #211709=EDGE_CURVE('',#168138,#168139,#93496,.T.); #211710=EDGE_CURVE('',#168140,#168139,#93497,.T.); #211711=EDGE_CURVE('',#168137,#168140,#93498,.T.); #211712=EDGE_CURVE('',#168141,#168137,#93499,.T.); #211713=EDGE_CURVE('',#168142,#168140,#93500,.T.); #211714=EDGE_CURVE('',#168141,#168142,#93501,.T.); #211715=EDGE_CURVE('',#168143,#168141,#93502,.T.); #211716=EDGE_CURVE('',#168144,#168142,#93503,.T.); #211717=EDGE_CURVE('',#168143,#168144,#93504,.T.); #211718=EDGE_CURVE('',#168138,#168143,#93505,.T.); #211719=EDGE_CURVE('',#168139,#168144,#93506,.T.); #211720=EDGE_CURVE('',#168145,#168146,#93507,.T.); #211721=EDGE_CURVE('',#168145,#168147,#93508,.T.); #211722=EDGE_CURVE('',#168147,#168148,#93509,.T.); #211723=EDGE_CURVE('',#168146,#168148,#93510,.T.); #211724=EDGE_CURVE('',#168149,#168145,#93511,.T.); #211725=EDGE_CURVE('',#168149,#168150,#93512,.T.); #211726=EDGE_CURVE('',#168150,#168147,#93513,.T.); #211727=EDGE_CURVE('',#168151,#168149,#93514,.T.); #211728=EDGE_CURVE('',#168151,#168152,#93515,.T.); #211729=EDGE_CURVE('',#168152,#168150,#93516,.T.); #211730=EDGE_CURVE('',#168146,#168151,#93517,.T.); #211731=EDGE_CURVE('',#168148,#168152,#93518,.T.); #211732=EDGE_CURVE('',#168153,#168154,#93519,.T.); #211733=EDGE_CURVE('',#168153,#168155,#93520,.T.); #211734=EDGE_CURVE('',#168155,#168156,#93521,.T.); #211735=EDGE_CURVE('',#168154,#168156,#93522,.T.); #211736=EDGE_CURVE('',#168157,#168153,#93523,.T.); #211737=EDGE_CURVE('',#168157,#168158,#93524,.T.); #211738=EDGE_CURVE('',#168158,#168155,#93525,.T.); #211739=EDGE_CURVE('',#168159,#168157,#93526,.T.); #211740=EDGE_CURVE('',#168159,#168160,#93527,.T.); #211741=EDGE_CURVE('',#168160,#168158,#93528,.T.); #211742=EDGE_CURVE('',#168154,#168159,#93529,.T.); #211743=EDGE_CURVE('',#168156,#168160,#93530,.T.); #211744=EDGE_CURVE('',#168161,#168162,#93531,.T.); #211745=EDGE_CURVE('',#168161,#168163,#93532,.T.); #211746=EDGE_CURVE('',#168163,#168164,#93533,.T.); #211747=EDGE_CURVE('',#168162,#168164,#93534,.T.); #211748=EDGE_CURVE('',#168165,#168161,#93535,.T.); #211749=EDGE_CURVE('',#168165,#168166,#93536,.T.); #211750=EDGE_CURVE('',#168166,#168163,#93537,.T.); #211751=EDGE_CURVE('',#168167,#168165,#93538,.T.); #211752=EDGE_CURVE('',#168167,#168168,#93539,.T.); #211753=EDGE_CURVE('',#168168,#168166,#93540,.T.); #211754=EDGE_CURVE('',#168162,#168167,#93541,.T.); #211755=EDGE_CURVE('',#168164,#168168,#93542,.T.); #211756=EDGE_CURVE('',#168169,#168170,#93543,.T.); #211757=EDGE_CURVE('',#168170,#168171,#93544,.T.); #211758=EDGE_CURVE('',#168172,#168171,#93545,.T.); #211759=EDGE_CURVE('',#168169,#168172,#93546,.T.); #211760=EDGE_CURVE('',#168173,#168169,#93547,.T.); #211761=EDGE_CURVE('',#168174,#168172,#93548,.T.); #211762=EDGE_CURVE('',#168173,#168174,#93549,.T.); #211763=EDGE_CURVE('',#168175,#168173,#93550,.T.); #211764=EDGE_CURVE('',#168176,#168174,#93551,.T.); #211765=EDGE_CURVE('',#168175,#168176,#93552,.T.); #211766=EDGE_CURVE('',#168170,#168175,#93553,.T.); #211767=EDGE_CURVE('',#168171,#168176,#93554,.T.); #211768=EDGE_CURVE('',#168177,#168177,#140018,.T.); #211769=EDGE_CURVE('',#168177,#168178,#93555,.T.); #211770=EDGE_CURVE('',#168178,#168178,#140019,.T.); #211771=EDGE_CURVE('',#168179,#168180,#93556,.T.); #211772=EDGE_CURVE('',#168180,#168181,#93557,.T.); #211773=EDGE_CURVE('',#168181,#168182,#93558,.T.); #211774=EDGE_CURVE('',#168182,#168179,#93559,.T.); #211775=EDGE_CURVE('',#168183,#168181,#93560,.T.); #211776=EDGE_CURVE('',#168180,#168184,#93561,.T.); #211777=EDGE_CURVE('',#168183,#168184,#93562,.T.); #211778=EDGE_CURVE('',#168185,#168179,#93563,.T.); #211779=EDGE_CURVE('',#168182,#168186,#93564,.T.); #211780=EDGE_CURVE('',#168186,#168185,#93565,.T.); #211781=EDGE_CURVE('',#168184,#168185,#93566,.T.); #211782=EDGE_CURVE('',#168186,#168183,#93567,.T.); #211783=EDGE_CURVE('',#168182,#168187,#93568,.T.); #211784=EDGE_CURVE('',#168188,#168187,#93569,.T.); #211785=EDGE_CURVE('',#168186,#168188,#93570,.T.); #211786=EDGE_CURVE('',#168181,#168189,#93571,.T.); #211787=EDGE_CURVE('',#168187,#168189,#93572,.T.); #211788=EDGE_CURVE('',#168190,#168188,#93573,.T.); #211789=EDGE_CURVE('',#168183,#168190,#93574,.T.); #211790=EDGE_CURVE('',#168189,#168190,#93575,.T.); #211791=EDGE_CURVE('',#168191,#168192,#93576,.T.); #211792=EDGE_CURVE('',#168192,#168193,#93577,.T.); #211793=EDGE_CURVE('',#168194,#168193,#93578,.T.); #211794=EDGE_CURVE('',#168191,#168194,#93579,.T.); #211795=EDGE_CURVE('',#168195,#168191,#93580,.T.); #211796=EDGE_CURVE('',#168196,#168194,#93581,.T.); #211797=EDGE_CURVE('',#168195,#168196,#93582,.T.); #211798=EDGE_CURVE('',#168197,#168195,#93583,.T.); #211799=EDGE_CURVE('',#168198,#168196,#93584,.T.); #211800=EDGE_CURVE('',#168197,#168198,#93585,.T.); #211801=EDGE_CURVE('',#168192,#168197,#93586,.T.); #211802=EDGE_CURVE('',#168193,#168198,#93587,.T.); #211803=EDGE_CURVE('',#168199,#168200,#93588,.T.); #211804=EDGE_CURVE('',#168199,#168201,#93589,.T.); #211805=EDGE_CURVE('',#168202,#168201,#93590,.T.); #211806=EDGE_CURVE('',#168200,#168202,#93591,.T.); #211807=EDGE_CURVE('',#168203,#168200,#93592,.T.); #211808=EDGE_CURVE('',#168204,#168202,#93593,.T.); #211809=EDGE_CURVE('',#168203,#168204,#93594,.T.); #211810=EDGE_CURVE('',#168205,#168203,#140020,.T.); #211811=EDGE_CURVE('',#168206,#168204,#140021,.T.); #211812=EDGE_CURVE('',#168205,#168206,#93595,.T.); #211813=EDGE_CURVE('',#168207,#168205,#93596,.T.); #211814=EDGE_CURVE('',#168208,#168206,#93597,.T.); #211815=EDGE_CURVE('',#168207,#168208,#93598,.T.); #211816=EDGE_CURVE('',#168209,#168207,#140022,.T.); #211817=EDGE_CURVE('',#168210,#168208,#140023,.T.); #211818=EDGE_CURVE('',#168209,#168210,#93599,.T.); #211819=EDGE_CURVE('',#168199,#168209,#93600,.T.); #211820=EDGE_CURVE('',#168201,#168210,#93601,.T.); #211821=EDGE_CURVE('',#168211,#168212,#93602,.T.); #211822=EDGE_CURVE('',#168212,#168213,#93603,.T.); #211823=EDGE_CURVE('',#168213,#168214,#93604,.T.); #211824=EDGE_CURVE('',#168211,#168214,#93605,.T.); #211825=EDGE_CURVE('',#168215,#168212,#93606,.T.); #211826=EDGE_CURVE('',#168215,#168216,#93607,.T.); #211827=EDGE_CURVE('',#168216,#168213,#93608,.T.); #211828=EDGE_CURVE('',#168217,#168215,#140024,.T.); #211829=EDGE_CURVE('',#168217,#168218,#93609,.T.); #211830=EDGE_CURVE('',#168218,#168216,#140025,.T.); #211831=EDGE_CURVE('',#168219,#168217,#93610,.T.); #211832=EDGE_CURVE('',#168219,#168220,#93611,.T.); #211833=EDGE_CURVE('',#168220,#168218,#93612,.T.); #211834=EDGE_CURVE('',#168221,#168219,#140026,.T.); #211835=EDGE_CURVE('',#168221,#168222,#93613,.T.); #211836=EDGE_CURVE('',#168222,#168220,#140027,.T.); #211837=EDGE_CURVE('',#168211,#168221,#93614,.T.); #211838=EDGE_CURVE('',#168214,#168222,#93615,.T.); #211839=EDGE_CURVE('',#168223,#168224,#93616,.T.); #211840=EDGE_CURVE('',#168223,#168225,#93617,.T.); #211841=EDGE_CURVE('',#168226,#168225,#93618,.T.); #211842=EDGE_CURVE('',#168224,#168226,#93619,.T.); #211843=EDGE_CURVE('',#168227,#168224,#93620,.T.); #211844=EDGE_CURVE('',#168228,#168226,#93621,.T.); #211845=EDGE_CURVE('',#168227,#168228,#93622,.T.); #211846=EDGE_CURVE('',#168229,#168227,#140028,.T.); #211847=EDGE_CURVE('',#168230,#168228,#140029,.T.); #211848=EDGE_CURVE('',#168229,#168230,#93623,.T.); #211849=EDGE_CURVE('',#168231,#168229,#93624,.T.); #211850=EDGE_CURVE('',#168232,#168230,#93625,.T.); #211851=EDGE_CURVE('',#168231,#168232,#93626,.T.); #211852=EDGE_CURVE('',#168233,#168231,#140030,.T.); #211853=EDGE_CURVE('',#168234,#168232,#140031,.T.); #211854=EDGE_CURVE('',#168233,#168234,#93627,.T.); #211855=EDGE_CURVE('',#168223,#168233,#93628,.T.); #211856=EDGE_CURVE('',#168225,#168234,#93629,.T.); #211857=EDGE_CURVE('',#168235,#168236,#93630,.T.); #211858=EDGE_CURVE('',#168235,#168237,#93631,.T.); #211859=EDGE_CURVE('',#168238,#168237,#93632,.T.); #211860=EDGE_CURVE('',#168236,#168238,#93633,.T.); #211861=EDGE_CURVE('',#168239,#168236,#93634,.T.); #211862=EDGE_CURVE('',#168240,#168238,#93635,.T.); #211863=EDGE_CURVE('',#168239,#168240,#93636,.T.); #211864=EDGE_CURVE('',#168241,#168239,#140032,.T.); #211865=EDGE_CURVE('',#168242,#168240,#140033,.T.); #211866=EDGE_CURVE('',#168241,#168242,#93637,.T.); #211867=EDGE_CURVE('',#168243,#168241,#93638,.T.); #211868=EDGE_CURVE('',#168244,#168242,#93639,.T.); #211869=EDGE_CURVE('',#168243,#168244,#93640,.T.); #211870=EDGE_CURVE('',#168245,#168243,#140034,.T.); #211871=EDGE_CURVE('',#168246,#168244,#140035,.T.); #211872=EDGE_CURVE('',#168245,#168246,#93641,.T.); #211873=EDGE_CURVE('',#168235,#168245,#93642,.T.); #211874=EDGE_CURVE('',#168237,#168246,#93643,.T.); #211875=EDGE_CURVE('',#168247,#168248,#93644,.T.); #211876=EDGE_CURVE('',#168247,#168249,#93645,.T.); #211877=EDGE_CURVE('',#168250,#168249,#93646,.T.); #211878=EDGE_CURVE('',#168248,#168250,#93647,.T.); #211879=EDGE_CURVE('',#168251,#168248,#93648,.T.); #211880=EDGE_CURVE('',#168252,#168250,#93649,.T.); #211881=EDGE_CURVE('',#168251,#168252,#93650,.T.); #211882=EDGE_CURVE('',#168253,#168251,#140036,.T.); #211883=EDGE_CURVE('',#168254,#168252,#140037,.T.); #211884=EDGE_CURVE('',#168253,#168254,#93651,.T.); #211885=EDGE_CURVE('',#168255,#168253,#93652,.T.); #211886=EDGE_CURVE('',#168256,#168254,#93653,.T.); #211887=EDGE_CURVE('',#168255,#168256,#93654,.T.); #211888=EDGE_CURVE('',#168257,#168255,#140038,.T.); #211889=EDGE_CURVE('',#168258,#168256,#140039,.T.); #211890=EDGE_CURVE('',#168257,#168258,#93655,.T.); #211891=EDGE_CURVE('',#168247,#168257,#93656,.T.); #211892=EDGE_CURVE('',#168249,#168258,#93657,.T.); #211893=EDGE_CURVE('',#168259,#168260,#93658,.T.); #211894=EDGE_CURVE('',#168260,#168261,#93659,.T.); #211895=EDGE_CURVE('',#168261,#168262,#93660,.T.); #211896=EDGE_CURVE('',#168259,#168262,#93661,.T.); #211897=EDGE_CURVE('',#168263,#168260,#93662,.T.); #211898=EDGE_CURVE('',#168263,#168264,#93663,.T.); #211899=EDGE_CURVE('',#168264,#168261,#93664,.T.); #211900=EDGE_CURVE('',#168265,#168263,#140040,.T.); #211901=EDGE_CURVE('',#168265,#168266,#93665,.T.); #211902=EDGE_CURVE('',#168266,#168264,#140041,.T.); #211903=EDGE_CURVE('',#168267,#168265,#93666,.T.); #211904=EDGE_CURVE('',#168267,#168268,#93667,.T.); #211905=EDGE_CURVE('',#168268,#168266,#93668,.T.); #211906=EDGE_CURVE('',#168269,#168267,#140042,.T.); #211907=EDGE_CURVE('',#168269,#168270,#93669,.T.); #211908=EDGE_CURVE('',#168270,#168268,#140043,.T.); #211909=EDGE_CURVE('',#168259,#168269,#93670,.T.); #211910=EDGE_CURVE('',#168262,#168270,#93671,.T.); #211911=EDGE_CURVE('',#168271,#168272,#93672,.T.); #211912=EDGE_CURVE('',#168272,#168273,#93673,.T.); #211913=EDGE_CURVE('',#168273,#168274,#93674,.T.); #211914=EDGE_CURVE('',#168271,#168274,#93675,.T.); #211915=EDGE_CURVE('',#168275,#168272,#93676,.T.); #211916=EDGE_CURVE('',#168275,#168276,#93677,.T.); #211917=EDGE_CURVE('',#168276,#168273,#93678,.T.); #211918=EDGE_CURVE('',#168277,#168275,#140044,.T.); #211919=EDGE_CURVE('',#168277,#168278,#93679,.T.); #211920=EDGE_CURVE('',#168278,#168276,#140045,.T.); #211921=EDGE_CURVE('',#168279,#168277,#93680,.T.); #211922=EDGE_CURVE('',#168279,#168280,#93681,.T.); #211923=EDGE_CURVE('',#168280,#168278,#93682,.T.); #211924=EDGE_CURVE('',#168281,#168279,#140046,.T.); #211925=EDGE_CURVE('',#168281,#168282,#93683,.T.); #211926=EDGE_CURVE('',#168282,#168280,#140047,.T.); #211927=EDGE_CURVE('',#168271,#168281,#93684,.T.); #211928=EDGE_CURVE('',#168274,#168282,#93685,.T.); #211929=EDGE_CURVE('',#168283,#168284,#93686,.T.); #211930=EDGE_CURVE('',#168284,#168285,#93687,.T.); #211931=EDGE_CURVE('',#168285,#168286,#93688,.T.); #211932=EDGE_CURVE('',#168283,#168286,#93689,.T.); #211933=EDGE_CURVE('',#168287,#168284,#93690,.T.); #211934=EDGE_CURVE('',#168287,#168288,#93691,.T.); #211935=EDGE_CURVE('',#168288,#168285,#93692,.T.); #211936=EDGE_CURVE('',#168289,#168287,#140048,.T.); #211937=EDGE_CURVE('',#168289,#168290,#93693,.T.); #211938=EDGE_CURVE('',#168290,#168288,#140049,.T.); #211939=EDGE_CURVE('',#168291,#168289,#93694,.T.); #211940=EDGE_CURVE('',#168291,#168292,#93695,.T.); #211941=EDGE_CURVE('',#168292,#168290,#93696,.T.); #211942=EDGE_CURVE('',#168293,#168291,#140050,.T.); #211943=EDGE_CURVE('',#168293,#168294,#93697,.T.); #211944=EDGE_CURVE('',#168294,#168292,#140051,.T.); #211945=EDGE_CURVE('',#168283,#168293,#93698,.T.); #211946=EDGE_CURVE('',#168286,#168294,#93699,.T.); #211947=EDGE_CURVE('',#168295,#168296,#93700,.T.); #211948=EDGE_CURVE('',#168295,#168297,#93701,.T.); #211949=EDGE_CURVE('',#168297,#168298,#93702,.T.); #211950=EDGE_CURVE('',#168296,#168298,#93703,.T.); #211951=EDGE_CURVE('',#168299,#168295,#93704,.T.); #211952=EDGE_CURVE('',#168299,#168300,#93705,.T.); #211953=EDGE_CURVE('',#168300,#168297,#93706,.T.); #211954=EDGE_CURVE('',#168301,#168299,#93707,.T.); #211955=EDGE_CURVE('',#168301,#168302,#93708,.T.); #211956=EDGE_CURVE('',#168302,#168300,#93709,.T.); #211957=EDGE_CURVE('',#168296,#168301,#93710,.T.); #211958=EDGE_CURVE('',#168298,#168302,#93711,.T.); #211959=EDGE_CURVE('',#168303,#168304,#93712,.T.); #211960=EDGE_CURVE('',#168304,#168305,#93713,.T.); #211961=EDGE_CURVE('',#168306,#168305,#93714,.T.); #211962=EDGE_CURVE('',#168303,#168306,#93715,.T.); #211963=EDGE_CURVE('',#168307,#168303,#93716,.T.); #211964=EDGE_CURVE('',#168308,#168306,#93717,.T.); #211965=EDGE_CURVE('',#168307,#168308,#93718,.T.); #211966=EDGE_CURVE('',#168309,#168307,#93719,.T.); #211967=EDGE_CURVE('',#168310,#168308,#93720,.T.); #211968=EDGE_CURVE('',#168309,#168310,#93721,.T.); #211969=EDGE_CURVE('',#168304,#168309,#93722,.T.); #211970=EDGE_CURVE('',#168305,#168310,#93723,.T.); #211971=EDGE_CURVE('',#168311,#168312,#93724,.T.); #211972=EDGE_CURVE('',#168312,#168313,#93725,.T.); #211973=EDGE_CURVE('',#168314,#168313,#93726,.T.); #211974=EDGE_CURVE('',#168311,#168314,#93727,.T.); #211975=EDGE_CURVE('',#168315,#168311,#93728,.T.); #211976=EDGE_CURVE('',#168316,#168314,#93729,.T.); #211977=EDGE_CURVE('',#168315,#168316,#93730,.T.); #211978=EDGE_CURVE('',#168317,#168315,#93731,.T.); #211979=EDGE_CURVE('',#168318,#168316,#93732,.T.); #211980=EDGE_CURVE('',#168317,#168318,#93733,.T.); #211981=EDGE_CURVE('',#168312,#168317,#93734,.T.); #211982=EDGE_CURVE('',#168313,#168318,#93735,.T.); #211983=EDGE_CURVE('',#168319,#168320,#93736,.T.); #211984=EDGE_CURVE('',#168320,#168321,#93737,.T.); #211985=EDGE_CURVE('',#168322,#168321,#93738,.T.); #211986=EDGE_CURVE('',#168319,#168322,#93739,.T.); #211987=EDGE_CURVE('',#168323,#168319,#93740,.T.); #211988=EDGE_CURVE('',#168324,#168322,#93741,.T.); #211989=EDGE_CURVE('',#168323,#168324,#93742,.T.); #211990=EDGE_CURVE('',#168325,#168323,#93743,.T.); #211991=EDGE_CURVE('',#168326,#168324,#93744,.T.); #211992=EDGE_CURVE('',#168325,#168326,#93745,.T.); #211993=EDGE_CURVE('',#168320,#168325,#93746,.T.); #211994=EDGE_CURVE('',#168321,#168326,#93747,.T.); #211995=EDGE_CURVE('',#168327,#168328,#93748,.T.); #211996=EDGE_CURVE('',#168327,#168329,#93749,.T.); #211997=EDGE_CURVE('',#168329,#168330,#93750,.T.); #211998=EDGE_CURVE('',#168328,#168330,#93751,.T.); #211999=EDGE_CURVE('',#168331,#168327,#93752,.T.); #212000=EDGE_CURVE('',#168331,#168332,#93753,.T.); #212001=EDGE_CURVE('',#168332,#168329,#93754,.T.); #212002=EDGE_CURVE('',#168333,#168331,#93755,.T.); #212003=EDGE_CURVE('',#168333,#168334,#93756,.T.); #212004=EDGE_CURVE('',#168334,#168332,#93757,.T.); #212005=EDGE_CURVE('',#168328,#168333,#93758,.T.); #212006=EDGE_CURVE('',#168330,#168334,#93759,.T.); #212007=EDGE_CURVE('',#168335,#168336,#93760,.T.); #212008=EDGE_CURVE('',#168335,#168337,#93761,.T.); #212009=EDGE_CURVE('',#168337,#168338,#93762,.T.); #212010=EDGE_CURVE('',#168336,#168338,#93763,.T.); #212011=EDGE_CURVE('',#168339,#168335,#93764,.T.); #212012=EDGE_CURVE('',#168339,#168340,#93765,.T.); #212013=EDGE_CURVE('',#168340,#168337,#93766,.T.); #212014=EDGE_CURVE('',#168341,#168339,#93767,.T.); #212015=EDGE_CURVE('',#168341,#168342,#93768,.T.); #212016=EDGE_CURVE('',#168342,#168340,#93769,.T.); #212017=EDGE_CURVE('',#168336,#168341,#93770,.T.); #212018=EDGE_CURVE('',#168338,#168342,#93771,.T.); #212019=EDGE_CURVE('',#168343,#168344,#93772,.T.); #212020=EDGE_CURVE('',#168343,#168345,#93773,.T.); #212021=EDGE_CURVE('',#168345,#168346,#93774,.T.); #212022=EDGE_CURVE('',#168344,#168346,#93775,.T.); #212023=EDGE_CURVE('',#168347,#168343,#93776,.T.); #212024=EDGE_CURVE('',#168347,#168348,#93777,.T.); #212025=EDGE_CURVE('',#168348,#168345,#93778,.T.); #212026=EDGE_CURVE('',#168349,#168347,#93779,.T.); #212027=EDGE_CURVE('',#168349,#168350,#93780,.T.); #212028=EDGE_CURVE('',#168350,#168348,#93781,.T.); #212029=EDGE_CURVE('',#168344,#168349,#93782,.T.); #212030=EDGE_CURVE('',#168346,#168350,#93783,.T.); #212031=EDGE_CURVE('',#168351,#168352,#93784,.T.); #212032=EDGE_CURVE('',#168352,#168353,#93785,.T.); #212033=EDGE_CURVE('',#168354,#168353,#93786,.T.); #212034=EDGE_CURVE('',#168351,#168354,#93787,.T.); #212035=EDGE_CURVE('',#168355,#168351,#93788,.T.); #212036=EDGE_CURVE('',#168356,#168354,#93789,.T.); #212037=EDGE_CURVE('',#168355,#168356,#93790,.T.); #212038=EDGE_CURVE('',#168357,#168355,#93791,.T.); #212039=EDGE_CURVE('',#168358,#168356,#93792,.T.); #212040=EDGE_CURVE('',#168357,#168358,#93793,.T.); #212041=EDGE_CURVE('',#168352,#168357,#93794,.T.); #212042=EDGE_CURVE('',#168353,#168358,#93795,.T.); #212043=EDGE_CURVE('',#168359,#168360,#93796,.T.); #212044=EDGE_CURVE('',#168360,#168361,#93797,.T.); #212045=EDGE_CURVE('',#168361,#168362,#93798,.T.); #212046=EDGE_CURVE('',#168359,#168362,#93799,.T.); #212047=EDGE_CURVE('',#168360,#168363,#93800,.T.); #212048=EDGE_CURVE('',#168363,#168364,#93801,.T.); #212049=EDGE_CURVE('',#168364,#168361,#93802,.T.); #212050=EDGE_CURVE('',#168363,#168365,#93803,.T.); #212051=EDGE_CURVE('',#168365,#168366,#93804,.T.); #212052=EDGE_CURVE('',#168366,#168364,#93805,.T.); #212053=EDGE_CURVE('',#168362,#168366,#93806,.T.); #212054=EDGE_CURVE('',#168365,#168359,#93807,.T.); #212055=EDGE_CURVE('',#168367,#168362,#93808,.T.); #212056=EDGE_CURVE('',#168367,#168368,#93809,.T.); #212057=EDGE_CURVE('',#168368,#168366,#93810,.T.); #212058=EDGE_CURVE('',#168369,#168361,#93811,.T.); #212059=EDGE_CURVE('',#168369,#168367,#93812,.T.); #212060=EDGE_CURVE('',#168370,#168364,#93813,.T.); #212061=EDGE_CURVE('',#168370,#168369,#93814,.T.); #212062=EDGE_CURVE('',#168368,#168370,#93815,.T.); #212063=EDGE_CURVE('',#168371,#168372,#93816,.T.); #212064=EDGE_CURVE('',#168371,#168373,#93817,.T.); #212065=EDGE_CURVE('',#168374,#168373,#93818,.T.); #212066=EDGE_CURVE('',#168372,#168374,#93819,.T.); #212067=EDGE_CURVE('',#168375,#168372,#93820,.T.); #212068=EDGE_CURVE('',#168376,#168374,#93821,.T.); #212069=EDGE_CURVE('',#168375,#168376,#93822,.T.); #212070=EDGE_CURVE('',#168377,#168375,#93823,.T.); #212071=EDGE_CURVE('',#168378,#168376,#93824,.T.); #212072=EDGE_CURVE('',#168377,#168378,#93825,.T.); #212073=EDGE_CURVE('',#168371,#168377,#93826,.T.); #212074=EDGE_CURVE('',#168373,#168378,#93827,.T.); #212075=EDGE_CURVE('',#168379,#168380,#93828,.T.); #212076=EDGE_CURVE('',#168380,#168381,#93829,.T.); #212077=EDGE_CURVE('',#168382,#168381,#93830,.T.); #212078=EDGE_CURVE('',#168379,#168382,#93831,.T.); #212079=EDGE_CURVE('',#168383,#168379,#93832,.T.); #212080=EDGE_CURVE('',#168384,#168382,#93833,.T.); #212081=EDGE_CURVE('',#168383,#168384,#93834,.T.); #212082=EDGE_CURVE('',#168385,#168383,#93835,.T.); #212083=EDGE_CURVE('',#168386,#168384,#93836,.T.); #212084=EDGE_CURVE('',#168385,#168386,#93837,.T.); #212085=EDGE_CURVE('',#168380,#168385,#93838,.T.); #212086=EDGE_CURVE('',#168381,#168386,#93839,.T.); #212087=EDGE_CURVE('',#168387,#168388,#93840,.T.); #212088=EDGE_CURVE('',#168387,#168389,#93841,.T.); #212089=EDGE_CURVE('',#168390,#168389,#93842,.T.); #212090=EDGE_CURVE('',#168388,#168390,#93843,.T.); #212091=EDGE_CURVE('',#168388,#168391,#93844,.T.); #212092=EDGE_CURVE('',#168392,#168390,#93845,.T.); #212093=EDGE_CURVE('',#168391,#168392,#93846,.T.); #212094=EDGE_CURVE('',#168391,#168393,#93847,.T.); #212095=EDGE_CURVE('',#168394,#168392,#93848,.T.); #212096=EDGE_CURVE('',#168393,#168394,#93849,.T.); #212097=EDGE_CURVE('',#168393,#168387,#93850,.T.); #212098=EDGE_CURVE('',#168389,#168394,#93851,.T.); #212099=EDGE_CURVE('',#168395,#168396,#93852,.T.); #212100=EDGE_CURVE('',#168395,#168397,#93853,.T.); #212101=EDGE_CURVE('',#168398,#168397,#93854,.T.); #212102=EDGE_CURVE('',#168396,#168398,#93855,.T.); #212103=EDGE_CURVE('',#168396,#168399,#93856,.T.); #212104=EDGE_CURVE('',#168400,#168398,#93857,.T.); #212105=EDGE_CURVE('',#168399,#168400,#93858,.T.); #212106=EDGE_CURVE('',#168399,#168401,#93859,.T.); #212107=EDGE_CURVE('',#168402,#168400,#93860,.T.); #212108=EDGE_CURVE('',#168401,#168402,#93861,.T.); #212109=EDGE_CURVE('',#168395,#168401,#93862,.T.); #212110=EDGE_CURVE('',#168397,#168402,#93863,.T.); #212111=EDGE_CURVE('',#168403,#168403,#140052,.T.); #212112=EDGE_CURVE('',#168403,#168404,#93864,.T.); #212113=EDGE_CURVE('',#168404,#168404,#140053,.T.); #212114=EDGE_CURVE('',#168405,#168405,#140054,.T.); #212115=EDGE_CURVE('',#168405,#168406,#93865,.T.); #212116=EDGE_CURVE('',#168406,#168406,#140055,.T.); #212117=EDGE_CURVE('',#168407,#168408,#93866,.T.); #212118=EDGE_CURVE('',#168408,#168409,#146,.T.); #212119=EDGE_CURVE('',#168410,#168409,#147,.T.); #212120=EDGE_CURVE('',#168411,#168410,#148,.T.); #212121=EDGE_CURVE('',#168411,#168407,#149,.T.); #212122=EDGE_CURVE('',#168412,#168413,#93867,.T.); #212123=EDGE_CURVE('',#168413,#168408,#150,.T.); #212124=EDGE_CURVE('',#168407,#168412,#151,.T.); #212125=EDGE_CURVE('',#168414,#168415,#93868,.T.); #212126=EDGE_CURVE('',#168414,#168416,#152,.T.); #212127=EDGE_CURVE('',#168417,#168416,#140056,.T.); #212128=EDGE_CURVE('',#168417,#168415,#153,.T.); #212129=EDGE_CURVE('',#168418,#168419,#93869,.T.); #212130=EDGE_CURVE('',#168419,#168420,#154,.F.); #212131=EDGE_CURVE('',#168420,#168421,#140057,.T.); #212132=EDGE_CURVE('',#168421,#168418,#155,.T.); #212133=EDGE_CURVE('',#168422,#168423,#93870,.T.); #212134=EDGE_CURVE('',#168423,#168419,#156,.F.); #212135=EDGE_CURVE('',#168418,#168422,#157,.T.); #212136=EDGE_CURVE('',#168424,#168425,#93871,.T.); #212137=EDGE_CURVE('',#168424,#168426,#158,.T.); #212138=EDGE_CURVE('',#168427,#168426,#159,.T.); #212139=EDGE_CURVE('',#168428,#168427,#160,.T.); #212140=EDGE_CURVE('',#168428,#168425,#161,.F.); #212141=EDGE_CURVE('',#168416,#168421,#162,.T.); #212142=EDGE_CURVE('',#168412,#168414,#163,.T.); #212143=EDGE_CURVE('',#168426,#168411,#164,.T.); #212144=EDGE_CURVE('',#168422,#168424,#165,.T.); #212145=EDGE_CURVE('',#168409,#168428,#166,.T.); #212146=EDGE_CURVE('',#168415,#168413,#167,.T.); #212147=EDGE_CURVE('',#168420,#168417,#168,.T.); #212148=EDGE_CURVE('',#168425,#168423,#169,.F.); #212149=EDGE_CURVE('',#168427,#168429,#18,.T.); #212150=EDGE_CURVE('',#168430,#168429,#170,.T.); #212151=EDGE_CURVE('',#168431,#168430,#171,.T.); #212152=EDGE_CURVE('',#168432,#168431,#172,.T.); #212153=EDGE_CURVE('',#168410,#168432,#173,.T.); #212154=EDGE_CURVE('',#168433,#168432,#174,.T.); #212155=EDGE_CURVE('',#168434,#168433,#175,.T.); #212156=EDGE_CURVE('',#168429,#168434,#176,.T.); #212157=EDGE_CURVE('',#168435,#168436,#93872,.T.); #212158=EDGE_CURVE('',#168437,#168435,#93873,.T.); #212159=EDGE_CURVE('',#168438,#168437,#93874,.T.); #212160=EDGE_CURVE('',#168439,#168438,#93875,.T.); #212161=EDGE_CURVE('',#168440,#168439,#93876,.T.); #212162=EDGE_CURVE('',#168436,#168440,#93877,.T.); #212163=EDGE_CURVE('',#168430,#168436,#93878,.T.); #212164=EDGE_CURVE('',#168429,#168435,#93879,.T.); #212165=EDGE_CURVE('',#168431,#168440,#93880,.T.); #212166=EDGE_CURVE('',#168432,#168439,#93881,.T.); #212167=EDGE_CURVE('',#168433,#168438,#93882,.T.); #212168=EDGE_CURVE('',#168434,#168437,#93883,.T.); #212169=EDGE_CURVE('',#168441,#168442,#93884,.T.); #212170=EDGE_CURVE('',#168441,#168443,#177,.T.); #212171=EDGE_CURVE('',#168444,#168443,#140058,.F.); #212172=EDGE_CURVE('',#168444,#168442,#178,.T.); #212173=EDGE_CURVE('',#168445,#168446,#93885,.T.); #212174=EDGE_CURVE('',#168445,#168441,#179,.T.); #212175=EDGE_CURVE('',#168442,#168446,#180,.T.); #212176=EDGE_CURVE('',#168447,#168448,#93886,.T.); #212177=EDGE_CURVE('',#168447,#168445,#181,.T.); #212178=EDGE_CURVE('',#168446,#168448,#182,.T.); #212179=EDGE_CURVE('',#168449,#168450,#93887,.T.); #212180=EDGE_CURVE('',#168449,#168447,#183,.T.); #212181=EDGE_CURVE('',#168448,#168450,#184,.T.); #212182=EDGE_CURVE('',#168451,#168452,#93888,.T.); #212183=EDGE_CURVE('',#168451,#168449,#185,.T.); #212184=EDGE_CURVE('',#168450,#168452,#186,.T.); #212185=EDGE_CURVE('',#168453,#168454,#93889,.T.); #212186=EDGE_CURVE('',#168453,#168451,#187,.T.); #212187=EDGE_CURVE('',#168452,#168454,#188,.T.); #212188=EDGE_CURVE('',#168455,#168456,#93890,.T.); #212189=EDGE_CURVE('',#168455,#168453,#189,.T.); #212190=EDGE_CURVE('',#168454,#168456,#190,.T.); #212191=EDGE_CURVE('',#168457,#168458,#93891,.T.); #212192=EDGE_CURVE('',#168457,#168459,#191,.T.); #212193=EDGE_CURVE('',#168458,#168459,#140059,.T.); #212194=EDGE_CURVE('',#168460,#168461,#93892,.T.); #212195=EDGE_CURVE('',#168460,#168457,#192,.T.); #212196=EDGE_CURVE('',#168462,#168458,#140060,.T.); #212197=EDGE_CURVE('',#168461,#168462,#193,.T.); #212198=EDGE_CURVE('',#168463,#168464,#93893,.T.); #212199=EDGE_CURVE('',#168463,#168460,#194,.T.); #212200=EDGE_CURVE('',#168464,#168461,#195,.T.); #212201=EDGE_CURVE('',#168465,#168466,#93894,.T.); #212202=EDGE_CURVE('',#168465,#168463,#196,.T.); #212203=EDGE_CURVE('',#168466,#168464,#197,.T.); #212204=EDGE_CURVE('',#168467,#168468,#93895,.T.); #212205=EDGE_CURVE('',#168467,#168465,#198,.T.); #212206=EDGE_CURVE('',#168468,#168466,#199,.T.); #212207=EDGE_CURVE('',#168469,#168470,#93896,.T.); #212208=EDGE_CURVE('',#168469,#168467,#200,.T.); #212209=EDGE_CURVE('',#168470,#168468,#201,.T.); #212210=EDGE_CURVE('',#168471,#168472,#93897,.T.); #212211=EDGE_CURVE('',#168471,#168469,#202,.T.); #212212=EDGE_CURVE('',#168472,#168470,#203,.T.); #212213=EDGE_CURVE('',#168473,#168474,#93898,.T.); #212214=EDGE_CURVE('',#168475,#168474,#93899,.T.); #212215=EDGE_CURVE('',#168476,#168475,#93900,.T.); #212216=EDGE_CURVE('',#168476,#168473,#93901,.T.); #212217=EDGE_CURVE('',#168477,#168478,#93902,.T.); #212218=EDGE_CURVE('',#168479,#168477,#93903,.T.); #212219=EDGE_CURVE('',#168479,#168480,#93904,.T.); #212220=EDGE_CURVE('',#168480,#168478,#93905,.T.); #212221=EDGE_CURVE('',#168481,#168482,#93906,.T.); #212222=EDGE_CURVE('',#168482,#168483,#93907,.T.); #212223=EDGE_CURVE('',#168483,#168484,#93908,.T.); #212224=EDGE_CURVE('',#168481,#168484,#93909,.T.); #212225=EDGE_CURVE('',#168485,#168486,#93910,.T.); #212226=EDGE_CURVE('',#168487,#168486,#93911,.T.); #212227=EDGE_CURVE('',#168488,#168487,#93912,.T.); #212228=EDGE_CURVE('',#168488,#168485,#93913,.T.); #212229=EDGE_CURVE('',#168489,#168490,#93914,.T.); #212230=EDGE_CURVE('',#168491,#168490,#93915,.T.); #212231=EDGE_CURVE('',#168492,#168491,#93916,.T.); #212232=EDGE_CURVE('',#168492,#168489,#93917,.T.); #212233=EDGE_CURVE('',#168493,#168494,#93918,.T.); #212234=EDGE_CURVE('',#168494,#168495,#93919,.T.); #212235=EDGE_CURVE('',#168495,#168496,#93920,.T.); #212236=EDGE_CURVE('',#168493,#168496,#93921,.T.); #212237=EDGE_CURVE('',#168497,#168498,#93922,.T.); #212238=EDGE_CURVE('',#168499,#168498,#93923,.T.); #212239=EDGE_CURVE('',#168500,#168499,#93924,.T.); #212240=EDGE_CURVE('',#168497,#168500,#93925,.T.); #212241=EDGE_CURVE('',#168501,#168497,#204,.T.); #212242=EDGE_CURVE('',#168502,#168500,#205,.T.); #212243=EDGE_CURVE('',#168501,#168502,#93926,.T.); #212244=EDGE_CURVE('',#168503,#168504,#206,.T.); #212245=EDGE_CURVE('',#168504,#168505,#93927,.T.); #212246=EDGE_CURVE('',#168506,#168505,#207,.T.); #212247=EDGE_CURVE('',#168503,#168506,#93928,.T.); #212248=EDGE_CURVE('',#168507,#168503,#93929,.T.); #212249=EDGE_CURVE('',#168508,#168506,#93930,.T.); #212250=EDGE_CURVE('',#168507,#168508,#93931,.T.); #212251=EDGE_CURVE('',#168508,#168499,#93932,.T.); #212252=EDGE_CURVE('',#168505,#168502,#93933,.T.); #212253=EDGE_CURVE('',#168498,#168509,#93934,.T.); #212254=EDGE_CURVE('',#168509,#168510,#140061,.T.); #212255=EDGE_CURVE('',#168510,#168511,#140062,.T.); #212256=EDGE_CURVE('',#168511,#168512,#93935,.T.); #212257=EDGE_CURVE('',#168512,#168513,#93936,.T.); #212258=EDGE_CURVE('',#168513,#168514,#93937,.T.); #212259=EDGE_CURVE('',#168514,#168515,#93938,.T.); #212260=EDGE_CURVE('',#168515,#168516,#93939,.T.); #212261=EDGE_CURVE('',#168516,#168517,#140063,.T.); #212262=EDGE_CURVE('',#168517,#168507,#93940,.T.); #212263=EDGE_CURVE('',#168518,#168515,#93941,.T.); #212264=EDGE_CURVE('',#168519,#168514,#93942,.T.); #212265=EDGE_CURVE('',#168518,#168519,#93943,.T.); #212266=EDGE_CURVE('',#168520,#168518,#208,.T.); #212267=EDGE_CURVE('',#168521,#168519,#209,.T.); #212268=EDGE_CURVE('',#168520,#168521,#93944,.T.); #212269=EDGE_CURVE('',#168522,#168523,#210,.T.); #212270=EDGE_CURVE('',#168523,#168524,#93945,.T.); #212271=EDGE_CURVE('',#168525,#168524,#211,.T.); #212272=EDGE_CURVE('',#168522,#168525,#93946,.T.); #212273=EDGE_CURVE('',#168512,#168522,#93947,.T.); #212274=EDGE_CURVE('',#168513,#168525,#93948,.T.); #212275=EDGE_CURVE('',#168524,#168521,#93949,.T.); #212276=EDGE_CURVE('',#168526,#168527,#93950,.T.); #212277=EDGE_CURVE('',#168526,#168528,#93951,.T.); #212278=EDGE_CURVE('',#168528,#168529,#19,.T.); #212279=EDGE_CURVE('',#168527,#168529,#93952,.T.); #212280=EDGE_CURVE('',#168530,#168526,#93953,.T.); #212281=EDGE_CURVE('',#168530,#168531,#93954,.T.); #212282=EDGE_CURVE('',#168531,#168528,#20,.T.); #212283=EDGE_CURVE('',#168532,#168533,#93955,.T.); #212284=EDGE_CURVE('',#168532,#168534,#93956,.T.); #212285=EDGE_CURVE('',#168534,#168535,#21,.T.); #212286=EDGE_CURVE('',#168533,#168535,#93957,.T.); #212287=EDGE_CURVE('',#168536,#168532,#93958,.T.); #212288=EDGE_CURVE('',#168536,#168537,#93959,.T.); #212289=EDGE_CURVE('',#168537,#168534,#22,.T.); #212290=EDGE_CURVE('',#168538,#168539,#93960,.T.); #212291=EDGE_CURVE('',#168538,#168540,#93961,.T.); #212292=EDGE_CURVE('',#168540,#168541,#23,.T.); #212293=EDGE_CURVE('',#168539,#168541,#93962,.T.); #212294=EDGE_CURVE('',#168542,#168538,#93963,.T.); #212295=EDGE_CURVE('',#168542,#168543,#93964,.T.); #212296=EDGE_CURVE('',#168543,#168540,#24,.T.); #212297=EDGE_CURVE('',#168497,#168544,#25,.T.); #212298=EDGE_CURVE('',#168509,#168544,#93965,.T.); #212299=EDGE_CURVE('',#168517,#168545,#93966,.T.); #212300=EDGE_CURVE('',#168545,#168503,#26,.T.); #212301=EDGE_CURVE('',#168546,#168547,#93967,.T.); #212302=EDGE_CURVE('',#168546,#168548,#93968,.T.); #212303=EDGE_CURVE('',#168548,#168549,#27,.T.); #212304=EDGE_CURVE('',#168547,#168549,#93969,.T.); #212305=EDGE_CURVE('',#168550,#168546,#93970,.T.); #212306=EDGE_CURVE('',#168550,#168551,#93971,.T.); #212307=EDGE_CURVE('',#168551,#168548,#28,.T.); #212308=EDGE_CURVE('',#168552,#168553,#93972,.T.); #212309=EDGE_CURVE('',#168552,#168554,#93973,.T.); #212310=EDGE_CURVE('',#168554,#168555,#29,.T.); #212311=EDGE_CURVE('',#168553,#168555,#93974,.T.); #212312=EDGE_CURVE('',#168556,#168552,#93975,.T.); #212313=EDGE_CURVE('',#168556,#168557,#93976,.T.); #212314=EDGE_CURVE('',#168557,#168554,#30,.T.); #212315=EDGE_CURVE('',#168558,#168559,#93977,.T.); #212316=EDGE_CURVE('',#168558,#168560,#93978,.T.); #212317=EDGE_CURVE('',#168560,#168561,#31,.T.); #212318=EDGE_CURVE('',#168559,#168561,#93979,.T.); #212319=EDGE_CURVE('',#168562,#168558,#93980,.T.); #212320=EDGE_CURVE('',#168562,#168563,#93981,.T.); #212321=EDGE_CURVE('',#168563,#168560,#32,.T.); #212322=EDGE_CURVE('',#168564,#168565,#93982,.T.); #212323=EDGE_CURVE('',#168564,#168566,#93983,.T.); #212324=EDGE_CURVE('',#168566,#168567,#33,.T.); #212325=EDGE_CURVE('',#168565,#168567,#93984,.T.); #212326=EDGE_CURVE('',#168568,#168564,#93985,.T.); #212327=EDGE_CURVE('',#168568,#168569,#93986,.T.); #212328=EDGE_CURVE('',#168569,#168566,#34,.T.); #212329=EDGE_CURVE('',#168504,#168566,#140064,.T.); #212330=EDGE_CURVE('',#168567,#168545,#140065,.T.); #212331=EDGE_CURVE('',#168566,#168560,#140066,.T.); #212332=EDGE_CURVE('',#168560,#168554,#140067,.T.); #212333=EDGE_CURVE('',#168554,#168548,#140068,.T.); #212334=EDGE_CURVE('',#168548,#168528,#140069,.T.); #212335=EDGE_CURVE('',#168528,#168534,#140070,.T.); #212336=EDGE_CURVE('',#168534,#168540,#140071,.T.); #212337=EDGE_CURVE('',#168540,#168501,#140072,.T.); #212338=EDGE_CURVE('',#168561,#168569,#140073,.T.); #212339=EDGE_CURVE('',#168555,#168563,#140074,.T.); #212340=EDGE_CURVE('',#168549,#168557,#140075,.T.); #212341=EDGE_CURVE('',#168529,#168551,#140076,.T.); #212342=EDGE_CURVE('',#168535,#168531,#140077,.T.); #212343=EDGE_CURVE('',#168541,#168537,#140078,.T.); #212344=EDGE_CURVE('',#168544,#168543,#140079,.T.); #212345=EDGE_CURVE('',#168559,#168562,#140080,.T.); #212346=EDGE_CURVE('',#168553,#168556,#140081,.T.); #212347=EDGE_CURVE('',#168565,#168568,#140082,.T.); #212348=EDGE_CURVE('',#168547,#168550,#140083,.T.); #212349=EDGE_CURVE('',#168527,#168530,#140084,.T.); #212350=EDGE_CURVE('',#168539,#168542,#140085,.T.); #212351=EDGE_CURVE('',#168570,#168571,#93987,.T.); #212352=EDGE_CURVE('',#168570,#168572,#93988,.T.); #212353=EDGE_CURVE('',#168572,#168573,#35,.T.); #212354=EDGE_CURVE('',#168571,#168573,#93989,.T.); #212355=EDGE_CURVE('',#168574,#168570,#93990,.T.); #212356=EDGE_CURVE('',#168574,#168575,#93991,.T.); #212357=EDGE_CURVE('',#168575,#168572,#36,.T.); #212358=EDGE_CURVE('',#168576,#168577,#93992,.T.); #212359=EDGE_CURVE('',#168576,#168578,#93993,.T.); #212360=EDGE_CURVE('',#168578,#168579,#37,.T.); #212361=EDGE_CURVE('',#168577,#168579,#93994,.T.); #212362=EDGE_CURVE('',#168580,#168576,#93995,.T.); #212363=EDGE_CURVE('',#168580,#168581,#93996,.T.); #212364=EDGE_CURVE('',#168581,#168578,#38,.T.); #212365=EDGE_CURVE('',#168582,#168583,#93997,.T.); #212366=EDGE_CURVE('',#168582,#168584,#93998,.T.); #212367=EDGE_CURVE('',#168584,#168585,#39,.T.); #212368=EDGE_CURVE('',#168583,#168585,#93999,.T.); #212369=EDGE_CURVE('',#168586,#168582,#94000,.T.); #212370=EDGE_CURVE('',#168586,#168587,#94001,.T.); #212371=EDGE_CURVE('',#168587,#168584,#40,.T.); #212372=EDGE_CURVE('',#168588,#168589,#94002,.T.); #212373=EDGE_CURVE('',#168588,#168590,#94003,.T.); #212374=EDGE_CURVE('',#168590,#168591,#41,.T.); #212375=EDGE_CURVE('',#168589,#168591,#94004,.T.); #212376=EDGE_CURVE('',#168592,#168588,#94005,.T.); #212377=EDGE_CURVE('',#168592,#168593,#94006,.T.); #212378=EDGE_CURVE('',#168593,#168590,#42,.T.); #212379=EDGE_CURVE('',#168518,#168594,#43,.T.); #212380=EDGE_CURVE('',#168590,#168520,#140086,.T.); #212381=EDGE_CURVE('',#168594,#168593,#140087,.T.); #212382=EDGE_CURVE('',#168584,#168590,#140088,.T.); #212383=EDGE_CURVE('',#168591,#168587,#140089,.T.); #212384=EDGE_CURVE('',#168578,#168584,#140090,.T.); #212385=EDGE_CURVE('',#168585,#168581,#140091,.T.); #212386=EDGE_CURVE('',#168595,#168596,#44,.T.); #212387=EDGE_CURVE('',#168573,#168595,#140092,.T.); #212388=EDGE_CURVE('',#168596,#168572,#140093,.T.); #212389=EDGE_CURVE('',#168596,#168597,#45,.T.); #212390=EDGE_CURVE('',#168598,#168596,#140094,.T.); #212391=EDGE_CURVE('',#168599,#168598,#46,.T.); #212392=EDGE_CURVE('',#168597,#168599,#140095,.T.); #212393=EDGE_CURVE('',#168598,#168600,#47,.T.); #212394=EDGE_CURVE('',#168601,#168598,#140096,.T.); #212395=EDGE_CURVE('',#168602,#168601,#48,.T.); #212396=EDGE_CURVE('',#168600,#168602,#140097,.T.); #212397=EDGE_CURVE('',#168572,#168578,#140098,.T.); #212398=EDGE_CURVE('',#168579,#168575,#140099,.T.); #212399=EDGE_CURVE('',#168523,#168601,#140100,.T.); #212400=EDGE_CURVE('',#168601,#168603,#49,.T.); #212401=EDGE_CURVE('',#168604,#168522,#50,.T.); #212402=EDGE_CURVE('',#168603,#168604,#140101,.T.); #212403=EDGE_CURVE('',#168516,#168594,#94007,.T.); #212404=EDGE_CURVE('',#168511,#168604,#94008,.T.); #212405=EDGE_CURVE('',#168605,#168606,#94009,.T.); #212406=EDGE_CURVE('',#168605,#168602,#94010,.T.); #212407=EDGE_CURVE('',#168606,#168601,#94011,.T.); #212408=EDGE_CURVE('',#168606,#168607,#94012,.T.); #212409=EDGE_CURVE('',#168607,#168603,#94013,.T.); #212410=EDGE_CURVE('',#168608,#168609,#94014,.T.); #212411=EDGE_CURVE('',#168608,#168599,#94015,.T.); #212412=EDGE_CURVE('',#168609,#168598,#94016,.T.); #212413=EDGE_CURVE('',#168609,#168610,#94017,.T.); #212414=EDGE_CURVE('',#168610,#168600,#94018,.T.); #212415=EDGE_CURVE('',#168611,#168612,#94019,.T.); #212416=EDGE_CURVE('',#168611,#168595,#94020,.T.); #212417=EDGE_CURVE('',#168612,#168596,#94021,.T.); #212418=EDGE_CURVE('',#168612,#168613,#94022,.T.); #212419=EDGE_CURVE('',#168613,#168597,#94023,.T.); #212420=EDGE_CURVE('',#168613,#168611,#140102,.T.); #212421=EDGE_CURVE('',#168610,#168608,#140103,.T.); #212422=EDGE_CURVE('',#168607,#168605,#140104,.T.); #212423=EDGE_CURVE('',#168533,#168536,#140105,.T.); #212424=EDGE_CURVE('',#168589,#168592,#140106,.T.); #212425=EDGE_CURVE('',#168583,#168586,#140107,.T.); #212426=EDGE_CURVE('',#168577,#168580,#140108,.T.); #212427=EDGE_CURVE('',#168571,#168574,#140109,.T.); #212428=EDGE_CURVE('',#168614,#168614,#140110,.T.); #212429=EDGE_CURVE('',#168614,#168510,#94024,.T.); #212430=EDGE_CURVE('',#168615,#168616,#94025,.T.); #212431=EDGE_CURVE('',#168617,#168615,#94026,.T.); #212432=EDGE_CURVE('',#168617,#168618,#94027,.T.); #212433=EDGE_CURVE('',#168618,#168616,#94028,.T.); #212434=EDGE_CURVE('',#168619,#168620,#94029,.T.); #212435=EDGE_CURVE('',#168619,#168621,#94030,.T.); #212436=EDGE_CURVE('',#168622,#168621,#94031,.T.); #212437=EDGE_CURVE('',#168622,#168620,#94032,.T.); #212438=EDGE_CURVE('',#168621,#168623,#140111,.T.); #212439=EDGE_CURVE('',#168624,#168623,#94033,.T.); #212440=EDGE_CURVE('',#168622,#168624,#140112,.T.); #212441=EDGE_CURVE('',#168625,#168618,#140113,.T.); #212442=EDGE_CURVE('',#168626,#168617,#140114,.T.); #212443=EDGE_CURVE('',#168626,#168625,#94034,.T.); #212444=EDGE_CURVE('',#168623,#168627,#94035,.T.); #212445=EDGE_CURVE('',#168628,#168627,#140115,.T.); #212446=EDGE_CURVE('',#168628,#168629,#94036,.T.); #212447=EDGE_CURVE('',#168629,#168630,#94037,.T.); #212448=EDGE_CURVE('',#168631,#168630,#140116,.T.); #212449=EDGE_CURVE('',#168631,#168632,#94038,.T.); #212450=EDGE_CURVE('',#168633,#168632,#140117,.T.); #212451=EDGE_CURVE('',#168633,#168634,#94039,.T.); #212452=EDGE_CURVE('',#168634,#168635,#94040,.T.); #212453=EDGE_CURVE('',#168636,#168635,#140118,.T.); #212454=EDGE_CURVE('',#168636,#168624,#94041,.T.); #212455=EDGE_CURVE('',#168637,#168625,#94042,.T.); #212456=EDGE_CURVE('',#168627,#168637,#94043,.T.); #212457=EDGE_CURVE('',#168616,#168619,#94044,.T.); #212458=EDGE_CURVE('',#168630,#168638,#94045,.T.); #212459=EDGE_CURVE('',#168629,#168639,#94046,.T.); #212460=EDGE_CURVE('',#168638,#168639,#94047,.T.); #212461=EDGE_CURVE('',#168635,#168640,#94048,.T.); #212462=EDGE_CURVE('',#168641,#168634,#94049,.T.); #212463=EDGE_CURVE('',#168640,#168641,#94050,.T.); #212464=EDGE_CURVE('',#168642,#168633,#94051,.T.); #212465=EDGE_CURVE('',#168641,#168642,#94052,.T.); #212466=EDGE_CURVE('',#168640,#168643,#140119,.T.); #212467=EDGE_CURVE('',#168643,#168636,#94053,.T.); #212468=EDGE_CURVE('',#168644,#168628,#94054,.T.); #212469=EDGE_CURVE('',#168639,#168644,#94055,.T.); #212470=EDGE_CURVE('',#168637,#168644,#140120,.T.); #212471=EDGE_CURVE('',#168638,#168645,#140121,.T.); #212472=EDGE_CURVE('',#168645,#168631,#94056,.T.); #212473=EDGE_CURVE('',#168632,#168646,#94057,.T.); #212474=EDGE_CURVE('',#168646,#168645,#94058,.T.); #212475=EDGE_CURVE('',#168643,#168626,#94059,.T.); #212476=EDGE_CURVE('',#168646,#168642,#140122,.T.); #212477=EDGE_CURVE('',#168620,#168615,#94060,.T.); #212478=EDGE_CURVE('',#168647,#168648,#94061,.T.); #212479=EDGE_CURVE('',#168649,#168647,#94062,.T.); #212480=EDGE_CURVE('',#168650,#168649,#140123,.T.); #212481=EDGE_CURVE('',#168650,#168651,#94063,.T.); #212482=EDGE_CURVE('',#168651,#168652,#94064,.T.); #212483=EDGE_CURVE('',#168653,#168652,#140124,.T.); #212484=EDGE_CURVE('',#168653,#168654,#94065,.T.); #212485=EDGE_CURVE('',#168655,#168654,#140125,.T.); #212486=EDGE_CURVE('',#168655,#168656,#94066,.T.); #212487=EDGE_CURVE('',#168656,#168657,#94067,.T.); #212488=EDGE_CURVE('',#168658,#168657,#140126,.T.); #212489=EDGE_CURVE('',#168658,#168648,#94068,.T.); #212490=EDGE_CURVE('',#168659,#168647,#94069,.T.); #212491=EDGE_CURVE('',#168659,#168660,#94070,.T.); #212492=EDGE_CURVE('',#168649,#168660,#94071,.T.); #212493=EDGE_CURVE('',#168661,#168659,#94072,.T.); #212494=EDGE_CURVE('',#168661,#168662,#94073,.T.); #212495=EDGE_CURVE('',#168663,#168662,#140127,.T.); #212496=EDGE_CURVE('',#168663,#168664,#94074,.T.); #212497=EDGE_CURVE('',#168664,#168665,#94075,.T.); #212498=EDGE_CURVE('',#168666,#168665,#140128,.T.); #212499=EDGE_CURVE('',#168666,#168667,#94076,.T.); #212500=EDGE_CURVE('',#168668,#168667,#140129,.T.); #212501=EDGE_CURVE('',#168668,#168669,#94077,.T.); #212502=EDGE_CURVE('',#168669,#168670,#94078,.T.); #212503=EDGE_CURVE('',#168660,#168670,#140130,.T.); #212504=EDGE_CURVE('',#168652,#168668,#94079,.T.); #212505=EDGE_CURVE('',#168667,#168653,#94080,.T.); #212506=EDGE_CURVE('',#168654,#168666,#94081,.T.); #212507=EDGE_CURVE('',#168665,#168655,#94082,.T.); #212508=EDGE_CURVE('',#168662,#168658,#94083,.T.); #212509=EDGE_CURVE('',#168657,#168663,#94084,.T.); #212510=EDGE_CURVE('',#168664,#168656,#94085,.T.); #212511=EDGE_CURVE('',#168670,#168650,#94086,.T.); #212512=EDGE_CURVE('',#168651,#168669,#94087,.T.); #212513=EDGE_CURVE('',#168648,#168661,#94088,.T.); #212514=EDGE_CURVE('',#168671,#168672,#94089,.T.); #212515=EDGE_CURVE('',#168671,#168673,#94090,.T.); #212516=EDGE_CURVE('',#168673,#168674,#94091,.T.); #212517=EDGE_CURVE('',#168672,#168674,#94092,.T.); #212518=EDGE_CURVE('',#168675,#168673,#140131,.T.); #212519=EDGE_CURVE('',#168676,#168671,#140132,.T.); #212520=EDGE_CURVE('',#168676,#168675,#94093,.T.); #212521=EDGE_CURVE('',#168677,#168678,#94094,.T.); #212522=EDGE_CURVE('',#168678,#168679,#140133,.T.); #212523=EDGE_CURVE('',#168680,#168679,#94095,.T.); #212524=EDGE_CURVE('',#168677,#168680,#140134,.T.); #212525=EDGE_CURVE('',#168681,#168678,#94096,.T.); #212526=EDGE_CURVE('',#168677,#168682,#94097,.T.); #212527=EDGE_CURVE('',#168681,#168682,#94098,.T.); #212528=EDGE_CURVE('',#168679,#168683,#94099,.T.); #212529=EDGE_CURVE('',#168684,#168683,#140135,.T.); #212530=EDGE_CURVE('',#168684,#168685,#94100,.T.); #212531=EDGE_CURVE('',#168685,#168686,#94101,.T.); #212532=EDGE_CURVE('',#168687,#168686,#140136,.T.); #212533=EDGE_CURVE('',#168687,#168688,#94102,.T.); #212534=EDGE_CURVE('',#168689,#168688,#140137,.T.); #212535=EDGE_CURVE('',#168689,#168690,#94103,.T.); #212536=EDGE_CURVE('',#168690,#168691,#94104,.T.); #212537=EDGE_CURVE('',#168692,#168691,#140138,.T.); #212538=EDGE_CURVE('',#168692,#168680,#94105,.T.); #212539=EDGE_CURVE('',#168693,#168675,#94106,.T.); #212540=EDGE_CURVE('',#168683,#168693,#94107,.T.); #212541=EDGE_CURVE('',#168674,#168681,#94108,.T.); #212542=EDGE_CURVE('',#168694,#168695,#140139,.T.); #212543=EDGE_CURVE('',#168695,#168689,#94109,.T.); #212544=EDGE_CURVE('',#168688,#168694,#94110,.T.); #212545=EDGE_CURVE('',#168696,#168676,#94111,.T.); #212546=EDGE_CURVE('',#168697,#168696,#140140,.T.); #212547=EDGE_CURVE('',#168697,#168698,#94112,.T.); #212548=EDGE_CURVE('',#168698,#168695,#94113,.T.); #212549=EDGE_CURVE('',#168694,#168699,#94114,.T.); #212550=EDGE_CURVE('',#168700,#168699,#140141,.T.); #212551=EDGE_CURVE('',#168700,#168701,#94115,.T.); #212552=EDGE_CURVE('',#168701,#168702,#94116,.T.); #212553=EDGE_CURVE('',#168693,#168702,#140142,.T.); #212554=EDGE_CURVE('',#168699,#168687,#94117,.T.); #212555=EDGE_CURVE('',#168686,#168700,#94118,.T.); #212556=EDGE_CURVE('',#168702,#168684,#94119,.T.); #212557=EDGE_CURVE('',#168685,#168701,#94120,.T.); #212558=EDGE_CURVE('',#168696,#168692,#94121,.T.); #212559=EDGE_CURVE('',#168691,#168697,#94122,.T.); #212560=EDGE_CURVE('',#168682,#168672,#94123,.T.); #212561=EDGE_CURVE('',#168698,#168690,#94124,.T.); #212562=EDGE_CURVE('',#168703,#168704,#94125,.T.); #212563=EDGE_CURVE('',#168705,#168703,#94126,.T.); #212564=EDGE_CURVE('',#168705,#168706,#94127,.T.); #212565=EDGE_CURVE('',#168706,#168704,#94128,.T.); #212566=EDGE_CURVE('',#168707,#168708,#94129,.T.); #212567=EDGE_CURVE('',#168707,#168709,#94130,.T.); #212568=EDGE_CURVE('',#168710,#168709,#94131,.T.); #212569=EDGE_CURVE('',#168710,#168708,#94132,.T.); #212570=EDGE_CURVE('',#168709,#168711,#140143,.T.); #212571=EDGE_CURVE('',#168712,#168711,#94133,.T.); #212572=EDGE_CURVE('',#168710,#168712,#140144,.T.); #212573=EDGE_CURVE('',#168713,#168706,#140145,.T.); #212574=EDGE_CURVE('',#168714,#168705,#140146,.T.); #212575=EDGE_CURVE('',#168714,#168713,#94134,.T.); #212576=EDGE_CURVE('',#168711,#168715,#94135,.T.); #212577=EDGE_CURVE('',#168716,#168715,#140147,.T.); #212578=EDGE_CURVE('',#168716,#168717,#94136,.T.); #212579=EDGE_CURVE('',#168717,#168718,#94137,.T.); #212580=EDGE_CURVE('',#168719,#168718,#140148,.T.); #212581=EDGE_CURVE('',#168719,#168720,#94138,.T.); #212582=EDGE_CURVE('',#168721,#168720,#140149,.T.); #212583=EDGE_CURVE('',#168721,#168722,#94139,.T.); #212584=EDGE_CURVE('',#168722,#168723,#94140,.T.); #212585=EDGE_CURVE('',#168724,#168723,#140150,.T.); #212586=EDGE_CURVE('',#168724,#168712,#94141,.T.); #212587=EDGE_CURVE('',#168725,#168713,#94142,.T.); #212588=EDGE_CURVE('',#168715,#168725,#94143,.T.); #212589=EDGE_CURVE('',#168704,#168707,#94144,.T.); #212590=EDGE_CURVE('',#168718,#168726,#94145,.T.); #212591=EDGE_CURVE('',#168717,#168727,#94146,.T.); #212592=EDGE_CURVE('',#168726,#168727,#94147,.T.); #212593=EDGE_CURVE('',#168723,#168728,#94148,.T.); #212594=EDGE_CURVE('',#168729,#168722,#94149,.T.); #212595=EDGE_CURVE('',#168728,#168729,#94150,.T.); #212596=EDGE_CURVE('',#168730,#168721,#94151,.T.); #212597=EDGE_CURVE('',#168729,#168730,#94152,.T.); #212598=EDGE_CURVE('',#168728,#168731,#140151,.T.); #212599=EDGE_CURVE('',#168731,#168724,#94153,.T.); #212600=EDGE_CURVE('',#168732,#168716,#94154,.T.); #212601=EDGE_CURVE('',#168727,#168732,#94155,.T.); #212602=EDGE_CURVE('',#168725,#168732,#140152,.T.); #212603=EDGE_CURVE('',#168726,#168733,#140153,.T.); #212604=EDGE_CURVE('',#168733,#168719,#94156,.T.); #212605=EDGE_CURVE('',#168720,#168734,#94157,.T.); #212606=EDGE_CURVE('',#168734,#168733,#94158,.T.); #212607=EDGE_CURVE('',#168731,#168714,#94159,.T.); #212608=EDGE_CURVE('',#168734,#168730,#140154,.T.); #212609=EDGE_CURVE('',#168708,#168703,#94160,.T.); #212610=EDGE_CURVE('',#168735,#168736,#94161,.T.); #212611=EDGE_CURVE('',#168737,#168735,#94162,.T.); #212612=EDGE_CURVE('',#168738,#168737,#140155,.T.); #212613=EDGE_CURVE('',#168738,#168739,#94163,.T.); #212614=EDGE_CURVE('',#168739,#168740,#94164,.T.); #212615=EDGE_CURVE('',#168741,#168740,#140156,.T.); #212616=EDGE_CURVE('',#168741,#168742,#94165,.T.); #212617=EDGE_CURVE('',#168743,#168742,#140157,.T.); #212618=EDGE_CURVE('',#168743,#168744,#94166,.T.); #212619=EDGE_CURVE('',#168744,#168745,#94167,.T.); #212620=EDGE_CURVE('',#168746,#168745,#140158,.T.); #212621=EDGE_CURVE('',#168746,#168736,#94168,.T.); #212622=EDGE_CURVE('',#168747,#168735,#94169,.T.); #212623=EDGE_CURVE('',#168747,#168748,#94170,.T.); #212624=EDGE_CURVE('',#168737,#168748,#94171,.T.); #212625=EDGE_CURVE('',#168749,#168747,#94172,.T.); #212626=EDGE_CURVE('',#168749,#168750,#94173,.T.); #212627=EDGE_CURVE('',#168751,#168750,#140159,.T.); #212628=EDGE_CURVE('',#168751,#168752,#94174,.T.); #212629=EDGE_CURVE('',#168752,#168753,#94175,.T.); #212630=EDGE_CURVE('',#168754,#168753,#140160,.T.); #212631=EDGE_CURVE('',#168754,#168755,#94176,.T.); #212632=EDGE_CURVE('',#168756,#168755,#140161,.T.); #212633=EDGE_CURVE('',#168756,#168757,#94177,.T.); #212634=EDGE_CURVE('',#168757,#168758,#94178,.T.); #212635=EDGE_CURVE('',#168748,#168758,#140162,.T.); #212636=EDGE_CURVE('',#168736,#168749,#94179,.T.); #212637=EDGE_CURVE('',#168750,#168746,#94180,.T.); #212638=EDGE_CURVE('',#168753,#168743,#94181,.T.); #212639=EDGE_CURVE('',#168752,#168744,#94182,.T.); #212640=EDGE_CURVE('',#168758,#168738,#94183,.T.); #212641=EDGE_CURVE('',#168739,#168757,#94184,.T.); #212642=EDGE_CURVE('',#168740,#168756,#94185,.T.); #212643=EDGE_CURVE('',#168745,#168751,#94186,.T.); #212644=EDGE_CURVE('',#168742,#168754,#94187,.T.); #212645=EDGE_CURVE('',#168755,#168741,#94188,.T.); #212646=EDGE_CURVE('',#168484,#168759,#94189,.T.); #212647=EDGE_CURVE('',#168759,#168760,#212,.T.); #212648=EDGE_CURVE('',#168761,#168760,#140163,.T.); #212649=EDGE_CURVE('',#168762,#168761,#94190,.T.); #212650=EDGE_CURVE('',#168763,#168762,#140164,.T.); #212651=EDGE_CURVE('',#168763,#168764,#94191,.T.); #212652=EDGE_CURVE('',#168764,#168484,#94192,.T.); #212653=EDGE_CURVE('',#168765,#168478,#94193,.T.); #212654=EDGE_CURVE('',#168766,#168765,#94194,.T.); #212655=EDGE_CURVE('',#168766,#168767,#94195,.T.); #212656=EDGE_CURVE('',#168767,#168768,#94196,.T.); #212657=EDGE_CURVE('',#168769,#168768,#94197,.T.); #212658=EDGE_CURVE('',#168770,#168769,#94198,.T.); #212659=EDGE_CURVE('',#168770,#168476,#94199,.T.); #212660=EDGE_CURVE('',#168476,#168478,#94200,.T.); #212661=EDGE_CURVE('',#168488,#168771,#94201,.T.); #212662=EDGE_CURVE('',#168488,#168772,#94202,.T.); #212663=EDGE_CURVE('',#168772,#168773,#94203,.T.); #212664=EDGE_CURVE('',#168774,#168773,#140165,.T.); #212665=EDGE_CURVE('',#168775,#168774,#94204,.T.); #212666=EDGE_CURVE('',#168775,#168776,#213,.T.); #212667=EDGE_CURVE('',#168771,#168776,#140166,.T.); #212668=EDGE_CURVE('',#168777,#168778,#94205,.T.); #212669=EDGE_CURVE('',#168779,#168778,#140167,.T.); #212670=EDGE_CURVE('',#168779,#168780,#94206,.T.); #212671=EDGE_CURVE('',#168781,#168780,#140168,.T.); #212672=EDGE_CURVE('',#168492,#168781,#94207,.T.); #212673=EDGE_CURVE('',#168492,#168777,#94208,.T.); #212674=EDGE_CURVE('',#168493,#168782,#140169,.T.); #212675=EDGE_CURVE('',#168493,#168783,#94209,.T.); #212676=EDGE_CURVE('',#168784,#168783,#140170,.T.); #212677=EDGE_CURVE('',#168785,#168784,#94210,.T.); #212678=EDGE_CURVE('',#168786,#168785,#140171,.T.); #212679=EDGE_CURVE('',#168786,#168487,#94211,.T.); #212680=EDGE_CURVE('',#168787,#168487,#140172,.T.); #212681=EDGE_CURVE('',#168787,#168788,#94212,.T.); #212682=EDGE_CURVE('',#168789,#168788,#94213,.T.); #212683=EDGE_CURVE('',#168790,#168789,#94214,.T.); #212684=EDGE_CURVE('',#168790,#168791,#94215,.T.); #212685=EDGE_CURVE('',#168791,#168792,#94216,.T.); #212686=EDGE_CURVE('',#168793,#168792,#94217,.T.); #212687=EDGE_CURVE('',#168794,#168793,#94218,.T.); #212688=EDGE_CURVE('',#168794,#168795,#94219,.T.); #212689=EDGE_CURVE('',#168795,#168782,#94220,.T.); #212690=EDGE_CURVE('',#168796,#168462,#214,.T.); #212691=EDGE_CURVE('',#168456,#168796,#215,.T.); #212692=EDGE_CURVE('',#168797,#168444,#216,.T.); #212693=EDGE_CURVE('',#168797,#168472,#217,.T.); #212694=EDGE_CURVE('',#168798,#168797,#140173,.T.); #212695=EDGE_CURVE('',#168798,#168471,#218,.T.); #212696=EDGE_CURVE('',#168459,#168799,#219,.T.); #212697=EDGE_CURVE('',#168443,#168798,#220,.T.); #212698=EDGE_CURVE('',#168799,#168455,#221,.T.); #212699=EDGE_CURVE('',#168796,#168799,#140174,.T.); #212700=EDGE_CURVE('',#168800,#168801,#94221,.T.); #212701=EDGE_CURVE('',#168802,#168800,#94222,.T.); #212702=EDGE_CURVE('',#168802,#168803,#94223,.T.); #212703=EDGE_CURVE('',#168804,#168803,#94224,.T.); #212704=EDGE_CURVE('',#168805,#168804,#94225,.T.); #212705=EDGE_CURVE('',#168801,#168805,#94226,.T.); #212706=EDGE_CURVE('',#168806,#168802,#94227,.T.); #212707=EDGE_CURVE('',#168807,#168806,#94228,.T.); #212708=EDGE_CURVE('',#168803,#168807,#94229,.T.); #212709=EDGE_CURVE('',#168801,#168808,#94230,.T.); #212710=EDGE_CURVE('',#168805,#168809,#94231,.T.); #212711=EDGE_CURVE('',#168809,#168808,#94232,.T.); #212712=EDGE_CURVE('',#168810,#168804,#94233,.T.); #212713=EDGE_CURVE('',#168809,#168810,#94234,.T.); #212714=EDGE_CURVE('',#168808,#168811,#94235,.T.); #212715=EDGE_CURVE('',#168807,#168810,#94236,.T.); #212716=EDGE_CURVE('',#168811,#168806,#94237,.T.); #212717=EDGE_CURVE('',#168812,#168811,#94238,.T.); #212718=EDGE_CURVE('',#168813,#168812,#94239,.T.); #212719=EDGE_CURVE('',#168814,#168813,#94240,.T.); #212720=EDGE_CURVE('',#168815,#168814,#94241,.T.); #212721=EDGE_CURVE('',#168816,#168815,#94242,.T.); #212722=EDGE_CURVE('',#168817,#168816,#94243,.T.); #212723=EDGE_CURVE('',#168818,#168817,#94244,.T.); #212724=EDGE_CURVE('',#168818,#168819,#94245,.T.); #212725=EDGE_CURVE('',#168800,#168819,#94246,.T.); #212726=EDGE_CURVE('',#168820,#168821,#94247,.T.); #212727=EDGE_CURVE('',#168821,#168822,#94248,.T.); #212728=EDGE_CURVE('',#168822,#168823,#94249,.T.); #212729=EDGE_CURVE('',#168823,#168820,#94250,.T.); #212730=EDGE_CURVE('',#168821,#168824,#94251,.T.); #212731=EDGE_CURVE('',#168824,#168825,#94252,.T.); #212732=EDGE_CURVE('',#168825,#168822,#94253,.T.); #212733=EDGE_CURVE('',#168826,#168827,#94254,.T.); #212734=EDGE_CURVE('',#168827,#168828,#94255,.T.); #212735=EDGE_CURVE('',#168828,#168829,#94256,.T.); #212736=EDGE_CURVE('',#168829,#168826,#94257,.T.); #212737=EDGE_CURVE('',#168830,#168831,#94258,.T.); #212738=EDGE_CURVE('',#168831,#168832,#94259,.T.); #212739=EDGE_CURVE('',#168832,#168833,#94260,.T.); #212740=EDGE_CURVE('',#168830,#168833,#94261,.T.); #212741=EDGE_CURVE('',#168834,#168830,#94262,.T.); #212742=EDGE_CURVE('',#168833,#168835,#94263,.T.); #212743=EDGE_CURVE('',#168835,#168834,#94264,.T.); #212744=EDGE_CURVE('',#168836,#168837,#94265,.T.); #212745=EDGE_CURVE('',#168837,#168838,#94266,.T.); #212746=EDGE_CURVE('',#168839,#168838,#94267,.T.); #212747=EDGE_CURVE('',#168836,#168839,#94268,.T.); #212748=EDGE_CURVE('',#168838,#168840,#140175,.F.); #212749=EDGE_CURVE('',#168841,#168840,#94269,.F.); #212750=EDGE_CURVE('',#168839,#168841,#140176,.T.); #212751=EDGE_CURVE('',#168842,#168843,#94270,.T.); #212752=EDGE_CURVE('',#168841,#168843,#94271,.T.); #212753=EDGE_CURVE('',#168840,#168842,#94272,.T.); #212754=EDGE_CURVE('',#168814,#168844,#140177,.T.); #212755=EDGE_CURVE('',#168813,#168845,#94273,.T.); #212756=EDGE_CURVE('',#168845,#168846,#94274,.F.); #212757=EDGE_CURVE('',#168846,#168847,#140178,.T.); #212758=EDGE_CURVE('',#168847,#168848,#94275,.T.); #212759=EDGE_CURVE('',#168848,#168844,#94276,.T.); #212760=EDGE_CURVE('',#168849,#168848,#94277,.T.); #212761=EDGE_CURVE('',#168847,#168850,#94278,.F.); #212762=EDGE_CURVE('',#168850,#168849,#94279,.T.); #212763=EDGE_CURVE('',#168812,#168851,#94280,.T.); #212764=EDGE_CURVE('',#168845,#168851,#94281,.F.); #212765=EDGE_CURVE('',#168851,#168852,#94282,.T.); #212766=EDGE_CURVE('',#168846,#168852,#94283,.T.); #212767=EDGE_CURVE('',#168852,#168850,#140179,.F.); #212768=EDGE_CURVE('',#168853,#168854,#94284,.T.); #212769=EDGE_CURVE('',#168854,#168855,#94285,.T.); #212770=EDGE_CURVE('',#168856,#168855,#94286,.T.); #212771=EDGE_CURVE('',#168853,#168856,#94287,.T.); #212772=EDGE_CURVE('',#168855,#168857,#140180,.F.); #212773=EDGE_CURVE('',#168858,#168857,#94288,.F.); #212774=EDGE_CURVE('',#168856,#168858,#140181,.T.); #212775=EDGE_CURVE('',#168859,#168860,#94289,.T.); #212776=EDGE_CURVE('',#168858,#168860,#94290,.T.); #212777=EDGE_CURVE('',#168857,#168859,#94291,.T.); #212778=EDGE_CURVE('',#168861,#168862,#94292,.T.); #212779=EDGE_CURVE('',#168863,#168862,#94293,.T.); #212780=EDGE_CURVE('',#168864,#168863,#94294,.F.); #212781=EDGE_CURVE('',#168864,#168861,#94295,.T.); #212782=EDGE_CURVE('',#168862,#168819,#94296,.T.); #212783=EDGE_CURVE('',#168863,#168818,#94297,.T.); #212784=EDGE_CURVE('',#168865,#168866,#94298,.T.); #212785=EDGE_CURVE('',#168866,#168867,#94299,.T.); #212786=EDGE_CURVE('',#168868,#168867,#94300,.T.); #212787=EDGE_CURVE('',#168865,#168868,#94301,.T.); #212788=EDGE_CURVE('',#168867,#168861,#140182,.F.); #212789=EDGE_CURVE('',#168868,#168864,#140183,.T.); #212790=EDGE_CURVE('',#168866,#168842,#94302,.T.); #212791=EDGE_CURVE('',#168837,#168859,#94303,.T.); #212792=EDGE_CURVE('',#168854,#168849,#94304,.T.); #212793=EDGE_CURVE('',#168869,#168870,#140184,.T.); #212794=EDGE_CURVE('',#168869,#168871,#94305,.T.); #212795=EDGE_CURVE('',#168871,#168872,#140185,.T.); #212796=EDGE_CURVE('',#168870,#168872,#94306,.T.); #212797=EDGE_CURVE('',#168873,#168874,#94307,.T.); #212798=EDGE_CURVE('',#168829,#168874,#94308,.T.); #212799=EDGE_CURVE('',#168875,#168828,#140186,.T.); #212800=EDGE_CURVE('',#168876,#168875,#94309,.T.); #212801=EDGE_CURVE('',#168877,#168876,#140187,.T.); #212802=EDGE_CURVE('',#168878,#168877,#94310,.T.); #212803=EDGE_CURVE('',#168879,#168878,#94311,.T.); #212804=EDGE_CURVE('',#168880,#168879,#94312,.T.); #212805=EDGE_CURVE('',#168881,#168880,#94313,.T.); #212806=EDGE_CURVE('',#168835,#168881,#94314,.T.); #212807=EDGE_CURVE('',#168882,#168832,#94315,.T.); #212808=EDGE_CURVE('',#168873,#168882,#94316,.T.); #212809=EDGE_CURVE('',#168883,#168884,#94317,.T.); #212810=EDGE_CURVE('',#168884,#168881,#94318,.T.); #212811=EDGE_CURVE('',#168883,#168880,#94319,.T.); #212812=EDGE_CURVE('',#168885,#168883,#94320,.T.); #212813=EDGE_CURVE('',#168872,#168879,#94321,.T.); #212814=EDGE_CURVE('',#168885,#168871,#94322,.T.); #212815=EDGE_CURVE('',#168886,#168887,#140188,.T.); #212816=EDGE_CURVE('',#168886,#168888,#94323,.T.); #212817=EDGE_CURVE('',#168889,#168888,#140189,.T.); #212818=EDGE_CURVE('',#168889,#168834,#94324,.T.); #212819=EDGE_CURVE('',#168884,#168890,#94325,.T.); #212820=EDGE_CURVE('',#168891,#168890,#94326,.T.); #212821=EDGE_CURVE('',#168891,#168887,#94327,.T.); #212822=EDGE_CURVE('',#168824,#168891,#94328,.T.); #212823=EDGE_CURVE('',#168890,#168825,#94329,.T.); #212824=EDGE_CURVE('',#168892,#168893,#140190,.T.); #212825=EDGE_CURVE('',#168892,#168820,#94330,.T.); #212826=EDGE_CURVE('',#168823,#168894,#94331,.T.); #212827=EDGE_CURVE('',#168894,#168873,#94332,.T.); #212828=EDGE_CURVE('',#168895,#168882,#94333,.T.); #212829=EDGE_CURVE('',#168895,#168896,#94334,.T.); #212830=EDGE_CURVE('',#168897,#168896,#140191,.T.); #212831=EDGE_CURVE('',#168897,#168893,#94335,.T.); #212832=EDGE_CURVE('',#168831,#168895,#94336,.T.); #212833=EDGE_CURVE('',#168898,#168899,#94337,.T.); #212834=EDGE_CURVE('',#168900,#168899,#94338,.T.); #212835=EDGE_CURVE('',#168900,#168901,#94339,.T.); #212836=EDGE_CURVE('',#168898,#168901,#94340,.T.); #212837=EDGE_CURVE('',#168902,#168898,#140192,.T.); #212838=EDGE_CURVE('',#168901,#168903,#140193,.T.); #212839=EDGE_CURVE('',#168902,#168903,#94341,.T.); #212840=EDGE_CURVE('',#168904,#168902,#94342,.T.); #212841=EDGE_CURVE('',#168903,#168905,#94343,.T.); #212842=EDGE_CURVE('',#168904,#168905,#94344,.T.); #212843=EDGE_CURVE('',#168906,#168904,#140194,.T.); #212844=EDGE_CURVE('',#168905,#168907,#140195,.T.); #212845=EDGE_CURVE('',#168906,#168907,#94345,.T.); #212846=EDGE_CURVE('',#168908,#168906,#94346,.T.); #212847=EDGE_CURVE('',#168907,#168909,#94347,.T.); #212848=EDGE_CURVE('',#168909,#168908,#94348,.T.); #212849=EDGE_CURVE('',#168910,#168908,#94349,.T.); #212850=EDGE_CURVE('',#168909,#168885,#94350,.T.); #212851=EDGE_CURVE('',#168910,#168885,#94351,.T.); #212852=EDGE_CURVE('',#168911,#168910,#94352,.T.); #212853=EDGE_CURVE('',#168911,#168869,#94353,.T.); #212854=EDGE_CURVE('',#168912,#168913,#94354,.T.); #212855=EDGE_CURVE('',#168870,#168913,#94355,.T.); #212856=EDGE_CURVE('',#168879,#168912,#94356,.T.); #212857=EDGE_CURVE('',#168914,#168912,#94357,.T.); #212858=EDGE_CURVE('',#168878,#168914,#94358,.T.); #212859=EDGE_CURVE('',#168915,#168914,#94359,.T.); #212860=EDGE_CURVE('',#168877,#168915,#94360,.T.); #212861=EDGE_CURVE('',#168916,#168915,#140196,.T.); #212862=EDGE_CURVE('',#168916,#168876,#94361,.T.); #212863=EDGE_CURVE('',#168917,#168916,#94362,.T.); #212864=EDGE_CURVE('',#168917,#168875,#94363,.T.); #212865=EDGE_CURVE('',#168827,#168917,#140197,.T.); #212866=EDGE_CURVE('',#168918,#168894,#94364,.T.); #212867=EDGE_CURVE('',#168918,#168900,#94365,.T.); #212868=EDGE_CURVE('',#168874,#168918,#94366,.T.); #212869=EDGE_CURVE('',#168919,#168920,#140198,.T.); #212870=EDGE_CURVE('',#168919,#168853,#94367,.T.); #212871=EDGE_CURVE('',#168860,#168920,#94368,.T.); #212872=EDGE_CURVE('',#168783,#168889,#94369,.T.); #212873=EDGE_CURVE('',#168888,#168784,#94370,.T.); #212874=EDGE_CURVE('',#168921,#168922,#94371,.T.); #212875=EDGE_CURVE('',#168843,#168921,#94372,.T.); #212876=EDGE_CURVE('',#168923,#168865,#94373,.T.); #212877=EDGE_CURVE('',#168924,#168923,#94374,.T.); #212878=EDGE_CURVE('',#168913,#168924,#94375,.T.); #212879=EDGE_CURVE('',#168922,#168826,#94376,.T.); #212880=EDGE_CURVE('',#168923,#168817,#140199,.T.); #212881=EDGE_CURVE('',#168816,#168924,#140200,.T.); #212882=EDGE_CURVE('',#168925,#168921,#140201,.T.); #212883=EDGE_CURVE('',#168925,#168836,#94377,.T.); #212884=EDGE_CURVE('',#168922,#168926,#140202,.T.); #212885=EDGE_CURVE('',#168926,#168925,#94378,.T.); #212886=EDGE_CURVE('',#168896,#168491,#94379,.T.); #212887=EDGE_CURVE('',#168477,#168491,#140203,.T.); #212888=EDGE_CURVE('',#168477,#168897,#94380,.T.); #212889=EDGE_CURVE('',#168785,#168886,#94381,.T.); #212890=EDGE_CURVE('',#168887,#168786,#94382,.T.); #212891=EDGE_CURVE('',#168927,#168919,#94383,.T.); #212892=EDGE_CURVE('',#168899,#168927,#94384,.T.); #212893=EDGE_CURVE('',#168928,#168911,#94385,.T.); #212894=EDGE_CURVE('',#168844,#168928,#94386,.T.); #212895=EDGE_CURVE('',#168928,#168815,#140204,.T.); #212896=EDGE_CURVE('',#168920,#168929,#94387,.T.); #212897=EDGE_CURVE('',#168929,#168926,#94388,.T.); #212898=EDGE_CURVE('',#168929,#168927,#140205,.T.); #212899=EDGE_CURVE('',#168930,#168931,#94389,.T.); #212900=EDGE_CURVE('',#168931,#168496,#94390,.T.); #212901=EDGE_CURVE('',#168496,#168932,#94391,.T.); #212902=EDGE_CURVE('',#168933,#168932,#140206,.T.); #212903=EDGE_CURVE('',#168934,#168933,#94392,.T.); #212904=EDGE_CURVE('',#168930,#168934,#140207,.T.); #212905=EDGE_CURVE('',#168481,#168475,#140208,.T.); #212906=EDGE_CURVE('',#168481,#168892,#94393,.T.); #212907=EDGE_CURVE('',#168893,#168475,#94394,.T.); #212908=EDGE_CURVE('',#168772,#168764,#94395,.T.); #212909=EDGE_CURVE('',#168764,#168935,#94396,.T.); #212910=EDGE_CURVE('',#168935,#168936,#94397,.T.); #212911=EDGE_CURVE('',#168936,#168772,#94398,.T.); #212912=EDGE_CURVE('',#168474,#168482,#140209,.T.); #212913=EDGE_CURVE('',#168937,#168494,#140210,.T.); #212914=EDGE_CURVE('',#168937,#168938,#94399,.T.); #212915=EDGE_CURVE('',#168939,#168938,#94400,.T.); #212916=EDGE_CURVE('',#168940,#168939,#94401,.T.); #212917=EDGE_CURVE('',#168940,#168941,#94402,.T.); #212918=EDGE_CURVE('',#168942,#168941,#94403,.T.); #212919=EDGE_CURVE('',#168942,#168943,#94404,.T.); #212920=EDGE_CURVE('',#168944,#168943,#94405,.T.); #212921=EDGE_CURVE('',#168944,#168945,#94406,.T.); #212922=EDGE_CURVE('',#168945,#168946,#94407,.T.); #212923=EDGE_CURVE('',#168486,#168946,#140211,.T.); #212924=EDGE_CURVE('',#168947,#168485,#94408,.T.); #212925=EDGE_CURVE('',#168947,#168948,#140212,.T.); #212926=EDGE_CURVE('',#168949,#168948,#222,.F.); #212927=EDGE_CURVE('',#168949,#168950,#94409,.T.); #212928=EDGE_CURVE('',#168951,#168950,#140213,.T.); #212929=EDGE_CURVE('',#168951,#168952,#94410,.T.); #212930=EDGE_CURVE('',#168953,#168952,#94411,.T.); #212931=EDGE_CURVE('',#168953,#168954,#94412,.T.); #212932=EDGE_CURVE('',#168955,#168954,#140214,.T.); #212933=EDGE_CURVE('',#168955,#168956,#94413,.T.); #212934=EDGE_CURVE('',#168956,#168957,#140215,.T.); #212935=EDGE_CURVE('',#168958,#168957,#223,.F.); #212936=EDGE_CURVE('',#168958,#168483,#94414,.T.); #212937=EDGE_CURVE('',#168959,#168473,#94415,.T.); #212938=EDGE_CURVE('',#168960,#168959,#94416,.T.); #212939=EDGE_CURVE('',#168960,#168961,#94417,.T.); #212940=EDGE_CURVE('',#168962,#168961,#94418,.T.); #212941=EDGE_CURVE('',#168963,#168962,#94419,.T.); #212942=EDGE_CURVE('',#168964,#168963,#94420,.T.); #212943=EDGE_CURVE('',#168964,#168480,#94421,.T.); #212944=EDGE_CURVE('',#168490,#168479,#140216,.T.); #212945=EDGE_CURVE('',#168965,#168489,#94422,.T.); #212946=EDGE_CURVE('',#168965,#168966,#140217,.T.); #212947=EDGE_CURVE('',#168966,#168967,#94423,.T.); #212948=EDGE_CURVE('',#168968,#168967,#140218,.T.); #212949=EDGE_CURVE('',#168968,#168969,#94424,.T.); #212950=EDGE_CURVE('',#168970,#168969,#94425,.T.); #212951=EDGE_CURVE('',#168970,#168971,#94426,.T.); #212952=EDGE_CURVE('',#168972,#168971,#140219,.T.); #212953=EDGE_CURVE('',#168972,#168973,#94427,.T.); #212954=EDGE_CURVE('',#168973,#168974,#140220,.T.); #212955=EDGE_CURVE('',#168974,#168495,#94428,.T.); #212956=EDGE_CURVE('',#168946,#168787,#94429,.T.); #212957=EDGE_CURVE('',#168788,#168945,#94430,.T.); #212958=EDGE_CURVE('',#168782,#168937,#94431,.T.); #212959=EDGE_CURVE('',#168777,#168931,#94432,.T.); #212960=EDGE_CURVE('',#168765,#168964,#94433,.T.); #212961=EDGE_CURVE('',#168938,#168795,#94434,.T.); #212962=EDGE_CURVE('',#168939,#168794,#94435,.T.); #212963=EDGE_CURVE('',#168789,#168944,#94436,.T.); #212964=EDGE_CURVE('',#168959,#168770,#94437,.T.); #212965=EDGE_CURVE('',#168769,#168960,#94438,.T.); #212966=EDGE_CURVE('',#168961,#168768,#94439,.T.); #212967=EDGE_CURVE('',#168975,#168976,#94440,.T.); #212968=EDGE_CURVE('',#168975,#168977,#94441,.T.); #212969=EDGE_CURVE('',#168977,#168978,#94442,.T.); #212970=EDGE_CURVE('',#168976,#168978,#94443,.T.); #212971=EDGE_CURVE('',#168979,#168980,#94444,.T.); #212972=EDGE_CURVE('',#168981,#168979,#94445,.T.); #212973=EDGE_CURVE('',#168982,#168981,#94446,.T.); #212974=EDGE_CURVE('',#168982,#168980,#94447,.T.); #212975=EDGE_CURVE('',#168982,#168962,#140221,.T.); #212976=EDGE_CURVE('',#168961,#168977,#140222,.T.); #212977=EDGE_CURVE('',#168977,#168982,#94448,.T.); #212978=EDGE_CURVE('',#168981,#168767,#140223,.T.); #212979=EDGE_CURVE('',#168981,#168978,#94449,.T.); #212980=EDGE_CURVE('',#168768,#168978,#140224,.T.); #212981=EDGE_CURVE('',#168962,#168767,#94450,.T.); #212982=EDGE_CURVE('',#168963,#168766,#94451,.T.); #212983=EDGE_CURVE('',#168983,#168975,#140225,.T.); #212984=EDGE_CURVE('',#168983,#168984,#94452,.T.); #212985=EDGE_CURVE('',#168980,#168984,#140226,.T.); #212986=EDGE_CURVE('',#168985,#168979,#140227,.T.); #212987=EDGE_CURVE('',#168984,#168985,#94453,.T.); #212988=EDGE_CURVE('',#168976,#168986,#140228,.T.); #212989=EDGE_CURVE('',#168985,#168986,#94454,.T.); #212990=EDGE_CURVE('',#168986,#168983,#94455,.T.); #212991=EDGE_CURVE('',#168793,#168940,#94456,.T.); #212992=EDGE_CURVE('',#168943,#168790,#94457,.T.); #212993=EDGE_CURVE('',#168942,#168791,#94458,.T.); #212994=EDGE_CURVE('',#168987,#168988,#94459,.T.); #212995=EDGE_CURVE('',#168989,#168987,#94460,.T.); #212996=EDGE_CURVE('',#168989,#168990,#94461,.T.); #212997=EDGE_CURVE('',#168990,#168988,#94462,.T.); #212998=EDGE_CURVE('',#168991,#168992,#94463,.T.); #212999=EDGE_CURVE('',#168991,#168993,#94464,.T.); #213000=EDGE_CURVE('',#168994,#168993,#94465,.T.); #213001=EDGE_CURVE('',#168992,#168994,#94466,.T.); #213002=EDGE_CURVE('',#168994,#168942,#140229,.T.); #213003=EDGE_CURVE('',#168941,#168989,#140230,.T.); #213004=EDGE_CURVE('',#168989,#168994,#94467,.T.); #213005=EDGE_CURVE('',#168993,#168791,#140231,.T.); #213006=EDGE_CURVE('',#168993,#168990,#94468,.T.); #213007=EDGE_CURVE('',#168792,#168990,#140232,.T.); #213008=EDGE_CURVE('',#168941,#168792,#94469,.T.); #213009=EDGE_CURVE('',#168995,#168987,#140233,.T.); #213010=EDGE_CURVE('',#168995,#168996,#94470,.T.); #213011=EDGE_CURVE('',#168992,#168996,#140234,.T.); #213012=EDGE_CURVE('',#168997,#168991,#140235,.T.); #213013=EDGE_CURVE('',#168996,#168997,#94471,.T.); #213014=EDGE_CURVE('',#168988,#168998,#140236,.T.); #213015=EDGE_CURVE('',#168997,#168998,#94472,.T.); #213016=EDGE_CURVE('',#168998,#168995,#94473,.T.); #213017=EDGE_CURVE('',#168932,#168974,#94474,.T.); #213018=EDGE_CURVE('',#168759,#168958,#94475,.T.); #213019=EDGE_CURVE('',#168773,#168951,#94476,.T.); #213020=EDGE_CURVE('',#168950,#168774,#94477,.T.); #213021=EDGE_CURVE('',#168999,#168936,#140237,.T.); #213022=EDGE_CURVE('',#169000,#168999,#94478,.T.); #213023=EDGE_CURVE('',#169001,#169000,#94479,.T.); #213024=EDGE_CURVE('',#169002,#169001,#94480,.T.); #213025=EDGE_CURVE('',#168952,#169002,#140238,.T.); #213026=EDGE_CURVE('',#169000,#169003,#140239,.T.); #213027=EDGE_CURVE('',#169003,#169004,#94481,.T.); #213028=EDGE_CURVE('',#169004,#169001,#140240,.T.); #213029=EDGE_CURVE('',#169005,#169003,#94482,.T.); #213030=EDGE_CURVE('',#169005,#169006,#94483,.T.); #213031=EDGE_CURVE('',#169004,#169006,#94484,.T.); #213032=EDGE_CURVE('',#169007,#168999,#94485,.T.); #213033=EDGE_CURVE('',#169007,#169008,#94486,.T.); #213034=EDGE_CURVE('',#169009,#169008,#140241,.T.); #213035=EDGE_CURVE('',#169009,#169010,#94487,.T.); #213036=EDGE_CURVE('',#169010,#169005,#94488,.T.); #213037=EDGE_CURVE('',#168954,#168763,#94489,.T.); #213038=EDGE_CURVE('',#168762,#168955,#94490,.T.); #213039=EDGE_CURVE('',#169011,#168953,#140242,.T.); #213040=EDGE_CURVE('',#169012,#169011,#94491,.T.); #213041=EDGE_CURVE('',#169008,#169012,#94492,.T.); #213042=EDGE_CURVE('',#168935,#169007,#140243,.T.); #213043=EDGE_CURVE('',#169012,#169013,#140244,.T.); #213044=EDGE_CURVE('',#169013,#169009,#94493,.T.); #213045=EDGE_CURVE('',#169006,#169014,#94494,.T.); #213046=EDGE_CURVE('',#169014,#169013,#94495,.T.); #213047=EDGE_CURVE('',#169002,#169011,#94496,.T.); #213048=EDGE_CURVE('',#169015,#169016,#140245,.T.); #213049=EDGE_CURVE('',#169016,#169017,#94497,.T.); #213050=EDGE_CURVE('',#169017,#169018,#140246,.T.); #213051=EDGE_CURVE('',#169018,#169015,#94498,.T.); #213052=EDGE_CURVE('',#169015,#169019,#94499,.T.); #213053=EDGE_CURVE('',#169020,#169019,#94500,.T.); #213054=EDGE_CURVE('',#169020,#169021,#94501,.T.); #213055=EDGE_CURVE('',#169022,#169021,#140247,.T.); #213056=EDGE_CURVE('',#169022,#169023,#94502,.T.); #213057=EDGE_CURVE('',#169023,#169024,#94503,.T.); #213058=EDGE_CURVE('',#169024,#169016,#94504,.T.); #213059=EDGE_CURVE('',#169025,#169018,#94505,.T.); #213060=EDGE_CURVE('',#169026,#169025,#140248,.T.); #213061=EDGE_CURVE('',#168931,#169026,#94506,.T.); #213062=EDGE_CURVE('',#168971,#168930,#94507,.T.); #213063=EDGE_CURVE('',#169019,#168970,#140249,.T.); #213064=EDGE_CURVE('',#168934,#168972,#94508,.T.); #213065=EDGE_CURVE('',#169027,#169028,#140250,.T.); #213066=EDGE_CURVE('',#169028,#169022,#94509,.T.); #213067=EDGE_CURVE('',#169021,#169027,#94510,.T.); #213068=EDGE_CURVE('',#169017,#169029,#94511,.T.); #213069=EDGE_CURVE('',#169029,#169030,#94512,.T.); #213070=EDGE_CURVE('',#169030,#169028,#94513,.T.); #213071=EDGE_CURVE('',#169027,#169031,#94514,.T.); #213072=EDGE_CURVE('',#169025,#169031,#94515,.T.); #213073=EDGE_CURVE('',#168969,#169020,#140251,.T.); #213074=EDGE_CURVE('',#168778,#168968,#94516,.T.); #213075=EDGE_CURVE('',#169032,#168777,#94517,.T.); #213076=EDGE_CURVE('',#169031,#169032,#140252,.T.); #213077=EDGE_CURVE('',#168967,#168779,#94518,.T.); #213078=EDGE_CURVE('',#169026,#169032,#94519,.T.); #213079=EDGE_CURVE('',#169024,#169029,#94520,.T.); #213080=EDGE_CURVE('',#168933,#168973,#94521,.T.); #213081=EDGE_CURVE('',#168780,#168966,#94522,.T.); #213082=EDGE_CURVE('',#169014,#169010,#94523,.T.); #213083=EDGE_CURVE('',#168775,#168949,#94524,.T.); #213084=EDGE_CURVE('',#168761,#168956,#94525,.T.); #213085=EDGE_CURVE('',#169030,#169023,#94526,.T.); #213086=EDGE_CURVE('',#168781,#168965,#94527,.T.); #213087=EDGE_CURVE('',#168771,#168947,#94528,.T.); #213088=EDGE_CURVE('',#168760,#168957,#94529,.T.); #213089=EDGE_CURVE('',#168776,#168948,#94530,.T.); #213090=EDGE_CURVE('',#169033,#169034,#140253,.T.); #213091=EDGE_CURVE('',#169035,#169033,#94531,.T.); #213092=EDGE_CURVE('',#169036,#169035,#140254,.T.); #213093=EDGE_CURVE('',#169034,#169036,#94532,.T.); #213094=EDGE_CURVE('',#169037,#169038,#140255,.T.); #213095=EDGE_CURVE('',#169039,#169037,#94533,.T.); #213096=EDGE_CURVE('',#169040,#169039,#140256,.T.); #213097=EDGE_CURVE('',#169038,#169040,#94534,.T.); #213098=EDGE_CURVE('',#169041,#169042,#94535,.T.); #213099=EDGE_CURVE('',#169041,#169043,#140257,.T.); #213100=EDGE_CURVE('',#169044,#169043,#94536,.T.); #213101=EDGE_CURVE('',#169042,#169044,#140258,.T.); #213102=EDGE_CURVE('',#169045,#169046,#94537,.T.); #213103=EDGE_CURVE('',#169047,#169045,#140259,.T.); #213104=EDGE_CURVE('',#169048,#169047,#94538,.T.); #213105=EDGE_CURVE('',#169048,#169046,#140260,.T.); #213106=EDGE_CURVE('',#169049,#169050,#94539,.T.); #213107=EDGE_CURVE('',#169049,#169014,#140261,.T.); #213108=EDGE_CURVE('',#169006,#169050,#140262,.T.); #213109=EDGE_CURVE('',#169051,#169010,#140263,.T.); #213110=EDGE_CURVE('',#169051,#169052,#94540,.T.); #213111=EDGE_CURVE('',#169005,#169052,#140264,.T.); #213112=EDGE_CURVE('',#169040,#169048,#94541,.T.); #213113=EDGE_CURVE('',#169036,#169039,#94542,.T.); #213114=EDGE_CURVE('',#169043,#169035,#94543,.T.); #213115=EDGE_CURVE('',#169043,#169048,#94544,.T.); #213116=EDGE_CURVE('',#169041,#169046,#94545,.T.); #213117=EDGE_CURVE('',#169053,#169041,#94546,.T.); #213118=EDGE_CURVE('',#169053,#169054,#94547,.T.); #213119=EDGE_CURVE('',#169046,#169054,#94548,.T.); #213120=EDGE_CURVE('',#169055,#169053,#140265,.T.); #213121=EDGE_CURVE('',#169055,#169056,#94549,.T.); #213122=EDGE_CURVE('',#169054,#169056,#140266,.T.); #213123=EDGE_CURVE('',#169055,#169049,#94550,.T.); #213124=EDGE_CURVE('',#169050,#169056,#94551,.T.); #213125=EDGE_CURVE('',#169034,#169037,#94552,.T.); #213126=EDGE_CURVE('',#169038,#169047,#94553,.T.); #213127=EDGE_CURVE('',#169044,#169033,#94554,.T.); #213128=EDGE_CURVE('',#169044,#169047,#94555,.T.); #213129=EDGE_CURVE('',#169042,#169045,#94556,.T.); #213130=EDGE_CURVE('',#169057,#169058,#94557,.T.); #213131=EDGE_CURVE('',#169057,#169042,#94558,.T.); #213132=EDGE_CURVE('',#169045,#169058,#94559,.T.); #213133=EDGE_CURVE('',#169059,#169060,#94560,.T.); #213134=EDGE_CURVE('',#169059,#169057,#140267,.T.); #213135=EDGE_CURVE('',#169058,#169060,#140268,.T.); #213136=EDGE_CURVE('',#169059,#169051,#94561,.T.); #213137=EDGE_CURVE('',#169052,#169060,#94562,.T.); #213138=EDGE_CURVE('',#169054,#169058,#94563,.T.); #213139=EDGE_CURVE('',#169060,#169056,#94564,.T.); #213140=EDGE_CURVE('',#169050,#169052,#94565,.T.); #213141=EDGE_CURVE('',#169057,#169053,#94566,.T.); #213142=EDGE_CURVE('',#169055,#169059,#94567,.T.); #213143=EDGE_CURVE('',#169051,#169049,#94568,.T.); #213144=EDGE_CURVE('',#169061,#169062,#140269,.T.); #213145=EDGE_CURVE('',#169063,#169061,#94569,.T.); #213146=EDGE_CURVE('',#169064,#169063,#140270,.T.); #213147=EDGE_CURVE('',#169062,#169064,#94570,.T.); #213148=EDGE_CURVE('',#169065,#169066,#140271,.T.); #213149=EDGE_CURVE('',#169067,#169065,#94571,.T.); #213150=EDGE_CURVE('',#169068,#169067,#140272,.T.); #213151=EDGE_CURVE('',#169066,#169068,#94572,.T.); #213152=EDGE_CURVE('',#169069,#169070,#94573,.T.); #213153=EDGE_CURVE('',#169069,#169071,#140273,.T.); #213154=EDGE_CURVE('',#169072,#169071,#94574,.T.); #213155=EDGE_CURVE('',#169070,#169072,#140274,.T.); #213156=EDGE_CURVE('',#169073,#169074,#94575,.T.); #213157=EDGE_CURVE('',#169075,#169073,#140275,.T.); #213158=EDGE_CURVE('',#169076,#169075,#94576,.T.); #213159=EDGE_CURVE('',#169076,#169074,#140276,.T.); #213160=EDGE_CURVE('',#169077,#169023,#140277,.T.); #213161=EDGE_CURVE('',#169077,#169078,#94577,.T.); #213162=EDGE_CURVE('',#169024,#169078,#140278,.T.); #213163=EDGE_CURVE('',#169029,#169079,#140279,.T.); #213164=EDGE_CURVE('',#169080,#169079,#94578,.T.); #213165=EDGE_CURVE('',#169080,#169030,#140280,.T.); #213166=EDGE_CURVE('',#169062,#169065,#94579,.T.); #213167=EDGE_CURVE('',#169066,#169075,#94580,.T.); #213168=EDGE_CURVE('',#169072,#169075,#94581,.T.); #213169=EDGE_CURVE('',#169072,#169061,#94582,.T.); #213170=EDGE_CURVE('',#169070,#169073,#94583,.T.); #213171=EDGE_CURVE('',#169081,#169082,#94584,.T.); #213172=EDGE_CURVE('',#169081,#169070,#94585,.T.); #213173=EDGE_CURVE('',#169073,#169082,#94586,.T.); #213174=EDGE_CURVE('',#169083,#169077,#94587,.T.); #213175=EDGE_CURVE('',#169083,#169084,#94588,.T.); #213176=EDGE_CURVE('',#169078,#169084,#94589,.T.); #213177=EDGE_CURVE('',#169064,#169067,#94590,.T.); #213178=EDGE_CURVE('',#169068,#169076,#94591,.T.); #213179=EDGE_CURVE('',#169071,#169063,#94592,.T.); #213180=EDGE_CURVE('',#169071,#169076,#94593,.T.); #213181=EDGE_CURVE('',#169069,#169074,#94594,.T.); #213182=EDGE_CURVE('',#169085,#169069,#94595,.T.); #213183=EDGE_CURVE('',#169085,#169086,#94596,.T.); #213184=EDGE_CURVE('',#169074,#169086,#94597,.T.); #213185=EDGE_CURVE('',#169087,#169088,#94598,.T.); #213186=EDGE_CURVE('',#169087,#169080,#94599,.T.); #213187=EDGE_CURVE('',#169079,#169088,#94600,.T.); #213188=EDGE_CURVE('',#169086,#169082,#94601,.T.); #213189=EDGE_CURVE('',#169084,#169088,#94602,.T.); #213190=EDGE_CURVE('',#169082,#169084,#140281,.T.); #213191=EDGE_CURVE('',#169086,#169088,#140282,.T.); #213192=EDGE_CURVE('',#169079,#169078,#94603,.T.); #213193=EDGE_CURVE('',#169081,#169085,#94604,.T.); #213194=EDGE_CURVE('',#169077,#169080,#94605,.T.); #213195=EDGE_CURVE('',#169087,#169083,#94606,.T.); #213196=EDGE_CURVE('',#169087,#169085,#140283,.T.); #213197=EDGE_CURVE('',#169083,#169081,#140284,.T.); #213198=EDGE_CURVE('',#169089,#169089,#140285,.T.); #213199=EDGE_CURVE('',#169089,#169090,#94607,.T.); #213200=EDGE_CURVE('',#169090,#169090,#140286,.T.); #213201=EDGE_CURVE('',#169091,#169092,#94608,.T.); #213202=EDGE_CURVE('',#169092,#169093,#94609,.T.); #213203=EDGE_CURVE('',#169093,#169094,#94610,.T.); #213204=EDGE_CURVE('',#169094,#169091,#94611,.T.); #213205=EDGE_CURVE('',#169095,#169093,#94612,.T.); #213206=EDGE_CURVE('',#169092,#169096,#94613,.T.); #213207=EDGE_CURVE('',#169095,#169096,#94614,.T.); #213208=EDGE_CURVE('',#169097,#169091,#94615,.T.); #213209=EDGE_CURVE('',#169094,#169098,#94616,.T.); #213210=EDGE_CURVE('',#169098,#169097,#94617,.T.); #213211=EDGE_CURVE('',#169096,#169097,#94618,.T.); #213212=EDGE_CURVE('',#169098,#169095,#94619,.T.); #213213=EDGE_CURVE('',#169094,#169099,#94620,.T.); #213214=EDGE_CURVE('',#169100,#169099,#94621,.T.); #213215=EDGE_CURVE('',#169098,#169100,#94622,.T.); #213216=EDGE_CURVE('',#169093,#169101,#94623,.T.); #213217=EDGE_CURVE('',#169099,#169101,#94624,.T.); #213218=EDGE_CURVE('',#169102,#169100,#94625,.T.); #213219=EDGE_CURVE('',#169095,#169102,#94626,.T.); #213220=EDGE_CURVE('',#169101,#169102,#94627,.T.); #213221=EDGE_CURVE('',#169103,#169104,#140287,.T.); #213222=EDGE_CURVE('',#169103,#169105,#94628,.T.); #213223=EDGE_CURVE('',#169105,#169106,#94629,.T.); #213224=EDGE_CURVE('',#169104,#169106,#94630,.T.); #213225=EDGE_CURVE('',#169104,#169107,#94631,.T.); #213226=EDGE_CURVE('',#169107,#169108,#140288,.T.); #213227=EDGE_CURVE('',#169108,#169103,#94632,.T.); #213228=EDGE_CURVE('',#169109,#169110,#94633,.T.); #213229=EDGE_CURVE('',#169110,#169111,#94634,.T.); #213230=EDGE_CURVE('',#169112,#169111,#94635,.T.); #213231=EDGE_CURVE('',#169109,#169112,#94636,.T.); #213232=EDGE_CURVE('',#169105,#169109,#94637,.T.); #213233=EDGE_CURVE('',#169106,#169112,#94638,.T.); #213234=EDGE_CURVE('',#169113,#169107,#94639,.T.); #213235=EDGE_CURVE('',#169114,#169113,#94640,.T.); #213236=EDGE_CURVE('',#169114,#169108,#94641,.T.); #213237=EDGE_CURVE('',#169110,#169114,#94642,.T.); #213238=EDGE_CURVE('',#169111,#169113,#94643,.T.); #213239=EDGE_CURVE('',#169115,#169116,#140289,.T.); #213240=EDGE_CURVE('',#169116,#169117,#94644,.T.); #213241=EDGE_CURVE('',#169118,#169117,#94645,.T.); #213242=EDGE_CURVE('',#169115,#169118,#94646,.T.); #213243=EDGE_CURVE('',#169119,#169115,#94647,.T.); #213244=EDGE_CURVE('',#169120,#169119,#140290,.T.); #213245=EDGE_CURVE('',#169116,#169120,#94648,.T.); #213246=EDGE_CURVE('',#169121,#169122,#94649,.T.); #213247=EDGE_CURVE('',#169121,#169123,#94650,.T.); #213248=EDGE_CURVE('',#169123,#169124,#94651,.T.); #213249=EDGE_CURVE('',#169122,#169124,#94652,.T.); #213250=EDGE_CURVE('',#169118,#169121,#94653,.T.); #213251=EDGE_CURVE('',#169117,#169123,#94654,.T.); #213252=EDGE_CURVE('',#169125,#169119,#94655,.T.); #213253=EDGE_CURVE('',#169125,#169126,#94656,.T.); #213254=EDGE_CURVE('',#169126,#169120,#94657,.T.); #213255=EDGE_CURVE('',#169122,#169125,#94658,.T.); #213256=EDGE_CURVE('',#169124,#169126,#94659,.T.); #213257=EDGE_CURVE('',#169127,#169128,#140291,.T.); #213258=EDGE_CURVE('',#169127,#169129,#94660,.T.); #213259=EDGE_CURVE('',#169129,#169130,#94661,.T.); #213260=EDGE_CURVE('',#169128,#169130,#94662,.T.); #213261=EDGE_CURVE('',#169128,#169131,#94663,.T.); #213262=EDGE_CURVE('',#169131,#169132,#140292,.T.); #213263=EDGE_CURVE('',#169132,#169127,#94664,.T.); #213264=EDGE_CURVE('',#169133,#169134,#94665,.T.); #213265=EDGE_CURVE('',#169134,#169135,#94666,.T.); #213266=EDGE_CURVE('',#169136,#169135,#94667,.T.); #213267=EDGE_CURVE('',#169133,#169136,#94668,.T.); #213268=EDGE_CURVE('',#169129,#169133,#94669,.T.); #213269=EDGE_CURVE('',#169130,#169136,#94670,.T.); #213270=EDGE_CURVE('',#169137,#169131,#94671,.T.); #213271=EDGE_CURVE('',#169138,#169137,#94672,.T.); #213272=EDGE_CURVE('',#169138,#169132,#94673,.T.); #213273=EDGE_CURVE('',#169134,#169138,#94674,.T.); #213274=EDGE_CURVE('',#169135,#169137,#94675,.T.); #213275=EDGE_CURVE('',#169139,#169140,#140293,.T.); #213276=EDGE_CURVE('',#169139,#169141,#94676,.T.); #213277=EDGE_CURVE('',#169141,#169142,#94677,.T.); #213278=EDGE_CURVE('',#169140,#169142,#94678,.T.); #213279=EDGE_CURVE('',#169140,#169143,#94679,.T.); #213280=EDGE_CURVE('',#169143,#169144,#140294,.T.); #213281=EDGE_CURVE('',#169144,#169139,#94680,.T.); #213282=EDGE_CURVE('',#169145,#169146,#94681,.T.); #213283=EDGE_CURVE('',#169146,#169147,#94682,.T.); #213284=EDGE_CURVE('',#169148,#169147,#94683,.T.); #213285=EDGE_CURVE('',#169145,#169148,#94684,.T.); #213286=EDGE_CURVE('',#169141,#169145,#94685,.T.); #213287=EDGE_CURVE('',#169142,#169148,#94686,.T.); #213288=EDGE_CURVE('',#169149,#169143,#94687,.T.); #213289=EDGE_CURVE('',#169150,#169149,#94688,.T.); #213290=EDGE_CURVE('',#169150,#169144,#94689,.T.); #213291=EDGE_CURVE('',#169146,#169150,#94690,.T.); #213292=EDGE_CURVE('',#169147,#169149,#94691,.T.); #213293=EDGE_CURVE('',#169151,#169152,#140295,.T.); #213294=EDGE_CURVE('',#169151,#169153,#94692,.T.); #213295=EDGE_CURVE('',#169153,#169154,#94693,.T.); #213296=EDGE_CURVE('',#169152,#169154,#94694,.T.); #213297=EDGE_CURVE('',#169152,#169155,#94695,.T.); #213298=EDGE_CURVE('',#169155,#169156,#140296,.T.); #213299=EDGE_CURVE('',#169156,#169151,#94696,.T.); #213300=EDGE_CURVE('',#169157,#169158,#94697,.T.); #213301=EDGE_CURVE('',#169158,#169159,#94698,.T.); #213302=EDGE_CURVE('',#169160,#169159,#94699,.T.); #213303=EDGE_CURVE('',#169157,#169160,#94700,.T.); #213304=EDGE_CURVE('',#169153,#169157,#94701,.T.); #213305=EDGE_CURVE('',#169154,#169160,#94702,.T.); #213306=EDGE_CURVE('',#169161,#169155,#94703,.T.); #213307=EDGE_CURVE('',#169162,#169161,#94704,.T.); #213308=EDGE_CURVE('',#169162,#169156,#94705,.T.); #213309=EDGE_CURVE('',#169158,#169162,#94706,.T.); #213310=EDGE_CURVE('',#169159,#169161,#94707,.T.); #213311=EDGE_CURVE('',#169163,#169164,#140297,.T.); #213312=EDGE_CURVE('',#169163,#169165,#94708,.T.); #213313=EDGE_CURVE('',#169165,#169166,#94709,.T.); #213314=EDGE_CURVE('',#169164,#169166,#94710,.T.); #213315=EDGE_CURVE('',#169164,#169167,#94711,.T.); #213316=EDGE_CURVE('',#169167,#169168,#140298,.T.); #213317=EDGE_CURVE('',#169168,#169163,#94712,.T.); #213318=EDGE_CURVE('',#169169,#169170,#94713,.T.); #213319=EDGE_CURVE('',#169170,#169171,#94714,.T.); #213320=EDGE_CURVE('',#169172,#169171,#94715,.T.); #213321=EDGE_CURVE('',#169169,#169172,#94716,.T.); #213322=EDGE_CURVE('',#169165,#169169,#94717,.T.); #213323=EDGE_CURVE('',#169166,#169172,#94718,.T.); #213324=EDGE_CURVE('',#169173,#169167,#94719,.T.); #213325=EDGE_CURVE('',#169174,#169173,#94720,.T.); #213326=EDGE_CURVE('',#169174,#169168,#94721,.T.); #213327=EDGE_CURVE('',#169170,#169174,#94722,.T.); #213328=EDGE_CURVE('',#169171,#169173,#94723,.T.); #213329=EDGE_CURVE('',#169175,#169176,#140299,.T.); #213330=EDGE_CURVE('',#169175,#169177,#94724,.T.); #213331=EDGE_CURVE('',#169177,#169178,#94725,.T.); #213332=EDGE_CURVE('',#169176,#169178,#94726,.T.); #213333=EDGE_CURVE('',#169176,#169179,#94727,.T.); #213334=EDGE_CURVE('',#169179,#169180,#140300,.T.); #213335=EDGE_CURVE('',#169180,#169175,#94728,.T.); #213336=EDGE_CURVE('',#169181,#169182,#94729,.T.); #213337=EDGE_CURVE('',#169182,#169183,#94730,.T.); #213338=EDGE_CURVE('',#169184,#169183,#94731,.T.); #213339=EDGE_CURVE('',#169181,#169184,#94732,.T.); #213340=EDGE_CURVE('',#169177,#169181,#94733,.T.); #213341=EDGE_CURVE('',#169178,#169184,#94734,.T.); #213342=EDGE_CURVE('',#169185,#169179,#94735,.T.); #213343=EDGE_CURVE('',#169186,#169185,#94736,.T.); #213344=EDGE_CURVE('',#169186,#169180,#94737,.T.); #213345=EDGE_CURVE('',#169182,#169186,#94738,.T.); #213346=EDGE_CURVE('',#169183,#169185,#94739,.T.); #213347=EDGE_CURVE('',#169187,#169188,#140301,.T.); #213348=EDGE_CURVE('',#169187,#169189,#94740,.T.); #213349=EDGE_CURVE('',#169189,#169190,#94741,.T.); #213350=EDGE_CURVE('',#169188,#169190,#94742,.T.); #213351=EDGE_CURVE('',#169188,#169191,#94743,.T.); #213352=EDGE_CURVE('',#169191,#169192,#140302,.T.); #213353=EDGE_CURVE('',#169192,#169187,#94744,.T.); #213354=EDGE_CURVE('',#169193,#169194,#94745,.T.); #213355=EDGE_CURVE('',#169194,#169195,#94746,.T.); #213356=EDGE_CURVE('',#169196,#169195,#94747,.T.); #213357=EDGE_CURVE('',#169193,#169196,#94748,.T.); #213358=EDGE_CURVE('',#169189,#169193,#94749,.T.); #213359=EDGE_CURVE('',#169190,#169196,#94750,.T.); #213360=EDGE_CURVE('',#169197,#169191,#94751,.T.); #213361=EDGE_CURVE('',#169198,#169197,#94752,.T.); #213362=EDGE_CURVE('',#169198,#169192,#94753,.T.); #213363=EDGE_CURVE('',#169194,#169198,#94754,.T.); #213364=EDGE_CURVE('',#169195,#169197,#94755,.T.); #213365=EDGE_CURVE('',#169199,#169200,#140303,.T.); #213366=EDGE_CURVE('',#169199,#169201,#94756,.T.); #213367=EDGE_CURVE('',#169201,#169202,#94757,.T.); #213368=EDGE_CURVE('',#169200,#169202,#94758,.T.); #213369=EDGE_CURVE('',#169200,#169203,#94759,.T.); #213370=EDGE_CURVE('',#169203,#169204,#140304,.T.); #213371=EDGE_CURVE('',#169204,#169199,#94760,.T.); #213372=EDGE_CURVE('',#169205,#169206,#94761,.T.); #213373=EDGE_CURVE('',#169206,#169207,#94762,.T.); #213374=EDGE_CURVE('',#169208,#169207,#94763,.T.); #213375=EDGE_CURVE('',#169205,#169208,#94764,.T.); #213376=EDGE_CURVE('',#169201,#169205,#94765,.T.); #213377=EDGE_CURVE('',#169202,#169208,#94766,.T.); #213378=EDGE_CURVE('',#169209,#169203,#94767,.T.); #213379=EDGE_CURVE('',#169210,#169209,#94768,.T.); #213380=EDGE_CURVE('',#169210,#169204,#94769,.T.); #213381=EDGE_CURVE('',#169206,#169210,#94770,.T.); #213382=EDGE_CURVE('',#169207,#169209,#94771,.T.); #213383=EDGE_CURVE('',#169211,#169212,#140305,.T.); #213384=EDGE_CURVE('',#169211,#169213,#94772,.T.); #213385=EDGE_CURVE('',#169213,#169214,#94773,.T.); #213386=EDGE_CURVE('',#169212,#169214,#94774,.T.); #213387=EDGE_CURVE('',#169212,#169215,#94775,.T.); #213388=EDGE_CURVE('',#169215,#169216,#140306,.T.); #213389=EDGE_CURVE('',#169216,#169211,#94776,.T.); #213390=EDGE_CURVE('',#169217,#169218,#94777,.T.); #213391=EDGE_CURVE('',#169218,#169219,#94778,.T.); #213392=EDGE_CURVE('',#169220,#169219,#94779,.T.); #213393=EDGE_CURVE('',#169217,#169220,#94780,.T.); #213394=EDGE_CURVE('',#169213,#169217,#94781,.T.); #213395=EDGE_CURVE('',#169214,#169220,#94782,.T.); #213396=EDGE_CURVE('',#169221,#169215,#94783,.T.); #213397=EDGE_CURVE('',#169222,#169221,#94784,.T.); #213398=EDGE_CURVE('',#169222,#169216,#94785,.T.); #213399=EDGE_CURVE('',#169218,#169222,#94786,.T.); #213400=EDGE_CURVE('',#169219,#169221,#94787,.T.); #213401=EDGE_CURVE('',#169223,#169224,#140307,.T.); #213402=EDGE_CURVE('',#169223,#169225,#94788,.T.); #213403=EDGE_CURVE('',#169225,#169226,#94789,.T.); #213404=EDGE_CURVE('',#169224,#169226,#94790,.T.); #213405=EDGE_CURVE('',#169224,#169227,#94791,.T.); #213406=EDGE_CURVE('',#169227,#169228,#140308,.T.); #213407=EDGE_CURVE('',#169228,#169223,#94792,.T.); #213408=EDGE_CURVE('',#169229,#169230,#94793,.T.); #213409=EDGE_CURVE('',#169230,#169231,#94794,.T.); #213410=EDGE_CURVE('',#169232,#169231,#94795,.T.); #213411=EDGE_CURVE('',#169229,#169232,#94796,.T.); #213412=EDGE_CURVE('',#169225,#169229,#94797,.T.); #213413=EDGE_CURVE('',#169226,#169232,#94798,.T.); #213414=EDGE_CURVE('',#169233,#169227,#94799,.T.); #213415=EDGE_CURVE('',#169234,#169233,#94800,.T.); #213416=EDGE_CURVE('',#169234,#169228,#94801,.T.); #213417=EDGE_CURVE('',#169230,#169234,#94802,.T.); #213418=EDGE_CURVE('',#169231,#169233,#94803,.T.); #213419=EDGE_CURVE('',#169235,#169236,#140309,.T.); #213420=EDGE_CURVE('',#169235,#169237,#94804,.T.); #213421=EDGE_CURVE('',#169237,#169238,#94805,.T.); #213422=EDGE_CURVE('',#169236,#169238,#94806,.T.); #213423=EDGE_CURVE('',#169236,#169239,#94807,.T.); #213424=EDGE_CURVE('',#169239,#169240,#140310,.T.); #213425=EDGE_CURVE('',#169240,#169235,#94808,.T.); #213426=EDGE_CURVE('',#169241,#169242,#94809,.T.); #213427=EDGE_CURVE('',#169242,#169243,#94810,.T.); #213428=EDGE_CURVE('',#169244,#169243,#94811,.T.); #213429=EDGE_CURVE('',#169241,#169244,#94812,.T.); #213430=EDGE_CURVE('',#169237,#169241,#94813,.T.); #213431=EDGE_CURVE('',#169238,#169244,#94814,.T.); #213432=EDGE_CURVE('',#169245,#169239,#94815,.T.); #213433=EDGE_CURVE('',#169246,#169245,#94816,.T.); #213434=EDGE_CURVE('',#169246,#169240,#94817,.T.); #213435=EDGE_CURVE('',#169242,#169246,#94818,.T.); #213436=EDGE_CURVE('',#169243,#169245,#94819,.T.); #213437=EDGE_CURVE('',#169247,#169248,#140311,.T.); #213438=EDGE_CURVE('',#169247,#169249,#94820,.T.); #213439=EDGE_CURVE('',#169249,#169250,#94821,.T.); #213440=EDGE_CURVE('',#169248,#169250,#94822,.T.); #213441=EDGE_CURVE('',#169248,#169251,#94823,.T.); #213442=EDGE_CURVE('',#169251,#169252,#140312,.T.); #213443=EDGE_CURVE('',#169252,#169247,#94824,.T.); #213444=EDGE_CURVE('',#169253,#169254,#94825,.T.); #213445=EDGE_CURVE('',#169254,#169255,#94826,.T.); #213446=EDGE_CURVE('',#169256,#169255,#94827,.T.); #213447=EDGE_CURVE('',#169253,#169256,#94828,.T.); #213448=EDGE_CURVE('',#169249,#169253,#94829,.T.); #213449=EDGE_CURVE('',#169250,#169256,#94830,.T.); #213450=EDGE_CURVE('',#169257,#169251,#94831,.T.); #213451=EDGE_CURVE('',#169258,#169257,#94832,.T.); #213452=EDGE_CURVE('',#169258,#169252,#94833,.T.); #213453=EDGE_CURVE('',#169254,#169258,#94834,.T.); #213454=EDGE_CURVE('',#169255,#169257,#94835,.T.); #213455=EDGE_CURVE('',#169259,#169260,#140313,.T.); #213456=EDGE_CURVE('',#169260,#169261,#94836,.T.); #213457=EDGE_CURVE('',#169262,#169261,#94837,.T.); #213458=EDGE_CURVE('',#169259,#169262,#94838,.T.); #213459=EDGE_CURVE('',#169263,#169259,#94839,.T.); #213460=EDGE_CURVE('',#169264,#169263,#140314,.T.); #213461=EDGE_CURVE('',#169260,#169264,#94840,.T.); #213462=EDGE_CURVE('',#169265,#169266,#94841,.T.); #213463=EDGE_CURVE('',#169265,#169267,#94842,.T.); #213464=EDGE_CURVE('',#169267,#169268,#94843,.T.); #213465=EDGE_CURVE('',#169266,#169268,#94844,.T.); #213466=EDGE_CURVE('',#169262,#169265,#94845,.T.); #213467=EDGE_CURVE('',#169261,#169267,#94846,.T.); #213468=EDGE_CURVE('',#169269,#169263,#94847,.T.); #213469=EDGE_CURVE('',#169269,#169270,#94848,.T.); #213470=EDGE_CURVE('',#169270,#169264,#94849,.T.); #213471=EDGE_CURVE('',#169266,#169269,#94850,.T.); #213472=EDGE_CURVE('',#169268,#169270,#94851,.T.); #213473=EDGE_CURVE('',#169271,#169272,#140315,.T.); #213474=EDGE_CURVE('',#169272,#169273,#94852,.T.); #213475=EDGE_CURVE('',#169274,#169273,#94853,.T.); #213476=EDGE_CURVE('',#169271,#169274,#94854,.T.); #213477=EDGE_CURVE('',#169275,#169271,#94855,.T.); #213478=EDGE_CURVE('',#169276,#169275,#140316,.T.); #213479=EDGE_CURVE('',#169272,#169276,#94856,.T.); #213480=EDGE_CURVE('',#169277,#169278,#94857,.T.); #213481=EDGE_CURVE('',#169277,#169279,#94858,.T.); #213482=EDGE_CURVE('',#169279,#169280,#94859,.T.); #213483=EDGE_CURVE('',#169278,#169280,#94860,.T.); #213484=EDGE_CURVE('',#169274,#169277,#94861,.T.); #213485=EDGE_CURVE('',#169273,#169279,#94862,.T.); #213486=EDGE_CURVE('',#169281,#169275,#94863,.T.); #213487=EDGE_CURVE('',#169281,#169282,#94864,.T.); #213488=EDGE_CURVE('',#169282,#169276,#94865,.T.); #213489=EDGE_CURVE('',#169278,#169281,#94866,.T.); #213490=EDGE_CURVE('',#169280,#169282,#94867,.T.); #213491=EDGE_CURVE('',#169283,#169284,#140317,.T.); #213492=EDGE_CURVE('',#169284,#169285,#94868,.T.); #213493=EDGE_CURVE('',#169286,#169285,#94869,.T.); #213494=EDGE_CURVE('',#169283,#169286,#94870,.T.); #213495=EDGE_CURVE('',#169287,#169283,#94871,.T.); #213496=EDGE_CURVE('',#169288,#169287,#140318,.T.); #213497=EDGE_CURVE('',#169284,#169288,#94872,.T.); #213498=EDGE_CURVE('',#169289,#169290,#94873,.T.); #213499=EDGE_CURVE('',#169289,#169291,#94874,.T.); #213500=EDGE_CURVE('',#169291,#169292,#94875,.T.); #213501=EDGE_CURVE('',#169290,#169292,#94876,.T.); #213502=EDGE_CURVE('',#169286,#169289,#94877,.T.); #213503=EDGE_CURVE('',#169285,#169291,#94878,.T.); #213504=EDGE_CURVE('',#169293,#169287,#94879,.T.); #213505=EDGE_CURVE('',#169293,#169294,#94880,.T.); #213506=EDGE_CURVE('',#169294,#169288,#94881,.T.); #213507=EDGE_CURVE('',#169290,#169293,#94882,.T.); #213508=EDGE_CURVE('',#169292,#169294,#94883,.T.); #213509=EDGE_CURVE('',#169295,#169296,#140319,.T.); #213510=EDGE_CURVE('',#169296,#169297,#94884,.T.); #213511=EDGE_CURVE('',#169298,#169297,#94885,.T.); #213512=EDGE_CURVE('',#169295,#169298,#94886,.T.); #213513=EDGE_CURVE('',#169299,#169295,#94887,.T.); #213514=EDGE_CURVE('',#169300,#169299,#140320,.T.); #213515=EDGE_CURVE('',#169296,#169300,#94888,.T.); #213516=EDGE_CURVE('',#169301,#169302,#94889,.T.); #213517=EDGE_CURVE('',#169301,#169303,#94890,.T.); #213518=EDGE_CURVE('',#169303,#169304,#94891,.T.); #213519=EDGE_CURVE('',#169302,#169304,#94892,.T.); #213520=EDGE_CURVE('',#169298,#169301,#94893,.T.); #213521=EDGE_CURVE('',#169297,#169303,#94894,.T.); #213522=EDGE_CURVE('',#169305,#169299,#94895,.T.); #213523=EDGE_CURVE('',#169305,#169306,#94896,.T.); #213524=EDGE_CURVE('',#169306,#169300,#94897,.T.); #213525=EDGE_CURVE('',#169302,#169305,#94898,.T.); #213526=EDGE_CURVE('',#169304,#169306,#94899,.T.); #213527=EDGE_CURVE('',#169307,#169308,#140321,.T.); #213528=EDGE_CURVE('',#169308,#169309,#94900,.T.); #213529=EDGE_CURVE('',#169310,#169309,#94901,.T.); #213530=EDGE_CURVE('',#169307,#169310,#94902,.T.); #213531=EDGE_CURVE('',#169311,#169307,#94903,.T.); #213532=EDGE_CURVE('',#169312,#169311,#140322,.T.); #213533=EDGE_CURVE('',#169308,#169312,#94904,.T.); #213534=EDGE_CURVE('',#169313,#169314,#94905,.T.); #213535=EDGE_CURVE('',#169313,#169315,#94906,.T.); #213536=EDGE_CURVE('',#169315,#169316,#94907,.T.); #213537=EDGE_CURVE('',#169314,#169316,#94908,.T.); #213538=EDGE_CURVE('',#169310,#169313,#94909,.T.); #213539=EDGE_CURVE('',#169309,#169315,#94910,.T.); #213540=EDGE_CURVE('',#169317,#169311,#94911,.T.); #213541=EDGE_CURVE('',#169317,#169318,#94912,.T.); #213542=EDGE_CURVE('',#169318,#169312,#94913,.T.); #213543=EDGE_CURVE('',#169314,#169317,#94914,.T.); #213544=EDGE_CURVE('',#169316,#169318,#94915,.T.); #213545=EDGE_CURVE('',#169319,#169320,#140323,.T.); #213546=EDGE_CURVE('',#169320,#169321,#94916,.T.); #213547=EDGE_CURVE('',#169322,#169321,#94917,.T.); #213548=EDGE_CURVE('',#169319,#169322,#94918,.T.); #213549=EDGE_CURVE('',#169323,#169319,#94919,.T.); #213550=EDGE_CURVE('',#169324,#169323,#140324,.T.); #213551=EDGE_CURVE('',#169320,#169324,#94920,.T.); #213552=EDGE_CURVE('',#169325,#169326,#94921,.T.); #213553=EDGE_CURVE('',#169325,#169327,#94922,.T.); #213554=EDGE_CURVE('',#169327,#169328,#94923,.T.); #213555=EDGE_CURVE('',#169326,#169328,#94924,.T.); #213556=EDGE_CURVE('',#169322,#169325,#94925,.T.); #213557=EDGE_CURVE('',#169321,#169327,#94926,.T.); #213558=EDGE_CURVE('',#169329,#169323,#94927,.T.); #213559=EDGE_CURVE('',#169329,#169330,#94928,.T.); #213560=EDGE_CURVE('',#169330,#169324,#94929,.T.); #213561=EDGE_CURVE('',#169326,#169329,#94930,.T.); #213562=EDGE_CURVE('',#169328,#169330,#94931,.T.); #213563=EDGE_CURVE('',#169331,#169332,#140325,.T.); #213564=EDGE_CURVE('',#169332,#169333,#94932,.T.); #213565=EDGE_CURVE('',#169334,#169333,#94933,.T.); #213566=EDGE_CURVE('',#169331,#169334,#94934,.T.); #213567=EDGE_CURVE('',#169335,#169331,#94935,.T.); #213568=EDGE_CURVE('',#169336,#169335,#140326,.T.); #213569=EDGE_CURVE('',#169332,#169336,#94936,.T.); #213570=EDGE_CURVE('',#169337,#169338,#94937,.T.); #213571=EDGE_CURVE('',#169337,#169339,#94938,.T.); #213572=EDGE_CURVE('',#169339,#169340,#94939,.T.); #213573=EDGE_CURVE('',#169338,#169340,#94940,.T.); #213574=EDGE_CURVE('',#169334,#169337,#94941,.T.); #213575=EDGE_CURVE('',#169333,#169339,#94942,.T.); #213576=EDGE_CURVE('',#169341,#169335,#94943,.T.); #213577=EDGE_CURVE('',#169341,#169342,#94944,.T.); #213578=EDGE_CURVE('',#169342,#169336,#94945,.T.); #213579=EDGE_CURVE('',#169338,#169341,#94946,.T.); #213580=EDGE_CURVE('',#169340,#169342,#94947,.T.); #213581=EDGE_CURVE('',#169343,#169344,#140327,.T.); #213582=EDGE_CURVE('',#169344,#169345,#94948,.T.); #213583=EDGE_CURVE('',#169346,#169345,#94949,.T.); #213584=EDGE_CURVE('',#169343,#169346,#94950,.T.); #213585=EDGE_CURVE('',#169347,#169343,#94951,.T.); #213586=EDGE_CURVE('',#169348,#169347,#140328,.T.); #213587=EDGE_CURVE('',#169344,#169348,#94952,.T.); #213588=EDGE_CURVE('',#169349,#169350,#94953,.T.); #213589=EDGE_CURVE('',#169349,#169351,#94954,.T.); #213590=EDGE_CURVE('',#169351,#169352,#94955,.T.); #213591=EDGE_CURVE('',#169350,#169352,#94956,.T.); #213592=EDGE_CURVE('',#169346,#169349,#94957,.T.); #213593=EDGE_CURVE('',#169345,#169351,#94958,.T.); #213594=EDGE_CURVE('',#169353,#169347,#94959,.T.); #213595=EDGE_CURVE('',#169353,#169354,#94960,.T.); #213596=EDGE_CURVE('',#169354,#169348,#94961,.T.); #213597=EDGE_CURVE('',#169350,#169353,#94962,.T.); #213598=EDGE_CURVE('',#169352,#169354,#94963,.T.); #213599=EDGE_CURVE('',#169355,#169356,#140329,.T.); #213600=EDGE_CURVE('',#169356,#169357,#94964,.T.); #213601=EDGE_CURVE('',#169358,#169357,#94965,.T.); #213602=EDGE_CURVE('',#169355,#169358,#94966,.T.); #213603=EDGE_CURVE('',#169359,#169355,#94967,.T.); #213604=EDGE_CURVE('',#169360,#169359,#140330,.T.); #213605=EDGE_CURVE('',#169356,#169360,#94968,.T.); #213606=EDGE_CURVE('',#169361,#169362,#94969,.T.); #213607=EDGE_CURVE('',#169361,#169363,#94970,.T.); #213608=EDGE_CURVE('',#169363,#169364,#94971,.T.); #213609=EDGE_CURVE('',#169362,#169364,#94972,.T.); #213610=EDGE_CURVE('',#169358,#169361,#94973,.T.); #213611=EDGE_CURVE('',#169357,#169363,#94974,.T.); #213612=EDGE_CURVE('',#169365,#169359,#94975,.T.); #213613=EDGE_CURVE('',#169365,#169366,#94976,.T.); #213614=EDGE_CURVE('',#169366,#169360,#94977,.T.); #213615=EDGE_CURVE('',#169362,#169365,#94978,.T.); #213616=EDGE_CURVE('',#169364,#169366,#94979,.T.); #213617=EDGE_CURVE('',#169367,#169368,#140331,.T.); #213618=EDGE_CURVE('',#169368,#169369,#94980,.T.); #213619=EDGE_CURVE('',#169370,#169369,#94981,.T.); #213620=EDGE_CURVE('',#169367,#169370,#94982,.T.); #213621=EDGE_CURVE('',#169371,#169367,#94983,.T.); #213622=EDGE_CURVE('',#169372,#169371,#140332,.T.); #213623=EDGE_CURVE('',#169368,#169372,#94984,.T.); #213624=EDGE_CURVE('',#169373,#169374,#94985,.T.); #213625=EDGE_CURVE('',#169373,#169375,#94986,.T.); #213626=EDGE_CURVE('',#169375,#169376,#94987,.T.); #213627=EDGE_CURVE('',#169374,#169376,#94988,.T.); #213628=EDGE_CURVE('',#169370,#169373,#94989,.T.); #213629=EDGE_CURVE('',#169369,#169375,#94990,.T.); #213630=EDGE_CURVE('',#169377,#169371,#94991,.T.); #213631=EDGE_CURVE('',#169377,#169378,#94992,.T.); #213632=EDGE_CURVE('',#169378,#169372,#94993,.T.); #213633=EDGE_CURVE('',#169374,#169377,#94994,.T.); #213634=EDGE_CURVE('',#169376,#169378,#94995,.T.); #213635=EDGE_CURVE('',#169379,#169380,#140333,.T.); #213636=EDGE_CURVE('',#169380,#169381,#94996,.T.); #213637=EDGE_CURVE('',#169382,#169381,#94997,.T.); #213638=EDGE_CURVE('',#169379,#169382,#94998,.T.); #213639=EDGE_CURVE('',#169383,#169379,#94999,.T.); #213640=EDGE_CURVE('',#169384,#169383,#140334,.T.); #213641=EDGE_CURVE('',#169380,#169384,#95000,.T.); #213642=EDGE_CURVE('',#169385,#169386,#95001,.T.); #213643=EDGE_CURVE('',#169385,#169387,#95002,.T.); #213644=EDGE_CURVE('',#169387,#169388,#95003,.T.); #213645=EDGE_CURVE('',#169386,#169388,#95004,.T.); #213646=EDGE_CURVE('',#169382,#169385,#95005,.T.); #213647=EDGE_CURVE('',#169381,#169387,#95006,.T.); #213648=EDGE_CURVE('',#169389,#169383,#95007,.T.); #213649=EDGE_CURVE('',#169389,#169390,#95008,.T.); #213650=EDGE_CURVE('',#169390,#169384,#95009,.T.); #213651=EDGE_CURVE('',#169386,#169389,#95010,.T.); #213652=EDGE_CURVE('',#169388,#169390,#95011,.T.); #213653=EDGE_CURVE('',#169391,#169392,#140335,.T.); #213654=EDGE_CURVE('',#169393,#169391,#95012,.T.); #213655=EDGE_CURVE('',#169393,#169394,#95013,.T.); #213656=EDGE_CURVE('',#169392,#169394,#95014,.T.); #213657=EDGE_CURVE('',#169395,#169396,#140336,.T.); #213658=EDGE_CURVE('',#169396,#169391,#95015,.T.); #213659=EDGE_CURVE('',#169392,#169395,#95016,.T.); #213660=EDGE_CURVE('',#169397,#169395,#95017,.T.); #213661=EDGE_CURVE('',#169398,#169397,#95018,.T.); #213662=EDGE_CURVE('',#169396,#169398,#95019,.T.); #213663=EDGE_CURVE('',#169399,#169398,#95020,.T.); #213664=EDGE_CURVE('',#169400,#169397,#95021,.T.); #213665=EDGE_CURVE('',#169399,#169400,#95022,.T.); #213666=EDGE_CURVE('',#169401,#169399,#95023,.T.); #213667=EDGE_CURVE('',#169402,#169400,#95024,.T.); #213668=EDGE_CURVE('',#169401,#169402,#95025,.T.); #213669=EDGE_CURVE('',#169393,#169401,#95026,.T.); #213670=EDGE_CURVE('',#169394,#169402,#95027,.T.); #213671=EDGE_CURVE('',#169403,#169404,#140337,.T.); #213672=EDGE_CURVE('',#169404,#169405,#95028,.T.); #213673=EDGE_CURVE('',#169406,#169405,#95029,.T.); #213674=EDGE_CURVE('',#169406,#169403,#95030,.T.); #213675=EDGE_CURVE('',#169407,#169408,#140338,.T.); #213676=EDGE_CURVE('',#169404,#169407,#95031,.T.); #213677=EDGE_CURVE('',#169408,#169403,#95032,.T.); #213678=EDGE_CURVE('',#169408,#169409,#95033,.T.); #213679=EDGE_CURVE('',#169409,#169410,#95034,.T.); #213680=EDGE_CURVE('',#169410,#169407,#95035,.T.); #213681=EDGE_CURVE('',#169411,#169409,#95036,.T.); #213682=EDGE_CURVE('',#169411,#169412,#95037,.T.); #213683=EDGE_CURVE('',#169412,#169410,#95038,.T.); #213684=EDGE_CURVE('',#169413,#169411,#95039,.T.); #213685=EDGE_CURVE('',#169413,#169414,#95040,.T.); #213686=EDGE_CURVE('',#169414,#169412,#95041,.T.); #213687=EDGE_CURVE('',#169406,#169413,#95042,.T.); #213688=EDGE_CURVE('',#169405,#169414,#95043,.T.); #213689=EDGE_CURVE('',#169415,#169416,#140339,.T.); #213690=EDGE_CURVE('',#169417,#169415,#95044,.T.); #213691=EDGE_CURVE('',#169417,#169418,#95045,.T.); #213692=EDGE_CURVE('',#169416,#169418,#95046,.T.); #213693=EDGE_CURVE('',#169419,#169420,#140340,.T.); #213694=EDGE_CURVE('',#169420,#169415,#95047,.T.); #213695=EDGE_CURVE('',#169416,#169419,#95048,.T.); #213696=EDGE_CURVE('',#169421,#169419,#95049,.T.); #213697=EDGE_CURVE('',#169422,#169421,#95050,.T.); #213698=EDGE_CURVE('',#169420,#169422,#95051,.T.); #213699=EDGE_CURVE('',#169423,#169422,#95052,.T.); #213700=EDGE_CURVE('',#169424,#169421,#95053,.T.); #213701=EDGE_CURVE('',#169423,#169424,#95054,.T.); #213702=EDGE_CURVE('',#169425,#169423,#95055,.T.); #213703=EDGE_CURVE('',#169426,#169424,#95056,.T.); #213704=EDGE_CURVE('',#169425,#169426,#95057,.T.); #213705=EDGE_CURVE('',#169417,#169425,#95058,.T.); #213706=EDGE_CURVE('',#169418,#169426,#95059,.T.); #213707=EDGE_CURVE('',#169427,#169428,#140341,.T.); #213708=EDGE_CURVE('',#169429,#169427,#95060,.T.); #213709=EDGE_CURVE('',#169429,#169430,#95061,.T.); #213710=EDGE_CURVE('',#169428,#169430,#95062,.T.); #213711=EDGE_CURVE('',#169431,#169432,#140342,.T.); #213712=EDGE_CURVE('',#169432,#169427,#95063,.T.); #213713=EDGE_CURVE('',#169428,#169431,#95064,.T.); #213714=EDGE_CURVE('',#169433,#169431,#95065,.T.); #213715=EDGE_CURVE('',#169434,#169433,#95066,.T.); #213716=EDGE_CURVE('',#169432,#169434,#95067,.T.); #213717=EDGE_CURVE('',#169435,#169434,#95068,.T.); #213718=EDGE_CURVE('',#169436,#169433,#95069,.T.); #213719=EDGE_CURVE('',#169435,#169436,#95070,.T.); #213720=EDGE_CURVE('',#169437,#169435,#95071,.T.); #213721=EDGE_CURVE('',#169438,#169436,#95072,.T.); #213722=EDGE_CURVE('',#169437,#169438,#95073,.T.); #213723=EDGE_CURVE('',#169429,#169437,#95074,.T.); #213724=EDGE_CURVE('',#169430,#169438,#95075,.T.); #213725=EDGE_CURVE('',#169439,#169440,#140343,.T.); #213726=EDGE_CURVE('',#169441,#169439,#95076,.T.); #213727=EDGE_CURVE('',#169441,#169442,#95077,.T.); #213728=EDGE_CURVE('',#169440,#169442,#95078,.T.); #213729=EDGE_CURVE('',#169443,#169444,#140344,.T.); #213730=EDGE_CURVE('',#169444,#169439,#95079,.T.); #213731=EDGE_CURVE('',#169440,#169443,#95080,.T.); #213732=EDGE_CURVE('',#169445,#169443,#95081,.T.); #213733=EDGE_CURVE('',#169446,#169445,#95082,.T.); #213734=EDGE_CURVE('',#169444,#169446,#95083,.T.); #213735=EDGE_CURVE('',#169447,#169446,#95084,.T.); #213736=EDGE_CURVE('',#169448,#169445,#95085,.T.); #213737=EDGE_CURVE('',#169447,#169448,#95086,.T.); #213738=EDGE_CURVE('',#169449,#169447,#95087,.T.); #213739=EDGE_CURVE('',#169450,#169448,#95088,.T.); #213740=EDGE_CURVE('',#169449,#169450,#95089,.T.); #213741=EDGE_CURVE('',#169441,#169449,#95090,.T.); #213742=EDGE_CURVE('',#169442,#169450,#95091,.T.); #213743=EDGE_CURVE('',#169451,#169452,#140345,.T.); #213744=EDGE_CURVE('',#169453,#169451,#95092,.T.); #213745=EDGE_CURVE('',#169453,#169454,#95093,.T.); #213746=EDGE_CURVE('',#169452,#169454,#95094,.T.); #213747=EDGE_CURVE('',#169455,#169456,#140346,.T.); #213748=EDGE_CURVE('',#169456,#169451,#95095,.T.); #213749=EDGE_CURVE('',#169452,#169455,#95096,.T.); #213750=EDGE_CURVE('',#169457,#169455,#95097,.T.); #213751=EDGE_CURVE('',#169458,#169457,#95098,.T.); #213752=EDGE_CURVE('',#169456,#169458,#95099,.T.); #213753=EDGE_CURVE('',#169459,#169458,#95100,.T.); #213754=EDGE_CURVE('',#169460,#169457,#95101,.T.); #213755=EDGE_CURVE('',#169459,#169460,#95102,.T.); #213756=EDGE_CURVE('',#169461,#169459,#95103,.T.); #213757=EDGE_CURVE('',#169462,#169460,#95104,.T.); #213758=EDGE_CURVE('',#169461,#169462,#95105,.T.); #213759=EDGE_CURVE('',#169453,#169461,#95106,.T.); #213760=EDGE_CURVE('',#169454,#169462,#95107,.T.); #213761=EDGE_CURVE('',#169463,#169464,#140347,.T.); #213762=EDGE_CURVE('',#169465,#169463,#95108,.T.); #213763=EDGE_CURVE('',#169465,#169466,#95109,.T.); #213764=EDGE_CURVE('',#169464,#169466,#95110,.T.); #213765=EDGE_CURVE('',#169467,#169468,#140348,.T.); #213766=EDGE_CURVE('',#169468,#169463,#95111,.T.); #213767=EDGE_CURVE('',#169464,#169467,#95112,.T.); #213768=EDGE_CURVE('',#169469,#169467,#95113,.T.); #213769=EDGE_CURVE('',#169470,#169469,#95114,.T.); #213770=EDGE_CURVE('',#169468,#169470,#95115,.T.); #213771=EDGE_CURVE('',#169471,#169470,#95116,.T.); #213772=EDGE_CURVE('',#169472,#169469,#95117,.T.); #213773=EDGE_CURVE('',#169471,#169472,#95118,.T.); #213774=EDGE_CURVE('',#169473,#169471,#95119,.T.); #213775=EDGE_CURVE('',#169474,#169472,#95120,.T.); #213776=EDGE_CURVE('',#169473,#169474,#95121,.T.); #213777=EDGE_CURVE('',#169465,#169473,#95122,.T.); #213778=EDGE_CURVE('',#169466,#169474,#95123,.T.); #213779=EDGE_CURVE('',#169475,#169476,#140349,.T.); #213780=EDGE_CURVE('',#169477,#169475,#95124,.T.); #213781=EDGE_CURVE('',#169477,#169478,#95125,.T.); #213782=EDGE_CURVE('',#169476,#169478,#95126,.T.); #213783=EDGE_CURVE('',#169479,#169480,#140350,.T.); #213784=EDGE_CURVE('',#169480,#169475,#95127,.T.); #213785=EDGE_CURVE('',#169476,#169479,#95128,.T.); #213786=EDGE_CURVE('',#169481,#169479,#95129,.T.); #213787=EDGE_CURVE('',#169482,#169481,#95130,.T.); #213788=EDGE_CURVE('',#169480,#169482,#95131,.T.); #213789=EDGE_CURVE('',#169483,#169482,#95132,.T.); #213790=EDGE_CURVE('',#169484,#169481,#95133,.T.); #213791=EDGE_CURVE('',#169483,#169484,#95134,.T.); #213792=EDGE_CURVE('',#169485,#169483,#95135,.T.); #213793=EDGE_CURVE('',#169486,#169484,#95136,.T.); #213794=EDGE_CURVE('',#169485,#169486,#95137,.T.); #213795=EDGE_CURVE('',#169477,#169485,#95138,.T.); #213796=EDGE_CURVE('',#169478,#169486,#95139,.T.); #213797=EDGE_CURVE('',#169487,#169488,#140351,.T.); #213798=EDGE_CURVE('',#169489,#169487,#95140,.T.); #213799=EDGE_CURVE('',#169489,#169490,#95141,.T.); #213800=EDGE_CURVE('',#169488,#169490,#95142,.T.); #213801=EDGE_CURVE('',#169491,#169492,#140352,.T.); #213802=EDGE_CURVE('',#169492,#169487,#95143,.T.); #213803=EDGE_CURVE('',#169488,#169491,#95144,.T.); #213804=EDGE_CURVE('',#169493,#169491,#95145,.T.); #213805=EDGE_CURVE('',#169494,#169493,#95146,.T.); #213806=EDGE_CURVE('',#169492,#169494,#95147,.T.); #213807=EDGE_CURVE('',#169495,#169494,#95148,.T.); #213808=EDGE_CURVE('',#169496,#169493,#95149,.T.); #213809=EDGE_CURVE('',#169495,#169496,#95150,.T.); #213810=EDGE_CURVE('',#169497,#169495,#95151,.T.); #213811=EDGE_CURVE('',#169498,#169496,#95152,.T.); #213812=EDGE_CURVE('',#169497,#169498,#95153,.T.); #213813=EDGE_CURVE('',#169489,#169497,#95154,.T.); #213814=EDGE_CURVE('',#169490,#169498,#95155,.T.); #213815=EDGE_CURVE('',#169499,#169500,#140353,.T.); #213816=EDGE_CURVE('',#169501,#169499,#95156,.T.); #213817=EDGE_CURVE('',#169501,#169502,#95157,.T.); #213818=EDGE_CURVE('',#169500,#169502,#95158,.T.); #213819=EDGE_CURVE('',#169503,#169504,#140354,.T.); #213820=EDGE_CURVE('',#169504,#169499,#95159,.T.); #213821=EDGE_CURVE('',#169500,#169503,#95160,.T.); #213822=EDGE_CURVE('',#169505,#169503,#95161,.T.); #213823=EDGE_CURVE('',#169506,#169505,#95162,.T.); #213824=EDGE_CURVE('',#169504,#169506,#95163,.T.); #213825=EDGE_CURVE('',#169507,#169506,#95164,.T.); #213826=EDGE_CURVE('',#169508,#169505,#95165,.T.); #213827=EDGE_CURVE('',#169507,#169508,#95166,.T.); #213828=EDGE_CURVE('',#169509,#169507,#95167,.T.); #213829=EDGE_CURVE('',#169510,#169508,#95168,.T.); #213830=EDGE_CURVE('',#169509,#169510,#95169,.T.); #213831=EDGE_CURVE('',#169501,#169509,#95170,.T.); #213832=EDGE_CURVE('',#169502,#169510,#95171,.T.); #213833=EDGE_CURVE('',#169511,#169512,#140355,.T.); #213834=EDGE_CURVE('',#169513,#169511,#95172,.T.); #213835=EDGE_CURVE('',#169513,#169514,#95173,.T.); #213836=EDGE_CURVE('',#169512,#169514,#95174,.T.); #213837=EDGE_CURVE('',#169515,#169516,#140356,.T.); #213838=EDGE_CURVE('',#169516,#169511,#95175,.T.); #213839=EDGE_CURVE('',#169512,#169515,#95176,.T.); #213840=EDGE_CURVE('',#169517,#169515,#95177,.T.); #213841=EDGE_CURVE('',#169518,#169517,#95178,.T.); #213842=EDGE_CURVE('',#169516,#169518,#95179,.T.); #213843=EDGE_CURVE('',#169519,#169518,#95180,.T.); #213844=EDGE_CURVE('',#169520,#169517,#95181,.T.); #213845=EDGE_CURVE('',#169519,#169520,#95182,.T.); #213846=EDGE_CURVE('',#169521,#169519,#95183,.T.); #213847=EDGE_CURVE('',#169522,#169520,#95184,.T.); #213848=EDGE_CURVE('',#169521,#169522,#95185,.T.); #213849=EDGE_CURVE('',#169513,#169521,#95186,.T.); #213850=EDGE_CURVE('',#169514,#169522,#95187,.T.); #213851=EDGE_CURVE('',#169523,#169524,#140357,.T.); #213852=EDGE_CURVE('',#169525,#169523,#95188,.T.); #213853=EDGE_CURVE('',#169525,#169526,#95189,.T.); #213854=EDGE_CURVE('',#169524,#169526,#95190,.T.); #213855=EDGE_CURVE('',#169527,#169528,#140358,.T.); #213856=EDGE_CURVE('',#169528,#169523,#95191,.T.); #213857=EDGE_CURVE('',#169524,#169527,#95192,.T.); #213858=EDGE_CURVE('',#169529,#169527,#95193,.T.); #213859=EDGE_CURVE('',#169530,#169529,#95194,.T.); #213860=EDGE_CURVE('',#169528,#169530,#95195,.T.); #213861=EDGE_CURVE('',#169531,#169530,#95196,.T.); #213862=EDGE_CURVE('',#169532,#169529,#95197,.T.); #213863=EDGE_CURVE('',#169531,#169532,#95198,.T.); #213864=EDGE_CURVE('',#169533,#169531,#95199,.T.); #213865=EDGE_CURVE('',#169534,#169532,#95200,.T.); #213866=EDGE_CURVE('',#169533,#169534,#95201,.T.); #213867=EDGE_CURVE('',#169525,#169533,#95202,.T.); #213868=EDGE_CURVE('',#169526,#169534,#95203,.T.); #213869=EDGE_CURVE('',#169535,#169536,#140359,.T.); #213870=EDGE_CURVE('',#169537,#169535,#95204,.T.); #213871=EDGE_CURVE('',#169537,#169538,#95205,.T.); #213872=EDGE_CURVE('',#169536,#169538,#95206,.T.); #213873=EDGE_CURVE('',#169539,#169540,#140360,.T.); #213874=EDGE_CURVE('',#169540,#169535,#95207,.T.); #213875=EDGE_CURVE('',#169536,#169539,#95208,.T.); #213876=EDGE_CURVE('',#169541,#169539,#95209,.T.); #213877=EDGE_CURVE('',#169542,#169541,#95210,.T.); #213878=EDGE_CURVE('',#169540,#169542,#95211,.T.); #213879=EDGE_CURVE('',#169543,#169542,#95212,.T.); #213880=EDGE_CURVE('',#169544,#169541,#95213,.T.); #213881=EDGE_CURVE('',#169543,#169544,#95214,.T.); #213882=EDGE_CURVE('',#169545,#169543,#95215,.T.); #213883=EDGE_CURVE('',#169546,#169544,#95216,.T.); #213884=EDGE_CURVE('',#169545,#169546,#95217,.T.); #213885=EDGE_CURVE('',#169537,#169545,#95218,.T.); #213886=EDGE_CURVE('',#169538,#169546,#95219,.T.); #213887=EDGE_CURVE('',#169547,#169548,#140361,.T.); #213888=EDGE_CURVE('',#169548,#169549,#95220,.T.); #213889=EDGE_CURVE('',#169550,#169549,#95221,.T.); #213890=EDGE_CURVE('',#169550,#169547,#95222,.T.); #213891=EDGE_CURVE('',#169551,#169552,#140362,.T.); #213892=EDGE_CURVE('',#169548,#169551,#95223,.T.); #213893=EDGE_CURVE('',#169552,#169547,#95224,.T.); #213894=EDGE_CURVE('',#169552,#169553,#95225,.T.); #213895=EDGE_CURVE('',#169553,#169554,#95226,.T.); #213896=EDGE_CURVE('',#169554,#169551,#95227,.T.); #213897=EDGE_CURVE('',#169555,#169553,#95228,.T.); #213898=EDGE_CURVE('',#169555,#169556,#95229,.T.); #213899=EDGE_CURVE('',#169556,#169554,#95230,.T.); #213900=EDGE_CURVE('',#169557,#169555,#95231,.T.); #213901=EDGE_CURVE('',#169557,#169558,#95232,.T.); #213902=EDGE_CURVE('',#169558,#169556,#95233,.T.); #213903=EDGE_CURVE('',#169550,#169557,#95234,.T.); #213904=EDGE_CURVE('',#169549,#169558,#95235,.T.); #213905=EDGE_CURVE('',#169559,#169560,#140363,.T.); #213906=EDGE_CURVE('',#169560,#169561,#95236,.T.); #213907=EDGE_CURVE('',#169562,#169561,#95237,.T.); #213908=EDGE_CURVE('',#169562,#169559,#95238,.T.); #213909=EDGE_CURVE('',#169563,#169564,#140364,.T.); #213910=EDGE_CURVE('',#169560,#169563,#95239,.T.); #213911=EDGE_CURVE('',#169564,#169559,#95240,.T.); #213912=EDGE_CURVE('',#169564,#169565,#95241,.T.); #213913=EDGE_CURVE('',#169565,#169566,#95242,.T.); #213914=EDGE_CURVE('',#169566,#169563,#95243,.T.); #213915=EDGE_CURVE('',#169567,#169565,#95244,.T.); #213916=EDGE_CURVE('',#169567,#169568,#95245,.T.); #213917=EDGE_CURVE('',#169568,#169566,#95246,.T.); #213918=EDGE_CURVE('',#169569,#169567,#95247,.T.); #213919=EDGE_CURVE('',#169569,#169570,#95248,.T.); #213920=EDGE_CURVE('',#169570,#169568,#95249,.T.); #213921=EDGE_CURVE('',#169562,#169569,#95250,.T.); #213922=EDGE_CURVE('',#169561,#169570,#95251,.T.); #213923=EDGE_CURVE('',#169571,#169572,#140365,.T.); #213924=EDGE_CURVE('',#169572,#169573,#95252,.T.); #213925=EDGE_CURVE('',#169574,#169573,#95253,.T.); #213926=EDGE_CURVE('',#169574,#169571,#95254,.T.); #213927=EDGE_CURVE('',#169575,#169576,#140366,.T.); #213928=EDGE_CURVE('',#169572,#169575,#95255,.T.); #213929=EDGE_CURVE('',#169576,#169571,#95256,.T.); #213930=EDGE_CURVE('',#169576,#169577,#95257,.T.); #213931=EDGE_CURVE('',#169577,#169578,#95258,.T.); #213932=EDGE_CURVE('',#169578,#169575,#95259,.T.); #213933=EDGE_CURVE('',#169579,#169577,#95260,.T.); #213934=EDGE_CURVE('',#169579,#169580,#95261,.T.); #213935=EDGE_CURVE('',#169580,#169578,#95262,.T.); #213936=EDGE_CURVE('',#169581,#169579,#95263,.T.); #213937=EDGE_CURVE('',#169581,#169582,#95264,.T.); #213938=EDGE_CURVE('',#169582,#169580,#95265,.T.); #213939=EDGE_CURVE('',#169574,#169581,#95266,.T.); #213940=EDGE_CURVE('',#169573,#169582,#95267,.T.); #213941=EDGE_CURVE('',#169583,#169584,#140367,.T.); #213942=EDGE_CURVE('',#169584,#169585,#95268,.T.); #213943=EDGE_CURVE('',#169586,#169585,#95269,.T.); #213944=EDGE_CURVE('',#169586,#169583,#95270,.T.); #213945=EDGE_CURVE('',#169587,#169588,#140368,.T.); #213946=EDGE_CURVE('',#169584,#169587,#95271,.T.); #213947=EDGE_CURVE('',#169588,#169583,#95272,.T.); #213948=EDGE_CURVE('',#169588,#169589,#95273,.T.); #213949=EDGE_CURVE('',#169589,#169590,#95274,.T.); #213950=EDGE_CURVE('',#169590,#169587,#95275,.T.); #213951=EDGE_CURVE('',#169591,#169589,#95276,.T.); #213952=EDGE_CURVE('',#169591,#169592,#95277,.T.); #213953=EDGE_CURVE('',#169592,#169590,#95278,.T.); #213954=EDGE_CURVE('',#169593,#169591,#95279,.T.); #213955=EDGE_CURVE('',#169593,#169594,#95280,.T.); #213956=EDGE_CURVE('',#169594,#169592,#95281,.T.); #213957=EDGE_CURVE('',#169586,#169593,#95282,.T.); #213958=EDGE_CURVE('',#169585,#169594,#95283,.T.); #213959=EDGE_CURVE('',#169595,#169596,#140369,.T.); #213960=EDGE_CURVE('',#169596,#169597,#95284,.T.); #213961=EDGE_CURVE('',#169598,#169597,#95285,.T.); #213962=EDGE_CURVE('',#169598,#169595,#95286,.T.); #213963=EDGE_CURVE('',#169599,#169600,#140370,.T.); #213964=EDGE_CURVE('',#169596,#169599,#95287,.T.); #213965=EDGE_CURVE('',#169600,#169595,#95288,.T.); #213966=EDGE_CURVE('',#169600,#169601,#95289,.T.); #213967=EDGE_CURVE('',#169601,#169602,#95290,.T.); #213968=EDGE_CURVE('',#169602,#169599,#95291,.T.); #213969=EDGE_CURVE('',#169603,#169601,#95292,.T.); #213970=EDGE_CURVE('',#169603,#169604,#95293,.T.); #213971=EDGE_CURVE('',#169604,#169602,#95294,.T.); #213972=EDGE_CURVE('',#169605,#169603,#95295,.T.); #213973=EDGE_CURVE('',#169605,#169606,#95296,.T.); #213974=EDGE_CURVE('',#169606,#169604,#95297,.T.); #213975=EDGE_CURVE('',#169598,#169605,#95298,.T.); #213976=EDGE_CURVE('',#169597,#169606,#95299,.T.); #213977=EDGE_CURVE('',#169607,#169608,#140371,.T.); #213978=EDGE_CURVE('',#169608,#169609,#95300,.T.); #213979=EDGE_CURVE('',#169610,#169609,#95301,.T.); #213980=EDGE_CURVE('',#169610,#169607,#95302,.T.); #213981=EDGE_CURVE('',#169611,#169612,#140372,.T.); #213982=EDGE_CURVE('',#169608,#169611,#95303,.T.); #213983=EDGE_CURVE('',#169612,#169607,#95304,.T.); #213984=EDGE_CURVE('',#169612,#169613,#95305,.T.); #213985=EDGE_CURVE('',#169613,#169614,#95306,.T.); #213986=EDGE_CURVE('',#169614,#169611,#95307,.T.); #213987=EDGE_CURVE('',#169615,#169613,#95308,.T.); #213988=EDGE_CURVE('',#169615,#169616,#95309,.T.); #213989=EDGE_CURVE('',#169616,#169614,#95310,.T.); #213990=EDGE_CURVE('',#169617,#169615,#95311,.T.); #213991=EDGE_CURVE('',#169617,#169618,#95312,.T.); #213992=EDGE_CURVE('',#169618,#169616,#95313,.T.); #213993=EDGE_CURVE('',#169610,#169617,#95314,.T.); #213994=EDGE_CURVE('',#169609,#169618,#95315,.T.); #213995=EDGE_CURVE('',#169619,#169620,#140373,.T.); #213996=EDGE_CURVE('',#169620,#169621,#95316,.T.); #213997=EDGE_CURVE('',#169622,#169621,#95317,.T.); #213998=EDGE_CURVE('',#169622,#169619,#95318,.T.); #213999=EDGE_CURVE('',#169623,#169624,#140374,.T.); #214000=EDGE_CURVE('',#169620,#169623,#95319,.T.); #214001=EDGE_CURVE('',#169624,#169619,#95320,.T.); #214002=EDGE_CURVE('',#169624,#169625,#95321,.T.); #214003=EDGE_CURVE('',#169625,#169626,#95322,.T.); #214004=EDGE_CURVE('',#169626,#169623,#95323,.T.); #214005=EDGE_CURVE('',#169627,#169625,#95324,.T.); #214006=EDGE_CURVE('',#169627,#169628,#95325,.T.); #214007=EDGE_CURVE('',#169628,#169626,#95326,.T.); #214008=EDGE_CURVE('',#169629,#169627,#95327,.T.); #214009=EDGE_CURVE('',#169629,#169630,#95328,.T.); #214010=EDGE_CURVE('',#169630,#169628,#95329,.T.); #214011=EDGE_CURVE('',#169622,#169629,#95330,.T.); #214012=EDGE_CURVE('',#169621,#169630,#95331,.T.); #214013=EDGE_CURVE('',#169631,#169632,#140375,.T.); #214014=EDGE_CURVE('',#169632,#169633,#95332,.T.); #214015=EDGE_CURVE('',#169634,#169633,#95333,.T.); #214016=EDGE_CURVE('',#169634,#169631,#95334,.T.); #214017=EDGE_CURVE('',#169635,#169636,#140376,.T.); #214018=EDGE_CURVE('',#169632,#169635,#95335,.T.); #214019=EDGE_CURVE('',#169636,#169631,#95336,.T.); #214020=EDGE_CURVE('',#169636,#169637,#95337,.T.); #214021=EDGE_CURVE('',#169637,#169638,#95338,.T.); #214022=EDGE_CURVE('',#169638,#169635,#95339,.T.); #214023=EDGE_CURVE('',#169639,#169637,#95340,.T.); #214024=EDGE_CURVE('',#169639,#169640,#95341,.T.); #214025=EDGE_CURVE('',#169640,#169638,#95342,.T.); #214026=EDGE_CURVE('',#169641,#169639,#95343,.T.); #214027=EDGE_CURVE('',#169641,#169642,#95344,.T.); #214028=EDGE_CURVE('',#169642,#169640,#95345,.T.); #214029=EDGE_CURVE('',#169634,#169641,#95346,.T.); #214030=EDGE_CURVE('',#169633,#169642,#95347,.T.); #214031=EDGE_CURVE('',#169643,#169644,#140377,.T.); #214032=EDGE_CURVE('',#169644,#169645,#95348,.T.); #214033=EDGE_CURVE('',#169646,#169645,#95349,.T.); #214034=EDGE_CURVE('',#169646,#169643,#95350,.T.); #214035=EDGE_CURVE('',#169647,#169648,#140378,.T.); #214036=EDGE_CURVE('',#169644,#169647,#95351,.T.); #214037=EDGE_CURVE('',#169648,#169643,#95352,.T.); #214038=EDGE_CURVE('',#169648,#169649,#95353,.T.); #214039=EDGE_CURVE('',#169649,#169650,#95354,.T.); #214040=EDGE_CURVE('',#169650,#169647,#95355,.T.); #214041=EDGE_CURVE('',#169651,#169649,#95356,.T.); #214042=EDGE_CURVE('',#169651,#169652,#95357,.T.); #214043=EDGE_CURVE('',#169652,#169650,#95358,.T.); #214044=EDGE_CURVE('',#169653,#169651,#95359,.T.); #214045=EDGE_CURVE('',#169653,#169654,#95360,.T.); #214046=EDGE_CURVE('',#169654,#169652,#95361,.T.); #214047=EDGE_CURVE('',#169646,#169653,#95362,.T.); #214048=EDGE_CURVE('',#169645,#169654,#95363,.T.); #214049=EDGE_CURVE('',#169655,#169656,#140379,.T.); #214050=EDGE_CURVE('',#169656,#169657,#95364,.T.); #214051=EDGE_CURVE('',#169658,#169657,#95365,.T.); #214052=EDGE_CURVE('',#169658,#169655,#95366,.T.); #214053=EDGE_CURVE('',#169659,#169660,#140380,.T.); #214054=EDGE_CURVE('',#169656,#169659,#95367,.T.); #214055=EDGE_CURVE('',#169660,#169655,#95368,.T.); #214056=EDGE_CURVE('',#169660,#169661,#95369,.T.); #214057=EDGE_CURVE('',#169661,#169662,#95370,.T.); #214058=EDGE_CURVE('',#169662,#169659,#95371,.T.); #214059=EDGE_CURVE('',#169663,#169661,#95372,.T.); #214060=EDGE_CURVE('',#169663,#169664,#95373,.T.); #214061=EDGE_CURVE('',#169664,#169662,#95374,.T.); #214062=EDGE_CURVE('',#169665,#169663,#95375,.T.); #214063=EDGE_CURVE('',#169665,#169666,#95376,.T.); #214064=EDGE_CURVE('',#169666,#169664,#95377,.T.); #214065=EDGE_CURVE('',#169658,#169665,#95378,.T.); #214066=EDGE_CURVE('',#169657,#169666,#95379,.T.); #214067=EDGE_CURVE('',#169667,#169668,#140381,.T.); #214068=EDGE_CURVE('',#169668,#169669,#95380,.T.); #214069=EDGE_CURVE('',#169670,#169669,#95381,.T.); #214070=EDGE_CURVE('',#169670,#169667,#95382,.T.); #214071=EDGE_CURVE('',#169671,#169672,#140382,.T.); #214072=EDGE_CURVE('',#169668,#169671,#95383,.T.); #214073=EDGE_CURVE('',#169672,#169667,#95384,.T.); #214074=EDGE_CURVE('',#169672,#169673,#95385,.T.); #214075=EDGE_CURVE('',#169673,#169674,#95386,.T.); #214076=EDGE_CURVE('',#169674,#169671,#95387,.T.); #214077=EDGE_CURVE('',#169675,#169673,#95388,.T.); #214078=EDGE_CURVE('',#169675,#169676,#95389,.T.); #214079=EDGE_CURVE('',#169676,#169674,#95390,.T.); #214080=EDGE_CURVE('',#169677,#169675,#95391,.T.); #214081=EDGE_CURVE('',#169677,#169678,#95392,.T.); #214082=EDGE_CURVE('',#169678,#169676,#95393,.T.); #214083=EDGE_CURVE('',#169670,#169677,#95394,.T.); #214084=EDGE_CURVE('',#169669,#169678,#95395,.T.); #214085=EDGE_CURVE('',#169679,#169680,#95396,.T.); #214086=EDGE_CURVE('',#169680,#169681,#95397,.T.); #214087=EDGE_CURVE('',#169682,#169681,#95398,.T.); #214088=EDGE_CURVE('',#169679,#169682,#95399,.T.); #214089=EDGE_CURVE('',#169683,#169679,#95400,.T.); #214090=EDGE_CURVE('',#169684,#169682,#95401,.T.); #214091=EDGE_CURVE('',#169683,#169684,#95402,.T.); #214092=EDGE_CURVE('',#169685,#169683,#95403,.T.); #214093=EDGE_CURVE('',#169686,#169684,#95404,.T.); #214094=EDGE_CURVE('',#169685,#169686,#95405,.T.); #214095=EDGE_CURVE('',#169680,#169685,#95406,.T.); #214096=EDGE_CURVE('',#169681,#169686,#95407,.T.); #214097=EDGE_CURVE('',#169687,#169688,#140383,.F.); #214098=EDGE_CURVE('',#169689,#169687,#140384,.F.); #214099=EDGE_CURVE('',#169688,#169689,#140385,.F.); #214100=EDGE_CURVE('',#169690,#169691,#140386,.F.); #214101=EDGE_CURVE('',#169692,#169690,#140387,.F.); #214102=EDGE_CURVE('',#169691,#169692,#140388,.F.); #214103=EDGE_CURVE('',#169693,#169694,#140389,.F.); #214104=EDGE_CURVE('',#169695,#169693,#140390,.F.); #214105=EDGE_CURVE('',#169694,#169695,#140391,.F.); #214106=EDGE_CURVE('',#169696,#169697,#140392,.F.); #214107=EDGE_CURVE('',#169698,#169696,#140393,.F.); #214108=EDGE_CURVE('',#169697,#169698,#140394,.F.); #214109=EDGE_CURVE('',#169699,#169700,#140395,.F.); #214110=EDGE_CURVE('',#169701,#169699,#140396,.F.); #214111=EDGE_CURVE('',#169700,#169701,#140397,.F.); #214112=EDGE_CURVE('',#169699,#169694,#95408,.T.); #214113=EDGE_CURVE('',#169695,#169701,#95409,.T.); #214114=EDGE_CURVE('',#169702,#169703,#140398,.F.); #214115=EDGE_CURVE('',#169704,#169702,#140399,.F.); #214116=EDGE_CURVE('',#169703,#169704,#140400,.F.); #214117=EDGE_CURVE('',#169705,#169706,#140401,.F.); #214118=EDGE_CURVE('',#169707,#169705,#140402,.F.); #214119=EDGE_CURVE('',#169706,#169707,#140403,.F.); #214120=EDGE_CURVE('',#169693,#169707,#95410,.T.); #214121=EDGE_CURVE('',#169705,#169695,#95411,.T.); #214122=EDGE_CURVE('',#169696,#169706,#95412,.T.); #214123=EDGE_CURVE('',#169707,#169698,#95413,.T.); #214124=EDGE_CURVE('',#169708,#169709,#140404,.F.); #214125=EDGE_CURVE('',#169710,#169708,#140405,.F.); #214126=EDGE_CURVE('',#169709,#169710,#140406,.F.); #214127=EDGE_CURVE('',#169701,#169710,#95414,.T.); #214128=EDGE_CURVE('',#169708,#169700,#95415,.T.); #214129=EDGE_CURVE('',#169706,#169709,#95416,.T.); #214130=EDGE_CURVE('',#169710,#169705,#95417,.T.); #214131=EDGE_CURVE('',#169702,#169708,#95418,.T.); #214132=EDGE_CURVE('',#169709,#169704,#95419,.T.); #214133=EDGE_CURVE('',#169697,#169703,#95420,.T.); #214134=EDGE_CURVE('',#169704,#169696,#95421,.T.); #214135=EDGE_CURVE('',#169703,#169691,#95422,.T.); #214136=EDGE_CURVE('',#169692,#169702,#95423,.T.); #214137=EDGE_CURVE('',#169690,#169699,#95424,.T.); #214138=EDGE_CURVE('',#169700,#169692,#95425,.T.); #214139=EDGE_CURVE('',#169688,#169697,#95426,.T.); #214140=EDGE_CURVE('',#169698,#169687,#95427,.T.); #214141=EDGE_CURVE('',#169687,#169693,#95428,.T.); #214142=EDGE_CURVE('',#169694,#169689,#95429,.T.); #214143=EDGE_CURVE('',#169691,#169688,#95430,.T.); #214144=EDGE_CURVE('',#169689,#169690,#95431,.T.); #214145=EDGE_CURVE('',#169711,#169712,#140407,.F.); #214146=EDGE_CURVE('',#169713,#169711,#140408,.F.); #214147=EDGE_CURVE('',#169712,#169713,#140409,.F.); #214148=EDGE_CURVE('',#169714,#169715,#140410,.F.); #214149=EDGE_CURVE('',#169716,#169714,#140411,.F.); #214150=EDGE_CURVE('',#169715,#169716,#140412,.F.); #214151=EDGE_CURVE('',#169717,#169718,#140413,.F.); #214152=EDGE_CURVE('',#169719,#169717,#140414,.F.); #214153=EDGE_CURVE('',#169718,#169719,#140415,.F.); #214154=EDGE_CURVE('',#169720,#169721,#140416,.F.); #214155=EDGE_CURVE('',#169722,#169720,#140417,.F.); #214156=EDGE_CURVE('',#169721,#169722,#140418,.F.); #214157=EDGE_CURVE('',#169723,#169724,#140419,.F.); #214158=EDGE_CURVE('',#169725,#169723,#140420,.F.); #214159=EDGE_CURVE('',#169724,#169725,#140421,.F.); #214160=EDGE_CURVE('',#169723,#169718,#95432,.T.); #214161=EDGE_CURVE('',#169719,#169725,#95433,.T.); #214162=EDGE_CURVE('',#169726,#169727,#140422,.F.); #214163=EDGE_CURVE('',#169728,#169726,#140423,.F.); #214164=EDGE_CURVE('',#169727,#169728,#140424,.F.); #214165=EDGE_CURVE('',#169729,#169730,#140425,.F.); #214166=EDGE_CURVE('',#169731,#169729,#140426,.F.); #214167=EDGE_CURVE('',#169730,#169731,#140427,.F.); #214168=EDGE_CURVE('',#169717,#169731,#95434,.T.); #214169=EDGE_CURVE('',#169729,#169719,#95435,.T.); #214170=EDGE_CURVE('',#169720,#169730,#95436,.T.); #214171=EDGE_CURVE('',#169731,#169722,#95437,.T.); #214172=EDGE_CURVE('',#169732,#169733,#140428,.F.); #214173=EDGE_CURVE('',#169734,#169732,#140429,.F.); #214174=EDGE_CURVE('',#169733,#169734,#140430,.F.); #214175=EDGE_CURVE('',#169732,#169724,#95438,.T.); #214176=EDGE_CURVE('',#169725,#169734,#95439,.T.); #214177=EDGE_CURVE('',#169730,#169733,#95440,.T.); #214178=EDGE_CURVE('',#169734,#169729,#95441,.T.); #214179=EDGE_CURVE('',#169726,#169732,#95442,.T.); #214180=EDGE_CURVE('',#169733,#169728,#95443,.T.); #214181=EDGE_CURVE('',#169721,#169727,#95444,.T.); #214182=EDGE_CURVE('',#169728,#169720,#95445,.T.); #214183=EDGE_CURVE('',#169727,#169715,#95446,.T.); #214184=EDGE_CURVE('',#169716,#169726,#95447,.T.); #214185=EDGE_CURVE('',#169714,#169723,#95448,.T.); #214186=EDGE_CURVE('',#169724,#169716,#95449,.T.); #214187=EDGE_CURVE('',#169712,#169721,#95450,.T.); #214188=EDGE_CURVE('',#169722,#169711,#95451,.T.); #214189=EDGE_CURVE('',#169711,#169717,#95452,.T.); #214190=EDGE_CURVE('',#169718,#169713,#95453,.T.); #214191=EDGE_CURVE('',#169715,#169712,#95454,.T.); #214192=EDGE_CURVE('',#169713,#169714,#95455,.T.); #214193=EDGE_CURVE('',#169735,#169736,#95456,.T.); #214194=EDGE_CURVE('',#169735,#169737,#95457,.T.); #214195=EDGE_CURVE('',#169738,#169737,#95458,.T.); #214196=EDGE_CURVE('',#169736,#169738,#95459,.T.); #214197=EDGE_CURVE('',#169739,#169736,#95460,.T.); #214198=EDGE_CURVE('',#169740,#169738,#95461,.T.); #214199=EDGE_CURVE('',#169739,#169740,#95462,.T.); #214200=EDGE_CURVE('',#169741,#169739,#95463,.T.); #214201=EDGE_CURVE('',#169742,#169740,#95464,.T.); #214202=EDGE_CURVE('',#169741,#169742,#95465,.T.); #214203=EDGE_CURVE('',#169735,#169741,#95466,.T.); #214204=EDGE_CURVE('',#169737,#169742,#95467,.T.); #214205=EDGE_CURVE('',#169743,#169744,#95468,.T.); #214206=EDGE_CURVE('',#169744,#169745,#95469,.T.); #214207=EDGE_CURVE('',#169745,#169746,#95470,.T.); #214208=EDGE_CURVE('',#169743,#169746,#95471,.T.); #214209=EDGE_CURVE('',#169744,#169747,#95472,.T.); #214210=EDGE_CURVE('',#169747,#169748,#95473,.T.); #214211=EDGE_CURVE('',#169748,#169745,#95474,.T.); #214212=EDGE_CURVE('',#169747,#169749,#95475,.T.); #214213=EDGE_CURVE('',#169749,#169750,#95476,.T.); #214214=EDGE_CURVE('',#169750,#169748,#95477,.T.); #214215=EDGE_CURVE('',#169746,#169750,#95478,.T.); #214216=EDGE_CURVE('',#169749,#169743,#95479,.T.); #214217=EDGE_CURVE('',#169751,#169746,#95480,.T.); #214218=EDGE_CURVE('',#169751,#169752,#95481,.T.); #214219=EDGE_CURVE('',#169752,#169750,#95482,.T.); #214220=EDGE_CURVE('',#169753,#169745,#95483,.T.); #214221=EDGE_CURVE('',#169753,#169751,#95484,.T.); #214222=EDGE_CURVE('',#169754,#169748,#95485,.T.); #214223=EDGE_CURVE('',#169754,#169753,#95486,.T.); #214224=EDGE_CURVE('',#169752,#169754,#95487,.T.); #214225=EDGE_CURVE('',#169755,#169756,#95488,.T.); #214226=EDGE_CURVE('',#169755,#169757,#95489,.T.); #214227=EDGE_CURVE('',#169758,#169757,#95490,.T.); #214228=EDGE_CURVE('',#169756,#169758,#95491,.T.); #214229=EDGE_CURVE('',#169759,#169756,#95492,.T.); #214230=EDGE_CURVE('',#169760,#169758,#95493,.T.); #214231=EDGE_CURVE('',#169759,#169760,#95494,.T.); #214232=EDGE_CURVE('',#169761,#169759,#95495,.T.); #214233=EDGE_CURVE('',#169762,#169760,#95496,.T.); #214234=EDGE_CURVE('',#169761,#169762,#95497,.T.); #214235=EDGE_CURVE('',#169755,#169761,#95498,.T.); #214236=EDGE_CURVE('',#169757,#169762,#95499,.T.); #214237=EDGE_CURVE('',#169763,#169764,#95500,.T.); #214238=EDGE_CURVE('',#169764,#169765,#95501,.T.); #214239=EDGE_CURVE('',#169766,#169765,#95502,.T.); #214240=EDGE_CURVE('',#169763,#169766,#95503,.T.); #214241=EDGE_CURVE('',#169767,#169763,#95504,.T.); #214242=EDGE_CURVE('',#169768,#169766,#95505,.T.); #214243=EDGE_CURVE('',#169767,#169768,#95506,.T.); #214244=EDGE_CURVE('',#169769,#169767,#95507,.T.); #214245=EDGE_CURVE('',#169770,#169768,#95508,.T.); #214246=EDGE_CURVE('',#169769,#169770,#95509,.T.); #214247=EDGE_CURVE('',#169764,#169769,#95510,.T.); #214248=EDGE_CURVE('',#169765,#169770,#95511,.T.); #214249=EDGE_CURVE('',#169771,#169772,#95512,.T.); #214250=EDGE_CURVE('',#169771,#169773,#95513,.T.); #214251=EDGE_CURVE('',#169774,#169773,#95514,.T.); #214252=EDGE_CURVE('',#169772,#169774,#95515,.T.); #214253=EDGE_CURVE('',#169772,#169775,#95516,.T.); #214254=EDGE_CURVE('',#169776,#169774,#95517,.T.); #214255=EDGE_CURVE('',#169775,#169776,#95518,.T.); #214256=EDGE_CURVE('',#169775,#169777,#95519,.T.); #214257=EDGE_CURVE('',#169778,#169776,#95520,.T.); #214258=EDGE_CURVE('',#169777,#169778,#95521,.T.); #214259=EDGE_CURVE('',#169777,#169771,#95522,.T.); #214260=EDGE_CURVE('',#169773,#169778,#95523,.T.); #214261=EDGE_CURVE('',#169779,#169780,#95524,.T.); #214262=EDGE_CURVE('',#169779,#169781,#95525,.T.); #214263=EDGE_CURVE('',#169782,#169781,#95526,.T.); #214264=EDGE_CURVE('',#169780,#169782,#95527,.T.); #214265=EDGE_CURVE('',#169780,#169783,#95528,.T.); #214266=EDGE_CURVE('',#169784,#169782,#95529,.T.); #214267=EDGE_CURVE('',#169783,#169784,#95530,.T.); #214268=EDGE_CURVE('',#169783,#169785,#95531,.T.); #214269=EDGE_CURVE('',#169786,#169784,#95532,.T.); #214270=EDGE_CURVE('',#169785,#169786,#95533,.T.); #214271=EDGE_CURVE('',#169779,#169785,#95534,.T.); #214272=EDGE_CURVE('',#169781,#169786,#95535,.T.); #214273=EDGE_CURVE('',#169787,#169788,#95536,.T.); #214274=EDGE_CURVE('',#169787,#169789,#95537,.T.); #214275=EDGE_CURVE('',#169790,#169789,#95538,.T.); #214276=EDGE_CURVE('',#169788,#169790,#95539,.T.); #214277=EDGE_CURVE('',#169791,#169788,#95540,.T.); #214278=EDGE_CURVE('',#169792,#169790,#95541,.T.); #214279=EDGE_CURVE('',#169791,#169792,#95542,.T.); #214280=EDGE_CURVE('',#169793,#169791,#95543,.T.); #214281=EDGE_CURVE('',#169794,#169792,#95544,.T.); #214282=EDGE_CURVE('',#169793,#169794,#95545,.T.); #214283=EDGE_CURVE('',#169787,#169793,#95546,.T.); #214284=EDGE_CURVE('',#169789,#169794,#95547,.T.); #214285=EDGE_CURVE('',#169795,#169795,#140431,.T.); #214286=EDGE_CURVE('',#169795,#169796,#95548,.T.); #214287=EDGE_CURVE('',#169796,#169796,#140432,.T.); #214288=EDGE_CURVE('',#169797,#169798,#95549,.T.); #214289=EDGE_CURVE('',#169798,#169799,#95550,.T.); #214290=EDGE_CURVE('',#169799,#169800,#95551,.T.); #214291=EDGE_CURVE('',#169797,#169800,#95552,.T.); #214292=EDGE_CURVE('',#169798,#169801,#95553,.T.); #214293=EDGE_CURVE('',#169801,#169802,#95554,.T.); #214294=EDGE_CURVE('',#169802,#169799,#95555,.T.); #214295=EDGE_CURVE('',#169801,#169803,#95556,.T.); #214296=EDGE_CURVE('',#169803,#169804,#95557,.T.); #214297=EDGE_CURVE('',#169804,#169802,#95558,.T.); #214298=EDGE_CURVE('',#169800,#169804,#95559,.T.); #214299=EDGE_CURVE('',#169803,#169797,#95560,.T.); #214300=EDGE_CURVE('',#169805,#169800,#95561,.T.); #214301=EDGE_CURVE('',#169806,#169805,#95562,.T.); #214302=EDGE_CURVE('',#169806,#169804,#95563,.T.); #214303=EDGE_CURVE('',#169807,#169799,#95564,.T.); #214304=EDGE_CURVE('',#169805,#169807,#95565,.T.); #214305=EDGE_CURVE('',#169808,#169806,#95566,.T.); #214306=EDGE_CURVE('',#169806,#169809,#95567,.T.); #214307=EDGE_CURVE('',#169809,#169810,#95568,.T.); #214308=EDGE_CURVE('',#169810,#169808,#95569,.T.); #214309=EDGE_CURVE('',#169811,#169809,#95570,.T.); #214310=EDGE_CURVE('',#169811,#169805,#95571,.T.); #214311=EDGE_CURVE('',#169807,#169808,#95572,.T.); #214312=EDGE_CURVE('',#169810,#169812,#95573,.T.); #214313=EDGE_CURVE('',#169812,#169807,#95574,.T.); #214314=EDGE_CURVE('',#169812,#169811,#95575,.T.); #214315=EDGE_CURVE('',#169808,#169802,#95576,.T.); #214316=EDGE_CURVE('',#169813,#169814,#140433,.T.); #214317=EDGE_CURVE('',#169814,#169815,#95577,.T.); #214318=EDGE_CURVE('',#169815,#169816,#140434,.T.); #214319=EDGE_CURVE('',#169816,#169817,#95578,.T.); #214320=EDGE_CURVE('',#169817,#169818,#95579,.T.); #214321=EDGE_CURVE('',#169818,#169819,#95580,.T.); #214322=EDGE_CURVE('',#169819,#169820,#140435,.T.); #214323=EDGE_CURVE('',#169820,#169821,#95581,.T.); #214324=EDGE_CURVE('',#169821,#169822,#140436,.T.); #214325=EDGE_CURVE('',#169822,#169823,#95582,.T.); #214326=EDGE_CURVE('',#169823,#169824,#95583,.T.); #214327=EDGE_CURVE('',#169824,#169813,#95584,.T.); #214328=EDGE_CURVE('',#169825,#169814,#95585,.T.); #214329=EDGE_CURVE('',#169826,#169825,#95586,.T.); #214330=EDGE_CURVE('',#169815,#169826,#95587,.T.); #214331=EDGE_CURVE('',#169827,#169826,#140437,.T.); #214332=EDGE_CURVE('',#169816,#169827,#95588,.T.); #214333=EDGE_CURVE('',#169828,#169827,#95589,.T.); #214334=EDGE_CURVE('',#169817,#169828,#95590,.T.); #214335=EDGE_CURVE('',#169829,#169828,#95591,.T.); #214336=EDGE_CURVE('',#169818,#169829,#95592,.T.); #214337=EDGE_CURVE('',#169830,#169829,#95593,.T.); #214338=EDGE_CURVE('',#169819,#169830,#95594,.T.); #214339=EDGE_CURVE('',#169831,#169830,#140438,.T.); #214340=EDGE_CURVE('',#169820,#169831,#95595,.T.); #214341=EDGE_CURVE('',#169832,#169831,#95596,.T.); #214342=EDGE_CURVE('',#169821,#169832,#95597,.T.); #214343=EDGE_CURVE('',#169833,#169832,#140439,.T.); #214344=EDGE_CURVE('',#169822,#169833,#95598,.T.); #214345=EDGE_CURVE('',#169834,#169833,#95599,.T.); #214346=EDGE_CURVE('',#169823,#169834,#95600,.T.); #214347=EDGE_CURVE('',#169835,#169834,#95601,.T.); #214348=EDGE_CURVE('',#169824,#169835,#95602,.T.); #214349=EDGE_CURVE('',#169836,#169835,#95603,.T.); #214350=EDGE_CURVE('',#169813,#169836,#95604,.T.); #214351=EDGE_CURVE('',#169825,#169836,#140440,.T.); #214352=EDGE_CURVE('',#169837,#169838,#140441,.T.); #214353=EDGE_CURVE('',#169839,#169837,#95605,.T.); #214354=EDGE_CURVE('',#169840,#169839,#95606,.T.); #214355=EDGE_CURVE('',#169841,#169840,#95607,.T.); #214356=EDGE_CURVE('',#169842,#169841,#140442,.T.); #214357=EDGE_CURVE('',#169843,#169842,#95608,.T.); #214358=EDGE_CURVE('',#169844,#169843,#140443,.T.); #214359=EDGE_CURVE('',#169845,#169844,#95609,.T.); #214360=EDGE_CURVE('',#169846,#169845,#95610,.T.); #214361=EDGE_CURVE('',#169847,#169846,#95611,.T.); #214362=EDGE_CURVE('',#169848,#169847,#140444,.T.); #214363=EDGE_CURVE('',#169838,#169848,#95612,.T.); #214364=EDGE_CURVE('',#169849,#169838,#95613,.T.); #214365=EDGE_CURVE('',#169848,#169850,#95614,.T.); #214366=EDGE_CURVE('',#169850,#169849,#95615,.T.); #214367=EDGE_CURVE('',#169847,#169851,#95616,.T.); #214368=EDGE_CURVE('',#169851,#169850,#140445,.T.); #214369=EDGE_CURVE('',#169846,#169852,#95617,.T.); #214370=EDGE_CURVE('',#169852,#169851,#95618,.T.); #214371=EDGE_CURVE('',#169845,#169853,#95619,.T.); #214372=EDGE_CURVE('',#169853,#169852,#95620,.T.); #214373=EDGE_CURVE('',#169844,#169854,#95621,.T.); #214374=EDGE_CURVE('',#169854,#169853,#95622,.T.); #214375=EDGE_CURVE('',#169843,#169855,#95623,.T.); #214376=EDGE_CURVE('',#169855,#169854,#140446,.T.); #214377=EDGE_CURVE('',#169842,#169856,#95624,.T.); #214378=EDGE_CURVE('',#169856,#169855,#95625,.T.); #214379=EDGE_CURVE('',#169841,#169857,#95626,.T.); #214380=EDGE_CURVE('',#169857,#169856,#140447,.T.); #214381=EDGE_CURVE('',#169840,#169858,#95627,.T.); #214382=EDGE_CURVE('',#169858,#169857,#95628,.T.); #214383=EDGE_CURVE('',#169839,#169859,#95629,.T.); #214384=EDGE_CURVE('',#169859,#169858,#95630,.T.); #214385=EDGE_CURVE('',#169837,#169860,#95631,.T.); #214386=EDGE_CURVE('',#169860,#169859,#95632,.T.); #214387=EDGE_CURVE('',#169849,#169860,#140448,.T.); #214388=EDGE_CURVE('',#169861,#169862,#140449,.T.); #214389=EDGE_CURVE('',#169862,#169863,#95633,.T.); #214390=EDGE_CURVE('',#169863,#169864,#140450,.T.); #214391=EDGE_CURVE('',#169864,#169865,#95634,.T.); #214392=EDGE_CURVE('',#169865,#169866,#95635,.T.); #214393=EDGE_CURVE('',#169866,#169867,#95636,.T.); #214394=EDGE_CURVE('',#169867,#169868,#140451,.T.); #214395=EDGE_CURVE('',#169868,#169869,#95637,.T.); #214396=EDGE_CURVE('',#169869,#169870,#140452,.T.); #214397=EDGE_CURVE('',#169870,#169871,#95638,.T.); #214398=EDGE_CURVE('',#169871,#169872,#95639,.T.); #214399=EDGE_CURVE('',#169872,#169861,#95640,.T.); #214400=EDGE_CURVE('',#169873,#169862,#95641,.T.); #214401=EDGE_CURVE('',#169874,#169873,#95642,.T.); #214402=EDGE_CURVE('',#169863,#169874,#95643,.T.); #214403=EDGE_CURVE('',#169875,#169874,#140453,.T.); #214404=EDGE_CURVE('',#169864,#169875,#95644,.T.); #214405=EDGE_CURVE('',#169876,#169875,#95645,.T.); #214406=EDGE_CURVE('',#169865,#169876,#95646,.T.); #214407=EDGE_CURVE('',#169877,#169876,#95647,.T.); #214408=EDGE_CURVE('',#169866,#169877,#95648,.T.); #214409=EDGE_CURVE('',#169878,#169877,#95649,.T.); #214410=EDGE_CURVE('',#169867,#169878,#95650,.T.); #214411=EDGE_CURVE('',#169879,#169878,#140454,.T.); #214412=EDGE_CURVE('',#169868,#169879,#95651,.T.); #214413=EDGE_CURVE('',#169880,#169879,#95652,.T.); #214414=EDGE_CURVE('',#169869,#169880,#95653,.T.); #214415=EDGE_CURVE('',#169881,#169880,#140455,.T.); #214416=EDGE_CURVE('',#169870,#169881,#95654,.T.); #214417=EDGE_CURVE('',#169882,#169881,#95655,.T.); #214418=EDGE_CURVE('',#169871,#169882,#95656,.T.); #214419=EDGE_CURVE('',#169883,#169882,#95657,.T.); #214420=EDGE_CURVE('',#169872,#169883,#95658,.T.); #214421=EDGE_CURVE('',#169884,#169883,#95659,.T.); #214422=EDGE_CURVE('',#169861,#169884,#95660,.T.); #214423=EDGE_CURVE('',#169873,#169884,#140456,.T.); #214424=EDGE_CURVE('',#169885,#169886,#140457,.T.); #214425=EDGE_CURVE('',#169886,#169887,#95661,.T.); #214426=EDGE_CURVE('',#169887,#169888,#140458,.T.); #214427=EDGE_CURVE('',#169888,#169889,#95662,.T.); #214428=EDGE_CURVE('',#169889,#169890,#95663,.T.); #214429=EDGE_CURVE('',#169890,#169891,#95664,.T.); #214430=EDGE_CURVE('',#169891,#169892,#140459,.T.); #214431=EDGE_CURVE('',#169892,#169893,#95665,.T.); #214432=EDGE_CURVE('',#169893,#169894,#140460,.T.); #214433=EDGE_CURVE('',#169894,#169895,#95666,.T.); #214434=EDGE_CURVE('',#169895,#169896,#95667,.T.); #214435=EDGE_CURVE('',#169896,#169885,#95668,.T.); #214436=EDGE_CURVE('',#169897,#169886,#95669,.T.); #214437=EDGE_CURVE('',#169898,#169897,#95670,.T.); #214438=EDGE_CURVE('',#169887,#169898,#95671,.T.); #214439=EDGE_CURVE('',#169899,#169898,#140461,.T.); #214440=EDGE_CURVE('',#169888,#169899,#95672,.T.); #214441=EDGE_CURVE('',#169900,#169899,#95673,.T.); #214442=EDGE_CURVE('',#169889,#169900,#95674,.T.); #214443=EDGE_CURVE('',#169901,#169900,#95675,.T.); #214444=EDGE_CURVE('',#169890,#169901,#95676,.T.); #214445=EDGE_CURVE('',#169902,#169901,#95677,.T.); #214446=EDGE_CURVE('',#169891,#169902,#95678,.T.); #214447=EDGE_CURVE('',#169903,#169902,#140462,.T.); #214448=EDGE_CURVE('',#169892,#169903,#95679,.T.); #214449=EDGE_CURVE('',#169904,#169903,#95680,.T.); #214450=EDGE_CURVE('',#169893,#169904,#95681,.T.); #214451=EDGE_CURVE('',#169905,#169904,#140463,.T.); #214452=EDGE_CURVE('',#169894,#169905,#95682,.T.); #214453=EDGE_CURVE('',#169906,#169905,#95683,.T.); #214454=EDGE_CURVE('',#169895,#169906,#95684,.T.); #214455=EDGE_CURVE('',#169907,#169906,#95685,.T.); #214456=EDGE_CURVE('',#169896,#169907,#95686,.T.); #214457=EDGE_CURVE('',#169908,#169907,#95687,.T.); #214458=EDGE_CURVE('',#169885,#169908,#95688,.T.); #214459=EDGE_CURVE('',#169897,#169908,#140464,.T.); #214460=EDGE_CURVE('',#169909,#169910,#140465,.T.); #214461=EDGE_CURVE('',#169910,#169911,#95689,.T.); #214462=EDGE_CURVE('',#169911,#169912,#140466,.T.); #214463=EDGE_CURVE('',#169912,#169913,#95690,.T.); #214464=EDGE_CURVE('',#169913,#169914,#95691,.T.); #214465=EDGE_CURVE('',#169914,#169915,#95692,.T.); #214466=EDGE_CURVE('',#169915,#169916,#140467,.T.); #214467=EDGE_CURVE('',#169916,#169917,#95693,.T.); #214468=EDGE_CURVE('',#169917,#169918,#140468,.T.); #214469=EDGE_CURVE('',#169918,#169919,#95694,.T.); #214470=EDGE_CURVE('',#169919,#169920,#95695,.T.); #214471=EDGE_CURVE('',#169920,#169909,#95696,.T.); #214472=EDGE_CURVE('',#169921,#169910,#95697,.T.); #214473=EDGE_CURVE('',#169922,#169921,#95698,.T.); #214474=EDGE_CURVE('',#169911,#169922,#95699,.T.); #214475=EDGE_CURVE('',#169923,#169922,#140469,.T.); #214476=EDGE_CURVE('',#169912,#169923,#95700,.T.); #214477=EDGE_CURVE('',#169924,#169923,#95701,.T.); #214478=EDGE_CURVE('',#169913,#169924,#95702,.T.); #214479=EDGE_CURVE('',#169925,#169924,#95703,.T.); #214480=EDGE_CURVE('',#169914,#169925,#95704,.T.); #214481=EDGE_CURVE('',#169926,#169925,#95705,.T.); #214482=EDGE_CURVE('',#169915,#169926,#95706,.T.); #214483=EDGE_CURVE('',#169927,#169926,#140470,.T.); #214484=EDGE_CURVE('',#169916,#169927,#95707,.T.); #214485=EDGE_CURVE('',#169928,#169927,#95708,.T.); #214486=EDGE_CURVE('',#169917,#169928,#95709,.T.); #214487=EDGE_CURVE('',#169929,#169928,#140471,.T.); #214488=EDGE_CURVE('',#169918,#169929,#95710,.T.); #214489=EDGE_CURVE('',#169930,#169929,#95711,.T.); #214490=EDGE_CURVE('',#169919,#169930,#95712,.T.); #214491=EDGE_CURVE('',#169931,#169930,#95713,.T.); #214492=EDGE_CURVE('',#169920,#169931,#95714,.T.); #214493=EDGE_CURVE('',#169932,#169931,#95715,.T.); #214494=EDGE_CURVE('',#169909,#169932,#95716,.T.); #214495=EDGE_CURVE('',#169921,#169932,#140472,.T.); #214496=EDGE_CURVE('',#169933,#169934,#140473,.T.); #214497=EDGE_CURVE('',#169935,#169933,#95717,.T.); #214498=EDGE_CURVE('',#169936,#169935,#95718,.T.); #214499=EDGE_CURVE('',#169937,#169936,#95719,.T.); #214500=EDGE_CURVE('',#169938,#169937,#140474,.T.); #214501=EDGE_CURVE('',#169939,#169938,#95720,.T.); #214502=EDGE_CURVE('',#169940,#169939,#140475,.T.); #214503=EDGE_CURVE('',#169941,#169940,#95721,.T.); #214504=EDGE_CURVE('',#169942,#169941,#95722,.T.); #214505=EDGE_CURVE('',#169943,#169942,#95723,.T.); #214506=EDGE_CURVE('',#169944,#169943,#140476,.T.); #214507=EDGE_CURVE('',#169934,#169944,#95724,.T.); #214508=EDGE_CURVE('',#169945,#169934,#95725,.T.); #214509=EDGE_CURVE('',#169944,#169946,#95726,.T.); #214510=EDGE_CURVE('',#169946,#169945,#95727,.T.); #214511=EDGE_CURVE('',#169943,#169947,#95728,.T.); #214512=EDGE_CURVE('',#169947,#169946,#140477,.T.); #214513=EDGE_CURVE('',#169942,#169948,#95729,.T.); #214514=EDGE_CURVE('',#169948,#169947,#95730,.T.); #214515=EDGE_CURVE('',#169941,#169949,#95731,.T.); #214516=EDGE_CURVE('',#169949,#169948,#95732,.T.); #214517=EDGE_CURVE('',#169940,#169950,#95733,.T.); #214518=EDGE_CURVE('',#169950,#169949,#95734,.T.); #214519=EDGE_CURVE('',#169939,#169951,#95735,.T.); #214520=EDGE_CURVE('',#169951,#169950,#140478,.T.); #214521=EDGE_CURVE('',#169938,#169952,#95736,.T.); #214522=EDGE_CURVE('',#169952,#169951,#95737,.T.); #214523=EDGE_CURVE('',#169937,#169953,#95738,.T.); #214524=EDGE_CURVE('',#169953,#169952,#140479,.T.); #214525=EDGE_CURVE('',#169936,#169954,#95739,.T.); #214526=EDGE_CURVE('',#169954,#169953,#95740,.T.); #214527=EDGE_CURVE('',#169935,#169955,#95741,.T.); #214528=EDGE_CURVE('',#169955,#169954,#95742,.T.); #214529=EDGE_CURVE('',#169933,#169956,#95743,.T.); #214530=EDGE_CURVE('',#169956,#169955,#95744,.T.); #214531=EDGE_CURVE('',#169945,#169956,#140480,.T.); #214532=EDGE_CURVE('',#169957,#169958,#140481,.T.); #214533=EDGE_CURVE('',#169959,#169957,#95745,.T.); #214534=EDGE_CURVE('',#169960,#169959,#95746,.T.); #214535=EDGE_CURVE('',#169961,#169960,#95747,.T.); #214536=EDGE_CURVE('',#169962,#169961,#140482,.T.); #214537=EDGE_CURVE('',#169963,#169962,#95748,.T.); #214538=EDGE_CURVE('',#169964,#169963,#140483,.T.); #214539=EDGE_CURVE('',#169965,#169964,#95749,.T.); #214540=EDGE_CURVE('',#169966,#169965,#95750,.T.); #214541=EDGE_CURVE('',#169967,#169966,#95751,.T.); #214542=EDGE_CURVE('',#169968,#169967,#140484,.T.); #214543=EDGE_CURVE('',#169958,#169968,#95752,.T.); #214544=EDGE_CURVE('',#169969,#169958,#95753,.T.); #214545=EDGE_CURVE('',#169968,#169970,#95754,.T.); #214546=EDGE_CURVE('',#169970,#169969,#95755,.T.); #214547=EDGE_CURVE('',#169967,#169971,#95756,.T.); #214548=EDGE_CURVE('',#169971,#169970,#140485,.T.); #214549=EDGE_CURVE('',#169966,#169972,#95757,.T.); #214550=EDGE_CURVE('',#169972,#169971,#95758,.T.); #214551=EDGE_CURVE('',#169965,#169973,#95759,.T.); #214552=EDGE_CURVE('',#169973,#169972,#95760,.T.); #214553=EDGE_CURVE('',#169964,#169974,#95761,.T.); #214554=EDGE_CURVE('',#169974,#169973,#95762,.T.); #214555=EDGE_CURVE('',#169963,#169975,#95763,.T.); #214556=EDGE_CURVE('',#169975,#169974,#140486,.T.); #214557=EDGE_CURVE('',#169962,#169976,#95764,.T.); #214558=EDGE_CURVE('',#169976,#169975,#95765,.T.); #214559=EDGE_CURVE('',#169961,#169977,#95766,.T.); #214560=EDGE_CURVE('',#169977,#169976,#140487,.T.); #214561=EDGE_CURVE('',#169960,#169978,#95767,.T.); #214562=EDGE_CURVE('',#169978,#169977,#95768,.T.); #214563=EDGE_CURVE('',#169959,#169979,#95769,.T.); #214564=EDGE_CURVE('',#169979,#169978,#95770,.T.); #214565=EDGE_CURVE('',#169957,#169980,#95771,.T.); #214566=EDGE_CURVE('',#169980,#169979,#95772,.T.); #214567=EDGE_CURVE('',#169969,#169980,#140488,.T.); #214568=EDGE_CURVE('',#169981,#169982,#140489,.T.); #214569=EDGE_CURVE('',#169983,#169981,#95773,.T.); #214570=EDGE_CURVE('',#169984,#169983,#95774,.T.); #214571=EDGE_CURVE('',#169985,#169984,#95775,.T.); #214572=EDGE_CURVE('',#169986,#169985,#140490,.T.); #214573=EDGE_CURVE('',#169987,#169986,#95776,.T.); #214574=EDGE_CURVE('',#169988,#169987,#140491,.T.); #214575=EDGE_CURVE('',#169989,#169988,#95777,.T.); #214576=EDGE_CURVE('',#169990,#169989,#95778,.T.); #214577=EDGE_CURVE('',#169991,#169990,#95779,.T.); #214578=EDGE_CURVE('',#169992,#169991,#140492,.T.); #214579=EDGE_CURVE('',#169982,#169992,#95780,.T.); #214580=EDGE_CURVE('',#169993,#169982,#95781,.T.); #214581=EDGE_CURVE('',#169992,#169994,#95782,.T.); #214582=EDGE_CURVE('',#169994,#169993,#95783,.T.); #214583=EDGE_CURVE('',#169991,#169995,#95784,.T.); #214584=EDGE_CURVE('',#169995,#169994,#140493,.T.); #214585=EDGE_CURVE('',#169990,#169996,#95785,.T.); #214586=EDGE_CURVE('',#169996,#169995,#95786,.T.); #214587=EDGE_CURVE('',#169989,#169997,#95787,.T.); #214588=EDGE_CURVE('',#169997,#169996,#95788,.T.); #214589=EDGE_CURVE('',#169988,#169998,#95789,.T.); #214590=EDGE_CURVE('',#169998,#169997,#95790,.T.); #214591=EDGE_CURVE('',#169987,#169999,#95791,.T.); #214592=EDGE_CURVE('',#169999,#169998,#140494,.T.); #214593=EDGE_CURVE('',#169986,#170000,#95792,.T.); #214594=EDGE_CURVE('',#170000,#169999,#95793,.T.); #214595=EDGE_CURVE('',#169985,#170001,#95794,.T.); #214596=EDGE_CURVE('',#170001,#170000,#140495,.T.); #214597=EDGE_CURVE('',#169984,#170002,#95795,.T.); #214598=EDGE_CURVE('',#170002,#170001,#95796,.T.); #214599=EDGE_CURVE('',#169983,#170003,#95797,.T.); #214600=EDGE_CURVE('',#170003,#170002,#95798,.T.); #214601=EDGE_CURVE('',#169981,#170004,#95799,.T.); #214602=EDGE_CURVE('',#170004,#170003,#95800,.T.); #214603=EDGE_CURVE('',#169993,#170004,#140496,.T.); #214604=EDGE_CURVE('',#170005,#170005,#140497,.T.); #214605=EDGE_CURVE('',#170005,#170006,#95801,.T.); #214606=EDGE_CURVE('',#170006,#170006,#140498,.T.); #214607=EDGE_CURVE('',#170007,#170008,#95802,.T.); #214608=EDGE_CURVE('',#170008,#170009,#95803,.T.); #214609=EDGE_CURVE('',#170009,#170010,#95804,.T.); #214610=EDGE_CURVE('',#170007,#170010,#95805,.T.); #214611=EDGE_CURVE('',#170008,#170011,#95806,.T.); #214612=EDGE_CURVE('',#170011,#170012,#95807,.T.); #214613=EDGE_CURVE('',#170012,#170009,#95808,.T.); #214614=EDGE_CURVE('',#170011,#170013,#95809,.T.); #214615=EDGE_CURVE('',#170013,#170014,#95810,.T.); #214616=EDGE_CURVE('',#170014,#170012,#95811,.T.); #214617=EDGE_CURVE('',#170010,#170014,#95812,.T.); #214618=EDGE_CURVE('',#170013,#170007,#95813,.T.); #214619=EDGE_CURVE('',#170015,#170010,#95814,.T.); #214620=EDGE_CURVE('',#170016,#170015,#95815,.T.); #214621=EDGE_CURVE('',#170016,#170014,#95816,.T.); #214622=EDGE_CURVE('',#170017,#170009,#95817,.T.); #214623=EDGE_CURVE('',#170015,#170017,#95818,.T.); #214624=EDGE_CURVE('',#170018,#170016,#95819,.T.); #214625=EDGE_CURVE('',#170016,#170019,#95820,.T.); #214626=EDGE_CURVE('',#170019,#170020,#95821,.T.); #214627=EDGE_CURVE('',#170020,#170018,#95822,.T.); #214628=EDGE_CURVE('',#170021,#170019,#95823,.T.); #214629=EDGE_CURVE('',#170021,#170015,#95824,.T.); #214630=EDGE_CURVE('',#170017,#170018,#95825,.T.); #214631=EDGE_CURVE('',#170020,#170022,#95826,.T.); #214632=EDGE_CURVE('',#170022,#170017,#95827,.T.); #214633=EDGE_CURVE('',#170022,#170021,#95828,.T.); #214634=EDGE_CURVE('',#170018,#170012,#95829,.T.); #214635=EDGE_CURVE('',#170023,#170024,#140499,.T.); #214636=EDGE_CURVE('',#170024,#170025,#95830,.T.); #214637=EDGE_CURVE('',#170025,#170026,#95831,.T.); #214638=EDGE_CURVE('',#170026,#170027,#95832,.T.); #214639=EDGE_CURVE('',#170027,#170028,#140500,.T.); #214640=EDGE_CURVE('',#170028,#170029,#95833,.T.); #214641=EDGE_CURVE('',#170029,#170030,#140501,.T.); #214642=EDGE_CURVE('',#170030,#170031,#95834,.T.); #214643=EDGE_CURVE('',#170031,#170032,#95835,.T.); #214644=EDGE_CURVE('',#170032,#170033,#95836,.T.); #214645=EDGE_CURVE('',#170033,#170034,#140502,.T.); #214646=EDGE_CURVE('',#170034,#170023,#95837,.T.); #214647=EDGE_CURVE('',#170035,#170024,#95838,.T.); #214648=EDGE_CURVE('',#170036,#170035,#95839,.T.); #214649=EDGE_CURVE('',#170036,#170025,#95840,.T.); #214650=EDGE_CURVE('',#170037,#170036,#95841,.T.); #214651=EDGE_CURVE('',#170037,#170026,#95842,.T.); #214652=EDGE_CURVE('',#170038,#170037,#95843,.T.); #214653=EDGE_CURVE('',#170038,#170027,#95844,.T.); #214654=EDGE_CURVE('',#170039,#170038,#140503,.T.); #214655=EDGE_CURVE('',#170039,#170028,#95845,.T.); #214656=EDGE_CURVE('',#170040,#170039,#95846,.T.); #214657=EDGE_CURVE('',#170040,#170029,#95847,.T.); #214658=EDGE_CURVE('',#170041,#170040,#140504,.T.); #214659=EDGE_CURVE('',#170041,#170030,#95848,.T.); #214660=EDGE_CURVE('',#170042,#170041,#95849,.T.); #214661=EDGE_CURVE('',#170042,#170031,#95850,.T.); #214662=EDGE_CURVE('',#170043,#170042,#95851,.T.); #214663=EDGE_CURVE('',#170043,#170032,#95852,.T.); #214664=EDGE_CURVE('',#170044,#170043,#95853,.T.); #214665=EDGE_CURVE('',#170044,#170033,#95854,.T.); #214666=EDGE_CURVE('',#170045,#170044,#140505,.T.); #214667=EDGE_CURVE('',#170045,#170034,#95855,.T.); #214668=EDGE_CURVE('',#170046,#170045,#95856,.T.); #214669=EDGE_CURVE('',#170023,#170046,#95857,.T.); #214670=EDGE_CURVE('',#170035,#170046,#140506,.T.); #214671=EDGE_CURVE('',#170047,#170048,#140507,.T.); #214672=EDGE_CURVE('',#170048,#170049,#95858,.T.); #214673=EDGE_CURVE('',#170049,#170050,#95859,.T.); #214674=EDGE_CURVE('',#170050,#170051,#95860,.T.); #214675=EDGE_CURVE('',#170051,#170052,#140508,.T.); #214676=EDGE_CURVE('',#170052,#170053,#95861,.T.); #214677=EDGE_CURVE('',#170053,#170054,#140509,.T.); #214678=EDGE_CURVE('',#170054,#170055,#95862,.T.); #214679=EDGE_CURVE('',#170055,#170056,#95863,.T.); #214680=EDGE_CURVE('',#170056,#170057,#95864,.T.); #214681=EDGE_CURVE('',#170057,#170058,#140510,.T.); #214682=EDGE_CURVE('',#170058,#170047,#95865,.T.); #214683=EDGE_CURVE('',#170059,#170048,#95866,.T.); #214684=EDGE_CURVE('',#170060,#170059,#95867,.T.); #214685=EDGE_CURVE('',#170060,#170049,#95868,.T.); #214686=EDGE_CURVE('',#170061,#170060,#95869,.T.); #214687=EDGE_CURVE('',#170061,#170050,#95870,.T.); #214688=EDGE_CURVE('',#170062,#170061,#95871,.T.); #214689=EDGE_CURVE('',#170062,#170051,#95872,.T.); #214690=EDGE_CURVE('',#170063,#170062,#140511,.T.); #214691=EDGE_CURVE('',#170063,#170052,#95873,.T.); #214692=EDGE_CURVE('',#170064,#170063,#95874,.T.); #214693=EDGE_CURVE('',#170064,#170053,#95875,.T.); #214694=EDGE_CURVE('',#170065,#170064,#140512,.T.); #214695=EDGE_CURVE('',#170065,#170054,#95876,.T.); #214696=EDGE_CURVE('',#170066,#170065,#95877,.T.); #214697=EDGE_CURVE('',#170066,#170055,#95878,.T.); #214698=EDGE_CURVE('',#170067,#170066,#95879,.T.); #214699=EDGE_CURVE('',#170067,#170056,#95880,.T.); #214700=EDGE_CURVE('',#170068,#170067,#95881,.T.); #214701=EDGE_CURVE('',#170068,#170057,#95882,.T.); #214702=EDGE_CURVE('',#170069,#170068,#140513,.T.); #214703=EDGE_CURVE('',#170069,#170058,#95883,.T.); #214704=EDGE_CURVE('',#170070,#170069,#95884,.T.); #214705=EDGE_CURVE('',#170047,#170070,#95885,.T.); #214706=EDGE_CURVE('',#170059,#170070,#140514,.T.); #214707=EDGE_CURVE('',#170071,#170072,#140515,.T.); #214708=EDGE_CURVE('',#170072,#170073,#95886,.T.); #214709=EDGE_CURVE('',#170073,#170074,#95887,.T.); #214710=EDGE_CURVE('',#170074,#170075,#95888,.T.); #214711=EDGE_CURVE('',#170075,#170076,#140516,.T.); #214712=EDGE_CURVE('',#170076,#170077,#95889,.T.); #214713=EDGE_CURVE('',#170077,#170078,#140517,.T.); #214714=EDGE_CURVE('',#170078,#170079,#95890,.T.); #214715=EDGE_CURVE('',#170079,#170080,#95891,.T.); #214716=EDGE_CURVE('',#170080,#170081,#95892,.T.); #214717=EDGE_CURVE('',#170081,#170082,#140518,.T.); #214718=EDGE_CURVE('',#170082,#170071,#95893,.T.); #214719=EDGE_CURVE('',#170083,#170072,#95894,.T.); #214720=EDGE_CURVE('',#170084,#170083,#95895,.T.); #214721=EDGE_CURVE('',#170084,#170073,#95896,.T.); #214722=EDGE_CURVE('',#170085,#170084,#95897,.T.); #214723=EDGE_CURVE('',#170085,#170074,#95898,.T.); #214724=EDGE_CURVE('',#170086,#170085,#95899,.T.); #214725=EDGE_CURVE('',#170086,#170075,#95900,.T.); #214726=EDGE_CURVE('',#170087,#170086,#140519,.T.); #214727=EDGE_CURVE('',#170087,#170076,#95901,.T.); #214728=EDGE_CURVE('',#170088,#170087,#95902,.T.); #214729=EDGE_CURVE('',#170088,#170077,#95903,.T.); #214730=EDGE_CURVE('',#170089,#170088,#140520,.T.); #214731=EDGE_CURVE('',#170089,#170078,#95904,.T.); #214732=EDGE_CURVE('',#170090,#170089,#95905,.T.); #214733=EDGE_CURVE('',#170090,#170079,#95906,.T.); #214734=EDGE_CURVE('',#170091,#170090,#95907,.T.); #214735=EDGE_CURVE('',#170091,#170080,#95908,.T.); #214736=EDGE_CURVE('',#170092,#170091,#95909,.T.); #214737=EDGE_CURVE('',#170092,#170081,#95910,.T.); #214738=EDGE_CURVE('',#170093,#170092,#140521,.T.); #214739=EDGE_CURVE('',#170093,#170082,#95911,.T.); #214740=EDGE_CURVE('',#170094,#170093,#95912,.T.); #214741=EDGE_CURVE('',#170071,#170094,#95913,.T.); #214742=EDGE_CURVE('',#170083,#170094,#140522,.T.); #214743=EDGE_CURVE('',#170095,#170096,#140523,.T.); #214744=EDGE_CURVE('',#170096,#170097,#95914,.T.); #214745=EDGE_CURVE('',#170097,#170098,#95915,.T.); #214746=EDGE_CURVE('',#170098,#170099,#95916,.T.); #214747=EDGE_CURVE('',#170099,#170100,#140524,.T.); #214748=EDGE_CURVE('',#170100,#170101,#95917,.T.); #214749=EDGE_CURVE('',#170101,#170102,#140525,.T.); #214750=EDGE_CURVE('',#170102,#170103,#95918,.T.); #214751=EDGE_CURVE('',#170103,#170104,#95919,.T.); #214752=EDGE_CURVE('',#170104,#170105,#95920,.T.); #214753=EDGE_CURVE('',#170105,#170106,#140526,.T.); #214754=EDGE_CURVE('',#170106,#170095,#95921,.T.); #214755=EDGE_CURVE('',#170107,#170096,#95922,.T.); #214756=EDGE_CURVE('',#170108,#170107,#95923,.T.); #214757=EDGE_CURVE('',#170108,#170097,#95924,.T.); #214758=EDGE_CURVE('',#170109,#170108,#95925,.T.); #214759=EDGE_CURVE('',#170109,#170098,#95926,.T.); #214760=EDGE_CURVE('',#170110,#170109,#95927,.T.); #214761=EDGE_CURVE('',#170110,#170099,#95928,.T.); #214762=EDGE_CURVE('',#170111,#170110,#140527,.T.); #214763=EDGE_CURVE('',#170111,#170100,#95929,.T.); #214764=EDGE_CURVE('',#170112,#170111,#95930,.T.); #214765=EDGE_CURVE('',#170112,#170101,#95931,.T.); #214766=EDGE_CURVE('',#170113,#170112,#140528,.T.); #214767=EDGE_CURVE('',#170113,#170102,#95932,.T.); #214768=EDGE_CURVE('',#170114,#170113,#95933,.T.); #214769=EDGE_CURVE('',#170114,#170103,#95934,.T.); #214770=EDGE_CURVE('',#170115,#170114,#95935,.T.); #214771=EDGE_CURVE('',#170115,#170104,#95936,.T.); #214772=EDGE_CURVE('',#170116,#170115,#95937,.T.); #214773=EDGE_CURVE('',#170116,#170105,#95938,.T.); #214774=EDGE_CURVE('',#170117,#170116,#140529,.T.); #214775=EDGE_CURVE('',#170117,#170106,#95939,.T.); #214776=EDGE_CURVE('',#170118,#170117,#95940,.T.); #214777=EDGE_CURVE('',#170095,#170118,#95941,.T.); #214778=EDGE_CURVE('',#170107,#170118,#140530,.T.); #214779=EDGE_CURVE('',#170119,#170120,#140531,.T.); #214780=EDGE_CURVE('',#170120,#170121,#95942,.T.); #214781=EDGE_CURVE('',#170121,#170122,#95943,.T.); #214782=EDGE_CURVE('',#170122,#170123,#95944,.T.); #214783=EDGE_CURVE('',#170123,#170124,#140532,.T.); #214784=EDGE_CURVE('',#170124,#170125,#95945,.T.); #214785=EDGE_CURVE('',#170125,#170126,#140533,.T.); #214786=EDGE_CURVE('',#170126,#170127,#95946,.T.); #214787=EDGE_CURVE('',#170127,#170128,#95947,.T.); #214788=EDGE_CURVE('',#170128,#170129,#95948,.T.); #214789=EDGE_CURVE('',#170129,#170130,#140534,.T.); #214790=EDGE_CURVE('',#170130,#170119,#95949,.T.); #214791=EDGE_CURVE('',#170131,#170120,#95950,.T.); #214792=EDGE_CURVE('',#170132,#170131,#95951,.T.); #214793=EDGE_CURVE('',#170132,#170121,#95952,.T.); #214794=EDGE_CURVE('',#170133,#170132,#95953,.T.); #214795=EDGE_CURVE('',#170133,#170122,#95954,.T.); #214796=EDGE_CURVE('',#170134,#170133,#95955,.T.); #214797=EDGE_CURVE('',#170134,#170123,#95956,.T.); #214798=EDGE_CURVE('',#170135,#170134,#140535,.T.); #214799=EDGE_CURVE('',#170135,#170124,#95957,.T.); #214800=EDGE_CURVE('',#170136,#170135,#95958,.T.); #214801=EDGE_CURVE('',#170136,#170125,#95959,.T.); #214802=EDGE_CURVE('',#170137,#170136,#140536,.T.); #214803=EDGE_CURVE('',#170137,#170126,#95960,.T.); #214804=EDGE_CURVE('',#170138,#170137,#95961,.T.); #214805=EDGE_CURVE('',#170138,#170127,#95962,.T.); #214806=EDGE_CURVE('',#170139,#170138,#95963,.T.); #214807=EDGE_CURVE('',#170139,#170128,#95964,.T.); #214808=EDGE_CURVE('',#170140,#170139,#95965,.T.); #214809=EDGE_CURVE('',#170140,#170129,#95966,.T.); #214810=EDGE_CURVE('',#170141,#170140,#140537,.T.); #214811=EDGE_CURVE('',#170141,#170130,#95967,.T.); #214812=EDGE_CURVE('',#170142,#170141,#95968,.T.); #214813=EDGE_CURVE('',#170119,#170142,#95969,.T.); #214814=EDGE_CURVE('',#170131,#170142,#140538,.T.); #214815=EDGE_CURVE('',#170143,#170144,#140539,.F.); #214816=EDGE_CURVE('',#170145,#170143,#140540,.F.); #214817=EDGE_CURVE('',#170144,#170145,#140541,.F.); #214818=EDGE_CURVE('',#170146,#170147,#140542,.F.); #214819=EDGE_CURVE('',#170148,#170146,#140543,.F.); #214820=EDGE_CURVE('',#170147,#170148,#140544,.F.); #214821=EDGE_CURVE('',#170149,#170150,#140545,.F.); #214822=EDGE_CURVE('',#170151,#170149,#140546,.F.); #214823=EDGE_CURVE('',#170150,#170151,#140547,.F.); #214824=EDGE_CURVE('',#170152,#170153,#140548,.F.); #214825=EDGE_CURVE('',#170154,#170152,#140549,.F.); #214826=EDGE_CURVE('',#170153,#170154,#140550,.F.); #214827=EDGE_CURVE('',#170155,#170156,#140551,.F.); #214828=EDGE_CURVE('',#170157,#170155,#140552,.F.); #214829=EDGE_CURVE('',#170156,#170157,#140553,.F.); #214830=EDGE_CURVE('',#170155,#170150,#95970,.T.); #214831=EDGE_CURVE('',#170151,#170157,#95971,.T.); #214832=EDGE_CURVE('',#170158,#170159,#140554,.F.); #214833=EDGE_CURVE('',#170160,#170158,#140555,.F.); #214834=EDGE_CURVE('',#170159,#170160,#140556,.F.); #214835=EDGE_CURVE('',#170161,#170162,#140557,.F.); #214836=EDGE_CURVE('',#170163,#170161,#140558,.F.); #214837=EDGE_CURVE('',#170162,#170163,#140559,.F.); #214838=EDGE_CURVE('',#170149,#170163,#95972,.T.); #214839=EDGE_CURVE('',#170161,#170151,#95973,.T.); #214840=EDGE_CURVE('',#170152,#170162,#95974,.T.); #214841=EDGE_CURVE('',#170163,#170154,#95975,.T.); #214842=EDGE_CURVE('',#170164,#170165,#140560,.F.); #214843=EDGE_CURVE('',#170166,#170164,#140561,.F.); #214844=EDGE_CURVE('',#170165,#170166,#140562,.F.); #214845=EDGE_CURVE('',#170157,#170166,#95976,.T.); #214846=EDGE_CURVE('',#170164,#170156,#95977,.T.); #214847=EDGE_CURVE('',#170162,#170165,#95978,.T.); #214848=EDGE_CURVE('',#170166,#170161,#95979,.T.); #214849=EDGE_CURVE('',#170158,#170164,#95980,.T.); #214850=EDGE_CURVE('',#170165,#170160,#95981,.T.); #214851=EDGE_CURVE('',#170153,#170159,#95982,.T.); #214852=EDGE_CURVE('',#170160,#170152,#95983,.T.); #214853=EDGE_CURVE('',#170159,#170147,#95984,.T.); #214854=EDGE_CURVE('',#170148,#170158,#95985,.T.); #214855=EDGE_CURVE('',#170146,#170155,#95986,.T.); #214856=EDGE_CURVE('',#170156,#170148,#95987,.T.); #214857=EDGE_CURVE('',#170144,#170153,#95988,.T.); #214858=EDGE_CURVE('',#170154,#170143,#95989,.T.); #214859=EDGE_CURVE('',#170143,#170149,#95990,.T.); #214860=EDGE_CURVE('',#170150,#170145,#95991,.T.); #214861=EDGE_CURVE('',#170147,#170144,#95992,.T.); #214862=EDGE_CURVE('',#170145,#170146,#95993,.T.); #214863=EDGE_CURVE('',#170167,#170168,#140563,.F.); #214864=EDGE_CURVE('',#170169,#170167,#140564,.F.); #214865=EDGE_CURVE('',#170168,#170169,#140565,.F.); #214866=EDGE_CURVE('',#170170,#170171,#140566,.F.); #214867=EDGE_CURVE('',#170172,#170170,#140567,.F.); #214868=EDGE_CURVE('',#170171,#170172,#140568,.F.); #214869=EDGE_CURVE('',#170173,#170174,#140569,.F.); #214870=EDGE_CURVE('',#170175,#170173,#140570,.F.); #214871=EDGE_CURVE('',#170174,#170175,#140571,.F.); #214872=EDGE_CURVE('',#170176,#170177,#140572,.F.); #214873=EDGE_CURVE('',#170178,#170176,#140573,.F.); #214874=EDGE_CURVE('',#170177,#170178,#140574,.F.); #214875=EDGE_CURVE('',#170179,#170180,#140575,.F.); #214876=EDGE_CURVE('',#170181,#170179,#140576,.F.); #214877=EDGE_CURVE('',#170180,#170181,#140577,.F.); #214878=EDGE_CURVE('',#170179,#170174,#95994,.T.); #214879=EDGE_CURVE('',#170175,#170181,#95995,.T.); #214880=EDGE_CURVE('',#170182,#170183,#140578,.F.); #214881=EDGE_CURVE('',#170184,#170182,#140579,.F.); #214882=EDGE_CURVE('',#170183,#170184,#140580,.F.); #214883=EDGE_CURVE('',#170185,#170186,#140581,.F.); #214884=EDGE_CURVE('',#170187,#170185,#140582,.F.); #214885=EDGE_CURVE('',#170186,#170187,#140583,.F.); #214886=EDGE_CURVE('',#170173,#170187,#95996,.T.); #214887=EDGE_CURVE('',#170185,#170175,#95997,.T.); #214888=EDGE_CURVE('',#170176,#170186,#95998,.T.); #214889=EDGE_CURVE('',#170187,#170178,#95999,.T.); #214890=EDGE_CURVE('',#170188,#170189,#140584,.F.); #214891=EDGE_CURVE('',#170190,#170188,#140585,.F.); #214892=EDGE_CURVE('',#170189,#170190,#140586,.F.); #214893=EDGE_CURVE('',#170188,#170180,#96000,.T.); #214894=EDGE_CURVE('',#170181,#170190,#96001,.T.); #214895=EDGE_CURVE('',#170186,#170189,#96002,.T.); #214896=EDGE_CURVE('',#170190,#170185,#96003,.T.); #214897=EDGE_CURVE('',#170182,#170188,#96004,.T.); #214898=EDGE_CURVE('',#170189,#170184,#96005,.T.); #214899=EDGE_CURVE('',#170177,#170183,#96006,.T.); #214900=EDGE_CURVE('',#170184,#170176,#96007,.T.); #214901=EDGE_CURVE('',#170183,#170171,#96008,.T.); #214902=EDGE_CURVE('',#170172,#170182,#96009,.T.); #214903=EDGE_CURVE('',#170170,#170179,#96010,.T.); #214904=EDGE_CURVE('',#170180,#170172,#96011,.T.); #214905=EDGE_CURVE('',#170168,#170177,#96012,.T.); #214906=EDGE_CURVE('',#170178,#170167,#96013,.T.); #214907=EDGE_CURVE('',#170167,#170173,#96014,.T.); #214908=EDGE_CURVE('',#170174,#170169,#96015,.T.); #214909=EDGE_CURVE('',#170171,#170168,#96016,.T.); #214910=EDGE_CURVE('',#170169,#170170,#96017,.T.); #214911=EDGE_CURVE('',#170191,#170192,#96018,.T.); #214912=EDGE_CURVE('',#170191,#170193,#96019,.T.); #214913=EDGE_CURVE('',#170194,#170193,#96020,.T.); #214914=EDGE_CURVE('',#170192,#170194,#96021,.T.); #214915=EDGE_CURVE('',#170195,#170192,#96022,.T.); #214916=EDGE_CURVE('',#170196,#170194,#96023,.T.); #214917=EDGE_CURVE('',#170195,#170196,#96024,.T.); #214918=EDGE_CURVE('',#170197,#170195,#96025,.T.); #214919=EDGE_CURVE('',#170198,#170196,#96026,.T.); #214920=EDGE_CURVE('',#170197,#170198,#96027,.T.); #214921=EDGE_CURVE('',#170191,#170197,#96028,.T.); #214922=EDGE_CURVE('',#170193,#170198,#96029,.T.); #214923=ORIENTED_EDGE('',*,*,#170199,.F.); #214924=ORIENTED_EDGE('',*,*,#170200,.T.); #214925=ORIENTED_EDGE('',*,*,#170201,.F.); #214926=ORIENTED_EDGE('',*,*,#170200,.F.); #214927=ORIENTED_EDGE('',*,*,#170202,.F.); #214928=ORIENTED_EDGE('',*,*,#170203,.T.); #214929=ORIENTED_EDGE('',*,*,#170204,.F.); #214930=ORIENTED_EDGE('',*,*,#170203,.F.); #214931=ORIENTED_EDGE('',*,*,#170205,.F.); #214932=ORIENTED_EDGE('',*,*,#170206,.T.); #214933=ORIENTED_EDGE('',*,*,#170207,.F.); #214934=ORIENTED_EDGE('',*,*,#170206,.F.); #214935=ORIENTED_EDGE('',*,*,#170208,.F.); #214936=ORIENTED_EDGE('',*,*,#170209,.T.); #214937=ORIENTED_EDGE('',*,*,#170210,.F.); #214938=ORIENTED_EDGE('',*,*,#170209,.F.); #214939=ORIENTED_EDGE('',*,*,#170211,.F.); #214940=ORIENTED_EDGE('',*,*,#170212,.T.); #214941=ORIENTED_EDGE('',*,*,#170213,.F.); #214942=ORIENTED_EDGE('',*,*,#170212,.F.); #214943=ORIENTED_EDGE('',*,*,#170214,.F.); #214944=ORIENTED_EDGE('',*,*,#170215,.T.); #214945=ORIENTED_EDGE('',*,*,#170216,.F.); #214946=ORIENTED_EDGE('',*,*,#170215,.F.); #214947=ORIENTED_EDGE('',*,*,#170217,.F.); #214948=ORIENTED_EDGE('',*,*,#170218,.T.); #214949=ORIENTED_EDGE('',*,*,#170219,.F.); #214950=ORIENTED_EDGE('',*,*,#170218,.F.); #214951=ORIENTED_EDGE('',*,*,#170220,.F.); #214952=ORIENTED_EDGE('',*,*,#170221,.T.); #214953=ORIENTED_EDGE('',*,*,#170222,.F.); #214954=ORIENTED_EDGE('',*,*,#170221,.F.); #214955=ORIENTED_EDGE('',*,*,#170223,.F.); #214956=ORIENTED_EDGE('',*,*,#170224,.T.); #214957=ORIENTED_EDGE('',*,*,#170225,.F.); #214958=ORIENTED_EDGE('',*,*,#170224,.F.); #214959=ORIENTED_EDGE('',*,*,#170226,.F.); #214960=ORIENTED_EDGE('',*,*,#170227,.T.); #214961=ORIENTED_EDGE('',*,*,#170228,.F.); #214962=ORIENTED_EDGE('',*,*,#170227,.F.); #214963=ORIENTED_EDGE('',*,*,#170229,.F.); #214964=ORIENTED_EDGE('',*,*,#170230,.T.); #214965=ORIENTED_EDGE('',*,*,#170231,.F.); #214966=ORIENTED_EDGE('',*,*,#170230,.F.); #214967=ORIENTED_EDGE('',*,*,#170232,.F.); #214968=ORIENTED_EDGE('',*,*,#170233,.T.); #214969=ORIENTED_EDGE('',*,*,#170234,.F.); #214970=ORIENTED_EDGE('',*,*,#170233,.F.); #214971=ORIENTED_EDGE('',*,*,#170235,.F.); #214972=ORIENTED_EDGE('',*,*,#170236,.T.); #214973=ORIENTED_EDGE('',*,*,#170237,.F.); #214974=ORIENTED_EDGE('',*,*,#170236,.F.); #214975=ORIENTED_EDGE('',*,*,#170238,.F.); #214976=ORIENTED_EDGE('',*,*,#170239,.T.); #214977=ORIENTED_EDGE('',*,*,#170240,.F.); #214978=ORIENTED_EDGE('',*,*,#170239,.F.); #214979=ORIENTED_EDGE('',*,*,#170241,.F.); #214980=ORIENTED_EDGE('',*,*,#170242,.T.); #214981=ORIENTED_EDGE('',*,*,#170243,.F.); #214982=ORIENTED_EDGE('',*,*,#170242,.F.); #214983=ORIENTED_EDGE('',*,*,#170244,.F.); #214984=ORIENTED_EDGE('',*,*,#170245,.T.); #214985=ORIENTED_EDGE('',*,*,#170246,.F.); #214986=ORIENTED_EDGE('',*,*,#170245,.F.); #214987=ORIENTED_EDGE('',*,*,#170247,.F.); #214988=ORIENTED_EDGE('',*,*,#170248,.T.); #214989=ORIENTED_EDGE('',*,*,#170249,.F.); #214990=ORIENTED_EDGE('',*,*,#170248,.F.); #214991=ORIENTED_EDGE('',*,*,#170250,.F.); #214992=ORIENTED_EDGE('',*,*,#170251,.T.); #214993=ORIENTED_EDGE('',*,*,#170252,.F.); #214994=ORIENTED_EDGE('',*,*,#170251,.F.); #214995=ORIENTED_EDGE('',*,*,#170253,.F.); #214996=ORIENTED_EDGE('',*,*,#170254,.T.); #214997=ORIENTED_EDGE('',*,*,#170255,.F.); #214998=ORIENTED_EDGE('',*,*,#170254,.F.); #214999=ORIENTED_EDGE('',*,*,#170256,.F.); #215000=ORIENTED_EDGE('',*,*,#170257,.T.); #215001=ORIENTED_EDGE('',*,*,#170258,.F.); #215002=ORIENTED_EDGE('',*,*,#170257,.F.); #215003=ORIENTED_EDGE('',*,*,#170259,.F.); #215004=ORIENTED_EDGE('',*,*,#170260,.T.); #215005=ORIENTED_EDGE('',*,*,#170261,.F.); #215006=ORIENTED_EDGE('',*,*,#170260,.F.); #215007=ORIENTED_EDGE('',*,*,#170262,.F.); #215008=ORIENTED_EDGE('',*,*,#170263,.T.); #215009=ORIENTED_EDGE('',*,*,#170264,.F.); #215010=ORIENTED_EDGE('',*,*,#170263,.F.); #215011=ORIENTED_EDGE('',*,*,#170265,.F.); #215012=ORIENTED_EDGE('',*,*,#170266,.T.); #215013=ORIENTED_EDGE('',*,*,#170267,.F.); #215014=ORIENTED_EDGE('',*,*,#170266,.F.); #215015=ORIENTED_EDGE('',*,*,#170268,.F.); #215016=ORIENTED_EDGE('',*,*,#170269,.T.); #215017=ORIENTED_EDGE('',*,*,#170270,.F.); #215018=ORIENTED_EDGE('',*,*,#170269,.F.); #215019=ORIENTED_EDGE('',*,*,#170271,.F.); #215020=ORIENTED_EDGE('',*,*,#170272,.T.); #215021=ORIENTED_EDGE('',*,*,#170273,.F.); #215022=ORIENTED_EDGE('',*,*,#170272,.F.); #215023=ORIENTED_EDGE('',*,*,#170274,.F.); #215024=ORIENTED_EDGE('',*,*,#170275,.T.); #215025=ORIENTED_EDGE('',*,*,#170276,.F.); #215026=ORIENTED_EDGE('',*,*,#170275,.F.); #215027=ORIENTED_EDGE('',*,*,#170277,.F.); #215028=ORIENTED_EDGE('',*,*,#170278,.T.); #215029=ORIENTED_EDGE('',*,*,#170279,.F.); #215030=ORIENTED_EDGE('',*,*,#170278,.F.); #215031=ORIENTED_EDGE('',*,*,#170280,.F.); #215032=ORIENTED_EDGE('',*,*,#170281,.T.); #215033=ORIENTED_EDGE('',*,*,#170282,.F.); #215034=ORIENTED_EDGE('',*,*,#170281,.F.); #215035=ORIENTED_EDGE('',*,*,#170283,.F.); #215036=ORIENTED_EDGE('',*,*,#170284,.T.); #215037=ORIENTED_EDGE('',*,*,#170285,.F.); #215038=ORIENTED_EDGE('',*,*,#170284,.F.); #215039=ORIENTED_EDGE('',*,*,#170286,.F.); #215040=ORIENTED_EDGE('',*,*,#170287,.T.); #215041=ORIENTED_EDGE('',*,*,#170288,.F.); #215042=ORIENTED_EDGE('',*,*,#170287,.F.); #215043=ORIENTED_EDGE('',*,*,#170289,.F.); #215044=ORIENTED_EDGE('',*,*,#170290,.T.); #215045=ORIENTED_EDGE('',*,*,#170291,.F.); #215046=ORIENTED_EDGE('',*,*,#170290,.F.); #215047=ORIENTED_EDGE('',*,*,#170292,.F.); #215048=ORIENTED_EDGE('',*,*,#170293,.T.); #215049=ORIENTED_EDGE('',*,*,#170294,.F.); #215050=ORIENTED_EDGE('',*,*,#170293,.F.); #215051=ORIENTED_EDGE('',*,*,#170295,.F.); #215052=ORIENTED_EDGE('',*,*,#170296,.T.); #215053=ORIENTED_EDGE('',*,*,#170297,.F.); #215054=ORIENTED_EDGE('',*,*,#170296,.F.); #215055=ORIENTED_EDGE('',*,*,#170298,.F.); #215056=ORIENTED_EDGE('',*,*,#170299,.T.); #215057=ORIENTED_EDGE('',*,*,#170300,.F.); #215058=ORIENTED_EDGE('',*,*,#170299,.F.); #215059=ORIENTED_EDGE('',*,*,#170301,.F.); #215060=ORIENTED_EDGE('',*,*,#170302,.T.); #215061=ORIENTED_EDGE('',*,*,#170303,.F.); #215062=ORIENTED_EDGE('',*,*,#170302,.F.); #215063=ORIENTED_EDGE('',*,*,#170304,.F.); #215064=ORIENTED_EDGE('',*,*,#170305,.T.); #215065=ORIENTED_EDGE('',*,*,#170306,.F.); #215066=ORIENTED_EDGE('',*,*,#170305,.F.); #215067=ORIENTED_EDGE('',*,*,#170307,.F.); #215068=ORIENTED_EDGE('',*,*,#170308,.T.); #215069=ORIENTED_EDGE('',*,*,#170309,.F.); #215070=ORIENTED_EDGE('',*,*,#170308,.F.); #215071=ORIENTED_EDGE('',*,*,#170310,.F.); #215072=ORIENTED_EDGE('',*,*,#170311,.T.); #215073=ORIENTED_EDGE('',*,*,#170312,.F.); #215074=ORIENTED_EDGE('',*,*,#170311,.F.); #215075=ORIENTED_EDGE('',*,*,#170313,.F.); #215076=ORIENTED_EDGE('',*,*,#170314,.T.); #215077=ORIENTED_EDGE('',*,*,#170315,.F.); #215078=ORIENTED_EDGE('',*,*,#170314,.F.); #215079=ORIENTED_EDGE('',*,*,#170316,.F.); #215080=ORIENTED_EDGE('',*,*,#170317,.T.); #215081=ORIENTED_EDGE('',*,*,#170318,.F.); #215082=ORIENTED_EDGE('',*,*,#170317,.F.); #215083=ORIENTED_EDGE('',*,*,#170319,.F.); #215084=ORIENTED_EDGE('',*,*,#170320,.T.); #215085=ORIENTED_EDGE('',*,*,#170321,.F.); #215086=ORIENTED_EDGE('',*,*,#170320,.F.); #215087=ORIENTED_EDGE('',*,*,#170322,.F.); #215088=ORIENTED_EDGE('',*,*,#170323,.T.); #215089=ORIENTED_EDGE('',*,*,#170324,.F.); #215090=ORIENTED_EDGE('',*,*,#170323,.F.); #215091=ORIENTED_EDGE('',*,*,#170325,.F.); #215092=ORIENTED_EDGE('',*,*,#170326,.T.); #215093=ORIENTED_EDGE('',*,*,#170327,.F.); #215094=ORIENTED_EDGE('',*,*,#170326,.F.); #215095=ORIENTED_EDGE('',*,*,#170328,.F.); #215096=ORIENTED_EDGE('',*,*,#170329,.T.); #215097=ORIENTED_EDGE('',*,*,#170330,.F.); #215098=ORIENTED_EDGE('',*,*,#170329,.F.); #215099=ORIENTED_EDGE('',*,*,#170331,.F.); #215100=ORIENTED_EDGE('',*,*,#170332,.T.); #215101=ORIENTED_EDGE('',*,*,#170333,.F.); #215102=ORIENTED_EDGE('',*,*,#170332,.F.); #215103=ORIENTED_EDGE('',*,*,#170334,.F.); #215104=ORIENTED_EDGE('',*,*,#170335,.T.); #215105=ORIENTED_EDGE('',*,*,#170336,.F.); #215106=ORIENTED_EDGE('',*,*,#170335,.F.); #215107=ORIENTED_EDGE('',*,*,#170337,.F.); #215108=ORIENTED_EDGE('',*,*,#170338,.T.); #215109=ORIENTED_EDGE('',*,*,#170339,.F.); #215110=ORIENTED_EDGE('',*,*,#170338,.F.); #215111=ORIENTED_EDGE('',*,*,#170340,.F.); #215112=ORIENTED_EDGE('',*,*,#170341,.T.); #215113=ORIENTED_EDGE('',*,*,#170342,.F.); #215114=ORIENTED_EDGE('',*,*,#170341,.F.); #215115=ORIENTED_EDGE('',*,*,#170343,.F.); #215116=ORIENTED_EDGE('',*,*,#170344,.T.); #215117=ORIENTED_EDGE('',*,*,#170345,.F.); #215118=ORIENTED_EDGE('',*,*,#170344,.F.); #215119=ORIENTED_EDGE('',*,*,#170346,.F.); #215120=ORIENTED_EDGE('',*,*,#170347,.T.); #215121=ORIENTED_EDGE('',*,*,#170348,.F.); #215122=ORIENTED_EDGE('',*,*,#170347,.F.); #215123=ORIENTED_EDGE('',*,*,#170349,.F.); #215124=ORIENTED_EDGE('',*,*,#170350,.T.); #215125=ORIENTED_EDGE('',*,*,#170351,.F.); #215126=ORIENTED_EDGE('',*,*,#170350,.F.); #215127=ORIENTED_EDGE('',*,*,#170352,.F.); #215128=ORIENTED_EDGE('',*,*,#170353,.T.); #215129=ORIENTED_EDGE('',*,*,#170354,.F.); #215130=ORIENTED_EDGE('',*,*,#170353,.F.); #215131=ORIENTED_EDGE('',*,*,#170355,.F.); #215132=ORIENTED_EDGE('',*,*,#170356,.T.); #215133=ORIENTED_EDGE('',*,*,#170357,.F.); #215134=ORIENTED_EDGE('',*,*,#170356,.F.); #215135=ORIENTED_EDGE('',*,*,#170358,.F.); #215136=ORIENTED_EDGE('',*,*,#170359,.T.); #215137=ORIENTED_EDGE('',*,*,#170360,.F.); #215138=ORIENTED_EDGE('',*,*,#170359,.F.); #215139=ORIENTED_EDGE('',*,*,#170361,.F.); #215140=ORIENTED_EDGE('',*,*,#170362,.T.); #215141=ORIENTED_EDGE('',*,*,#170363,.F.); #215142=ORIENTED_EDGE('',*,*,#170362,.F.); #215143=ORIENTED_EDGE('',*,*,#170364,.F.); #215144=ORIENTED_EDGE('',*,*,#170365,.T.); #215145=ORIENTED_EDGE('',*,*,#170366,.F.); #215146=ORIENTED_EDGE('',*,*,#170365,.F.); #215147=ORIENTED_EDGE('',*,*,#170367,.F.); #215148=ORIENTED_EDGE('',*,*,#170368,.T.); #215149=ORIENTED_EDGE('',*,*,#170369,.F.); #215150=ORIENTED_EDGE('',*,*,#170368,.F.); #215151=ORIENTED_EDGE('',*,*,#170370,.F.); #215152=ORIENTED_EDGE('',*,*,#170371,.T.); #215153=ORIENTED_EDGE('',*,*,#170372,.F.); #215154=ORIENTED_EDGE('',*,*,#170371,.F.); #215155=ORIENTED_EDGE('',*,*,#170373,.F.); #215156=ORIENTED_EDGE('',*,*,#170374,.T.); #215157=ORIENTED_EDGE('',*,*,#170375,.F.); #215158=ORIENTED_EDGE('',*,*,#170374,.F.); #215159=ORIENTED_EDGE('',*,*,#170376,.F.); #215160=ORIENTED_EDGE('',*,*,#170377,.T.); #215161=ORIENTED_EDGE('',*,*,#170378,.F.); #215162=ORIENTED_EDGE('',*,*,#170377,.F.); #215163=ORIENTED_EDGE('',*,*,#170379,.F.); #215164=ORIENTED_EDGE('',*,*,#170380,.T.); #215165=ORIENTED_EDGE('',*,*,#170381,.F.); #215166=ORIENTED_EDGE('',*,*,#170380,.F.); #215167=ORIENTED_EDGE('',*,*,#170382,.F.); #215168=ORIENTED_EDGE('',*,*,#170383,.T.); #215169=ORIENTED_EDGE('',*,*,#170384,.F.); #215170=ORIENTED_EDGE('',*,*,#170383,.F.); #215171=ORIENTED_EDGE('',*,*,#170385,.F.); #215172=ORIENTED_EDGE('',*,*,#170386,.T.); #215173=ORIENTED_EDGE('',*,*,#170387,.F.); #215174=ORIENTED_EDGE('',*,*,#170386,.F.); #215175=ORIENTED_EDGE('',*,*,#170388,.F.); #215176=ORIENTED_EDGE('',*,*,#170389,.T.); #215177=ORIENTED_EDGE('',*,*,#170390,.F.); #215178=ORIENTED_EDGE('',*,*,#170389,.F.); #215179=ORIENTED_EDGE('',*,*,#170391,.F.); #215180=ORIENTED_EDGE('',*,*,#170392,.T.); #215181=ORIENTED_EDGE('',*,*,#170393,.F.); #215182=ORIENTED_EDGE('',*,*,#170392,.F.); #215183=ORIENTED_EDGE('',*,*,#170394,.F.); #215184=ORIENTED_EDGE('',*,*,#170395,.T.); #215185=ORIENTED_EDGE('',*,*,#170396,.F.); #215186=ORIENTED_EDGE('',*,*,#170395,.F.); #215187=ORIENTED_EDGE('',*,*,#170397,.F.); #215188=ORIENTED_EDGE('',*,*,#170398,.T.); #215189=ORIENTED_EDGE('',*,*,#170399,.F.); #215190=ORIENTED_EDGE('',*,*,#170398,.F.); #215191=ORIENTED_EDGE('',*,*,#170400,.F.); #215192=ORIENTED_EDGE('',*,*,#170401,.T.); #215193=ORIENTED_EDGE('',*,*,#170402,.F.); #215194=ORIENTED_EDGE('',*,*,#170401,.F.); #215195=ORIENTED_EDGE('',*,*,#170403,.F.); #215196=ORIENTED_EDGE('',*,*,#170404,.T.); #215197=ORIENTED_EDGE('',*,*,#170405,.F.); #215198=ORIENTED_EDGE('',*,*,#170404,.F.); #215199=ORIENTED_EDGE('',*,*,#170406,.F.); #215200=ORIENTED_EDGE('',*,*,#170407,.T.); #215201=ORIENTED_EDGE('',*,*,#170408,.F.); #215202=ORIENTED_EDGE('',*,*,#170407,.F.); #215203=ORIENTED_EDGE('',*,*,#170409,.F.); #215204=ORIENTED_EDGE('',*,*,#170410,.T.); #215205=ORIENTED_EDGE('',*,*,#170411,.F.); #215206=ORIENTED_EDGE('',*,*,#170410,.F.); #215207=ORIENTED_EDGE('',*,*,#170412,.F.); #215208=ORIENTED_EDGE('',*,*,#170413,.T.); #215209=ORIENTED_EDGE('',*,*,#170414,.F.); #215210=ORIENTED_EDGE('',*,*,#170413,.F.); #215211=ORIENTED_EDGE('',*,*,#170415,.F.); #215212=ORIENTED_EDGE('',*,*,#170416,.T.); #215213=ORIENTED_EDGE('',*,*,#170417,.F.); #215214=ORIENTED_EDGE('',*,*,#170416,.F.); #215215=ORIENTED_EDGE('',*,*,#170418,.F.); #215216=ORIENTED_EDGE('',*,*,#170419,.T.); #215217=ORIENTED_EDGE('',*,*,#170420,.F.); #215218=ORIENTED_EDGE('',*,*,#170419,.F.); #215219=ORIENTED_EDGE('',*,*,#170421,.F.); #215220=ORIENTED_EDGE('',*,*,#170422,.T.); #215221=ORIENTED_EDGE('',*,*,#170423,.F.); #215222=ORIENTED_EDGE('',*,*,#170422,.F.); #215223=ORIENTED_EDGE('',*,*,#170424,.F.); #215224=ORIENTED_EDGE('',*,*,#170425,.T.); #215225=ORIENTED_EDGE('',*,*,#170426,.F.); #215226=ORIENTED_EDGE('',*,*,#170425,.F.); #215227=ORIENTED_EDGE('',*,*,#170427,.F.); #215228=ORIENTED_EDGE('',*,*,#170428,.T.); #215229=ORIENTED_EDGE('',*,*,#170429,.F.); #215230=ORIENTED_EDGE('',*,*,#170428,.F.); #215231=ORIENTED_EDGE('',*,*,#170430,.F.); #215232=ORIENTED_EDGE('',*,*,#170431,.T.); #215233=ORIENTED_EDGE('',*,*,#170432,.F.); #215234=ORIENTED_EDGE('',*,*,#170431,.F.); #215235=ORIENTED_EDGE('',*,*,#170433,.F.); #215236=ORIENTED_EDGE('',*,*,#170434,.T.); #215237=ORIENTED_EDGE('',*,*,#170435,.F.); #215238=ORIENTED_EDGE('',*,*,#170434,.F.); #215239=ORIENTED_EDGE('',*,*,#170436,.F.); #215240=ORIENTED_EDGE('',*,*,#170437,.T.); #215241=ORIENTED_EDGE('',*,*,#170438,.F.); #215242=ORIENTED_EDGE('',*,*,#170437,.F.); #215243=ORIENTED_EDGE('',*,*,#170439,.F.); #215244=ORIENTED_EDGE('',*,*,#170440,.T.); #215245=ORIENTED_EDGE('',*,*,#170441,.F.); #215246=ORIENTED_EDGE('',*,*,#170440,.F.); #215247=ORIENTED_EDGE('',*,*,#170442,.F.); #215248=ORIENTED_EDGE('',*,*,#170443,.T.); #215249=ORIENTED_EDGE('',*,*,#170444,.F.); #215250=ORIENTED_EDGE('',*,*,#170443,.F.); #215251=ORIENTED_EDGE('',*,*,#170445,.F.); #215252=ORIENTED_EDGE('',*,*,#170446,.T.); #215253=ORIENTED_EDGE('',*,*,#170447,.F.); #215254=ORIENTED_EDGE('',*,*,#170446,.F.); #215255=ORIENTED_EDGE('',*,*,#170448,.F.); #215256=ORIENTED_EDGE('',*,*,#170449,.T.); #215257=ORIENTED_EDGE('',*,*,#170450,.F.); #215258=ORIENTED_EDGE('',*,*,#170449,.F.); #215259=ORIENTED_EDGE('',*,*,#170451,.F.); #215260=ORIENTED_EDGE('',*,*,#170452,.T.); #215261=ORIENTED_EDGE('',*,*,#170453,.F.); #215262=ORIENTED_EDGE('',*,*,#170452,.F.); #215263=ORIENTED_EDGE('',*,*,#170454,.F.); #215264=ORIENTED_EDGE('',*,*,#170455,.T.); #215265=ORIENTED_EDGE('',*,*,#170456,.F.); #215266=ORIENTED_EDGE('',*,*,#170455,.F.); #215267=ORIENTED_EDGE('',*,*,#170457,.F.); #215268=ORIENTED_EDGE('',*,*,#170458,.T.); #215269=ORIENTED_EDGE('',*,*,#170459,.F.); #215270=ORIENTED_EDGE('',*,*,#170458,.F.); #215271=ORIENTED_EDGE('',*,*,#170460,.F.); #215272=ORIENTED_EDGE('',*,*,#170461,.T.); #215273=ORIENTED_EDGE('',*,*,#170462,.F.); #215274=ORIENTED_EDGE('',*,*,#170461,.F.); #215275=ORIENTED_EDGE('',*,*,#170463,.F.); #215276=ORIENTED_EDGE('',*,*,#170464,.T.); #215277=ORIENTED_EDGE('',*,*,#170465,.F.); #215278=ORIENTED_EDGE('',*,*,#170464,.F.); #215279=ORIENTED_EDGE('',*,*,#170466,.F.); #215280=ORIENTED_EDGE('',*,*,#170467,.T.); #215281=ORIENTED_EDGE('',*,*,#170468,.F.); #215282=ORIENTED_EDGE('',*,*,#170467,.F.); #215283=ORIENTED_EDGE('',*,*,#170469,.F.); #215284=ORIENTED_EDGE('',*,*,#170470,.T.); #215285=ORIENTED_EDGE('',*,*,#170471,.F.); #215286=ORIENTED_EDGE('',*,*,#170470,.F.); #215287=ORIENTED_EDGE('',*,*,#170472,.F.); #215288=ORIENTED_EDGE('',*,*,#170473,.T.); #215289=ORIENTED_EDGE('',*,*,#170474,.F.); #215290=ORIENTED_EDGE('',*,*,#170473,.F.); #215291=ORIENTED_EDGE('',*,*,#170475,.F.); #215292=ORIENTED_EDGE('',*,*,#170476,.T.); #215293=ORIENTED_EDGE('',*,*,#170477,.F.); #215294=ORIENTED_EDGE('',*,*,#170476,.F.); #215295=ORIENTED_EDGE('',*,*,#170478,.F.); #215296=ORIENTED_EDGE('',*,*,#170479,.T.); #215297=ORIENTED_EDGE('',*,*,#170480,.F.); #215298=ORIENTED_EDGE('',*,*,#170479,.F.); #215299=ORIENTED_EDGE('',*,*,#170481,.F.); #215300=ORIENTED_EDGE('',*,*,#170482,.T.); #215301=ORIENTED_EDGE('',*,*,#170483,.F.); #215302=ORIENTED_EDGE('',*,*,#170482,.F.); #215303=ORIENTED_EDGE('',*,*,#170484,.F.); #215304=ORIENTED_EDGE('',*,*,#170485,.T.); #215305=ORIENTED_EDGE('',*,*,#170486,.F.); #215306=ORIENTED_EDGE('',*,*,#170485,.F.); #215307=ORIENTED_EDGE('',*,*,#170487,.F.); #215308=ORIENTED_EDGE('',*,*,#170488,.T.); #215309=ORIENTED_EDGE('',*,*,#170489,.F.); #215310=ORIENTED_EDGE('',*,*,#170488,.F.); #215311=ORIENTED_EDGE('',*,*,#170490,.F.); #215312=ORIENTED_EDGE('',*,*,#170491,.T.); #215313=ORIENTED_EDGE('',*,*,#170492,.F.); #215314=ORIENTED_EDGE('',*,*,#170491,.F.); #215315=ORIENTED_EDGE('',*,*,#170493,.F.); #215316=ORIENTED_EDGE('',*,*,#170494,.T.); #215317=ORIENTED_EDGE('',*,*,#170495,.F.); #215318=ORIENTED_EDGE('',*,*,#170494,.F.); #215319=ORIENTED_EDGE('',*,*,#170496,.F.); #215320=ORIENTED_EDGE('',*,*,#170497,.T.); #215321=ORIENTED_EDGE('',*,*,#170498,.F.); #215322=ORIENTED_EDGE('',*,*,#170497,.F.); #215323=ORIENTED_EDGE('',*,*,#170499,.F.); #215324=ORIENTED_EDGE('',*,*,#170500,.T.); #215325=ORIENTED_EDGE('',*,*,#170501,.F.); #215326=ORIENTED_EDGE('',*,*,#170500,.F.); #215327=ORIENTED_EDGE('',*,*,#170502,.F.); #215328=ORIENTED_EDGE('',*,*,#170503,.T.); #215329=ORIENTED_EDGE('',*,*,#170504,.F.); #215330=ORIENTED_EDGE('',*,*,#170503,.F.); #215331=ORIENTED_EDGE('',*,*,#170505,.F.); #215332=ORIENTED_EDGE('',*,*,#170506,.T.); #215333=ORIENTED_EDGE('',*,*,#170507,.F.); #215334=ORIENTED_EDGE('',*,*,#170506,.F.); #215335=ORIENTED_EDGE('',*,*,#170508,.F.); #215336=ORIENTED_EDGE('',*,*,#170509,.T.); #215337=ORIENTED_EDGE('',*,*,#170510,.F.); #215338=ORIENTED_EDGE('',*,*,#170509,.F.); #215339=ORIENTED_EDGE('',*,*,#170511,.F.); #215340=ORIENTED_EDGE('',*,*,#170512,.T.); #215341=ORIENTED_EDGE('',*,*,#170513,.F.); #215342=ORIENTED_EDGE('',*,*,#170512,.F.); #215343=ORIENTED_EDGE('',*,*,#170514,.F.); #215344=ORIENTED_EDGE('',*,*,#170515,.T.); #215345=ORIENTED_EDGE('',*,*,#170516,.F.); #215346=ORIENTED_EDGE('',*,*,#170515,.F.); #215347=ORIENTED_EDGE('',*,*,#170517,.F.); #215348=ORIENTED_EDGE('',*,*,#170518,.T.); #215349=ORIENTED_EDGE('',*,*,#170519,.F.); #215350=ORIENTED_EDGE('',*,*,#170518,.F.); #215351=ORIENTED_EDGE('',*,*,#170520,.F.); #215352=ORIENTED_EDGE('',*,*,#170521,.T.); #215353=ORIENTED_EDGE('',*,*,#170522,.F.); #215354=ORIENTED_EDGE('',*,*,#170521,.F.); #215355=ORIENTED_EDGE('',*,*,#170523,.F.); #215356=ORIENTED_EDGE('',*,*,#170524,.T.); #215357=ORIENTED_EDGE('',*,*,#170525,.F.); #215358=ORIENTED_EDGE('',*,*,#170524,.F.); #215359=ORIENTED_EDGE('',*,*,#170526,.F.); #215360=ORIENTED_EDGE('',*,*,#170527,.T.); #215361=ORIENTED_EDGE('',*,*,#170528,.F.); #215362=ORIENTED_EDGE('',*,*,#170527,.F.); #215363=ORIENTED_EDGE('',*,*,#170529,.F.); #215364=ORIENTED_EDGE('',*,*,#170530,.T.); #215365=ORIENTED_EDGE('',*,*,#170531,.F.); #215366=ORIENTED_EDGE('',*,*,#170530,.F.); #215367=ORIENTED_EDGE('',*,*,#170532,.F.); #215368=ORIENTED_EDGE('',*,*,#170533,.T.); #215369=ORIENTED_EDGE('',*,*,#170534,.F.); #215370=ORIENTED_EDGE('',*,*,#170533,.F.); #215371=ORIENTED_EDGE('',*,*,#170535,.F.); #215372=ORIENTED_EDGE('',*,*,#170536,.T.); #215373=ORIENTED_EDGE('',*,*,#170537,.F.); #215374=ORIENTED_EDGE('',*,*,#170536,.F.); #215375=ORIENTED_EDGE('',*,*,#170538,.F.); #215376=ORIENTED_EDGE('',*,*,#170539,.T.); #215377=ORIENTED_EDGE('',*,*,#170540,.F.); #215378=ORIENTED_EDGE('',*,*,#170539,.F.); #215379=ORIENTED_EDGE('',*,*,#170541,.F.); #215380=ORIENTED_EDGE('',*,*,#170542,.T.); #215381=ORIENTED_EDGE('',*,*,#170543,.F.); #215382=ORIENTED_EDGE('',*,*,#170542,.F.); #215383=ORIENTED_EDGE('',*,*,#170544,.F.); #215384=ORIENTED_EDGE('',*,*,#170545,.T.); #215385=ORIENTED_EDGE('',*,*,#170546,.F.); #215386=ORIENTED_EDGE('',*,*,#170545,.F.); #215387=ORIENTED_EDGE('',*,*,#170547,.F.); #215388=ORIENTED_EDGE('',*,*,#170548,.T.); #215389=ORIENTED_EDGE('',*,*,#170549,.F.); #215390=ORIENTED_EDGE('',*,*,#170548,.F.); #215391=ORIENTED_EDGE('',*,*,#170550,.F.); #215392=ORIENTED_EDGE('',*,*,#170551,.T.); #215393=ORIENTED_EDGE('',*,*,#170552,.F.); #215394=ORIENTED_EDGE('',*,*,#170551,.F.); #215395=ORIENTED_EDGE('',*,*,#170553,.F.); #215396=ORIENTED_EDGE('',*,*,#170554,.T.); #215397=ORIENTED_EDGE('',*,*,#170555,.F.); #215398=ORIENTED_EDGE('',*,*,#170554,.F.); #215399=ORIENTED_EDGE('',*,*,#170556,.F.); #215400=ORIENTED_EDGE('',*,*,#170557,.T.); #215401=ORIENTED_EDGE('',*,*,#170558,.F.); #215402=ORIENTED_EDGE('',*,*,#170557,.F.); #215403=ORIENTED_EDGE('',*,*,#170559,.F.); #215404=ORIENTED_EDGE('',*,*,#170560,.T.); #215405=ORIENTED_EDGE('',*,*,#170561,.F.); #215406=ORIENTED_EDGE('',*,*,#170560,.F.); #215407=ORIENTED_EDGE('',*,*,#170562,.F.); #215408=ORIENTED_EDGE('',*,*,#170563,.T.); #215409=ORIENTED_EDGE('',*,*,#170564,.F.); #215410=ORIENTED_EDGE('',*,*,#170563,.F.); #215411=ORIENTED_EDGE('',*,*,#170565,.F.); #215412=ORIENTED_EDGE('',*,*,#170566,.T.); #215413=ORIENTED_EDGE('',*,*,#170567,.F.); #215414=ORIENTED_EDGE('',*,*,#170566,.F.); #215415=ORIENTED_EDGE('',*,*,#170568,.F.); #215416=ORIENTED_EDGE('',*,*,#170569,.T.); #215417=ORIENTED_EDGE('',*,*,#170570,.F.); #215418=ORIENTED_EDGE('',*,*,#170569,.F.); #215419=ORIENTED_EDGE('',*,*,#170571,.F.); #215420=ORIENTED_EDGE('',*,*,#170572,.T.); #215421=ORIENTED_EDGE('',*,*,#170573,.F.); #215422=ORIENTED_EDGE('',*,*,#170572,.F.); #215423=ORIENTED_EDGE('',*,*,#170574,.F.); #215424=ORIENTED_EDGE('',*,*,#170575,.T.); #215425=ORIENTED_EDGE('',*,*,#170576,.F.); #215426=ORIENTED_EDGE('',*,*,#170575,.F.); #215427=ORIENTED_EDGE('',*,*,#170577,.F.); #215428=ORIENTED_EDGE('',*,*,#170578,.T.); #215429=ORIENTED_EDGE('',*,*,#170579,.F.); #215430=ORIENTED_EDGE('',*,*,#170578,.F.); #215431=ORIENTED_EDGE('',*,*,#170580,.F.); #215432=ORIENTED_EDGE('',*,*,#170581,.T.); #215433=ORIENTED_EDGE('',*,*,#170582,.F.); #215434=ORIENTED_EDGE('',*,*,#170581,.F.); #215435=ORIENTED_EDGE('',*,*,#170583,.F.); #215436=ORIENTED_EDGE('',*,*,#170584,.T.); #215437=ORIENTED_EDGE('',*,*,#170585,.F.); #215438=ORIENTED_EDGE('',*,*,#170584,.F.); #215439=ORIENTED_EDGE('',*,*,#170586,.F.); #215440=ORIENTED_EDGE('',*,*,#170587,.T.); #215441=ORIENTED_EDGE('',*,*,#170588,.F.); #215442=ORIENTED_EDGE('',*,*,#170587,.F.); #215443=ORIENTED_EDGE('',*,*,#170589,.F.); #215444=ORIENTED_EDGE('',*,*,#170590,.T.); #215445=ORIENTED_EDGE('',*,*,#170591,.F.); #215446=ORIENTED_EDGE('',*,*,#170590,.F.); #215447=ORIENTED_EDGE('',*,*,#170592,.F.); #215448=ORIENTED_EDGE('',*,*,#170593,.T.); #215449=ORIENTED_EDGE('',*,*,#170594,.F.); #215450=ORIENTED_EDGE('',*,*,#170593,.F.); #215451=ORIENTED_EDGE('',*,*,#170595,.F.); #215452=ORIENTED_EDGE('',*,*,#170596,.T.); #215453=ORIENTED_EDGE('',*,*,#170597,.F.); #215454=ORIENTED_EDGE('',*,*,#170596,.F.); #215455=ORIENTED_EDGE('',*,*,#170598,.F.); #215456=ORIENTED_EDGE('',*,*,#170599,.T.); #215457=ORIENTED_EDGE('',*,*,#170600,.F.); #215458=ORIENTED_EDGE('',*,*,#170599,.F.); #215459=ORIENTED_EDGE('',*,*,#170601,.F.); #215460=ORIENTED_EDGE('',*,*,#170602,.T.); #215461=ORIENTED_EDGE('',*,*,#170603,.F.); #215462=ORIENTED_EDGE('',*,*,#170602,.F.); #215463=ORIENTED_EDGE('',*,*,#170604,.F.); #215464=ORIENTED_EDGE('',*,*,#170605,.T.); #215465=ORIENTED_EDGE('',*,*,#170606,.F.); #215466=ORIENTED_EDGE('',*,*,#170605,.F.); #215467=ORIENTED_EDGE('',*,*,#170607,.F.); #215468=ORIENTED_EDGE('',*,*,#170608,.T.); #215469=ORIENTED_EDGE('',*,*,#170609,.F.); #215470=ORIENTED_EDGE('',*,*,#170608,.F.); #215471=ORIENTED_EDGE('',*,*,#170610,.F.); #215472=ORIENTED_EDGE('',*,*,#170611,.T.); #215473=ORIENTED_EDGE('',*,*,#170612,.F.); #215474=ORIENTED_EDGE('',*,*,#170611,.F.); #215475=ORIENTED_EDGE('',*,*,#170613,.F.); #215476=ORIENTED_EDGE('',*,*,#170614,.T.); #215477=ORIENTED_EDGE('',*,*,#170615,.F.); #215478=ORIENTED_EDGE('',*,*,#170614,.F.); #215479=ORIENTED_EDGE('',*,*,#170616,.F.); #215480=ORIENTED_EDGE('',*,*,#170617,.T.); #215481=ORIENTED_EDGE('',*,*,#170618,.F.); #215482=ORIENTED_EDGE('',*,*,#170617,.F.); #215483=ORIENTED_EDGE('',*,*,#170619,.F.); #215484=ORIENTED_EDGE('',*,*,#170620,.T.); #215485=ORIENTED_EDGE('',*,*,#170621,.F.); #215486=ORIENTED_EDGE('',*,*,#170620,.F.); #215487=ORIENTED_EDGE('',*,*,#170622,.F.); #215488=ORIENTED_EDGE('',*,*,#170623,.T.); #215489=ORIENTED_EDGE('',*,*,#170624,.F.); #215490=ORIENTED_EDGE('',*,*,#170623,.F.); #215491=ORIENTED_EDGE('',*,*,#170625,.F.); #215492=ORIENTED_EDGE('',*,*,#170626,.T.); #215493=ORIENTED_EDGE('',*,*,#170627,.F.); #215494=ORIENTED_EDGE('',*,*,#170626,.F.); #215495=ORIENTED_EDGE('',*,*,#170628,.F.); #215496=ORIENTED_EDGE('',*,*,#170629,.T.); #215497=ORIENTED_EDGE('',*,*,#170630,.F.); #215498=ORIENTED_EDGE('',*,*,#170629,.F.); #215499=ORIENTED_EDGE('',*,*,#170631,.F.); #215500=ORIENTED_EDGE('',*,*,#170632,.T.); #215501=ORIENTED_EDGE('',*,*,#170633,.F.); #215502=ORIENTED_EDGE('',*,*,#170632,.F.); #215503=ORIENTED_EDGE('',*,*,#170634,.F.); #215504=ORIENTED_EDGE('',*,*,#170635,.T.); #215505=ORIENTED_EDGE('',*,*,#170636,.F.); #215506=ORIENTED_EDGE('',*,*,#170635,.F.); #215507=ORIENTED_EDGE('',*,*,#170637,.F.); #215508=ORIENTED_EDGE('',*,*,#170638,.T.); #215509=ORIENTED_EDGE('',*,*,#170639,.F.); #215510=ORIENTED_EDGE('',*,*,#170638,.F.); #215511=ORIENTED_EDGE('',*,*,#170640,.F.); #215512=ORIENTED_EDGE('',*,*,#170641,.T.); #215513=ORIENTED_EDGE('',*,*,#170642,.F.); #215514=ORIENTED_EDGE('',*,*,#170641,.F.); #215515=ORIENTED_EDGE('',*,*,#170643,.F.); #215516=ORIENTED_EDGE('',*,*,#170644,.T.); #215517=ORIENTED_EDGE('',*,*,#170645,.F.); #215518=ORIENTED_EDGE('',*,*,#170644,.F.); #215519=ORIENTED_EDGE('',*,*,#170646,.F.); #215520=ORIENTED_EDGE('',*,*,#170647,.T.); #215521=ORIENTED_EDGE('',*,*,#170648,.F.); #215522=ORIENTED_EDGE('',*,*,#170647,.F.); #215523=ORIENTED_EDGE('',*,*,#170649,.F.); #215524=ORIENTED_EDGE('',*,*,#170650,.T.); #215525=ORIENTED_EDGE('',*,*,#170651,.F.); #215526=ORIENTED_EDGE('',*,*,#170650,.F.); #215527=ORIENTED_EDGE('',*,*,#170652,.F.); #215528=ORIENTED_EDGE('',*,*,#170653,.T.); #215529=ORIENTED_EDGE('',*,*,#170654,.F.); #215530=ORIENTED_EDGE('',*,*,#170653,.F.); #215531=ORIENTED_EDGE('',*,*,#170655,.F.); #215532=ORIENTED_EDGE('',*,*,#170656,.T.); #215533=ORIENTED_EDGE('',*,*,#170657,.F.); #215534=ORIENTED_EDGE('',*,*,#170656,.F.); #215535=ORIENTED_EDGE('',*,*,#170658,.F.); #215536=ORIENTED_EDGE('',*,*,#170659,.T.); #215537=ORIENTED_EDGE('',*,*,#170660,.F.); #215538=ORIENTED_EDGE('',*,*,#170659,.F.); #215539=ORIENTED_EDGE('',*,*,#170661,.F.); #215540=ORIENTED_EDGE('',*,*,#170662,.T.); #215541=ORIENTED_EDGE('',*,*,#170663,.F.); #215542=ORIENTED_EDGE('',*,*,#170662,.F.); #215543=ORIENTED_EDGE('',*,*,#170664,.F.); #215544=ORIENTED_EDGE('',*,*,#170665,.T.); #215545=ORIENTED_EDGE('',*,*,#170666,.F.); #215546=ORIENTED_EDGE('',*,*,#170665,.F.); #215547=ORIENTED_EDGE('',*,*,#170667,.F.); #215548=ORIENTED_EDGE('',*,*,#170668,.T.); #215549=ORIENTED_EDGE('',*,*,#170669,.F.); #215550=ORIENTED_EDGE('',*,*,#170668,.F.); #215551=ORIENTED_EDGE('',*,*,#170670,.F.); #215552=ORIENTED_EDGE('',*,*,#170671,.T.); #215553=ORIENTED_EDGE('',*,*,#170672,.F.); #215554=ORIENTED_EDGE('',*,*,#170671,.F.); #215555=ORIENTED_EDGE('',*,*,#170673,.F.); #215556=ORIENTED_EDGE('',*,*,#170674,.T.); #215557=ORIENTED_EDGE('',*,*,#170675,.F.); #215558=ORIENTED_EDGE('',*,*,#170674,.F.); #215559=ORIENTED_EDGE('',*,*,#170676,.F.); #215560=ORIENTED_EDGE('',*,*,#170677,.T.); #215561=ORIENTED_EDGE('',*,*,#170678,.F.); #215562=ORIENTED_EDGE('',*,*,#170677,.F.); #215563=ORIENTED_EDGE('',*,*,#170679,.F.); #215564=ORIENTED_EDGE('',*,*,#170680,.T.); #215565=ORIENTED_EDGE('',*,*,#170681,.F.); #215566=ORIENTED_EDGE('',*,*,#170680,.F.); #215567=ORIENTED_EDGE('',*,*,#170682,.F.); #215568=ORIENTED_EDGE('',*,*,#170683,.T.); #215569=ORIENTED_EDGE('',*,*,#170684,.F.); #215570=ORIENTED_EDGE('',*,*,#170683,.F.); #215571=ORIENTED_EDGE('',*,*,#170685,.F.); #215572=ORIENTED_EDGE('',*,*,#170686,.T.); #215573=ORIENTED_EDGE('',*,*,#170687,.F.); #215574=ORIENTED_EDGE('',*,*,#170686,.F.); #215575=ORIENTED_EDGE('',*,*,#170688,.F.); #215576=ORIENTED_EDGE('',*,*,#170689,.F.); #215577=ORIENTED_EDGE('',*,*,#170690,.F.); #215578=ORIENTED_EDGE('',*,*,#170691,.T.); #215579=ORIENTED_EDGE('',*,*,#170692,.F.); #215580=ORIENTED_EDGE('',*,*,#170691,.F.); #215581=ORIENTED_EDGE('',*,*,#170693,.F.); #215582=ORIENTED_EDGE('',*,*,#170694,.T.); #215583=ORIENTED_EDGE('',*,*,#170695,.F.); #215584=ORIENTED_EDGE('',*,*,#170694,.F.); #215585=ORIENTED_EDGE('',*,*,#170696,.F.); #215586=ORIENTED_EDGE('',*,*,#170697,.T.); #215587=ORIENTED_EDGE('',*,*,#170698,.F.); #215588=ORIENTED_EDGE('',*,*,#170697,.F.); #215589=ORIENTED_EDGE('',*,*,#170699,.F.); #215590=ORIENTED_EDGE('',*,*,#170689,.T.); #215591=ORIENTED_EDGE('',*,*,#170700,.F.); #215592=ORIENTED_EDGE('',*,*,#170701,.T.); #215593=ORIENTED_EDGE('',*,*,#170702,.F.); #215594=ORIENTED_EDGE('',*,*,#170701,.F.); #215595=ORIENTED_EDGE('',*,*,#170703,.F.); #215596=ORIENTED_EDGE('',*,*,#170704,.F.); #215597=ORIENTED_EDGE('',*,*,#170705,.F.); #215598=ORIENTED_EDGE('',*,*,#170706,.T.); #215599=ORIENTED_EDGE('',*,*,#170707,.F.); #215600=ORIENTED_EDGE('',*,*,#170706,.F.); #215601=ORIENTED_EDGE('',*,*,#170708,.F.); #215602=ORIENTED_EDGE('',*,*,#170709,.T.); #215603=ORIENTED_EDGE('',*,*,#170710,.F.); #215604=ORIENTED_EDGE('',*,*,#170709,.F.); #215605=ORIENTED_EDGE('',*,*,#170711,.F.); #215606=ORIENTED_EDGE('',*,*,#170712,.T.); #215607=ORIENTED_EDGE('',*,*,#170713,.F.); #215608=ORIENTED_EDGE('',*,*,#170712,.F.); #215609=ORIENTED_EDGE('',*,*,#170714,.F.); #215610=ORIENTED_EDGE('',*,*,#170704,.T.); #215611=ORIENTED_EDGE('',*,*,#170715,.F.); #215612=ORIENTED_EDGE('',*,*,#170716,.T.); #215613=ORIENTED_EDGE('',*,*,#170717,.F.); #215614=ORIENTED_EDGE('',*,*,#170716,.F.); #215615=ORIENTED_EDGE('',*,*,#170718,.F.); #215616=ORIENTED_EDGE('',*,*,#170719,.T.); #215617=ORIENTED_EDGE('',*,*,#170720,.F.); #215618=ORIENTED_EDGE('',*,*,#170719,.F.); #215619=ORIENTED_EDGE('',*,*,#170721,.F.); #215620=ORIENTED_EDGE('',*,*,#170722,.T.); #215621=ORIENTED_EDGE('',*,*,#170723,.F.); #215622=ORIENTED_EDGE('',*,*,#170722,.F.); #215623=ORIENTED_EDGE('',*,*,#170724,.F.); #215624=ORIENTED_EDGE('',*,*,#170725,.F.); #215625=ORIENTED_EDGE('',*,*,#170726,.F.); #215626=ORIENTED_EDGE('',*,*,#170727,.T.); #215627=ORIENTED_EDGE('',*,*,#170728,.F.); #215628=ORIENTED_EDGE('',*,*,#170727,.F.); #215629=ORIENTED_EDGE('',*,*,#170729,.F.); #215630=ORIENTED_EDGE('',*,*,#170730,.T.); #215631=ORIENTED_EDGE('',*,*,#170731,.F.); #215632=ORIENTED_EDGE('',*,*,#170730,.F.); #215633=ORIENTED_EDGE('',*,*,#170732,.F.); #215634=ORIENTED_EDGE('',*,*,#170733,.T.); #215635=ORIENTED_EDGE('',*,*,#170734,.F.); #215636=ORIENTED_EDGE('',*,*,#170733,.F.); #215637=ORIENTED_EDGE('',*,*,#170735,.F.); #215638=ORIENTED_EDGE('',*,*,#170725,.T.); #215639=ORIENTED_EDGE('',*,*,#170736,.F.); #215640=ORIENTED_EDGE('',*,*,#170737,.T.); #215641=ORIENTED_EDGE('',*,*,#170738,.F.); #215642=ORIENTED_EDGE('',*,*,#170737,.F.); #215643=ORIENTED_EDGE('',*,*,#170739,.F.); #215644=ORIENTED_EDGE('',*,*,#170740,.T.); #215645=ORIENTED_EDGE('',*,*,#170741,.F.); #215646=ORIENTED_EDGE('',*,*,#170740,.F.); #215647=ORIENTED_EDGE('',*,*,#170742,.F.); #215648=ORIENTED_EDGE('',*,*,#170743,.T.); #215649=ORIENTED_EDGE('',*,*,#170744,.F.); #215650=ORIENTED_EDGE('',*,*,#170743,.F.); #215651=ORIENTED_EDGE('',*,*,#170745,.F.); #215652=ORIENTED_EDGE('',*,*,#170746,.T.); #215653=ORIENTED_EDGE('',*,*,#170747,.F.); #215654=ORIENTED_EDGE('',*,*,#170746,.F.); #215655=ORIENTED_EDGE('',*,*,#170748,.F.); #215656=ORIENTED_EDGE('',*,*,#170749,.T.); #215657=ORIENTED_EDGE('',*,*,#170750,.F.); #215658=ORIENTED_EDGE('',*,*,#170749,.F.); #215659=ORIENTED_EDGE('',*,*,#170751,.F.); #215660=ORIENTED_EDGE('',*,*,#170752,.T.); #215661=ORIENTED_EDGE('',*,*,#170753,.F.); #215662=ORIENTED_EDGE('',*,*,#170752,.F.); #215663=ORIENTED_EDGE('',*,*,#170754,.F.); #215664=ORIENTED_EDGE('',*,*,#170755,.T.); #215665=ORIENTED_EDGE('',*,*,#170756,.F.); #215666=ORIENTED_EDGE('',*,*,#170755,.F.); #215667=ORIENTED_EDGE('',*,*,#170757,.F.); #215668=ORIENTED_EDGE('',*,*,#170758,.T.); #215669=ORIENTED_EDGE('',*,*,#170759,.F.); #215670=ORIENTED_EDGE('',*,*,#170758,.F.); #215671=ORIENTED_EDGE('',*,*,#170760,.F.); #215672=ORIENTED_EDGE('',*,*,#170761,.T.); #215673=ORIENTED_EDGE('',*,*,#170762,.F.); #215674=ORIENTED_EDGE('',*,*,#170761,.F.); #215675=ORIENTED_EDGE('',*,*,#170763,.F.); #215676=ORIENTED_EDGE('',*,*,#170764,.T.); #215677=ORIENTED_EDGE('',*,*,#170765,.F.); #215678=ORIENTED_EDGE('',*,*,#170764,.F.); #215679=ORIENTED_EDGE('',*,*,#170766,.F.); #215680=ORIENTED_EDGE('',*,*,#170767,.F.); #215681=ORIENTED_EDGE('',*,*,#170768,.F.); #215682=ORIENTED_EDGE('',*,*,#170769,.T.); #215683=ORIENTED_EDGE('',*,*,#170770,.F.); #215684=ORIENTED_EDGE('',*,*,#170769,.F.); #215685=ORIENTED_EDGE('',*,*,#170771,.F.); #215686=ORIENTED_EDGE('',*,*,#170772,.T.); #215687=ORIENTED_EDGE('',*,*,#170773,.F.); #215688=ORIENTED_EDGE('',*,*,#170772,.F.); #215689=ORIENTED_EDGE('',*,*,#170774,.F.); #215690=ORIENTED_EDGE('',*,*,#170775,.T.); #215691=ORIENTED_EDGE('',*,*,#170776,.F.); #215692=ORIENTED_EDGE('',*,*,#170775,.F.); #215693=ORIENTED_EDGE('',*,*,#170777,.F.); #215694=ORIENTED_EDGE('',*,*,#170767,.T.); #215695=ORIENTED_EDGE('',*,*,#170778,.F.); #215696=ORIENTED_EDGE('',*,*,#170779,.T.); #215697=ORIENTED_EDGE('',*,*,#170780,.F.); #215698=ORIENTED_EDGE('',*,*,#170779,.F.); #215699=ORIENTED_EDGE('',*,*,#170781,.F.); #215700=ORIENTED_EDGE('',*,*,#170782,.F.); #215701=ORIENTED_EDGE('',*,*,#170783,.F.); #215702=ORIENTED_EDGE('',*,*,#170784,.T.); #215703=ORIENTED_EDGE('',*,*,#170785,.F.); #215704=ORIENTED_EDGE('',*,*,#170784,.F.); #215705=ORIENTED_EDGE('',*,*,#170786,.F.); #215706=ORIENTED_EDGE('',*,*,#170787,.T.); #215707=ORIENTED_EDGE('',*,*,#170788,.F.); #215708=ORIENTED_EDGE('',*,*,#170787,.F.); #215709=ORIENTED_EDGE('',*,*,#170789,.F.); #215710=ORIENTED_EDGE('',*,*,#170790,.T.); #215711=ORIENTED_EDGE('',*,*,#170791,.F.); #215712=ORIENTED_EDGE('',*,*,#170790,.F.); #215713=ORIENTED_EDGE('',*,*,#170792,.F.); #215714=ORIENTED_EDGE('',*,*,#170782,.T.); #215715=ORIENTED_EDGE('',*,*,#170793,.F.); #215716=ORIENTED_EDGE('',*,*,#170794,.T.); #215717=ORIENTED_EDGE('',*,*,#170795,.F.); #215718=ORIENTED_EDGE('',*,*,#170794,.F.); #215719=ORIENTED_EDGE('',*,*,#170796,.F.); #215720=ORIENTED_EDGE('',*,*,#170797,.F.); #215721=ORIENTED_EDGE('',*,*,#170798,.F.); #215722=ORIENTED_EDGE('',*,*,#170799,.T.); #215723=ORIENTED_EDGE('',*,*,#170800,.F.); #215724=ORIENTED_EDGE('',*,*,#170799,.F.); #215725=ORIENTED_EDGE('',*,*,#170801,.F.); #215726=ORIENTED_EDGE('',*,*,#170802,.T.); #215727=ORIENTED_EDGE('',*,*,#170803,.F.); #215728=ORIENTED_EDGE('',*,*,#170802,.F.); #215729=ORIENTED_EDGE('',*,*,#170804,.F.); #215730=ORIENTED_EDGE('',*,*,#170805,.T.); #215731=ORIENTED_EDGE('',*,*,#170806,.F.); #215732=ORIENTED_EDGE('',*,*,#170805,.F.); #215733=ORIENTED_EDGE('',*,*,#170807,.F.); #215734=ORIENTED_EDGE('',*,*,#170797,.T.); #215735=ORIENTED_EDGE('',*,*,#170808,.F.); #215736=ORIENTED_EDGE('',*,*,#170809,.T.); #215737=ORIENTED_EDGE('',*,*,#170810,.F.); #215738=ORIENTED_EDGE('',*,*,#170809,.F.); #215739=ORIENTED_EDGE('',*,*,#170811,.F.); #215740=ORIENTED_EDGE('',*,*,#170812,.T.); #215741=ORIENTED_EDGE('',*,*,#170813,.F.); #215742=ORIENTED_EDGE('',*,*,#170812,.F.); #215743=ORIENTED_EDGE('',*,*,#170814,.F.); #215744=ORIENTED_EDGE('',*,*,#170815,.T.); #215745=ORIENTED_EDGE('',*,*,#170816,.F.); #215746=ORIENTED_EDGE('',*,*,#170815,.F.); #215747=ORIENTED_EDGE('',*,*,#170817,.F.); #215748=ORIENTED_EDGE('',*,*,#170818,.T.); #215749=ORIENTED_EDGE('',*,*,#170819,.F.); #215750=ORIENTED_EDGE('',*,*,#170818,.F.); #215751=ORIENTED_EDGE('',*,*,#170820,.F.); #215752=ORIENTED_EDGE('',*,*,#170821,.T.); #215753=ORIENTED_EDGE('',*,*,#170822,.F.); #215754=ORIENTED_EDGE('',*,*,#170821,.F.); #215755=ORIENTED_EDGE('',*,*,#170823,.F.); #215756=ORIENTED_EDGE('',*,*,#170824,.T.); #215757=ORIENTED_EDGE('',*,*,#170825,.F.); #215758=ORIENTED_EDGE('',*,*,#170824,.F.); #215759=ORIENTED_EDGE('',*,*,#170826,.F.); #215760=ORIENTED_EDGE('',*,*,#170827,.T.); #215761=ORIENTED_EDGE('',*,*,#170828,.F.); #215762=ORIENTED_EDGE('',*,*,#170827,.F.); #215763=ORIENTED_EDGE('',*,*,#170829,.F.); #215764=ORIENTED_EDGE('',*,*,#170830,.T.); #215765=ORIENTED_EDGE('',*,*,#170831,.F.); #215766=ORIENTED_EDGE('',*,*,#170830,.F.); #215767=ORIENTED_EDGE('',*,*,#170832,.F.); #215768=ORIENTED_EDGE('',*,*,#170833,.T.); #215769=ORIENTED_EDGE('',*,*,#170834,.F.); #215770=ORIENTED_EDGE('',*,*,#170833,.F.); #215771=ORIENTED_EDGE('',*,*,#170835,.F.); #215772=ORIENTED_EDGE('',*,*,#170836,.T.); #215773=ORIENTED_EDGE('',*,*,#170837,.F.); #215774=ORIENTED_EDGE('',*,*,#170836,.F.); #215775=ORIENTED_EDGE('',*,*,#170838,.F.); #215776=ORIENTED_EDGE('',*,*,#170839,.T.); #215777=ORIENTED_EDGE('',*,*,#170840,.F.); #215778=ORIENTED_EDGE('',*,*,#170839,.F.); #215779=ORIENTED_EDGE('',*,*,#170841,.F.); #215780=ORIENTED_EDGE('',*,*,#170842,.T.); #215781=ORIENTED_EDGE('',*,*,#170843,.F.); #215782=ORIENTED_EDGE('',*,*,#170842,.F.); #215783=ORIENTED_EDGE('',*,*,#170844,.F.); #215784=ORIENTED_EDGE('',*,*,#170845,.T.); #215785=ORIENTED_EDGE('',*,*,#170846,.F.); #215786=ORIENTED_EDGE('',*,*,#170845,.F.); #215787=ORIENTED_EDGE('',*,*,#170847,.F.); #215788=ORIENTED_EDGE('',*,*,#170848,.T.); #215789=ORIENTED_EDGE('',*,*,#170849,.F.); #215790=ORIENTED_EDGE('',*,*,#170848,.F.); #215791=ORIENTED_EDGE('',*,*,#170850,.F.); #215792=ORIENTED_EDGE('',*,*,#170851,.T.); #215793=ORIENTED_EDGE('',*,*,#170852,.F.); #215794=ORIENTED_EDGE('',*,*,#170851,.F.); #215795=ORIENTED_EDGE('',*,*,#170853,.F.); #215796=ORIENTED_EDGE('',*,*,#170854,.T.); #215797=ORIENTED_EDGE('',*,*,#170855,.F.); #215798=ORIENTED_EDGE('',*,*,#170854,.F.); #215799=ORIENTED_EDGE('',*,*,#170856,.F.); #215800=ORIENTED_EDGE('',*,*,#170857,.T.); #215801=ORIENTED_EDGE('',*,*,#170858,.F.); #215802=ORIENTED_EDGE('',*,*,#170857,.F.); #215803=ORIENTED_EDGE('',*,*,#170859,.F.); #215804=ORIENTED_EDGE('',*,*,#170860,.T.); #215805=ORIENTED_EDGE('',*,*,#170861,.F.); #215806=ORIENTED_EDGE('',*,*,#170860,.F.); #215807=ORIENTED_EDGE('',*,*,#170862,.F.); #215808=ORIENTED_EDGE('',*,*,#170863,.T.); #215809=ORIENTED_EDGE('',*,*,#170864,.F.); #215810=ORIENTED_EDGE('',*,*,#170863,.F.); #215811=ORIENTED_EDGE('',*,*,#170865,.F.); #215812=ORIENTED_EDGE('',*,*,#170866,.T.); #215813=ORIENTED_EDGE('',*,*,#170867,.F.); #215814=ORIENTED_EDGE('',*,*,#170866,.F.); #215815=ORIENTED_EDGE('',*,*,#170868,.F.); #215816=ORIENTED_EDGE('',*,*,#170869,.T.); #215817=ORIENTED_EDGE('',*,*,#170870,.F.); #215818=ORIENTED_EDGE('',*,*,#170869,.F.); #215819=ORIENTED_EDGE('',*,*,#170871,.F.); #215820=ORIENTED_EDGE('',*,*,#170872,.T.); #215821=ORIENTED_EDGE('',*,*,#170873,.F.); #215822=ORIENTED_EDGE('',*,*,#170872,.F.); #215823=ORIENTED_EDGE('',*,*,#170874,.F.); #215824=ORIENTED_EDGE('',*,*,#170875,.T.); #215825=ORIENTED_EDGE('',*,*,#170876,.F.); #215826=ORIENTED_EDGE('',*,*,#170875,.F.); #215827=ORIENTED_EDGE('',*,*,#170877,.F.); #215828=ORIENTED_EDGE('',*,*,#170878,.T.); #215829=ORIENTED_EDGE('',*,*,#170879,.F.); #215830=ORIENTED_EDGE('',*,*,#170878,.F.); #215831=ORIENTED_EDGE('',*,*,#170880,.F.); #215832=ORIENTED_EDGE('',*,*,#170881,.T.); #215833=ORIENTED_EDGE('',*,*,#170882,.F.); #215834=ORIENTED_EDGE('',*,*,#170881,.F.); #215835=ORIENTED_EDGE('',*,*,#170883,.F.); #215836=ORIENTED_EDGE('',*,*,#170884,.T.); #215837=ORIENTED_EDGE('',*,*,#170885,.F.); #215838=ORIENTED_EDGE('',*,*,#170884,.F.); #215839=ORIENTED_EDGE('',*,*,#170886,.F.); #215840=ORIENTED_EDGE('',*,*,#170887,.T.); #215841=ORIENTED_EDGE('',*,*,#170888,.F.); #215842=ORIENTED_EDGE('',*,*,#170887,.F.); #215843=ORIENTED_EDGE('',*,*,#170889,.F.); #215844=ORIENTED_EDGE('',*,*,#170890,.T.); #215845=ORIENTED_EDGE('',*,*,#170891,.F.); #215846=ORIENTED_EDGE('',*,*,#170890,.F.); #215847=ORIENTED_EDGE('',*,*,#170892,.F.); #215848=ORIENTED_EDGE('',*,*,#170893,.T.); #215849=ORIENTED_EDGE('',*,*,#170894,.F.); #215850=ORIENTED_EDGE('',*,*,#170893,.F.); #215851=ORIENTED_EDGE('',*,*,#170895,.F.); #215852=ORIENTED_EDGE('',*,*,#170896,.T.); #215853=ORIENTED_EDGE('',*,*,#170897,.F.); #215854=ORIENTED_EDGE('',*,*,#170896,.F.); #215855=ORIENTED_EDGE('',*,*,#170898,.F.); #215856=ORIENTED_EDGE('',*,*,#170899,.T.); #215857=ORIENTED_EDGE('',*,*,#170900,.F.); #215858=ORIENTED_EDGE('',*,*,#170899,.F.); #215859=ORIENTED_EDGE('',*,*,#170901,.F.); #215860=ORIENTED_EDGE('',*,*,#170902,.T.); #215861=ORIENTED_EDGE('',*,*,#170903,.F.); #215862=ORIENTED_EDGE('',*,*,#170902,.F.); #215863=ORIENTED_EDGE('',*,*,#170904,.F.); #215864=ORIENTED_EDGE('',*,*,#170905,.T.); #215865=ORIENTED_EDGE('',*,*,#170906,.F.); #215866=ORIENTED_EDGE('',*,*,#170905,.F.); #215867=ORIENTED_EDGE('',*,*,#170907,.F.); #215868=ORIENTED_EDGE('',*,*,#170908,.T.); #215869=ORIENTED_EDGE('',*,*,#170909,.F.); #215870=ORIENTED_EDGE('',*,*,#170908,.F.); #215871=ORIENTED_EDGE('',*,*,#170910,.F.); #215872=ORIENTED_EDGE('',*,*,#170911,.T.); #215873=ORIENTED_EDGE('',*,*,#170912,.F.); #215874=ORIENTED_EDGE('',*,*,#170911,.F.); #215875=ORIENTED_EDGE('',*,*,#170913,.F.); #215876=ORIENTED_EDGE('',*,*,#170914,.T.); #215877=ORIENTED_EDGE('',*,*,#170915,.F.); #215878=ORIENTED_EDGE('',*,*,#170914,.F.); #215879=ORIENTED_EDGE('',*,*,#170916,.F.); #215880=ORIENTED_EDGE('',*,*,#170917,.T.); #215881=ORIENTED_EDGE('',*,*,#170918,.F.); #215882=ORIENTED_EDGE('',*,*,#170917,.F.); #215883=ORIENTED_EDGE('',*,*,#170919,.F.); #215884=ORIENTED_EDGE('',*,*,#170920,.T.); #215885=ORIENTED_EDGE('',*,*,#170921,.F.); #215886=ORIENTED_EDGE('',*,*,#170920,.F.); #215887=ORIENTED_EDGE('',*,*,#170922,.F.); #215888=ORIENTED_EDGE('',*,*,#170923,.T.); #215889=ORIENTED_EDGE('',*,*,#170924,.F.); #215890=ORIENTED_EDGE('',*,*,#170923,.F.); #215891=ORIENTED_EDGE('',*,*,#170925,.F.); #215892=ORIENTED_EDGE('',*,*,#170926,.T.); #215893=ORIENTED_EDGE('',*,*,#170927,.F.); #215894=ORIENTED_EDGE('',*,*,#170926,.F.); #215895=ORIENTED_EDGE('',*,*,#170928,.F.); #215896=ORIENTED_EDGE('',*,*,#170929,.T.); #215897=ORIENTED_EDGE('',*,*,#170930,.F.); #215898=ORIENTED_EDGE('',*,*,#170929,.F.); #215899=ORIENTED_EDGE('',*,*,#170931,.F.); #215900=ORIENTED_EDGE('',*,*,#170932,.T.); #215901=ORIENTED_EDGE('',*,*,#170933,.F.); #215902=ORIENTED_EDGE('',*,*,#170932,.F.); #215903=ORIENTED_EDGE('',*,*,#170934,.F.); #215904=ORIENTED_EDGE('',*,*,#170935,.T.); #215905=ORIENTED_EDGE('',*,*,#170936,.F.); #215906=ORIENTED_EDGE('',*,*,#170935,.F.); #215907=ORIENTED_EDGE('',*,*,#170937,.F.); #215908=ORIENTED_EDGE('',*,*,#170938,.T.); #215909=ORIENTED_EDGE('',*,*,#170939,.F.); #215910=ORIENTED_EDGE('',*,*,#170938,.F.); #215911=ORIENTED_EDGE('',*,*,#170940,.F.); #215912=ORIENTED_EDGE('',*,*,#170941,.T.); #215913=ORIENTED_EDGE('',*,*,#170942,.F.); #215914=ORIENTED_EDGE('',*,*,#170941,.F.); #215915=ORIENTED_EDGE('',*,*,#170943,.F.); #215916=ORIENTED_EDGE('',*,*,#170944,.T.); #215917=ORIENTED_EDGE('',*,*,#170945,.F.); #215918=ORIENTED_EDGE('',*,*,#170944,.F.); #215919=ORIENTED_EDGE('',*,*,#170946,.F.); #215920=ORIENTED_EDGE('',*,*,#170947,.T.); #215921=ORIENTED_EDGE('',*,*,#170948,.F.); #215922=ORIENTED_EDGE('',*,*,#170947,.F.); #215923=ORIENTED_EDGE('',*,*,#170949,.F.); #215924=ORIENTED_EDGE('',*,*,#170950,.T.); #215925=ORIENTED_EDGE('',*,*,#170951,.F.); #215926=ORIENTED_EDGE('',*,*,#170950,.F.); #215927=ORIENTED_EDGE('',*,*,#170952,.F.); #215928=ORIENTED_EDGE('',*,*,#170953,.T.); #215929=ORIENTED_EDGE('',*,*,#170954,.F.); #215930=ORIENTED_EDGE('',*,*,#170953,.F.); #215931=ORIENTED_EDGE('',*,*,#170955,.F.); #215932=ORIENTED_EDGE('',*,*,#170956,.T.); #215933=ORIENTED_EDGE('',*,*,#170957,.F.); #215934=ORIENTED_EDGE('',*,*,#170956,.F.); #215935=ORIENTED_EDGE('',*,*,#170958,.F.); #215936=ORIENTED_EDGE('',*,*,#170959,.T.); #215937=ORIENTED_EDGE('',*,*,#170960,.F.); #215938=ORIENTED_EDGE('',*,*,#170959,.F.); #215939=ORIENTED_EDGE('',*,*,#170961,.F.); #215940=ORIENTED_EDGE('',*,*,#170962,.T.); #215941=ORIENTED_EDGE('',*,*,#170963,.F.); #215942=ORIENTED_EDGE('',*,*,#170962,.F.); #215943=ORIENTED_EDGE('',*,*,#170964,.F.); #215944=ORIENTED_EDGE('',*,*,#170965,.T.); #215945=ORIENTED_EDGE('',*,*,#170966,.F.); #215946=ORIENTED_EDGE('',*,*,#170965,.F.); #215947=ORIENTED_EDGE('',*,*,#170967,.F.); #215948=ORIENTED_EDGE('',*,*,#170968,.T.); #215949=ORIENTED_EDGE('',*,*,#170969,.F.); #215950=ORIENTED_EDGE('',*,*,#170968,.F.); #215951=ORIENTED_EDGE('',*,*,#170970,.F.); #215952=ORIENTED_EDGE('',*,*,#170971,.T.); #215953=ORIENTED_EDGE('',*,*,#170972,.F.); #215954=ORIENTED_EDGE('',*,*,#170971,.F.); #215955=ORIENTED_EDGE('',*,*,#170973,.F.); #215956=ORIENTED_EDGE('',*,*,#170974,.T.); #215957=ORIENTED_EDGE('',*,*,#170975,.F.); #215958=ORIENTED_EDGE('',*,*,#170974,.F.); #215959=ORIENTED_EDGE('',*,*,#170976,.F.); #215960=ORIENTED_EDGE('',*,*,#170977,.T.); #215961=ORIENTED_EDGE('',*,*,#170978,.F.); #215962=ORIENTED_EDGE('',*,*,#170977,.F.); #215963=ORIENTED_EDGE('',*,*,#170979,.F.); #215964=ORIENTED_EDGE('',*,*,#170980,.T.); #215965=ORIENTED_EDGE('',*,*,#170981,.F.); #215966=ORIENTED_EDGE('',*,*,#170980,.F.); #215967=ORIENTED_EDGE('',*,*,#170982,.F.); #215968=ORIENTED_EDGE('',*,*,#170983,.T.); #215969=ORIENTED_EDGE('',*,*,#170984,.F.); #215970=ORIENTED_EDGE('',*,*,#170983,.F.); #215971=ORIENTED_EDGE('',*,*,#170985,.F.); #215972=ORIENTED_EDGE('',*,*,#170986,.T.); #215973=ORIENTED_EDGE('',*,*,#170987,.F.); #215974=ORIENTED_EDGE('',*,*,#170986,.F.); #215975=ORIENTED_EDGE('',*,*,#170988,.F.); #215976=ORIENTED_EDGE('',*,*,#170989,.T.); #215977=ORIENTED_EDGE('',*,*,#170990,.F.); #215978=ORIENTED_EDGE('',*,*,#170989,.F.); #215979=ORIENTED_EDGE('',*,*,#170991,.F.); #215980=ORIENTED_EDGE('',*,*,#170992,.T.); #215981=ORIENTED_EDGE('',*,*,#170993,.F.); #215982=ORIENTED_EDGE('',*,*,#170992,.F.); #215983=ORIENTED_EDGE('',*,*,#170994,.F.); #215984=ORIENTED_EDGE('',*,*,#170995,.T.); #215985=ORIENTED_EDGE('',*,*,#170996,.F.); #215986=ORIENTED_EDGE('',*,*,#170995,.F.); #215987=ORIENTED_EDGE('',*,*,#170997,.F.); #215988=ORIENTED_EDGE('',*,*,#170998,.T.); #215989=ORIENTED_EDGE('',*,*,#170999,.F.); #215990=ORIENTED_EDGE('',*,*,#170998,.F.); #215991=ORIENTED_EDGE('',*,*,#171000,.F.); #215992=ORIENTED_EDGE('',*,*,#171001,.T.); #215993=ORIENTED_EDGE('',*,*,#171002,.F.); #215994=ORIENTED_EDGE('',*,*,#171001,.F.); #215995=ORIENTED_EDGE('',*,*,#171003,.F.); #215996=ORIENTED_EDGE('',*,*,#171004,.T.); #215997=ORIENTED_EDGE('',*,*,#171005,.F.); #215998=ORIENTED_EDGE('',*,*,#171004,.F.); #215999=ORIENTED_EDGE('',*,*,#171006,.F.); #216000=ORIENTED_EDGE('',*,*,#171007,.T.); #216001=ORIENTED_EDGE('',*,*,#171008,.F.); #216002=ORIENTED_EDGE('',*,*,#171007,.F.); #216003=ORIENTED_EDGE('',*,*,#171009,.F.); #216004=ORIENTED_EDGE('',*,*,#171010,.T.); #216005=ORIENTED_EDGE('',*,*,#171011,.F.); #216006=ORIENTED_EDGE('',*,*,#171010,.F.); #216007=ORIENTED_EDGE('',*,*,#171012,.F.); #216008=ORIENTED_EDGE('',*,*,#171013,.T.); #216009=ORIENTED_EDGE('',*,*,#171014,.F.); #216010=ORIENTED_EDGE('',*,*,#171013,.F.); #216011=ORIENTED_EDGE('',*,*,#171015,.F.); #216012=ORIENTED_EDGE('',*,*,#171016,.T.); #216013=ORIENTED_EDGE('',*,*,#171017,.F.); #216014=ORIENTED_EDGE('',*,*,#171016,.F.); #216015=ORIENTED_EDGE('',*,*,#171018,.F.); #216016=ORIENTED_EDGE('',*,*,#171019,.T.); #216017=ORIENTED_EDGE('',*,*,#171020,.F.); #216018=ORIENTED_EDGE('',*,*,#171019,.F.); #216019=ORIENTED_EDGE('',*,*,#171021,.F.); #216020=ORIENTED_EDGE('',*,*,#171022,.T.); #216021=ORIENTED_EDGE('',*,*,#171023,.F.); #216022=ORIENTED_EDGE('',*,*,#171022,.F.); #216023=ORIENTED_EDGE('',*,*,#171024,.F.); #216024=ORIENTED_EDGE('',*,*,#171025,.T.); #216025=ORIENTED_EDGE('',*,*,#171026,.F.); #216026=ORIENTED_EDGE('',*,*,#171025,.F.); #216027=ORIENTED_EDGE('',*,*,#171027,.F.); #216028=ORIENTED_EDGE('',*,*,#171028,.T.); #216029=ORIENTED_EDGE('',*,*,#171029,.F.); #216030=ORIENTED_EDGE('',*,*,#171028,.F.); #216031=ORIENTED_EDGE('',*,*,#171030,.F.); #216032=ORIENTED_EDGE('',*,*,#171031,.T.); #216033=ORIENTED_EDGE('',*,*,#171032,.F.); #216034=ORIENTED_EDGE('',*,*,#171031,.F.); #216035=ORIENTED_EDGE('',*,*,#171033,.F.); #216036=ORIENTED_EDGE('',*,*,#171034,.T.); #216037=ORIENTED_EDGE('',*,*,#171035,.F.); #216038=ORIENTED_EDGE('',*,*,#171034,.F.); #216039=ORIENTED_EDGE('',*,*,#171036,.F.); #216040=ORIENTED_EDGE('',*,*,#171037,.T.); #216041=ORIENTED_EDGE('',*,*,#171038,.F.); #216042=ORIENTED_EDGE('',*,*,#171037,.F.); #216043=ORIENTED_EDGE('',*,*,#171039,.F.); #216044=ORIENTED_EDGE('',*,*,#171040,.T.); #216045=ORIENTED_EDGE('',*,*,#171041,.F.); #216046=ORIENTED_EDGE('',*,*,#171040,.F.); #216047=ORIENTED_EDGE('',*,*,#171042,.F.); #216048=ORIENTED_EDGE('',*,*,#171043,.T.); #216049=ORIENTED_EDGE('',*,*,#171044,.F.); #216050=ORIENTED_EDGE('',*,*,#171043,.F.); #216051=ORIENTED_EDGE('',*,*,#171045,.F.); #216052=ORIENTED_EDGE('',*,*,#171046,.T.); #216053=ORIENTED_EDGE('',*,*,#171047,.F.); #216054=ORIENTED_EDGE('',*,*,#171046,.F.); #216055=ORIENTED_EDGE('',*,*,#171048,.F.); #216056=ORIENTED_EDGE('',*,*,#171049,.T.); #216057=ORIENTED_EDGE('',*,*,#171050,.F.); #216058=ORIENTED_EDGE('',*,*,#171049,.F.); #216059=ORIENTED_EDGE('',*,*,#171051,.F.); #216060=ORIENTED_EDGE('',*,*,#171052,.T.); #216061=ORIENTED_EDGE('',*,*,#171053,.F.); #216062=ORIENTED_EDGE('',*,*,#171052,.F.); #216063=ORIENTED_EDGE('',*,*,#171054,.F.); #216064=ORIENTED_EDGE('',*,*,#171055,.T.); #216065=ORIENTED_EDGE('',*,*,#171056,.F.); #216066=ORIENTED_EDGE('',*,*,#171055,.F.); #216067=ORIENTED_EDGE('',*,*,#171057,.F.); #216068=ORIENTED_EDGE('',*,*,#171058,.T.); #216069=ORIENTED_EDGE('',*,*,#171059,.F.); #216070=ORIENTED_EDGE('',*,*,#171058,.F.); #216071=ORIENTED_EDGE('',*,*,#171060,.F.); #216072=ORIENTED_EDGE('',*,*,#171061,.T.); #216073=ORIENTED_EDGE('',*,*,#171062,.F.); #216074=ORIENTED_EDGE('',*,*,#171061,.F.); #216075=ORIENTED_EDGE('',*,*,#171063,.F.); #216076=ORIENTED_EDGE('',*,*,#171064,.T.); #216077=ORIENTED_EDGE('',*,*,#171065,.F.); #216078=ORIENTED_EDGE('',*,*,#171064,.F.); #216079=ORIENTED_EDGE('',*,*,#171066,.F.); #216080=ORIENTED_EDGE('',*,*,#171067,.T.); #216081=ORIENTED_EDGE('',*,*,#171068,.F.); #216082=ORIENTED_EDGE('',*,*,#171067,.F.); #216083=ORIENTED_EDGE('',*,*,#171069,.F.); #216084=ORIENTED_EDGE('',*,*,#171070,.T.); #216085=ORIENTED_EDGE('',*,*,#171071,.F.); #216086=ORIENTED_EDGE('',*,*,#171070,.F.); #216087=ORIENTED_EDGE('',*,*,#171072,.F.); #216088=ORIENTED_EDGE('',*,*,#171073,.T.); #216089=ORIENTED_EDGE('',*,*,#171074,.F.); #216090=ORIENTED_EDGE('',*,*,#171073,.F.); #216091=ORIENTED_EDGE('',*,*,#171075,.F.); #216092=ORIENTED_EDGE('',*,*,#171076,.T.); #216093=ORIENTED_EDGE('',*,*,#171077,.F.); #216094=ORIENTED_EDGE('',*,*,#171076,.F.); #216095=ORIENTED_EDGE('',*,*,#171078,.F.); #216096=ORIENTED_EDGE('',*,*,#171079,.T.); #216097=ORIENTED_EDGE('',*,*,#171080,.F.); #216098=ORIENTED_EDGE('',*,*,#171079,.F.); #216099=ORIENTED_EDGE('',*,*,#171081,.F.); #216100=ORIENTED_EDGE('',*,*,#171082,.T.); #216101=ORIENTED_EDGE('',*,*,#171083,.F.); #216102=ORIENTED_EDGE('',*,*,#171082,.F.); #216103=ORIENTED_EDGE('',*,*,#171084,.F.); #216104=ORIENTED_EDGE('',*,*,#171085,.T.); #216105=ORIENTED_EDGE('',*,*,#171086,.F.); #216106=ORIENTED_EDGE('',*,*,#171085,.F.); #216107=ORIENTED_EDGE('',*,*,#171087,.F.); #216108=ORIENTED_EDGE('',*,*,#171088,.T.); #216109=ORIENTED_EDGE('',*,*,#171089,.F.); #216110=ORIENTED_EDGE('',*,*,#171088,.F.); #216111=ORIENTED_EDGE('',*,*,#171090,.F.); #216112=ORIENTED_EDGE('',*,*,#171091,.T.); #216113=ORIENTED_EDGE('',*,*,#171092,.F.); #216114=ORIENTED_EDGE('',*,*,#171091,.F.); #216115=ORIENTED_EDGE('',*,*,#171093,.F.); #216116=ORIENTED_EDGE('',*,*,#171094,.T.); #216117=ORIENTED_EDGE('',*,*,#171095,.F.); #216118=ORIENTED_EDGE('',*,*,#171094,.F.); #216119=ORIENTED_EDGE('',*,*,#171096,.F.); #216120=ORIENTED_EDGE('',*,*,#171097,.T.); #216121=ORIENTED_EDGE('',*,*,#171098,.F.); #216122=ORIENTED_EDGE('',*,*,#171097,.F.); #216123=ORIENTED_EDGE('',*,*,#171099,.F.); #216124=ORIENTED_EDGE('',*,*,#171100,.T.); #216125=ORIENTED_EDGE('',*,*,#171101,.F.); #216126=ORIENTED_EDGE('',*,*,#171100,.F.); #216127=ORIENTED_EDGE('',*,*,#171102,.F.); #216128=ORIENTED_EDGE('',*,*,#171103,.T.); #216129=ORIENTED_EDGE('',*,*,#171104,.F.); #216130=ORIENTED_EDGE('',*,*,#171103,.F.); #216131=ORIENTED_EDGE('',*,*,#171105,.F.); #216132=ORIENTED_EDGE('',*,*,#171106,.T.); #216133=ORIENTED_EDGE('',*,*,#171107,.F.); #216134=ORIENTED_EDGE('',*,*,#171106,.F.); #216135=ORIENTED_EDGE('',*,*,#171108,.F.); #216136=ORIENTED_EDGE('',*,*,#171109,.T.); #216137=ORIENTED_EDGE('',*,*,#171110,.F.); #216138=ORIENTED_EDGE('',*,*,#171109,.F.); #216139=ORIENTED_EDGE('',*,*,#171111,.F.); #216140=ORIENTED_EDGE('',*,*,#171112,.T.); #216141=ORIENTED_EDGE('',*,*,#171113,.F.); #216142=ORIENTED_EDGE('',*,*,#171112,.F.); #216143=ORIENTED_EDGE('',*,*,#171114,.F.); #216144=ORIENTED_EDGE('',*,*,#171115,.T.); #216145=ORIENTED_EDGE('',*,*,#171116,.F.); #216146=ORIENTED_EDGE('',*,*,#171115,.F.); #216147=ORIENTED_EDGE('',*,*,#171117,.F.); #216148=ORIENTED_EDGE('',*,*,#171118,.T.); #216149=ORIENTED_EDGE('',*,*,#171119,.F.); #216150=ORIENTED_EDGE('',*,*,#171118,.F.); #216151=ORIENTED_EDGE('',*,*,#171120,.F.); #216152=ORIENTED_EDGE('',*,*,#171121,.T.); #216153=ORIENTED_EDGE('',*,*,#171122,.F.); #216154=ORIENTED_EDGE('',*,*,#171121,.F.); #216155=ORIENTED_EDGE('',*,*,#171123,.F.); #216156=ORIENTED_EDGE('',*,*,#171124,.T.); #216157=ORIENTED_EDGE('',*,*,#171125,.F.); #216158=ORIENTED_EDGE('',*,*,#171124,.F.); #216159=ORIENTED_EDGE('',*,*,#171126,.F.); #216160=ORIENTED_EDGE('',*,*,#171127,.T.); #216161=ORIENTED_EDGE('',*,*,#171128,.F.); #216162=ORIENTED_EDGE('',*,*,#171127,.F.); #216163=ORIENTED_EDGE('',*,*,#171129,.F.); #216164=ORIENTED_EDGE('',*,*,#171130,.T.); #216165=ORIENTED_EDGE('',*,*,#171131,.F.); #216166=ORIENTED_EDGE('',*,*,#171130,.F.); #216167=ORIENTED_EDGE('',*,*,#171132,.F.); #216168=ORIENTED_EDGE('',*,*,#171133,.T.); #216169=ORIENTED_EDGE('',*,*,#171134,.F.); #216170=ORIENTED_EDGE('',*,*,#171133,.F.); #216171=ORIENTED_EDGE('',*,*,#171135,.F.); #216172=ORIENTED_EDGE('',*,*,#171136,.T.); #216173=ORIENTED_EDGE('',*,*,#171137,.F.); #216174=ORIENTED_EDGE('',*,*,#171136,.F.); #216175=ORIENTED_EDGE('',*,*,#171138,.F.); #216176=ORIENTED_EDGE('',*,*,#171139,.T.); #216177=ORIENTED_EDGE('',*,*,#171140,.F.); #216178=ORIENTED_EDGE('',*,*,#171139,.F.); #216179=ORIENTED_EDGE('',*,*,#171141,.F.); #216180=ORIENTED_EDGE('',*,*,#171142,.T.); #216181=ORIENTED_EDGE('',*,*,#171143,.F.); #216182=ORIENTED_EDGE('',*,*,#171142,.F.); #216183=ORIENTED_EDGE('',*,*,#171144,.F.); #216184=ORIENTED_EDGE('',*,*,#171145,.T.); #216185=ORIENTED_EDGE('',*,*,#171146,.F.); #216186=ORIENTED_EDGE('',*,*,#171145,.F.); #216187=ORIENTED_EDGE('',*,*,#171147,.F.); #216188=ORIENTED_EDGE('',*,*,#171148,.T.); #216189=ORIENTED_EDGE('',*,*,#171149,.F.); #216190=ORIENTED_EDGE('',*,*,#171148,.F.); #216191=ORIENTED_EDGE('',*,*,#171150,.F.); #216192=ORIENTED_EDGE('',*,*,#171151,.T.); #216193=ORIENTED_EDGE('',*,*,#171152,.F.); #216194=ORIENTED_EDGE('',*,*,#171151,.F.); #216195=ORIENTED_EDGE('',*,*,#171153,.F.); #216196=ORIENTED_EDGE('',*,*,#171154,.T.); #216197=ORIENTED_EDGE('',*,*,#171155,.F.); #216198=ORIENTED_EDGE('',*,*,#171154,.F.); #216199=ORIENTED_EDGE('',*,*,#171156,.F.); #216200=ORIENTED_EDGE('',*,*,#171157,.T.); #216201=ORIENTED_EDGE('',*,*,#171158,.F.); #216202=ORIENTED_EDGE('',*,*,#171157,.F.); #216203=ORIENTED_EDGE('',*,*,#171159,.F.); #216204=ORIENTED_EDGE('',*,*,#171160,.T.); #216205=ORIENTED_EDGE('',*,*,#171161,.F.); #216206=ORIENTED_EDGE('',*,*,#171160,.F.); #216207=ORIENTED_EDGE('',*,*,#171162,.F.); #216208=ORIENTED_EDGE('',*,*,#171163,.T.); #216209=ORIENTED_EDGE('',*,*,#171164,.F.); #216210=ORIENTED_EDGE('',*,*,#171163,.F.); #216211=ORIENTED_EDGE('',*,*,#171165,.F.); #216212=ORIENTED_EDGE('',*,*,#171166,.T.); #216213=ORIENTED_EDGE('',*,*,#171167,.F.); #216214=ORIENTED_EDGE('',*,*,#171166,.F.); #216215=ORIENTED_EDGE('',*,*,#171168,.F.); #216216=ORIENTED_EDGE('',*,*,#171169,.T.); #216217=ORIENTED_EDGE('',*,*,#171170,.F.); #216218=ORIENTED_EDGE('',*,*,#171169,.F.); #216219=ORIENTED_EDGE('',*,*,#171171,.F.); #216220=ORIENTED_EDGE('',*,*,#171172,.T.); #216221=ORIENTED_EDGE('',*,*,#171173,.F.); #216222=ORIENTED_EDGE('',*,*,#171172,.F.); #216223=ORIENTED_EDGE('',*,*,#171174,.F.); #216224=ORIENTED_EDGE('',*,*,#171175,.T.); #216225=ORIENTED_EDGE('',*,*,#171176,.F.); #216226=ORIENTED_EDGE('',*,*,#171175,.F.); #216227=ORIENTED_EDGE('',*,*,#171177,.F.); #216228=ORIENTED_EDGE('',*,*,#171178,.T.); #216229=ORIENTED_EDGE('',*,*,#171179,.F.); #216230=ORIENTED_EDGE('',*,*,#171178,.F.); #216231=ORIENTED_EDGE('',*,*,#171180,.F.); #216232=ORIENTED_EDGE('',*,*,#171181,.T.); #216233=ORIENTED_EDGE('',*,*,#171182,.F.); #216234=ORIENTED_EDGE('',*,*,#171181,.F.); #216235=ORIENTED_EDGE('',*,*,#171183,.F.); #216236=ORIENTED_EDGE('',*,*,#171184,.T.); #216237=ORIENTED_EDGE('',*,*,#171185,.F.); #216238=ORIENTED_EDGE('',*,*,#171184,.F.); #216239=ORIENTED_EDGE('',*,*,#171186,.F.); #216240=ORIENTED_EDGE('',*,*,#171187,.T.); #216241=ORIENTED_EDGE('',*,*,#171188,.F.); #216242=ORIENTED_EDGE('',*,*,#171187,.F.); #216243=ORIENTED_EDGE('',*,*,#171189,.F.); #216244=ORIENTED_EDGE('',*,*,#171190,.T.); #216245=ORIENTED_EDGE('',*,*,#171191,.F.); #216246=ORIENTED_EDGE('',*,*,#171190,.F.); #216247=ORIENTED_EDGE('',*,*,#171192,.F.); #216248=ORIENTED_EDGE('',*,*,#171193,.T.); #216249=ORIENTED_EDGE('',*,*,#171194,.F.); #216250=ORIENTED_EDGE('',*,*,#171193,.F.); #216251=ORIENTED_EDGE('',*,*,#171195,.F.); #216252=ORIENTED_EDGE('',*,*,#171196,.T.); #216253=ORIENTED_EDGE('',*,*,#171197,.F.); #216254=ORIENTED_EDGE('',*,*,#171196,.F.); #216255=ORIENTED_EDGE('',*,*,#171198,.F.); #216256=ORIENTED_EDGE('',*,*,#171199,.T.); #216257=ORIENTED_EDGE('',*,*,#171200,.F.); #216258=ORIENTED_EDGE('',*,*,#171199,.F.); #216259=ORIENTED_EDGE('',*,*,#171201,.F.); #216260=ORIENTED_EDGE('',*,*,#171202,.T.); #216261=ORIENTED_EDGE('',*,*,#171203,.F.); #216262=ORIENTED_EDGE('',*,*,#171202,.F.); #216263=ORIENTED_EDGE('',*,*,#171204,.F.); #216264=ORIENTED_EDGE('',*,*,#171205,.T.); #216265=ORIENTED_EDGE('',*,*,#171206,.T.); #216266=ORIENTED_EDGE('',*,*,#171205,.F.); #216267=ORIENTED_EDGE('',*,*,#171204,.T.); #216268=ORIENTED_EDGE('',*,*,#170199,.T.); #216269=ORIENTED_EDGE('',*,*,#170202,.T.); #216270=ORIENTED_EDGE('',*,*,#170207,.T.); #216271=ORIENTED_EDGE('',*,*,#170210,.T.); #216272=ORIENTED_EDGE('',*,*,#170213,.T.); #216273=ORIENTED_EDGE('',*,*,#170216,.T.); #216274=ORIENTED_EDGE('',*,*,#170219,.T.); #216275=ORIENTED_EDGE('',*,*,#170222,.T.); #216276=ORIENTED_EDGE('',*,*,#170225,.T.); #216277=ORIENTED_EDGE('',*,*,#170228,.T.); #216278=ORIENTED_EDGE('',*,*,#170231,.T.); #216279=ORIENTED_EDGE('',*,*,#170234,.T.); #216280=ORIENTED_EDGE('',*,*,#170237,.T.); #216281=ORIENTED_EDGE('',*,*,#170240,.T.); #216282=ORIENTED_EDGE('',*,*,#170243,.T.); #216283=ORIENTED_EDGE('',*,*,#170246,.T.); #216284=ORIENTED_EDGE('',*,*,#170249,.T.); #216285=ORIENTED_EDGE('',*,*,#170252,.T.); #216286=ORIENTED_EDGE('',*,*,#170255,.T.); #216287=ORIENTED_EDGE('',*,*,#170258,.T.); #216288=ORIENTED_EDGE('',*,*,#170261,.T.); #216289=ORIENTED_EDGE('',*,*,#170264,.T.); #216290=ORIENTED_EDGE('',*,*,#170267,.T.); #216291=ORIENTED_EDGE('',*,*,#170270,.T.); #216292=ORIENTED_EDGE('',*,*,#170273,.T.); #216293=ORIENTED_EDGE('',*,*,#170276,.T.); #216294=ORIENTED_EDGE('',*,*,#170279,.T.); #216295=ORIENTED_EDGE('',*,*,#170282,.T.); #216296=ORIENTED_EDGE('',*,*,#170285,.T.); #216297=ORIENTED_EDGE('',*,*,#170288,.T.); #216298=ORIENTED_EDGE('',*,*,#170291,.T.); #216299=ORIENTED_EDGE('',*,*,#170294,.T.); #216300=ORIENTED_EDGE('',*,*,#170297,.T.); #216301=ORIENTED_EDGE('',*,*,#170300,.T.); #216302=ORIENTED_EDGE('',*,*,#170303,.T.); #216303=ORIENTED_EDGE('',*,*,#170306,.T.); #216304=ORIENTED_EDGE('',*,*,#170309,.T.); #216305=ORIENTED_EDGE('',*,*,#170312,.T.); #216306=ORIENTED_EDGE('',*,*,#170315,.T.); #216307=ORIENTED_EDGE('',*,*,#170318,.T.); #216308=ORIENTED_EDGE('',*,*,#170321,.T.); #216309=ORIENTED_EDGE('',*,*,#170324,.T.); #216310=ORIENTED_EDGE('',*,*,#170327,.T.); #216311=ORIENTED_EDGE('',*,*,#170330,.T.); #216312=ORIENTED_EDGE('',*,*,#170333,.T.); #216313=ORIENTED_EDGE('',*,*,#170336,.T.); #216314=ORIENTED_EDGE('',*,*,#170339,.T.); #216315=ORIENTED_EDGE('',*,*,#170342,.T.); #216316=ORIENTED_EDGE('',*,*,#170345,.T.); #216317=ORIENTED_EDGE('',*,*,#170348,.T.); #216318=ORIENTED_EDGE('',*,*,#170351,.T.); #216319=ORIENTED_EDGE('',*,*,#170354,.T.); #216320=ORIENTED_EDGE('',*,*,#170357,.T.); #216321=ORIENTED_EDGE('',*,*,#170360,.T.); #216322=ORIENTED_EDGE('',*,*,#170363,.T.); #216323=ORIENTED_EDGE('',*,*,#170366,.T.); #216324=ORIENTED_EDGE('',*,*,#170369,.T.); #216325=ORIENTED_EDGE('',*,*,#170372,.T.); #216326=ORIENTED_EDGE('',*,*,#170375,.T.); #216327=ORIENTED_EDGE('',*,*,#170378,.T.); #216328=ORIENTED_EDGE('',*,*,#170381,.T.); #216329=ORIENTED_EDGE('',*,*,#170384,.T.); #216330=ORIENTED_EDGE('',*,*,#170387,.T.); #216331=ORIENTED_EDGE('',*,*,#170390,.T.); #216332=ORIENTED_EDGE('',*,*,#170393,.T.); #216333=ORIENTED_EDGE('',*,*,#170396,.T.); #216334=ORIENTED_EDGE('',*,*,#170399,.T.); #216335=ORIENTED_EDGE('',*,*,#170402,.T.); #216336=ORIENTED_EDGE('',*,*,#170405,.T.); #216337=ORIENTED_EDGE('',*,*,#170408,.T.); #216338=ORIENTED_EDGE('',*,*,#170411,.T.); #216339=ORIENTED_EDGE('',*,*,#170414,.T.); #216340=ORIENTED_EDGE('',*,*,#170417,.T.); #216341=ORIENTED_EDGE('',*,*,#170420,.T.); #216342=ORIENTED_EDGE('',*,*,#170423,.T.); #216343=ORIENTED_EDGE('',*,*,#170426,.T.); #216344=ORIENTED_EDGE('',*,*,#170429,.T.); #216345=ORIENTED_EDGE('',*,*,#170432,.T.); #216346=ORIENTED_EDGE('',*,*,#170435,.T.); #216347=ORIENTED_EDGE('',*,*,#170438,.T.); #216348=ORIENTED_EDGE('',*,*,#170441,.T.); #216349=ORIENTED_EDGE('',*,*,#170444,.T.); #216350=ORIENTED_EDGE('',*,*,#170447,.T.); #216351=ORIENTED_EDGE('',*,*,#170450,.T.); #216352=ORIENTED_EDGE('',*,*,#170453,.T.); #216353=ORIENTED_EDGE('',*,*,#170456,.T.); #216354=ORIENTED_EDGE('',*,*,#170459,.T.); #216355=ORIENTED_EDGE('',*,*,#170462,.T.); #216356=ORIENTED_EDGE('',*,*,#170465,.T.); #216357=ORIENTED_EDGE('',*,*,#170468,.T.); #216358=ORIENTED_EDGE('',*,*,#170471,.T.); #216359=ORIENTED_EDGE('',*,*,#170474,.T.); #216360=ORIENTED_EDGE('',*,*,#170477,.T.); #216361=ORIENTED_EDGE('',*,*,#170480,.T.); #216362=ORIENTED_EDGE('',*,*,#170483,.T.); #216363=ORIENTED_EDGE('',*,*,#170486,.T.); #216364=ORIENTED_EDGE('',*,*,#170489,.T.); #216365=ORIENTED_EDGE('',*,*,#170492,.T.); #216366=ORIENTED_EDGE('',*,*,#170495,.T.); #216367=ORIENTED_EDGE('',*,*,#170498,.T.); #216368=ORIENTED_EDGE('',*,*,#170501,.T.); #216369=ORIENTED_EDGE('',*,*,#170504,.T.); #216370=ORIENTED_EDGE('',*,*,#170507,.T.); #216371=ORIENTED_EDGE('',*,*,#170510,.T.); #216372=ORIENTED_EDGE('',*,*,#170513,.T.); #216373=ORIENTED_EDGE('',*,*,#170516,.T.); #216374=ORIENTED_EDGE('',*,*,#170519,.T.); #216375=ORIENTED_EDGE('',*,*,#170522,.T.); #216376=ORIENTED_EDGE('',*,*,#170525,.T.); #216377=ORIENTED_EDGE('',*,*,#170528,.T.); #216378=ORIENTED_EDGE('',*,*,#170531,.T.); #216379=ORIENTED_EDGE('',*,*,#170534,.T.); #216380=ORIENTED_EDGE('',*,*,#170537,.T.); #216381=ORIENTED_EDGE('',*,*,#170540,.T.); #216382=ORIENTED_EDGE('',*,*,#170543,.T.); #216383=ORIENTED_EDGE('',*,*,#170546,.T.); #216384=ORIENTED_EDGE('',*,*,#170549,.T.); #216385=ORIENTED_EDGE('',*,*,#170552,.T.); #216386=ORIENTED_EDGE('',*,*,#170555,.T.); #216387=ORIENTED_EDGE('',*,*,#170558,.T.); #216388=ORIENTED_EDGE('',*,*,#170561,.T.); #216389=ORIENTED_EDGE('',*,*,#170564,.T.); #216390=ORIENTED_EDGE('',*,*,#170567,.T.); #216391=ORIENTED_EDGE('',*,*,#170570,.T.); #216392=ORIENTED_EDGE('',*,*,#170573,.T.); #216393=ORIENTED_EDGE('',*,*,#170576,.T.); #216394=ORIENTED_EDGE('',*,*,#170579,.T.); #216395=ORIENTED_EDGE('',*,*,#170582,.T.); #216396=ORIENTED_EDGE('',*,*,#170585,.T.); #216397=ORIENTED_EDGE('',*,*,#170588,.T.); #216398=ORIENTED_EDGE('',*,*,#170591,.T.); #216399=ORIENTED_EDGE('',*,*,#170594,.T.); #216400=ORIENTED_EDGE('',*,*,#170597,.T.); #216401=ORIENTED_EDGE('',*,*,#170600,.T.); #216402=ORIENTED_EDGE('',*,*,#170603,.T.); #216403=ORIENTED_EDGE('',*,*,#170606,.T.); #216404=ORIENTED_EDGE('',*,*,#170609,.T.); #216405=ORIENTED_EDGE('',*,*,#170612,.T.); #216406=ORIENTED_EDGE('',*,*,#170615,.T.); #216407=ORIENTED_EDGE('',*,*,#170618,.T.); #216408=ORIENTED_EDGE('',*,*,#170621,.T.); #216409=ORIENTED_EDGE('',*,*,#170624,.T.); #216410=ORIENTED_EDGE('',*,*,#170627,.T.); #216411=ORIENTED_EDGE('',*,*,#170630,.T.); #216412=ORIENTED_EDGE('',*,*,#170633,.T.); #216413=ORIENTED_EDGE('',*,*,#170636,.T.); #216414=ORIENTED_EDGE('',*,*,#170639,.T.); #216415=ORIENTED_EDGE('',*,*,#170642,.T.); #216416=ORIENTED_EDGE('',*,*,#170645,.T.); #216417=ORIENTED_EDGE('',*,*,#170648,.T.); #216418=ORIENTED_EDGE('',*,*,#170651,.T.); #216419=ORIENTED_EDGE('',*,*,#170654,.T.); #216420=ORIENTED_EDGE('',*,*,#170657,.T.); #216421=ORIENTED_EDGE('',*,*,#170660,.T.); #216422=ORIENTED_EDGE('',*,*,#170663,.T.); #216423=ORIENTED_EDGE('',*,*,#170666,.T.); #216424=ORIENTED_EDGE('',*,*,#170669,.T.); #216425=ORIENTED_EDGE('',*,*,#170672,.T.); #216426=ORIENTED_EDGE('',*,*,#170675,.T.); #216427=ORIENTED_EDGE('',*,*,#170678,.T.); #216428=ORIENTED_EDGE('',*,*,#170681,.T.); #216429=ORIENTED_EDGE('',*,*,#170684,.T.); #216430=ORIENTED_EDGE('',*,*,#170687,.T.); #216431=ORIENTED_EDGE('',*,*,#170695,.T.); #216432=ORIENTED_EDGE('',*,*,#170698,.T.); #216433=ORIENTED_EDGE('',*,*,#170688,.T.); #216434=ORIENTED_EDGE('',*,*,#170692,.T.); #216435=ORIENTED_EDGE('',*,*,#170702,.T.); #216436=ORIENTED_EDGE('',*,*,#170710,.T.); #216437=ORIENTED_EDGE('',*,*,#170713,.T.); #216438=ORIENTED_EDGE('',*,*,#170703,.T.); #216439=ORIENTED_EDGE('',*,*,#170707,.T.); #216440=ORIENTED_EDGE('',*,*,#170717,.T.); #216441=ORIENTED_EDGE('',*,*,#170720,.T.); #216442=ORIENTED_EDGE('',*,*,#170723,.T.); #216443=ORIENTED_EDGE('',*,*,#170731,.T.); #216444=ORIENTED_EDGE('',*,*,#170734,.T.); #216445=ORIENTED_EDGE('',*,*,#170724,.T.); #216446=ORIENTED_EDGE('',*,*,#170728,.T.); #216447=ORIENTED_EDGE('',*,*,#170738,.T.); #216448=ORIENTED_EDGE('',*,*,#170741,.T.); #216449=ORIENTED_EDGE('',*,*,#170744,.T.); #216450=ORIENTED_EDGE('',*,*,#170747,.T.); #216451=ORIENTED_EDGE('',*,*,#170750,.T.); #216452=ORIENTED_EDGE('',*,*,#170753,.T.); #216453=ORIENTED_EDGE('',*,*,#170756,.T.); #216454=ORIENTED_EDGE('',*,*,#170759,.T.); #216455=ORIENTED_EDGE('',*,*,#170762,.T.); #216456=ORIENTED_EDGE('',*,*,#170765,.T.); #216457=ORIENTED_EDGE('',*,*,#170773,.T.); #216458=ORIENTED_EDGE('',*,*,#170776,.T.); #216459=ORIENTED_EDGE('',*,*,#170766,.T.); #216460=ORIENTED_EDGE('',*,*,#170770,.T.); #216461=ORIENTED_EDGE('',*,*,#170780,.T.); #216462=ORIENTED_EDGE('',*,*,#170788,.T.); #216463=ORIENTED_EDGE('',*,*,#170791,.T.); #216464=ORIENTED_EDGE('',*,*,#170781,.T.); #216465=ORIENTED_EDGE('',*,*,#170785,.T.); #216466=ORIENTED_EDGE('',*,*,#170795,.T.); #216467=ORIENTED_EDGE('',*,*,#170803,.T.); #216468=ORIENTED_EDGE('',*,*,#170806,.T.); #216469=ORIENTED_EDGE('',*,*,#170796,.T.); #216470=ORIENTED_EDGE('',*,*,#170800,.T.); #216471=ORIENTED_EDGE('',*,*,#170810,.T.); #216472=ORIENTED_EDGE('',*,*,#170813,.T.); #216473=ORIENTED_EDGE('',*,*,#170816,.T.); #216474=ORIENTED_EDGE('',*,*,#170819,.T.); #216475=ORIENTED_EDGE('',*,*,#170822,.T.); #216476=ORIENTED_EDGE('',*,*,#170825,.T.); #216477=ORIENTED_EDGE('',*,*,#170828,.T.); #216478=ORIENTED_EDGE('',*,*,#170831,.T.); #216479=ORIENTED_EDGE('',*,*,#170834,.T.); #216480=ORIENTED_EDGE('',*,*,#170837,.T.); #216481=ORIENTED_EDGE('',*,*,#170840,.T.); #216482=ORIENTED_EDGE('',*,*,#170843,.T.); #216483=ORIENTED_EDGE('',*,*,#170846,.T.); #216484=ORIENTED_EDGE('',*,*,#170849,.T.); #216485=ORIENTED_EDGE('',*,*,#170852,.T.); #216486=ORIENTED_EDGE('',*,*,#170855,.T.); #216487=ORIENTED_EDGE('',*,*,#170858,.T.); #216488=ORIENTED_EDGE('',*,*,#170861,.T.); #216489=ORIENTED_EDGE('',*,*,#170864,.T.); #216490=ORIENTED_EDGE('',*,*,#170867,.T.); #216491=ORIENTED_EDGE('',*,*,#170870,.T.); #216492=ORIENTED_EDGE('',*,*,#170873,.T.); #216493=ORIENTED_EDGE('',*,*,#170876,.T.); #216494=ORIENTED_EDGE('',*,*,#170879,.T.); #216495=ORIENTED_EDGE('',*,*,#170882,.T.); #216496=ORIENTED_EDGE('',*,*,#170885,.T.); #216497=ORIENTED_EDGE('',*,*,#170888,.T.); #216498=ORIENTED_EDGE('',*,*,#170891,.T.); #216499=ORIENTED_EDGE('',*,*,#170894,.T.); #216500=ORIENTED_EDGE('',*,*,#170897,.T.); #216501=ORIENTED_EDGE('',*,*,#170900,.T.); #216502=ORIENTED_EDGE('',*,*,#170903,.T.); #216503=ORIENTED_EDGE('',*,*,#170906,.T.); #216504=ORIENTED_EDGE('',*,*,#170909,.T.); #216505=ORIENTED_EDGE('',*,*,#170912,.T.); #216506=ORIENTED_EDGE('',*,*,#170915,.T.); #216507=ORIENTED_EDGE('',*,*,#170918,.T.); #216508=ORIENTED_EDGE('',*,*,#170921,.T.); #216509=ORIENTED_EDGE('',*,*,#170924,.T.); #216510=ORIENTED_EDGE('',*,*,#170927,.T.); #216511=ORIENTED_EDGE('',*,*,#170930,.T.); #216512=ORIENTED_EDGE('',*,*,#170933,.T.); #216513=ORIENTED_EDGE('',*,*,#170936,.T.); #216514=ORIENTED_EDGE('',*,*,#170939,.T.); #216515=ORIENTED_EDGE('',*,*,#170942,.T.); #216516=ORIENTED_EDGE('',*,*,#170945,.T.); #216517=ORIENTED_EDGE('',*,*,#170948,.T.); #216518=ORIENTED_EDGE('',*,*,#170951,.T.); #216519=ORIENTED_EDGE('',*,*,#170954,.T.); #216520=ORIENTED_EDGE('',*,*,#170957,.T.); #216521=ORIENTED_EDGE('',*,*,#170960,.T.); #216522=ORIENTED_EDGE('',*,*,#170963,.T.); #216523=ORIENTED_EDGE('',*,*,#170966,.T.); #216524=ORIENTED_EDGE('',*,*,#170969,.T.); #216525=ORIENTED_EDGE('',*,*,#170972,.T.); #216526=ORIENTED_EDGE('',*,*,#170975,.T.); #216527=ORIENTED_EDGE('',*,*,#170978,.T.); #216528=ORIENTED_EDGE('',*,*,#170981,.T.); #216529=ORIENTED_EDGE('',*,*,#170984,.T.); #216530=ORIENTED_EDGE('',*,*,#170987,.T.); #216531=ORIENTED_EDGE('',*,*,#170990,.T.); #216532=ORIENTED_EDGE('',*,*,#170993,.T.); #216533=ORIENTED_EDGE('',*,*,#170996,.T.); #216534=ORIENTED_EDGE('',*,*,#170999,.T.); #216535=ORIENTED_EDGE('',*,*,#171002,.T.); #216536=ORIENTED_EDGE('',*,*,#171005,.T.); #216537=ORIENTED_EDGE('',*,*,#171008,.T.); #216538=ORIENTED_EDGE('',*,*,#171011,.T.); #216539=ORIENTED_EDGE('',*,*,#171014,.T.); #216540=ORIENTED_EDGE('',*,*,#171017,.T.); #216541=ORIENTED_EDGE('',*,*,#171020,.T.); #216542=ORIENTED_EDGE('',*,*,#171023,.T.); #216543=ORIENTED_EDGE('',*,*,#171026,.T.); #216544=ORIENTED_EDGE('',*,*,#171029,.T.); #216545=ORIENTED_EDGE('',*,*,#171032,.T.); #216546=ORIENTED_EDGE('',*,*,#171035,.T.); #216547=ORIENTED_EDGE('',*,*,#171038,.T.); #216548=ORIENTED_EDGE('',*,*,#171041,.T.); #216549=ORIENTED_EDGE('',*,*,#171044,.T.); #216550=ORIENTED_EDGE('',*,*,#171047,.T.); #216551=ORIENTED_EDGE('',*,*,#171050,.T.); #216552=ORIENTED_EDGE('',*,*,#171053,.T.); #216553=ORIENTED_EDGE('',*,*,#171056,.T.); #216554=ORIENTED_EDGE('',*,*,#171059,.T.); #216555=ORIENTED_EDGE('',*,*,#171062,.T.); #216556=ORIENTED_EDGE('',*,*,#171065,.T.); #216557=ORIENTED_EDGE('',*,*,#171068,.T.); #216558=ORIENTED_EDGE('',*,*,#171071,.T.); #216559=ORIENTED_EDGE('',*,*,#171074,.T.); #216560=ORIENTED_EDGE('',*,*,#171077,.T.); #216561=ORIENTED_EDGE('',*,*,#171080,.T.); #216562=ORIENTED_EDGE('',*,*,#171083,.T.); #216563=ORIENTED_EDGE('',*,*,#171086,.T.); #216564=ORIENTED_EDGE('',*,*,#171089,.T.); #216565=ORIENTED_EDGE('',*,*,#171092,.T.); #216566=ORIENTED_EDGE('',*,*,#171095,.T.); #216567=ORIENTED_EDGE('',*,*,#171098,.T.); #216568=ORIENTED_EDGE('',*,*,#171101,.T.); #216569=ORIENTED_EDGE('',*,*,#171104,.T.); #216570=ORIENTED_EDGE('',*,*,#171107,.T.); #216571=ORIENTED_EDGE('',*,*,#171110,.T.); #216572=ORIENTED_EDGE('',*,*,#171113,.T.); #216573=ORIENTED_EDGE('',*,*,#171116,.T.); #216574=ORIENTED_EDGE('',*,*,#171119,.T.); #216575=ORIENTED_EDGE('',*,*,#171122,.T.); #216576=ORIENTED_EDGE('',*,*,#171125,.T.); #216577=ORIENTED_EDGE('',*,*,#171128,.T.); #216578=ORIENTED_EDGE('',*,*,#171131,.T.); #216579=ORIENTED_EDGE('',*,*,#171134,.T.); #216580=ORIENTED_EDGE('',*,*,#171137,.T.); #216581=ORIENTED_EDGE('',*,*,#171140,.T.); #216582=ORIENTED_EDGE('',*,*,#171143,.T.); #216583=ORIENTED_EDGE('',*,*,#171146,.T.); #216584=ORIENTED_EDGE('',*,*,#171149,.T.); #216585=ORIENTED_EDGE('',*,*,#171152,.T.); #216586=ORIENTED_EDGE('',*,*,#171155,.T.); #216587=ORIENTED_EDGE('',*,*,#171158,.T.); #216588=ORIENTED_EDGE('',*,*,#171161,.T.); #216589=ORIENTED_EDGE('',*,*,#171164,.T.); #216590=ORIENTED_EDGE('',*,*,#171167,.T.); #216591=ORIENTED_EDGE('',*,*,#171170,.T.); #216592=ORIENTED_EDGE('',*,*,#171173,.T.); #216593=ORIENTED_EDGE('',*,*,#171176,.T.); #216594=ORIENTED_EDGE('',*,*,#171179,.T.); #216595=ORIENTED_EDGE('',*,*,#171182,.T.); #216596=ORIENTED_EDGE('',*,*,#171185,.T.); #216597=ORIENTED_EDGE('',*,*,#171188,.T.); #216598=ORIENTED_EDGE('',*,*,#171191,.T.); #216599=ORIENTED_EDGE('',*,*,#171194,.T.); #216600=ORIENTED_EDGE('',*,*,#171197,.T.); #216601=ORIENTED_EDGE('',*,*,#171200,.T.); #216602=ORIENTED_EDGE('',*,*,#171203,.T.); #216603=ORIENTED_EDGE('',*,*,#171206,.F.); #216604=ORIENTED_EDGE('',*,*,#170201,.T.); #216605=ORIENTED_EDGE('',*,*,#170204,.T.); #216606=ORIENTED_EDGE('',*,*,#170205,.T.); #216607=ORIENTED_EDGE('',*,*,#170208,.T.); #216608=ORIENTED_EDGE('',*,*,#170211,.T.); #216609=ORIENTED_EDGE('',*,*,#170214,.T.); #216610=ORIENTED_EDGE('',*,*,#170217,.T.); #216611=ORIENTED_EDGE('',*,*,#170220,.T.); #216612=ORIENTED_EDGE('',*,*,#170223,.T.); #216613=ORIENTED_EDGE('',*,*,#170226,.T.); #216614=ORIENTED_EDGE('',*,*,#170229,.T.); #216615=ORIENTED_EDGE('',*,*,#170232,.T.); #216616=ORIENTED_EDGE('',*,*,#170235,.T.); #216617=ORIENTED_EDGE('',*,*,#170238,.T.); #216618=ORIENTED_EDGE('',*,*,#170241,.T.); #216619=ORIENTED_EDGE('',*,*,#170244,.T.); #216620=ORIENTED_EDGE('',*,*,#170247,.T.); #216621=ORIENTED_EDGE('',*,*,#170250,.T.); #216622=ORIENTED_EDGE('',*,*,#170253,.T.); #216623=ORIENTED_EDGE('',*,*,#170256,.T.); #216624=ORIENTED_EDGE('',*,*,#170259,.T.); #216625=ORIENTED_EDGE('',*,*,#170262,.T.); #216626=ORIENTED_EDGE('',*,*,#170265,.T.); #216627=ORIENTED_EDGE('',*,*,#170268,.T.); #216628=ORIENTED_EDGE('',*,*,#170271,.T.); #216629=ORIENTED_EDGE('',*,*,#170274,.T.); #216630=ORIENTED_EDGE('',*,*,#170277,.T.); #216631=ORIENTED_EDGE('',*,*,#170280,.T.); #216632=ORIENTED_EDGE('',*,*,#170283,.T.); #216633=ORIENTED_EDGE('',*,*,#170286,.T.); #216634=ORIENTED_EDGE('',*,*,#170289,.T.); #216635=ORIENTED_EDGE('',*,*,#170292,.T.); #216636=ORIENTED_EDGE('',*,*,#170295,.T.); #216637=ORIENTED_EDGE('',*,*,#170298,.T.); #216638=ORIENTED_EDGE('',*,*,#170301,.T.); #216639=ORIENTED_EDGE('',*,*,#170304,.T.); #216640=ORIENTED_EDGE('',*,*,#170307,.T.); #216641=ORIENTED_EDGE('',*,*,#170310,.T.); #216642=ORIENTED_EDGE('',*,*,#170313,.T.); #216643=ORIENTED_EDGE('',*,*,#170316,.T.); #216644=ORIENTED_EDGE('',*,*,#170319,.T.); #216645=ORIENTED_EDGE('',*,*,#170322,.T.); #216646=ORIENTED_EDGE('',*,*,#170325,.T.); #216647=ORIENTED_EDGE('',*,*,#170328,.T.); #216648=ORIENTED_EDGE('',*,*,#170331,.T.); #216649=ORIENTED_EDGE('',*,*,#170334,.T.); #216650=ORIENTED_EDGE('',*,*,#170337,.T.); #216651=ORIENTED_EDGE('',*,*,#170340,.T.); #216652=ORIENTED_EDGE('',*,*,#170343,.T.); #216653=ORIENTED_EDGE('',*,*,#170346,.T.); #216654=ORIENTED_EDGE('',*,*,#170349,.T.); #216655=ORIENTED_EDGE('',*,*,#170352,.T.); #216656=ORIENTED_EDGE('',*,*,#170355,.T.); #216657=ORIENTED_EDGE('',*,*,#170358,.T.); #216658=ORIENTED_EDGE('',*,*,#170361,.T.); #216659=ORIENTED_EDGE('',*,*,#170364,.T.); #216660=ORIENTED_EDGE('',*,*,#170367,.T.); #216661=ORIENTED_EDGE('',*,*,#170370,.T.); #216662=ORIENTED_EDGE('',*,*,#170373,.T.); #216663=ORIENTED_EDGE('',*,*,#170376,.T.); #216664=ORIENTED_EDGE('',*,*,#170379,.T.); #216665=ORIENTED_EDGE('',*,*,#170382,.T.); #216666=ORIENTED_EDGE('',*,*,#170385,.T.); #216667=ORIENTED_EDGE('',*,*,#170388,.T.); #216668=ORIENTED_EDGE('',*,*,#170391,.T.); #216669=ORIENTED_EDGE('',*,*,#170394,.T.); #216670=ORIENTED_EDGE('',*,*,#170397,.T.); #216671=ORIENTED_EDGE('',*,*,#170400,.T.); #216672=ORIENTED_EDGE('',*,*,#170403,.T.); #216673=ORIENTED_EDGE('',*,*,#170406,.T.); #216674=ORIENTED_EDGE('',*,*,#170409,.T.); #216675=ORIENTED_EDGE('',*,*,#170412,.T.); #216676=ORIENTED_EDGE('',*,*,#170415,.T.); #216677=ORIENTED_EDGE('',*,*,#170418,.T.); #216678=ORIENTED_EDGE('',*,*,#170421,.T.); #216679=ORIENTED_EDGE('',*,*,#170424,.T.); #216680=ORIENTED_EDGE('',*,*,#170427,.T.); #216681=ORIENTED_EDGE('',*,*,#170430,.T.); #216682=ORIENTED_EDGE('',*,*,#170433,.T.); #216683=ORIENTED_EDGE('',*,*,#170436,.T.); #216684=ORIENTED_EDGE('',*,*,#170439,.T.); #216685=ORIENTED_EDGE('',*,*,#170442,.T.); #216686=ORIENTED_EDGE('',*,*,#170445,.T.); #216687=ORIENTED_EDGE('',*,*,#170448,.T.); #216688=ORIENTED_EDGE('',*,*,#170451,.T.); #216689=ORIENTED_EDGE('',*,*,#170454,.T.); #216690=ORIENTED_EDGE('',*,*,#170457,.T.); #216691=ORIENTED_EDGE('',*,*,#170460,.T.); #216692=ORIENTED_EDGE('',*,*,#170463,.T.); #216693=ORIENTED_EDGE('',*,*,#170466,.T.); #216694=ORIENTED_EDGE('',*,*,#170469,.T.); #216695=ORIENTED_EDGE('',*,*,#170472,.T.); #216696=ORIENTED_EDGE('',*,*,#170475,.T.); #216697=ORIENTED_EDGE('',*,*,#170478,.T.); #216698=ORIENTED_EDGE('',*,*,#170481,.T.); #216699=ORIENTED_EDGE('',*,*,#170484,.T.); #216700=ORIENTED_EDGE('',*,*,#170487,.T.); #216701=ORIENTED_EDGE('',*,*,#170490,.T.); #216702=ORIENTED_EDGE('',*,*,#170493,.T.); #216703=ORIENTED_EDGE('',*,*,#170496,.T.); #216704=ORIENTED_EDGE('',*,*,#170499,.T.); #216705=ORIENTED_EDGE('',*,*,#170502,.T.); #216706=ORIENTED_EDGE('',*,*,#170505,.T.); #216707=ORIENTED_EDGE('',*,*,#170508,.T.); #216708=ORIENTED_EDGE('',*,*,#170511,.T.); #216709=ORIENTED_EDGE('',*,*,#170514,.T.); #216710=ORIENTED_EDGE('',*,*,#170517,.T.); #216711=ORIENTED_EDGE('',*,*,#170520,.T.); #216712=ORIENTED_EDGE('',*,*,#170523,.T.); #216713=ORIENTED_EDGE('',*,*,#170526,.T.); #216714=ORIENTED_EDGE('',*,*,#170529,.T.); #216715=ORIENTED_EDGE('',*,*,#170532,.T.); #216716=ORIENTED_EDGE('',*,*,#170535,.T.); #216717=ORIENTED_EDGE('',*,*,#170538,.T.); #216718=ORIENTED_EDGE('',*,*,#170541,.T.); #216719=ORIENTED_EDGE('',*,*,#170544,.T.); #216720=ORIENTED_EDGE('',*,*,#170547,.T.); #216721=ORIENTED_EDGE('',*,*,#170550,.T.); #216722=ORIENTED_EDGE('',*,*,#170553,.T.); #216723=ORIENTED_EDGE('',*,*,#170556,.T.); #216724=ORIENTED_EDGE('',*,*,#170559,.T.); #216725=ORIENTED_EDGE('',*,*,#170562,.T.); #216726=ORIENTED_EDGE('',*,*,#170565,.T.); #216727=ORIENTED_EDGE('',*,*,#170568,.T.); #216728=ORIENTED_EDGE('',*,*,#170571,.T.); #216729=ORIENTED_EDGE('',*,*,#170574,.T.); #216730=ORIENTED_EDGE('',*,*,#170577,.T.); #216731=ORIENTED_EDGE('',*,*,#170580,.T.); #216732=ORIENTED_EDGE('',*,*,#170583,.T.); #216733=ORIENTED_EDGE('',*,*,#170586,.T.); #216734=ORIENTED_EDGE('',*,*,#170589,.T.); #216735=ORIENTED_EDGE('',*,*,#170592,.T.); #216736=ORIENTED_EDGE('',*,*,#170595,.T.); #216737=ORIENTED_EDGE('',*,*,#170598,.T.); #216738=ORIENTED_EDGE('',*,*,#170601,.T.); #216739=ORIENTED_EDGE('',*,*,#170604,.T.); #216740=ORIENTED_EDGE('',*,*,#170607,.T.); #216741=ORIENTED_EDGE('',*,*,#170610,.T.); #216742=ORIENTED_EDGE('',*,*,#170613,.T.); #216743=ORIENTED_EDGE('',*,*,#170616,.T.); #216744=ORIENTED_EDGE('',*,*,#170619,.T.); #216745=ORIENTED_EDGE('',*,*,#170622,.T.); #216746=ORIENTED_EDGE('',*,*,#170625,.T.); #216747=ORIENTED_EDGE('',*,*,#170628,.T.); #216748=ORIENTED_EDGE('',*,*,#170631,.T.); #216749=ORIENTED_EDGE('',*,*,#170634,.T.); #216750=ORIENTED_EDGE('',*,*,#170637,.T.); #216751=ORIENTED_EDGE('',*,*,#170640,.T.); #216752=ORIENTED_EDGE('',*,*,#170643,.T.); #216753=ORIENTED_EDGE('',*,*,#170646,.T.); #216754=ORIENTED_EDGE('',*,*,#170649,.T.); #216755=ORIENTED_EDGE('',*,*,#170652,.T.); #216756=ORIENTED_EDGE('',*,*,#170655,.T.); #216757=ORIENTED_EDGE('',*,*,#170658,.T.); #216758=ORIENTED_EDGE('',*,*,#170661,.T.); #216759=ORIENTED_EDGE('',*,*,#170664,.T.); #216760=ORIENTED_EDGE('',*,*,#170667,.T.); #216761=ORIENTED_EDGE('',*,*,#170670,.T.); #216762=ORIENTED_EDGE('',*,*,#170673,.T.); #216763=ORIENTED_EDGE('',*,*,#170676,.T.); #216764=ORIENTED_EDGE('',*,*,#170679,.T.); #216765=ORIENTED_EDGE('',*,*,#170682,.T.); #216766=ORIENTED_EDGE('',*,*,#170685,.T.); #216767=ORIENTED_EDGE('',*,*,#170696,.T.); #216768=ORIENTED_EDGE('',*,*,#170693,.T.); #216769=ORIENTED_EDGE('',*,*,#170690,.T.); #216770=ORIENTED_EDGE('',*,*,#170699,.T.); #216771=ORIENTED_EDGE('',*,*,#170700,.T.); #216772=ORIENTED_EDGE('',*,*,#170711,.T.); #216773=ORIENTED_EDGE('',*,*,#170708,.T.); #216774=ORIENTED_EDGE('',*,*,#170705,.T.); #216775=ORIENTED_EDGE('',*,*,#170714,.T.); #216776=ORIENTED_EDGE('',*,*,#170715,.T.); #216777=ORIENTED_EDGE('',*,*,#170718,.T.); #216778=ORIENTED_EDGE('',*,*,#170721,.T.); #216779=ORIENTED_EDGE('',*,*,#170732,.T.); #216780=ORIENTED_EDGE('',*,*,#170729,.T.); #216781=ORIENTED_EDGE('',*,*,#170726,.T.); #216782=ORIENTED_EDGE('',*,*,#170735,.T.); #216783=ORIENTED_EDGE('',*,*,#170736,.T.); #216784=ORIENTED_EDGE('',*,*,#170739,.T.); #216785=ORIENTED_EDGE('',*,*,#170742,.T.); #216786=ORIENTED_EDGE('',*,*,#170745,.T.); #216787=ORIENTED_EDGE('',*,*,#170748,.T.); #216788=ORIENTED_EDGE('',*,*,#170751,.T.); #216789=ORIENTED_EDGE('',*,*,#170754,.T.); #216790=ORIENTED_EDGE('',*,*,#170757,.T.); #216791=ORIENTED_EDGE('',*,*,#170760,.T.); #216792=ORIENTED_EDGE('',*,*,#170763,.T.); #216793=ORIENTED_EDGE('',*,*,#170774,.T.); #216794=ORIENTED_EDGE('',*,*,#170771,.T.); #216795=ORIENTED_EDGE('',*,*,#170768,.T.); #216796=ORIENTED_EDGE('',*,*,#170777,.T.); #216797=ORIENTED_EDGE('',*,*,#170778,.T.); #216798=ORIENTED_EDGE('',*,*,#170789,.T.); #216799=ORIENTED_EDGE('',*,*,#170786,.T.); #216800=ORIENTED_EDGE('',*,*,#170783,.T.); #216801=ORIENTED_EDGE('',*,*,#170792,.T.); #216802=ORIENTED_EDGE('',*,*,#170793,.T.); #216803=ORIENTED_EDGE('',*,*,#170804,.T.); #216804=ORIENTED_EDGE('',*,*,#170801,.T.); #216805=ORIENTED_EDGE('',*,*,#170798,.T.); #216806=ORIENTED_EDGE('',*,*,#170807,.T.); #216807=ORIENTED_EDGE('',*,*,#170808,.T.); #216808=ORIENTED_EDGE('',*,*,#170811,.T.); #216809=ORIENTED_EDGE('',*,*,#170814,.T.); #216810=ORIENTED_EDGE('',*,*,#170817,.T.); #216811=ORIENTED_EDGE('',*,*,#170820,.T.); #216812=ORIENTED_EDGE('',*,*,#170823,.T.); #216813=ORIENTED_EDGE('',*,*,#170826,.T.); #216814=ORIENTED_EDGE('',*,*,#170829,.T.); #216815=ORIENTED_EDGE('',*,*,#170832,.T.); #216816=ORIENTED_EDGE('',*,*,#170835,.T.); #216817=ORIENTED_EDGE('',*,*,#170838,.T.); #216818=ORIENTED_EDGE('',*,*,#170841,.T.); #216819=ORIENTED_EDGE('',*,*,#170844,.T.); #216820=ORIENTED_EDGE('',*,*,#170847,.T.); #216821=ORIENTED_EDGE('',*,*,#170850,.T.); #216822=ORIENTED_EDGE('',*,*,#170853,.T.); #216823=ORIENTED_EDGE('',*,*,#170856,.T.); #216824=ORIENTED_EDGE('',*,*,#170859,.T.); #216825=ORIENTED_EDGE('',*,*,#170862,.T.); #216826=ORIENTED_EDGE('',*,*,#170865,.T.); #216827=ORIENTED_EDGE('',*,*,#170868,.T.); #216828=ORIENTED_EDGE('',*,*,#170871,.T.); #216829=ORIENTED_EDGE('',*,*,#170874,.T.); #216830=ORIENTED_EDGE('',*,*,#170877,.T.); #216831=ORIENTED_EDGE('',*,*,#170880,.T.); #216832=ORIENTED_EDGE('',*,*,#170883,.T.); #216833=ORIENTED_EDGE('',*,*,#170886,.T.); #216834=ORIENTED_EDGE('',*,*,#170889,.T.); #216835=ORIENTED_EDGE('',*,*,#170892,.T.); #216836=ORIENTED_EDGE('',*,*,#170895,.T.); #216837=ORIENTED_EDGE('',*,*,#170898,.T.); #216838=ORIENTED_EDGE('',*,*,#170901,.T.); #216839=ORIENTED_EDGE('',*,*,#170904,.T.); #216840=ORIENTED_EDGE('',*,*,#170907,.T.); #216841=ORIENTED_EDGE('',*,*,#170910,.T.); #216842=ORIENTED_EDGE('',*,*,#170913,.T.); #216843=ORIENTED_EDGE('',*,*,#170916,.T.); #216844=ORIENTED_EDGE('',*,*,#170919,.T.); #216845=ORIENTED_EDGE('',*,*,#170922,.T.); #216846=ORIENTED_EDGE('',*,*,#170925,.T.); #216847=ORIENTED_EDGE('',*,*,#170928,.T.); #216848=ORIENTED_EDGE('',*,*,#170931,.T.); #216849=ORIENTED_EDGE('',*,*,#170934,.T.); #216850=ORIENTED_EDGE('',*,*,#170937,.T.); #216851=ORIENTED_EDGE('',*,*,#170940,.T.); #216852=ORIENTED_EDGE('',*,*,#170943,.T.); #216853=ORIENTED_EDGE('',*,*,#170946,.T.); #216854=ORIENTED_EDGE('',*,*,#170949,.T.); #216855=ORIENTED_EDGE('',*,*,#170952,.T.); #216856=ORIENTED_EDGE('',*,*,#170955,.T.); #216857=ORIENTED_EDGE('',*,*,#170958,.T.); #216858=ORIENTED_EDGE('',*,*,#170961,.T.); #216859=ORIENTED_EDGE('',*,*,#170964,.T.); #216860=ORIENTED_EDGE('',*,*,#170967,.T.); #216861=ORIENTED_EDGE('',*,*,#170970,.T.); #216862=ORIENTED_EDGE('',*,*,#170973,.T.); #216863=ORIENTED_EDGE('',*,*,#170976,.T.); #216864=ORIENTED_EDGE('',*,*,#170979,.T.); #216865=ORIENTED_EDGE('',*,*,#170982,.T.); #216866=ORIENTED_EDGE('',*,*,#170985,.T.); #216867=ORIENTED_EDGE('',*,*,#170988,.T.); #216868=ORIENTED_EDGE('',*,*,#170991,.T.); #216869=ORIENTED_EDGE('',*,*,#170994,.T.); #216870=ORIENTED_EDGE('',*,*,#170997,.T.); #216871=ORIENTED_EDGE('',*,*,#171000,.T.); #216872=ORIENTED_EDGE('',*,*,#171003,.T.); #216873=ORIENTED_EDGE('',*,*,#171006,.T.); #216874=ORIENTED_EDGE('',*,*,#171009,.T.); #216875=ORIENTED_EDGE('',*,*,#171012,.T.); #216876=ORIENTED_EDGE('',*,*,#171015,.T.); #216877=ORIENTED_EDGE('',*,*,#171018,.T.); #216878=ORIENTED_EDGE('',*,*,#171021,.T.); #216879=ORIENTED_EDGE('',*,*,#171024,.T.); #216880=ORIENTED_EDGE('',*,*,#171027,.T.); #216881=ORIENTED_EDGE('',*,*,#171030,.T.); #216882=ORIENTED_EDGE('',*,*,#171033,.T.); #216883=ORIENTED_EDGE('',*,*,#171036,.T.); #216884=ORIENTED_EDGE('',*,*,#171039,.T.); #216885=ORIENTED_EDGE('',*,*,#171042,.T.); #216886=ORIENTED_EDGE('',*,*,#171045,.T.); #216887=ORIENTED_EDGE('',*,*,#171048,.T.); #216888=ORIENTED_EDGE('',*,*,#171051,.T.); #216889=ORIENTED_EDGE('',*,*,#171054,.T.); #216890=ORIENTED_EDGE('',*,*,#171057,.T.); #216891=ORIENTED_EDGE('',*,*,#171060,.T.); #216892=ORIENTED_EDGE('',*,*,#171063,.T.); #216893=ORIENTED_EDGE('',*,*,#171066,.T.); #216894=ORIENTED_EDGE('',*,*,#171069,.T.); #216895=ORIENTED_EDGE('',*,*,#171072,.T.); #216896=ORIENTED_EDGE('',*,*,#171075,.T.); #216897=ORIENTED_EDGE('',*,*,#171078,.T.); #216898=ORIENTED_EDGE('',*,*,#171081,.T.); #216899=ORIENTED_EDGE('',*,*,#171084,.T.); #216900=ORIENTED_EDGE('',*,*,#171087,.T.); #216901=ORIENTED_EDGE('',*,*,#171090,.T.); #216902=ORIENTED_EDGE('',*,*,#171093,.T.); #216903=ORIENTED_EDGE('',*,*,#171096,.T.); #216904=ORIENTED_EDGE('',*,*,#171099,.T.); #216905=ORIENTED_EDGE('',*,*,#171102,.T.); #216906=ORIENTED_EDGE('',*,*,#171105,.T.); #216907=ORIENTED_EDGE('',*,*,#171108,.T.); #216908=ORIENTED_EDGE('',*,*,#171111,.T.); #216909=ORIENTED_EDGE('',*,*,#171114,.T.); #216910=ORIENTED_EDGE('',*,*,#171117,.T.); #216911=ORIENTED_EDGE('',*,*,#171120,.T.); #216912=ORIENTED_EDGE('',*,*,#171123,.T.); #216913=ORIENTED_EDGE('',*,*,#171126,.T.); #216914=ORIENTED_EDGE('',*,*,#171129,.T.); #216915=ORIENTED_EDGE('',*,*,#171132,.T.); #216916=ORIENTED_EDGE('',*,*,#171135,.T.); #216917=ORIENTED_EDGE('',*,*,#171138,.T.); #216918=ORIENTED_EDGE('',*,*,#171141,.T.); #216919=ORIENTED_EDGE('',*,*,#171144,.T.); #216920=ORIENTED_EDGE('',*,*,#171147,.T.); #216921=ORIENTED_EDGE('',*,*,#171150,.T.); #216922=ORIENTED_EDGE('',*,*,#171153,.T.); #216923=ORIENTED_EDGE('',*,*,#171156,.T.); #216924=ORIENTED_EDGE('',*,*,#171159,.T.); #216925=ORIENTED_EDGE('',*,*,#171162,.T.); #216926=ORIENTED_EDGE('',*,*,#171165,.T.); #216927=ORIENTED_EDGE('',*,*,#171168,.T.); #216928=ORIENTED_EDGE('',*,*,#171171,.T.); #216929=ORIENTED_EDGE('',*,*,#171174,.T.); #216930=ORIENTED_EDGE('',*,*,#171177,.T.); #216931=ORIENTED_EDGE('',*,*,#171180,.T.); #216932=ORIENTED_EDGE('',*,*,#171183,.T.); #216933=ORIENTED_EDGE('',*,*,#171186,.T.); #216934=ORIENTED_EDGE('',*,*,#171189,.T.); #216935=ORIENTED_EDGE('',*,*,#171192,.T.); #216936=ORIENTED_EDGE('',*,*,#171195,.T.); #216937=ORIENTED_EDGE('',*,*,#171198,.T.); #216938=ORIENTED_EDGE('',*,*,#171201,.T.); #216939=ORIENTED_EDGE('',*,*,#171207,.F.); #216940=ORIENTED_EDGE('',*,*,#171208,.T.); #216941=ORIENTED_EDGE('',*,*,#171209,.F.); #216942=ORIENTED_EDGE('',*,*,#171208,.F.); #216943=ORIENTED_EDGE('',*,*,#171210,.F.); #216944=ORIENTED_EDGE('',*,*,#171211,.T.); #216945=ORIENTED_EDGE('',*,*,#171212,.T.); #216946=ORIENTED_EDGE('',*,*,#171211,.F.); #216947=ORIENTED_EDGE('',*,*,#171210,.T.); #216948=ORIENTED_EDGE('',*,*,#171207,.T.); #216949=ORIENTED_EDGE('',*,*,#171212,.F.); #216950=ORIENTED_EDGE('',*,*,#171209,.T.); #216951=ORIENTED_EDGE('',*,*,#171213,.F.); #216952=ORIENTED_EDGE('',*,*,#171214,.T.); #216953=ORIENTED_EDGE('',*,*,#171215,.F.); #216954=ORIENTED_EDGE('',*,*,#171214,.F.); #216955=ORIENTED_EDGE('',*,*,#171216,.F.); #216956=ORIENTED_EDGE('',*,*,#171217,.T.); #216957=ORIENTED_EDGE('',*,*,#171218,.T.); #216958=ORIENTED_EDGE('',*,*,#171217,.F.); #216959=ORIENTED_EDGE('',*,*,#171216,.T.); #216960=ORIENTED_EDGE('',*,*,#171213,.T.); #216961=ORIENTED_EDGE('',*,*,#171218,.F.); #216962=ORIENTED_EDGE('',*,*,#171215,.T.); #216963=ORIENTED_EDGE('',*,*,#171219,.F.); #216964=ORIENTED_EDGE('',*,*,#171220,.T.); #216965=ORIENTED_EDGE('',*,*,#171221,.F.); #216966=ORIENTED_EDGE('',*,*,#171220,.F.); #216967=ORIENTED_EDGE('',*,*,#171222,.F.); #216968=ORIENTED_EDGE('',*,*,#171223,.T.); #216969=ORIENTED_EDGE('',*,*,#171224,.T.); #216970=ORIENTED_EDGE('',*,*,#171223,.F.); #216971=ORIENTED_EDGE('',*,*,#171222,.T.); #216972=ORIENTED_EDGE('',*,*,#171219,.T.); #216973=ORIENTED_EDGE('',*,*,#171224,.F.); #216974=ORIENTED_EDGE('',*,*,#171221,.T.); #216975=ORIENTED_EDGE('',*,*,#171225,.F.); #216976=ORIENTED_EDGE('',*,*,#171226,.T.); #216977=ORIENTED_EDGE('',*,*,#171227,.F.); #216978=ORIENTED_EDGE('',*,*,#171226,.F.); #216979=ORIENTED_EDGE('',*,*,#171228,.F.); #216980=ORIENTED_EDGE('',*,*,#171229,.T.); #216981=ORIENTED_EDGE('',*,*,#171230,.T.); #216982=ORIENTED_EDGE('',*,*,#171229,.F.); #216983=ORIENTED_EDGE('',*,*,#171228,.T.); #216984=ORIENTED_EDGE('',*,*,#171225,.T.); #216985=ORIENTED_EDGE('',*,*,#171230,.F.); #216986=ORIENTED_EDGE('',*,*,#171227,.T.); #216987=ORIENTED_EDGE('',*,*,#171231,.F.); #216988=ORIENTED_EDGE('',*,*,#171232,.T.); #216989=ORIENTED_EDGE('',*,*,#171233,.F.); #216990=ORIENTED_EDGE('',*,*,#171232,.F.); #216991=ORIENTED_EDGE('',*,*,#171234,.F.); #216992=ORIENTED_EDGE('',*,*,#171235,.T.); #216993=ORIENTED_EDGE('',*,*,#171236,.T.); #216994=ORIENTED_EDGE('',*,*,#171235,.F.); #216995=ORIENTED_EDGE('',*,*,#171234,.T.); #216996=ORIENTED_EDGE('',*,*,#171231,.T.); #216997=ORIENTED_EDGE('',*,*,#171236,.F.); #216998=ORIENTED_EDGE('',*,*,#171233,.T.); #216999=ORIENTED_EDGE('',*,*,#171237,.F.); #217000=ORIENTED_EDGE('',*,*,#171238,.T.); #217001=ORIENTED_EDGE('',*,*,#171239,.F.); #217002=ORIENTED_EDGE('',*,*,#171238,.F.); #217003=ORIENTED_EDGE('',*,*,#171240,.F.); #217004=ORIENTED_EDGE('',*,*,#171241,.T.); #217005=ORIENTED_EDGE('',*,*,#171242,.T.); #217006=ORIENTED_EDGE('',*,*,#171241,.F.); #217007=ORIENTED_EDGE('',*,*,#171240,.T.); #217008=ORIENTED_EDGE('',*,*,#171237,.T.); #217009=ORIENTED_EDGE('',*,*,#171242,.F.); #217010=ORIENTED_EDGE('',*,*,#171239,.T.); #217011=ORIENTED_EDGE('',*,*,#171243,.F.); #217012=ORIENTED_EDGE('',*,*,#171244,.T.); #217013=ORIENTED_EDGE('',*,*,#171245,.F.); #217014=ORIENTED_EDGE('',*,*,#171244,.F.); #217015=ORIENTED_EDGE('',*,*,#171246,.F.); #217016=ORIENTED_EDGE('',*,*,#171247,.T.); #217017=ORIENTED_EDGE('',*,*,#171248,.T.); #217018=ORIENTED_EDGE('',*,*,#171247,.F.); #217019=ORIENTED_EDGE('',*,*,#171246,.T.); #217020=ORIENTED_EDGE('',*,*,#171243,.T.); #217021=ORIENTED_EDGE('',*,*,#171248,.F.); #217022=ORIENTED_EDGE('',*,*,#171245,.T.); #217023=ORIENTED_EDGE('',*,*,#171249,.F.); #217024=ORIENTED_EDGE('',*,*,#171250,.T.); #217025=ORIENTED_EDGE('',*,*,#171251,.F.); #217026=ORIENTED_EDGE('',*,*,#171250,.F.); #217027=ORIENTED_EDGE('',*,*,#171252,.F.); #217028=ORIENTED_EDGE('',*,*,#171253,.T.); #217029=ORIENTED_EDGE('',*,*,#171254,.T.); #217030=ORIENTED_EDGE('',*,*,#171253,.F.); #217031=ORIENTED_EDGE('',*,*,#171252,.T.); #217032=ORIENTED_EDGE('',*,*,#171249,.T.); #217033=ORIENTED_EDGE('',*,*,#171254,.F.); #217034=ORIENTED_EDGE('',*,*,#171251,.T.); #217035=ORIENTED_EDGE('',*,*,#171255,.F.); #217036=ORIENTED_EDGE('',*,*,#171256,.T.); #217037=ORIENTED_EDGE('',*,*,#171257,.F.); #217038=ORIENTED_EDGE('',*,*,#171256,.F.); #217039=ORIENTED_EDGE('',*,*,#171258,.F.); #217040=ORIENTED_EDGE('',*,*,#171259,.T.); #217041=ORIENTED_EDGE('',*,*,#171260,.T.); #217042=ORIENTED_EDGE('',*,*,#171259,.F.); #217043=ORIENTED_EDGE('',*,*,#171258,.T.); #217044=ORIENTED_EDGE('',*,*,#171255,.T.); #217045=ORIENTED_EDGE('',*,*,#171260,.F.); #217046=ORIENTED_EDGE('',*,*,#171257,.T.); #217047=ORIENTED_EDGE('',*,*,#171261,.F.); #217048=ORIENTED_EDGE('',*,*,#171262,.T.); #217049=ORIENTED_EDGE('',*,*,#171263,.F.); #217050=ORIENTED_EDGE('',*,*,#171262,.F.); #217051=ORIENTED_EDGE('',*,*,#171264,.F.); #217052=ORIENTED_EDGE('',*,*,#171265,.T.); #217053=ORIENTED_EDGE('',*,*,#171266,.T.); #217054=ORIENTED_EDGE('',*,*,#171265,.F.); #217055=ORIENTED_EDGE('',*,*,#171264,.T.); #217056=ORIENTED_EDGE('',*,*,#171261,.T.); #217057=ORIENTED_EDGE('',*,*,#171266,.F.); #217058=ORIENTED_EDGE('',*,*,#171263,.T.); #217059=ORIENTED_EDGE('',*,*,#171267,.F.); #217060=ORIENTED_EDGE('',*,*,#171268,.T.); #217061=ORIENTED_EDGE('',*,*,#171269,.F.); #217062=ORIENTED_EDGE('',*,*,#171268,.F.); #217063=ORIENTED_EDGE('',*,*,#171270,.F.); #217064=ORIENTED_EDGE('',*,*,#171271,.T.); #217065=ORIENTED_EDGE('',*,*,#171272,.T.); #217066=ORIENTED_EDGE('',*,*,#171271,.F.); #217067=ORIENTED_EDGE('',*,*,#171270,.T.); #217068=ORIENTED_EDGE('',*,*,#171267,.T.); #217069=ORIENTED_EDGE('',*,*,#171272,.F.); #217070=ORIENTED_EDGE('',*,*,#171269,.T.); #217071=ORIENTED_EDGE('',*,*,#171273,.F.); #217072=ORIENTED_EDGE('',*,*,#171274,.T.); #217073=ORIENTED_EDGE('',*,*,#171275,.F.); #217074=ORIENTED_EDGE('',*,*,#171274,.F.); #217075=ORIENTED_EDGE('',*,*,#171276,.F.); #217076=ORIENTED_EDGE('',*,*,#171277,.T.); #217077=ORIENTED_EDGE('',*,*,#171278,.T.); #217078=ORIENTED_EDGE('',*,*,#171277,.F.); #217079=ORIENTED_EDGE('',*,*,#171276,.T.); #217080=ORIENTED_EDGE('',*,*,#171273,.T.); #217081=ORIENTED_EDGE('',*,*,#171278,.F.); #217082=ORIENTED_EDGE('',*,*,#171275,.T.); #217083=ORIENTED_EDGE('',*,*,#171279,.F.); #217084=ORIENTED_EDGE('',*,*,#171280,.T.); #217085=ORIENTED_EDGE('',*,*,#171281,.F.); #217086=ORIENTED_EDGE('',*,*,#171280,.F.); #217087=ORIENTED_EDGE('',*,*,#171282,.F.); #217088=ORIENTED_EDGE('',*,*,#171283,.T.); #217089=ORIENTED_EDGE('',*,*,#171284,.T.); #217090=ORIENTED_EDGE('',*,*,#171283,.F.); #217091=ORIENTED_EDGE('',*,*,#171282,.T.); #217092=ORIENTED_EDGE('',*,*,#171279,.T.); #217093=ORIENTED_EDGE('',*,*,#171284,.F.); #217094=ORIENTED_EDGE('',*,*,#171281,.T.); #217095=ORIENTED_EDGE('',*,*,#171285,.F.); #217096=ORIENTED_EDGE('',*,*,#171286,.T.); #217097=ORIENTED_EDGE('',*,*,#171287,.F.); #217098=ORIENTED_EDGE('',*,*,#171286,.F.); #217099=ORIENTED_EDGE('',*,*,#171288,.F.); #217100=ORIENTED_EDGE('',*,*,#171289,.T.); #217101=ORIENTED_EDGE('',*,*,#171290,.T.); #217102=ORIENTED_EDGE('',*,*,#171289,.F.); #217103=ORIENTED_EDGE('',*,*,#171288,.T.); #217104=ORIENTED_EDGE('',*,*,#171285,.T.); #217105=ORIENTED_EDGE('',*,*,#171290,.F.); #217106=ORIENTED_EDGE('',*,*,#171287,.T.); #217107=ORIENTED_EDGE('',*,*,#171291,.F.); #217108=ORIENTED_EDGE('',*,*,#171292,.T.); #217109=ORIENTED_EDGE('',*,*,#171293,.F.); #217110=ORIENTED_EDGE('',*,*,#171292,.F.); #217111=ORIENTED_EDGE('',*,*,#171294,.F.); #217112=ORIENTED_EDGE('',*,*,#171295,.T.); #217113=ORIENTED_EDGE('',*,*,#171296,.T.); #217114=ORIENTED_EDGE('',*,*,#171295,.F.); #217115=ORIENTED_EDGE('',*,*,#171294,.T.); #217116=ORIENTED_EDGE('',*,*,#171291,.T.); #217117=ORIENTED_EDGE('',*,*,#171296,.F.); #217118=ORIENTED_EDGE('',*,*,#171293,.T.); #217119=ORIENTED_EDGE('',*,*,#171297,.F.); #217120=ORIENTED_EDGE('',*,*,#171298,.T.); #217121=ORIENTED_EDGE('',*,*,#171299,.F.); #217122=ORIENTED_EDGE('',*,*,#171298,.F.); #217123=ORIENTED_EDGE('',*,*,#171300,.F.); #217124=ORIENTED_EDGE('',*,*,#171301,.T.); #217125=ORIENTED_EDGE('',*,*,#171302,.T.); #217126=ORIENTED_EDGE('',*,*,#171301,.F.); #217127=ORIENTED_EDGE('',*,*,#171300,.T.); #217128=ORIENTED_EDGE('',*,*,#171297,.T.); #217129=ORIENTED_EDGE('',*,*,#171302,.F.); #217130=ORIENTED_EDGE('',*,*,#171299,.T.); #217131=ORIENTED_EDGE('',*,*,#171303,.F.); #217132=ORIENTED_EDGE('',*,*,#171304,.T.); #217133=ORIENTED_EDGE('',*,*,#171305,.F.); #217134=ORIENTED_EDGE('',*,*,#171304,.F.); #217135=ORIENTED_EDGE('',*,*,#171306,.F.); #217136=ORIENTED_EDGE('',*,*,#171307,.T.); #217137=ORIENTED_EDGE('',*,*,#171308,.T.); #217138=ORIENTED_EDGE('',*,*,#171307,.F.); #217139=ORIENTED_EDGE('',*,*,#171306,.T.); #217140=ORIENTED_EDGE('',*,*,#171303,.T.); #217141=ORIENTED_EDGE('',*,*,#171308,.F.); #217142=ORIENTED_EDGE('',*,*,#171305,.T.); #217143=ORIENTED_EDGE('',*,*,#171309,.F.); #217144=ORIENTED_EDGE('',*,*,#171310,.T.); #217145=ORIENTED_EDGE('',*,*,#171311,.F.); #217146=ORIENTED_EDGE('',*,*,#171310,.F.); #217147=ORIENTED_EDGE('',*,*,#171312,.F.); #217148=ORIENTED_EDGE('',*,*,#171313,.T.); #217149=ORIENTED_EDGE('',*,*,#171314,.T.); #217150=ORIENTED_EDGE('',*,*,#171313,.F.); #217151=ORIENTED_EDGE('',*,*,#171312,.T.); #217152=ORIENTED_EDGE('',*,*,#171309,.T.); #217153=ORIENTED_EDGE('',*,*,#171314,.F.); #217154=ORIENTED_EDGE('',*,*,#171311,.T.); #217155=ORIENTED_EDGE('',*,*,#171315,.F.); #217156=ORIENTED_EDGE('',*,*,#171316,.T.); #217157=ORIENTED_EDGE('',*,*,#171317,.F.); #217158=ORIENTED_EDGE('',*,*,#171316,.F.); #217159=ORIENTED_EDGE('',*,*,#171318,.F.); #217160=ORIENTED_EDGE('',*,*,#171319,.T.); #217161=ORIENTED_EDGE('',*,*,#171320,.T.); #217162=ORIENTED_EDGE('',*,*,#171319,.F.); #217163=ORIENTED_EDGE('',*,*,#171318,.T.); #217164=ORIENTED_EDGE('',*,*,#171315,.T.); #217165=ORIENTED_EDGE('',*,*,#171320,.F.); #217166=ORIENTED_EDGE('',*,*,#171317,.T.); #217167=ORIENTED_EDGE('',*,*,#171321,.F.); #217168=ORIENTED_EDGE('',*,*,#171322,.T.); #217169=ORIENTED_EDGE('',*,*,#171323,.F.); #217170=ORIENTED_EDGE('',*,*,#171322,.F.); #217171=ORIENTED_EDGE('',*,*,#171324,.F.); #217172=ORIENTED_EDGE('',*,*,#171325,.T.); #217173=ORIENTED_EDGE('',*,*,#171326,.T.); #217174=ORIENTED_EDGE('',*,*,#171325,.F.); #217175=ORIENTED_EDGE('',*,*,#171324,.T.); #217176=ORIENTED_EDGE('',*,*,#171321,.T.); #217177=ORIENTED_EDGE('',*,*,#171326,.F.); #217178=ORIENTED_EDGE('',*,*,#171323,.T.); #217179=ORIENTED_EDGE('',*,*,#171327,.F.); #217180=ORIENTED_EDGE('',*,*,#171328,.T.); #217181=ORIENTED_EDGE('',*,*,#171329,.F.); #217182=ORIENTED_EDGE('',*,*,#171328,.F.); #217183=ORIENTED_EDGE('',*,*,#171330,.F.); #217184=ORIENTED_EDGE('',*,*,#171331,.T.); #217185=ORIENTED_EDGE('',*,*,#171332,.T.); #217186=ORIENTED_EDGE('',*,*,#171331,.F.); #217187=ORIENTED_EDGE('',*,*,#171330,.T.); #217188=ORIENTED_EDGE('',*,*,#171327,.T.); #217189=ORIENTED_EDGE('',*,*,#171332,.F.); #217190=ORIENTED_EDGE('',*,*,#171329,.T.); #217191=ORIENTED_EDGE('',*,*,#171333,.F.); #217192=ORIENTED_EDGE('',*,*,#171334,.T.); #217193=ORIENTED_EDGE('',*,*,#171335,.F.); #217194=ORIENTED_EDGE('',*,*,#171334,.F.); #217195=ORIENTED_EDGE('',*,*,#171336,.F.); #217196=ORIENTED_EDGE('',*,*,#171337,.T.); #217197=ORIENTED_EDGE('',*,*,#171338,.T.); #217198=ORIENTED_EDGE('',*,*,#171337,.F.); #217199=ORIENTED_EDGE('',*,*,#171336,.T.); #217200=ORIENTED_EDGE('',*,*,#171333,.T.); #217201=ORIENTED_EDGE('',*,*,#171338,.F.); #217202=ORIENTED_EDGE('',*,*,#171335,.T.); #217203=ORIENTED_EDGE('',*,*,#171339,.F.); #217204=ORIENTED_EDGE('',*,*,#171340,.T.); #217205=ORIENTED_EDGE('',*,*,#171341,.F.); #217206=ORIENTED_EDGE('',*,*,#171340,.F.); #217207=ORIENTED_EDGE('',*,*,#171342,.F.); #217208=ORIENTED_EDGE('',*,*,#171343,.T.); #217209=ORIENTED_EDGE('',*,*,#171344,.T.); #217210=ORIENTED_EDGE('',*,*,#171343,.F.); #217211=ORIENTED_EDGE('',*,*,#171342,.T.); #217212=ORIENTED_EDGE('',*,*,#171339,.T.); #217213=ORIENTED_EDGE('',*,*,#171344,.F.); #217214=ORIENTED_EDGE('',*,*,#171341,.T.); #217215=ORIENTED_EDGE('',*,*,#171345,.F.); #217216=ORIENTED_EDGE('',*,*,#171346,.T.); #217217=ORIENTED_EDGE('',*,*,#171347,.F.); #217218=ORIENTED_EDGE('',*,*,#171346,.F.); #217219=ORIENTED_EDGE('',*,*,#171348,.F.); #217220=ORIENTED_EDGE('',*,*,#171349,.T.); #217221=ORIENTED_EDGE('',*,*,#171350,.T.); #217222=ORIENTED_EDGE('',*,*,#171349,.F.); #217223=ORIENTED_EDGE('',*,*,#171348,.T.); #217224=ORIENTED_EDGE('',*,*,#171345,.T.); #217225=ORIENTED_EDGE('',*,*,#171350,.F.); #217226=ORIENTED_EDGE('',*,*,#171347,.T.); #217227=ORIENTED_EDGE('',*,*,#171351,.F.); #217228=ORIENTED_EDGE('',*,*,#171352,.T.); #217229=ORIENTED_EDGE('',*,*,#171353,.F.); #217230=ORIENTED_EDGE('',*,*,#171352,.F.); #217231=ORIENTED_EDGE('',*,*,#171354,.F.); #217232=ORIENTED_EDGE('',*,*,#171355,.T.); #217233=ORIENTED_EDGE('',*,*,#171356,.T.); #217234=ORIENTED_EDGE('',*,*,#171355,.F.); #217235=ORIENTED_EDGE('',*,*,#171354,.T.); #217236=ORIENTED_EDGE('',*,*,#171351,.T.); #217237=ORIENTED_EDGE('',*,*,#171356,.F.); #217238=ORIENTED_EDGE('',*,*,#171353,.T.); #217239=ORIENTED_EDGE('',*,*,#171357,.F.); #217240=ORIENTED_EDGE('',*,*,#171358,.T.); #217241=ORIENTED_EDGE('',*,*,#171359,.F.); #217242=ORIENTED_EDGE('',*,*,#171358,.F.); #217243=ORIENTED_EDGE('',*,*,#171360,.F.); #217244=ORIENTED_EDGE('',*,*,#171361,.T.); #217245=ORIENTED_EDGE('',*,*,#171362,.T.); #217246=ORIENTED_EDGE('',*,*,#171361,.F.); #217247=ORIENTED_EDGE('',*,*,#171360,.T.); #217248=ORIENTED_EDGE('',*,*,#171357,.T.); #217249=ORIENTED_EDGE('',*,*,#171362,.F.); #217250=ORIENTED_EDGE('',*,*,#171359,.T.); #217251=ORIENTED_EDGE('',*,*,#171363,.F.); #217252=ORIENTED_EDGE('',*,*,#171364,.T.); #217253=ORIENTED_EDGE('',*,*,#171365,.F.); #217254=ORIENTED_EDGE('',*,*,#171364,.F.); #217255=ORIENTED_EDGE('',*,*,#171366,.F.); #217256=ORIENTED_EDGE('',*,*,#171367,.T.); #217257=ORIENTED_EDGE('',*,*,#171368,.T.); #217258=ORIENTED_EDGE('',*,*,#171367,.F.); #217259=ORIENTED_EDGE('',*,*,#171366,.T.); #217260=ORIENTED_EDGE('',*,*,#171363,.T.); #217261=ORIENTED_EDGE('',*,*,#171368,.F.); #217262=ORIENTED_EDGE('',*,*,#171365,.T.); #217263=ORIENTED_EDGE('',*,*,#171369,.F.); #217264=ORIENTED_EDGE('',*,*,#171370,.T.); #217265=ORIENTED_EDGE('',*,*,#171371,.F.); #217266=ORIENTED_EDGE('',*,*,#171370,.F.); #217267=ORIENTED_EDGE('',*,*,#171372,.F.); #217268=ORIENTED_EDGE('',*,*,#171373,.T.); #217269=ORIENTED_EDGE('',*,*,#171374,.T.); #217270=ORIENTED_EDGE('',*,*,#171373,.F.); #217271=ORIENTED_EDGE('',*,*,#171372,.T.); #217272=ORIENTED_EDGE('',*,*,#171369,.T.); #217273=ORIENTED_EDGE('',*,*,#171374,.F.); #217274=ORIENTED_EDGE('',*,*,#171371,.T.); #217275=ORIENTED_EDGE('',*,*,#171375,.F.); #217276=ORIENTED_EDGE('',*,*,#171376,.T.); #217277=ORIENTED_EDGE('',*,*,#171377,.F.); #217278=ORIENTED_EDGE('',*,*,#171376,.F.); #217279=ORIENTED_EDGE('',*,*,#171378,.F.); #217280=ORIENTED_EDGE('',*,*,#171379,.T.); #217281=ORIENTED_EDGE('',*,*,#171380,.T.); #217282=ORIENTED_EDGE('',*,*,#171379,.F.); #217283=ORIENTED_EDGE('',*,*,#171378,.T.); #217284=ORIENTED_EDGE('',*,*,#171375,.T.); #217285=ORIENTED_EDGE('',*,*,#171380,.F.); #217286=ORIENTED_EDGE('',*,*,#171377,.T.); #217287=ORIENTED_EDGE('',*,*,#171381,.F.); #217288=ORIENTED_EDGE('',*,*,#171382,.T.); #217289=ORIENTED_EDGE('',*,*,#171383,.F.); #217290=ORIENTED_EDGE('',*,*,#171382,.F.); #217291=ORIENTED_EDGE('',*,*,#171384,.F.); #217292=ORIENTED_EDGE('',*,*,#171385,.T.); #217293=ORIENTED_EDGE('',*,*,#171386,.T.); #217294=ORIENTED_EDGE('',*,*,#171385,.F.); #217295=ORIENTED_EDGE('',*,*,#171384,.T.); #217296=ORIENTED_EDGE('',*,*,#171381,.T.); #217297=ORIENTED_EDGE('',*,*,#171386,.F.); #217298=ORIENTED_EDGE('',*,*,#171383,.T.); #217299=ORIENTED_EDGE('',*,*,#171387,.F.); #217300=ORIENTED_EDGE('',*,*,#171388,.T.); #217301=ORIENTED_EDGE('',*,*,#171389,.F.); #217302=ORIENTED_EDGE('',*,*,#171388,.F.); #217303=ORIENTED_EDGE('',*,*,#171390,.F.); #217304=ORIENTED_EDGE('',*,*,#171391,.T.); #217305=ORIENTED_EDGE('',*,*,#171392,.T.); #217306=ORIENTED_EDGE('',*,*,#171391,.F.); #217307=ORIENTED_EDGE('',*,*,#171390,.T.); #217308=ORIENTED_EDGE('',*,*,#171387,.T.); #217309=ORIENTED_EDGE('',*,*,#171392,.F.); #217310=ORIENTED_EDGE('',*,*,#171389,.T.); #217311=ORIENTED_EDGE('',*,*,#171393,.F.); #217312=ORIENTED_EDGE('',*,*,#171394,.T.); #217313=ORIENTED_EDGE('',*,*,#171395,.F.); #217314=ORIENTED_EDGE('',*,*,#171394,.F.); #217315=ORIENTED_EDGE('',*,*,#171396,.F.); #217316=ORIENTED_EDGE('',*,*,#171397,.T.); #217317=ORIENTED_EDGE('',*,*,#171398,.T.); #217318=ORIENTED_EDGE('',*,*,#171397,.F.); #217319=ORIENTED_EDGE('',*,*,#171396,.T.); #217320=ORIENTED_EDGE('',*,*,#171393,.T.); #217321=ORIENTED_EDGE('',*,*,#171398,.F.); #217322=ORIENTED_EDGE('',*,*,#171395,.T.); #217323=ORIENTED_EDGE('',*,*,#171399,.F.); #217324=ORIENTED_EDGE('',*,*,#171400,.T.); #217325=ORIENTED_EDGE('',*,*,#171401,.F.); #217326=ORIENTED_EDGE('',*,*,#171400,.F.); #217327=ORIENTED_EDGE('',*,*,#171402,.F.); #217328=ORIENTED_EDGE('',*,*,#171403,.T.); #217329=ORIENTED_EDGE('',*,*,#171404,.T.); #217330=ORIENTED_EDGE('',*,*,#171403,.F.); #217331=ORIENTED_EDGE('',*,*,#171402,.T.); #217332=ORIENTED_EDGE('',*,*,#171399,.T.); #217333=ORIENTED_EDGE('',*,*,#171404,.F.); #217334=ORIENTED_EDGE('',*,*,#171401,.T.); #217335=ORIENTED_EDGE('',*,*,#171405,.F.); #217336=ORIENTED_EDGE('',*,*,#171406,.T.); #217337=ORIENTED_EDGE('',*,*,#171407,.F.); #217338=ORIENTED_EDGE('',*,*,#171406,.F.); #217339=ORIENTED_EDGE('',*,*,#171408,.F.); #217340=ORIENTED_EDGE('',*,*,#171409,.T.); #217341=ORIENTED_EDGE('',*,*,#171410,.T.); #217342=ORIENTED_EDGE('',*,*,#171409,.F.); #217343=ORIENTED_EDGE('',*,*,#171408,.T.); #217344=ORIENTED_EDGE('',*,*,#171405,.T.); #217345=ORIENTED_EDGE('',*,*,#171410,.F.); #217346=ORIENTED_EDGE('',*,*,#171407,.T.); #217347=ORIENTED_EDGE('',*,*,#171411,.F.); #217348=ORIENTED_EDGE('',*,*,#171412,.T.); #217349=ORIENTED_EDGE('',*,*,#171413,.F.); #217350=ORIENTED_EDGE('',*,*,#171412,.F.); #217351=ORIENTED_EDGE('',*,*,#171414,.F.); #217352=ORIENTED_EDGE('',*,*,#171415,.T.); #217353=ORIENTED_EDGE('',*,*,#171416,.T.); #217354=ORIENTED_EDGE('',*,*,#171415,.F.); #217355=ORIENTED_EDGE('',*,*,#171414,.T.); #217356=ORIENTED_EDGE('',*,*,#171411,.T.); #217357=ORIENTED_EDGE('',*,*,#171416,.F.); #217358=ORIENTED_EDGE('',*,*,#171413,.T.); #217359=ORIENTED_EDGE('',*,*,#171417,.F.); #217360=ORIENTED_EDGE('',*,*,#171418,.T.); #217361=ORIENTED_EDGE('',*,*,#171419,.F.); #217362=ORIENTED_EDGE('',*,*,#171418,.F.); #217363=ORIENTED_EDGE('',*,*,#171420,.F.); #217364=ORIENTED_EDGE('',*,*,#171421,.T.); #217365=ORIENTED_EDGE('',*,*,#171422,.T.); #217366=ORIENTED_EDGE('',*,*,#171421,.F.); #217367=ORIENTED_EDGE('',*,*,#171420,.T.); #217368=ORIENTED_EDGE('',*,*,#171417,.T.); #217369=ORIENTED_EDGE('',*,*,#171422,.F.); #217370=ORIENTED_EDGE('',*,*,#171419,.T.); #217371=ORIENTED_EDGE('',*,*,#171423,.F.); #217372=ORIENTED_EDGE('',*,*,#171424,.T.); #217373=ORIENTED_EDGE('',*,*,#171425,.F.); #217374=ORIENTED_EDGE('',*,*,#171424,.F.); #217375=ORIENTED_EDGE('',*,*,#171426,.F.); #217376=ORIENTED_EDGE('',*,*,#171427,.T.); #217377=ORIENTED_EDGE('',*,*,#171428,.T.); #217378=ORIENTED_EDGE('',*,*,#171427,.F.); #217379=ORIENTED_EDGE('',*,*,#171426,.T.); #217380=ORIENTED_EDGE('',*,*,#171423,.T.); #217381=ORIENTED_EDGE('',*,*,#171428,.F.); #217382=ORIENTED_EDGE('',*,*,#171425,.T.); #217383=ORIENTED_EDGE('',*,*,#171429,.F.); #217384=ORIENTED_EDGE('',*,*,#171430,.T.); #217385=ORIENTED_EDGE('',*,*,#171431,.F.); #217386=ORIENTED_EDGE('',*,*,#171430,.F.); #217387=ORIENTED_EDGE('',*,*,#171432,.F.); #217388=ORIENTED_EDGE('',*,*,#171433,.T.); #217389=ORIENTED_EDGE('',*,*,#171434,.T.); #217390=ORIENTED_EDGE('',*,*,#171433,.F.); #217391=ORIENTED_EDGE('',*,*,#171432,.T.); #217392=ORIENTED_EDGE('',*,*,#171429,.T.); #217393=ORIENTED_EDGE('',*,*,#171434,.F.); #217394=ORIENTED_EDGE('',*,*,#171431,.T.); #217395=ORIENTED_EDGE('',*,*,#171435,.F.); #217396=ORIENTED_EDGE('',*,*,#171436,.T.); #217397=ORIENTED_EDGE('',*,*,#171437,.F.); #217398=ORIENTED_EDGE('',*,*,#171436,.F.); #217399=ORIENTED_EDGE('',*,*,#171438,.F.); #217400=ORIENTED_EDGE('',*,*,#171439,.T.); #217401=ORIENTED_EDGE('',*,*,#171440,.T.); #217402=ORIENTED_EDGE('',*,*,#171439,.F.); #217403=ORIENTED_EDGE('',*,*,#171438,.T.); #217404=ORIENTED_EDGE('',*,*,#171435,.T.); #217405=ORIENTED_EDGE('',*,*,#171440,.F.); #217406=ORIENTED_EDGE('',*,*,#171437,.T.); #217407=ORIENTED_EDGE('',*,*,#171441,.F.); #217408=ORIENTED_EDGE('',*,*,#171442,.T.); #217409=ORIENTED_EDGE('',*,*,#171443,.F.); #217410=ORIENTED_EDGE('',*,*,#171442,.F.); #217411=ORIENTED_EDGE('',*,*,#171444,.F.); #217412=ORIENTED_EDGE('',*,*,#171445,.T.); #217413=ORIENTED_EDGE('',*,*,#171446,.T.); #217414=ORIENTED_EDGE('',*,*,#171445,.F.); #217415=ORIENTED_EDGE('',*,*,#171444,.T.); #217416=ORIENTED_EDGE('',*,*,#171441,.T.); #217417=ORIENTED_EDGE('',*,*,#171446,.F.); #217418=ORIENTED_EDGE('',*,*,#171443,.T.); #217419=ORIENTED_EDGE('',*,*,#171447,.F.); #217420=ORIENTED_EDGE('',*,*,#171448,.T.); #217421=ORIENTED_EDGE('',*,*,#171449,.F.); #217422=ORIENTED_EDGE('',*,*,#171448,.F.); #217423=ORIENTED_EDGE('',*,*,#171450,.F.); #217424=ORIENTED_EDGE('',*,*,#171451,.T.); #217425=ORIENTED_EDGE('',*,*,#171452,.T.); #217426=ORIENTED_EDGE('',*,*,#171451,.F.); #217427=ORIENTED_EDGE('',*,*,#171450,.T.); #217428=ORIENTED_EDGE('',*,*,#171447,.T.); #217429=ORIENTED_EDGE('',*,*,#171452,.F.); #217430=ORIENTED_EDGE('',*,*,#171449,.T.); #217431=ORIENTED_EDGE('',*,*,#171453,.F.); #217432=ORIENTED_EDGE('',*,*,#171454,.T.); #217433=ORIENTED_EDGE('',*,*,#171455,.F.); #217434=ORIENTED_EDGE('',*,*,#171454,.F.); #217435=ORIENTED_EDGE('',*,*,#171456,.F.); #217436=ORIENTED_EDGE('',*,*,#171457,.T.); #217437=ORIENTED_EDGE('',*,*,#171458,.T.); #217438=ORIENTED_EDGE('',*,*,#171457,.F.); #217439=ORIENTED_EDGE('',*,*,#171456,.T.); #217440=ORIENTED_EDGE('',*,*,#171453,.T.); #217441=ORIENTED_EDGE('',*,*,#171458,.F.); #217442=ORIENTED_EDGE('',*,*,#171455,.T.); #217443=ORIENTED_EDGE('',*,*,#171459,.F.); #217444=ORIENTED_EDGE('',*,*,#171460,.T.); #217445=ORIENTED_EDGE('',*,*,#171461,.F.); #217446=ORIENTED_EDGE('',*,*,#171460,.F.); #217447=ORIENTED_EDGE('',*,*,#171462,.F.); #217448=ORIENTED_EDGE('',*,*,#171463,.T.); #217449=ORIENTED_EDGE('',*,*,#171464,.T.); #217450=ORIENTED_EDGE('',*,*,#171463,.F.); #217451=ORIENTED_EDGE('',*,*,#171462,.T.); #217452=ORIENTED_EDGE('',*,*,#171459,.T.); #217453=ORIENTED_EDGE('',*,*,#171464,.F.); #217454=ORIENTED_EDGE('',*,*,#171461,.T.); #217455=ORIENTED_EDGE('',*,*,#171465,.F.); #217456=ORIENTED_EDGE('',*,*,#171466,.T.); #217457=ORIENTED_EDGE('',*,*,#171467,.F.); #217458=ORIENTED_EDGE('',*,*,#171466,.F.); #217459=ORIENTED_EDGE('',*,*,#171468,.F.); #217460=ORIENTED_EDGE('',*,*,#171469,.T.); #217461=ORIENTED_EDGE('',*,*,#171470,.T.); #217462=ORIENTED_EDGE('',*,*,#171469,.F.); #217463=ORIENTED_EDGE('',*,*,#171468,.T.); #217464=ORIENTED_EDGE('',*,*,#171465,.T.); #217465=ORIENTED_EDGE('',*,*,#171470,.F.); #217466=ORIENTED_EDGE('',*,*,#171467,.T.); #217467=ORIENTED_EDGE('',*,*,#171471,.F.); #217468=ORIENTED_EDGE('',*,*,#171472,.T.); #217469=ORIENTED_EDGE('',*,*,#171473,.F.); #217470=ORIENTED_EDGE('',*,*,#171472,.F.); #217471=ORIENTED_EDGE('',*,*,#171474,.F.); #217472=ORIENTED_EDGE('',*,*,#171475,.T.); #217473=ORIENTED_EDGE('',*,*,#171476,.T.); #217474=ORIENTED_EDGE('',*,*,#171475,.F.); #217475=ORIENTED_EDGE('',*,*,#171474,.T.); #217476=ORIENTED_EDGE('',*,*,#171471,.T.); #217477=ORIENTED_EDGE('',*,*,#171476,.F.); #217478=ORIENTED_EDGE('',*,*,#171473,.T.); #217479=ORIENTED_EDGE('',*,*,#171477,.F.); #217480=ORIENTED_EDGE('',*,*,#171478,.T.); #217481=ORIENTED_EDGE('',*,*,#171479,.F.); #217482=ORIENTED_EDGE('',*,*,#171478,.F.); #217483=ORIENTED_EDGE('',*,*,#171480,.F.); #217484=ORIENTED_EDGE('',*,*,#171481,.T.); #217485=ORIENTED_EDGE('',*,*,#171482,.T.); #217486=ORIENTED_EDGE('',*,*,#171481,.F.); #217487=ORIENTED_EDGE('',*,*,#171480,.T.); #217488=ORIENTED_EDGE('',*,*,#171477,.T.); #217489=ORIENTED_EDGE('',*,*,#171482,.F.); #217490=ORIENTED_EDGE('',*,*,#171479,.T.); #217491=ORIENTED_EDGE('',*,*,#171483,.F.); #217492=ORIENTED_EDGE('',*,*,#171484,.T.); #217493=ORIENTED_EDGE('',*,*,#171485,.F.); #217494=ORIENTED_EDGE('',*,*,#171484,.F.); #217495=ORIENTED_EDGE('',*,*,#171486,.F.); #217496=ORIENTED_EDGE('',*,*,#171487,.T.); #217497=ORIENTED_EDGE('',*,*,#171488,.T.); #217498=ORIENTED_EDGE('',*,*,#171487,.F.); #217499=ORIENTED_EDGE('',*,*,#171486,.T.); #217500=ORIENTED_EDGE('',*,*,#171483,.T.); #217501=ORIENTED_EDGE('',*,*,#171488,.F.); #217502=ORIENTED_EDGE('',*,*,#171485,.T.); #217503=ORIENTED_EDGE('',*,*,#171489,.F.); #217504=ORIENTED_EDGE('',*,*,#171490,.T.); #217505=ORIENTED_EDGE('',*,*,#171491,.F.); #217506=ORIENTED_EDGE('',*,*,#171490,.F.); #217507=ORIENTED_EDGE('',*,*,#171492,.F.); #217508=ORIENTED_EDGE('',*,*,#171493,.T.); #217509=ORIENTED_EDGE('',*,*,#171494,.T.); #217510=ORIENTED_EDGE('',*,*,#171493,.F.); #217511=ORIENTED_EDGE('',*,*,#171492,.T.); #217512=ORIENTED_EDGE('',*,*,#171489,.T.); #217513=ORIENTED_EDGE('',*,*,#171494,.F.); #217514=ORIENTED_EDGE('',*,*,#171491,.T.); #217515=ORIENTED_EDGE('',*,*,#171495,.F.); #217516=ORIENTED_EDGE('',*,*,#171496,.T.); #217517=ORIENTED_EDGE('',*,*,#171497,.F.); #217518=ORIENTED_EDGE('',*,*,#171496,.F.); #217519=ORIENTED_EDGE('',*,*,#171498,.F.); #217520=ORIENTED_EDGE('',*,*,#171499,.T.); #217521=ORIENTED_EDGE('',*,*,#171500,.T.); #217522=ORIENTED_EDGE('',*,*,#171499,.F.); #217523=ORIENTED_EDGE('',*,*,#171498,.T.); #217524=ORIENTED_EDGE('',*,*,#171495,.T.); #217525=ORIENTED_EDGE('',*,*,#171500,.F.); #217526=ORIENTED_EDGE('',*,*,#171497,.T.); #217527=ORIENTED_EDGE('',*,*,#171501,.F.); #217528=ORIENTED_EDGE('',*,*,#171502,.T.); #217529=ORIENTED_EDGE('',*,*,#171503,.F.); #217530=ORIENTED_EDGE('',*,*,#171502,.F.); #217531=ORIENTED_EDGE('',*,*,#171504,.F.); #217532=ORIENTED_EDGE('',*,*,#171505,.T.); #217533=ORIENTED_EDGE('',*,*,#171506,.T.); #217534=ORIENTED_EDGE('',*,*,#171505,.F.); #217535=ORIENTED_EDGE('',*,*,#171504,.T.); #217536=ORIENTED_EDGE('',*,*,#171501,.T.); #217537=ORIENTED_EDGE('',*,*,#171506,.F.); #217538=ORIENTED_EDGE('',*,*,#171503,.T.); #217539=ORIENTED_EDGE('',*,*,#171507,.F.); #217540=ORIENTED_EDGE('',*,*,#171508,.T.); #217541=ORIENTED_EDGE('',*,*,#171509,.F.); #217542=ORIENTED_EDGE('',*,*,#171508,.F.); #217543=ORIENTED_EDGE('',*,*,#171510,.F.); #217544=ORIENTED_EDGE('',*,*,#171511,.T.); #217545=ORIENTED_EDGE('',*,*,#171512,.T.); #217546=ORIENTED_EDGE('',*,*,#171511,.F.); #217547=ORIENTED_EDGE('',*,*,#171510,.T.); #217548=ORIENTED_EDGE('',*,*,#171507,.T.); #217549=ORIENTED_EDGE('',*,*,#171512,.F.); #217550=ORIENTED_EDGE('',*,*,#171509,.T.); #217551=ORIENTED_EDGE('',*,*,#171513,.F.); #217552=ORIENTED_EDGE('',*,*,#171514,.T.); #217553=ORIENTED_EDGE('',*,*,#171515,.F.); #217554=ORIENTED_EDGE('',*,*,#171514,.F.); #217555=ORIENTED_EDGE('',*,*,#171516,.F.); #217556=ORIENTED_EDGE('',*,*,#171517,.T.); #217557=ORIENTED_EDGE('',*,*,#171518,.T.); #217558=ORIENTED_EDGE('',*,*,#171517,.F.); #217559=ORIENTED_EDGE('',*,*,#171516,.T.); #217560=ORIENTED_EDGE('',*,*,#171513,.T.); #217561=ORIENTED_EDGE('',*,*,#171518,.F.); #217562=ORIENTED_EDGE('',*,*,#171515,.T.); #217563=ORIENTED_EDGE('',*,*,#171519,.F.); #217564=ORIENTED_EDGE('',*,*,#171520,.T.); #217565=ORIENTED_EDGE('',*,*,#171521,.F.); #217566=ORIENTED_EDGE('',*,*,#171520,.F.); #217567=ORIENTED_EDGE('',*,*,#171522,.F.); #217568=ORIENTED_EDGE('',*,*,#171523,.T.); #217569=ORIENTED_EDGE('',*,*,#171524,.T.); #217570=ORIENTED_EDGE('',*,*,#171523,.F.); #217571=ORIENTED_EDGE('',*,*,#171522,.T.); #217572=ORIENTED_EDGE('',*,*,#171519,.T.); #217573=ORIENTED_EDGE('',*,*,#171524,.F.); #217574=ORIENTED_EDGE('',*,*,#171521,.T.); #217575=ORIENTED_EDGE('',*,*,#171525,.F.); #217576=ORIENTED_EDGE('',*,*,#171526,.T.); #217577=ORIENTED_EDGE('',*,*,#171527,.F.); #217578=ORIENTED_EDGE('',*,*,#171526,.F.); #217579=ORIENTED_EDGE('',*,*,#171528,.F.); #217580=ORIENTED_EDGE('',*,*,#171529,.T.); #217581=ORIENTED_EDGE('',*,*,#171530,.T.); #217582=ORIENTED_EDGE('',*,*,#171529,.F.); #217583=ORIENTED_EDGE('',*,*,#171528,.T.); #217584=ORIENTED_EDGE('',*,*,#171525,.T.); #217585=ORIENTED_EDGE('',*,*,#171530,.F.); #217586=ORIENTED_EDGE('',*,*,#171527,.T.); #217587=ORIENTED_EDGE('',*,*,#171531,.F.); #217588=ORIENTED_EDGE('',*,*,#171532,.T.); #217589=ORIENTED_EDGE('',*,*,#171533,.F.); #217590=ORIENTED_EDGE('',*,*,#171532,.F.); #217591=ORIENTED_EDGE('',*,*,#171534,.F.); #217592=ORIENTED_EDGE('',*,*,#171535,.T.); #217593=ORIENTED_EDGE('',*,*,#171536,.T.); #217594=ORIENTED_EDGE('',*,*,#171535,.F.); #217595=ORIENTED_EDGE('',*,*,#171534,.T.); #217596=ORIENTED_EDGE('',*,*,#171531,.T.); #217597=ORIENTED_EDGE('',*,*,#171536,.F.); #217598=ORIENTED_EDGE('',*,*,#171533,.T.); #217599=ORIENTED_EDGE('',*,*,#171537,.F.); #217600=ORIENTED_EDGE('',*,*,#171538,.T.); #217601=ORIENTED_EDGE('',*,*,#171539,.F.); #217602=ORIENTED_EDGE('',*,*,#171538,.F.); #217603=ORIENTED_EDGE('',*,*,#171540,.F.); #217604=ORIENTED_EDGE('',*,*,#171541,.T.); #217605=ORIENTED_EDGE('',*,*,#171542,.T.); #217606=ORIENTED_EDGE('',*,*,#171541,.F.); #217607=ORIENTED_EDGE('',*,*,#171540,.T.); #217608=ORIENTED_EDGE('',*,*,#171537,.T.); #217609=ORIENTED_EDGE('',*,*,#171542,.F.); #217610=ORIENTED_EDGE('',*,*,#171539,.T.); #217611=ORIENTED_EDGE('',*,*,#171543,.F.); #217612=ORIENTED_EDGE('',*,*,#171544,.T.); #217613=ORIENTED_EDGE('',*,*,#171545,.F.); #217614=ORIENTED_EDGE('',*,*,#171544,.F.); #217615=ORIENTED_EDGE('',*,*,#171546,.F.); #217616=ORIENTED_EDGE('',*,*,#171547,.T.); #217617=ORIENTED_EDGE('',*,*,#171548,.T.); #217618=ORIENTED_EDGE('',*,*,#171547,.F.); #217619=ORIENTED_EDGE('',*,*,#171546,.T.); #217620=ORIENTED_EDGE('',*,*,#171543,.T.); #217621=ORIENTED_EDGE('',*,*,#171548,.F.); #217622=ORIENTED_EDGE('',*,*,#171545,.T.); #217623=ORIENTED_EDGE('',*,*,#171549,.F.); #217624=ORIENTED_EDGE('',*,*,#171550,.T.); #217625=ORIENTED_EDGE('',*,*,#171551,.F.); #217626=ORIENTED_EDGE('',*,*,#171550,.F.); #217627=ORIENTED_EDGE('',*,*,#171552,.F.); #217628=ORIENTED_EDGE('',*,*,#171553,.T.); #217629=ORIENTED_EDGE('',*,*,#171554,.T.); #217630=ORIENTED_EDGE('',*,*,#171553,.F.); #217631=ORIENTED_EDGE('',*,*,#171552,.T.); #217632=ORIENTED_EDGE('',*,*,#171549,.T.); #217633=ORIENTED_EDGE('',*,*,#171554,.F.); #217634=ORIENTED_EDGE('',*,*,#171551,.T.); #217635=ORIENTED_EDGE('',*,*,#171555,.F.); #217636=ORIENTED_EDGE('',*,*,#171556,.T.); #217637=ORIENTED_EDGE('',*,*,#171557,.F.); #217638=ORIENTED_EDGE('',*,*,#171556,.F.); #217639=ORIENTED_EDGE('',*,*,#171558,.F.); #217640=ORIENTED_EDGE('',*,*,#171559,.T.); #217641=ORIENTED_EDGE('',*,*,#171560,.T.); #217642=ORIENTED_EDGE('',*,*,#171559,.F.); #217643=ORIENTED_EDGE('',*,*,#171558,.T.); #217644=ORIENTED_EDGE('',*,*,#171555,.T.); #217645=ORIENTED_EDGE('',*,*,#171560,.F.); #217646=ORIENTED_EDGE('',*,*,#171557,.T.); #217647=ORIENTED_EDGE('',*,*,#171561,.F.); #217648=ORIENTED_EDGE('',*,*,#171562,.T.); #217649=ORIENTED_EDGE('',*,*,#171563,.F.); #217650=ORIENTED_EDGE('',*,*,#171562,.F.); #217651=ORIENTED_EDGE('',*,*,#171564,.F.); #217652=ORIENTED_EDGE('',*,*,#171565,.T.); #217653=ORIENTED_EDGE('',*,*,#171566,.T.); #217654=ORIENTED_EDGE('',*,*,#171565,.F.); #217655=ORIENTED_EDGE('',*,*,#171564,.T.); #217656=ORIENTED_EDGE('',*,*,#171561,.T.); #217657=ORIENTED_EDGE('',*,*,#171566,.F.); #217658=ORIENTED_EDGE('',*,*,#171563,.T.); #217659=ORIENTED_EDGE('',*,*,#171567,.F.); #217660=ORIENTED_EDGE('',*,*,#171568,.T.); #217661=ORIENTED_EDGE('',*,*,#171569,.F.); #217662=ORIENTED_EDGE('',*,*,#171568,.F.); #217663=ORIENTED_EDGE('',*,*,#171570,.F.); #217664=ORIENTED_EDGE('',*,*,#171571,.T.); #217665=ORIENTED_EDGE('',*,*,#171572,.T.); #217666=ORIENTED_EDGE('',*,*,#171571,.F.); #217667=ORIENTED_EDGE('',*,*,#171570,.T.); #217668=ORIENTED_EDGE('',*,*,#171567,.T.); #217669=ORIENTED_EDGE('',*,*,#171572,.F.); #217670=ORIENTED_EDGE('',*,*,#171569,.T.); #217671=ORIENTED_EDGE('',*,*,#171573,.F.); #217672=ORIENTED_EDGE('',*,*,#171574,.T.); #217673=ORIENTED_EDGE('',*,*,#171575,.F.); #217674=ORIENTED_EDGE('',*,*,#171574,.F.); #217675=ORIENTED_EDGE('',*,*,#171576,.F.); #217676=ORIENTED_EDGE('',*,*,#171577,.T.); #217677=ORIENTED_EDGE('',*,*,#171578,.T.); #217678=ORIENTED_EDGE('',*,*,#171577,.F.); #217679=ORIENTED_EDGE('',*,*,#171576,.T.); #217680=ORIENTED_EDGE('',*,*,#171573,.T.); #217681=ORIENTED_EDGE('',*,*,#171578,.F.); #217682=ORIENTED_EDGE('',*,*,#171575,.T.); #217683=ORIENTED_EDGE('',*,*,#171579,.F.); #217684=ORIENTED_EDGE('',*,*,#171580,.T.); #217685=ORIENTED_EDGE('',*,*,#171581,.F.); #217686=ORIENTED_EDGE('',*,*,#171580,.F.); #217687=ORIENTED_EDGE('',*,*,#171582,.F.); #217688=ORIENTED_EDGE('',*,*,#171583,.T.); #217689=ORIENTED_EDGE('',*,*,#171584,.T.); #217690=ORIENTED_EDGE('',*,*,#171583,.F.); #217691=ORIENTED_EDGE('',*,*,#171582,.T.); #217692=ORIENTED_EDGE('',*,*,#171579,.T.); #217693=ORIENTED_EDGE('',*,*,#171584,.F.); #217694=ORIENTED_EDGE('',*,*,#171581,.T.); #217695=ORIENTED_EDGE('',*,*,#171585,.F.); #217696=ORIENTED_EDGE('',*,*,#171586,.T.); #217697=ORIENTED_EDGE('',*,*,#171587,.F.); #217698=ORIENTED_EDGE('',*,*,#171586,.F.); #217699=ORIENTED_EDGE('',*,*,#171588,.F.); #217700=ORIENTED_EDGE('',*,*,#171589,.T.); #217701=ORIENTED_EDGE('',*,*,#171590,.T.); #217702=ORIENTED_EDGE('',*,*,#171589,.F.); #217703=ORIENTED_EDGE('',*,*,#171588,.T.); #217704=ORIENTED_EDGE('',*,*,#171585,.T.); #217705=ORIENTED_EDGE('',*,*,#171590,.F.); #217706=ORIENTED_EDGE('',*,*,#171587,.T.); #217707=ORIENTED_EDGE('',*,*,#171591,.F.); #217708=ORIENTED_EDGE('',*,*,#171592,.T.); #217709=ORIENTED_EDGE('',*,*,#171593,.F.); #217710=ORIENTED_EDGE('',*,*,#171592,.F.); #217711=ORIENTED_EDGE('',*,*,#171594,.F.); #217712=ORIENTED_EDGE('',*,*,#171595,.T.); #217713=ORIENTED_EDGE('',*,*,#171596,.T.); #217714=ORIENTED_EDGE('',*,*,#171595,.F.); #217715=ORIENTED_EDGE('',*,*,#171594,.T.); #217716=ORIENTED_EDGE('',*,*,#171591,.T.); #217717=ORIENTED_EDGE('',*,*,#171596,.F.); #217718=ORIENTED_EDGE('',*,*,#171593,.T.); #217719=ORIENTED_EDGE('',*,*,#171597,.F.); #217720=ORIENTED_EDGE('',*,*,#171598,.T.); #217721=ORIENTED_EDGE('',*,*,#171599,.F.); #217722=ORIENTED_EDGE('',*,*,#171598,.F.); #217723=ORIENTED_EDGE('',*,*,#171600,.F.); #217724=ORIENTED_EDGE('',*,*,#171601,.T.); #217725=ORIENTED_EDGE('',*,*,#171602,.T.); #217726=ORIENTED_EDGE('',*,*,#171601,.F.); #217727=ORIENTED_EDGE('',*,*,#171600,.T.); #217728=ORIENTED_EDGE('',*,*,#171597,.T.); #217729=ORIENTED_EDGE('',*,*,#171602,.F.); #217730=ORIENTED_EDGE('',*,*,#171599,.T.); #217731=ORIENTED_EDGE('',*,*,#171603,.F.); #217732=ORIENTED_EDGE('',*,*,#171604,.T.); #217733=ORIENTED_EDGE('',*,*,#171605,.F.); #217734=ORIENTED_EDGE('',*,*,#171604,.F.); #217735=ORIENTED_EDGE('',*,*,#171606,.F.); #217736=ORIENTED_EDGE('',*,*,#171607,.T.); #217737=ORIENTED_EDGE('',*,*,#171608,.T.); #217738=ORIENTED_EDGE('',*,*,#171607,.F.); #217739=ORIENTED_EDGE('',*,*,#171606,.T.); #217740=ORIENTED_EDGE('',*,*,#171603,.T.); #217741=ORIENTED_EDGE('',*,*,#171608,.F.); #217742=ORIENTED_EDGE('',*,*,#171605,.T.); #217743=ORIENTED_EDGE('',*,*,#171609,.F.); #217744=ORIENTED_EDGE('',*,*,#171610,.T.); #217745=ORIENTED_EDGE('',*,*,#171611,.F.); #217746=ORIENTED_EDGE('',*,*,#171610,.F.); #217747=ORIENTED_EDGE('',*,*,#171612,.F.); #217748=ORIENTED_EDGE('',*,*,#171613,.T.); #217749=ORIENTED_EDGE('',*,*,#171614,.T.); #217750=ORIENTED_EDGE('',*,*,#171613,.F.); #217751=ORIENTED_EDGE('',*,*,#171612,.T.); #217752=ORIENTED_EDGE('',*,*,#171609,.T.); #217753=ORIENTED_EDGE('',*,*,#171614,.F.); #217754=ORIENTED_EDGE('',*,*,#171611,.T.); #217755=ORIENTED_EDGE('',*,*,#171615,.F.); #217756=ORIENTED_EDGE('',*,*,#171616,.T.); #217757=ORIENTED_EDGE('',*,*,#171617,.F.); #217758=ORIENTED_EDGE('',*,*,#171616,.F.); #217759=ORIENTED_EDGE('',*,*,#171618,.F.); #217760=ORIENTED_EDGE('',*,*,#171619,.T.); #217761=ORIENTED_EDGE('',*,*,#171620,.T.); #217762=ORIENTED_EDGE('',*,*,#171619,.F.); #217763=ORIENTED_EDGE('',*,*,#171618,.T.); #217764=ORIENTED_EDGE('',*,*,#171615,.T.); #217765=ORIENTED_EDGE('',*,*,#171620,.F.); #217766=ORIENTED_EDGE('',*,*,#171617,.T.); #217767=ORIENTED_EDGE('',*,*,#171621,.F.); #217768=ORIENTED_EDGE('',*,*,#171622,.T.); #217769=ORIENTED_EDGE('',*,*,#171623,.F.); #217770=ORIENTED_EDGE('',*,*,#171622,.F.); #217771=ORIENTED_EDGE('',*,*,#171624,.F.); #217772=ORIENTED_EDGE('',*,*,#171625,.T.); #217773=ORIENTED_EDGE('',*,*,#171626,.T.); #217774=ORIENTED_EDGE('',*,*,#171625,.F.); #217775=ORIENTED_EDGE('',*,*,#171624,.T.); #217776=ORIENTED_EDGE('',*,*,#171621,.T.); #217777=ORIENTED_EDGE('',*,*,#171626,.F.); #217778=ORIENTED_EDGE('',*,*,#171623,.T.); #217779=ORIENTED_EDGE('',*,*,#171627,.F.); #217780=ORIENTED_EDGE('',*,*,#171628,.T.); #217781=ORIENTED_EDGE('',*,*,#171629,.F.); #217782=ORIENTED_EDGE('',*,*,#171628,.F.); #217783=ORIENTED_EDGE('',*,*,#171630,.F.); #217784=ORIENTED_EDGE('',*,*,#171631,.T.); #217785=ORIENTED_EDGE('',*,*,#171632,.T.); #217786=ORIENTED_EDGE('',*,*,#171631,.F.); #217787=ORIENTED_EDGE('',*,*,#171630,.T.); #217788=ORIENTED_EDGE('',*,*,#171627,.T.); #217789=ORIENTED_EDGE('',*,*,#171632,.F.); #217790=ORIENTED_EDGE('',*,*,#171629,.T.); #217791=ORIENTED_EDGE('',*,*,#171633,.F.); #217792=ORIENTED_EDGE('',*,*,#171634,.T.); #217793=ORIENTED_EDGE('',*,*,#171635,.F.); #217794=ORIENTED_EDGE('',*,*,#171634,.F.); #217795=ORIENTED_EDGE('',*,*,#171636,.F.); #217796=ORIENTED_EDGE('',*,*,#171637,.T.); #217797=ORIENTED_EDGE('',*,*,#171638,.T.); #217798=ORIENTED_EDGE('',*,*,#171637,.F.); #217799=ORIENTED_EDGE('',*,*,#171636,.T.); #217800=ORIENTED_EDGE('',*,*,#171633,.T.); #217801=ORIENTED_EDGE('',*,*,#171638,.F.); #217802=ORIENTED_EDGE('',*,*,#171635,.T.); #217803=ORIENTED_EDGE('',*,*,#171639,.F.); #217804=ORIENTED_EDGE('',*,*,#171640,.T.); #217805=ORIENTED_EDGE('',*,*,#171641,.F.); #217806=ORIENTED_EDGE('',*,*,#171640,.F.); #217807=ORIENTED_EDGE('',*,*,#171642,.F.); #217808=ORIENTED_EDGE('',*,*,#171643,.T.); #217809=ORIENTED_EDGE('',*,*,#171644,.T.); #217810=ORIENTED_EDGE('',*,*,#171643,.F.); #217811=ORIENTED_EDGE('',*,*,#171642,.T.); #217812=ORIENTED_EDGE('',*,*,#171639,.T.); #217813=ORIENTED_EDGE('',*,*,#171644,.F.); #217814=ORIENTED_EDGE('',*,*,#171641,.T.); #217815=ORIENTED_EDGE('',*,*,#171645,.F.); #217816=ORIENTED_EDGE('',*,*,#171646,.T.); #217817=ORIENTED_EDGE('',*,*,#171647,.F.); #217818=ORIENTED_EDGE('',*,*,#171646,.F.); #217819=ORIENTED_EDGE('',*,*,#171648,.F.); #217820=ORIENTED_EDGE('',*,*,#171649,.T.); #217821=ORIENTED_EDGE('',*,*,#171650,.T.); #217822=ORIENTED_EDGE('',*,*,#171649,.F.); #217823=ORIENTED_EDGE('',*,*,#171648,.T.); #217824=ORIENTED_EDGE('',*,*,#171645,.T.); #217825=ORIENTED_EDGE('',*,*,#171650,.F.); #217826=ORIENTED_EDGE('',*,*,#171647,.T.); #217827=ORIENTED_EDGE('',*,*,#171651,.F.); #217828=ORIENTED_EDGE('',*,*,#171652,.T.); #217829=ORIENTED_EDGE('',*,*,#171653,.F.); #217830=ORIENTED_EDGE('',*,*,#171652,.F.); #217831=ORIENTED_EDGE('',*,*,#171654,.F.); #217832=ORIENTED_EDGE('',*,*,#171655,.T.); #217833=ORIENTED_EDGE('',*,*,#171656,.T.); #217834=ORIENTED_EDGE('',*,*,#171655,.F.); #217835=ORIENTED_EDGE('',*,*,#171654,.T.); #217836=ORIENTED_EDGE('',*,*,#171651,.T.); #217837=ORIENTED_EDGE('',*,*,#171656,.F.); #217838=ORIENTED_EDGE('',*,*,#171653,.T.); #217839=ORIENTED_EDGE('',*,*,#171657,.F.); #217840=ORIENTED_EDGE('',*,*,#171658,.T.); #217841=ORIENTED_EDGE('',*,*,#171659,.F.); #217842=ORIENTED_EDGE('',*,*,#171658,.F.); #217843=ORIENTED_EDGE('',*,*,#171660,.F.); #217844=ORIENTED_EDGE('',*,*,#171661,.T.); #217845=ORIENTED_EDGE('',*,*,#171662,.T.); #217846=ORIENTED_EDGE('',*,*,#171661,.F.); #217847=ORIENTED_EDGE('',*,*,#171660,.T.); #217848=ORIENTED_EDGE('',*,*,#171657,.T.); #217849=ORIENTED_EDGE('',*,*,#171662,.F.); #217850=ORIENTED_EDGE('',*,*,#171659,.T.); #217851=ORIENTED_EDGE('',*,*,#171663,.F.); #217852=ORIENTED_EDGE('',*,*,#171664,.T.); #217853=ORIENTED_EDGE('',*,*,#171665,.F.); #217854=ORIENTED_EDGE('',*,*,#171664,.F.); #217855=ORIENTED_EDGE('',*,*,#171666,.F.); #217856=ORIENTED_EDGE('',*,*,#171667,.T.); #217857=ORIENTED_EDGE('',*,*,#171668,.T.); #217858=ORIENTED_EDGE('',*,*,#171667,.F.); #217859=ORIENTED_EDGE('',*,*,#171666,.T.); #217860=ORIENTED_EDGE('',*,*,#171663,.T.); #217861=ORIENTED_EDGE('',*,*,#171668,.F.); #217862=ORIENTED_EDGE('',*,*,#171665,.T.); #217863=ORIENTED_EDGE('',*,*,#171669,.F.); #217864=ORIENTED_EDGE('',*,*,#171670,.T.); #217865=ORIENTED_EDGE('',*,*,#171671,.F.); #217866=ORIENTED_EDGE('',*,*,#171670,.F.); #217867=ORIENTED_EDGE('',*,*,#171672,.F.); #217868=ORIENTED_EDGE('',*,*,#171673,.T.); #217869=ORIENTED_EDGE('',*,*,#171674,.T.); #217870=ORIENTED_EDGE('',*,*,#171673,.F.); #217871=ORIENTED_EDGE('',*,*,#171672,.T.); #217872=ORIENTED_EDGE('',*,*,#171669,.T.); #217873=ORIENTED_EDGE('',*,*,#171674,.F.); #217874=ORIENTED_EDGE('',*,*,#171671,.T.); #217875=ORIENTED_EDGE('',*,*,#171675,.F.); #217876=ORIENTED_EDGE('',*,*,#171676,.T.); #217877=ORIENTED_EDGE('',*,*,#171677,.F.); #217878=ORIENTED_EDGE('',*,*,#171676,.F.); #217879=ORIENTED_EDGE('',*,*,#171678,.F.); #217880=ORIENTED_EDGE('',*,*,#171679,.T.); #217881=ORIENTED_EDGE('',*,*,#171680,.T.); #217882=ORIENTED_EDGE('',*,*,#171679,.F.); #217883=ORIENTED_EDGE('',*,*,#171678,.T.); #217884=ORIENTED_EDGE('',*,*,#171675,.T.); #217885=ORIENTED_EDGE('',*,*,#171680,.F.); #217886=ORIENTED_EDGE('',*,*,#171677,.T.); #217887=ORIENTED_EDGE('',*,*,#171681,.F.); #217888=ORIENTED_EDGE('',*,*,#171682,.T.); #217889=ORIENTED_EDGE('',*,*,#171683,.F.); #217890=ORIENTED_EDGE('',*,*,#171682,.F.); #217891=ORIENTED_EDGE('',*,*,#171684,.F.); #217892=ORIENTED_EDGE('',*,*,#171685,.T.); #217893=ORIENTED_EDGE('',*,*,#171686,.T.); #217894=ORIENTED_EDGE('',*,*,#171685,.F.); #217895=ORIENTED_EDGE('',*,*,#171684,.T.); #217896=ORIENTED_EDGE('',*,*,#171681,.T.); #217897=ORIENTED_EDGE('',*,*,#171686,.F.); #217898=ORIENTED_EDGE('',*,*,#171683,.T.); #217899=ORIENTED_EDGE('',*,*,#171687,.F.); #217900=ORIENTED_EDGE('',*,*,#171688,.T.); #217901=ORIENTED_EDGE('',*,*,#171689,.F.); #217902=ORIENTED_EDGE('',*,*,#171688,.F.); #217903=ORIENTED_EDGE('',*,*,#171690,.F.); #217904=ORIENTED_EDGE('',*,*,#171691,.T.); #217905=ORIENTED_EDGE('',*,*,#171692,.T.); #217906=ORIENTED_EDGE('',*,*,#171691,.F.); #217907=ORIENTED_EDGE('',*,*,#171690,.T.); #217908=ORIENTED_EDGE('',*,*,#171687,.T.); #217909=ORIENTED_EDGE('',*,*,#171692,.F.); #217910=ORIENTED_EDGE('',*,*,#171689,.T.); #217911=ORIENTED_EDGE('',*,*,#171693,.F.); #217912=ORIENTED_EDGE('',*,*,#171694,.T.); #217913=ORIENTED_EDGE('',*,*,#171695,.F.); #217914=ORIENTED_EDGE('',*,*,#171694,.F.); #217915=ORIENTED_EDGE('',*,*,#171696,.F.); #217916=ORIENTED_EDGE('',*,*,#171697,.T.); #217917=ORIENTED_EDGE('',*,*,#171698,.T.); #217918=ORIENTED_EDGE('',*,*,#171697,.F.); #217919=ORIENTED_EDGE('',*,*,#171696,.T.); #217920=ORIENTED_EDGE('',*,*,#171693,.T.); #217921=ORIENTED_EDGE('',*,*,#171698,.F.); #217922=ORIENTED_EDGE('',*,*,#171695,.T.); #217923=ORIENTED_EDGE('',*,*,#171699,.F.); #217924=ORIENTED_EDGE('',*,*,#171700,.T.); #217925=ORIENTED_EDGE('',*,*,#171701,.F.); #217926=ORIENTED_EDGE('',*,*,#171700,.F.); #217927=ORIENTED_EDGE('',*,*,#171702,.F.); #217928=ORIENTED_EDGE('',*,*,#171703,.T.); #217929=ORIENTED_EDGE('',*,*,#171704,.T.); #217930=ORIENTED_EDGE('',*,*,#171703,.F.); #217931=ORIENTED_EDGE('',*,*,#171702,.T.); #217932=ORIENTED_EDGE('',*,*,#171699,.T.); #217933=ORIENTED_EDGE('',*,*,#171704,.F.); #217934=ORIENTED_EDGE('',*,*,#171701,.T.); #217935=ORIENTED_EDGE('',*,*,#171705,.F.); #217936=ORIENTED_EDGE('',*,*,#171706,.T.); #217937=ORIENTED_EDGE('',*,*,#171707,.F.); #217938=ORIENTED_EDGE('',*,*,#171706,.F.); #217939=ORIENTED_EDGE('',*,*,#171708,.F.); #217940=ORIENTED_EDGE('',*,*,#171709,.T.); #217941=ORIENTED_EDGE('',*,*,#171710,.T.); #217942=ORIENTED_EDGE('',*,*,#171709,.F.); #217943=ORIENTED_EDGE('',*,*,#171708,.T.); #217944=ORIENTED_EDGE('',*,*,#171705,.T.); #217945=ORIENTED_EDGE('',*,*,#171710,.F.); #217946=ORIENTED_EDGE('',*,*,#171707,.T.); #217947=ORIENTED_EDGE('',*,*,#171711,.F.); #217948=ORIENTED_EDGE('',*,*,#171712,.T.); #217949=ORIENTED_EDGE('',*,*,#171713,.F.); #217950=ORIENTED_EDGE('',*,*,#171712,.F.); #217951=ORIENTED_EDGE('',*,*,#171714,.F.); #217952=ORIENTED_EDGE('',*,*,#171715,.T.); #217953=ORIENTED_EDGE('',*,*,#171716,.T.); #217954=ORIENTED_EDGE('',*,*,#171715,.F.); #217955=ORIENTED_EDGE('',*,*,#171714,.T.); #217956=ORIENTED_EDGE('',*,*,#171711,.T.); #217957=ORIENTED_EDGE('',*,*,#171716,.F.); #217958=ORIENTED_EDGE('',*,*,#171713,.T.); #217959=ORIENTED_EDGE('',*,*,#171717,.F.); #217960=ORIENTED_EDGE('',*,*,#171718,.T.); #217961=ORIENTED_EDGE('',*,*,#171719,.F.); #217962=ORIENTED_EDGE('',*,*,#171718,.F.); #217963=ORIENTED_EDGE('',*,*,#171720,.F.); #217964=ORIENTED_EDGE('',*,*,#171721,.T.); #217965=ORIENTED_EDGE('',*,*,#171722,.T.); #217966=ORIENTED_EDGE('',*,*,#171721,.F.); #217967=ORIENTED_EDGE('',*,*,#171720,.T.); #217968=ORIENTED_EDGE('',*,*,#171717,.T.); #217969=ORIENTED_EDGE('',*,*,#171722,.F.); #217970=ORIENTED_EDGE('',*,*,#171719,.T.); #217971=ORIENTED_EDGE('',*,*,#171723,.F.); #217972=ORIENTED_EDGE('',*,*,#171724,.T.); #217973=ORIENTED_EDGE('',*,*,#171725,.F.); #217974=ORIENTED_EDGE('',*,*,#171724,.F.); #217975=ORIENTED_EDGE('',*,*,#171726,.F.); #217976=ORIENTED_EDGE('',*,*,#171727,.T.); #217977=ORIENTED_EDGE('',*,*,#171728,.T.); #217978=ORIENTED_EDGE('',*,*,#171727,.F.); #217979=ORIENTED_EDGE('',*,*,#171726,.T.); #217980=ORIENTED_EDGE('',*,*,#171723,.T.); #217981=ORIENTED_EDGE('',*,*,#171728,.F.); #217982=ORIENTED_EDGE('',*,*,#171725,.T.); #217983=ORIENTED_EDGE('',*,*,#171729,.F.); #217984=ORIENTED_EDGE('',*,*,#171730,.T.); #217985=ORIENTED_EDGE('',*,*,#171731,.F.); #217986=ORIENTED_EDGE('',*,*,#171730,.F.); #217987=ORIENTED_EDGE('',*,*,#171732,.F.); #217988=ORIENTED_EDGE('',*,*,#171733,.T.); #217989=ORIENTED_EDGE('',*,*,#171734,.T.); #217990=ORIENTED_EDGE('',*,*,#171733,.F.); #217991=ORIENTED_EDGE('',*,*,#171732,.T.); #217992=ORIENTED_EDGE('',*,*,#171729,.T.); #217993=ORIENTED_EDGE('',*,*,#171734,.F.); #217994=ORIENTED_EDGE('',*,*,#171731,.T.); #217995=ORIENTED_EDGE('',*,*,#171735,.F.); #217996=ORIENTED_EDGE('',*,*,#171736,.T.); #217997=ORIENTED_EDGE('',*,*,#171737,.F.); #217998=ORIENTED_EDGE('',*,*,#171736,.F.); #217999=ORIENTED_EDGE('',*,*,#171738,.F.); #218000=ORIENTED_EDGE('',*,*,#171739,.T.); #218001=ORIENTED_EDGE('',*,*,#171740,.T.); #218002=ORIENTED_EDGE('',*,*,#171739,.F.); #218003=ORIENTED_EDGE('',*,*,#171738,.T.); #218004=ORIENTED_EDGE('',*,*,#171735,.T.); #218005=ORIENTED_EDGE('',*,*,#171740,.F.); #218006=ORIENTED_EDGE('',*,*,#171737,.T.); #218007=ORIENTED_EDGE('',*,*,#171741,.F.); #218008=ORIENTED_EDGE('',*,*,#171742,.T.); #218009=ORIENTED_EDGE('',*,*,#171743,.F.); #218010=ORIENTED_EDGE('',*,*,#171742,.F.); #218011=ORIENTED_EDGE('',*,*,#171744,.F.); #218012=ORIENTED_EDGE('',*,*,#171745,.T.); #218013=ORIENTED_EDGE('',*,*,#171746,.T.); #218014=ORIENTED_EDGE('',*,*,#171745,.F.); #218015=ORIENTED_EDGE('',*,*,#171744,.T.); #218016=ORIENTED_EDGE('',*,*,#171741,.T.); #218017=ORIENTED_EDGE('',*,*,#171746,.F.); #218018=ORIENTED_EDGE('',*,*,#171743,.T.); #218019=ORIENTED_EDGE('',*,*,#171747,.F.); #218020=ORIENTED_EDGE('',*,*,#171748,.T.); #218021=ORIENTED_EDGE('',*,*,#171749,.F.); #218022=ORIENTED_EDGE('',*,*,#171748,.F.); #218023=ORIENTED_EDGE('',*,*,#171750,.F.); #218024=ORIENTED_EDGE('',*,*,#171751,.T.); #218025=ORIENTED_EDGE('',*,*,#171752,.T.); #218026=ORIENTED_EDGE('',*,*,#171751,.F.); #218027=ORIENTED_EDGE('',*,*,#171750,.T.); #218028=ORIENTED_EDGE('',*,*,#171747,.T.); #218029=ORIENTED_EDGE('',*,*,#171752,.F.); #218030=ORIENTED_EDGE('',*,*,#171749,.T.); #218031=ORIENTED_EDGE('',*,*,#171753,.F.); #218032=ORIENTED_EDGE('',*,*,#171754,.T.); #218033=ORIENTED_EDGE('',*,*,#171755,.F.); #218034=ORIENTED_EDGE('',*,*,#171754,.F.); #218035=ORIENTED_EDGE('',*,*,#171756,.F.); #218036=ORIENTED_EDGE('',*,*,#171757,.T.); #218037=ORIENTED_EDGE('',*,*,#171758,.T.); #218038=ORIENTED_EDGE('',*,*,#171757,.F.); #218039=ORIENTED_EDGE('',*,*,#171756,.T.); #218040=ORIENTED_EDGE('',*,*,#171753,.T.); #218041=ORIENTED_EDGE('',*,*,#171758,.F.); #218042=ORIENTED_EDGE('',*,*,#171755,.T.); #218043=ORIENTED_EDGE('',*,*,#171759,.F.); #218044=ORIENTED_EDGE('',*,*,#171760,.T.); #218045=ORIENTED_EDGE('',*,*,#171761,.F.); #218046=ORIENTED_EDGE('',*,*,#171760,.F.); #218047=ORIENTED_EDGE('',*,*,#171762,.F.); #218048=ORIENTED_EDGE('',*,*,#171763,.T.); #218049=ORIENTED_EDGE('',*,*,#171764,.T.); #218050=ORIENTED_EDGE('',*,*,#171763,.F.); #218051=ORIENTED_EDGE('',*,*,#171762,.T.); #218052=ORIENTED_EDGE('',*,*,#171759,.T.); #218053=ORIENTED_EDGE('',*,*,#171764,.F.); #218054=ORIENTED_EDGE('',*,*,#171761,.T.); #218055=ORIENTED_EDGE('',*,*,#171765,.F.); #218056=ORIENTED_EDGE('',*,*,#171766,.T.); #218057=ORIENTED_EDGE('',*,*,#171767,.F.); #218058=ORIENTED_EDGE('',*,*,#171766,.F.); #218059=ORIENTED_EDGE('',*,*,#171768,.F.); #218060=ORIENTED_EDGE('',*,*,#171769,.T.); #218061=ORIENTED_EDGE('',*,*,#171770,.T.); #218062=ORIENTED_EDGE('',*,*,#171769,.F.); #218063=ORIENTED_EDGE('',*,*,#171768,.T.); #218064=ORIENTED_EDGE('',*,*,#171765,.T.); #218065=ORIENTED_EDGE('',*,*,#171770,.F.); #218066=ORIENTED_EDGE('',*,*,#171767,.T.); #218067=ORIENTED_EDGE('',*,*,#171771,.F.); #218068=ORIENTED_EDGE('',*,*,#171772,.T.); #218069=ORIENTED_EDGE('',*,*,#171773,.F.); #218070=ORIENTED_EDGE('',*,*,#171772,.F.); #218071=ORIENTED_EDGE('',*,*,#171774,.F.); #218072=ORIENTED_EDGE('',*,*,#171775,.T.); #218073=ORIENTED_EDGE('',*,*,#171776,.T.); #218074=ORIENTED_EDGE('',*,*,#171775,.F.); #218075=ORIENTED_EDGE('',*,*,#171774,.T.); #218076=ORIENTED_EDGE('',*,*,#171771,.T.); #218077=ORIENTED_EDGE('',*,*,#171776,.F.); #218078=ORIENTED_EDGE('',*,*,#171773,.T.); #218079=ORIENTED_EDGE('',*,*,#171777,.F.); #218080=ORIENTED_EDGE('',*,*,#171778,.T.); #218081=ORIENTED_EDGE('',*,*,#171779,.F.); #218082=ORIENTED_EDGE('',*,*,#171778,.F.); #218083=ORIENTED_EDGE('',*,*,#171780,.F.); #218084=ORIENTED_EDGE('',*,*,#171781,.T.); #218085=ORIENTED_EDGE('',*,*,#171782,.T.); #218086=ORIENTED_EDGE('',*,*,#171781,.F.); #218087=ORIENTED_EDGE('',*,*,#171780,.T.); #218088=ORIENTED_EDGE('',*,*,#171777,.T.); #218089=ORIENTED_EDGE('',*,*,#171782,.F.); #218090=ORIENTED_EDGE('',*,*,#171779,.T.); #218091=ORIENTED_EDGE('',*,*,#171783,.F.); #218092=ORIENTED_EDGE('',*,*,#171784,.T.); #218093=ORIENTED_EDGE('',*,*,#171785,.F.); #218094=ORIENTED_EDGE('',*,*,#171784,.F.); #218095=ORIENTED_EDGE('',*,*,#171786,.F.); #218096=ORIENTED_EDGE('',*,*,#171787,.T.); #218097=ORIENTED_EDGE('',*,*,#171788,.T.); #218098=ORIENTED_EDGE('',*,*,#171787,.F.); #218099=ORIENTED_EDGE('',*,*,#171786,.T.); #218100=ORIENTED_EDGE('',*,*,#171783,.T.); #218101=ORIENTED_EDGE('',*,*,#171788,.F.); #218102=ORIENTED_EDGE('',*,*,#171785,.T.); #218103=ORIENTED_EDGE('',*,*,#171789,.F.); #218104=ORIENTED_EDGE('',*,*,#171790,.T.); #218105=ORIENTED_EDGE('',*,*,#171791,.F.); #218106=ORIENTED_EDGE('',*,*,#171790,.F.); #218107=ORIENTED_EDGE('',*,*,#171792,.F.); #218108=ORIENTED_EDGE('',*,*,#171793,.T.); #218109=ORIENTED_EDGE('',*,*,#171794,.T.); #218110=ORIENTED_EDGE('',*,*,#171793,.F.); #218111=ORIENTED_EDGE('',*,*,#171792,.T.); #218112=ORIENTED_EDGE('',*,*,#171789,.T.); #218113=ORIENTED_EDGE('',*,*,#171794,.F.); #218114=ORIENTED_EDGE('',*,*,#171791,.T.); #218115=ORIENTED_EDGE('',*,*,#171795,.F.); #218116=ORIENTED_EDGE('',*,*,#171796,.T.); #218117=ORIENTED_EDGE('',*,*,#171797,.F.); #218118=ORIENTED_EDGE('',*,*,#171796,.F.); #218119=ORIENTED_EDGE('',*,*,#171798,.F.); #218120=ORIENTED_EDGE('',*,*,#171799,.T.); #218121=ORIENTED_EDGE('',*,*,#171800,.T.); #218122=ORIENTED_EDGE('',*,*,#171799,.F.); #218123=ORIENTED_EDGE('',*,*,#171798,.T.); #218124=ORIENTED_EDGE('',*,*,#171795,.T.); #218125=ORIENTED_EDGE('',*,*,#171800,.F.); #218126=ORIENTED_EDGE('',*,*,#171797,.T.); #218127=ORIENTED_EDGE('',*,*,#171801,.F.); #218128=ORIENTED_EDGE('',*,*,#171802,.T.); #218129=ORIENTED_EDGE('',*,*,#171803,.F.); #218130=ORIENTED_EDGE('',*,*,#171802,.F.); #218131=ORIENTED_EDGE('',*,*,#171804,.F.); #218132=ORIENTED_EDGE('',*,*,#171805,.T.); #218133=ORIENTED_EDGE('',*,*,#171806,.T.); #218134=ORIENTED_EDGE('',*,*,#171805,.F.); #218135=ORIENTED_EDGE('',*,*,#171804,.T.); #218136=ORIENTED_EDGE('',*,*,#171801,.T.); #218137=ORIENTED_EDGE('',*,*,#171806,.F.); #218138=ORIENTED_EDGE('',*,*,#171803,.T.); #218139=ORIENTED_EDGE('',*,*,#171807,.F.); #218140=ORIENTED_EDGE('',*,*,#171808,.T.); #218141=ORIENTED_EDGE('',*,*,#171809,.F.); #218142=ORIENTED_EDGE('',*,*,#171808,.F.); #218143=ORIENTED_EDGE('',*,*,#171810,.F.); #218144=ORIENTED_EDGE('',*,*,#171811,.T.); #218145=ORIENTED_EDGE('',*,*,#171812,.T.); #218146=ORIENTED_EDGE('',*,*,#171811,.F.); #218147=ORIENTED_EDGE('',*,*,#171810,.T.); #218148=ORIENTED_EDGE('',*,*,#171807,.T.); #218149=ORIENTED_EDGE('',*,*,#171812,.F.); #218150=ORIENTED_EDGE('',*,*,#171809,.T.); #218151=ORIENTED_EDGE('',*,*,#171813,.F.); #218152=ORIENTED_EDGE('',*,*,#171814,.T.); #218153=ORIENTED_EDGE('',*,*,#171815,.F.); #218154=ORIENTED_EDGE('',*,*,#171814,.F.); #218155=ORIENTED_EDGE('',*,*,#171816,.F.); #218156=ORIENTED_EDGE('',*,*,#171817,.T.); #218157=ORIENTED_EDGE('',*,*,#171818,.T.); #218158=ORIENTED_EDGE('',*,*,#171817,.F.); #218159=ORIENTED_EDGE('',*,*,#171816,.T.); #218160=ORIENTED_EDGE('',*,*,#171813,.T.); #218161=ORIENTED_EDGE('',*,*,#171818,.F.); #218162=ORIENTED_EDGE('',*,*,#171815,.T.); #218163=ORIENTED_EDGE('',*,*,#171819,.F.); #218164=ORIENTED_EDGE('',*,*,#171820,.T.); #218165=ORIENTED_EDGE('',*,*,#171821,.F.); #218166=ORIENTED_EDGE('',*,*,#171820,.F.); #218167=ORIENTED_EDGE('',*,*,#171822,.F.); #218168=ORIENTED_EDGE('',*,*,#171823,.T.); #218169=ORIENTED_EDGE('',*,*,#171824,.T.); #218170=ORIENTED_EDGE('',*,*,#171823,.F.); #218171=ORIENTED_EDGE('',*,*,#171822,.T.); #218172=ORIENTED_EDGE('',*,*,#171819,.T.); #218173=ORIENTED_EDGE('',*,*,#171824,.F.); #218174=ORIENTED_EDGE('',*,*,#171821,.T.); #218175=ORIENTED_EDGE('',*,*,#171825,.F.); #218176=ORIENTED_EDGE('',*,*,#171826,.T.); #218177=ORIENTED_EDGE('',*,*,#171827,.F.); #218178=ORIENTED_EDGE('',*,*,#171826,.F.); #218179=ORIENTED_EDGE('',*,*,#171828,.F.); #218180=ORIENTED_EDGE('',*,*,#171829,.T.); #218181=ORIENTED_EDGE('',*,*,#171830,.T.); #218182=ORIENTED_EDGE('',*,*,#171829,.F.); #218183=ORIENTED_EDGE('',*,*,#171828,.T.); #218184=ORIENTED_EDGE('',*,*,#171825,.T.); #218185=ORIENTED_EDGE('',*,*,#171830,.F.); #218186=ORIENTED_EDGE('',*,*,#171827,.T.); #218187=ORIENTED_EDGE('',*,*,#171831,.F.); #218188=ORIENTED_EDGE('',*,*,#171832,.T.); #218189=ORIENTED_EDGE('',*,*,#171833,.F.); #218190=ORIENTED_EDGE('',*,*,#171832,.F.); #218191=ORIENTED_EDGE('',*,*,#171834,.F.); #218192=ORIENTED_EDGE('',*,*,#171835,.T.); #218193=ORIENTED_EDGE('',*,*,#171836,.T.); #218194=ORIENTED_EDGE('',*,*,#171835,.F.); #218195=ORIENTED_EDGE('',*,*,#171834,.T.); #218196=ORIENTED_EDGE('',*,*,#171831,.T.); #218197=ORIENTED_EDGE('',*,*,#171836,.F.); #218198=ORIENTED_EDGE('',*,*,#171833,.T.); #218199=ORIENTED_EDGE('',*,*,#171837,.F.); #218200=ORIENTED_EDGE('',*,*,#171838,.T.); #218201=ORIENTED_EDGE('',*,*,#171839,.F.); #218202=ORIENTED_EDGE('',*,*,#171838,.F.); #218203=ORIENTED_EDGE('',*,*,#171840,.F.); #218204=ORIENTED_EDGE('',*,*,#171841,.T.); #218205=ORIENTED_EDGE('',*,*,#171842,.T.); #218206=ORIENTED_EDGE('',*,*,#171841,.F.); #218207=ORIENTED_EDGE('',*,*,#171840,.T.); #218208=ORIENTED_EDGE('',*,*,#171837,.T.); #218209=ORIENTED_EDGE('',*,*,#171842,.F.); #218210=ORIENTED_EDGE('',*,*,#171839,.T.); #218211=ORIENTED_EDGE('',*,*,#171843,.F.); #218212=ORIENTED_EDGE('',*,*,#171844,.T.); #218213=ORIENTED_EDGE('',*,*,#171845,.F.); #218214=ORIENTED_EDGE('',*,*,#171844,.F.); #218215=ORIENTED_EDGE('',*,*,#171846,.F.); #218216=ORIENTED_EDGE('',*,*,#171847,.T.); #218217=ORIENTED_EDGE('',*,*,#171848,.T.); #218218=ORIENTED_EDGE('',*,*,#171847,.F.); #218219=ORIENTED_EDGE('',*,*,#171846,.T.); #218220=ORIENTED_EDGE('',*,*,#171843,.T.); #218221=ORIENTED_EDGE('',*,*,#171848,.F.); #218222=ORIENTED_EDGE('',*,*,#171845,.T.); #218223=ORIENTED_EDGE('',*,*,#171849,.F.); #218224=ORIENTED_EDGE('',*,*,#171850,.T.); #218225=ORIENTED_EDGE('',*,*,#171851,.F.); #218226=ORIENTED_EDGE('',*,*,#171850,.F.); #218227=ORIENTED_EDGE('',*,*,#171852,.F.); #218228=ORIENTED_EDGE('',*,*,#171853,.T.); #218229=ORIENTED_EDGE('',*,*,#171854,.T.); #218230=ORIENTED_EDGE('',*,*,#171853,.F.); #218231=ORIENTED_EDGE('',*,*,#171852,.T.); #218232=ORIENTED_EDGE('',*,*,#171849,.T.); #218233=ORIENTED_EDGE('',*,*,#171854,.F.); #218234=ORIENTED_EDGE('',*,*,#171851,.T.); #218235=ORIENTED_EDGE('',*,*,#171855,.F.); #218236=ORIENTED_EDGE('',*,*,#171856,.T.); #218237=ORIENTED_EDGE('',*,*,#171857,.F.); #218238=ORIENTED_EDGE('',*,*,#171856,.F.); #218239=ORIENTED_EDGE('',*,*,#171858,.F.); #218240=ORIENTED_EDGE('',*,*,#171859,.T.); #218241=ORIENTED_EDGE('',*,*,#171860,.T.); #218242=ORIENTED_EDGE('',*,*,#171859,.F.); #218243=ORIENTED_EDGE('',*,*,#171858,.T.); #218244=ORIENTED_EDGE('',*,*,#171855,.T.); #218245=ORIENTED_EDGE('',*,*,#171860,.F.); #218246=ORIENTED_EDGE('',*,*,#171857,.T.); #218247=ORIENTED_EDGE('',*,*,#171861,.F.); #218248=ORIENTED_EDGE('',*,*,#171862,.T.); #218249=ORIENTED_EDGE('',*,*,#171863,.F.); #218250=ORIENTED_EDGE('',*,*,#171862,.F.); #218251=ORIENTED_EDGE('',*,*,#171864,.F.); #218252=ORIENTED_EDGE('',*,*,#171865,.T.); #218253=ORIENTED_EDGE('',*,*,#171866,.T.); #218254=ORIENTED_EDGE('',*,*,#171865,.F.); #218255=ORIENTED_EDGE('',*,*,#171864,.T.); #218256=ORIENTED_EDGE('',*,*,#171861,.T.); #218257=ORIENTED_EDGE('',*,*,#171866,.F.); #218258=ORIENTED_EDGE('',*,*,#171863,.T.); #218259=ORIENTED_EDGE('',*,*,#171867,.F.); #218260=ORIENTED_EDGE('',*,*,#171868,.T.); #218261=ORIENTED_EDGE('',*,*,#171869,.F.); #218262=ORIENTED_EDGE('',*,*,#171868,.F.); #218263=ORIENTED_EDGE('',*,*,#171870,.F.); #218264=ORIENTED_EDGE('',*,*,#171871,.T.); #218265=ORIENTED_EDGE('',*,*,#171872,.T.); #218266=ORIENTED_EDGE('',*,*,#171871,.F.); #218267=ORIENTED_EDGE('',*,*,#171870,.T.); #218268=ORIENTED_EDGE('',*,*,#171867,.T.); #218269=ORIENTED_EDGE('',*,*,#171872,.F.); #218270=ORIENTED_EDGE('',*,*,#171869,.T.); #218271=ORIENTED_EDGE('',*,*,#171873,.F.); #218272=ORIENTED_EDGE('',*,*,#171874,.T.); #218273=ORIENTED_EDGE('',*,*,#171875,.F.); #218274=ORIENTED_EDGE('',*,*,#171874,.F.); #218275=ORIENTED_EDGE('',*,*,#171876,.F.); #218276=ORIENTED_EDGE('',*,*,#171877,.T.); #218277=ORIENTED_EDGE('',*,*,#171878,.T.); #218278=ORIENTED_EDGE('',*,*,#171877,.F.); #218279=ORIENTED_EDGE('',*,*,#171876,.T.); #218280=ORIENTED_EDGE('',*,*,#171873,.T.); #218281=ORIENTED_EDGE('',*,*,#171878,.F.); #218282=ORIENTED_EDGE('',*,*,#171875,.T.); #218283=ORIENTED_EDGE('',*,*,#171879,.F.); #218284=ORIENTED_EDGE('',*,*,#171880,.T.); #218285=ORIENTED_EDGE('',*,*,#171881,.F.); #218286=ORIENTED_EDGE('',*,*,#171880,.F.); #218287=ORIENTED_EDGE('',*,*,#171882,.F.); #218288=ORIENTED_EDGE('',*,*,#171883,.T.); #218289=ORIENTED_EDGE('',*,*,#171884,.T.); #218290=ORIENTED_EDGE('',*,*,#171883,.F.); #218291=ORIENTED_EDGE('',*,*,#171882,.T.); #218292=ORIENTED_EDGE('',*,*,#171879,.T.); #218293=ORIENTED_EDGE('',*,*,#171884,.F.); #218294=ORIENTED_EDGE('',*,*,#171881,.T.); #218295=ORIENTED_EDGE('',*,*,#171885,.F.); #218296=ORIENTED_EDGE('',*,*,#171886,.T.); #218297=ORIENTED_EDGE('',*,*,#171887,.F.); #218298=ORIENTED_EDGE('',*,*,#171886,.F.); #218299=ORIENTED_EDGE('',*,*,#171888,.F.); #218300=ORIENTED_EDGE('',*,*,#171889,.T.); #218301=ORIENTED_EDGE('',*,*,#171890,.T.); #218302=ORIENTED_EDGE('',*,*,#171889,.F.); #218303=ORIENTED_EDGE('',*,*,#171888,.T.); #218304=ORIENTED_EDGE('',*,*,#171885,.T.); #218305=ORIENTED_EDGE('',*,*,#171890,.F.); #218306=ORIENTED_EDGE('',*,*,#171887,.T.); #218307=ORIENTED_EDGE('',*,*,#171891,.F.); #218308=ORIENTED_EDGE('',*,*,#171892,.T.); #218309=ORIENTED_EDGE('',*,*,#171893,.F.); #218310=ORIENTED_EDGE('',*,*,#171892,.F.); #218311=ORIENTED_EDGE('',*,*,#171894,.F.); #218312=ORIENTED_EDGE('',*,*,#171895,.T.); #218313=ORIENTED_EDGE('',*,*,#171896,.T.); #218314=ORIENTED_EDGE('',*,*,#171895,.F.); #218315=ORIENTED_EDGE('',*,*,#171894,.T.); #218316=ORIENTED_EDGE('',*,*,#171891,.T.); #218317=ORIENTED_EDGE('',*,*,#171896,.F.); #218318=ORIENTED_EDGE('',*,*,#171893,.T.); #218319=ORIENTED_EDGE('',*,*,#171897,.F.); #218320=ORIENTED_EDGE('',*,*,#171898,.T.); #218321=ORIENTED_EDGE('',*,*,#171899,.F.); #218322=ORIENTED_EDGE('',*,*,#171898,.F.); #218323=ORIENTED_EDGE('',*,*,#171900,.F.); #218324=ORIENTED_EDGE('',*,*,#171901,.T.); #218325=ORIENTED_EDGE('',*,*,#171902,.T.); #218326=ORIENTED_EDGE('',*,*,#171901,.F.); #218327=ORIENTED_EDGE('',*,*,#171900,.T.); #218328=ORIENTED_EDGE('',*,*,#171897,.T.); #218329=ORIENTED_EDGE('',*,*,#171902,.F.); #218330=ORIENTED_EDGE('',*,*,#171899,.T.); #218331=ORIENTED_EDGE('',*,*,#171903,.F.); #218332=ORIENTED_EDGE('',*,*,#171904,.T.); #218333=ORIENTED_EDGE('',*,*,#171905,.F.); #218334=ORIENTED_EDGE('',*,*,#171904,.F.); #218335=ORIENTED_EDGE('',*,*,#171906,.F.); #218336=ORIENTED_EDGE('',*,*,#171907,.T.); #218337=ORIENTED_EDGE('',*,*,#171908,.T.); #218338=ORIENTED_EDGE('',*,*,#171907,.F.); #218339=ORIENTED_EDGE('',*,*,#171906,.T.); #218340=ORIENTED_EDGE('',*,*,#171903,.T.); #218341=ORIENTED_EDGE('',*,*,#171908,.F.); #218342=ORIENTED_EDGE('',*,*,#171905,.T.); #218343=ORIENTED_EDGE('',*,*,#171909,.F.); #218344=ORIENTED_EDGE('',*,*,#171910,.T.); #218345=ORIENTED_EDGE('',*,*,#171911,.F.); #218346=ORIENTED_EDGE('',*,*,#171910,.F.); #218347=ORIENTED_EDGE('',*,*,#171912,.F.); #218348=ORIENTED_EDGE('',*,*,#171913,.T.); #218349=ORIENTED_EDGE('',*,*,#171914,.T.); #218350=ORIENTED_EDGE('',*,*,#171913,.F.); #218351=ORIENTED_EDGE('',*,*,#171912,.T.); #218352=ORIENTED_EDGE('',*,*,#171909,.T.); #218353=ORIENTED_EDGE('',*,*,#171914,.F.); #218354=ORIENTED_EDGE('',*,*,#171911,.T.); #218355=ORIENTED_EDGE('',*,*,#171915,.F.); #218356=ORIENTED_EDGE('',*,*,#171916,.T.); #218357=ORIENTED_EDGE('',*,*,#171917,.F.); #218358=ORIENTED_EDGE('',*,*,#171916,.F.); #218359=ORIENTED_EDGE('',*,*,#171918,.F.); #218360=ORIENTED_EDGE('',*,*,#171919,.T.); #218361=ORIENTED_EDGE('',*,*,#171920,.T.); #218362=ORIENTED_EDGE('',*,*,#171919,.F.); #218363=ORIENTED_EDGE('',*,*,#171918,.T.); #218364=ORIENTED_EDGE('',*,*,#171915,.T.); #218365=ORIENTED_EDGE('',*,*,#171920,.F.); #218366=ORIENTED_EDGE('',*,*,#171917,.T.); #218367=ORIENTED_EDGE('',*,*,#171921,.F.); #218368=ORIENTED_EDGE('',*,*,#171922,.T.); #218369=ORIENTED_EDGE('',*,*,#171923,.F.); #218370=ORIENTED_EDGE('',*,*,#171922,.F.); #218371=ORIENTED_EDGE('',*,*,#171924,.F.); #218372=ORIENTED_EDGE('',*,*,#171925,.T.); #218373=ORIENTED_EDGE('',*,*,#171926,.T.); #218374=ORIENTED_EDGE('',*,*,#171925,.F.); #218375=ORIENTED_EDGE('',*,*,#171924,.T.); #218376=ORIENTED_EDGE('',*,*,#171921,.T.); #218377=ORIENTED_EDGE('',*,*,#171926,.F.); #218378=ORIENTED_EDGE('',*,*,#171923,.T.); #218379=ORIENTED_EDGE('',*,*,#171927,.F.); #218380=ORIENTED_EDGE('',*,*,#171928,.T.); #218381=ORIENTED_EDGE('',*,*,#171929,.F.); #218382=ORIENTED_EDGE('',*,*,#171928,.F.); #218383=ORIENTED_EDGE('',*,*,#171930,.F.); #218384=ORIENTED_EDGE('',*,*,#171931,.T.); #218385=ORIENTED_EDGE('',*,*,#171932,.T.); #218386=ORIENTED_EDGE('',*,*,#171931,.F.); #218387=ORIENTED_EDGE('',*,*,#171930,.T.); #218388=ORIENTED_EDGE('',*,*,#171927,.T.); #218389=ORIENTED_EDGE('',*,*,#171932,.F.); #218390=ORIENTED_EDGE('',*,*,#171929,.T.); #218391=ORIENTED_EDGE('',*,*,#171933,.F.); #218392=ORIENTED_EDGE('',*,*,#171934,.T.); #218393=ORIENTED_EDGE('',*,*,#171935,.F.); #218394=ORIENTED_EDGE('',*,*,#171934,.F.); #218395=ORIENTED_EDGE('',*,*,#171936,.F.); #218396=ORIENTED_EDGE('',*,*,#171937,.T.); #218397=ORIENTED_EDGE('',*,*,#171938,.T.); #218398=ORIENTED_EDGE('',*,*,#171937,.F.); #218399=ORIENTED_EDGE('',*,*,#171936,.T.); #218400=ORIENTED_EDGE('',*,*,#171933,.T.); #218401=ORIENTED_EDGE('',*,*,#171938,.F.); #218402=ORIENTED_EDGE('',*,*,#171935,.T.); #218403=ORIENTED_EDGE('',*,*,#171939,.F.); #218404=ORIENTED_EDGE('',*,*,#171940,.T.); #218405=ORIENTED_EDGE('',*,*,#171941,.F.); #218406=ORIENTED_EDGE('',*,*,#171940,.F.); #218407=ORIENTED_EDGE('',*,*,#171942,.F.); #218408=ORIENTED_EDGE('',*,*,#171943,.T.); #218409=ORIENTED_EDGE('',*,*,#171944,.T.); #218410=ORIENTED_EDGE('',*,*,#171943,.F.); #218411=ORIENTED_EDGE('',*,*,#171942,.T.); #218412=ORIENTED_EDGE('',*,*,#171939,.T.); #218413=ORIENTED_EDGE('',*,*,#171944,.F.); #218414=ORIENTED_EDGE('',*,*,#171941,.T.); #218415=ORIENTED_EDGE('',*,*,#171945,.F.); #218416=ORIENTED_EDGE('',*,*,#171946,.T.); #218417=ORIENTED_EDGE('',*,*,#171947,.F.); #218418=ORIENTED_EDGE('',*,*,#171946,.F.); #218419=ORIENTED_EDGE('',*,*,#171948,.F.); #218420=ORIENTED_EDGE('',*,*,#171949,.T.); #218421=ORIENTED_EDGE('',*,*,#171950,.T.); #218422=ORIENTED_EDGE('',*,*,#171949,.F.); #218423=ORIENTED_EDGE('',*,*,#171948,.T.); #218424=ORIENTED_EDGE('',*,*,#171945,.T.); #218425=ORIENTED_EDGE('',*,*,#171950,.F.); #218426=ORIENTED_EDGE('',*,*,#171947,.T.); #218427=ORIENTED_EDGE('',*,*,#171951,.F.); #218428=ORIENTED_EDGE('',*,*,#171952,.T.); #218429=ORIENTED_EDGE('',*,*,#171953,.F.); #218430=ORIENTED_EDGE('',*,*,#171952,.F.); #218431=ORIENTED_EDGE('',*,*,#171954,.F.); #218432=ORIENTED_EDGE('',*,*,#171955,.T.); #218433=ORIENTED_EDGE('',*,*,#171956,.T.); #218434=ORIENTED_EDGE('',*,*,#171955,.F.); #218435=ORIENTED_EDGE('',*,*,#171954,.T.); #218436=ORIENTED_EDGE('',*,*,#171951,.T.); #218437=ORIENTED_EDGE('',*,*,#171956,.F.); #218438=ORIENTED_EDGE('',*,*,#171953,.T.); #218439=ORIENTED_EDGE('',*,*,#171957,.F.); #218440=ORIENTED_EDGE('',*,*,#171958,.T.); #218441=ORIENTED_EDGE('',*,*,#171959,.F.); #218442=ORIENTED_EDGE('',*,*,#171958,.F.); #218443=ORIENTED_EDGE('',*,*,#171960,.F.); #218444=ORIENTED_EDGE('',*,*,#171961,.T.); #218445=ORIENTED_EDGE('',*,*,#171962,.T.); #218446=ORIENTED_EDGE('',*,*,#171961,.F.); #218447=ORIENTED_EDGE('',*,*,#171960,.T.); #218448=ORIENTED_EDGE('',*,*,#171957,.T.); #218449=ORIENTED_EDGE('',*,*,#171962,.F.); #218450=ORIENTED_EDGE('',*,*,#171959,.T.); #218451=ORIENTED_EDGE('',*,*,#171963,.F.); #218452=ORIENTED_EDGE('',*,*,#171964,.T.); #218453=ORIENTED_EDGE('',*,*,#171965,.F.); #218454=ORIENTED_EDGE('',*,*,#171964,.F.); #218455=ORIENTED_EDGE('',*,*,#171966,.F.); #218456=ORIENTED_EDGE('',*,*,#171967,.T.); #218457=ORIENTED_EDGE('',*,*,#171968,.T.); #218458=ORIENTED_EDGE('',*,*,#171967,.F.); #218459=ORIENTED_EDGE('',*,*,#171966,.T.); #218460=ORIENTED_EDGE('',*,*,#171963,.T.); #218461=ORIENTED_EDGE('',*,*,#171968,.F.); #218462=ORIENTED_EDGE('',*,*,#171965,.T.); #218463=ORIENTED_EDGE('',*,*,#171969,.F.); #218464=ORIENTED_EDGE('',*,*,#171970,.T.); #218465=ORIENTED_EDGE('',*,*,#171971,.F.); #218466=ORIENTED_EDGE('',*,*,#171970,.F.); #218467=ORIENTED_EDGE('',*,*,#171972,.F.); #218468=ORIENTED_EDGE('',*,*,#171973,.T.); #218469=ORIENTED_EDGE('',*,*,#171974,.T.); #218470=ORIENTED_EDGE('',*,*,#171973,.F.); #218471=ORIENTED_EDGE('',*,*,#171972,.T.); #218472=ORIENTED_EDGE('',*,*,#171969,.T.); #218473=ORIENTED_EDGE('',*,*,#171974,.F.); #218474=ORIENTED_EDGE('',*,*,#171971,.T.); #218475=ORIENTED_EDGE('',*,*,#171975,.F.); #218476=ORIENTED_EDGE('',*,*,#171976,.T.); #218477=ORIENTED_EDGE('',*,*,#171977,.F.); #218478=ORIENTED_EDGE('',*,*,#171976,.F.); #218479=ORIENTED_EDGE('',*,*,#171978,.F.); #218480=ORIENTED_EDGE('',*,*,#171979,.T.); #218481=ORIENTED_EDGE('',*,*,#171980,.T.); #218482=ORIENTED_EDGE('',*,*,#171979,.F.); #218483=ORIENTED_EDGE('',*,*,#171978,.T.); #218484=ORIENTED_EDGE('',*,*,#171975,.T.); #218485=ORIENTED_EDGE('',*,*,#171980,.F.); #218486=ORIENTED_EDGE('',*,*,#171977,.T.); #218487=ORIENTED_EDGE('',*,*,#171981,.F.); #218488=ORIENTED_EDGE('',*,*,#171982,.T.); #218489=ORIENTED_EDGE('',*,*,#171983,.F.); #218490=ORIENTED_EDGE('',*,*,#171982,.F.); #218491=ORIENTED_EDGE('',*,*,#171984,.F.); #218492=ORIENTED_EDGE('',*,*,#171985,.T.); #218493=ORIENTED_EDGE('',*,*,#171986,.T.); #218494=ORIENTED_EDGE('',*,*,#171985,.F.); #218495=ORIENTED_EDGE('',*,*,#171984,.T.); #218496=ORIENTED_EDGE('',*,*,#171981,.T.); #218497=ORIENTED_EDGE('',*,*,#171986,.F.); #218498=ORIENTED_EDGE('',*,*,#171983,.T.); #218499=ORIENTED_EDGE('',*,*,#171987,.F.); #218500=ORIENTED_EDGE('',*,*,#171988,.T.); #218501=ORIENTED_EDGE('',*,*,#171989,.F.); #218502=ORIENTED_EDGE('',*,*,#171988,.F.); #218503=ORIENTED_EDGE('',*,*,#171990,.F.); #218504=ORIENTED_EDGE('',*,*,#171991,.T.); #218505=ORIENTED_EDGE('',*,*,#171992,.T.); #218506=ORIENTED_EDGE('',*,*,#171991,.F.); #218507=ORIENTED_EDGE('',*,*,#171990,.T.); #218508=ORIENTED_EDGE('',*,*,#171987,.T.); #218509=ORIENTED_EDGE('',*,*,#171992,.F.); #218510=ORIENTED_EDGE('',*,*,#171989,.T.); #218511=ORIENTED_EDGE('',*,*,#171993,.F.); #218512=ORIENTED_EDGE('',*,*,#171994,.T.); #218513=ORIENTED_EDGE('',*,*,#171995,.F.); #218514=ORIENTED_EDGE('',*,*,#171994,.F.); #218515=ORIENTED_EDGE('',*,*,#171996,.F.); #218516=ORIENTED_EDGE('',*,*,#171997,.T.); #218517=ORIENTED_EDGE('',*,*,#171998,.T.); #218518=ORIENTED_EDGE('',*,*,#171997,.F.); #218519=ORIENTED_EDGE('',*,*,#171996,.T.); #218520=ORIENTED_EDGE('',*,*,#171993,.T.); #218521=ORIENTED_EDGE('',*,*,#171998,.F.); #218522=ORIENTED_EDGE('',*,*,#171995,.T.); #218523=ORIENTED_EDGE('',*,*,#171999,.T.); #218524=ORIENTED_EDGE('',*,*,#172000,.T.); #218525=ORIENTED_EDGE('',*,*,#172001,.F.); #218526=ORIENTED_EDGE('',*,*,#172002,.F.); #218527=ORIENTED_EDGE('',*,*,#172003,.F.); #218528=ORIENTED_EDGE('',*,*,#172002,.T.); #218529=ORIENTED_EDGE('',*,*,#172004,.F.); #218530=ORIENTED_EDGE('',*,*,#172005,.F.); #218531=ORIENTED_EDGE('',*,*,#172006,.T.); #218532=ORIENTED_EDGE('',*,*,#172005,.T.); #218533=ORIENTED_EDGE('',*,*,#172007,.F.); #218534=ORIENTED_EDGE('',*,*,#172008,.F.); #218535=ORIENTED_EDGE('',*,*,#172009,.F.); #218536=ORIENTED_EDGE('',*,*,#172008,.T.); #218537=ORIENTED_EDGE('',*,*,#172010,.F.); #218538=ORIENTED_EDGE('',*,*,#172000,.F.); #218539=ORIENTED_EDGE('',*,*,#172011,.F.); #218540=ORIENTED_EDGE('',*,*,#172012,.T.); #218541=ORIENTED_EDGE('',*,*,#172013,.F.); #218542=ORIENTED_EDGE('',*,*,#172014,.F.); #218543=ORIENTED_EDGE('',*,*,#172015,.T.); #218544=ORIENTED_EDGE('',*,*,#172014,.T.); #218545=ORIENTED_EDGE('',*,*,#172016,.F.); #218546=ORIENTED_EDGE('',*,*,#172017,.F.); #218547=ORIENTED_EDGE('',*,*,#172018,.F.); #218548=ORIENTED_EDGE('',*,*,#172017,.T.); #218549=ORIENTED_EDGE('',*,*,#172019,.F.); #218550=ORIENTED_EDGE('',*,*,#172020,.F.); #218551=ORIENTED_EDGE('',*,*,#172021,.T.); #218552=ORIENTED_EDGE('',*,*,#172020,.T.); #218553=ORIENTED_EDGE('',*,*,#172022,.F.); #218554=ORIENTED_EDGE('',*,*,#172012,.F.); #218555=ORIENTED_EDGE('',*,*,#172022,.T.); #218556=ORIENTED_EDGE('',*,*,#172019,.T.); #218557=ORIENTED_EDGE('',*,*,#172016,.T.); #218558=ORIENTED_EDGE('',*,*,#172013,.T.); #218559=ORIENTED_EDGE('',*,*,#172010,.T.); #218560=ORIENTED_EDGE('',*,*,#172007,.T.); #218561=ORIENTED_EDGE('',*,*,#172004,.T.); #218562=ORIENTED_EDGE('',*,*,#172001,.T.); #218563=ORIENTED_EDGE('',*,*,#172021,.F.); #218564=ORIENTED_EDGE('',*,*,#172011,.T.); #218565=ORIENTED_EDGE('',*,*,#172015,.F.); #218566=ORIENTED_EDGE('',*,*,#172018,.T.); #218567=ORIENTED_EDGE('',*,*,#172009,.T.); #218568=ORIENTED_EDGE('',*,*,#171999,.F.); #218569=ORIENTED_EDGE('',*,*,#172003,.T.); #218570=ORIENTED_EDGE('',*,*,#172006,.F.); #218571=ORIENTED_EDGE('',*,*,#172023,.F.); #218572=ORIENTED_EDGE('',*,*,#172024,.T.); #218573=ORIENTED_EDGE('',*,*,#172025,.F.); #218574=ORIENTED_EDGE('',*,*,#172024,.F.); #218575=ORIENTED_EDGE('',*,*,#172026,.F.); #218576=ORIENTED_EDGE('',*,*,#172027,.T.); #218577=ORIENTED_EDGE('',*,*,#172028,.T.); #218578=ORIENTED_EDGE('',*,*,#172027,.F.); #218579=ORIENTED_EDGE('',*,*,#172026,.T.); #218580=ORIENTED_EDGE('',*,*,#172023,.T.); #218581=ORIENTED_EDGE('',*,*,#172028,.F.); #218582=ORIENTED_EDGE('',*,*,#172025,.T.); #218583=ORIENTED_EDGE('',*,*,#172029,.T.); #218584=ORIENTED_EDGE('',*,*,#172030,.T.); #218585=ORIENTED_EDGE('',*,*,#172031,.F.); #218586=ORIENTED_EDGE('',*,*,#172032,.F.); #218587=ORIENTED_EDGE('',*,*,#172033,.F.); #218588=ORIENTED_EDGE('',*,*,#172032,.T.); #218589=ORIENTED_EDGE('',*,*,#172034,.F.); #218590=ORIENTED_EDGE('',*,*,#172035,.F.); #218591=ORIENTED_EDGE('',*,*,#172036,.T.); #218592=ORIENTED_EDGE('',*,*,#172035,.T.); #218593=ORIENTED_EDGE('',*,*,#172037,.F.); #218594=ORIENTED_EDGE('',*,*,#172038,.F.); #218595=ORIENTED_EDGE('',*,*,#172039,.F.); #218596=ORIENTED_EDGE('',*,*,#172038,.T.); #218597=ORIENTED_EDGE('',*,*,#172040,.F.); #218598=ORIENTED_EDGE('',*,*,#172030,.F.); #218599=ORIENTED_EDGE('',*,*,#172041,.F.); #218600=ORIENTED_EDGE('',*,*,#172042,.T.); #218601=ORIENTED_EDGE('',*,*,#172043,.F.); #218602=ORIENTED_EDGE('',*,*,#172044,.F.); #218603=ORIENTED_EDGE('',*,*,#172045,.T.); #218604=ORIENTED_EDGE('',*,*,#172044,.T.); #218605=ORIENTED_EDGE('',*,*,#172046,.F.); #218606=ORIENTED_EDGE('',*,*,#172047,.F.); #218607=ORIENTED_EDGE('',*,*,#172048,.F.); #218608=ORIENTED_EDGE('',*,*,#172047,.T.); #218609=ORIENTED_EDGE('',*,*,#172049,.F.); #218610=ORIENTED_EDGE('',*,*,#172050,.F.); #218611=ORIENTED_EDGE('',*,*,#172051,.T.); #218612=ORIENTED_EDGE('',*,*,#172050,.T.); #218613=ORIENTED_EDGE('',*,*,#172052,.F.); #218614=ORIENTED_EDGE('',*,*,#172042,.F.); #218615=ORIENTED_EDGE('',*,*,#172052,.T.); #218616=ORIENTED_EDGE('',*,*,#172049,.T.); #218617=ORIENTED_EDGE('',*,*,#172046,.T.); #218618=ORIENTED_EDGE('',*,*,#172043,.T.); #218619=ORIENTED_EDGE('',*,*,#172040,.T.); #218620=ORIENTED_EDGE('',*,*,#172037,.T.); #218621=ORIENTED_EDGE('',*,*,#172034,.T.); #218622=ORIENTED_EDGE('',*,*,#172031,.T.); #218623=ORIENTED_EDGE('',*,*,#172051,.F.); #218624=ORIENTED_EDGE('',*,*,#172041,.T.); #218625=ORIENTED_EDGE('',*,*,#172045,.F.); #218626=ORIENTED_EDGE('',*,*,#172048,.T.); #218627=ORIENTED_EDGE('',*,*,#172039,.T.); #218628=ORIENTED_EDGE('',*,*,#172029,.F.); #218629=ORIENTED_EDGE('',*,*,#172033,.T.); #218630=ORIENTED_EDGE('',*,*,#172036,.F.); #218631=ORIENTED_EDGE('',*,*,#172053,.F.); #218632=ORIENTED_EDGE('',*,*,#172054,.T.); #218633=ORIENTED_EDGE('',*,*,#172055,.F.); #218634=ORIENTED_EDGE('',*,*,#172054,.F.); #218635=ORIENTED_EDGE('',*,*,#172056,.F.); #218636=ORIENTED_EDGE('',*,*,#172057,.T.); #218637=ORIENTED_EDGE('',*,*,#172058,.T.); #218638=ORIENTED_EDGE('',*,*,#172057,.F.); #218639=ORIENTED_EDGE('',*,*,#172056,.T.); #218640=ORIENTED_EDGE('',*,*,#172053,.T.); #218641=ORIENTED_EDGE('',*,*,#172058,.F.); #218642=ORIENTED_EDGE('',*,*,#172055,.T.); #218643=ORIENTED_EDGE('',*,*,#172059,.T.); #218644=ORIENTED_EDGE('',*,*,#172060,.T.); #218645=ORIENTED_EDGE('',*,*,#172061,.F.); #218646=ORIENTED_EDGE('',*,*,#172062,.F.); #218647=ORIENTED_EDGE('',*,*,#172063,.F.); #218648=ORIENTED_EDGE('',*,*,#172062,.T.); #218649=ORIENTED_EDGE('',*,*,#172064,.F.); #218650=ORIENTED_EDGE('',*,*,#172065,.F.); #218651=ORIENTED_EDGE('',*,*,#172066,.T.); #218652=ORIENTED_EDGE('',*,*,#172065,.T.); #218653=ORIENTED_EDGE('',*,*,#172067,.F.); #218654=ORIENTED_EDGE('',*,*,#172068,.F.); #218655=ORIENTED_EDGE('',*,*,#172069,.F.); #218656=ORIENTED_EDGE('',*,*,#172068,.T.); #218657=ORIENTED_EDGE('',*,*,#172070,.F.); #218658=ORIENTED_EDGE('',*,*,#172060,.F.); #218659=ORIENTED_EDGE('',*,*,#172071,.F.); #218660=ORIENTED_EDGE('',*,*,#172072,.T.); #218661=ORIENTED_EDGE('',*,*,#172073,.F.); #218662=ORIENTED_EDGE('',*,*,#172074,.F.); #218663=ORIENTED_EDGE('',*,*,#172075,.T.); #218664=ORIENTED_EDGE('',*,*,#172074,.T.); #218665=ORIENTED_EDGE('',*,*,#172076,.F.); #218666=ORIENTED_EDGE('',*,*,#172077,.F.); #218667=ORIENTED_EDGE('',*,*,#172078,.F.); #218668=ORIENTED_EDGE('',*,*,#172077,.T.); #218669=ORIENTED_EDGE('',*,*,#172079,.F.); #218670=ORIENTED_EDGE('',*,*,#172080,.F.); #218671=ORIENTED_EDGE('',*,*,#172081,.T.); #218672=ORIENTED_EDGE('',*,*,#172080,.T.); #218673=ORIENTED_EDGE('',*,*,#172082,.F.); #218674=ORIENTED_EDGE('',*,*,#172072,.F.); #218675=ORIENTED_EDGE('',*,*,#172082,.T.); #218676=ORIENTED_EDGE('',*,*,#172079,.T.); #218677=ORIENTED_EDGE('',*,*,#172076,.T.); #218678=ORIENTED_EDGE('',*,*,#172073,.T.); #218679=ORIENTED_EDGE('',*,*,#172070,.T.); #218680=ORIENTED_EDGE('',*,*,#172067,.T.); #218681=ORIENTED_EDGE('',*,*,#172064,.T.); #218682=ORIENTED_EDGE('',*,*,#172061,.T.); #218683=ORIENTED_EDGE('',*,*,#172081,.F.); #218684=ORIENTED_EDGE('',*,*,#172071,.T.); #218685=ORIENTED_EDGE('',*,*,#172075,.F.); #218686=ORIENTED_EDGE('',*,*,#172078,.T.); #218687=ORIENTED_EDGE('',*,*,#172069,.T.); #218688=ORIENTED_EDGE('',*,*,#172059,.F.); #218689=ORIENTED_EDGE('',*,*,#172063,.T.); #218690=ORIENTED_EDGE('',*,*,#172066,.F.); #218691=ORIENTED_EDGE('',*,*,#172083,.F.); #218692=ORIENTED_EDGE('',*,*,#172084,.T.); #218693=ORIENTED_EDGE('',*,*,#172085,.F.); #218694=ORIENTED_EDGE('',*,*,#172084,.F.); #218695=ORIENTED_EDGE('',*,*,#172086,.F.); #218696=ORIENTED_EDGE('',*,*,#172087,.T.); #218697=ORIENTED_EDGE('',*,*,#172088,.T.); #218698=ORIENTED_EDGE('',*,*,#172087,.F.); #218699=ORIENTED_EDGE('',*,*,#172086,.T.); #218700=ORIENTED_EDGE('',*,*,#172083,.T.); #218701=ORIENTED_EDGE('',*,*,#172088,.F.); #218702=ORIENTED_EDGE('',*,*,#172085,.T.); #218703=ORIENTED_EDGE('',*,*,#172089,.F.); #218704=ORIENTED_EDGE('',*,*,#172090,.T.); #218705=ORIENTED_EDGE('',*,*,#172091,.F.); #218706=ORIENTED_EDGE('',*,*,#172090,.F.); #218707=ORIENTED_EDGE('',*,*,#172092,.F.); #218708=ORIENTED_EDGE('',*,*,#172093,.T.); #218709=ORIENTED_EDGE('',*,*,#172094,.T.); #218710=ORIENTED_EDGE('',*,*,#172093,.F.); #218711=ORIENTED_EDGE('',*,*,#172092,.T.); #218712=ORIENTED_EDGE('',*,*,#172089,.T.); #218713=ORIENTED_EDGE('',*,*,#172094,.F.); #218714=ORIENTED_EDGE('',*,*,#172091,.T.); #218715=ORIENTED_EDGE('',*,*,#172095,.F.); #218716=ORIENTED_EDGE('',*,*,#172096,.T.); #218717=ORIENTED_EDGE('',*,*,#172097,.F.); #218718=ORIENTED_EDGE('',*,*,#172096,.F.); #218719=ORIENTED_EDGE('',*,*,#172098,.F.); #218720=ORIENTED_EDGE('',*,*,#172099,.T.); #218721=ORIENTED_EDGE('',*,*,#172100,.T.); #218722=ORIENTED_EDGE('',*,*,#172099,.F.); #218723=ORIENTED_EDGE('',*,*,#172098,.T.); #218724=ORIENTED_EDGE('',*,*,#172095,.T.); #218725=ORIENTED_EDGE('',*,*,#172100,.F.); #218726=ORIENTED_EDGE('',*,*,#172097,.T.); #218727=ORIENTED_EDGE('',*,*,#172101,.F.); #218728=ORIENTED_EDGE('',*,*,#172102,.T.); #218729=ORIENTED_EDGE('',*,*,#172103,.F.); #218730=ORIENTED_EDGE('',*,*,#172102,.F.); #218731=ORIENTED_EDGE('',*,*,#172104,.F.); #218732=ORIENTED_EDGE('',*,*,#172105,.T.); #218733=ORIENTED_EDGE('',*,*,#172106,.T.); #218734=ORIENTED_EDGE('',*,*,#172105,.F.); #218735=ORIENTED_EDGE('',*,*,#172104,.T.); #218736=ORIENTED_EDGE('',*,*,#172101,.T.); #218737=ORIENTED_EDGE('',*,*,#172106,.F.); #218738=ORIENTED_EDGE('',*,*,#172103,.T.); #218739=ORIENTED_EDGE('',*,*,#172107,.F.); #218740=ORIENTED_EDGE('',*,*,#172108,.T.); #218741=ORIENTED_EDGE('',*,*,#172109,.F.); #218742=ORIENTED_EDGE('',*,*,#172108,.F.); #218743=ORIENTED_EDGE('',*,*,#172110,.F.); #218744=ORIENTED_EDGE('',*,*,#172111,.T.); #218745=ORIENTED_EDGE('',*,*,#172112,.T.); #218746=ORIENTED_EDGE('',*,*,#172111,.F.); #218747=ORIENTED_EDGE('',*,*,#172110,.T.); #218748=ORIENTED_EDGE('',*,*,#172107,.T.); #218749=ORIENTED_EDGE('',*,*,#172112,.F.); #218750=ORIENTED_EDGE('',*,*,#172109,.T.); #218751=ORIENTED_EDGE('',*,*,#172113,.F.); #218752=ORIENTED_EDGE('',*,*,#172114,.T.); #218753=ORIENTED_EDGE('',*,*,#172115,.F.); #218754=ORIENTED_EDGE('',*,*,#172114,.F.); #218755=ORIENTED_EDGE('',*,*,#172116,.F.); #218756=ORIENTED_EDGE('',*,*,#172117,.T.); #218757=ORIENTED_EDGE('',*,*,#172118,.T.); #218758=ORIENTED_EDGE('',*,*,#172117,.F.); #218759=ORIENTED_EDGE('',*,*,#172116,.T.); #218760=ORIENTED_EDGE('',*,*,#172113,.T.); #218761=ORIENTED_EDGE('',*,*,#172118,.F.); #218762=ORIENTED_EDGE('',*,*,#172115,.T.); #218763=ORIENTED_EDGE('',*,*,#172119,.F.); #218764=ORIENTED_EDGE('',*,*,#172120,.T.); #218765=ORIENTED_EDGE('',*,*,#172121,.F.); #218766=ORIENTED_EDGE('',*,*,#172120,.F.); #218767=ORIENTED_EDGE('',*,*,#172122,.F.); #218768=ORIENTED_EDGE('',*,*,#172123,.T.); #218769=ORIENTED_EDGE('',*,*,#172124,.T.); #218770=ORIENTED_EDGE('',*,*,#172123,.F.); #218771=ORIENTED_EDGE('',*,*,#172122,.T.); #218772=ORIENTED_EDGE('',*,*,#172119,.T.); #218773=ORIENTED_EDGE('',*,*,#172124,.F.); #218774=ORIENTED_EDGE('',*,*,#172121,.T.); #218775=ORIENTED_EDGE('',*,*,#172125,.F.); #218776=ORIENTED_EDGE('',*,*,#172126,.T.); #218777=ORIENTED_EDGE('',*,*,#172127,.F.); #218778=ORIENTED_EDGE('',*,*,#172126,.F.); #218779=ORIENTED_EDGE('',*,*,#172128,.F.); #218780=ORIENTED_EDGE('',*,*,#172129,.T.); #218781=ORIENTED_EDGE('',*,*,#172130,.T.); #218782=ORIENTED_EDGE('',*,*,#172129,.F.); #218783=ORIENTED_EDGE('',*,*,#172128,.T.); #218784=ORIENTED_EDGE('',*,*,#172125,.T.); #218785=ORIENTED_EDGE('',*,*,#172130,.F.); #218786=ORIENTED_EDGE('',*,*,#172127,.T.); #218787=ORIENTED_EDGE('',*,*,#172131,.F.); #218788=ORIENTED_EDGE('',*,*,#172132,.T.); #218789=ORIENTED_EDGE('',*,*,#172133,.F.); #218790=ORIENTED_EDGE('',*,*,#172132,.F.); #218791=ORIENTED_EDGE('',*,*,#172134,.F.); #218792=ORIENTED_EDGE('',*,*,#172135,.T.); #218793=ORIENTED_EDGE('',*,*,#172136,.T.); #218794=ORIENTED_EDGE('',*,*,#172135,.F.); #218795=ORIENTED_EDGE('',*,*,#172134,.T.); #218796=ORIENTED_EDGE('',*,*,#172131,.T.); #218797=ORIENTED_EDGE('',*,*,#172136,.F.); #218798=ORIENTED_EDGE('',*,*,#172133,.T.); #218799=ORIENTED_EDGE('',*,*,#172137,.F.); #218800=ORIENTED_EDGE('',*,*,#172138,.T.); #218801=ORIENTED_EDGE('',*,*,#172139,.F.); #218802=ORIENTED_EDGE('',*,*,#172138,.F.); #218803=ORIENTED_EDGE('',*,*,#172140,.F.); #218804=ORIENTED_EDGE('',*,*,#172141,.T.); #218805=ORIENTED_EDGE('',*,*,#172142,.T.); #218806=ORIENTED_EDGE('',*,*,#172141,.F.); #218807=ORIENTED_EDGE('',*,*,#172140,.T.); #218808=ORIENTED_EDGE('',*,*,#172137,.T.); #218809=ORIENTED_EDGE('',*,*,#172142,.F.); #218810=ORIENTED_EDGE('',*,*,#172139,.T.); #218811=ORIENTED_EDGE('',*,*,#172143,.T.); #218812=ORIENTED_EDGE('',*,*,#172144,.T.); #218813=ORIENTED_EDGE('',*,*,#172145,.F.); #218814=ORIENTED_EDGE('',*,*,#172146,.F.); #218815=ORIENTED_EDGE('',*,*,#172147,.F.); #218816=ORIENTED_EDGE('',*,*,#172146,.T.); #218817=ORIENTED_EDGE('',*,*,#172148,.F.); #218818=ORIENTED_EDGE('',*,*,#172149,.F.); #218819=ORIENTED_EDGE('',*,*,#172150,.T.); #218820=ORIENTED_EDGE('',*,*,#172149,.T.); #218821=ORIENTED_EDGE('',*,*,#172151,.F.); #218822=ORIENTED_EDGE('',*,*,#172152,.F.); #218823=ORIENTED_EDGE('',*,*,#172153,.F.); #218824=ORIENTED_EDGE('',*,*,#172152,.T.); #218825=ORIENTED_EDGE('',*,*,#172154,.F.); #218826=ORIENTED_EDGE('',*,*,#172144,.F.); #218827=ORIENTED_EDGE('',*,*,#172155,.F.); #218828=ORIENTED_EDGE('',*,*,#172156,.T.); #218829=ORIENTED_EDGE('',*,*,#172157,.F.); #218830=ORIENTED_EDGE('',*,*,#172158,.F.); #218831=ORIENTED_EDGE('',*,*,#172159,.T.); #218832=ORIENTED_EDGE('',*,*,#172158,.T.); #218833=ORIENTED_EDGE('',*,*,#172160,.F.); #218834=ORIENTED_EDGE('',*,*,#172161,.F.); #218835=ORIENTED_EDGE('',*,*,#172162,.F.); #218836=ORIENTED_EDGE('',*,*,#172161,.T.); #218837=ORIENTED_EDGE('',*,*,#172163,.F.); #218838=ORIENTED_EDGE('',*,*,#172164,.F.); #218839=ORIENTED_EDGE('',*,*,#172165,.T.); #218840=ORIENTED_EDGE('',*,*,#172164,.T.); #218841=ORIENTED_EDGE('',*,*,#172166,.F.); #218842=ORIENTED_EDGE('',*,*,#172156,.F.); #218843=ORIENTED_EDGE('',*,*,#172166,.T.); #218844=ORIENTED_EDGE('',*,*,#172163,.T.); #218845=ORIENTED_EDGE('',*,*,#172160,.T.); #218846=ORIENTED_EDGE('',*,*,#172157,.T.); #218847=ORIENTED_EDGE('',*,*,#172154,.T.); #218848=ORIENTED_EDGE('',*,*,#172151,.T.); #218849=ORIENTED_EDGE('',*,*,#172148,.T.); #218850=ORIENTED_EDGE('',*,*,#172145,.T.); #218851=ORIENTED_EDGE('',*,*,#172165,.F.); #218852=ORIENTED_EDGE('',*,*,#172155,.T.); #218853=ORIENTED_EDGE('',*,*,#172159,.F.); #218854=ORIENTED_EDGE('',*,*,#172162,.T.); #218855=ORIENTED_EDGE('',*,*,#172153,.T.); #218856=ORIENTED_EDGE('',*,*,#172143,.F.); #218857=ORIENTED_EDGE('',*,*,#172147,.T.); #218858=ORIENTED_EDGE('',*,*,#172150,.F.); #218859=ORIENTED_EDGE('',*,*,#172167,.F.); #218860=ORIENTED_EDGE('',*,*,#172168,.T.); #218861=ORIENTED_EDGE('',*,*,#172169,.F.); #218862=ORIENTED_EDGE('',*,*,#172168,.F.); #218863=ORIENTED_EDGE('',*,*,#172170,.F.); #218864=ORIENTED_EDGE('',*,*,#172171,.T.); #218865=ORIENTED_EDGE('',*,*,#172172,.T.); #218866=ORIENTED_EDGE('',*,*,#172171,.F.); #218867=ORIENTED_EDGE('',*,*,#172170,.T.); #218868=ORIENTED_EDGE('',*,*,#172167,.T.); #218869=ORIENTED_EDGE('',*,*,#172172,.F.); #218870=ORIENTED_EDGE('',*,*,#172169,.T.); #218871=ORIENTED_EDGE('',*,*,#172173,.F.); #218872=ORIENTED_EDGE('',*,*,#172174,.T.); #218873=ORIENTED_EDGE('',*,*,#172175,.F.); #218874=ORIENTED_EDGE('',*,*,#172174,.F.); #218875=ORIENTED_EDGE('',*,*,#172176,.F.); #218876=ORIENTED_EDGE('',*,*,#172177,.T.); #218877=ORIENTED_EDGE('',*,*,#172178,.T.); #218878=ORIENTED_EDGE('',*,*,#172177,.F.); #218879=ORIENTED_EDGE('',*,*,#172176,.T.); #218880=ORIENTED_EDGE('',*,*,#172173,.T.); #218881=ORIENTED_EDGE('',*,*,#172178,.F.); #218882=ORIENTED_EDGE('',*,*,#172175,.T.); #218883=ORIENTED_EDGE('',*,*,#172179,.F.); #218884=ORIENTED_EDGE('',*,*,#172180,.T.); #218885=ORIENTED_EDGE('',*,*,#172181,.F.); #218886=ORIENTED_EDGE('',*,*,#172180,.F.); #218887=ORIENTED_EDGE('',*,*,#172182,.F.); #218888=ORIENTED_EDGE('',*,*,#172183,.T.); #218889=ORIENTED_EDGE('',*,*,#172184,.T.); #218890=ORIENTED_EDGE('',*,*,#172183,.F.); #218891=ORIENTED_EDGE('',*,*,#172182,.T.); #218892=ORIENTED_EDGE('',*,*,#172179,.T.); #218893=ORIENTED_EDGE('',*,*,#172184,.F.); #218894=ORIENTED_EDGE('',*,*,#172181,.T.); #218895=ORIENTED_EDGE('',*,*,#172185,.T.); #218896=ORIENTED_EDGE('',*,*,#172186,.T.); #218897=ORIENTED_EDGE('',*,*,#172187,.F.); #218898=ORIENTED_EDGE('',*,*,#172188,.F.); #218899=ORIENTED_EDGE('',*,*,#172189,.F.); #218900=ORIENTED_EDGE('',*,*,#172188,.T.); #218901=ORIENTED_EDGE('',*,*,#172190,.F.); #218902=ORIENTED_EDGE('',*,*,#172191,.F.); #218903=ORIENTED_EDGE('',*,*,#172192,.T.); #218904=ORIENTED_EDGE('',*,*,#172191,.T.); #218905=ORIENTED_EDGE('',*,*,#172193,.F.); #218906=ORIENTED_EDGE('',*,*,#172194,.F.); #218907=ORIENTED_EDGE('',*,*,#172195,.F.); #218908=ORIENTED_EDGE('',*,*,#172194,.T.); #218909=ORIENTED_EDGE('',*,*,#172196,.F.); #218910=ORIENTED_EDGE('',*,*,#172186,.F.); #218911=ORIENTED_EDGE('',*,*,#172197,.F.); #218912=ORIENTED_EDGE('',*,*,#172198,.T.); #218913=ORIENTED_EDGE('',*,*,#172199,.F.); #218914=ORIENTED_EDGE('',*,*,#172200,.F.); #218915=ORIENTED_EDGE('',*,*,#172201,.T.); #218916=ORIENTED_EDGE('',*,*,#172200,.T.); #218917=ORIENTED_EDGE('',*,*,#172202,.F.); #218918=ORIENTED_EDGE('',*,*,#172203,.F.); #218919=ORIENTED_EDGE('',*,*,#172204,.F.); #218920=ORIENTED_EDGE('',*,*,#172203,.T.); #218921=ORIENTED_EDGE('',*,*,#172205,.F.); #218922=ORIENTED_EDGE('',*,*,#172206,.F.); #218923=ORIENTED_EDGE('',*,*,#172207,.T.); #218924=ORIENTED_EDGE('',*,*,#172206,.T.); #218925=ORIENTED_EDGE('',*,*,#172208,.F.); #218926=ORIENTED_EDGE('',*,*,#172198,.F.); #218927=ORIENTED_EDGE('',*,*,#172208,.T.); #218928=ORIENTED_EDGE('',*,*,#172205,.T.); #218929=ORIENTED_EDGE('',*,*,#172202,.T.); #218930=ORIENTED_EDGE('',*,*,#172199,.T.); #218931=ORIENTED_EDGE('',*,*,#172196,.T.); #218932=ORIENTED_EDGE('',*,*,#172193,.T.); #218933=ORIENTED_EDGE('',*,*,#172190,.T.); #218934=ORIENTED_EDGE('',*,*,#172187,.T.); #218935=ORIENTED_EDGE('',*,*,#172207,.F.); #218936=ORIENTED_EDGE('',*,*,#172197,.T.); #218937=ORIENTED_EDGE('',*,*,#172201,.F.); #218938=ORIENTED_EDGE('',*,*,#172204,.T.); #218939=ORIENTED_EDGE('',*,*,#172195,.T.); #218940=ORIENTED_EDGE('',*,*,#172185,.F.); #218941=ORIENTED_EDGE('',*,*,#172189,.T.); #218942=ORIENTED_EDGE('',*,*,#172192,.F.); #218943=ORIENTED_EDGE('',*,*,#172209,.F.); #218944=ORIENTED_EDGE('',*,*,#172210,.T.); #218945=ORIENTED_EDGE('',*,*,#172211,.F.); #218946=ORIENTED_EDGE('',*,*,#172210,.F.); #218947=ORIENTED_EDGE('',*,*,#172212,.F.); #218948=ORIENTED_EDGE('',*,*,#172213,.T.); #218949=ORIENTED_EDGE('',*,*,#172214,.T.); #218950=ORIENTED_EDGE('',*,*,#172213,.F.); #218951=ORIENTED_EDGE('',*,*,#172212,.T.); #218952=ORIENTED_EDGE('',*,*,#172209,.T.); #218953=ORIENTED_EDGE('',*,*,#172214,.F.); #218954=ORIENTED_EDGE('',*,*,#172211,.T.); #218955=ORIENTED_EDGE('',*,*,#172215,.T.); #218956=ORIENTED_EDGE('',*,*,#172216,.T.); #218957=ORIENTED_EDGE('',*,*,#172217,.F.); #218958=ORIENTED_EDGE('',*,*,#172218,.F.); #218959=ORIENTED_EDGE('',*,*,#172219,.F.); #218960=ORIENTED_EDGE('',*,*,#172218,.T.); #218961=ORIENTED_EDGE('',*,*,#172220,.F.); #218962=ORIENTED_EDGE('',*,*,#172221,.F.); #218963=ORIENTED_EDGE('',*,*,#172222,.T.); #218964=ORIENTED_EDGE('',*,*,#172221,.T.); #218965=ORIENTED_EDGE('',*,*,#172223,.F.); #218966=ORIENTED_EDGE('',*,*,#172224,.F.); #218967=ORIENTED_EDGE('',*,*,#172225,.F.); #218968=ORIENTED_EDGE('',*,*,#172224,.T.); #218969=ORIENTED_EDGE('',*,*,#172226,.F.); #218970=ORIENTED_EDGE('',*,*,#172216,.F.); #218971=ORIENTED_EDGE('',*,*,#172227,.F.); #218972=ORIENTED_EDGE('',*,*,#172228,.T.); #218973=ORIENTED_EDGE('',*,*,#172229,.F.); #218974=ORIENTED_EDGE('',*,*,#172230,.F.); #218975=ORIENTED_EDGE('',*,*,#172231,.T.); #218976=ORIENTED_EDGE('',*,*,#172230,.T.); #218977=ORIENTED_EDGE('',*,*,#172232,.F.); #218978=ORIENTED_EDGE('',*,*,#172233,.F.); #218979=ORIENTED_EDGE('',*,*,#172234,.F.); #218980=ORIENTED_EDGE('',*,*,#172233,.T.); #218981=ORIENTED_EDGE('',*,*,#172235,.F.); #218982=ORIENTED_EDGE('',*,*,#172236,.F.); #218983=ORIENTED_EDGE('',*,*,#172237,.T.); #218984=ORIENTED_EDGE('',*,*,#172236,.T.); #218985=ORIENTED_EDGE('',*,*,#172238,.F.); #218986=ORIENTED_EDGE('',*,*,#172228,.F.); #218987=ORIENTED_EDGE('',*,*,#172238,.T.); #218988=ORIENTED_EDGE('',*,*,#172235,.T.); #218989=ORIENTED_EDGE('',*,*,#172232,.T.); #218990=ORIENTED_EDGE('',*,*,#172229,.T.); #218991=ORIENTED_EDGE('',*,*,#172226,.T.); #218992=ORIENTED_EDGE('',*,*,#172223,.T.); #218993=ORIENTED_EDGE('',*,*,#172220,.T.); #218994=ORIENTED_EDGE('',*,*,#172217,.T.); #218995=ORIENTED_EDGE('',*,*,#172237,.F.); #218996=ORIENTED_EDGE('',*,*,#172227,.T.); #218997=ORIENTED_EDGE('',*,*,#172231,.F.); #218998=ORIENTED_EDGE('',*,*,#172234,.T.); #218999=ORIENTED_EDGE('',*,*,#172225,.T.); #219000=ORIENTED_EDGE('',*,*,#172215,.F.); #219001=ORIENTED_EDGE('',*,*,#172219,.T.); #219002=ORIENTED_EDGE('',*,*,#172222,.F.); #219003=ORIENTED_EDGE('',*,*,#172239,.F.); #219004=ORIENTED_EDGE('',*,*,#172240,.T.); #219005=ORIENTED_EDGE('',*,*,#172241,.F.); #219006=ORIENTED_EDGE('',*,*,#172240,.F.); #219007=ORIENTED_EDGE('',*,*,#172242,.F.); #219008=ORIENTED_EDGE('',*,*,#172243,.T.); #219009=ORIENTED_EDGE('',*,*,#172244,.T.); #219010=ORIENTED_EDGE('',*,*,#172243,.F.); #219011=ORIENTED_EDGE('',*,*,#172242,.T.); #219012=ORIENTED_EDGE('',*,*,#172239,.T.); #219013=ORIENTED_EDGE('',*,*,#172244,.F.); #219014=ORIENTED_EDGE('',*,*,#172241,.T.); #219015=ORIENTED_EDGE('',*,*,#172245,.F.); #219016=ORIENTED_EDGE('',*,*,#172246,.T.); #219017=ORIENTED_EDGE('',*,*,#172247,.F.); #219018=ORIENTED_EDGE('',*,*,#172246,.F.); #219019=ORIENTED_EDGE('',*,*,#172248,.F.); #219020=ORIENTED_EDGE('',*,*,#172249,.T.); #219021=ORIENTED_EDGE('',*,*,#172250,.T.); #219022=ORIENTED_EDGE('',*,*,#172249,.F.); #219023=ORIENTED_EDGE('',*,*,#172248,.T.); #219024=ORIENTED_EDGE('',*,*,#172245,.T.); #219025=ORIENTED_EDGE('',*,*,#172250,.F.); #219026=ORIENTED_EDGE('',*,*,#172247,.T.); #219027=ORIENTED_EDGE('',*,*,#172251,.F.); #219028=ORIENTED_EDGE('',*,*,#172252,.T.); #219029=ORIENTED_EDGE('',*,*,#172253,.F.); #219030=ORIENTED_EDGE('',*,*,#172252,.F.); #219031=ORIENTED_EDGE('',*,*,#172254,.F.); #219032=ORIENTED_EDGE('',*,*,#172255,.T.); #219033=ORIENTED_EDGE('',*,*,#172256,.T.); #219034=ORIENTED_EDGE('',*,*,#172255,.F.); #219035=ORIENTED_EDGE('',*,*,#172254,.T.); #219036=ORIENTED_EDGE('',*,*,#172251,.T.); #219037=ORIENTED_EDGE('',*,*,#172256,.F.); #219038=ORIENTED_EDGE('',*,*,#172253,.T.); #219039=ORIENTED_EDGE('',*,*,#172257,.F.); #219040=ORIENTED_EDGE('',*,*,#172258,.T.); #219041=ORIENTED_EDGE('',*,*,#172259,.F.); #219042=ORIENTED_EDGE('',*,*,#172258,.F.); #219043=ORIENTED_EDGE('',*,*,#172260,.F.); #219044=ORIENTED_EDGE('',*,*,#172261,.T.); #219045=ORIENTED_EDGE('',*,*,#172262,.T.); #219046=ORIENTED_EDGE('',*,*,#172261,.F.); #219047=ORIENTED_EDGE('',*,*,#172260,.T.); #219048=ORIENTED_EDGE('',*,*,#172257,.T.); #219049=ORIENTED_EDGE('',*,*,#172262,.F.); #219050=ORIENTED_EDGE('',*,*,#172259,.T.); #219051=ORIENTED_EDGE('',*,*,#172263,.F.); #219052=ORIENTED_EDGE('',*,*,#172264,.T.); #219053=ORIENTED_EDGE('',*,*,#172265,.F.); #219054=ORIENTED_EDGE('',*,*,#172264,.F.); #219055=ORIENTED_EDGE('',*,*,#172266,.F.); #219056=ORIENTED_EDGE('',*,*,#172267,.T.); #219057=ORIENTED_EDGE('',*,*,#172268,.T.); #219058=ORIENTED_EDGE('',*,*,#172267,.F.); #219059=ORIENTED_EDGE('',*,*,#172266,.T.); #219060=ORIENTED_EDGE('',*,*,#172263,.T.); #219061=ORIENTED_EDGE('',*,*,#172268,.F.); #219062=ORIENTED_EDGE('',*,*,#172265,.T.); #219063=ORIENTED_EDGE('',*,*,#172269,.F.); #219064=ORIENTED_EDGE('',*,*,#172270,.T.); #219065=ORIENTED_EDGE('',*,*,#172271,.F.); #219066=ORIENTED_EDGE('',*,*,#172270,.F.); #219067=ORIENTED_EDGE('',*,*,#172272,.F.); #219068=ORIENTED_EDGE('',*,*,#172273,.T.); #219069=ORIENTED_EDGE('',*,*,#172274,.T.); #219070=ORIENTED_EDGE('',*,*,#172273,.F.); #219071=ORIENTED_EDGE('',*,*,#172272,.T.); #219072=ORIENTED_EDGE('',*,*,#172269,.T.); #219073=ORIENTED_EDGE('',*,*,#172274,.F.); #219074=ORIENTED_EDGE('',*,*,#172271,.T.); #219075=ORIENTED_EDGE('',*,*,#172275,.F.); #219076=ORIENTED_EDGE('',*,*,#172276,.T.); #219077=ORIENTED_EDGE('',*,*,#172277,.F.); #219078=ORIENTED_EDGE('',*,*,#172276,.F.); #219079=ORIENTED_EDGE('',*,*,#172278,.F.); #219080=ORIENTED_EDGE('',*,*,#172279,.T.); #219081=ORIENTED_EDGE('',*,*,#172280,.T.); #219082=ORIENTED_EDGE('',*,*,#172279,.F.); #219083=ORIENTED_EDGE('',*,*,#172278,.T.); #219084=ORIENTED_EDGE('',*,*,#172275,.T.); #219085=ORIENTED_EDGE('',*,*,#172280,.F.); #219086=ORIENTED_EDGE('',*,*,#172277,.T.); #219087=ORIENTED_EDGE('',*,*,#172281,.F.); #219088=ORIENTED_EDGE('',*,*,#172282,.T.); #219089=ORIENTED_EDGE('',*,*,#172283,.F.); #219090=ORIENTED_EDGE('',*,*,#172282,.F.); #219091=ORIENTED_EDGE('',*,*,#172284,.F.); #219092=ORIENTED_EDGE('',*,*,#172285,.T.); #219093=ORIENTED_EDGE('',*,*,#172286,.T.); #219094=ORIENTED_EDGE('',*,*,#172285,.F.); #219095=ORIENTED_EDGE('',*,*,#172284,.T.); #219096=ORIENTED_EDGE('',*,*,#172281,.T.); #219097=ORIENTED_EDGE('',*,*,#172286,.F.); #219098=ORIENTED_EDGE('',*,*,#172283,.T.); #219099=ORIENTED_EDGE('',*,*,#172287,.F.); #219100=ORIENTED_EDGE('',*,*,#172288,.T.); #219101=ORIENTED_EDGE('',*,*,#172289,.F.); #219102=ORIENTED_EDGE('',*,*,#172288,.F.); #219103=ORIENTED_EDGE('',*,*,#172290,.F.); #219104=ORIENTED_EDGE('',*,*,#172291,.T.); #219105=ORIENTED_EDGE('',*,*,#172292,.T.); #219106=ORIENTED_EDGE('',*,*,#172291,.F.); #219107=ORIENTED_EDGE('',*,*,#172290,.T.); #219108=ORIENTED_EDGE('',*,*,#172287,.T.); #219109=ORIENTED_EDGE('',*,*,#172292,.F.); #219110=ORIENTED_EDGE('',*,*,#172289,.T.); #219111=ORIENTED_EDGE('',*,*,#172293,.F.); #219112=ORIENTED_EDGE('',*,*,#172294,.T.); #219113=ORIENTED_EDGE('',*,*,#172295,.F.); #219114=ORIENTED_EDGE('',*,*,#172294,.F.); #219115=ORIENTED_EDGE('',*,*,#172296,.F.); #219116=ORIENTED_EDGE('',*,*,#172297,.T.); #219117=ORIENTED_EDGE('',*,*,#172298,.T.); #219118=ORIENTED_EDGE('',*,*,#172297,.F.); #219119=ORIENTED_EDGE('',*,*,#172296,.T.); #219120=ORIENTED_EDGE('',*,*,#172293,.T.); #219121=ORIENTED_EDGE('',*,*,#172298,.F.); #219122=ORIENTED_EDGE('',*,*,#172295,.T.); #219123=ORIENTED_EDGE('',*,*,#172299,.F.); #219124=ORIENTED_EDGE('',*,*,#172300,.T.); #219125=ORIENTED_EDGE('',*,*,#172301,.F.); #219126=ORIENTED_EDGE('',*,*,#172300,.F.); #219127=ORIENTED_EDGE('',*,*,#172302,.F.); #219128=ORIENTED_EDGE('',*,*,#172303,.T.); #219129=ORIENTED_EDGE('',*,*,#172304,.T.); #219130=ORIENTED_EDGE('',*,*,#172303,.F.); #219131=ORIENTED_EDGE('',*,*,#172302,.T.); #219132=ORIENTED_EDGE('',*,*,#172299,.T.); #219133=ORIENTED_EDGE('',*,*,#172304,.F.); #219134=ORIENTED_EDGE('',*,*,#172301,.T.); #219135=ORIENTED_EDGE('',*,*,#172305,.F.); #219136=ORIENTED_EDGE('',*,*,#172306,.T.); #219137=ORIENTED_EDGE('',*,*,#172307,.F.); #219138=ORIENTED_EDGE('',*,*,#172306,.F.); #219139=ORIENTED_EDGE('',*,*,#172308,.F.); #219140=ORIENTED_EDGE('',*,*,#172309,.T.); #219141=ORIENTED_EDGE('',*,*,#172310,.T.); #219142=ORIENTED_EDGE('',*,*,#172309,.F.); #219143=ORIENTED_EDGE('',*,*,#172308,.T.); #219144=ORIENTED_EDGE('',*,*,#172305,.T.); #219145=ORIENTED_EDGE('',*,*,#172310,.F.); #219146=ORIENTED_EDGE('',*,*,#172307,.T.); #219147=ORIENTED_EDGE('',*,*,#172311,.F.); #219148=ORIENTED_EDGE('',*,*,#172312,.T.); #219149=ORIENTED_EDGE('',*,*,#172313,.F.); #219150=ORIENTED_EDGE('',*,*,#172312,.F.); #219151=ORIENTED_EDGE('',*,*,#172314,.F.); #219152=ORIENTED_EDGE('',*,*,#172315,.T.); #219153=ORIENTED_EDGE('',*,*,#172316,.T.); #219154=ORIENTED_EDGE('',*,*,#172315,.F.); #219155=ORIENTED_EDGE('',*,*,#172314,.T.); #219156=ORIENTED_EDGE('',*,*,#172311,.T.); #219157=ORIENTED_EDGE('',*,*,#172316,.F.); #219158=ORIENTED_EDGE('',*,*,#172313,.T.); #219159=ORIENTED_EDGE('',*,*,#172317,.F.); #219160=ORIENTED_EDGE('',*,*,#172318,.T.); #219161=ORIENTED_EDGE('',*,*,#172319,.F.); #219162=ORIENTED_EDGE('',*,*,#172318,.F.); #219163=ORIENTED_EDGE('',*,*,#172320,.F.); #219164=ORIENTED_EDGE('',*,*,#172321,.T.); #219165=ORIENTED_EDGE('',*,*,#172322,.T.); #219166=ORIENTED_EDGE('',*,*,#172321,.F.); #219167=ORIENTED_EDGE('',*,*,#172320,.T.); #219168=ORIENTED_EDGE('',*,*,#172317,.T.); #219169=ORIENTED_EDGE('',*,*,#172322,.F.); #219170=ORIENTED_EDGE('',*,*,#172319,.T.); #219171=ORIENTED_EDGE('',*,*,#172323,.F.); #219172=ORIENTED_EDGE('',*,*,#172324,.T.); #219173=ORIENTED_EDGE('',*,*,#172325,.F.); #219174=ORIENTED_EDGE('',*,*,#172324,.F.); #219175=ORIENTED_EDGE('',*,*,#172326,.F.); #219176=ORIENTED_EDGE('',*,*,#172327,.T.); #219177=ORIENTED_EDGE('',*,*,#172328,.T.); #219178=ORIENTED_EDGE('',*,*,#172327,.F.); #219179=ORIENTED_EDGE('',*,*,#172326,.T.); #219180=ORIENTED_EDGE('',*,*,#172323,.T.); #219181=ORIENTED_EDGE('',*,*,#172328,.F.); #219182=ORIENTED_EDGE('',*,*,#172325,.T.); #219183=ORIENTED_EDGE('',*,*,#172329,.F.); #219184=ORIENTED_EDGE('',*,*,#172330,.T.); #219185=ORIENTED_EDGE('',*,*,#172331,.F.); #219186=ORIENTED_EDGE('',*,*,#172330,.F.); #219187=ORIENTED_EDGE('',*,*,#172332,.F.); #219188=ORIENTED_EDGE('',*,*,#172333,.T.); #219189=ORIENTED_EDGE('',*,*,#172334,.T.); #219190=ORIENTED_EDGE('',*,*,#172333,.F.); #219191=ORIENTED_EDGE('',*,*,#172332,.T.); #219192=ORIENTED_EDGE('',*,*,#172329,.T.); #219193=ORIENTED_EDGE('',*,*,#172334,.F.); #219194=ORIENTED_EDGE('',*,*,#172331,.T.); #219195=ORIENTED_EDGE('',*,*,#172335,.F.); #219196=ORIENTED_EDGE('',*,*,#172336,.T.); #219197=ORIENTED_EDGE('',*,*,#172337,.F.); #219198=ORIENTED_EDGE('',*,*,#172336,.F.); #219199=ORIENTED_EDGE('',*,*,#172338,.F.); #219200=ORIENTED_EDGE('',*,*,#172339,.T.); #219201=ORIENTED_EDGE('',*,*,#172340,.T.); #219202=ORIENTED_EDGE('',*,*,#172339,.F.); #219203=ORIENTED_EDGE('',*,*,#172338,.T.); #219204=ORIENTED_EDGE('',*,*,#172335,.T.); #219205=ORIENTED_EDGE('',*,*,#172340,.F.); #219206=ORIENTED_EDGE('',*,*,#172337,.T.); #219207=ORIENTED_EDGE('',*,*,#172341,.F.); #219208=ORIENTED_EDGE('',*,*,#172342,.T.); #219209=ORIENTED_EDGE('',*,*,#172343,.F.); #219210=ORIENTED_EDGE('',*,*,#172342,.F.); #219211=ORIENTED_EDGE('',*,*,#172344,.F.); #219212=ORIENTED_EDGE('',*,*,#172345,.T.); #219213=ORIENTED_EDGE('',*,*,#172346,.T.); #219214=ORIENTED_EDGE('',*,*,#172345,.F.); #219215=ORIENTED_EDGE('',*,*,#172344,.T.); #219216=ORIENTED_EDGE('',*,*,#172341,.T.); #219217=ORIENTED_EDGE('',*,*,#172346,.F.); #219218=ORIENTED_EDGE('',*,*,#172343,.T.); #219219=ORIENTED_EDGE('',*,*,#172347,.F.); #219220=ORIENTED_EDGE('',*,*,#172348,.T.); #219221=ORIENTED_EDGE('',*,*,#172349,.F.); #219222=ORIENTED_EDGE('',*,*,#172348,.F.); #219223=ORIENTED_EDGE('',*,*,#172350,.F.); #219224=ORIENTED_EDGE('',*,*,#172351,.T.); #219225=ORIENTED_EDGE('',*,*,#172352,.T.); #219226=ORIENTED_EDGE('',*,*,#172351,.F.); #219227=ORIENTED_EDGE('',*,*,#172350,.T.); #219228=ORIENTED_EDGE('',*,*,#172347,.T.); #219229=ORIENTED_EDGE('',*,*,#172352,.F.); #219230=ORIENTED_EDGE('',*,*,#172349,.T.); #219231=ORIENTED_EDGE('',*,*,#172353,.F.); #219232=ORIENTED_EDGE('',*,*,#172354,.T.); #219233=ORIENTED_EDGE('',*,*,#172355,.F.); #219234=ORIENTED_EDGE('',*,*,#172354,.F.); #219235=ORIENTED_EDGE('',*,*,#172356,.F.); #219236=ORIENTED_EDGE('',*,*,#172357,.T.); #219237=ORIENTED_EDGE('',*,*,#172358,.T.); #219238=ORIENTED_EDGE('',*,*,#172357,.F.); #219239=ORIENTED_EDGE('',*,*,#172356,.T.); #219240=ORIENTED_EDGE('',*,*,#172353,.T.); #219241=ORIENTED_EDGE('',*,*,#172358,.F.); #219242=ORIENTED_EDGE('',*,*,#172355,.T.); #219243=ORIENTED_EDGE('',*,*,#172359,.F.); #219244=ORIENTED_EDGE('',*,*,#172360,.T.); #219245=ORIENTED_EDGE('',*,*,#172361,.F.); #219246=ORIENTED_EDGE('',*,*,#172360,.F.); #219247=ORIENTED_EDGE('',*,*,#172362,.F.); #219248=ORIENTED_EDGE('',*,*,#172363,.T.); #219249=ORIENTED_EDGE('',*,*,#172364,.T.); #219250=ORIENTED_EDGE('',*,*,#172363,.F.); #219251=ORIENTED_EDGE('',*,*,#172362,.T.); #219252=ORIENTED_EDGE('',*,*,#172359,.T.); #219253=ORIENTED_EDGE('',*,*,#172364,.F.); #219254=ORIENTED_EDGE('',*,*,#172361,.T.); #219255=ORIENTED_EDGE('',*,*,#172365,.F.); #219256=ORIENTED_EDGE('',*,*,#172366,.T.); #219257=ORIENTED_EDGE('',*,*,#172367,.F.); #219258=ORIENTED_EDGE('',*,*,#172366,.F.); #219259=ORIENTED_EDGE('',*,*,#172368,.F.); #219260=ORIENTED_EDGE('',*,*,#172369,.T.); #219261=ORIENTED_EDGE('',*,*,#172370,.T.); #219262=ORIENTED_EDGE('',*,*,#172369,.F.); #219263=ORIENTED_EDGE('',*,*,#172368,.T.); #219264=ORIENTED_EDGE('',*,*,#172365,.T.); #219265=ORIENTED_EDGE('',*,*,#172370,.F.); #219266=ORIENTED_EDGE('',*,*,#172367,.T.); #219267=ORIENTED_EDGE('',*,*,#172371,.F.); #219268=ORIENTED_EDGE('',*,*,#172372,.T.); #219269=ORIENTED_EDGE('',*,*,#172373,.F.); #219270=ORIENTED_EDGE('',*,*,#172372,.F.); #219271=ORIENTED_EDGE('',*,*,#172374,.F.); #219272=ORIENTED_EDGE('',*,*,#172375,.T.); #219273=ORIENTED_EDGE('',*,*,#172376,.T.); #219274=ORIENTED_EDGE('',*,*,#172375,.F.); #219275=ORIENTED_EDGE('',*,*,#172374,.T.); #219276=ORIENTED_EDGE('',*,*,#172371,.T.); #219277=ORIENTED_EDGE('',*,*,#172376,.F.); #219278=ORIENTED_EDGE('',*,*,#172373,.T.); #219279=ORIENTED_EDGE('',*,*,#172377,.F.); #219280=ORIENTED_EDGE('',*,*,#172378,.T.); #219281=ORIENTED_EDGE('',*,*,#172379,.F.); #219282=ORIENTED_EDGE('',*,*,#172378,.F.); #219283=ORIENTED_EDGE('',*,*,#172380,.F.); #219284=ORIENTED_EDGE('',*,*,#172381,.T.); #219285=ORIENTED_EDGE('',*,*,#172382,.T.); #219286=ORIENTED_EDGE('',*,*,#172381,.F.); #219287=ORIENTED_EDGE('',*,*,#172380,.T.); #219288=ORIENTED_EDGE('',*,*,#172377,.T.); #219289=ORIENTED_EDGE('',*,*,#172382,.F.); #219290=ORIENTED_EDGE('',*,*,#172379,.T.); #219291=ORIENTED_EDGE('',*,*,#172383,.F.); #219292=ORIENTED_EDGE('',*,*,#172384,.T.); #219293=ORIENTED_EDGE('',*,*,#172385,.F.); #219294=ORIENTED_EDGE('',*,*,#172384,.F.); #219295=ORIENTED_EDGE('',*,*,#172386,.F.); #219296=ORIENTED_EDGE('',*,*,#172387,.T.); #219297=ORIENTED_EDGE('',*,*,#172388,.T.); #219298=ORIENTED_EDGE('',*,*,#172387,.F.); #219299=ORIENTED_EDGE('',*,*,#172386,.T.); #219300=ORIENTED_EDGE('',*,*,#172383,.T.); #219301=ORIENTED_EDGE('',*,*,#172388,.F.); #219302=ORIENTED_EDGE('',*,*,#172385,.T.); #219303=ORIENTED_EDGE('',*,*,#172389,.F.); #219304=ORIENTED_EDGE('',*,*,#172390,.T.); #219305=ORIENTED_EDGE('',*,*,#172391,.F.); #219306=ORIENTED_EDGE('',*,*,#172390,.F.); #219307=ORIENTED_EDGE('',*,*,#172392,.F.); #219308=ORIENTED_EDGE('',*,*,#172393,.T.); #219309=ORIENTED_EDGE('',*,*,#172394,.T.); #219310=ORIENTED_EDGE('',*,*,#172393,.F.); #219311=ORIENTED_EDGE('',*,*,#172392,.T.); #219312=ORIENTED_EDGE('',*,*,#172389,.T.); #219313=ORIENTED_EDGE('',*,*,#172394,.F.); #219314=ORIENTED_EDGE('',*,*,#172391,.T.); #219315=ORIENTED_EDGE('',*,*,#172395,.F.); #219316=ORIENTED_EDGE('',*,*,#172396,.T.); #219317=ORIENTED_EDGE('',*,*,#172397,.F.); #219318=ORIENTED_EDGE('',*,*,#172396,.F.); #219319=ORIENTED_EDGE('',*,*,#172398,.F.); #219320=ORIENTED_EDGE('',*,*,#172399,.T.); #219321=ORIENTED_EDGE('',*,*,#172400,.T.); #219322=ORIENTED_EDGE('',*,*,#172399,.F.); #219323=ORIENTED_EDGE('',*,*,#172398,.T.); #219324=ORIENTED_EDGE('',*,*,#172395,.T.); #219325=ORIENTED_EDGE('',*,*,#172400,.F.); #219326=ORIENTED_EDGE('',*,*,#172397,.T.); #219327=ORIENTED_EDGE('',*,*,#172401,.F.); #219328=ORIENTED_EDGE('',*,*,#172402,.T.); #219329=ORIENTED_EDGE('',*,*,#172403,.F.); #219330=ORIENTED_EDGE('',*,*,#172402,.F.); #219331=ORIENTED_EDGE('',*,*,#172404,.F.); #219332=ORIENTED_EDGE('',*,*,#172405,.T.); #219333=ORIENTED_EDGE('',*,*,#172406,.T.); #219334=ORIENTED_EDGE('',*,*,#172405,.F.); #219335=ORIENTED_EDGE('',*,*,#172404,.T.); #219336=ORIENTED_EDGE('',*,*,#172401,.T.); #219337=ORIENTED_EDGE('',*,*,#172406,.F.); #219338=ORIENTED_EDGE('',*,*,#172403,.T.); #219339=ORIENTED_EDGE('',*,*,#172407,.F.); #219340=ORIENTED_EDGE('',*,*,#172408,.T.); #219341=ORIENTED_EDGE('',*,*,#172409,.F.); #219342=ORIENTED_EDGE('',*,*,#172408,.F.); #219343=ORIENTED_EDGE('',*,*,#172410,.F.); #219344=ORIENTED_EDGE('',*,*,#172411,.T.); #219345=ORIENTED_EDGE('',*,*,#172412,.T.); #219346=ORIENTED_EDGE('',*,*,#172411,.F.); #219347=ORIENTED_EDGE('',*,*,#172410,.T.); #219348=ORIENTED_EDGE('',*,*,#172407,.T.); #219349=ORIENTED_EDGE('',*,*,#172412,.F.); #219350=ORIENTED_EDGE('',*,*,#172409,.T.); #219351=ORIENTED_EDGE('',*,*,#172413,.F.); #219352=ORIENTED_EDGE('',*,*,#172414,.T.); #219353=ORIENTED_EDGE('',*,*,#172415,.F.); #219354=ORIENTED_EDGE('',*,*,#172414,.F.); #219355=ORIENTED_EDGE('',*,*,#172416,.F.); #219356=ORIENTED_EDGE('',*,*,#172417,.T.); #219357=ORIENTED_EDGE('',*,*,#172418,.T.); #219358=ORIENTED_EDGE('',*,*,#172417,.F.); #219359=ORIENTED_EDGE('',*,*,#172416,.T.); #219360=ORIENTED_EDGE('',*,*,#172413,.T.); #219361=ORIENTED_EDGE('',*,*,#172418,.F.); #219362=ORIENTED_EDGE('',*,*,#172415,.T.); #219363=ORIENTED_EDGE('',*,*,#172419,.F.); #219364=ORIENTED_EDGE('',*,*,#172420,.T.); #219365=ORIENTED_EDGE('',*,*,#172421,.F.); #219366=ORIENTED_EDGE('',*,*,#172420,.F.); #219367=ORIENTED_EDGE('',*,*,#172422,.F.); #219368=ORIENTED_EDGE('',*,*,#172423,.T.); #219369=ORIENTED_EDGE('',*,*,#172424,.T.); #219370=ORIENTED_EDGE('',*,*,#172423,.F.); #219371=ORIENTED_EDGE('',*,*,#172422,.T.); #219372=ORIENTED_EDGE('',*,*,#172419,.T.); #219373=ORIENTED_EDGE('',*,*,#172424,.F.); #219374=ORIENTED_EDGE('',*,*,#172421,.T.); #219375=ORIENTED_EDGE('',*,*,#172425,.F.); #219376=ORIENTED_EDGE('',*,*,#172426,.T.); #219377=ORIENTED_EDGE('',*,*,#172427,.F.); #219378=ORIENTED_EDGE('',*,*,#172426,.F.); #219379=ORIENTED_EDGE('',*,*,#172428,.F.); #219380=ORIENTED_EDGE('',*,*,#172429,.T.); #219381=ORIENTED_EDGE('',*,*,#172430,.T.); #219382=ORIENTED_EDGE('',*,*,#172429,.F.); #219383=ORIENTED_EDGE('',*,*,#172428,.T.); #219384=ORIENTED_EDGE('',*,*,#172425,.T.); #219385=ORIENTED_EDGE('',*,*,#172430,.F.); #219386=ORIENTED_EDGE('',*,*,#172427,.T.); #219387=ORIENTED_EDGE('',*,*,#172431,.F.); #219388=ORIENTED_EDGE('',*,*,#172432,.T.); #219389=ORIENTED_EDGE('',*,*,#172433,.F.); #219390=ORIENTED_EDGE('',*,*,#172432,.F.); #219391=ORIENTED_EDGE('',*,*,#172434,.F.); #219392=ORIENTED_EDGE('',*,*,#172435,.T.); #219393=ORIENTED_EDGE('',*,*,#172436,.T.); #219394=ORIENTED_EDGE('',*,*,#172435,.F.); #219395=ORIENTED_EDGE('',*,*,#172434,.T.); #219396=ORIENTED_EDGE('',*,*,#172431,.T.); #219397=ORIENTED_EDGE('',*,*,#172436,.F.); #219398=ORIENTED_EDGE('',*,*,#172433,.T.); #219399=ORIENTED_EDGE('',*,*,#172437,.F.); #219400=ORIENTED_EDGE('',*,*,#172438,.T.); #219401=ORIENTED_EDGE('',*,*,#172439,.F.); #219402=ORIENTED_EDGE('',*,*,#172438,.F.); #219403=ORIENTED_EDGE('',*,*,#172440,.F.); #219404=ORIENTED_EDGE('',*,*,#172441,.T.); #219405=ORIENTED_EDGE('',*,*,#172442,.T.); #219406=ORIENTED_EDGE('',*,*,#172441,.F.); #219407=ORIENTED_EDGE('',*,*,#172440,.T.); #219408=ORIENTED_EDGE('',*,*,#172437,.T.); #219409=ORIENTED_EDGE('',*,*,#172442,.F.); #219410=ORIENTED_EDGE('',*,*,#172439,.T.); #219411=ORIENTED_EDGE('',*,*,#172443,.F.); #219412=ORIENTED_EDGE('',*,*,#172444,.T.); #219413=ORIENTED_EDGE('',*,*,#172445,.F.); #219414=ORIENTED_EDGE('',*,*,#172444,.F.); #219415=ORIENTED_EDGE('',*,*,#172446,.F.); #219416=ORIENTED_EDGE('',*,*,#172447,.T.); #219417=ORIENTED_EDGE('',*,*,#172448,.T.); #219418=ORIENTED_EDGE('',*,*,#172447,.F.); #219419=ORIENTED_EDGE('',*,*,#172446,.T.); #219420=ORIENTED_EDGE('',*,*,#172443,.T.); #219421=ORIENTED_EDGE('',*,*,#172448,.F.); #219422=ORIENTED_EDGE('',*,*,#172445,.T.); #219423=ORIENTED_EDGE('',*,*,#172449,.F.); #219424=ORIENTED_EDGE('',*,*,#172450,.T.); #219425=ORIENTED_EDGE('',*,*,#172451,.F.); #219426=ORIENTED_EDGE('',*,*,#172450,.F.); #219427=ORIENTED_EDGE('',*,*,#172452,.F.); #219428=ORIENTED_EDGE('',*,*,#172453,.T.); #219429=ORIENTED_EDGE('',*,*,#172454,.T.); #219430=ORIENTED_EDGE('',*,*,#172453,.F.); #219431=ORIENTED_EDGE('',*,*,#172452,.T.); #219432=ORIENTED_EDGE('',*,*,#172449,.T.); #219433=ORIENTED_EDGE('',*,*,#172454,.F.); #219434=ORIENTED_EDGE('',*,*,#172451,.T.); #219435=ORIENTED_EDGE('',*,*,#172455,.F.); #219436=ORIENTED_EDGE('',*,*,#172456,.T.); #219437=ORIENTED_EDGE('',*,*,#172457,.F.); #219438=ORIENTED_EDGE('',*,*,#172456,.F.); #219439=ORIENTED_EDGE('',*,*,#172458,.F.); #219440=ORIENTED_EDGE('',*,*,#172459,.T.); #219441=ORIENTED_EDGE('',*,*,#172460,.T.); #219442=ORIENTED_EDGE('',*,*,#172459,.F.); #219443=ORIENTED_EDGE('',*,*,#172458,.T.); #219444=ORIENTED_EDGE('',*,*,#172455,.T.); #219445=ORIENTED_EDGE('',*,*,#172460,.F.); #219446=ORIENTED_EDGE('',*,*,#172457,.T.); #219447=ORIENTED_EDGE('',*,*,#172461,.F.); #219448=ORIENTED_EDGE('',*,*,#172462,.T.); #219449=ORIENTED_EDGE('',*,*,#172463,.F.); #219450=ORIENTED_EDGE('',*,*,#172462,.F.); #219451=ORIENTED_EDGE('',*,*,#172464,.F.); #219452=ORIENTED_EDGE('',*,*,#172465,.T.); #219453=ORIENTED_EDGE('',*,*,#172466,.T.); #219454=ORIENTED_EDGE('',*,*,#172465,.F.); #219455=ORIENTED_EDGE('',*,*,#172464,.T.); #219456=ORIENTED_EDGE('',*,*,#172461,.T.); #219457=ORIENTED_EDGE('',*,*,#172466,.F.); #219458=ORIENTED_EDGE('',*,*,#172463,.T.); #219459=ORIENTED_EDGE('',*,*,#172467,.F.); #219460=ORIENTED_EDGE('',*,*,#172468,.T.); #219461=ORIENTED_EDGE('',*,*,#172469,.F.); #219462=ORIENTED_EDGE('',*,*,#172468,.F.); #219463=ORIENTED_EDGE('',*,*,#172470,.F.); #219464=ORIENTED_EDGE('',*,*,#172471,.T.); #219465=ORIENTED_EDGE('',*,*,#172472,.T.); #219466=ORIENTED_EDGE('',*,*,#172471,.F.); #219467=ORIENTED_EDGE('',*,*,#172470,.T.); #219468=ORIENTED_EDGE('',*,*,#172467,.T.); #219469=ORIENTED_EDGE('',*,*,#172472,.F.); #219470=ORIENTED_EDGE('',*,*,#172469,.T.); #219471=ORIENTED_EDGE('',*,*,#172473,.F.); #219472=ORIENTED_EDGE('',*,*,#172474,.T.); #219473=ORIENTED_EDGE('',*,*,#172475,.F.); #219474=ORIENTED_EDGE('',*,*,#172474,.F.); #219475=ORIENTED_EDGE('',*,*,#172476,.F.); #219476=ORIENTED_EDGE('',*,*,#172477,.T.); #219477=ORIENTED_EDGE('',*,*,#172478,.T.); #219478=ORIENTED_EDGE('',*,*,#172477,.F.); #219479=ORIENTED_EDGE('',*,*,#172476,.T.); #219480=ORIENTED_EDGE('',*,*,#172473,.T.); #219481=ORIENTED_EDGE('',*,*,#172478,.F.); #219482=ORIENTED_EDGE('',*,*,#172475,.T.); #219483=ORIENTED_EDGE('',*,*,#172479,.F.); #219484=ORIENTED_EDGE('',*,*,#172480,.T.); #219485=ORIENTED_EDGE('',*,*,#172481,.F.); #219486=ORIENTED_EDGE('',*,*,#172480,.F.); #219487=ORIENTED_EDGE('',*,*,#172482,.F.); #219488=ORIENTED_EDGE('',*,*,#172483,.T.); #219489=ORIENTED_EDGE('',*,*,#172484,.T.); #219490=ORIENTED_EDGE('',*,*,#172483,.F.); #219491=ORIENTED_EDGE('',*,*,#172482,.T.); #219492=ORIENTED_EDGE('',*,*,#172479,.T.); #219493=ORIENTED_EDGE('',*,*,#172484,.F.); #219494=ORIENTED_EDGE('',*,*,#172481,.T.); #219495=ORIENTED_EDGE('',*,*,#172485,.F.); #219496=ORIENTED_EDGE('',*,*,#172486,.T.); #219497=ORIENTED_EDGE('',*,*,#172487,.F.); #219498=ORIENTED_EDGE('',*,*,#172486,.F.); #219499=ORIENTED_EDGE('',*,*,#172488,.F.); #219500=ORIENTED_EDGE('',*,*,#172489,.T.); #219501=ORIENTED_EDGE('',*,*,#172490,.T.); #219502=ORIENTED_EDGE('',*,*,#172489,.F.); #219503=ORIENTED_EDGE('',*,*,#172488,.T.); #219504=ORIENTED_EDGE('',*,*,#172485,.T.); #219505=ORIENTED_EDGE('',*,*,#172490,.F.); #219506=ORIENTED_EDGE('',*,*,#172487,.T.); #219507=ORIENTED_EDGE('',*,*,#172491,.F.); #219508=ORIENTED_EDGE('',*,*,#172492,.T.); #219509=ORIENTED_EDGE('',*,*,#172493,.F.); #219510=ORIENTED_EDGE('',*,*,#172492,.F.); #219511=ORIENTED_EDGE('',*,*,#172494,.F.); #219512=ORIENTED_EDGE('',*,*,#172495,.T.); #219513=ORIENTED_EDGE('',*,*,#172496,.T.); #219514=ORIENTED_EDGE('',*,*,#172495,.F.); #219515=ORIENTED_EDGE('',*,*,#172494,.T.); #219516=ORIENTED_EDGE('',*,*,#172491,.T.); #219517=ORIENTED_EDGE('',*,*,#172496,.F.); #219518=ORIENTED_EDGE('',*,*,#172493,.T.); #219519=ORIENTED_EDGE('',*,*,#172497,.F.); #219520=ORIENTED_EDGE('',*,*,#172498,.T.); #219521=ORIENTED_EDGE('',*,*,#172499,.F.); #219522=ORIENTED_EDGE('',*,*,#172498,.F.); #219523=ORIENTED_EDGE('',*,*,#172500,.F.); #219524=ORIENTED_EDGE('',*,*,#172501,.T.); #219525=ORIENTED_EDGE('',*,*,#172502,.T.); #219526=ORIENTED_EDGE('',*,*,#172501,.F.); #219527=ORIENTED_EDGE('',*,*,#172500,.T.); #219528=ORIENTED_EDGE('',*,*,#172497,.T.); #219529=ORIENTED_EDGE('',*,*,#172502,.F.); #219530=ORIENTED_EDGE('',*,*,#172499,.T.); #219531=ORIENTED_EDGE('',*,*,#172503,.F.); #219532=ORIENTED_EDGE('',*,*,#172504,.T.); #219533=ORIENTED_EDGE('',*,*,#172505,.F.); #219534=ORIENTED_EDGE('',*,*,#172504,.F.); #219535=ORIENTED_EDGE('',*,*,#172506,.F.); #219536=ORIENTED_EDGE('',*,*,#172507,.T.); #219537=ORIENTED_EDGE('',*,*,#172508,.T.); #219538=ORIENTED_EDGE('',*,*,#172507,.F.); #219539=ORIENTED_EDGE('',*,*,#172506,.T.); #219540=ORIENTED_EDGE('',*,*,#172503,.T.); #219541=ORIENTED_EDGE('',*,*,#172508,.F.); #219542=ORIENTED_EDGE('',*,*,#172505,.T.); #219543=ORIENTED_EDGE('',*,*,#172509,.F.); #219544=ORIENTED_EDGE('',*,*,#172510,.T.); #219545=ORIENTED_EDGE('',*,*,#172511,.F.); #219546=ORIENTED_EDGE('',*,*,#172510,.F.); #219547=ORIENTED_EDGE('',*,*,#172512,.F.); #219548=ORIENTED_EDGE('',*,*,#172513,.T.); #219549=ORIENTED_EDGE('',*,*,#172514,.T.); #219550=ORIENTED_EDGE('',*,*,#172513,.F.); #219551=ORIENTED_EDGE('',*,*,#172512,.T.); #219552=ORIENTED_EDGE('',*,*,#172509,.T.); #219553=ORIENTED_EDGE('',*,*,#172514,.F.); #219554=ORIENTED_EDGE('',*,*,#172511,.T.); #219555=ORIENTED_EDGE('',*,*,#172515,.F.); #219556=ORIENTED_EDGE('',*,*,#172516,.T.); #219557=ORIENTED_EDGE('',*,*,#172517,.F.); #219558=ORIENTED_EDGE('',*,*,#172516,.F.); #219559=ORIENTED_EDGE('',*,*,#172518,.F.); #219560=ORIENTED_EDGE('',*,*,#172519,.T.); #219561=ORIENTED_EDGE('',*,*,#172520,.T.); #219562=ORIENTED_EDGE('',*,*,#172519,.F.); #219563=ORIENTED_EDGE('',*,*,#172518,.T.); #219564=ORIENTED_EDGE('',*,*,#172515,.T.); #219565=ORIENTED_EDGE('',*,*,#172520,.F.); #219566=ORIENTED_EDGE('',*,*,#172517,.T.); #219567=ORIENTED_EDGE('',*,*,#172521,.F.); #219568=ORIENTED_EDGE('',*,*,#172522,.T.); #219569=ORIENTED_EDGE('',*,*,#172523,.F.); #219570=ORIENTED_EDGE('',*,*,#172522,.F.); #219571=ORIENTED_EDGE('',*,*,#172524,.F.); #219572=ORIENTED_EDGE('',*,*,#172525,.T.); #219573=ORIENTED_EDGE('',*,*,#172526,.T.); #219574=ORIENTED_EDGE('',*,*,#172525,.F.); #219575=ORIENTED_EDGE('',*,*,#172524,.T.); #219576=ORIENTED_EDGE('',*,*,#172521,.T.); #219577=ORIENTED_EDGE('',*,*,#172526,.F.); #219578=ORIENTED_EDGE('',*,*,#172523,.T.); #219579=ORIENTED_EDGE('',*,*,#172527,.F.); #219580=ORIENTED_EDGE('',*,*,#172528,.T.); #219581=ORIENTED_EDGE('',*,*,#172529,.F.); #219582=ORIENTED_EDGE('',*,*,#172528,.F.); #219583=ORIENTED_EDGE('',*,*,#172530,.F.); #219584=ORIENTED_EDGE('',*,*,#172531,.T.); #219585=ORIENTED_EDGE('',*,*,#172532,.T.); #219586=ORIENTED_EDGE('',*,*,#172531,.F.); #219587=ORIENTED_EDGE('',*,*,#172530,.T.); #219588=ORIENTED_EDGE('',*,*,#172527,.T.); #219589=ORIENTED_EDGE('',*,*,#172532,.F.); #219590=ORIENTED_EDGE('',*,*,#172529,.T.); #219591=ORIENTED_EDGE('',*,*,#172533,.F.); #219592=ORIENTED_EDGE('',*,*,#172534,.T.); #219593=ORIENTED_EDGE('',*,*,#172535,.F.); #219594=ORIENTED_EDGE('',*,*,#172534,.F.); #219595=ORIENTED_EDGE('',*,*,#172536,.F.); #219596=ORIENTED_EDGE('',*,*,#172537,.T.); #219597=ORIENTED_EDGE('',*,*,#172538,.T.); #219598=ORIENTED_EDGE('',*,*,#172537,.F.); #219599=ORIENTED_EDGE('',*,*,#172536,.T.); #219600=ORIENTED_EDGE('',*,*,#172533,.T.); #219601=ORIENTED_EDGE('',*,*,#172538,.F.); #219602=ORIENTED_EDGE('',*,*,#172535,.T.); #219603=ORIENTED_EDGE('',*,*,#172539,.F.); #219604=ORIENTED_EDGE('',*,*,#172540,.T.); #219605=ORIENTED_EDGE('',*,*,#172541,.F.); #219606=ORIENTED_EDGE('',*,*,#172540,.F.); #219607=ORIENTED_EDGE('',*,*,#172542,.F.); #219608=ORIENTED_EDGE('',*,*,#172543,.T.); #219609=ORIENTED_EDGE('',*,*,#172544,.T.); #219610=ORIENTED_EDGE('',*,*,#172543,.F.); #219611=ORIENTED_EDGE('',*,*,#172542,.T.); #219612=ORIENTED_EDGE('',*,*,#172539,.T.); #219613=ORIENTED_EDGE('',*,*,#172544,.F.); #219614=ORIENTED_EDGE('',*,*,#172541,.T.); #219615=ORIENTED_EDGE('',*,*,#172545,.F.); #219616=ORIENTED_EDGE('',*,*,#172546,.T.); #219617=ORIENTED_EDGE('',*,*,#172547,.F.); #219618=ORIENTED_EDGE('',*,*,#172546,.F.); #219619=ORIENTED_EDGE('',*,*,#172548,.F.); #219620=ORIENTED_EDGE('',*,*,#172549,.T.); #219621=ORIENTED_EDGE('',*,*,#172550,.T.); #219622=ORIENTED_EDGE('',*,*,#172549,.F.); #219623=ORIENTED_EDGE('',*,*,#172548,.T.); #219624=ORIENTED_EDGE('',*,*,#172545,.T.); #219625=ORIENTED_EDGE('',*,*,#172550,.F.); #219626=ORIENTED_EDGE('',*,*,#172547,.T.); #219627=ORIENTED_EDGE('',*,*,#172551,.F.); #219628=ORIENTED_EDGE('',*,*,#172552,.T.); #219629=ORIENTED_EDGE('',*,*,#172553,.F.); #219630=ORIENTED_EDGE('',*,*,#172552,.F.); #219631=ORIENTED_EDGE('',*,*,#172554,.F.); #219632=ORIENTED_EDGE('',*,*,#172555,.T.); #219633=ORIENTED_EDGE('',*,*,#172556,.T.); #219634=ORIENTED_EDGE('',*,*,#172555,.F.); #219635=ORIENTED_EDGE('',*,*,#172554,.T.); #219636=ORIENTED_EDGE('',*,*,#172551,.T.); #219637=ORIENTED_EDGE('',*,*,#172556,.F.); #219638=ORIENTED_EDGE('',*,*,#172553,.T.); #219639=ORIENTED_EDGE('',*,*,#172557,.F.); #219640=ORIENTED_EDGE('',*,*,#172558,.T.); #219641=ORIENTED_EDGE('',*,*,#172559,.F.); #219642=ORIENTED_EDGE('',*,*,#172558,.F.); #219643=ORIENTED_EDGE('',*,*,#172560,.F.); #219644=ORIENTED_EDGE('',*,*,#172561,.T.); #219645=ORIENTED_EDGE('',*,*,#172562,.T.); #219646=ORIENTED_EDGE('',*,*,#172561,.F.); #219647=ORIENTED_EDGE('',*,*,#172560,.T.); #219648=ORIENTED_EDGE('',*,*,#172557,.T.); #219649=ORIENTED_EDGE('',*,*,#172562,.F.); #219650=ORIENTED_EDGE('',*,*,#172559,.T.); #219651=ORIENTED_EDGE('',*,*,#172563,.F.); #219652=ORIENTED_EDGE('',*,*,#172564,.T.); #219653=ORIENTED_EDGE('',*,*,#172565,.F.); #219654=ORIENTED_EDGE('',*,*,#172564,.F.); #219655=ORIENTED_EDGE('',*,*,#172566,.F.); #219656=ORIENTED_EDGE('',*,*,#172567,.T.); #219657=ORIENTED_EDGE('',*,*,#172568,.T.); #219658=ORIENTED_EDGE('',*,*,#172567,.F.); #219659=ORIENTED_EDGE('',*,*,#172566,.T.); #219660=ORIENTED_EDGE('',*,*,#172563,.T.); #219661=ORIENTED_EDGE('',*,*,#172568,.F.); #219662=ORIENTED_EDGE('',*,*,#172565,.T.); #219663=ORIENTED_EDGE('',*,*,#172569,.F.); #219664=ORIENTED_EDGE('',*,*,#172570,.T.); #219665=ORIENTED_EDGE('',*,*,#172571,.F.); #219666=ORIENTED_EDGE('',*,*,#172570,.F.); #219667=ORIENTED_EDGE('',*,*,#172572,.F.); #219668=ORIENTED_EDGE('',*,*,#172573,.T.); #219669=ORIENTED_EDGE('',*,*,#172574,.T.); #219670=ORIENTED_EDGE('',*,*,#172573,.F.); #219671=ORIENTED_EDGE('',*,*,#172572,.T.); #219672=ORIENTED_EDGE('',*,*,#172569,.T.); #219673=ORIENTED_EDGE('',*,*,#172574,.F.); #219674=ORIENTED_EDGE('',*,*,#172571,.T.); #219675=ORIENTED_EDGE('',*,*,#172575,.F.); #219676=ORIENTED_EDGE('',*,*,#172576,.T.); #219677=ORIENTED_EDGE('',*,*,#172577,.F.); #219678=ORIENTED_EDGE('',*,*,#172576,.F.); #219679=ORIENTED_EDGE('',*,*,#172578,.F.); #219680=ORIENTED_EDGE('',*,*,#172579,.T.); #219681=ORIENTED_EDGE('',*,*,#172580,.T.); #219682=ORIENTED_EDGE('',*,*,#172579,.F.); #219683=ORIENTED_EDGE('',*,*,#172578,.T.); #219684=ORIENTED_EDGE('',*,*,#172575,.T.); #219685=ORIENTED_EDGE('',*,*,#172580,.F.); #219686=ORIENTED_EDGE('',*,*,#172577,.T.); #219687=ORIENTED_EDGE('',*,*,#172581,.F.); #219688=ORIENTED_EDGE('',*,*,#172582,.T.); #219689=ORIENTED_EDGE('',*,*,#172583,.F.); #219690=ORIENTED_EDGE('',*,*,#172582,.F.); #219691=ORIENTED_EDGE('',*,*,#172584,.F.); #219692=ORIENTED_EDGE('',*,*,#172585,.T.); #219693=ORIENTED_EDGE('',*,*,#172586,.T.); #219694=ORIENTED_EDGE('',*,*,#172585,.F.); #219695=ORIENTED_EDGE('',*,*,#172584,.T.); #219696=ORIENTED_EDGE('',*,*,#172581,.T.); #219697=ORIENTED_EDGE('',*,*,#172586,.F.); #219698=ORIENTED_EDGE('',*,*,#172583,.T.); #219699=ORIENTED_EDGE('',*,*,#172587,.F.); #219700=ORIENTED_EDGE('',*,*,#172588,.T.); #219701=ORIENTED_EDGE('',*,*,#172589,.F.); #219702=ORIENTED_EDGE('',*,*,#172588,.F.); #219703=ORIENTED_EDGE('',*,*,#172590,.F.); #219704=ORIENTED_EDGE('',*,*,#172591,.T.); #219705=ORIENTED_EDGE('',*,*,#172592,.T.); #219706=ORIENTED_EDGE('',*,*,#172591,.F.); #219707=ORIENTED_EDGE('',*,*,#172590,.T.); #219708=ORIENTED_EDGE('',*,*,#172587,.T.); #219709=ORIENTED_EDGE('',*,*,#172592,.F.); #219710=ORIENTED_EDGE('',*,*,#172589,.T.); #219711=ORIENTED_EDGE('',*,*,#172593,.F.); #219712=ORIENTED_EDGE('',*,*,#172594,.T.); #219713=ORIENTED_EDGE('',*,*,#172595,.F.); #219714=ORIENTED_EDGE('',*,*,#172594,.F.); #219715=ORIENTED_EDGE('',*,*,#172596,.F.); #219716=ORIENTED_EDGE('',*,*,#172597,.T.); #219717=ORIENTED_EDGE('',*,*,#172598,.T.); #219718=ORIENTED_EDGE('',*,*,#172597,.F.); #219719=ORIENTED_EDGE('',*,*,#172596,.T.); #219720=ORIENTED_EDGE('',*,*,#172593,.T.); #219721=ORIENTED_EDGE('',*,*,#172598,.F.); #219722=ORIENTED_EDGE('',*,*,#172595,.T.); #219723=ORIENTED_EDGE('',*,*,#172599,.F.); #219724=ORIENTED_EDGE('',*,*,#172600,.T.); #219725=ORIENTED_EDGE('',*,*,#172601,.F.); #219726=ORIENTED_EDGE('',*,*,#172600,.F.); #219727=ORIENTED_EDGE('',*,*,#172602,.F.); #219728=ORIENTED_EDGE('',*,*,#172603,.T.); #219729=ORIENTED_EDGE('',*,*,#172604,.T.); #219730=ORIENTED_EDGE('',*,*,#172603,.F.); #219731=ORIENTED_EDGE('',*,*,#172602,.T.); #219732=ORIENTED_EDGE('',*,*,#172599,.T.); #219733=ORIENTED_EDGE('',*,*,#172604,.F.); #219734=ORIENTED_EDGE('',*,*,#172601,.T.); #219735=ORIENTED_EDGE('',*,*,#172605,.F.); #219736=ORIENTED_EDGE('',*,*,#172606,.T.); #219737=ORIENTED_EDGE('',*,*,#172607,.F.); #219738=ORIENTED_EDGE('',*,*,#172606,.F.); #219739=ORIENTED_EDGE('',*,*,#172608,.F.); #219740=ORIENTED_EDGE('',*,*,#172609,.T.); #219741=ORIENTED_EDGE('',*,*,#172610,.T.); #219742=ORIENTED_EDGE('',*,*,#172609,.F.); #219743=ORIENTED_EDGE('',*,*,#172608,.T.); #219744=ORIENTED_EDGE('',*,*,#172605,.T.); #219745=ORIENTED_EDGE('',*,*,#172610,.F.); #219746=ORIENTED_EDGE('',*,*,#172607,.T.); #219747=ORIENTED_EDGE('',*,*,#172611,.F.); #219748=ORIENTED_EDGE('',*,*,#172612,.T.); #219749=ORIENTED_EDGE('',*,*,#172613,.F.); #219750=ORIENTED_EDGE('',*,*,#172612,.F.); #219751=ORIENTED_EDGE('',*,*,#172614,.F.); #219752=ORIENTED_EDGE('',*,*,#172615,.T.); #219753=ORIENTED_EDGE('',*,*,#172616,.T.); #219754=ORIENTED_EDGE('',*,*,#172615,.F.); #219755=ORIENTED_EDGE('',*,*,#172614,.T.); #219756=ORIENTED_EDGE('',*,*,#172611,.T.); #219757=ORIENTED_EDGE('',*,*,#172616,.F.); #219758=ORIENTED_EDGE('',*,*,#172613,.T.); #219759=ORIENTED_EDGE('',*,*,#172617,.F.); #219760=ORIENTED_EDGE('',*,*,#172618,.T.); #219761=ORIENTED_EDGE('',*,*,#172619,.F.); #219762=ORIENTED_EDGE('',*,*,#172618,.F.); #219763=ORIENTED_EDGE('',*,*,#172620,.F.); #219764=ORIENTED_EDGE('',*,*,#172621,.T.); #219765=ORIENTED_EDGE('',*,*,#172622,.T.); #219766=ORIENTED_EDGE('',*,*,#172621,.F.); #219767=ORIENTED_EDGE('',*,*,#172620,.T.); #219768=ORIENTED_EDGE('',*,*,#172617,.T.); #219769=ORIENTED_EDGE('',*,*,#172622,.F.); #219770=ORIENTED_EDGE('',*,*,#172619,.T.); #219771=ORIENTED_EDGE('',*,*,#172623,.F.); #219772=ORIENTED_EDGE('',*,*,#172624,.T.); #219773=ORIENTED_EDGE('',*,*,#172625,.F.); #219774=ORIENTED_EDGE('',*,*,#172624,.F.); #219775=ORIENTED_EDGE('',*,*,#172626,.F.); #219776=ORIENTED_EDGE('',*,*,#172627,.T.); #219777=ORIENTED_EDGE('',*,*,#172628,.T.); #219778=ORIENTED_EDGE('',*,*,#172627,.F.); #219779=ORIENTED_EDGE('',*,*,#172626,.T.); #219780=ORIENTED_EDGE('',*,*,#172623,.T.); #219781=ORIENTED_EDGE('',*,*,#172628,.F.); #219782=ORIENTED_EDGE('',*,*,#172625,.T.); #219783=ORIENTED_EDGE('',*,*,#172629,.F.); #219784=ORIENTED_EDGE('',*,*,#172630,.T.); #219785=ORIENTED_EDGE('',*,*,#172631,.F.); #219786=ORIENTED_EDGE('',*,*,#172630,.F.); #219787=ORIENTED_EDGE('',*,*,#172632,.F.); #219788=ORIENTED_EDGE('',*,*,#172633,.T.); #219789=ORIENTED_EDGE('',*,*,#172634,.T.); #219790=ORIENTED_EDGE('',*,*,#172633,.F.); #219791=ORIENTED_EDGE('',*,*,#172632,.T.); #219792=ORIENTED_EDGE('',*,*,#172629,.T.); #219793=ORIENTED_EDGE('',*,*,#172634,.F.); #219794=ORIENTED_EDGE('',*,*,#172631,.T.); #219795=ORIENTED_EDGE('',*,*,#172635,.F.); #219796=ORIENTED_EDGE('',*,*,#172636,.T.); #219797=ORIENTED_EDGE('',*,*,#172637,.F.); #219798=ORIENTED_EDGE('',*,*,#172636,.F.); #219799=ORIENTED_EDGE('',*,*,#172638,.F.); #219800=ORIENTED_EDGE('',*,*,#172639,.T.); #219801=ORIENTED_EDGE('',*,*,#172640,.T.); #219802=ORIENTED_EDGE('',*,*,#172639,.F.); #219803=ORIENTED_EDGE('',*,*,#172638,.T.); #219804=ORIENTED_EDGE('',*,*,#172635,.T.); #219805=ORIENTED_EDGE('',*,*,#172640,.F.); #219806=ORIENTED_EDGE('',*,*,#172637,.T.); #219807=ORIENTED_EDGE('',*,*,#172641,.F.); #219808=ORIENTED_EDGE('',*,*,#172642,.T.); #219809=ORIENTED_EDGE('',*,*,#172643,.F.); #219810=ORIENTED_EDGE('',*,*,#172642,.F.); #219811=ORIENTED_EDGE('',*,*,#172644,.F.); #219812=ORIENTED_EDGE('',*,*,#172645,.T.); #219813=ORIENTED_EDGE('',*,*,#172646,.T.); #219814=ORIENTED_EDGE('',*,*,#172645,.F.); #219815=ORIENTED_EDGE('',*,*,#172644,.T.); #219816=ORIENTED_EDGE('',*,*,#172641,.T.); #219817=ORIENTED_EDGE('',*,*,#172646,.F.); #219818=ORIENTED_EDGE('',*,*,#172643,.T.); #219819=ORIENTED_EDGE('',*,*,#172647,.F.); #219820=ORIENTED_EDGE('',*,*,#172648,.T.); #219821=ORIENTED_EDGE('',*,*,#172649,.F.); #219822=ORIENTED_EDGE('',*,*,#172648,.F.); #219823=ORIENTED_EDGE('',*,*,#172650,.F.); #219824=ORIENTED_EDGE('',*,*,#172651,.T.); #219825=ORIENTED_EDGE('',*,*,#172652,.T.); #219826=ORIENTED_EDGE('',*,*,#172651,.F.); #219827=ORIENTED_EDGE('',*,*,#172650,.T.); #219828=ORIENTED_EDGE('',*,*,#172647,.T.); #219829=ORIENTED_EDGE('',*,*,#172652,.F.); #219830=ORIENTED_EDGE('',*,*,#172649,.T.); #219831=ORIENTED_EDGE('',*,*,#172653,.F.); #219832=ORIENTED_EDGE('',*,*,#172654,.T.); #219833=ORIENTED_EDGE('',*,*,#172655,.F.); #219834=ORIENTED_EDGE('',*,*,#172654,.F.); #219835=ORIENTED_EDGE('',*,*,#172656,.F.); #219836=ORIENTED_EDGE('',*,*,#172657,.T.); #219837=ORIENTED_EDGE('',*,*,#172658,.T.); #219838=ORIENTED_EDGE('',*,*,#172657,.F.); #219839=ORIENTED_EDGE('',*,*,#172656,.T.); #219840=ORIENTED_EDGE('',*,*,#172653,.T.); #219841=ORIENTED_EDGE('',*,*,#172658,.F.); #219842=ORIENTED_EDGE('',*,*,#172655,.T.); #219843=ORIENTED_EDGE('',*,*,#172659,.F.); #219844=ORIENTED_EDGE('',*,*,#172660,.T.); #219845=ORIENTED_EDGE('',*,*,#172661,.F.); #219846=ORIENTED_EDGE('',*,*,#172660,.F.); #219847=ORIENTED_EDGE('',*,*,#172662,.F.); #219848=ORIENTED_EDGE('',*,*,#172663,.T.); #219849=ORIENTED_EDGE('',*,*,#172664,.T.); #219850=ORIENTED_EDGE('',*,*,#172663,.F.); #219851=ORIENTED_EDGE('',*,*,#172662,.T.); #219852=ORIENTED_EDGE('',*,*,#172659,.T.); #219853=ORIENTED_EDGE('',*,*,#172664,.F.); #219854=ORIENTED_EDGE('',*,*,#172661,.T.); #219855=ORIENTED_EDGE('',*,*,#172665,.F.); #219856=ORIENTED_EDGE('',*,*,#172666,.T.); #219857=ORIENTED_EDGE('',*,*,#172667,.F.); #219858=ORIENTED_EDGE('',*,*,#172666,.F.); #219859=ORIENTED_EDGE('',*,*,#172668,.F.); #219860=ORIENTED_EDGE('',*,*,#172669,.T.); #219861=ORIENTED_EDGE('',*,*,#172670,.T.); #219862=ORIENTED_EDGE('',*,*,#172669,.F.); #219863=ORIENTED_EDGE('',*,*,#172668,.T.); #219864=ORIENTED_EDGE('',*,*,#172665,.T.); #219865=ORIENTED_EDGE('',*,*,#172670,.F.); #219866=ORIENTED_EDGE('',*,*,#172667,.T.); #219867=ORIENTED_EDGE('',*,*,#172671,.F.); #219868=ORIENTED_EDGE('',*,*,#172672,.T.); #219869=ORIENTED_EDGE('',*,*,#172673,.F.); #219870=ORIENTED_EDGE('',*,*,#172672,.F.); #219871=ORIENTED_EDGE('',*,*,#172674,.F.); #219872=ORIENTED_EDGE('',*,*,#172675,.T.); #219873=ORIENTED_EDGE('',*,*,#172676,.T.); #219874=ORIENTED_EDGE('',*,*,#172675,.F.); #219875=ORIENTED_EDGE('',*,*,#172674,.T.); #219876=ORIENTED_EDGE('',*,*,#172671,.T.); #219877=ORIENTED_EDGE('',*,*,#172676,.F.); #219878=ORIENTED_EDGE('',*,*,#172673,.T.); #219879=ORIENTED_EDGE('',*,*,#172677,.F.); #219880=ORIENTED_EDGE('',*,*,#172678,.T.); #219881=ORIENTED_EDGE('',*,*,#172679,.F.); #219882=ORIENTED_EDGE('',*,*,#172678,.F.); #219883=ORIENTED_EDGE('',*,*,#172680,.F.); #219884=ORIENTED_EDGE('',*,*,#172681,.T.); #219885=ORIENTED_EDGE('',*,*,#172682,.T.); #219886=ORIENTED_EDGE('',*,*,#172681,.F.); #219887=ORIENTED_EDGE('',*,*,#172680,.T.); #219888=ORIENTED_EDGE('',*,*,#172677,.T.); #219889=ORIENTED_EDGE('',*,*,#172682,.F.); #219890=ORIENTED_EDGE('',*,*,#172679,.T.); #219891=ORIENTED_EDGE('',*,*,#172683,.F.); #219892=ORIENTED_EDGE('',*,*,#172684,.T.); #219893=ORIENTED_EDGE('',*,*,#172685,.F.); #219894=ORIENTED_EDGE('',*,*,#172684,.F.); #219895=ORIENTED_EDGE('',*,*,#172686,.F.); #219896=ORIENTED_EDGE('',*,*,#172687,.T.); #219897=ORIENTED_EDGE('',*,*,#172688,.T.); #219898=ORIENTED_EDGE('',*,*,#172687,.F.); #219899=ORIENTED_EDGE('',*,*,#172686,.T.); #219900=ORIENTED_EDGE('',*,*,#172683,.T.); #219901=ORIENTED_EDGE('',*,*,#172688,.F.); #219902=ORIENTED_EDGE('',*,*,#172685,.T.); #219903=ORIENTED_EDGE('',*,*,#172689,.F.); #219904=ORIENTED_EDGE('',*,*,#172690,.T.); #219905=ORIENTED_EDGE('',*,*,#172691,.F.); #219906=ORIENTED_EDGE('',*,*,#172690,.F.); #219907=ORIENTED_EDGE('',*,*,#172692,.F.); #219908=ORIENTED_EDGE('',*,*,#172693,.T.); #219909=ORIENTED_EDGE('',*,*,#172694,.T.); #219910=ORIENTED_EDGE('',*,*,#172693,.F.); #219911=ORIENTED_EDGE('',*,*,#172692,.T.); #219912=ORIENTED_EDGE('',*,*,#172689,.T.); #219913=ORIENTED_EDGE('',*,*,#172694,.F.); #219914=ORIENTED_EDGE('',*,*,#172691,.T.); #219915=ORIENTED_EDGE('',*,*,#172695,.F.); #219916=ORIENTED_EDGE('',*,*,#172696,.T.); #219917=ORIENTED_EDGE('',*,*,#172697,.F.); #219918=ORIENTED_EDGE('',*,*,#172696,.F.); #219919=ORIENTED_EDGE('',*,*,#172698,.F.); #219920=ORIENTED_EDGE('',*,*,#172699,.T.); #219921=ORIENTED_EDGE('',*,*,#172700,.T.); #219922=ORIENTED_EDGE('',*,*,#172699,.F.); #219923=ORIENTED_EDGE('',*,*,#172698,.T.); #219924=ORIENTED_EDGE('',*,*,#172695,.T.); #219925=ORIENTED_EDGE('',*,*,#172700,.F.); #219926=ORIENTED_EDGE('',*,*,#172697,.T.); #219927=ORIENTED_EDGE('',*,*,#172701,.F.); #219928=ORIENTED_EDGE('',*,*,#172702,.T.); #219929=ORIENTED_EDGE('',*,*,#172703,.F.); #219930=ORIENTED_EDGE('',*,*,#172702,.F.); #219931=ORIENTED_EDGE('',*,*,#172704,.F.); #219932=ORIENTED_EDGE('',*,*,#172705,.T.); #219933=ORIENTED_EDGE('',*,*,#172706,.T.); #219934=ORIENTED_EDGE('',*,*,#172705,.F.); #219935=ORIENTED_EDGE('',*,*,#172704,.T.); #219936=ORIENTED_EDGE('',*,*,#172701,.T.); #219937=ORIENTED_EDGE('',*,*,#172706,.F.); #219938=ORIENTED_EDGE('',*,*,#172703,.T.); #219939=ORIENTED_EDGE('',*,*,#172707,.F.); #219940=ORIENTED_EDGE('',*,*,#172708,.T.); #219941=ORIENTED_EDGE('',*,*,#172709,.F.); #219942=ORIENTED_EDGE('',*,*,#172708,.F.); #219943=ORIENTED_EDGE('',*,*,#172710,.F.); #219944=ORIENTED_EDGE('',*,*,#172711,.T.); #219945=ORIENTED_EDGE('',*,*,#172712,.T.); #219946=ORIENTED_EDGE('',*,*,#172711,.F.); #219947=ORIENTED_EDGE('',*,*,#172710,.T.); #219948=ORIENTED_EDGE('',*,*,#172707,.T.); #219949=ORIENTED_EDGE('',*,*,#172712,.F.); #219950=ORIENTED_EDGE('',*,*,#172709,.T.); #219951=ORIENTED_EDGE('',*,*,#172713,.F.); #219952=ORIENTED_EDGE('',*,*,#172714,.T.); #219953=ORIENTED_EDGE('',*,*,#172715,.F.); #219954=ORIENTED_EDGE('',*,*,#172714,.F.); #219955=ORIENTED_EDGE('',*,*,#172716,.F.); #219956=ORIENTED_EDGE('',*,*,#172717,.T.); #219957=ORIENTED_EDGE('',*,*,#172718,.T.); #219958=ORIENTED_EDGE('',*,*,#172717,.F.); #219959=ORIENTED_EDGE('',*,*,#172716,.T.); #219960=ORIENTED_EDGE('',*,*,#172713,.T.); #219961=ORIENTED_EDGE('',*,*,#172718,.F.); #219962=ORIENTED_EDGE('',*,*,#172715,.T.); #219963=ORIENTED_EDGE('',*,*,#172719,.F.); #219964=ORIENTED_EDGE('',*,*,#172720,.T.); #219965=ORIENTED_EDGE('',*,*,#172721,.F.); #219966=ORIENTED_EDGE('',*,*,#172720,.F.); #219967=ORIENTED_EDGE('',*,*,#172722,.F.); #219968=ORIENTED_EDGE('',*,*,#172723,.T.); #219969=ORIENTED_EDGE('',*,*,#172724,.T.); #219970=ORIENTED_EDGE('',*,*,#172723,.F.); #219971=ORIENTED_EDGE('',*,*,#172722,.T.); #219972=ORIENTED_EDGE('',*,*,#172719,.T.); #219973=ORIENTED_EDGE('',*,*,#172724,.F.); #219974=ORIENTED_EDGE('',*,*,#172721,.T.); #219975=ORIENTED_EDGE('',*,*,#172725,.F.); #219976=ORIENTED_EDGE('',*,*,#172726,.T.); #219977=ORIENTED_EDGE('',*,*,#172727,.F.); #219978=ORIENTED_EDGE('',*,*,#172726,.F.); #219979=ORIENTED_EDGE('',*,*,#172728,.F.); #219980=ORIENTED_EDGE('',*,*,#172729,.T.); #219981=ORIENTED_EDGE('',*,*,#172730,.T.); #219982=ORIENTED_EDGE('',*,*,#172729,.F.); #219983=ORIENTED_EDGE('',*,*,#172728,.T.); #219984=ORIENTED_EDGE('',*,*,#172725,.T.); #219985=ORIENTED_EDGE('',*,*,#172730,.F.); #219986=ORIENTED_EDGE('',*,*,#172727,.T.); #219987=ORIENTED_EDGE('',*,*,#172731,.F.); #219988=ORIENTED_EDGE('',*,*,#172732,.T.); #219989=ORIENTED_EDGE('',*,*,#172733,.F.); #219990=ORIENTED_EDGE('',*,*,#172732,.F.); #219991=ORIENTED_EDGE('',*,*,#172734,.F.); #219992=ORIENTED_EDGE('',*,*,#172735,.T.); #219993=ORIENTED_EDGE('',*,*,#172736,.T.); #219994=ORIENTED_EDGE('',*,*,#172735,.F.); #219995=ORIENTED_EDGE('',*,*,#172734,.T.); #219996=ORIENTED_EDGE('',*,*,#172731,.T.); #219997=ORIENTED_EDGE('',*,*,#172736,.F.); #219998=ORIENTED_EDGE('',*,*,#172733,.T.); #219999=ORIENTED_EDGE('',*,*,#172737,.F.); #220000=ORIENTED_EDGE('',*,*,#172738,.T.); #220001=ORIENTED_EDGE('',*,*,#172739,.F.); #220002=ORIENTED_EDGE('',*,*,#172738,.F.); #220003=ORIENTED_EDGE('',*,*,#172740,.F.); #220004=ORIENTED_EDGE('',*,*,#172741,.T.); #220005=ORIENTED_EDGE('',*,*,#172742,.T.); #220006=ORIENTED_EDGE('',*,*,#172741,.F.); #220007=ORIENTED_EDGE('',*,*,#172740,.T.); #220008=ORIENTED_EDGE('',*,*,#172737,.T.); #220009=ORIENTED_EDGE('',*,*,#172742,.F.); #220010=ORIENTED_EDGE('',*,*,#172739,.T.); #220011=ORIENTED_EDGE('',*,*,#172743,.F.); #220012=ORIENTED_EDGE('',*,*,#172744,.T.); #220013=ORIENTED_EDGE('',*,*,#172745,.F.); #220014=ORIENTED_EDGE('',*,*,#172744,.F.); #220015=ORIENTED_EDGE('',*,*,#172746,.F.); #220016=ORIENTED_EDGE('',*,*,#172747,.T.); #220017=ORIENTED_EDGE('',*,*,#172748,.T.); #220018=ORIENTED_EDGE('',*,*,#172747,.F.); #220019=ORIENTED_EDGE('',*,*,#172746,.T.); #220020=ORIENTED_EDGE('',*,*,#172743,.T.); #220021=ORIENTED_EDGE('',*,*,#172748,.F.); #220022=ORIENTED_EDGE('',*,*,#172745,.T.); #220023=ORIENTED_EDGE('',*,*,#172749,.F.); #220024=ORIENTED_EDGE('',*,*,#172750,.T.); #220025=ORIENTED_EDGE('',*,*,#172751,.F.); #220026=ORIENTED_EDGE('',*,*,#172750,.F.); #220027=ORIENTED_EDGE('',*,*,#172752,.F.); #220028=ORIENTED_EDGE('',*,*,#172753,.T.); #220029=ORIENTED_EDGE('',*,*,#172754,.T.); #220030=ORIENTED_EDGE('',*,*,#172753,.F.); #220031=ORIENTED_EDGE('',*,*,#172752,.T.); #220032=ORIENTED_EDGE('',*,*,#172749,.T.); #220033=ORIENTED_EDGE('',*,*,#172754,.F.); #220034=ORIENTED_EDGE('',*,*,#172751,.T.); #220035=ORIENTED_EDGE('',*,*,#172755,.F.); #220036=ORIENTED_EDGE('',*,*,#172756,.T.); #220037=ORIENTED_EDGE('',*,*,#172757,.F.); #220038=ORIENTED_EDGE('',*,*,#172756,.F.); #220039=ORIENTED_EDGE('',*,*,#172758,.F.); #220040=ORIENTED_EDGE('',*,*,#172759,.T.); #220041=ORIENTED_EDGE('',*,*,#172760,.T.); #220042=ORIENTED_EDGE('',*,*,#172759,.F.); #220043=ORIENTED_EDGE('',*,*,#172758,.T.); #220044=ORIENTED_EDGE('',*,*,#172755,.T.); #220045=ORIENTED_EDGE('',*,*,#172760,.F.); #220046=ORIENTED_EDGE('',*,*,#172757,.T.); #220047=ORIENTED_EDGE('',*,*,#172761,.F.); #220048=ORIENTED_EDGE('',*,*,#172762,.T.); #220049=ORIENTED_EDGE('',*,*,#172763,.F.); #220050=ORIENTED_EDGE('',*,*,#172762,.F.); #220051=ORIENTED_EDGE('',*,*,#172764,.F.); #220052=ORIENTED_EDGE('',*,*,#172765,.T.); #220053=ORIENTED_EDGE('',*,*,#172766,.T.); #220054=ORIENTED_EDGE('',*,*,#172765,.F.); #220055=ORIENTED_EDGE('',*,*,#172764,.T.); #220056=ORIENTED_EDGE('',*,*,#172761,.T.); #220057=ORIENTED_EDGE('',*,*,#172766,.F.); #220058=ORIENTED_EDGE('',*,*,#172763,.T.); #220059=ORIENTED_EDGE('',*,*,#172767,.F.); #220060=ORIENTED_EDGE('',*,*,#172768,.T.); #220061=ORIENTED_EDGE('',*,*,#172769,.F.); #220062=ORIENTED_EDGE('',*,*,#172768,.F.); #220063=ORIENTED_EDGE('',*,*,#172770,.F.); #220064=ORIENTED_EDGE('',*,*,#172771,.T.); #220065=ORIENTED_EDGE('',*,*,#172772,.T.); #220066=ORIENTED_EDGE('',*,*,#172771,.F.); #220067=ORIENTED_EDGE('',*,*,#172770,.T.); #220068=ORIENTED_EDGE('',*,*,#172767,.T.); #220069=ORIENTED_EDGE('',*,*,#172772,.F.); #220070=ORIENTED_EDGE('',*,*,#172769,.T.); #220071=ORIENTED_EDGE('',*,*,#172773,.F.); #220072=ORIENTED_EDGE('',*,*,#172774,.T.); #220073=ORIENTED_EDGE('',*,*,#172775,.F.); #220074=ORIENTED_EDGE('',*,*,#172774,.F.); #220075=ORIENTED_EDGE('',*,*,#172776,.F.); #220076=ORIENTED_EDGE('',*,*,#172777,.T.); #220077=ORIENTED_EDGE('',*,*,#172778,.T.); #220078=ORIENTED_EDGE('',*,*,#172777,.F.); #220079=ORIENTED_EDGE('',*,*,#172776,.T.); #220080=ORIENTED_EDGE('',*,*,#172773,.T.); #220081=ORIENTED_EDGE('',*,*,#172778,.F.); #220082=ORIENTED_EDGE('',*,*,#172775,.T.); #220083=ORIENTED_EDGE('',*,*,#172779,.F.); #220084=ORIENTED_EDGE('',*,*,#172780,.T.); #220085=ORIENTED_EDGE('',*,*,#172781,.F.); #220086=ORIENTED_EDGE('',*,*,#172780,.F.); #220087=ORIENTED_EDGE('',*,*,#172782,.F.); #220088=ORIENTED_EDGE('',*,*,#172783,.T.); #220089=ORIENTED_EDGE('',*,*,#172784,.T.); #220090=ORIENTED_EDGE('',*,*,#172783,.F.); #220091=ORIENTED_EDGE('',*,*,#172782,.T.); #220092=ORIENTED_EDGE('',*,*,#172779,.T.); #220093=ORIENTED_EDGE('',*,*,#172784,.F.); #220094=ORIENTED_EDGE('',*,*,#172781,.T.); #220095=ORIENTED_EDGE('',*,*,#172785,.F.); #220096=ORIENTED_EDGE('',*,*,#172786,.T.); #220097=ORIENTED_EDGE('',*,*,#172787,.F.); #220098=ORIENTED_EDGE('',*,*,#172786,.F.); #220099=ORIENTED_EDGE('',*,*,#172788,.F.); #220100=ORIENTED_EDGE('',*,*,#172789,.T.); #220101=ORIENTED_EDGE('',*,*,#172790,.T.); #220102=ORIENTED_EDGE('',*,*,#172789,.F.); #220103=ORIENTED_EDGE('',*,*,#172788,.T.); #220104=ORIENTED_EDGE('',*,*,#172785,.T.); #220105=ORIENTED_EDGE('',*,*,#172790,.F.); #220106=ORIENTED_EDGE('',*,*,#172787,.T.); #220107=ORIENTED_EDGE('',*,*,#172791,.F.); #220108=ORIENTED_EDGE('',*,*,#172792,.T.); #220109=ORIENTED_EDGE('',*,*,#172793,.F.); #220110=ORIENTED_EDGE('',*,*,#172792,.F.); #220111=ORIENTED_EDGE('',*,*,#172794,.F.); #220112=ORIENTED_EDGE('',*,*,#172795,.T.); #220113=ORIENTED_EDGE('',*,*,#172796,.T.); #220114=ORIENTED_EDGE('',*,*,#172795,.F.); #220115=ORIENTED_EDGE('',*,*,#172794,.T.); #220116=ORIENTED_EDGE('',*,*,#172791,.T.); #220117=ORIENTED_EDGE('',*,*,#172796,.F.); #220118=ORIENTED_EDGE('',*,*,#172793,.T.); #220119=ORIENTED_EDGE('',*,*,#172797,.F.); #220120=ORIENTED_EDGE('',*,*,#172798,.T.); #220121=ORIENTED_EDGE('',*,*,#172799,.F.); #220122=ORIENTED_EDGE('',*,*,#172798,.F.); #220123=ORIENTED_EDGE('',*,*,#172800,.F.); #220124=ORIENTED_EDGE('',*,*,#172801,.T.); #220125=ORIENTED_EDGE('',*,*,#172802,.T.); #220126=ORIENTED_EDGE('',*,*,#172801,.F.); #220127=ORIENTED_EDGE('',*,*,#172800,.T.); #220128=ORIENTED_EDGE('',*,*,#172797,.T.); #220129=ORIENTED_EDGE('',*,*,#172802,.F.); #220130=ORIENTED_EDGE('',*,*,#172799,.T.); #220131=ORIENTED_EDGE('',*,*,#172803,.F.); #220132=ORIENTED_EDGE('',*,*,#172804,.T.); #220133=ORIENTED_EDGE('',*,*,#172805,.F.); #220134=ORIENTED_EDGE('',*,*,#172804,.F.); #220135=ORIENTED_EDGE('',*,*,#172806,.F.); #220136=ORIENTED_EDGE('',*,*,#172807,.T.); #220137=ORIENTED_EDGE('',*,*,#172808,.T.); #220138=ORIENTED_EDGE('',*,*,#172807,.F.); #220139=ORIENTED_EDGE('',*,*,#172806,.T.); #220140=ORIENTED_EDGE('',*,*,#172803,.T.); #220141=ORIENTED_EDGE('',*,*,#172808,.F.); #220142=ORIENTED_EDGE('',*,*,#172805,.T.); #220143=ORIENTED_EDGE('',*,*,#172809,.F.); #220144=ORIENTED_EDGE('',*,*,#172810,.T.); #220145=ORIENTED_EDGE('',*,*,#172811,.F.); #220146=ORIENTED_EDGE('',*,*,#172810,.F.); #220147=ORIENTED_EDGE('',*,*,#172812,.F.); #220148=ORIENTED_EDGE('',*,*,#172813,.T.); #220149=ORIENTED_EDGE('',*,*,#172814,.T.); #220150=ORIENTED_EDGE('',*,*,#172813,.F.); #220151=ORIENTED_EDGE('',*,*,#172812,.T.); #220152=ORIENTED_EDGE('',*,*,#172809,.T.); #220153=ORIENTED_EDGE('',*,*,#172814,.F.); #220154=ORIENTED_EDGE('',*,*,#172811,.T.); #220155=ORIENTED_EDGE('',*,*,#172815,.F.); #220156=ORIENTED_EDGE('',*,*,#172816,.T.); #220157=ORIENTED_EDGE('',*,*,#172817,.F.); #220158=ORIENTED_EDGE('',*,*,#172816,.F.); #220159=ORIENTED_EDGE('',*,*,#172818,.F.); #220160=ORIENTED_EDGE('',*,*,#172819,.T.); #220161=ORIENTED_EDGE('',*,*,#172820,.T.); #220162=ORIENTED_EDGE('',*,*,#172819,.F.); #220163=ORIENTED_EDGE('',*,*,#172818,.T.); #220164=ORIENTED_EDGE('',*,*,#172815,.T.); #220165=ORIENTED_EDGE('',*,*,#172820,.F.); #220166=ORIENTED_EDGE('',*,*,#172817,.T.); #220167=ORIENTED_EDGE('',*,*,#172821,.F.); #220168=ORIENTED_EDGE('',*,*,#172822,.T.); #220169=ORIENTED_EDGE('',*,*,#172823,.F.); #220170=ORIENTED_EDGE('',*,*,#172822,.F.); #220171=ORIENTED_EDGE('',*,*,#172824,.F.); #220172=ORIENTED_EDGE('',*,*,#172825,.T.); #220173=ORIENTED_EDGE('',*,*,#172826,.T.); #220174=ORIENTED_EDGE('',*,*,#172825,.F.); #220175=ORIENTED_EDGE('',*,*,#172824,.T.); #220176=ORIENTED_EDGE('',*,*,#172821,.T.); #220177=ORIENTED_EDGE('',*,*,#172826,.F.); #220178=ORIENTED_EDGE('',*,*,#172823,.T.); #220179=ORIENTED_EDGE('',*,*,#172827,.F.); #220180=ORIENTED_EDGE('',*,*,#172828,.T.); #220181=ORIENTED_EDGE('',*,*,#172829,.F.); #220182=ORIENTED_EDGE('',*,*,#172828,.F.); #220183=ORIENTED_EDGE('',*,*,#172830,.F.); #220184=ORIENTED_EDGE('',*,*,#172831,.T.); #220185=ORIENTED_EDGE('',*,*,#172832,.T.); #220186=ORIENTED_EDGE('',*,*,#172831,.F.); #220187=ORIENTED_EDGE('',*,*,#172830,.T.); #220188=ORIENTED_EDGE('',*,*,#172827,.T.); #220189=ORIENTED_EDGE('',*,*,#172832,.F.); #220190=ORIENTED_EDGE('',*,*,#172829,.T.); #220191=ORIENTED_EDGE('',*,*,#172833,.F.); #220192=ORIENTED_EDGE('',*,*,#172834,.T.); #220193=ORIENTED_EDGE('',*,*,#172835,.F.); #220194=ORIENTED_EDGE('',*,*,#172834,.F.); #220195=ORIENTED_EDGE('',*,*,#172836,.F.); #220196=ORIENTED_EDGE('',*,*,#172837,.T.); #220197=ORIENTED_EDGE('',*,*,#172838,.T.); #220198=ORIENTED_EDGE('',*,*,#172837,.F.); #220199=ORIENTED_EDGE('',*,*,#172836,.T.); #220200=ORIENTED_EDGE('',*,*,#172833,.T.); #220201=ORIENTED_EDGE('',*,*,#172838,.F.); #220202=ORIENTED_EDGE('',*,*,#172835,.T.); #220203=ORIENTED_EDGE('',*,*,#172839,.F.); #220204=ORIENTED_EDGE('',*,*,#172840,.T.); #220205=ORIENTED_EDGE('',*,*,#172841,.F.); #220206=ORIENTED_EDGE('',*,*,#172840,.F.); #220207=ORIENTED_EDGE('',*,*,#172842,.F.); #220208=ORIENTED_EDGE('',*,*,#172843,.T.); #220209=ORIENTED_EDGE('',*,*,#172844,.T.); #220210=ORIENTED_EDGE('',*,*,#172843,.F.); #220211=ORIENTED_EDGE('',*,*,#172842,.T.); #220212=ORIENTED_EDGE('',*,*,#172839,.T.); #220213=ORIENTED_EDGE('',*,*,#172844,.F.); #220214=ORIENTED_EDGE('',*,*,#172841,.T.); #220215=ORIENTED_EDGE('',*,*,#172845,.F.); #220216=ORIENTED_EDGE('',*,*,#172846,.T.); #220217=ORIENTED_EDGE('',*,*,#172847,.F.); #220218=ORIENTED_EDGE('',*,*,#172846,.F.); #220219=ORIENTED_EDGE('',*,*,#172848,.F.); #220220=ORIENTED_EDGE('',*,*,#172849,.T.); #220221=ORIENTED_EDGE('',*,*,#172850,.T.); #220222=ORIENTED_EDGE('',*,*,#172849,.F.); #220223=ORIENTED_EDGE('',*,*,#172848,.T.); #220224=ORIENTED_EDGE('',*,*,#172845,.T.); #220225=ORIENTED_EDGE('',*,*,#172850,.F.); #220226=ORIENTED_EDGE('',*,*,#172847,.T.); #220227=ORIENTED_EDGE('',*,*,#172851,.F.); #220228=ORIENTED_EDGE('',*,*,#172852,.T.); #220229=ORIENTED_EDGE('',*,*,#172853,.F.); #220230=ORIENTED_EDGE('',*,*,#172852,.F.); #220231=ORIENTED_EDGE('',*,*,#172854,.F.); #220232=ORIENTED_EDGE('',*,*,#172855,.T.); #220233=ORIENTED_EDGE('',*,*,#172856,.T.); #220234=ORIENTED_EDGE('',*,*,#172855,.F.); #220235=ORIENTED_EDGE('',*,*,#172854,.T.); #220236=ORIENTED_EDGE('',*,*,#172851,.T.); #220237=ORIENTED_EDGE('',*,*,#172856,.F.); #220238=ORIENTED_EDGE('',*,*,#172853,.T.); #220239=ORIENTED_EDGE('',*,*,#172857,.F.); #220240=ORIENTED_EDGE('',*,*,#172858,.T.); #220241=ORIENTED_EDGE('',*,*,#172859,.F.); #220242=ORIENTED_EDGE('',*,*,#172858,.F.); #220243=ORIENTED_EDGE('',*,*,#172860,.F.); #220244=ORIENTED_EDGE('',*,*,#172861,.T.); #220245=ORIENTED_EDGE('',*,*,#172862,.T.); #220246=ORIENTED_EDGE('',*,*,#172861,.F.); #220247=ORIENTED_EDGE('',*,*,#172860,.T.); #220248=ORIENTED_EDGE('',*,*,#172857,.T.); #220249=ORIENTED_EDGE('',*,*,#172862,.F.); #220250=ORIENTED_EDGE('',*,*,#172859,.T.); #220251=ORIENTED_EDGE('',*,*,#172863,.F.); #220252=ORIENTED_EDGE('',*,*,#172864,.T.); #220253=ORIENTED_EDGE('',*,*,#172865,.F.); #220254=ORIENTED_EDGE('',*,*,#172864,.F.); #220255=ORIENTED_EDGE('',*,*,#172866,.F.); #220256=ORIENTED_EDGE('',*,*,#172867,.T.); #220257=ORIENTED_EDGE('',*,*,#172868,.T.); #220258=ORIENTED_EDGE('',*,*,#172867,.F.); #220259=ORIENTED_EDGE('',*,*,#172866,.T.); #220260=ORIENTED_EDGE('',*,*,#172863,.T.); #220261=ORIENTED_EDGE('',*,*,#172868,.F.); #220262=ORIENTED_EDGE('',*,*,#172865,.T.); #220263=ORIENTED_EDGE('',*,*,#172869,.F.); #220264=ORIENTED_EDGE('',*,*,#172870,.T.); #220265=ORIENTED_EDGE('',*,*,#172871,.F.); #220266=ORIENTED_EDGE('',*,*,#172870,.F.); #220267=ORIENTED_EDGE('',*,*,#172872,.F.); #220268=ORIENTED_EDGE('',*,*,#172873,.T.); #220269=ORIENTED_EDGE('',*,*,#172874,.T.); #220270=ORIENTED_EDGE('',*,*,#172873,.F.); #220271=ORIENTED_EDGE('',*,*,#172872,.T.); #220272=ORIENTED_EDGE('',*,*,#172869,.T.); #220273=ORIENTED_EDGE('',*,*,#172874,.F.); #220274=ORIENTED_EDGE('',*,*,#172871,.T.); #220275=ORIENTED_EDGE('',*,*,#172875,.F.); #220276=ORIENTED_EDGE('',*,*,#172876,.T.); #220277=ORIENTED_EDGE('',*,*,#172877,.F.); #220278=ORIENTED_EDGE('',*,*,#172876,.F.); #220279=ORIENTED_EDGE('',*,*,#172878,.F.); #220280=ORIENTED_EDGE('',*,*,#172879,.T.); #220281=ORIENTED_EDGE('',*,*,#172880,.T.); #220282=ORIENTED_EDGE('',*,*,#172879,.F.); #220283=ORIENTED_EDGE('',*,*,#172878,.T.); #220284=ORIENTED_EDGE('',*,*,#172875,.T.); #220285=ORIENTED_EDGE('',*,*,#172880,.F.); #220286=ORIENTED_EDGE('',*,*,#172877,.T.); #220287=ORIENTED_EDGE('',*,*,#172881,.F.); #220288=ORIENTED_EDGE('',*,*,#172882,.T.); #220289=ORIENTED_EDGE('',*,*,#172883,.F.); #220290=ORIENTED_EDGE('',*,*,#172882,.F.); #220291=ORIENTED_EDGE('',*,*,#172884,.F.); #220292=ORIENTED_EDGE('',*,*,#172885,.T.); #220293=ORIENTED_EDGE('',*,*,#172886,.T.); #220294=ORIENTED_EDGE('',*,*,#172885,.F.); #220295=ORIENTED_EDGE('',*,*,#172884,.T.); #220296=ORIENTED_EDGE('',*,*,#172881,.T.); #220297=ORIENTED_EDGE('',*,*,#172886,.F.); #220298=ORIENTED_EDGE('',*,*,#172883,.T.); #220299=ORIENTED_EDGE('',*,*,#172887,.F.); #220300=ORIENTED_EDGE('',*,*,#172888,.T.); #220301=ORIENTED_EDGE('',*,*,#172889,.F.); #220302=ORIENTED_EDGE('',*,*,#172888,.F.); #220303=ORIENTED_EDGE('',*,*,#172890,.F.); #220304=ORIENTED_EDGE('',*,*,#172891,.T.); #220305=ORIENTED_EDGE('',*,*,#172892,.T.); #220306=ORIENTED_EDGE('',*,*,#172891,.F.); #220307=ORIENTED_EDGE('',*,*,#172890,.T.); #220308=ORIENTED_EDGE('',*,*,#172887,.T.); #220309=ORIENTED_EDGE('',*,*,#172892,.F.); #220310=ORIENTED_EDGE('',*,*,#172889,.T.); #220311=ORIENTED_EDGE('',*,*,#172893,.F.); #220312=ORIENTED_EDGE('',*,*,#172894,.T.); #220313=ORIENTED_EDGE('',*,*,#172895,.F.); #220314=ORIENTED_EDGE('',*,*,#172894,.F.); #220315=ORIENTED_EDGE('',*,*,#172896,.F.); #220316=ORIENTED_EDGE('',*,*,#172897,.T.); #220317=ORIENTED_EDGE('',*,*,#172898,.T.); #220318=ORIENTED_EDGE('',*,*,#172897,.F.); #220319=ORIENTED_EDGE('',*,*,#172896,.T.); #220320=ORIENTED_EDGE('',*,*,#172893,.T.); #220321=ORIENTED_EDGE('',*,*,#172898,.F.); #220322=ORIENTED_EDGE('',*,*,#172895,.T.); #220323=ORIENTED_EDGE('',*,*,#172899,.F.); #220324=ORIENTED_EDGE('',*,*,#172900,.T.); #220325=ORIENTED_EDGE('',*,*,#172901,.F.); #220326=ORIENTED_EDGE('',*,*,#172900,.F.); #220327=ORIENTED_EDGE('',*,*,#172902,.F.); #220328=ORIENTED_EDGE('',*,*,#172903,.T.); #220329=ORIENTED_EDGE('',*,*,#172904,.T.); #220330=ORIENTED_EDGE('',*,*,#172903,.F.); #220331=ORIENTED_EDGE('',*,*,#172902,.T.); #220332=ORIENTED_EDGE('',*,*,#172899,.T.); #220333=ORIENTED_EDGE('',*,*,#172904,.F.); #220334=ORIENTED_EDGE('',*,*,#172901,.T.); #220335=ORIENTED_EDGE('',*,*,#172905,.F.); #220336=ORIENTED_EDGE('',*,*,#172906,.T.); #220337=ORIENTED_EDGE('',*,*,#172907,.F.); #220338=ORIENTED_EDGE('',*,*,#172906,.F.); #220339=ORIENTED_EDGE('',*,*,#172908,.F.); #220340=ORIENTED_EDGE('',*,*,#172909,.T.); #220341=ORIENTED_EDGE('',*,*,#172910,.T.); #220342=ORIENTED_EDGE('',*,*,#172909,.F.); #220343=ORIENTED_EDGE('',*,*,#172908,.T.); #220344=ORIENTED_EDGE('',*,*,#172905,.T.); #220345=ORIENTED_EDGE('',*,*,#172910,.F.); #220346=ORIENTED_EDGE('',*,*,#172907,.T.); #220347=ORIENTED_EDGE('',*,*,#172911,.F.); #220348=ORIENTED_EDGE('',*,*,#172912,.T.); #220349=ORIENTED_EDGE('',*,*,#172913,.F.); #220350=ORIENTED_EDGE('',*,*,#172912,.F.); #220351=ORIENTED_EDGE('',*,*,#172914,.F.); #220352=ORIENTED_EDGE('',*,*,#172915,.T.); #220353=ORIENTED_EDGE('',*,*,#172916,.T.); #220354=ORIENTED_EDGE('',*,*,#172915,.F.); #220355=ORIENTED_EDGE('',*,*,#172914,.T.); #220356=ORIENTED_EDGE('',*,*,#172911,.T.); #220357=ORIENTED_EDGE('',*,*,#172916,.F.); #220358=ORIENTED_EDGE('',*,*,#172913,.T.); #220359=ORIENTED_EDGE('',*,*,#172917,.F.); #220360=ORIENTED_EDGE('',*,*,#172918,.T.); #220361=ORIENTED_EDGE('',*,*,#172919,.F.); #220362=ORIENTED_EDGE('',*,*,#172918,.F.); #220363=ORIENTED_EDGE('',*,*,#172920,.F.); #220364=ORIENTED_EDGE('',*,*,#172921,.T.); #220365=ORIENTED_EDGE('',*,*,#172922,.T.); #220366=ORIENTED_EDGE('',*,*,#172921,.F.); #220367=ORIENTED_EDGE('',*,*,#172920,.T.); #220368=ORIENTED_EDGE('',*,*,#172917,.T.); #220369=ORIENTED_EDGE('',*,*,#172922,.F.); #220370=ORIENTED_EDGE('',*,*,#172919,.T.); #220371=ORIENTED_EDGE('',*,*,#172923,.F.); #220372=ORIENTED_EDGE('',*,*,#172924,.T.); #220373=ORIENTED_EDGE('',*,*,#172925,.F.); #220374=ORIENTED_EDGE('',*,*,#172924,.F.); #220375=ORIENTED_EDGE('',*,*,#172926,.F.); #220376=ORIENTED_EDGE('',*,*,#172927,.T.); #220377=ORIENTED_EDGE('',*,*,#172928,.T.); #220378=ORIENTED_EDGE('',*,*,#172927,.F.); #220379=ORIENTED_EDGE('',*,*,#172926,.T.); #220380=ORIENTED_EDGE('',*,*,#172923,.T.); #220381=ORIENTED_EDGE('',*,*,#172928,.F.); #220382=ORIENTED_EDGE('',*,*,#172925,.T.); #220383=ORIENTED_EDGE('',*,*,#172929,.F.); #220384=ORIENTED_EDGE('',*,*,#172930,.T.); #220385=ORIENTED_EDGE('',*,*,#172931,.F.); #220386=ORIENTED_EDGE('',*,*,#172930,.F.); #220387=ORIENTED_EDGE('',*,*,#172932,.F.); #220388=ORIENTED_EDGE('',*,*,#172933,.T.); #220389=ORIENTED_EDGE('',*,*,#172934,.T.); #220390=ORIENTED_EDGE('',*,*,#172933,.F.); #220391=ORIENTED_EDGE('',*,*,#172932,.T.); #220392=ORIENTED_EDGE('',*,*,#172929,.T.); #220393=ORIENTED_EDGE('',*,*,#172934,.F.); #220394=ORIENTED_EDGE('',*,*,#172931,.T.); #220395=ORIENTED_EDGE('',*,*,#172935,.F.); #220396=ORIENTED_EDGE('',*,*,#172936,.T.); #220397=ORIENTED_EDGE('',*,*,#172937,.F.); #220398=ORIENTED_EDGE('',*,*,#172936,.F.); #220399=ORIENTED_EDGE('',*,*,#172938,.F.); #220400=ORIENTED_EDGE('',*,*,#172939,.T.); #220401=ORIENTED_EDGE('',*,*,#172940,.T.); #220402=ORIENTED_EDGE('',*,*,#172939,.F.); #220403=ORIENTED_EDGE('',*,*,#172938,.T.); #220404=ORIENTED_EDGE('',*,*,#172935,.T.); #220405=ORIENTED_EDGE('',*,*,#172940,.F.); #220406=ORIENTED_EDGE('',*,*,#172937,.T.); #220407=ORIENTED_EDGE('',*,*,#172941,.F.); #220408=ORIENTED_EDGE('',*,*,#172942,.T.); #220409=ORIENTED_EDGE('',*,*,#172943,.F.); #220410=ORIENTED_EDGE('',*,*,#172942,.F.); #220411=ORIENTED_EDGE('',*,*,#172944,.F.); #220412=ORIENTED_EDGE('',*,*,#172945,.T.); #220413=ORIENTED_EDGE('',*,*,#172946,.T.); #220414=ORIENTED_EDGE('',*,*,#172945,.F.); #220415=ORIENTED_EDGE('',*,*,#172944,.T.); #220416=ORIENTED_EDGE('',*,*,#172941,.T.); #220417=ORIENTED_EDGE('',*,*,#172946,.F.); #220418=ORIENTED_EDGE('',*,*,#172943,.T.); #220419=ORIENTED_EDGE('',*,*,#172947,.F.); #220420=ORIENTED_EDGE('',*,*,#172948,.T.); #220421=ORIENTED_EDGE('',*,*,#172949,.F.); #220422=ORIENTED_EDGE('',*,*,#172948,.F.); #220423=ORIENTED_EDGE('',*,*,#172950,.F.); #220424=ORIENTED_EDGE('',*,*,#172951,.T.); #220425=ORIENTED_EDGE('',*,*,#172952,.T.); #220426=ORIENTED_EDGE('',*,*,#172951,.F.); #220427=ORIENTED_EDGE('',*,*,#172950,.T.); #220428=ORIENTED_EDGE('',*,*,#172947,.T.); #220429=ORIENTED_EDGE('',*,*,#172952,.F.); #220430=ORIENTED_EDGE('',*,*,#172949,.T.); #220431=ORIENTED_EDGE('',*,*,#172953,.F.); #220432=ORIENTED_EDGE('',*,*,#172954,.T.); #220433=ORIENTED_EDGE('',*,*,#172955,.F.); #220434=ORIENTED_EDGE('',*,*,#172954,.F.); #220435=ORIENTED_EDGE('',*,*,#172956,.F.); #220436=ORIENTED_EDGE('',*,*,#172957,.T.); #220437=ORIENTED_EDGE('',*,*,#172958,.T.); #220438=ORIENTED_EDGE('',*,*,#172957,.F.); #220439=ORIENTED_EDGE('',*,*,#172956,.T.); #220440=ORIENTED_EDGE('',*,*,#172953,.T.); #220441=ORIENTED_EDGE('',*,*,#172958,.F.); #220442=ORIENTED_EDGE('',*,*,#172955,.T.); #220443=ORIENTED_EDGE('',*,*,#172959,.F.); #220444=ORIENTED_EDGE('',*,*,#172960,.T.); #220445=ORIENTED_EDGE('',*,*,#172961,.F.); #220446=ORIENTED_EDGE('',*,*,#172960,.F.); #220447=ORIENTED_EDGE('',*,*,#172962,.F.); #220448=ORIENTED_EDGE('',*,*,#172963,.T.); #220449=ORIENTED_EDGE('',*,*,#172964,.T.); #220450=ORIENTED_EDGE('',*,*,#172963,.F.); #220451=ORIENTED_EDGE('',*,*,#172962,.T.); #220452=ORIENTED_EDGE('',*,*,#172959,.T.); #220453=ORIENTED_EDGE('',*,*,#172964,.F.); #220454=ORIENTED_EDGE('',*,*,#172961,.T.); #220455=ORIENTED_EDGE('',*,*,#172965,.F.); #220456=ORIENTED_EDGE('',*,*,#172966,.T.); #220457=ORIENTED_EDGE('',*,*,#172967,.F.); #220458=ORIENTED_EDGE('',*,*,#172966,.F.); #220459=ORIENTED_EDGE('',*,*,#172968,.F.); #220460=ORIENTED_EDGE('',*,*,#172969,.T.); #220461=ORIENTED_EDGE('',*,*,#172970,.T.); #220462=ORIENTED_EDGE('',*,*,#172969,.F.); #220463=ORIENTED_EDGE('',*,*,#172968,.T.); #220464=ORIENTED_EDGE('',*,*,#172965,.T.); #220465=ORIENTED_EDGE('',*,*,#172970,.F.); #220466=ORIENTED_EDGE('',*,*,#172967,.T.); #220467=ORIENTED_EDGE('',*,*,#172971,.F.); #220468=ORIENTED_EDGE('',*,*,#172972,.T.); #220469=ORIENTED_EDGE('',*,*,#172973,.F.); #220470=ORIENTED_EDGE('',*,*,#172972,.F.); #220471=ORIENTED_EDGE('',*,*,#172974,.F.); #220472=ORIENTED_EDGE('',*,*,#172975,.T.); #220473=ORIENTED_EDGE('',*,*,#172976,.T.); #220474=ORIENTED_EDGE('',*,*,#172975,.F.); #220475=ORIENTED_EDGE('',*,*,#172974,.T.); #220476=ORIENTED_EDGE('',*,*,#172971,.T.); #220477=ORIENTED_EDGE('',*,*,#172976,.F.); #220478=ORIENTED_EDGE('',*,*,#172973,.T.); #220479=ORIENTED_EDGE('',*,*,#172977,.F.); #220480=ORIENTED_EDGE('',*,*,#172978,.T.); #220481=ORIENTED_EDGE('',*,*,#172979,.F.); #220482=ORIENTED_EDGE('',*,*,#172978,.F.); #220483=ORIENTED_EDGE('',*,*,#172980,.F.); #220484=ORIENTED_EDGE('',*,*,#172981,.T.); #220485=ORIENTED_EDGE('',*,*,#172982,.T.); #220486=ORIENTED_EDGE('',*,*,#172981,.F.); #220487=ORIENTED_EDGE('',*,*,#172980,.T.); #220488=ORIENTED_EDGE('',*,*,#172977,.T.); #220489=ORIENTED_EDGE('',*,*,#172982,.F.); #220490=ORIENTED_EDGE('',*,*,#172979,.T.); #220491=ORIENTED_EDGE('',*,*,#172983,.F.); #220492=ORIENTED_EDGE('',*,*,#172984,.T.); #220493=ORIENTED_EDGE('',*,*,#172985,.F.); #220494=ORIENTED_EDGE('',*,*,#172984,.F.); #220495=ORIENTED_EDGE('',*,*,#172986,.F.); #220496=ORIENTED_EDGE('',*,*,#172987,.T.); #220497=ORIENTED_EDGE('',*,*,#172988,.T.); #220498=ORIENTED_EDGE('',*,*,#172987,.F.); #220499=ORIENTED_EDGE('',*,*,#172986,.T.); #220500=ORIENTED_EDGE('',*,*,#172983,.T.); #220501=ORIENTED_EDGE('',*,*,#172988,.F.); #220502=ORIENTED_EDGE('',*,*,#172985,.T.); #220503=ORIENTED_EDGE('',*,*,#172989,.F.); #220504=ORIENTED_EDGE('',*,*,#172990,.T.); #220505=ORIENTED_EDGE('',*,*,#172991,.F.); #220506=ORIENTED_EDGE('',*,*,#172990,.F.); #220507=ORIENTED_EDGE('',*,*,#172992,.F.); #220508=ORIENTED_EDGE('',*,*,#172993,.T.); #220509=ORIENTED_EDGE('',*,*,#172994,.T.); #220510=ORIENTED_EDGE('',*,*,#172993,.F.); #220511=ORIENTED_EDGE('',*,*,#172992,.T.); #220512=ORIENTED_EDGE('',*,*,#172989,.T.); #220513=ORIENTED_EDGE('',*,*,#172994,.F.); #220514=ORIENTED_EDGE('',*,*,#172991,.T.); #220515=ORIENTED_EDGE('',*,*,#172995,.F.); #220516=ORIENTED_EDGE('',*,*,#172996,.T.); #220517=ORIENTED_EDGE('',*,*,#172997,.F.); #220518=ORIENTED_EDGE('',*,*,#172996,.F.); #220519=ORIENTED_EDGE('',*,*,#172998,.F.); #220520=ORIENTED_EDGE('',*,*,#172999,.T.); #220521=ORIENTED_EDGE('',*,*,#173000,.T.); #220522=ORIENTED_EDGE('',*,*,#172999,.F.); #220523=ORIENTED_EDGE('',*,*,#172998,.T.); #220524=ORIENTED_EDGE('',*,*,#172995,.T.); #220525=ORIENTED_EDGE('',*,*,#173000,.F.); #220526=ORIENTED_EDGE('',*,*,#172997,.T.); #220527=ORIENTED_EDGE('',*,*,#173001,.F.); #220528=ORIENTED_EDGE('',*,*,#173002,.T.); #220529=ORIENTED_EDGE('',*,*,#173003,.F.); #220530=ORIENTED_EDGE('',*,*,#173002,.F.); #220531=ORIENTED_EDGE('',*,*,#173004,.F.); #220532=ORIENTED_EDGE('',*,*,#173005,.T.); #220533=ORIENTED_EDGE('',*,*,#173006,.T.); #220534=ORIENTED_EDGE('',*,*,#173005,.F.); #220535=ORIENTED_EDGE('',*,*,#173004,.T.); #220536=ORIENTED_EDGE('',*,*,#173001,.T.); #220537=ORIENTED_EDGE('',*,*,#173006,.F.); #220538=ORIENTED_EDGE('',*,*,#173003,.T.); #220539=ORIENTED_EDGE('',*,*,#173007,.F.); #220540=ORIENTED_EDGE('',*,*,#173008,.T.); #220541=ORIENTED_EDGE('',*,*,#173009,.F.); #220542=ORIENTED_EDGE('',*,*,#173008,.F.); #220543=ORIENTED_EDGE('',*,*,#173010,.F.); #220544=ORIENTED_EDGE('',*,*,#173011,.T.); #220545=ORIENTED_EDGE('',*,*,#173012,.T.); #220546=ORIENTED_EDGE('',*,*,#173011,.F.); #220547=ORIENTED_EDGE('',*,*,#173010,.T.); #220548=ORIENTED_EDGE('',*,*,#173007,.T.); #220549=ORIENTED_EDGE('',*,*,#173012,.F.); #220550=ORIENTED_EDGE('',*,*,#173009,.T.); #220551=ORIENTED_EDGE('',*,*,#173013,.F.); #220552=ORIENTED_EDGE('',*,*,#173014,.T.); #220553=ORIENTED_EDGE('',*,*,#173015,.F.); #220554=ORIENTED_EDGE('',*,*,#173014,.F.); #220555=ORIENTED_EDGE('',*,*,#173016,.F.); #220556=ORIENTED_EDGE('',*,*,#173017,.T.); #220557=ORIENTED_EDGE('',*,*,#173018,.T.); #220558=ORIENTED_EDGE('',*,*,#173017,.F.); #220559=ORIENTED_EDGE('',*,*,#173016,.T.); #220560=ORIENTED_EDGE('',*,*,#173013,.T.); #220561=ORIENTED_EDGE('',*,*,#173018,.F.); #220562=ORIENTED_EDGE('',*,*,#173015,.T.); #220563=ORIENTED_EDGE('',*,*,#173019,.F.); #220564=ORIENTED_EDGE('',*,*,#173020,.T.); #220565=ORIENTED_EDGE('',*,*,#173021,.F.); #220566=ORIENTED_EDGE('',*,*,#173020,.F.); #220567=ORIENTED_EDGE('',*,*,#173022,.F.); #220568=ORIENTED_EDGE('',*,*,#173023,.T.); #220569=ORIENTED_EDGE('',*,*,#173024,.T.); #220570=ORIENTED_EDGE('',*,*,#173023,.F.); #220571=ORIENTED_EDGE('',*,*,#173022,.T.); #220572=ORIENTED_EDGE('',*,*,#173019,.T.); #220573=ORIENTED_EDGE('',*,*,#173024,.F.); #220574=ORIENTED_EDGE('',*,*,#173021,.T.); #220575=ORIENTED_EDGE('',*,*,#173025,.F.); #220576=ORIENTED_EDGE('',*,*,#173026,.T.); #220577=ORIENTED_EDGE('',*,*,#173027,.F.); #220578=ORIENTED_EDGE('',*,*,#173026,.F.); #220579=ORIENTED_EDGE('',*,*,#173028,.F.); #220580=ORIENTED_EDGE('',*,*,#173029,.T.); #220581=ORIENTED_EDGE('',*,*,#173030,.T.); #220582=ORIENTED_EDGE('',*,*,#173029,.F.); #220583=ORIENTED_EDGE('',*,*,#173028,.T.); #220584=ORIENTED_EDGE('',*,*,#173025,.T.); #220585=ORIENTED_EDGE('',*,*,#173030,.F.); #220586=ORIENTED_EDGE('',*,*,#173027,.T.); #220587=ORIENTED_EDGE('',*,*,#173031,.F.); #220588=ORIENTED_EDGE('',*,*,#173032,.T.); #220589=ORIENTED_EDGE('',*,*,#173033,.F.); #220590=ORIENTED_EDGE('',*,*,#173032,.F.); #220591=ORIENTED_EDGE('',*,*,#173034,.F.); #220592=ORIENTED_EDGE('',*,*,#173035,.T.); #220593=ORIENTED_EDGE('',*,*,#173036,.T.); #220594=ORIENTED_EDGE('',*,*,#173035,.F.); #220595=ORIENTED_EDGE('',*,*,#173034,.T.); #220596=ORIENTED_EDGE('',*,*,#173031,.T.); #220597=ORIENTED_EDGE('',*,*,#173036,.F.); #220598=ORIENTED_EDGE('',*,*,#173033,.T.); #220599=ORIENTED_EDGE('',*,*,#173037,.F.); #220600=ORIENTED_EDGE('',*,*,#173038,.T.); #220601=ORIENTED_EDGE('',*,*,#173039,.F.); #220602=ORIENTED_EDGE('',*,*,#173038,.F.); #220603=ORIENTED_EDGE('',*,*,#173040,.F.); #220604=ORIENTED_EDGE('',*,*,#173041,.T.); #220605=ORIENTED_EDGE('',*,*,#173042,.T.); #220606=ORIENTED_EDGE('',*,*,#173041,.F.); #220607=ORIENTED_EDGE('',*,*,#173040,.T.); #220608=ORIENTED_EDGE('',*,*,#173037,.T.); #220609=ORIENTED_EDGE('',*,*,#173042,.F.); #220610=ORIENTED_EDGE('',*,*,#173039,.T.); #220611=ORIENTED_EDGE('',*,*,#173043,.F.); #220612=ORIENTED_EDGE('',*,*,#173044,.T.); #220613=ORIENTED_EDGE('',*,*,#173045,.F.); #220614=ORIENTED_EDGE('',*,*,#173044,.F.); #220615=ORIENTED_EDGE('',*,*,#173046,.F.); #220616=ORIENTED_EDGE('',*,*,#173047,.T.); #220617=ORIENTED_EDGE('',*,*,#173048,.T.); #220618=ORIENTED_EDGE('',*,*,#173047,.F.); #220619=ORIENTED_EDGE('',*,*,#173046,.T.); #220620=ORIENTED_EDGE('',*,*,#173043,.T.); #220621=ORIENTED_EDGE('',*,*,#173048,.F.); #220622=ORIENTED_EDGE('',*,*,#173045,.T.); #220623=ORIENTED_EDGE('',*,*,#173049,.F.); #220624=ORIENTED_EDGE('',*,*,#173050,.T.); #220625=ORIENTED_EDGE('',*,*,#173051,.F.); #220626=ORIENTED_EDGE('',*,*,#173050,.F.); #220627=ORIENTED_EDGE('',*,*,#173052,.F.); #220628=ORIENTED_EDGE('',*,*,#173053,.T.); #220629=ORIENTED_EDGE('',*,*,#173054,.T.); #220630=ORIENTED_EDGE('',*,*,#173053,.F.); #220631=ORIENTED_EDGE('',*,*,#173052,.T.); #220632=ORIENTED_EDGE('',*,*,#173049,.T.); #220633=ORIENTED_EDGE('',*,*,#173054,.F.); #220634=ORIENTED_EDGE('',*,*,#173051,.T.); #220635=ORIENTED_EDGE('',*,*,#173055,.F.); #220636=ORIENTED_EDGE('',*,*,#173056,.T.); #220637=ORIENTED_EDGE('',*,*,#173057,.F.); #220638=ORIENTED_EDGE('',*,*,#173056,.F.); #220639=ORIENTED_EDGE('',*,*,#173058,.F.); #220640=ORIENTED_EDGE('',*,*,#173059,.T.); #220641=ORIENTED_EDGE('',*,*,#173060,.T.); #220642=ORIENTED_EDGE('',*,*,#173059,.F.); #220643=ORIENTED_EDGE('',*,*,#173058,.T.); #220644=ORIENTED_EDGE('',*,*,#173055,.T.); #220645=ORIENTED_EDGE('',*,*,#173060,.F.); #220646=ORIENTED_EDGE('',*,*,#173057,.T.); #220647=ORIENTED_EDGE('',*,*,#173061,.F.); #220648=ORIENTED_EDGE('',*,*,#173062,.T.); #220649=ORIENTED_EDGE('',*,*,#173063,.F.); #220650=ORIENTED_EDGE('',*,*,#173062,.F.); #220651=ORIENTED_EDGE('',*,*,#173064,.F.); #220652=ORIENTED_EDGE('',*,*,#173065,.T.); #220653=ORIENTED_EDGE('',*,*,#173066,.T.); #220654=ORIENTED_EDGE('',*,*,#173065,.F.); #220655=ORIENTED_EDGE('',*,*,#173064,.T.); #220656=ORIENTED_EDGE('',*,*,#173061,.T.); #220657=ORIENTED_EDGE('',*,*,#173066,.F.); #220658=ORIENTED_EDGE('',*,*,#173063,.T.); #220659=ORIENTED_EDGE('',*,*,#173067,.F.); #220660=ORIENTED_EDGE('',*,*,#173068,.T.); #220661=ORIENTED_EDGE('',*,*,#173069,.F.); #220662=ORIENTED_EDGE('',*,*,#173068,.F.); #220663=ORIENTED_EDGE('',*,*,#173070,.F.); #220664=ORIENTED_EDGE('',*,*,#173071,.T.); #220665=ORIENTED_EDGE('',*,*,#173072,.T.); #220666=ORIENTED_EDGE('',*,*,#173071,.F.); #220667=ORIENTED_EDGE('',*,*,#173070,.T.); #220668=ORIENTED_EDGE('',*,*,#173067,.T.); #220669=ORIENTED_EDGE('',*,*,#173072,.F.); #220670=ORIENTED_EDGE('',*,*,#173069,.T.); #220671=ORIENTED_EDGE('',*,*,#173073,.F.); #220672=ORIENTED_EDGE('',*,*,#173074,.T.); #220673=ORIENTED_EDGE('',*,*,#173075,.F.); #220674=ORIENTED_EDGE('',*,*,#173074,.F.); #220675=ORIENTED_EDGE('',*,*,#173076,.F.); #220676=ORIENTED_EDGE('',*,*,#173077,.T.); #220677=ORIENTED_EDGE('',*,*,#173078,.T.); #220678=ORIENTED_EDGE('',*,*,#173077,.F.); #220679=ORIENTED_EDGE('',*,*,#173076,.T.); #220680=ORIENTED_EDGE('',*,*,#173073,.T.); #220681=ORIENTED_EDGE('',*,*,#173078,.F.); #220682=ORIENTED_EDGE('',*,*,#173075,.T.); #220683=ORIENTED_EDGE('',*,*,#173079,.F.); #220684=ORIENTED_EDGE('',*,*,#173080,.T.); #220685=ORIENTED_EDGE('',*,*,#173081,.F.); #220686=ORIENTED_EDGE('',*,*,#173080,.F.); #220687=ORIENTED_EDGE('',*,*,#173082,.F.); #220688=ORIENTED_EDGE('',*,*,#173083,.T.); #220689=ORIENTED_EDGE('',*,*,#173084,.T.); #220690=ORIENTED_EDGE('',*,*,#173083,.F.); #220691=ORIENTED_EDGE('',*,*,#173082,.T.); #220692=ORIENTED_EDGE('',*,*,#173079,.T.); #220693=ORIENTED_EDGE('',*,*,#173084,.F.); #220694=ORIENTED_EDGE('',*,*,#173081,.T.); #220695=ORIENTED_EDGE('',*,*,#173085,.F.); #220696=ORIENTED_EDGE('',*,*,#173086,.T.); #220697=ORIENTED_EDGE('',*,*,#173087,.F.); #220698=ORIENTED_EDGE('',*,*,#173086,.F.); #220699=ORIENTED_EDGE('',*,*,#173088,.F.); #220700=ORIENTED_EDGE('',*,*,#173089,.T.); #220701=ORIENTED_EDGE('',*,*,#173090,.T.); #220702=ORIENTED_EDGE('',*,*,#173089,.F.); #220703=ORIENTED_EDGE('',*,*,#173088,.T.); #220704=ORIENTED_EDGE('',*,*,#173085,.T.); #220705=ORIENTED_EDGE('',*,*,#173090,.F.); #220706=ORIENTED_EDGE('',*,*,#173087,.T.); #220707=ORIENTED_EDGE('',*,*,#173091,.F.); #220708=ORIENTED_EDGE('',*,*,#173092,.T.); #220709=ORIENTED_EDGE('',*,*,#173093,.F.); #220710=ORIENTED_EDGE('',*,*,#173092,.F.); #220711=ORIENTED_EDGE('',*,*,#173094,.F.); #220712=ORIENTED_EDGE('',*,*,#173095,.T.); #220713=ORIENTED_EDGE('',*,*,#173096,.T.); #220714=ORIENTED_EDGE('',*,*,#173095,.F.); #220715=ORIENTED_EDGE('',*,*,#173094,.T.); #220716=ORIENTED_EDGE('',*,*,#173091,.T.); #220717=ORIENTED_EDGE('',*,*,#173096,.F.); #220718=ORIENTED_EDGE('',*,*,#173093,.T.); #220719=ORIENTED_EDGE('',*,*,#173097,.F.); #220720=ORIENTED_EDGE('',*,*,#173098,.T.); #220721=ORIENTED_EDGE('',*,*,#173099,.F.); #220722=ORIENTED_EDGE('',*,*,#173098,.F.); #220723=ORIENTED_EDGE('',*,*,#173100,.F.); #220724=ORIENTED_EDGE('',*,*,#173101,.T.); #220725=ORIENTED_EDGE('',*,*,#173102,.T.); #220726=ORIENTED_EDGE('',*,*,#173101,.F.); #220727=ORIENTED_EDGE('',*,*,#173100,.T.); #220728=ORIENTED_EDGE('',*,*,#173097,.T.); #220729=ORIENTED_EDGE('',*,*,#173102,.F.); #220730=ORIENTED_EDGE('',*,*,#173099,.T.); #220731=ORIENTED_EDGE('',*,*,#173103,.F.); #220732=ORIENTED_EDGE('',*,*,#173104,.T.); #220733=ORIENTED_EDGE('',*,*,#173105,.F.); #220734=ORIENTED_EDGE('',*,*,#173104,.F.); #220735=ORIENTED_EDGE('',*,*,#173106,.F.); #220736=ORIENTED_EDGE('',*,*,#173107,.T.); #220737=ORIENTED_EDGE('',*,*,#173108,.T.); #220738=ORIENTED_EDGE('',*,*,#173107,.F.); #220739=ORIENTED_EDGE('',*,*,#173106,.T.); #220740=ORIENTED_EDGE('',*,*,#173103,.T.); #220741=ORIENTED_EDGE('',*,*,#173108,.F.); #220742=ORIENTED_EDGE('',*,*,#173105,.T.); #220743=ORIENTED_EDGE('',*,*,#173109,.F.); #220744=ORIENTED_EDGE('',*,*,#173110,.T.); #220745=ORIENTED_EDGE('',*,*,#173111,.F.); #220746=ORIENTED_EDGE('',*,*,#173110,.F.); #220747=ORIENTED_EDGE('',*,*,#173112,.F.); #220748=ORIENTED_EDGE('',*,*,#173113,.T.); #220749=ORIENTED_EDGE('',*,*,#173114,.T.); #220750=ORIENTED_EDGE('',*,*,#173113,.F.); #220751=ORIENTED_EDGE('',*,*,#173112,.T.); #220752=ORIENTED_EDGE('',*,*,#173109,.T.); #220753=ORIENTED_EDGE('',*,*,#173114,.F.); #220754=ORIENTED_EDGE('',*,*,#173111,.T.); #220755=ORIENTED_EDGE('',*,*,#173115,.F.); #220756=ORIENTED_EDGE('',*,*,#173116,.T.); #220757=ORIENTED_EDGE('',*,*,#173117,.F.); #220758=ORIENTED_EDGE('',*,*,#173116,.F.); #220759=ORIENTED_EDGE('',*,*,#173118,.F.); #220760=ORIENTED_EDGE('',*,*,#173119,.T.); #220761=ORIENTED_EDGE('',*,*,#173120,.T.); #220762=ORIENTED_EDGE('',*,*,#173119,.F.); #220763=ORIENTED_EDGE('',*,*,#173118,.T.); #220764=ORIENTED_EDGE('',*,*,#173115,.T.); #220765=ORIENTED_EDGE('',*,*,#173120,.F.); #220766=ORIENTED_EDGE('',*,*,#173117,.T.); #220767=ORIENTED_EDGE('',*,*,#173121,.F.); #220768=ORIENTED_EDGE('',*,*,#173122,.T.); #220769=ORIENTED_EDGE('',*,*,#173123,.F.); #220770=ORIENTED_EDGE('',*,*,#173122,.F.); #220771=ORIENTED_EDGE('',*,*,#173124,.F.); #220772=ORIENTED_EDGE('',*,*,#173125,.T.); #220773=ORIENTED_EDGE('',*,*,#173126,.T.); #220774=ORIENTED_EDGE('',*,*,#173125,.F.); #220775=ORIENTED_EDGE('',*,*,#173124,.T.); #220776=ORIENTED_EDGE('',*,*,#173121,.T.); #220777=ORIENTED_EDGE('',*,*,#173126,.F.); #220778=ORIENTED_EDGE('',*,*,#173123,.T.); #220779=ORIENTED_EDGE('',*,*,#173127,.F.); #220780=ORIENTED_EDGE('',*,*,#173128,.T.); #220781=ORIENTED_EDGE('',*,*,#173129,.F.); #220782=ORIENTED_EDGE('',*,*,#173128,.F.); #220783=ORIENTED_EDGE('',*,*,#173130,.F.); #220784=ORIENTED_EDGE('',*,*,#173131,.T.); #220785=ORIENTED_EDGE('',*,*,#173132,.T.); #220786=ORIENTED_EDGE('',*,*,#173131,.F.); #220787=ORIENTED_EDGE('',*,*,#173130,.T.); #220788=ORIENTED_EDGE('',*,*,#173127,.T.); #220789=ORIENTED_EDGE('',*,*,#173132,.F.); #220790=ORIENTED_EDGE('',*,*,#173129,.T.); #220791=ORIENTED_EDGE('',*,*,#173133,.F.); #220792=ORIENTED_EDGE('',*,*,#173134,.T.); #220793=ORIENTED_EDGE('',*,*,#173135,.F.); #220794=ORIENTED_EDGE('',*,*,#173134,.F.); #220795=ORIENTED_EDGE('',*,*,#173136,.F.); #220796=ORIENTED_EDGE('',*,*,#173137,.T.); #220797=ORIENTED_EDGE('',*,*,#173138,.T.); #220798=ORIENTED_EDGE('',*,*,#173137,.F.); #220799=ORIENTED_EDGE('',*,*,#173136,.T.); #220800=ORIENTED_EDGE('',*,*,#173133,.T.); #220801=ORIENTED_EDGE('',*,*,#173138,.F.); #220802=ORIENTED_EDGE('',*,*,#173135,.T.); #220803=ORIENTED_EDGE('',*,*,#173139,.F.); #220804=ORIENTED_EDGE('',*,*,#173140,.T.); #220805=ORIENTED_EDGE('',*,*,#173141,.F.); #220806=ORIENTED_EDGE('',*,*,#173140,.F.); #220807=ORIENTED_EDGE('',*,*,#173142,.F.); #220808=ORIENTED_EDGE('',*,*,#173143,.T.); #220809=ORIENTED_EDGE('',*,*,#173144,.T.); #220810=ORIENTED_EDGE('',*,*,#173143,.F.); #220811=ORIENTED_EDGE('',*,*,#173142,.T.); #220812=ORIENTED_EDGE('',*,*,#173139,.T.); #220813=ORIENTED_EDGE('',*,*,#173144,.F.); #220814=ORIENTED_EDGE('',*,*,#173141,.T.); #220815=ORIENTED_EDGE('',*,*,#173145,.F.); #220816=ORIENTED_EDGE('',*,*,#173146,.T.); #220817=ORIENTED_EDGE('',*,*,#173147,.F.); #220818=ORIENTED_EDGE('',*,*,#173146,.F.); #220819=ORIENTED_EDGE('',*,*,#173148,.F.); #220820=ORIENTED_EDGE('',*,*,#173149,.T.); #220821=ORIENTED_EDGE('',*,*,#173150,.T.); #220822=ORIENTED_EDGE('',*,*,#173149,.F.); #220823=ORIENTED_EDGE('',*,*,#173148,.T.); #220824=ORIENTED_EDGE('',*,*,#173145,.T.); #220825=ORIENTED_EDGE('',*,*,#173150,.F.); #220826=ORIENTED_EDGE('',*,*,#173147,.T.); #220827=ORIENTED_EDGE('',*,*,#173151,.F.); #220828=ORIENTED_EDGE('',*,*,#173152,.T.); #220829=ORIENTED_EDGE('',*,*,#173153,.F.); #220830=ORIENTED_EDGE('',*,*,#173152,.F.); #220831=ORIENTED_EDGE('',*,*,#173154,.F.); #220832=ORIENTED_EDGE('',*,*,#173155,.T.); #220833=ORIENTED_EDGE('',*,*,#173156,.T.); #220834=ORIENTED_EDGE('',*,*,#173155,.F.); #220835=ORIENTED_EDGE('',*,*,#173154,.T.); #220836=ORIENTED_EDGE('',*,*,#173151,.T.); #220837=ORIENTED_EDGE('',*,*,#173156,.F.); #220838=ORIENTED_EDGE('',*,*,#173153,.T.); #220839=ORIENTED_EDGE('',*,*,#173157,.F.); #220840=ORIENTED_EDGE('',*,*,#173158,.T.); #220841=ORIENTED_EDGE('',*,*,#173159,.F.); #220842=ORIENTED_EDGE('',*,*,#173158,.F.); #220843=ORIENTED_EDGE('',*,*,#173160,.F.); #220844=ORIENTED_EDGE('',*,*,#173161,.T.); #220845=ORIENTED_EDGE('',*,*,#173162,.T.); #220846=ORIENTED_EDGE('',*,*,#173161,.F.); #220847=ORIENTED_EDGE('',*,*,#173160,.T.); #220848=ORIENTED_EDGE('',*,*,#173157,.T.); #220849=ORIENTED_EDGE('',*,*,#173162,.F.); #220850=ORIENTED_EDGE('',*,*,#173159,.T.); #220851=ORIENTED_EDGE('',*,*,#173163,.F.); #220852=ORIENTED_EDGE('',*,*,#173164,.T.); #220853=ORIENTED_EDGE('',*,*,#173165,.F.); #220854=ORIENTED_EDGE('',*,*,#173164,.F.); #220855=ORIENTED_EDGE('',*,*,#173166,.F.); #220856=ORIENTED_EDGE('',*,*,#173167,.T.); #220857=ORIENTED_EDGE('',*,*,#173168,.T.); #220858=ORIENTED_EDGE('',*,*,#173167,.F.); #220859=ORIENTED_EDGE('',*,*,#173166,.T.); #220860=ORIENTED_EDGE('',*,*,#173163,.T.); #220861=ORIENTED_EDGE('',*,*,#173168,.F.); #220862=ORIENTED_EDGE('',*,*,#173165,.T.); #220863=ORIENTED_EDGE('',*,*,#173169,.F.); #220864=ORIENTED_EDGE('',*,*,#173170,.T.); #220865=ORIENTED_EDGE('',*,*,#173171,.F.); #220866=ORIENTED_EDGE('',*,*,#173170,.F.); #220867=ORIENTED_EDGE('',*,*,#173172,.F.); #220868=ORIENTED_EDGE('',*,*,#173173,.T.); #220869=ORIENTED_EDGE('',*,*,#173174,.T.); #220870=ORIENTED_EDGE('',*,*,#173173,.F.); #220871=ORIENTED_EDGE('',*,*,#173172,.T.); #220872=ORIENTED_EDGE('',*,*,#173169,.T.); #220873=ORIENTED_EDGE('',*,*,#173174,.F.); #220874=ORIENTED_EDGE('',*,*,#173171,.T.); #220875=ORIENTED_EDGE('',*,*,#173175,.F.); #220876=ORIENTED_EDGE('',*,*,#173176,.T.); #220877=ORIENTED_EDGE('',*,*,#173177,.F.); #220878=ORIENTED_EDGE('',*,*,#173176,.F.); #220879=ORIENTED_EDGE('',*,*,#173178,.F.); #220880=ORIENTED_EDGE('',*,*,#173179,.T.); #220881=ORIENTED_EDGE('',*,*,#173180,.T.); #220882=ORIENTED_EDGE('',*,*,#173179,.F.); #220883=ORIENTED_EDGE('',*,*,#173178,.T.); #220884=ORIENTED_EDGE('',*,*,#173175,.T.); #220885=ORIENTED_EDGE('',*,*,#173180,.F.); #220886=ORIENTED_EDGE('',*,*,#173177,.T.); #220887=ORIENTED_EDGE('',*,*,#173181,.F.); #220888=ORIENTED_EDGE('',*,*,#173182,.T.); #220889=ORIENTED_EDGE('',*,*,#173183,.F.); #220890=ORIENTED_EDGE('',*,*,#173182,.F.); #220891=ORIENTED_EDGE('',*,*,#173184,.F.); #220892=ORIENTED_EDGE('',*,*,#173185,.T.); #220893=ORIENTED_EDGE('',*,*,#173186,.T.); #220894=ORIENTED_EDGE('',*,*,#173185,.F.); #220895=ORIENTED_EDGE('',*,*,#173184,.T.); #220896=ORIENTED_EDGE('',*,*,#173181,.T.); #220897=ORIENTED_EDGE('',*,*,#173186,.F.); #220898=ORIENTED_EDGE('',*,*,#173183,.T.); #220899=ORIENTED_EDGE('',*,*,#173187,.F.); #220900=ORIENTED_EDGE('',*,*,#173188,.T.); #220901=ORIENTED_EDGE('',*,*,#173189,.F.); #220902=ORIENTED_EDGE('',*,*,#173188,.F.); #220903=ORIENTED_EDGE('',*,*,#173190,.F.); #220904=ORIENTED_EDGE('',*,*,#173191,.T.); #220905=ORIENTED_EDGE('',*,*,#173192,.T.); #220906=ORIENTED_EDGE('',*,*,#173191,.F.); #220907=ORIENTED_EDGE('',*,*,#173190,.T.); #220908=ORIENTED_EDGE('',*,*,#173187,.T.); #220909=ORIENTED_EDGE('',*,*,#173192,.F.); #220910=ORIENTED_EDGE('',*,*,#173189,.T.); #220911=ORIENTED_EDGE('',*,*,#173193,.F.); #220912=ORIENTED_EDGE('',*,*,#173194,.T.); #220913=ORIENTED_EDGE('',*,*,#173195,.F.); #220914=ORIENTED_EDGE('',*,*,#173194,.F.); #220915=ORIENTED_EDGE('',*,*,#173196,.F.); #220916=ORIENTED_EDGE('',*,*,#173197,.T.); #220917=ORIENTED_EDGE('',*,*,#173198,.T.); #220918=ORIENTED_EDGE('',*,*,#173197,.F.); #220919=ORIENTED_EDGE('',*,*,#173196,.T.); #220920=ORIENTED_EDGE('',*,*,#173193,.T.); #220921=ORIENTED_EDGE('',*,*,#173198,.F.); #220922=ORIENTED_EDGE('',*,*,#173195,.T.); #220923=ORIENTED_EDGE('',*,*,#173199,.F.); #220924=ORIENTED_EDGE('',*,*,#173200,.T.); #220925=ORIENTED_EDGE('',*,*,#173201,.F.); #220926=ORIENTED_EDGE('',*,*,#173200,.F.); #220927=ORIENTED_EDGE('',*,*,#173202,.F.); #220928=ORIENTED_EDGE('',*,*,#173203,.T.); #220929=ORIENTED_EDGE('',*,*,#173204,.T.); #220930=ORIENTED_EDGE('',*,*,#173203,.F.); #220931=ORIENTED_EDGE('',*,*,#173202,.T.); #220932=ORIENTED_EDGE('',*,*,#173199,.T.); #220933=ORIENTED_EDGE('',*,*,#173204,.F.); #220934=ORIENTED_EDGE('',*,*,#173201,.T.); #220935=ORIENTED_EDGE('',*,*,#173205,.F.); #220936=ORIENTED_EDGE('',*,*,#173206,.T.); #220937=ORIENTED_EDGE('',*,*,#173207,.F.); #220938=ORIENTED_EDGE('',*,*,#173206,.F.); #220939=ORIENTED_EDGE('',*,*,#173208,.T.); #220940=ORIENTED_EDGE('',*,*,#173209,.T.); #220941=ORIENTED_EDGE('',*,*,#173210,.F.); #220942=ORIENTED_EDGE('',*,*,#173211,.F.); #220943=ORIENTED_EDGE('',*,*,#173212,.T.); #220944=ORIENTED_EDGE('',*,*,#173211,.T.); #220945=ORIENTED_EDGE('',*,*,#173213,.F.); #220946=ORIENTED_EDGE('',*,*,#173214,.F.); #220947=ORIENTED_EDGE('',*,*,#173215,.T.); #220948=ORIENTED_EDGE('',*,*,#173214,.T.); #220949=ORIENTED_EDGE('',*,*,#173216,.F.); #220950=ORIENTED_EDGE('',*,*,#173209,.F.); #220951=ORIENTED_EDGE('',*,*,#173216,.T.); #220952=ORIENTED_EDGE('',*,*,#173213,.T.); #220953=ORIENTED_EDGE('',*,*,#173210,.T.); #220954=ORIENTED_EDGE('',*,*,#173207,.T.); #220955=ORIENTED_EDGE('',*,*,#173215,.F.); #220956=ORIENTED_EDGE('',*,*,#173208,.F.); #220957=ORIENTED_EDGE('',*,*,#173212,.F.); #220958=ORIENTED_EDGE('',*,*,#173205,.T.); #220959=ORIENTED_EDGE('',*,*,#173217,.T.); #220960=ORIENTED_EDGE('',*,*,#173218,.T.); #220961=ORIENTED_EDGE('',*,*,#173219,.F.); #220962=ORIENTED_EDGE('',*,*,#173220,.F.); #220963=ORIENTED_EDGE('',*,*,#173221,.T.); #220964=ORIENTED_EDGE('',*,*,#173220,.T.); #220965=ORIENTED_EDGE('',*,*,#173222,.F.); #220966=ORIENTED_EDGE('',*,*,#173223,.F.); #220967=ORIENTED_EDGE('',*,*,#173224,.T.); #220968=ORIENTED_EDGE('',*,*,#173223,.T.); #220969=ORIENTED_EDGE('',*,*,#173225,.F.); #220970=ORIENTED_EDGE('',*,*,#173226,.F.); #220971=ORIENTED_EDGE('',*,*,#173227,.T.); #220972=ORIENTED_EDGE('',*,*,#173226,.T.); #220973=ORIENTED_EDGE('',*,*,#173228,.F.); #220974=ORIENTED_EDGE('',*,*,#173218,.F.); #220975=ORIENTED_EDGE('',*,*,#173228,.T.); #220976=ORIENTED_EDGE('',*,*,#173225,.T.); #220977=ORIENTED_EDGE('',*,*,#173222,.T.); #220978=ORIENTED_EDGE('',*,*,#173219,.T.); #220979=ORIENTED_EDGE('',*,*,#173227,.F.); #220980=ORIENTED_EDGE('',*,*,#173217,.F.); #220981=ORIENTED_EDGE('',*,*,#173221,.F.); #220982=ORIENTED_EDGE('',*,*,#173224,.F.); #220983=ORIENTED_EDGE('',*,*,#173229,.T.); #220984=ORIENTED_EDGE('',*,*,#173230,.T.); #220985=ORIENTED_EDGE('',*,*,#173231,.F.); #220986=ORIENTED_EDGE('',*,*,#173232,.F.); #220987=ORIENTED_EDGE('',*,*,#173233,.T.); #220988=ORIENTED_EDGE('',*,*,#173232,.T.); #220989=ORIENTED_EDGE('',*,*,#173234,.F.); #220990=ORIENTED_EDGE('',*,*,#173235,.F.); #220991=ORIENTED_EDGE('',*,*,#173236,.T.); #220992=ORIENTED_EDGE('',*,*,#173235,.T.); #220993=ORIENTED_EDGE('',*,*,#173237,.F.); #220994=ORIENTED_EDGE('',*,*,#173238,.F.); #220995=ORIENTED_EDGE('',*,*,#173239,.T.); #220996=ORIENTED_EDGE('',*,*,#173238,.T.); #220997=ORIENTED_EDGE('',*,*,#173240,.F.); #220998=ORIENTED_EDGE('',*,*,#173230,.F.); #220999=ORIENTED_EDGE('',*,*,#173240,.T.); #221000=ORIENTED_EDGE('',*,*,#173237,.T.); #221001=ORIENTED_EDGE('',*,*,#173234,.T.); #221002=ORIENTED_EDGE('',*,*,#173231,.T.); #221003=ORIENTED_EDGE('',*,*,#173239,.F.); #221004=ORIENTED_EDGE('',*,*,#173229,.F.); #221005=ORIENTED_EDGE('',*,*,#173233,.F.); #221006=ORIENTED_EDGE('',*,*,#173236,.F.); #221007=ORIENTED_EDGE('',*,*,#173241,.T.); #221008=ORIENTED_EDGE('',*,*,#173242,.T.); #221009=ORIENTED_EDGE('',*,*,#173243,.F.); #221010=ORIENTED_EDGE('',*,*,#173244,.F.); #221011=ORIENTED_EDGE('',*,*,#173245,.T.); #221012=ORIENTED_EDGE('',*,*,#173244,.T.); #221013=ORIENTED_EDGE('',*,*,#173246,.F.); #221014=ORIENTED_EDGE('',*,*,#173247,.F.); #221015=ORIENTED_EDGE('',*,*,#173248,.T.); #221016=ORIENTED_EDGE('',*,*,#173247,.T.); #221017=ORIENTED_EDGE('',*,*,#173249,.F.); #221018=ORIENTED_EDGE('',*,*,#173250,.F.); #221019=ORIENTED_EDGE('',*,*,#173251,.T.); #221020=ORIENTED_EDGE('',*,*,#173250,.T.); #221021=ORIENTED_EDGE('',*,*,#173252,.F.); #221022=ORIENTED_EDGE('',*,*,#173242,.F.); #221023=ORIENTED_EDGE('',*,*,#173252,.T.); #221024=ORIENTED_EDGE('',*,*,#173249,.T.); #221025=ORIENTED_EDGE('',*,*,#173246,.T.); #221026=ORIENTED_EDGE('',*,*,#173243,.T.); #221027=ORIENTED_EDGE('',*,*,#173251,.F.); #221028=ORIENTED_EDGE('',*,*,#173241,.F.); #221029=ORIENTED_EDGE('',*,*,#173245,.F.); #221030=ORIENTED_EDGE('',*,*,#173248,.F.); #221031=ORIENTED_EDGE('',*,*,#173253,.T.); #221032=ORIENTED_EDGE('',*,*,#173254,.T.); #221033=ORIENTED_EDGE('',*,*,#173255,.F.); #221034=ORIENTED_EDGE('',*,*,#173256,.F.); #221035=ORIENTED_EDGE('',*,*,#173257,.T.); #221036=ORIENTED_EDGE('',*,*,#173256,.T.); #221037=ORIENTED_EDGE('',*,*,#173258,.F.); #221038=ORIENTED_EDGE('',*,*,#173259,.F.); #221039=ORIENTED_EDGE('',*,*,#173260,.T.); #221040=ORIENTED_EDGE('',*,*,#173259,.T.); #221041=ORIENTED_EDGE('',*,*,#173261,.F.); #221042=ORIENTED_EDGE('',*,*,#173262,.F.); #221043=ORIENTED_EDGE('',*,*,#173263,.T.); #221044=ORIENTED_EDGE('',*,*,#173262,.T.); #221045=ORIENTED_EDGE('',*,*,#173264,.F.); #221046=ORIENTED_EDGE('',*,*,#173254,.F.); #221047=ORIENTED_EDGE('',*,*,#173264,.T.); #221048=ORIENTED_EDGE('',*,*,#173261,.T.); #221049=ORIENTED_EDGE('',*,*,#173258,.T.); #221050=ORIENTED_EDGE('',*,*,#173255,.T.); #221051=ORIENTED_EDGE('',*,*,#173263,.F.); #221052=ORIENTED_EDGE('',*,*,#173253,.F.); #221053=ORIENTED_EDGE('',*,*,#173257,.F.); #221054=ORIENTED_EDGE('',*,*,#173260,.F.); #221055=ORIENTED_EDGE('',*,*,#173265,.T.); #221056=ORIENTED_EDGE('',*,*,#173266,.T.); #221057=ORIENTED_EDGE('',*,*,#173267,.F.); #221058=ORIENTED_EDGE('',*,*,#173268,.F.); #221059=ORIENTED_EDGE('',*,*,#173269,.T.); #221060=ORIENTED_EDGE('',*,*,#173268,.T.); #221061=ORIENTED_EDGE('',*,*,#173270,.F.); #221062=ORIENTED_EDGE('',*,*,#173271,.F.); #221063=ORIENTED_EDGE('',*,*,#173272,.T.); #221064=ORIENTED_EDGE('',*,*,#173271,.T.); #221065=ORIENTED_EDGE('',*,*,#173273,.F.); #221066=ORIENTED_EDGE('',*,*,#173274,.F.); #221067=ORIENTED_EDGE('',*,*,#173275,.T.); #221068=ORIENTED_EDGE('',*,*,#173274,.T.); #221069=ORIENTED_EDGE('',*,*,#173276,.F.); #221070=ORIENTED_EDGE('',*,*,#173266,.F.); #221071=ORIENTED_EDGE('',*,*,#173276,.T.); #221072=ORIENTED_EDGE('',*,*,#173273,.T.); #221073=ORIENTED_EDGE('',*,*,#173270,.T.); #221074=ORIENTED_EDGE('',*,*,#173267,.T.); #221075=ORIENTED_EDGE('',*,*,#173275,.F.); #221076=ORIENTED_EDGE('',*,*,#173265,.F.); #221077=ORIENTED_EDGE('',*,*,#173269,.F.); #221078=ORIENTED_EDGE('',*,*,#173272,.F.); #221079=ORIENTED_EDGE('',*,*,#173277,.T.); #221080=ORIENTED_EDGE('',*,*,#173278,.T.); #221081=ORIENTED_EDGE('',*,*,#173279,.F.); #221082=ORIENTED_EDGE('',*,*,#173280,.F.); #221083=ORIENTED_EDGE('',*,*,#173281,.T.); #221084=ORIENTED_EDGE('',*,*,#173280,.T.); #221085=ORIENTED_EDGE('',*,*,#173282,.F.); #221086=ORIENTED_EDGE('',*,*,#173283,.F.); #221087=ORIENTED_EDGE('',*,*,#173284,.T.); #221088=ORIENTED_EDGE('',*,*,#173283,.T.); #221089=ORIENTED_EDGE('',*,*,#173285,.F.); #221090=ORIENTED_EDGE('',*,*,#173286,.F.); #221091=ORIENTED_EDGE('',*,*,#173287,.T.); #221092=ORIENTED_EDGE('',*,*,#173286,.T.); #221093=ORIENTED_EDGE('',*,*,#173288,.F.); #221094=ORIENTED_EDGE('',*,*,#173278,.F.); #221095=ORIENTED_EDGE('',*,*,#173288,.T.); #221096=ORIENTED_EDGE('',*,*,#173285,.T.); #221097=ORIENTED_EDGE('',*,*,#173282,.T.); #221098=ORIENTED_EDGE('',*,*,#173279,.T.); #221099=ORIENTED_EDGE('',*,*,#173287,.F.); #221100=ORIENTED_EDGE('',*,*,#173277,.F.); #221101=ORIENTED_EDGE('',*,*,#173281,.F.); #221102=ORIENTED_EDGE('',*,*,#173284,.F.); #221103=ORIENTED_EDGE('',*,*,#173289,.T.); #221104=ORIENTED_EDGE('',*,*,#173290,.T.); #221105=ORIENTED_EDGE('',*,*,#173291,.F.); #221106=ORIENTED_EDGE('',*,*,#173292,.F.); #221107=ORIENTED_EDGE('',*,*,#173293,.T.); #221108=ORIENTED_EDGE('',*,*,#173292,.T.); #221109=ORIENTED_EDGE('',*,*,#173294,.F.); #221110=ORIENTED_EDGE('',*,*,#173295,.F.); #221111=ORIENTED_EDGE('',*,*,#173296,.T.); #221112=ORIENTED_EDGE('',*,*,#173295,.T.); #221113=ORIENTED_EDGE('',*,*,#173297,.F.); #221114=ORIENTED_EDGE('',*,*,#173298,.F.); #221115=ORIENTED_EDGE('',*,*,#173299,.T.); #221116=ORIENTED_EDGE('',*,*,#173298,.T.); #221117=ORIENTED_EDGE('',*,*,#173300,.F.); #221118=ORIENTED_EDGE('',*,*,#173290,.F.); #221119=ORIENTED_EDGE('',*,*,#173300,.T.); #221120=ORIENTED_EDGE('',*,*,#173297,.T.); #221121=ORIENTED_EDGE('',*,*,#173294,.T.); #221122=ORIENTED_EDGE('',*,*,#173291,.T.); #221123=ORIENTED_EDGE('',*,*,#173299,.F.); #221124=ORIENTED_EDGE('',*,*,#173289,.F.); #221125=ORIENTED_EDGE('',*,*,#173293,.F.); #221126=ORIENTED_EDGE('',*,*,#173296,.F.); #221127=ORIENTED_EDGE('',*,*,#173301,.T.); #221128=ORIENTED_EDGE('',*,*,#173302,.T.); #221129=ORIENTED_EDGE('',*,*,#173303,.F.); #221130=ORIENTED_EDGE('',*,*,#173304,.F.); #221131=ORIENTED_EDGE('',*,*,#173305,.T.); #221132=ORIENTED_EDGE('',*,*,#173304,.T.); #221133=ORIENTED_EDGE('',*,*,#173306,.F.); #221134=ORIENTED_EDGE('',*,*,#173307,.F.); #221135=ORIENTED_EDGE('',*,*,#173308,.T.); #221136=ORIENTED_EDGE('',*,*,#173307,.T.); #221137=ORIENTED_EDGE('',*,*,#173309,.F.); #221138=ORIENTED_EDGE('',*,*,#173310,.F.); #221139=ORIENTED_EDGE('',*,*,#173311,.T.); #221140=ORIENTED_EDGE('',*,*,#173310,.T.); #221141=ORIENTED_EDGE('',*,*,#173312,.F.); #221142=ORIENTED_EDGE('',*,*,#173302,.F.); #221143=ORIENTED_EDGE('',*,*,#173312,.T.); #221144=ORIENTED_EDGE('',*,*,#173309,.T.); #221145=ORIENTED_EDGE('',*,*,#173306,.T.); #221146=ORIENTED_EDGE('',*,*,#173303,.T.); #221147=ORIENTED_EDGE('',*,*,#173311,.F.); #221148=ORIENTED_EDGE('',*,*,#173301,.F.); #221149=ORIENTED_EDGE('',*,*,#173305,.F.); #221150=ORIENTED_EDGE('',*,*,#173308,.F.); #221151=ORIENTED_EDGE('',*,*,#173313,.T.); #221152=ORIENTED_EDGE('',*,*,#173314,.T.); #221153=ORIENTED_EDGE('',*,*,#173315,.F.); #221154=ORIENTED_EDGE('',*,*,#173316,.F.); #221155=ORIENTED_EDGE('',*,*,#173317,.T.); #221156=ORIENTED_EDGE('',*,*,#173316,.T.); #221157=ORIENTED_EDGE('',*,*,#173318,.F.); #221158=ORIENTED_EDGE('',*,*,#173319,.F.); #221159=ORIENTED_EDGE('',*,*,#173320,.T.); #221160=ORIENTED_EDGE('',*,*,#173319,.T.); #221161=ORIENTED_EDGE('',*,*,#173321,.F.); #221162=ORIENTED_EDGE('',*,*,#173322,.F.); #221163=ORIENTED_EDGE('',*,*,#173323,.T.); #221164=ORIENTED_EDGE('',*,*,#173322,.T.); #221165=ORIENTED_EDGE('',*,*,#173324,.F.); #221166=ORIENTED_EDGE('',*,*,#173314,.F.); #221167=ORIENTED_EDGE('',*,*,#173324,.T.); #221168=ORIENTED_EDGE('',*,*,#173321,.T.); #221169=ORIENTED_EDGE('',*,*,#173318,.T.); #221170=ORIENTED_EDGE('',*,*,#173315,.T.); #221171=ORIENTED_EDGE('',*,*,#173323,.F.); #221172=ORIENTED_EDGE('',*,*,#173313,.F.); #221173=ORIENTED_EDGE('',*,*,#173317,.F.); #221174=ORIENTED_EDGE('',*,*,#173320,.F.); #221175=ORIENTED_EDGE('',*,*,#173325,.T.); #221176=ORIENTED_EDGE('',*,*,#173326,.T.); #221177=ORIENTED_EDGE('',*,*,#173327,.F.); #221178=ORIENTED_EDGE('',*,*,#173328,.F.); #221179=ORIENTED_EDGE('',*,*,#173329,.T.); #221180=ORIENTED_EDGE('',*,*,#173328,.T.); #221181=ORIENTED_EDGE('',*,*,#173330,.F.); #221182=ORIENTED_EDGE('',*,*,#173331,.F.); #221183=ORIENTED_EDGE('',*,*,#173332,.T.); #221184=ORIENTED_EDGE('',*,*,#173331,.T.); #221185=ORIENTED_EDGE('',*,*,#173333,.F.); #221186=ORIENTED_EDGE('',*,*,#173334,.F.); #221187=ORIENTED_EDGE('',*,*,#173335,.T.); #221188=ORIENTED_EDGE('',*,*,#173334,.T.); #221189=ORIENTED_EDGE('',*,*,#173336,.F.); #221190=ORIENTED_EDGE('',*,*,#173326,.F.); #221191=ORIENTED_EDGE('',*,*,#173336,.T.); #221192=ORIENTED_EDGE('',*,*,#173333,.T.); #221193=ORIENTED_EDGE('',*,*,#173330,.T.); #221194=ORIENTED_EDGE('',*,*,#173327,.T.); #221195=ORIENTED_EDGE('',*,*,#173335,.F.); #221196=ORIENTED_EDGE('',*,*,#173325,.F.); #221197=ORIENTED_EDGE('',*,*,#173329,.F.); #221198=ORIENTED_EDGE('',*,*,#173332,.F.); #221199=ORIENTED_EDGE('',*,*,#173337,.F.); #221200=ORIENTED_EDGE('',*,*,#173338,.T.); #221201=ORIENTED_EDGE('',*,*,#173339,.F.); #221202=ORIENTED_EDGE('',*,*,#173338,.F.); #221203=ORIENTED_EDGE('',*,*,#173340,.T.); #221204=ORIENTED_EDGE('',*,*,#173341,.T.); #221205=ORIENTED_EDGE('',*,*,#173342,.F.); #221206=ORIENTED_EDGE('',*,*,#173343,.F.); #221207=ORIENTED_EDGE('',*,*,#173344,.T.); #221208=ORIENTED_EDGE('',*,*,#173343,.T.); #221209=ORIENTED_EDGE('',*,*,#173345,.F.); #221210=ORIENTED_EDGE('',*,*,#173346,.F.); #221211=ORIENTED_EDGE('',*,*,#173347,.T.); #221212=ORIENTED_EDGE('',*,*,#173346,.T.); #221213=ORIENTED_EDGE('',*,*,#173348,.F.); #221214=ORIENTED_EDGE('',*,*,#173341,.F.); #221215=ORIENTED_EDGE('',*,*,#173348,.T.); #221216=ORIENTED_EDGE('',*,*,#173345,.T.); #221217=ORIENTED_EDGE('',*,*,#173342,.T.); #221218=ORIENTED_EDGE('',*,*,#173339,.T.); #221219=ORIENTED_EDGE('',*,*,#173347,.F.); #221220=ORIENTED_EDGE('',*,*,#173340,.F.); #221221=ORIENTED_EDGE('',*,*,#173344,.F.); #221222=ORIENTED_EDGE('',*,*,#173337,.T.); #221223=ORIENTED_EDGE('',*,*,#173349,.F.); #221224=ORIENTED_EDGE('',*,*,#173350,.T.); #221225=ORIENTED_EDGE('',*,*,#173351,.F.); #221226=ORIENTED_EDGE('',*,*,#173350,.F.); #221227=ORIENTED_EDGE('',*,*,#173352,.F.); #221228=ORIENTED_EDGE('',*,*,#173353,.T.); #221229=ORIENTED_EDGE('',*,*,#173354,.F.); #221230=ORIENTED_EDGE('',*,*,#173353,.F.); #221231=ORIENTED_EDGE('',*,*,#173355,.T.); #221232=ORIENTED_EDGE('',*,*,#173356,.T.); #221233=ORIENTED_EDGE('',*,*,#173357,.F.); #221234=ORIENTED_EDGE('',*,*,#173358,.F.); #221235=ORIENTED_EDGE('',*,*,#173359,.T.); #221236=ORIENTED_EDGE('',*,*,#173358,.T.); #221237=ORIENTED_EDGE('',*,*,#173360,.F.); #221238=ORIENTED_EDGE('',*,*,#173361,.F.); #221239=ORIENTED_EDGE('',*,*,#173362,.T.); #221240=ORIENTED_EDGE('',*,*,#173361,.T.); #221241=ORIENTED_EDGE('',*,*,#173363,.F.); #221242=ORIENTED_EDGE('',*,*,#173364,.F.); #221243=ORIENTED_EDGE('',*,*,#173365,.T.); #221244=ORIENTED_EDGE('',*,*,#173364,.T.); #221245=ORIENTED_EDGE('',*,*,#173366,.F.); #221246=ORIENTED_EDGE('',*,*,#173367,.F.); #221247=ORIENTED_EDGE('',*,*,#173368,.T.); #221248=ORIENTED_EDGE('',*,*,#173367,.T.); #221249=ORIENTED_EDGE('',*,*,#173369,.F.); #221250=ORIENTED_EDGE('',*,*,#173370,.F.); #221251=ORIENTED_EDGE('',*,*,#173371,.T.); #221252=ORIENTED_EDGE('',*,*,#173370,.T.); #221253=ORIENTED_EDGE('',*,*,#173372,.F.); #221254=ORIENTED_EDGE('',*,*,#173373,.F.); #221255=ORIENTED_EDGE('',*,*,#173374,.T.); #221256=ORIENTED_EDGE('',*,*,#173373,.T.); #221257=ORIENTED_EDGE('',*,*,#173375,.F.); #221258=ORIENTED_EDGE('',*,*,#173376,.F.); #221259=ORIENTED_EDGE('',*,*,#173377,.T.); #221260=ORIENTED_EDGE('',*,*,#173376,.T.); #221261=ORIENTED_EDGE('',*,*,#173378,.F.); #221262=ORIENTED_EDGE('',*,*,#173356,.F.); #221263=ORIENTED_EDGE('',*,*,#173378,.T.); #221264=ORIENTED_EDGE('',*,*,#173375,.T.); #221265=ORIENTED_EDGE('',*,*,#173372,.T.); #221266=ORIENTED_EDGE('',*,*,#173369,.T.); #221267=ORIENTED_EDGE('',*,*,#173366,.T.); #221268=ORIENTED_EDGE('',*,*,#173363,.T.); #221269=ORIENTED_EDGE('',*,*,#173360,.T.); #221270=ORIENTED_EDGE('',*,*,#173357,.T.); #221271=ORIENTED_EDGE('',*,*,#173351,.T.); #221272=ORIENTED_EDGE('',*,*,#173354,.T.); #221273=ORIENTED_EDGE('',*,*,#173377,.F.); #221274=ORIENTED_EDGE('',*,*,#173355,.F.); #221275=ORIENTED_EDGE('',*,*,#173359,.F.); #221276=ORIENTED_EDGE('',*,*,#173362,.F.); #221277=ORIENTED_EDGE('',*,*,#173365,.F.); #221278=ORIENTED_EDGE('',*,*,#173368,.F.); #221279=ORIENTED_EDGE('',*,*,#173371,.F.); #221280=ORIENTED_EDGE('',*,*,#173374,.F.); #221281=ORIENTED_EDGE('',*,*,#173349,.T.); #221282=ORIENTED_EDGE('',*,*,#173352,.T.); #221283=ORIENTED_EDGE('',*,*,#173379,.F.); #221284=ORIENTED_EDGE('',*,*,#173380,.T.); #221285=ORIENTED_EDGE('',*,*,#173381,.F.); #221286=ORIENTED_EDGE('',*,*,#173380,.F.); #221287=ORIENTED_EDGE('',*,*,#173382,.T.); #221288=ORIENTED_EDGE('',*,*,#173383,.T.); #221289=ORIENTED_EDGE('',*,*,#173384,.F.); #221290=ORIENTED_EDGE('',*,*,#173385,.F.); #221291=ORIENTED_EDGE('',*,*,#173386,.T.); #221292=ORIENTED_EDGE('',*,*,#173385,.T.); #221293=ORIENTED_EDGE('',*,*,#173387,.F.); #221294=ORIENTED_EDGE('',*,*,#173388,.F.); #221295=ORIENTED_EDGE('',*,*,#173389,.T.); #221296=ORIENTED_EDGE('',*,*,#173388,.T.); #221297=ORIENTED_EDGE('',*,*,#173390,.F.); #221298=ORIENTED_EDGE('',*,*,#173383,.F.); #221299=ORIENTED_EDGE('',*,*,#173390,.T.); #221300=ORIENTED_EDGE('',*,*,#173387,.T.); #221301=ORIENTED_EDGE('',*,*,#173384,.T.); #221302=ORIENTED_EDGE('',*,*,#173381,.T.); #221303=ORIENTED_EDGE('',*,*,#173389,.F.); #221304=ORIENTED_EDGE('',*,*,#173382,.F.); #221305=ORIENTED_EDGE('',*,*,#173386,.F.); #221306=ORIENTED_EDGE('',*,*,#173379,.T.); #221307=ORIENTED_EDGE('',*,*,#173391,.F.); #221308=ORIENTED_EDGE('',*,*,#173392,.T.); #221309=ORIENTED_EDGE('',*,*,#173393,.F.); #221310=ORIENTED_EDGE('',*,*,#173392,.F.); #221311=ORIENTED_EDGE('',*,*,#173394,.T.); #221312=ORIENTED_EDGE('',*,*,#173395,.T.); #221313=ORIENTED_EDGE('',*,*,#173396,.F.); #221314=ORIENTED_EDGE('',*,*,#173397,.F.); #221315=ORIENTED_EDGE('',*,*,#173398,.T.); #221316=ORIENTED_EDGE('',*,*,#173397,.T.); #221317=ORIENTED_EDGE('',*,*,#173399,.F.); #221318=ORIENTED_EDGE('',*,*,#173400,.F.); #221319=ORIENTED_EDGE('',*,*,#173401,.T.); #221320=ORIENTED_EDGE('',*,*,#173400,.T.); #221321=ORIENTED_EDGE('',*,*,#173402,.F.); #221322=ORIENTED_EDGE('',*,*,#173395,.F.); #221323=ORIENTED_EDGE('',*,*,#173402,.T.); #221324=ORIENTED_EDGE('',*,*,#173399,.T.); #221325=ORIENTED_EDGE('',*,*,#173396,.T.); #221326=ORIENTED_EDGE('',*,*,#173393,.T.); #221327=ORIENTED_EDGE('',*,*,#173401,.F.); #221328=ORIENTED_EDGE('',*,*,#173394,.F.); #221329=ORIENTED_EDGE('',*,*,#173398,.F.); #221330=ORIENTED_EDGE('',*,*,#173391,.T.); #221331=ORIENTED_EDGE('',*,*,#173403,.F.); #221332=ORIENTED_EDGE('',*,*,#173404,.T.); #221333=ORIENTED_EDGE('',*,*,#173405,.F.); #221334=ORIENTED_EDGE('',*,*,#173404,.F.); #221335=ORIENTED_EDGE('',*,*,#173406,.T.); #221336=ORIENTED_EDGE('',*,*,#173407,.T.); #221337=ORIENTED_EDGE('',*,*,#173408,.F.); #221338=ORIENTED_EDGE('',*,*,#173409,.F.); #221339=ORIENTED_EDGE('',*,*,#173410,.T.); #221340=ORIENTED_EDGE('',*,*,#173409,.T.); #221341=ORIENTED_EDGE('',*,*,#173411,.F.); #221342=ORIENTED_EDGE('',*,*,#173412,.F.); #221343=ORIENTED_EDGE('',*,*,#173413,.T.); #221344=ORIENTED_EDGE('',*,*,#173412,.T.); #221345=ORIENTED_EDGE('',*,*,#173414,.F.); #221346=ORIENTED_EDGE('',*,*,#173415,.F.); #221347=ORIENTED_EDGE('',*,*,#173416,.T.); #221348=ORIENTED_EDGE('',*,*,#173415,.T.); #221349=ORIENTED_EDGE('',*,*,#173417,.F.); #221350=ORIENTED_EDGE('',*,*,#173418,.F.); #221351=ORIENTED_EDGE('',*,*,#173419,.T.); #221352=ORIENTED_EDGE('',*,*,#173418,.T.); #221353=ORIENTED_EDGE('',*,*,#173420,.F.); #221354=ORIENTED_EDGE('',*,*,#173421,.F.); #221355=ORIENTED_EDGE('',*,*,#173422,.T.); #221356=ORIENTED_EDGE('',*,*,#173421,.T.); #221357=ORIENTED_EDGE('',*,*,#173423,.F.); #221358=ORIENTED_EDGE('',*,*,#173424,.F.); #221359=ORIENTED_EDGE('',*,*,#173425,.T.); #221360=ORIENTED_EDGE('',*,*,#173424,.T.); #221361=ORIENTED_EDGE('',*,*,#173426,.F.); #221362=ORIENTED_EDGE('',*,*,#173427,.F.); #221363=ORIENTED_EDGE('',*,*,#173428,.T.); #221364=ORIENTED_EDGE('',*,*,#173427,.T.); #221365=ORIENTED_EDGE('',*,*,#173429,.F.); #221366=ORIENTED_EDGE('',*,*,#173430,.F.); #221367=ORIENTED_EDGE('',*,*,#173431,.T.); #221368=ORIENTED_EDGE('',*,*,#173430,.T.); #221369=ORIENTED_EDGE('',*,*,#173432,.F.); #221370=ORIENTED_EDGE('',*,*,#173433,.F.); #221371=ORIENTED_EDGE('',*,*,#173434,.T.); #221372=ORIENTED_EDGE('',*,*,#173433,.T.); #221373=ORIENTED_EDGE('',*,*,#173435,.F.); #221374=ORIENTED_EDGE('',*,*,#173436,.F.); #221375=ORIENTED_EDGE('',*,*,#173437,.T.); #221376=ORIENTED_EDGE('',*,*,#173436,.T.); #221377=ORIENTED_EDGE('',*,*,#173438,.F.); #221378=ORIENTED_EDGE('',*,*,#173439,.F.); #221379=ORIENTED_EDGE('',*,*,#173440,.T.); #221380=ORIENTED_EDGE('',*,*,#173439,.T.); #221381=ORIENTED_EDGE('',*,*,#173441,.F.); #221382=ORIENTED_EDGE('',*,*,#173442,.F.); #221383=ORIENTED_EDGE('',*,*,#173443,.T.); #221384=ORIENTED_EDGE('',*,*,#173442,.T.); #221385=ORIENTED_EDGE('',*,*,#173444,.F.); #221386=ORIENTED_EDGE('',*,*,#173407,.F.); #221387=ORIENTED_EDGE('',*,*,#173444,.T.); #221388=ORIENTED_EDGE('',*,*,#173441,.T.); #221389=ORIENTED_EDGE('',*,*,#173438,.T.); #221390=ORIENTED_EDGE('',*,*,#173435,.T.); #221391=ORIENTED_EDGE('',*,*,#173432,.T.); #221392=ORIENTED_EDGE('',*,*,#173429,.T.); #221393=ORIENTED_EDGE('',*,*,#173426,.T.); #221394=ORIENTED_EDGE('',*,*,#173423,.T.); #221395=ORIENTED_EDGE('',*,*,#173420,.T.); #221396=ORIENTED_EDGE('',*,*,#173417,.T.); #221397=ORIENTED_EDGE('',*,*,#173414,.T.); #221398=ORIENTED_EDGE('',*,*,#173411,.T.); #221399=ORIENTED_EDGE('',*,*,#173408,.T.); #221400=ORIENTED_EDGE('',*,*,#173405,.T.); #221401=ORIENTED_EDGE('',*,*,#173443,.F.); #221402=ORIENTED_EDGE('',*,*,#173406,.F.); #221403=ORIENTED_EDGE('',*,*,#173410,.F.); #221404=ORIENTED_EDGE('',*,*,#173413,.F.); #221405=ORIENTED_EDGE('',*,*,#173416,.F.); #221406=ORIENTED_EDGE('',*,*,#173419,.F.); #221407=ORIENTED_EDGE('',*,*,#173422,.F.); #221408=ORIENTED_EDGE('',*,*,#173425,.F.); #221409=ORIENTED_EDGE('',*,*,#173428,.F.); #221410=ORIENTED_EDGE('',*,*,#173431,.F.); #221411=ORIENTED_EDGE('',*,*,#173434,.F.); #221412=ORIENTED_EDGE('',*,*,#173437,.F.); #221413=ORIENTED_EDGE('',*,*,#173440,.F.); #221414=ORIENTED_EDGE('',*,*,#173403,.T.); #221415=ORIENTED_EDGE('',*,*,#173445,.F.); #221416=ORIENTED_EDGE('',*,*,#173446,.T.); #221417=ORIENTED_EDGE('',*,*,#173447,.F.); #221418=ORIENTED_EDGE('',*,*,#173446,.F.); #221419=ORIENTED_EDGE('',*,*,#173448,.T.); #221420=ORIENTED_EDGE('',*,*,#173449,.T.); #221421=ORIENTED_EDGE('',*,*,#173450,.F.); #221422=ORIENTED_EDGE('',*,*,#173451,.F.); #221423=ORIENTED_EDGE('',*,*,#173452,.T.); #221424=ORIENTED_EDGE('',*,*,#173451,.T.); #221425=ORIENTED_EDGE('',*,*,#173453,.F.); #221426=ORIENTED_EDGE('',*,*,#173454,.F.); #221427=ORIENTED_EDGE('',*,*,#173455,.T.); #221428=ORIENTED_EDGE('',*,*,#173454,.T.); #221429=ORIENTED_EDGE('',*,*,#173456,.F.); #221430=ORIENTED_EDGE('',*,*,#173457,.F.); #221431=ORIENTED_EDGE('',*,*,#173458,.T.); #221432=ORIENTED_EDGE('',*,*,#173457,.T.); #221433=ORIENTED_EDGE('',*,*,#173459,.F.); #221434=ORIENTED_EDGE('',*,*,#173460,.F.); #221435=ORIENTED_EDGE('',*,*,#173461,.T.); #221436=ORIENTED_EDGE('',*,*,#173460,.T.); #221437=ORIENTED_EDGE('',*,*,#173462,.F.); #221438=ORIENTED_EDGE('',*,*,#173463,.F.); #221439=ORIENTED_EDGE('',*,*,#173464,.T.); #221440=ORIENTED_EDGE('',*,*,#173463,.T.); #221441=ORIENTED_EDGE('',*,*,#173465,.F.); #221442=ORIENTED_EDGE('',*,*,#173466,.F.); #221443=ORIENTED_EDGE('',*,*,#173467,.T.); #221444=ORIENTED_EDGE('',*,*,#173466,.T.); #221445=ORIENTED_EDGE('',*,*,#173468,.F.); #221446=ORIENTED_EDGE('',*,*,#173469,.F.); #221447=ORIENTED_EDGE('',*,*,#173470,.T.); #221448=ORIENTED_EDGE('',*,*,#173469,.T.); #221449=ORIENTED_EDGE('',*,*,#173471,.F.); #221450=ORIENTED_EDGE('',*,*,#173472,.F.); #221451=ORIENTED_EDGE('',*,*,#173473,.T.); #221452=ORIENTED_EDGE('',*,*,#173472,.T.); #221453=ORIENTED_EDGE('',*,*,#173474,.F.); #221454=ORIENTED_EDGE('',*,*,#173475,.F.); #221455=ORIENTED_EDGE('',*,*,#173476,.T.); #221456=ORIENTED_EDGE('',*,*,#173475,.T.); #221457=ORIENTED_EDGE('',*,*,#173477,.F.); #221458=ORIENTED_EDGE('',*,*,#173449,.F.); #221459=ORIENTED_EDGE('',*,*,#173477,.T.); #221460=ORIENTED_EDGE('',*,*,#173474,.T.); #221461=ORIENTED_EDGE('',*,*,#173471,.T.); #221462=ORIENTED_EDGE('',*,*,#173468,.T.); #221463=ORIENTED_EDGE('',*,*,#173465,.T.); #221464=ORIENTED_EDGE('',*,*,#173462,.T.); #221465=ORIENTED_EDGE('',*,*,#173459,.T.); #221466=ORIENTED_EDGE('',*,*,#173456,.T.); #221467=ORIENTED_EDGE('',*,*,#173453,.T.); #221468=ORIENTED_EDGE('',*,*,#173450,.T.); #221469=ORIENTED_EDGE('',*,*,#173447,.T.); #221470=ORIENTED_EDGE('',*,*,#173476,.F.); #221471=ORIENTED_EDGE('',*,*,#173448,.F.); #221472=ORIENTED_EDGE('',*,*,#173452,.F.); #221473=ORIENTED_EDGE('',*,*,#173455,.F.); #221474=ORIENTED_EDGE('',*,*,#173458,.F.); #221475=ORIENTED_EDGE('',*,*,#173461,.F.); #221476=ORIENTED_EDGE('',*,*,#173464,.F.); #221477=ORIENTED_EDGE('',*,*,#173467,.F.); #221478=ORIENTED_EDGE('',*,*,#173470,.F.); #221479=ORIENTED_EDGE('',*,*,#173473,.F.); #221480=ORIENTED_EDGE('',*,*,#173445,.T.); #221481=ORIENTED_EDGE('',*,*,#173478,.T.); #221482=ORIENTED_EDGE('',*,*,#173479,.T.); #221483=ORIENTED_EDGE('',*,*,#173480,.F.); #221484=ORIENTED_EDGE('',*,*,#173481,.F.); #221485=ORIENTED_EDGE('',*,*,#173482,.T.); #221486=ORIENTED_EDGE('',*,*,#173481,.T.); #221487=ORIENTED_EDGE('',*,*,#173483,.F.); #221488=ORIENTED_EDGE('',*,*,#173484,.F.); #221489=ORIENTED_EDGE('',*,*,#173485,.T.); #221490=ORIENTED_EDGE('',*,*,#173484,.T.); #221491=ORIENTED_EDGE('',*,*,#173486,.F.); #221492=ORIENTED_EDGE('',*,*,#173487,.F.); #221493=ORIENTED_EDGE('',*,*,#173488,.T.); #221494=ORIENTED_EDGE('',*,*,#173487,.T.); #221495=ORIENTED_EDGE('',*,*,#173489,.F.); #221496=ORIENTED_EDGE('',*,*,#173490,.F.); #221497=ORIENTED_EDGE('',*,*,#173491,.T.); #221498=ORIENTED_EDGE('',*,*,#173490,.T.); #221499=ORIENTED_EDGE('',*,*,#173492,.F.); #221500=ORIENTED_EDGE('',*,*,#173493,.F.); #221501=ORIENTED_EDGE('',*,*,#173494,.T.); #221502=ORIENTED_EDGE('',*,*,#173493,.T.); #221503=ORIENTED_EDGE('',*,*,#173495,.F.); #221504=ORIENTED_EDGE('',*,*,#173496,.F.); #221505=ORIENTED_EDGE('',*,*,#173497,.T.); #221506=ORIENTED_EDGE('',*,*,#173496,.T.); #221507=ORIENTED_EDGE('',*,*,#173498,.F.); #221508=ORIENTED_EDGE('',*,*,#173499,.F.); #221509=ORIENTED_EDGE('',*,*,#173500,.T.); #221510=ORIENTED_EDGE('',*,*,#173499,.T.); #221511=ORIENTED_EDGE('',*,*,#173501,.F.); #221512=ORIENTED_EDGE('',*,*,#173502,.F.); #221513=ORIENTED_EDGE('',*,*,#173503,.T.); #221514=ORIENTED_EDGE('',*,*,#173502,.T.); #221515=ORIENTED_EDGE('',*,*,#173504,.F.); #221516=ORIENTED_EDGE('',*,*,#173505,.F.); #221517=ORIENTED_EDGE('',*,*,#173506,.T.); #221518=ORIENTED_EDGE('',*,*,#173505,.T.); #221519=ORIENTED_EDGE('',*,*,#173507,.F.); #221520=ORIENTED_EDGE('',*,*,#173508,.F.); #221521=ORIENTED_EDGE('',*,*,#173509,.T.); #221522=ORIENTED_EDGE('',*,*,#173508,.T.); #221523=ORIENTED_EDGE('',*,*,#173510,.F.); #221524=ORIENTED_EDGE('',*,*,#173511,.F.); #221525=ORIENTED_EDGE('',*,*,#173512,.T.); #221526=ORIENTED_EDGE('',*,*,#173511,.T.); #221527=ORIENTED_EDGE('',*,*,#173513,.F.); #221528=ORIENTED_EDGE('',*,*,#173514,.F.); #221529=ORIENTED_EDGE('',*,*,#173515,.T.); #221530=ORIENTED_EDGE('',*,*,#173514,.T.); #221531=ORIENTED_EDGE('',*,*,#173516,.F.); #221532=ORIENTED_EDGE('',*,*,#173517,.F.); #221533=ORIENTED_EDGE('',*,*,#173518,.T.); #221534=ORIENTED_EDGE('',*,*,#173517,.T.); #221535=ORIENTED_EDGE('',*,*,#173519,.F.); #221536=ORIENTED_EDGE('',*,*,#173520,.F.); #221537=ORIENTED_EDGE('',*,*,#173521,.T.); #221538=ORIENTED_EDGE('',*,*,#173520,.T.); #221539=ORIENTED_EDGE('',*,*,#173522,.F.); #221540=ORIENTED_EDGE('',*,*,#173523,.F.); #221541=ORIENTED_EDGE('',*,*,#173524,.T.); #221542=ORIENTED_EDGE('',*,*,#173523,.T.); #221543=ORIENTED_EDGE('',*,*,#173525,.F.); #221544=ORIENTED_EDGE('',*,*,#173526,.F.); #221545=ORIENTED_EDGE('',*,*,#173527,.T.); #221546=ORIENTED_EDGE('',*,*,#173526,.T.); #221547=ORIENTED_EDGE('',*,*,#173528,.F.); #221548=ORIENTED_EDGE('',*,*,#173529,.F.); #221549=ORIENTED_EDGE('',*,*,#173530,.T.); #221550=ORIENTED_EDGE('',*,*,#173529,.T.); #221551=ORIENTED_EDGE('',*,*,#173531,.F.); #221552=ORIENTED_EDGE('',*,*,#173532,.F.); #221553=ORIENTED_EDGE('',*,*,#173533,.T.); #221554=ORIENTED_EDGE('',*,*,#173532,.T.); #221555=ORIENTED_EDGE('',*,*,#173534,.F.); #221556=ORIENTED_EDGE('',*,*,#173535,.F.); #221557=ORIENTED_EDGE('',*,*,#173536,.T.); #221558=ORIENTED_EDGE('',*,*,#173535,.T.); #221559=ORIENTED_EDGE('',*,*,#173537,.F.); #221560=ORIENTED_EDGE('',*,*,#173479,.F.); #221561=ORIENTED_EDGE('',*,*,#173537,.T.); #221562=ORIENTED_EDGE('',*,*,#173534,.T.); #221563=ORIENTED_EDGE('',*,*,#173531,.T.); #221564=ORIENTED_EDGE('',*,*,#173528,.T.); #221565=ORIENTED_EDGE('',*,*,#173525,.T.); #221566=ORIENTED_EDGE('',*,*,#173522,.T.); #221567=ORIENTED_EDGE('',*,*,#173519,.T.); #221568=ORIENTED_EDGE('',*,*,#173516,.T.); #221569=ORIENTED_EDGE('',*,*,#173513,.T.); #221570=ORIENTED_EDGE('',*,*,#173510,.T.); #221571=ORIENTED_EDGE('',*,*,#173507,.T.); #221572=ORIENTED_EDGE('',*,*,#173504,.T.); #221573=ORIENTED_EDGE('',*,*,#173501,.T.); #221574=ORIENTED_EDGE('',*,*,#173498,.T.); #221575=ORIENTED_EDGE('',*,*,#173495,.T.); #221576=ORIENTED_EDGE('',*,*,#173492,.T.); #221577=ORIENTED_EDGE('',*,*,#173489,.T.); #221578=ORIENTED_EDGE('',*,*,#173486,.T.); #221579=ORIENTED_EDGE('',*,*,#173483,.T.); #221580=ORIENTED_EDGE('',*,*,#173480,.T.); #221581=ORIENTED_EDGE('',*,*,#173536,.F.); #221582=ORIENTED_EDGE('',*,*,#173478,.F.); #221583=ORIENTED_EDGE('',*,*,#173482,.F.); #221584=ORIENTED_EDGE('',*,*,#173485,.F.); #221585=ORIENTED_EDGE('',*,*,#173488,.F.); #221586=ORIENTED_EDGE('',*,*,#173491,.F.); #221587=ORIENTED_EDGE('',*,*,#173494,.F.); #221588=ORIENTED_EDGE('',*,*,#173497,.F.); #221589=ORIENTED_EDGE('',*,*,#173500,.F.); #221590=ORIENTED_EDGE('',*,*,#173503,.F.); #221591=ORIENTED_EDGE('',*,*,#173506,.F.); #221592=ORIENTED_EDGE('',*,*,#173509,.F.); #221593=ORIENTED_EDGE('',*,*,#173512,.F.); #221594=ORIENTED_EDGE('',*,*,#173515,.F.); #221595=ORIENTED_EDGE('',*,*,#173518,.F.); #221596=ORIENTED_EDGE('',*,*,#173521,.F.); #221597=ORIENTED_EDGE('',*,*,#173524,.F.); #221598=ORIENTED_EDGE('',*,*,#173527,.F.); #221599=ORIENTED_EDGE('',*,*,#173530,.F.); #221600=ORIENTED_EDGE('',*,*,#173533,.F.); #221601=ORIENTED_EDGE('',*,*,#173538,.T.); #221602=ORIENTED_EDGE('',*,*,#173539,.T.); #221603=ORIENTED_EDGE('',*,*,#173540,.F.); #221604=ORIENTED_EDGE('',*,*,#173541,.F.); #221605=ORIENTED_EDGE('',*,*,#173542,.T.); #221606=ORIENTED_EDGE('',*,*,#173541,.T.); #221607=ORIENTED_EDGE('',*,*,#173543,.F.); #221608=ORIENTED_EDGE('',*,*,#173544,.F.); #221609=ORIENTED_EDGE('',*,*,#173545,.T.); #221610=ORIENTED_EDGE('',*,*,#173544,.T.); #221611=ORIENTED_EDGE('',*,*,#173546,.F.); #221612=ORIENTED_EDGE('',*,*,#173547,.F.); #221613=ORIENTED_EDGE('',*,*,#173548,.T.); #221614=ORIENTED_EDGE('',*,*,#173547,.T.); #221615=ORIENTED_EDGE('',*,*,#173549,.F.); #221616=ORIENTED_EDGE('',*,*,#173550,.F.); #221617=ORIENTED_EDGE('',*,*,#173551,.T.); #221618=ORIENTED_EDGE('',*,*,#173550,.T.); #221619=ORIENTED_EDGE('',*,*,#173552,.F.); #221620=ORIENTED_EDGE('',*,*,#173553,.F.); #221621=ORIENTED_EDGE('',*,*,#173554,.T.); #221622=ORIENTED_EDGE('',*,*,#173553,.T.); #221623=ORIENTED_EDGE('',*,*,#173555,.F.); #221624=ORIENTED_EDGE('',*,*,#173556,.F.); #221625=ORIENTED_EDGE('',*,*,#173557,.T.); #221626=ORIENTED_EDGE('',*,*,#173556,.T.); #221627=ORIENTED_EDGE('',*,*,#173558,.F.); #221628=ORIENTED_EDGE('',*,*,#173559,.F.); #221629=ORIENTED_EDGE('',*,*,#173560,.T.); #221630=ORIENTED_EDGE('',*,*,#173559,.T.); #221631=ORIENTED_EDGE('',*,*,#173561,.F.); #221632=ORIENTED_EDGE('',*,*,#173562,.F.); #221633=ORIENTED_EDGE('',*,*,#173563,.T.); #221634=ORIENTED_EDGE('',*,*,#173562,.T.); #221635=ORIENTED_EDGE('',*,*,#173564,.F.); #221636=ORIENTED_EDGE('',*,*,#173565,.F.); #221637=ORIENTED_EDGE('',*,*,#173566,.T.); #221638=ORIENTED_EDGE('',*,*,#173565,.T.); #221639=ORIENTED_EDGE('',*,*,#173567,.F.); #221640=ORIENTED_EDGE('',*,*,#173568,.F.); #221641=ORIENTED_EDGE('',*,*,#173569,.T.); #221642=ORIENTED_EDGE('',*,*,#173568,.T.); #221643=ORIENTED_EDGE('',*,*,#173570,.F.); #221644=ORIENTED_EDGE('',*,*,#173571,.F.); #221645=ORIENTED_EDGE('',*,*,#173572,.T.); #221646=ORIENTED_EDGE('',*,*,#173571,.T.); #221647=ORIENTED_EDGE('',*,*,#173573,.F.); #221648=ORIENTED_EDGE('',*,*,#173574,.F.); #221649=ORIENTED_EDGE('',*,*,#173575,.T.); #221650=ORIENTED_EDGE('',*,*,#173574,.T.); #221651=ORIENTED_EDGE('',*,*,#173576,.F.); #221652=ORIENTED_EDGE('',*,*,#173577,.F.); #221653=ORIENTED_EDGE('',*,*,#173578,.T.); #221654=ORIENTED_EDGE('',*,*,#173577,.T.); #221655=ORIENTED_EDGE('',*,*,#173579,.F.); #221656=ORIENTED_EDGE('',*,*,#173580,.F.); #221657=ORIENTED_EDGE('',*,*,#173581,.T.); #221658=ORIENTED_EDGE('',*,*,#173580,.T.); #221659=ORIENTED_EDGE('',*,*,#173582,.F.); #221660=ORIENTED_EDGE('',*,*,#173583,.F.); #221661=ORIENTED_EDGE('',*,*,#173584,.T.); #221662=ORIENTED_EDGE('',*,*,#173583,.T.); #221663=ORIENTED_EDGE('',*,*,#173585,.F.); #221664=ORIENTED_EDGE('',*,*,#173586,.F.); #221665=ORIENTED_EDGE('',*,*,#173587,.T.); #221666=ORIENTED_EDGE('',*,*,#173586,.T.); #221667=ORIENTED_EDGE('',*,*,#173588,.F.); #221668=ORIENTED_EDGE('',*,*,#173589,.F.); #221669=ORIENTED_EDGE('',*,*,#173590,.T.); #221670=ORIENTED_EDGE('',*,*,#173589,.T.); #221671=ORIENTED_EDGE('',*,*,#173591,.F.); #221672=ORIENTED_EDGE('',*,*,#173592,.F.); #221673=ORIENTED_EDGE('',*,*,#173593,.T.); #221674=ORIENTED_EDGE('',*,*,#173592,.T.); #221675=ORIENTED_EDGE('',*,*,#173594,.F.); #221676=ORIENTED_EDGE('',*,*,#173595,.F.); #221677=ORIENTED_EDGE('',*,*,#173596,.T.); #221678=ORIENTED_EDGE('',*,*,#173595,.T.); #221679=ORIENTED_EDGE('',*,*,#173597,.F.); #221680=ORIENTED_EDGE('',*,*,#173598,.F.); #221681=ORIENTED_EDGE('',*,*,#173599,.T.); #221682=ORIENTED_EDGE('',*,*,#173598,.T.); #221683=ORIENTED_EDGE('',*,*,#173600,.F.); #221684=ORIENTED_EDGE('',*,*,#173601,.F.); #221685=ORIENTED_EDGE('',*,*,#173602,.T.); #221686=ORIENTED_EDGE('',*,*,#173601,.T.); #221687=ORIENTED_EDGE('',*,*,#173603,.F.); #221688=ORIENTED_EDGE('',*,*,#173604,.F.); #221689=ORIENTED_EDGE('',*,*,#173605,.T.); #221690=ORIENTED_EDGE('',*,*,#173604,.T.); #221691=ORIENTED_EDGE('',*,*,#173606,.F.); #221692=ORIENTED_EDGE('',*,*,#173607,.F.); #221693=ORIENTED_EDGE('',*,*,#173608,.T.); #221694=ORIENTED_EDGE('',*,*,#173607,.T.); #221695=ORIENTED_EDGE('',*,*,#173609,.F.); #221696=ORIENTED_EDGE('',*,*,#173610,.F.); #221697=ORIENTED_EDGE('',*,*,#173611,.T.); #221698=ORIENTED_EDGE('',*,*,#173610,.T.); #221699=ORIENTED_EDGE('',*,*,#173612,.F.); #221700=ORIENTED_EDGE('',*,*,#173613,.F.); #221701=ORIENTED_EDGE('',*,*,#173614,.T.); #221702=ORIENTED_EDGE('',*,*,#173613,.T.); #221703=ORIENTED_EDGE('',*,*,#173615,.F.); #221704=ORIENTED_EDGE('',*,*,#173616,.F.); #221705=ORIENTED_EDGE('',*,*,#173617,.T.); #221706=ORIENTED_EDGE('',*,*,#173616,.T.); #221707=ORIENTED_EDGE('',*,*,#173618,.F.); #221708=ORIENTED_EDGE('',*,*,#173619,.F.); #221709=ORIENTED_EDGE('',*,*,#173620,.T.); #221710=ORIENTED_EDGE('',*,*,#173619,.T.); #221711=ORIENTED_EDGE('',*,*,#173621,.F.); #221712=ORIENTED_EDGE('',*,*,#173622,.F.); #221713=ORIENTED_EDGE('',*,*,#173623,.T.); #221714=ORIENTED_EDGE('',*,*,#173622,.T.); #221715=ORIENTED_EDGE('',*,*,#173624,.F.); #221716=ORIENTED_EDGE('',*,*,#173625,.F.); #221717=ORIENTED_EDGE('',*,*,#173626,.T.); #221718=ORIENTED_EDGE('',*,*,#173625,.T.); #221719=ORIENTED_EDGE('',*,*,#173627,.F.); #221720=ORIENTED_EDGE('',*,*,#173628,.F.); #221721=ORIENTED_EDGE('',*,*,#173629,.T.); #221722=ORIENTED_EDGE('',*,*,#173628,.T.); #221723=ORIENTED_EDGE('',*,*,#173630,.F.); #221724=ORIENTED_EDGE('',*,*,#173631,.F.); #221725=ORIENTED_EDGE('',*,*,#173632,.T.); #221726=ORIENTED_EDGE('',*,*,#173631,.T.); #221727=ORIENTED_EDGE('',*,*,#173633,.F.); #221728=ORIENTED_EDGE('',*,*,#173634,.F.); #221729=ORIENTED_EDGE('',*,*,#173635,.T.); #221730=ORIENTED_EDGE('',*,*,#173634,.T.); #221731=ORIENTED_EDGE('',*,*,#173636,.F.); #221732=ORIENTED_EDGE('',*,*,#173637,.F.); #221733=ORIENTED_EDGE('',*,*,#173638,.T.); #221734=ORIENTED_EDGE('',*,*,#173637,.T.); #221735=ORIENTED_EDGE('',*,*,#173639,.F.); #221736=ORIENTED_EDGE('',*,*,#173640,.F.); #221737=ORIENTED_EDGE('',*,*,#173641,.T.); #221738=ORIENTED_EDGE('',*,*,#173640,.T.); #221739=ORIENTED_EDGE('',*,*,#173642,.F.); #221740=ORIENTED_EDGE('',*,*,#173643,.F.); #221741=ORIENTED_EDGE('',*,*,#173644,.T.); #221742=ORIENTED_EDGE('',*,*,#173643,.T.); #221743=ORIENTED_EDGE('',*,*,#173645,.F.); #221744=ORIENTED_EDGE('',*,*,#173539,.F.); #221745=ORIENTED_EDGE('',*,*,#173645,.T.); #221746=ORIENTED_EDGE('',*,*,#173642,.T.); #221747=ORIENTED_EDGE('',*,*,#173639,.T.); #221748=ORIENTED_EDGE('',*,*,#173636,.T.); #221749=ORIENTED_EDGE('',*,*,#173633,.T.); #221750=ORIENTED_EDGE('',*,*,#173630,.T.); #221751=ORIENTED_EDGE('',*,*,#173627,.T.); #221752=ORIENTED_EDGE('',*,*,#173624,.T.); #221753=ORIENTED_EDGE('',*,*,#173621,.T.); #221754=ORIENTED_EDGE('',*,*,#173618,.T.); #221755=ORIENTED_EDGE('',*,*,#173615,.T.); #221756=ORIENTED_EDGE('',*,*,#173612,.T.); #221757=ORIENTED_EDGE('',*,*,#173609,.T.); #221758=ORIENTED_EDGE('',*,*,#173606,.T.); #221759=ORIENTED_EDGE('',*,*,#173603,.T.); #221760=ORIENTED_EDGE('',*,*,#173600,.T.); #221761=ORIENTED_EDGE('',*,*,#173597,.T.); #221762=ORIENTED_EDGE('',*,*,#173594,.T.); #221763=ORIENTED_EDGE('',*,*,#173591,.T.); #221764=ORIENTED_EDGE('',*,*,#173588,.T.); #221765=ORIENTED_EDGE('',*,*,#173585,.T.); #221766=ORIENTED_EDGE('',*,*,#173582,.T.); #221767=ORIENTED_EDGE('',*,*,#173579,.T.); #221768=ORIENTED_EDGE('',*,*,#173576,.T.); #221769=ORIENTED_EDGE('',*,*,#173573,.T.); #221770=ORIENTED_EDGE('',*,*,#173570,.T.); #221771=ORIENTED_EDGE('',*,*,#173567,.T.); #221772=ORIENTED_EDGE('',*,*,#173564,.T.); #221773=ORIENTED_EDGE('',*,*,#173561,.T.); #221774=ORIENTED_EDGE('',*,*,#173558,.T.); #221775=ORIENTED_EDGE('',*,*,#173555,.T.); #221776=ORIENTED_EDGE('',*,*,#173552,.T.); #221777=ORIENTED_EDGE('',*,*,#173549,.T.); #221778=ORIENTED_EDGE('',*,*,#173546,.T.); #221779=ORIENTED_EDGE('',*,*,#173543,.T.); #221780=ORIENTED_EDGE('',*,*,#173540,.T.); #221781=ORIENTED_EDGE('',*,*,#173644,.F.); #221782=ORIENTED_EDGE('',*,*,#173538,.F.); #221783=ORIENTED_EDGE('',*,*,#173542,.F.); #221784=ORIENTED_EDGE('',*,*,#173545,.F.); #221785=ORIENTED_EDGE('',*,*,#173548,.F.); #221786=ORIENTED_EDGE('',*,*,#173551,.F.); #221787=ORIENTED_EDGE('',*,*,#173554,.F.); #221788=ORIENTED_EDGE('',*,*,#173557,.F.); #221789=ORIENTED_EDGE('',*,*,#173560,.F.); #221790=ORIENTED_EDGE('',*,*,#173563,.F.); #221791=ORIENTED_EDGE('',*,*,#173566,.F.); #221792=ORIENTED_EDGE('',*,*,#173569,.F.); #221793=ORIENTED_EDGE('',*,*,#173572,.F.); #221794=ORIENTED_EDGE('',*,*,#173575,.F.); #221795=ORIENTED_EDGE('',*,*,#173578,.F.); #221796=ORIENTED_EDGE('',*,*,#173581,.F.); #221797=ORIENTED_EDGE('',*,*,#173584,.F.); #221798=ORIENTED_EDGE('',*,*,#173587,.F.); #221799=ORIENTED_EDGE('',*,*,#173590,.F.); #221800=ORIENTED_EDGE('',*,*,#173593,.F.); #221801=ORIENTED_EDGE('',*,*,#173596,.F.); #221802=ORIENTED_EDGE('',*,*,#173599,.F.); #221803=ORIENTED_EDGE('',*,*,#173602,.F.); #221804=ORIENTED_EDGE('',*,*,#173605,.F.); #221805=ORIENTED_EDGE('',*,*,#173608,.F.); #221806=ORIENTED_EDGE('',*,*,#173611,.F.); #221807=ORIENTED_EDGE('',*,*,#173614,.F.); #221808=ORIENTED_EDGE('',*,*,#173617,.F.); #221809=ORIENTED_EDGE('',*,*,#173620,.F.); #221810=ORIENTED_EDGE('',*,*,#173623,.F.); #221811=ORIENTED_EDGE('',*,*,#173626,.F.); #221812=ORIENTED_EDGE('',*,*,#173629,.F.); #221813=ORIENTED_EDGE('',*,*,#173632,.F.); #221814=ORIENTED_EDGE('',*,*,#173635,.F.); #221815=ORIENTED_EDGE('',*,*,#173638,.F.); #221816=ORIENTED_EDGE('',*,*,#173641,.F.); #221817=ORIENTED_EDGE('',*,*,#173646,.T.); #221818=ORIENTED_EDGE('',*,*,#173647,.T.); #221819=ORIENTED_EDGE('',*,*,#173648,.F.); #221820=ORIENTED_EDGE('',*,*,#173649,.F.); #221821=ORIENTED_EDGE('',*,*,#173650,.T.); #221822=ORIENTED_EDGE('',*,*,#173649,.T.); #221823=ORIENTED_EDGE('',*,*,#173651,.F.); #221824=ORIENTED_EDGE('',*,*,#173652,.F.); #221825=ORIENTED_EDGE('',*,*,#173653,.T.); #221826=ORIENTED_EDGE('',*,*,#173652,.T.); #221827=ORIENTED_EDGE('',*,*,#173654,.F.); #221828=ORIENTED_EDGE('',*,*,#173655,.F.); #221829=ORIENTED_EDGE('',*,*,#173656,.T.); #221830=ORIENTED_EDGE('',*,*,#173655,.T.); #221831=ORIENTED_EDGE('',*,*,#173657,.F.); #221832=ORIENTED_EDGE('',*,*,#173658,.F.); #221833=ORIENTED_EDGE('',*,*,#173659,.T.); #221834=ORIENTED_EDGE('',*,*,#173658,.T.); #221835=ORIENTED_EDGE('',*,*,#173660,.F.); #221836=ORIENTED_EDGE('',*,*,#173661,.F.); #221837=ORIENTED_EDGE('',*,*,#173662,.T.); #221838=ORIENTED_EDGE('',*,*,#173661,.T.); #221839=ORIENTED_EDGE('',*,*,#173663,.F.); #221840=ORIENTED_EDGE('',*,*,#173664,.F.); #221841=ORIENTED_EDGE('',*,*,#173665,.T.); #221842=ORIENTED_EDGE('',*,*,#173664,.T.); #221843=ORIENTED_EDGE('',*,*,#173666,.F.); #221844=ORIENTED_EDGE('',*,*,#173667,.F.); #221845=ORIENTED_EDGE('',*,*,#173668,.T.); #221846=ORIENTED_EDGE('',*,*,#173667,.T.); #221847=ORIENTED_EDGE('',*,*,#173669,.F.); #221848=ORIENTED_EDGE('',*,*,#173670,.F.); #221849=ORIENTED_EDGE('',*,*,#173671,.T.); #221850=ORIENTED_EDGE('',*,*,#173670,.T.); #221851=ORIENTED_EDGE('',*,*,#173672,.F.); #221852=ORIENTED_EDGE('',*,*,#173673,.F.); #221853=ORIENTED_EDGE('',*,*,#173674,.T.); #221854=ORIENTED_EDGE('',*,*,#173673,.T.); #221855=ORIENTED_EDGE('',*,*,#173675,.F.); #221856=ORIENTED_EDGE('',*,*,#173676,.F.); #221857=ORIENTED_EDGE('',*,*,#173677,.T.); #221858=ORIENTED_EDGE('',*,*,#173676,.T.); #221859=ORIENTED_EDGE('',*,*,#173678,.F.); #221860=ORIENTED_EDGE('',*,*,#173679,.F.); #221861=ORIENTED_EDGE('',*,*,#173680,.T.); #221862=ORIENTED_EDGE('',*,*,#173679,.T.); #221863=ORIENTED_EDGE('',*,*,#173681,.F.); #221864=ORIENTED_EDGE('',*,*,#173682,.F.); #221865=ORIENTED_EDGE('',*,*,#173683,.T.); #221866=ORIENTED_EDGE('',*,*,#173682,.T.); #221867=ORIENTED_EDGE('',*,*,#173684,.F.); #221868=ORIENTED_EDGE('',*,*,#173685,.F.); #221869=ORIENTED_EDGE('',*,*,#173686,.T.); #221870=ORIENTED_EDGE('',*,*,#173685,.T.); #221871=ORIENTED_EDGE('',*,*,#173687,.F.); #221872=ORIENTED_EDGE('',*,*,#173688,.F.); #221873=ORIENTED_EDGE('',*,*,#173689,.T.); #221874=ORIENTED_EDGE('',*,*,#173688,.T.); #221875=ORIENTED_EDGE('',*,*,#173690,.F.); #221876=ORIENTED_EDGE('',*,*,#173691,.F.); #221877=ORIENTED_EDGE('',*,*,#173692,.T.); #221878=ORIENTED_EDGE('',*,*,#173691,.T.); #221879=ORIENTED_EDGE('',*,*,#173693,.F.); #221880=ORIENTED_EDGE('',*,*,#173694,.F.); #221881=ORIENTED_EDGE('',*,*,#173695,.T.); #221882=ORIENTED_EDGE('',*,*,#173694,.T.); #221883=ORIENTED_EDGE('',*,*,#173696,.F.); #221884=ORIENTED_EDGE('',*,*,#173697,.F.); #221885=ORIENTED_EDGE('',*,*,#173698,.T.); #221886=ORIENTED_EDGE('',*,*,#173697,.T.); #221887=ORIENTED_EDGE('',*,*,#173699,.F.); #221888=ORIENTED_EDGE('',*,*,#173700,.F.); #221889=ORIENTED_EDGE('',*,*,#173701,.T.); #221890=ORIENTED_EDGE('',*,*,#173700,.T.); #221891=ORIENTED_EDGE('',*,*,#173702,.F.); #221892=ORIENTED_EDGE('',*,*,#173703,.F.); #221893=ORIENTED_EDGE('',*,*,#173704,.T.); #221894=ORIENTED_EDGE('',*,*,#173703,.T.); #221895=ORIENTED_EDGE('',*,*,#173705,.F.); #221896=ORIENTED_EDGE('',*,*,#173706,.F.); #221897=ORIENTED_EDGE('',*,*,#173707,.T.); #221898=ORIENTED_EDGE('',*,*,#173706,.T.); #221899=ORIENTED_EDGE('',*,*,#173708,.F.); #221900=ORIENTED_EDGE('',*,*,#173709,.F.); #221901=ORIENTED_EDGE('',*,*,#173710,.T.); #221902=ORIENTED_EDGE('',*,*,#173709,.T.); #221903=ORIENTED_EDGE('',*,*,#173711,.F.); #221904=ORIENTED_EDGE('',*,*,#173712,.F.); #221905=ORIENTED_EDGE('',*,*,#173713,.T.); #221906=ORIENTED_EDGE('',*,*,#173712,.T.); #221907=ORIENTED_EDGE('',*,*,#173714,.F.); #221908=ORIENTED_EDGE('',*,*,#173715,.F.); #221909=ORIENTED_EDGE('',*,*,#173716,.T.); #221910=ORIENTED_EDGE('',*,*,#173715,.T.); #221911=ORIENTED_EDGE('',*,*,#173717,.F.); #221912=ORIENTED_EDGE('',*,*,#173718,.F.); #221913=ORIENTED_EDGE('',*,*,#173719,.T.); #221914=ORIENTED_EDGE('',*,*,#173718,.T.); #221915=ORIENTED_EDGE('',*,*,#173720,.F.); #221916=ORIENTED_EDGE('',*,*,#173721,.F.); #221917=ORIENTED_EDGE('',*,*,#173722,.T.); #221918=ORIENTED_EDGE('',*,*,#173721,.T.); #221919=ORIENTED_EDGE('',*,*,#173723,.F.); #221920=ORIENTED_EDGE('',*,*,#173724,.F.); #221921=ORIENTED_EDGE('',*,*,#173725,.T.); #221922=ORIENTED_EDGE('',*,*,#173724,.T.); #221923=ORIENTED_EDGE('',*,*,#173726,.F.); #221924=ORIENTED_EDGE('',*,*,#173727,.F.); #221925=ORIENTED_EDGE('',*,*,#173728,.T.); #221926=ORIENTED_EDGE('',*,*,#173727,.T.); #221927=ORIENTED_EDGE('',*,*,#173729,.F.); #221928=ORIENTED_EDGE('',*,*,#173730,.F.); #221929=ORIENTED_EDGE('',*,*,#173731,.T.); #221930=ORIENTED_EDGE('',*,*,#173730,.T.); #221931=ORIENTED_EDGE('',*,*,#173732,.F.); #221932=ORIENTED_EDGE('',*,*,#173733,.F.); #221933=ORIENTED_EDGE('',*,*,#173734,.T.); #221934=ORIENTED_EDGE('',*,*,#173733,.T.); #221935=ORIENTED_EDGE('',*,*,#173735,.F.); #221936=ORIENTED_EDGE('',*,*,#173736,.F.); #221937=ORIENTED_EDGE('',*,*,#173737,.T.); #221938=ORIENTED_EDGE('',*,*,#173736,.T.); #221939=ORIENTED_EDGE('',*,*,#173738,.F.); #221940=ORIENTED_EDGE('',*,*,#173739,.F.); #221941=ORIENTED_EDGE('',*,*,#173740,.T.); #221942=ORIENTED_EDGE('',*,*,#173739,.T.); #221943=ORIENTED_EDGE('',*,*,#173741,.F.); #221944=ORIENTED_EDGE('',*,*,#173742,.F.); #221945=ORIENTED_EDGE('',*,*,#173743,.T.); #221946=ORIENTED_EDGE('',*,*,#173742,.T.); #221947=ORIENTED_EDGE('',*,*,#173744,.F.); #221948=ORIENTED_EDGE('',*,*,#173745,.F.); #221949=ORIENTED_EDGE('',*,*,#173746,.T.); #221950=ORIENTED_EDGE('',*,*,#173745,.T.); #221951=ORIENTED_EDGE('',*,*,#173747,.F.); #221952=ORIENTED_EDGE('',*,*,#173748,.F.); #221953=ORIENTED_EDGE('',*,*,#173749,.T.); #221954=ORIENTED_EDGE('',*,*,#173748,.T.); #221955=ORIENTED_EDGE('',*,*,#173750,.F.); #221956=ORIENTED_EDGE('',*,*,#173751,.F.); #221957=ORIENTED_EDGE('',*,*,#173752,.T.); #221958=ORIENTED_EDGE('',*,*,#173751,.T.); #221959=ORIENTED_EDGE('',*,*,#173753,.F.); #221960=ORIENTED_EDGE('',*,*,#173647,.F.); #221961=ORIENTED_EDGE('',*,*,#173753,.T.); #221962=ORIENTED_EDGE('',*,*,#173750,.T.); #221963=ORIENTED_EDGE('',*,*,#173747,.T.); #221964=ORIENTED_EDGE('',*,*,#173744,.T.); #221965=ORIENTED_EDGE('',*,*,#173741,.T.); #221966=ORIENTED_EDGE('',*,*,#173738,.T.); #221967=ORIENTED_EDGE('',*,*,#173735,.T.); #221968=ORIENTED_EDGE('',*,*,#173732,.T.); #221969=ORIENTED_EDGE('',*,*,#173729,.T.); #221970=ORIENTED_EDGE('',*,*,#173726,.T.); #221971=ORIENTED_EDGE('',*,*,#173723,.T.); #221972=ORIENTED_EDGE('',*,*,#173720,.T.); #221973=ORIENTED_EDGE('',*,*,#173717,.T.); #221974=ORIENTED_EDGE('',*,*,#173714,.T.); #221975=ORIENTED_EDGE('',*,*,#173711,.T.); #221976=ORIENTED_EDGE('',*,*,#173708,.T.); #221977=ORIENTED_EDGE('',*,*,#173705,.T.); #221978=ORIENTED_EDGE('',*,*,#173702,.T.); #221979=ORIENTED_EDGE('',*,*,#173699,.T.); #221980=ORIENTED_EDGE('',*,*,#173696,.T.); #221981=ORIENTED_EDGE('',*,*,#173693,.T.); #221982=ORIENTED_EDGE('',*,*,#173690,.T.); #221983=ORIENTED_EDGE('',*,*,#173687,.T.); #221984=ORIENTED_EDGE('',*,*,#173684,.T.); #221985=ORIENTED_EDGE('',*,*,#173681,.T.); #221986=ORIENTED_EDGE('',*,*,#173678,.T.); #221987=ORIENTED_EDGE('',*,*,#173675,.T.); #221988=ORIENTED_EDGE('',*,*,#173672,.T.); #221989=ORIENTED_EDGE('',*,*,#173669,.T.); #221990=ORIENTED_EDGE('',*,*,#173666,.T.); #221991=ORIENTED_EDGE('',*,*,#173663,.T.); #221992=ORIENTED_EDGE('',*,*,#173660,.T.); #221993=ORIENTED_EDGE('',*,*,#173657,.T.); #221994=ORIENTED_EDGE('',*,*,#173654,.T.); #221995=ORIENTED_EDGE('',*,*,#173651,.T.); #221996=ORIENTED_EDGE('',*,*,#173648,.T.); #221997=ORIENTED_EDGE('',*,*,#173752,.F.); #221998=ORIENTED_EDGE('',*,*,#173646,.F.); #221999=ORIENTED_EDGE('',*,*,#173650,.F.); #222000=ORIENTED_EDGE('',*,*,#173653,.F.); #222001=ORIENTED_EDGE('',*,*,#173656,.F.); #222002=ORIENTED_EDGE('',*,*,#173659,.F.); #222003=ORIENTED_EDGE('',*,*,#173662,.F.); #222004=ORIENTED_EDGE('',*,*,#173665,.F.); #222005=ORIENTED_EDGE('',*,*,#173668,.F.); #222006=ORIENTED_EDGE('',*,*,#173671,.F.); #222007=ORIENTED_EDGE('',*,*,#173674,.F.); #222008=ORIENTED_EDGE('',*,*,#173677,.F.); #222009=ORIENTED_EDGE('',*,*,#173680,.F.); #222010=ORIENTED_EDGE('',*,*,#173683,.F.); #222011=ORIENTED_EDGE('',*,*,#173686,.F.); #222012=ORIENTED_EDGE('',*,*,#173689,.F.); #222013=ORIENTED_EDGE('',*,*,#173692,.F.); #222014=ORIENTED_EDGE('',*,*,#173695,.F.); #222015=ORIENTED_EDGE('',*,*,#173698,.F.); #222016=ORIENTED_EDGE('',*,*,#173701,.F.); #222017=ORIENTED_EDGE('',*,*,#173704,.F.); #222018=ORIENTED_EDGE('',*,*,#173707,.F.); #222019=ORIENTED_EDGE('',*,*,#173710,.F.); #222020=ORIENTED_EDGE('',*,*,#173713,.F.); #222021=ORIENTED_EDGE('',*,*,#173716,.F.); #222022=ORIENTED_EDGE('',*,*,#173719,.F.); #222023=ORIENTED_EDGE('',*,*,#173722,.F.); #222024=ORIENTED_EDGE('',*,*,#173725,.F.); #222025=ORIENTED_EDGE('',*,*,#173728,.F.); #222026=ORIENTED_EDGE('',*,*,#173731,.F.); #222027=ORIENTED_EDGE('',*,*,#173734,.F.); #222028=ORIENTED_EDGE('',*,*,#173737,.F.); #222029=ORIENTED_EDGE('',*,*,#173740,.F.); #222030=ORIENTED_EDGE('',*,*,#173743,.F.); #222031=ORIENTED_EDGE('',*,*,#173746,.F.); #222032=ORIENTED_EDGE('',*,*,#173749,.F.); #222033=ORIENTED_EDGE('',*,*,#173754,.F.); #222034=ORIENTED_EDGE('',*,*,#173755,.T.); #222035=ORIENTED_EDGE('',*,*,#173756,.F.); #222036=ORIENTED_EDGE('',*,*,#173755,.F.); #222037=ORIENTED_EDGE('',*,*,#173757,.T.); #222038=ORIENTED_EDGE('',*,*,#173758,.T.); #222039=ORIENTED_EDGE('',*,*,#173759,.F.); #222040=ORIENTED_EDGE('',*,*,#173760,.F.); #222041=ORIENTED_EDGE('',*,*,#173761,.T.); #222042=ORIENTED_EDGE('',*,*,#173760,.T.); #222043=ORIENTED_EDGE('',*,*,#173762,.F.); #222044=ORIENTED_EDGE('',*,*,#173763,.F.); #222045=ORIENTED_EDGE('',*,*,#173764,.T.); #222046=ORIENTED_EDGE('',*,*,#173763,.T.); #222047=ORIENTED_EDGE('',*,*,#173765,.F.); #222048=ORIENTED_EDGE('',*,*,#173766,.F.); #222049=ORIENTED_EDGE('',*,*,#173767,.T.); #222050=ORIENTED_EDGE('',*,*,#173766,.T.); #222051=ORIENTED_EDGE('',*,*,#173768,.F.); #222052=ORIENTED_EDGE('',*,*,#173769,.F.); #222053=ORIENTED_EDGE('',*,*,#173770,.T.); #222054=ORIENTED_EDGE('',*,*,#173769,.T.); #222055=ORIENTED_EDGE('',*,*,#173771,.F.); #222056=ORIENTED_EDGE('',*,*,#173772,.F.); #222057=ORIENTED_EDGE('',*,*,#173773,.T.); #222058=ORIENTED_EDGE('',*,*,#173772,.T.); #222059=ORIENTED_EDGE('',*,*,#173774,.F.); #222060=ORIENTED_EDGE('',*,*,#173775,.F.); #222061=ORIENTED_EDGE('',*,*,#173776,.T.); #222062=ORIENTED_EDGE('',*,*,#173775,.T.); #222063=ORIENTED_EDGE('',*,*,#173777,.F.); #222064=ORIENTED_EDGE('',*,*,#173778,.F.); #222065=ORIENTED_EDGE('',*,*,#173779,.T.); #222066=ORIENTED_EDGE('',*,*,#173778,.T.); #222067=ORIENTED_EDGE('',*,*,#173780,.F.); #222068=ORIENTED_EDGE('',*,*,#173781,.F.); #222069=ORIENTED_EDGE('',*,*,#173782,.T.); #222070=ORIENTED_EDGE('',*,*,#173781,.T.); #222071=ORIENTED_EDGE('',*,*,#173783,.F.); #222072=ORIENTED_EDGE('',*,*,#173784,.F.); #222073=ORIENTED_EDGE('',*,*,#173785,.T.); #222074=ORIENTED_EDGE('',*,*,#173784,.T.); #222075=ORIENTED_EDGE('',*,*,#173786,.F.); #222076=ORIENTED_EDGE('',*,*,#173787,.F.); #222077=ORIENTED_EDGE('',*,*,#173788,.T.); #222078=ORIENTED_EDGE('',*,*,#173787,.T.); #222079=ORIENTED_EDGE('',*,*,#173789,.F.); #222080=ORIENTED_EDGE('',*,*,#173790,.F.); #222081=ORIENTED_EDGE('',*,*,#173791,.T.); #222082=ORIENTED_EDGE('',*,*,#173790,.T.); #222083=ORIENTED_EDGE('',*,*,#173792,.F.); #222084=ORIENTED_EDGE('',*,*,#173793,.F.); #222085=ORIENTED_EDGE('',*,*,#173794,.T.); #222086=ORIENTED_EDGE('',*,*,#173793,.T.); #222087=ORIENTED_EDGE('',*,*,#173795,.F.); #222088=ORIENTED_EDGE('',*,*,#173796,.F.); #222089=ORIENTED_EDGE('',*,*,#173797,.T.); #222090=ORIENTED_EDGE('',*,*,#173796,.T.); #222091=ORIENTED_EDGE('',*,*,#173798,.F.); #222092=ORIENTED_EDGE('',*,*,#173799,.F.); #222093=ORIENTED_EDGE('',*,*,#173800,.T.); #222094=ORIENTED_EDGE('',*,*,#173799,.T.); #222095=ORIENTED_EDGE('',*,*,#173801,.F.); #222096=ORIENTED_EDGE('',*,*,#173802,.F.); #222097=ORIENTED_EDGE('',*,*,#173803,.T.); #222098=ORIENTED_EDGE('',*,*,#173802,.T.); #222099=ORIENTED_EDGE('',*,*,#173804,.F.); #222100=ORIENTED_EDGE('',*,*,#173805,.F.); #222101=ORIENTED_EDGE('',*,*,#173806,.T.); #222102=ORIENTED_EDGE('',*,*,#173805,.T.); #222103=ORIENTED_EDGE('',*,*,#173807,.F.); #222104=ORIENTED_EDGE('',*,*,#173808,.F.); #222105=ORIENTED_EDGE('',*,*,#173809,.T.); #222106=ORIENTED_EDGE('',*,*,#173808,.T.); #222107=ORIENTED_EDGE('',*,*,#173810,.F.); #222108=ORIENTED_EDGE('',*,*,#173811,.F.); #222109=ORIENTED_EDGE('',*,*,#173812,.T.); #222110=ORIENTED_EDGE('',*,*,#173811,.T.); #222111=ORIENTED_EDGE('',*,*,#173813,.F.); #222112=ORIENTED_EDGE('',*,*,#173814,.F.); #222113=ORIENTED_EDGE('',*,*,#173815,.T.); #222114=ORIENTED_EDGE('',*,*,#173814,.T.); #222115=ORIENTED_EDGE('',*,*,#173816,.F.); #222116=ORIENTED_EDGE('',*,*,#173817,.F.); #222117=ORIENTED_EDGE('',*,*,#173818,.T.); #222118=ORIENTED_EDGE('',*,*,#173817,.T.); #222119=ORIENTED_EDGE('',*,*,#173819,.F.); #222120=ORIENTED_EDGE('',*,*,#173820,.F.); #222121=ORIENTED_EDGE('',*,*,#173821,.T.); #222122=ORIENTED_EDGE('',*,*,#173820,.T.); #222123=ORIENTED_EDGE('',*,*,#173822,.F.); #222124=ORIENTED_EDGE('',*,*,#173758,.F.); #222125=ORIENTED_EDGE('',*,*,#173822,.T.); #222126=ORIENTED_EDGE('',*,*,#173819,.T.); #222127=ORIENTED_EDGE('',*,*,#173816,.T.); #222128=ORIENTED_EDGE('',*,*,#173813,.T.); #222129=ORIENTED_EDGE('',*,*,#173810,.T.); #222130=ORIENTED_EDGE('',*,*,#173807,.T.); #222131=ORIENTED_EDGE('',*,*,#173804,.T.); #222132=ORIENTED_EDGE('',*,*,#173801,.T.); #222133=ORIENTED_EDGE('',*,*,#173798,.T.); #222134=ORIENTED_EDGE('',*,*,#173795,.T.); #222135=ORIENTED_EDGE('',*,*,#173792,.T.); #222136=ORIENTED_EDGE('',*,*,#173789,.T.); #222137=ORIENTED_EDGE('',*,*,#173786,.T.); #222138=ORIENTED_EDGE('',*,*,#173783,.T.); #222139=ORIENTED_EDGE('',*,*,#173780,.T.); #222140=ORIENTED_EDGE('',*,*,#173777,.T.); #222141=ORIENTED_EDGE('',*,*,#173774,.T.); #222142=ORIENTED_EDGE('',*,*,#173771,.T.); #222143=ORIENTED_EDGE('',*,*,#173768,.T.); #222144=ORIENTED_EDGE('',*,*,#173765,.T.); #222145=ORIENTED_EDGE('',*,*,#173762,.T.); #222146=ORIENTED_EDGE('',*,*,#173759,.T.); #222147=ORIENTED_EDGE('',*,*,#173756,.T.); #222148=ORIENTED_EDGE('',*,*,#173821,.F.); #222149=ORIENTED_EDGE('',*,*,#173757,.F.); #222150=ORIENTED_EDGE('',*,*,#173761,.F.); #222151=ORIENTED_EDGE('',*,*,#173764,.F.); #222152=ORIENTED_EDGE('',*,*,#173767,.F.); #222153=ORIENTED_EDGE('',*,*,#173770,.F.); #222154=ORIENTED_EDGE('',*,*,#173773,.F.); #222155=ORIENTED_EDGE('',*,*,#173776,.F.); #222156=ORIENTED_EDGE('',*,*,#173779,.F.); #222157=ORIENTED_EDGE('',*,*,#173782,.F.); #222158=ORIENTED_EDGE('',*,*,#173785,.F.); #222159=ORIENTED_EDGE('',*,*,#173788,.F.); #222160=ORIENTED_EDGE('',*,*,#173791,.F.); #222161=ORIENTED_EDGE('',*,*,#173794,.F.); #222162=ORIENTED_EDGE('',*,*,#173797,.F.); #222163=ORIENTED_EDGE('',*,*,#173800,.F.); #222164=ORIENTED_EDGE('',*,*,#173803,.F.); #222165=ORIENTED_EDGE('',*,*,#173806,.F.); #222166=ORIENTED_EDGE('',*,*,#173809,.F.); #222167=ORIENTED_EDGE('',*,*,#173812,.F.); #222168=ORIENTED_EDGE('',*,*,#173815,.F.); #222169=ORIENTED_EDGE('',*,*,#173818,.F.); #222170=ORIENTED_EDGE('',*,*,#173754,.T.); #222171=ORIENTED_EDGE('',*,*,#173823,.F.); #222172=ORIENTED_EDGE('',*,*,#173824,.T.); #222173=ORIENTED_EDGE('',*,*,#173825,.F.); #222174=ORIENTED_EDGE('',*,*,#173824,.F.); #222175=ORIENTED_EDGE('',*,*,#173826,.T.); #222176=ORIENTED_EDGE('',*,*,#173827,.T.); #222177=ORIENTED_EDGE('',*,*,#173828,.F.); #222178=ORIENTED_EDGE('',*,*,#173829,.F.); #222179=ORIENTED_EDGE('',*,*,#173830,.T.); #222180=ORIENTED_EDGE('',*,*,#173829,.T.); #222181=ORIENTED_EDGE('',*,*,#173831,.F.); #222182=ORIENTED_EDGE('',*,*,#173832,.F.); #222183=ORIENTED_EDGE('',*,*,#173833,.T.); #222184=ORIENTED_EDGE('',*,*,#173832,.T.); #222185=ORIENTED_EDGE('',*,*,#173834,.F.); #222186=ORIENTED_EDGE('',*,*,#173835,.F.); #222187=ORIENTED_EDGE('',*,*,#173836,.T.); #222188=ORIENTED_EDGE('',*,*,#173835,.T.); #222189=ORIENTED_EDGE('',*,*,#173837,.F.); #222190=ORIENTED_EDGE('',*,*,#173838,.F.); #222191=ORIENTED_EDGE('',*,*,#173839,.T.); #222192=ORIENTED_EDGE('',*,*,#173838,.T.); #222193=ORIENTED_EDGE('',*,*,#173840,.F.); #222194=ORIENTED_EDGE('',*,*,#173841,.F.); #222195=ORIENTED_EDGE('',*,*,#173842,.T.); #222196=ORIENTED_EDGE('',*,*,#173841,.T.); #222197=ORIENTED_EDGE('',*,*,#173843,.F.); #222198=ORIENTED_EDGE('',*,*,#173844,.F.); #222199=ORIENTED_EDGE('',*,*,#173845,.T.); #222200=ORIENTED_EDGE('',*,*,#173844,.T.); #222201=ORIENTED_EDGE('',*,*,#173846,.F.); #222202=ORIENTED_EDGE('',*,*,#173847,.F.); #222203=ORIENTED_EDGE('',*,*,#173848,.T.); #222204=ORIENTED_EDGE('',*,*,#173847,.T.); #222205=ORIENTED_EDGE('',*,*,#173849,.F.); #222206=ORIENTED_EDGE('',*,*,#173850,.F.); #222207=ORIENTED_EDGE('',*,*,#173851,.T.); #222208=ORIENTED_EDGE('',*,*,#173850,.T.); #222209=ORIENTED_EDGE('',*,*,#173852,.F.); #222210=ORIENTED_EDGE('',*,*,#173853,.F.); #222211=ORIENTED_EDGE('',*,*,#173854,.T.); #222212=ORIENTED_EDGE('',*,*,#173853,.T.); #222213=ORIENTED_EDGE('',*,*,#173855,.F.); #222214=ORIENTED_EDGE('',*,*,#173856,.F.); #222215=ORIENTED_EDGE('',*,*,#173857,.T.); #222216=ORIENTED_EDGE('',*,*,#173856,.T.); #222217=ORIENTED_EDGE('',*,*,#173858,.F.); #222218=ORIENTED_EDGE('',*,*,#173859,.F.); #222219=ORIENTED_EDGE('',*,*,#173860,.T.); #222220=ORIENTED_EDGE('',*,*,#173859,.T.); #222221=ORIENTED_EDGE('',*,*,#173861,.F.); #222222=ORIENTED_EDGE('',*,*,#173862,.F.); #222223=ORIENTED_EDGE('',*,*,#173863,.T.); #222224=ORIENTED_EDGE('',*,*,#173862,.T.); #222225=ORIENTED_EDGE('',*,*,#173864,.F.); #222226=ORIENTED_EDGE('',*,*,#173865,.F.); #222227=ORIENTED_EDGE('',*,*,#173866,.T.); #222228=ORIENTED_EDGE('',*,*,#173865,.T.); #222229=ORIENTED_EDGE('',*,*,#173867,.F.); #222230=ORIENTED_EDGE('',*,*,#173868,.F.); #222231=ORIENTED_EDGE('',*,*,#173869,.T.); #222232=ORIENTED_EDGE('',*,*,#173868,.T.); #222233=ORIENTED_EDGE('',*,*,#173870,.F.); #222234=ORIENTED_EDGE('',*,*,#173871,.F.); #222235=ORIENTED_EDGE('',*,*,#173872,.T.); #222236=ORIENTED_EDGE('',*,*,#173871,.T.); #222237=ORIENTED_EDGE('',*,*,#173873,.F.); #222238=ORIENTED_EDGE('',*,*,#173827,.F.); #222239=ORIENTED_EDGE('',*,*,#173873,.T.); #222240=ORIENTED_EDGE('',*,*,#173870,.T.); #222241=ORIENTED_EDGE('',*,*,#173867,.T.); #222242=ORIENTED_EDGE('',*,*,#173864,.T.); #222243=ORIENTED_EDGE('',*,*,#173861,.T.); #222244=ORIENTED_EDGE('',*,*,#173858,.T.); #222245=ORIENTED_EDGE('',*,*,#173855,.T.); #222246=ORIENTED_EDGE('',*,*,#173852,.T.); #222247=ORIENTED_EDGE('',*,*,#173849,.T.); #222248=ORIENTED_EDGE('',*,*,#173846,.T.); #222249=ORIENTED_EDGE('',*,*,#173843,.T.); #222250=ORIENTED_EDGE('',*,*,#173840,.T.); #222251=ORIENTED_EDGE('',*,*,#173837,.T.); #222252=ORIENTED_EDGE('',*,*,#173834,.T.); #222253=ORIENTED_EDGE('',*,*,#173831,.T.); #222254=ORIENTED_EDGE('',*,*,#173828,.T.); #222255=ORIENTED_EDGE('',*,*,#173825,.T.); #222256=ORIENTED_EDGE('',*,*,#173872,.F.); #222257=ORIENTED_EDGE('',*,*,#173826,.F.); #222258=ORIENTED_EDGE('',*,*,#173830,.F.); #222259=ORIENTED_EDGE('',*,*,#173833,.F.); #222260=ORIENTED_EDGE('',*,*,#173836,.F.); #222261=ORIENTED_EDGE('',*,*,#173839,.F.); #222262=ORIENTED_EDGE('',*,*,#173842,.F.); #222263=ORIENTED_EDGE('',*,*,#173845,.F.); #222264=ORIENTED_EDGE('',*,*,#173848,.F.); #222265=ORIENTED_EDGE('',*,*,#173851,.F.); #222266=ORIENTED_EDGE('',*,*,#173854,.F.); #222267=ORIENTED_EDGE('',*,*,#173857,.F.); #222268=ORIENTED_EDGE('',*,*,#173860,.F.); #222269=ORIENTED_EDGE('',*,*,#173863,.F.); #222270=ORIENTED_EDGE('',*,*,#173866,.F.); #222271=ORIENTED_EDGE('',*,*,#173869,.F.); #222272=ORIENTED_EDGE('',*,*,#173823,.T.); #222273=ORIENTED_EDGE('',*,*,#173874,.F.); #222274=ORIENTED_EDGE('',*,*,#173875,.T.); #222275=ORIENTED_EDGE('',*,*,#173876,.F.); #222276=ORIENTED_EDGE('',*,*,#173875,.F.); #222277=ORIENTED_EDGE('',*,*,#173877,.T.); #222278=ORIENTED_EDGE('',*,*,#173878,.T.); #222279=ORIENTED_EDGE('',*,*,#173879,.F.); #222280=ORIENTED_EDGE('',*,*,#173880,.F.); #222281=ORIENTED_EDGE('',*,*,#173881,.T.); #222282=ORIENTED_EDGE('',*,*,#173880,.T.); #222283=ORIENTED_EDGE('',*,*,#173882,.F.); #222284=ORIENTED_EDGE('',*,*,#173883,.F.); #222285=ORIENTED_EDGE('',*,*,#173884,.T.); #222286=ORIENTED_EDGE('',*,*,#173883,.T.); #222287=ORIENTED_EDGE('',*,*,#173885,.F.); #222288=ORIENTED_EDGE('',*,*,#173886,.F.); #222289=ORIENTED_EDGE('',*,*,#173887,.T.); #222290=ORIENTED_EDGE('',*,*,#173886,.T.); #222291=ORIENTED_EDGE('',*,*,#173888,.F.); #222292=ORIENTED_EDGE('',*,*,#173889,.F.); #222293=ORIENTED_EDGE('',*,*,#173890,.T.); #222294=ORIENTED_EDGE('',*,*,#173889,.T.); #222295=ORIENTED_EDGE('',*,*,#173891,.F.); #222296=ORIENTED_EDGE('',*,*,#173892,.F.); #222297=ORIENTED_EDGE('',*,*,#173893,.T.); #222298=ORIENTED_EDGE('',*,*,#173892,.T.); #222299=ORIENTED_EDGE('',*,*,#173894,.F.); #222300=ORIENTED_EDGE('',*,*,#173895,.F.); #222301=ORIENTED_EDGE('',*,*,#173896,.T.); #222302=ORIENTED_EDGE('',*,*,#173895,.T.); #222303=ORIENTED_EDGE('',*,*,#173897,.F.); #222304=ORIENTED_EDGE('',*,*,#173898,.F.); #222305=ORIENTED_EDGE('',*,*,#173899,.T.); #222306=ORIENTED_EDGE('',*,*,#173898,.T.); #222307=ORIENTED_EDGE('',*,*,#173900,.F.); #222308=ORIENTED_EDGE('',*,*,#173901,.F.); #222309=ORIENTED_EDGE('',*,*,#173902,.T.); #222310=ORIENTED_EDGE('',*,*,#173901,.T.); #222311=ORIENTED_EDGE('',*,*,#173903,.F.); #222312=ORIENTED_EDGE('',*,*,#173904,.F.); #222313=ORIENTED_EDGE('',*,*,#173905,.T.); #222314=ORIENTED_EDGE('',*,*,#173904,.T.); #222315=ORIENTED_EDGE('',*,*,#173906,.F.); #222316=ORIENTED_EDGE('',*,*,#173907,.F.); #222317=ORIENTED_EDGE('',*,*,#173908,.T.); #222318=ORIENTED_EDGE('',*,*,#173907,.T.); #222319=ORIENTED_EDGE('',*,*,#173909,.F.); #222320=ORIENTED_EDGE('',*,*,#173910,.F.); #222321=ORIENTED_EDGE('',*,*,#173911,.T.); #222322=ORIENTED_EDGE('',*,*,#173910,.T.); #222323=ORIENTED_EDGE('',*,*,#173912,.F.); #222324=ORIENTED_EDGE('',*,*,#173878,.F.); #222325=ORIENTED_EDGE('',*,*,#173912,.T.); #222326=ORIENTED_EDGE('',*,*,#173909,.T.); #222327=ORIENTED_EDGE('',*,*,#173906,.T.); #222328=ORIENTED_EDGE('',*,*,#173903,.T.); #222329=ORIENTED_EDGE('',*,*,#173900,.T.); #222330=ORIENTED_EDGE('',*,*,#173897,.T.); #222331=ORIENTED_EDGE('',*,*,#173894,.T.); #222332=ORIENTED_EDGE('',*,*,#173891,.T.); #222333=ORIENTED_EDGE('',*,*,#173888,.T.); #222334=ORIENTED_EDGE('',*,*,#173885,.T.); #222335=ORIENTED_EDGE('',*,*,#173882,.T.); #222336=ORIENTED_EDGE('',*,*,#173879,.T.); #222337=ORIENTED_EDGE('',*,*,#173876,.T.); #222338=ORIENTED_EDGE('',*,*,#173911,.F.); #222339=ORIENTED_EDGE('',*,*,#173877,.F.); #222340=ORIENTED_EDGE('',*,*,#173881,.F.); #222341=ORIENTED_EDGE('',*,*,#173884,.F.); #222342=ORIENTED_EDGE('',*,*,#173887,.F.); #222343=ORIENTED_EDGE('',*,*,#173890,.F.); #222344=ORIENTED_EDGE('',*,*,#173893,.F.); #222345=ORIENTED_EDGE('',*,*,#173896,.F.); #222346=ORIENTED_EDGE('',*,*,#173899,.F.); #222347=ORIENTED_EDGE('',*,*,#173902,.F.); #222348=ORIENTED_EDGE('',*,*,#173905,.F.); #222349=ORIENTED_EDGE('',*,*,#173908,.F.); #222350=ORIENTED_EDGE('',*,*,#173874,.T.); #222351=ORIENTED_EDGE('',*,*,#173913,.F.); #222352=ORIENTED_EDGE('',*,*,#173914,.T.); #222353=ORIENTED_EDGE('',*,*,#173915,.F.); #222354=ORIENTED_EDGE('',*,*,#173914,.F.); #222355=ORIENTED_EDGE('',*,*,#173916,.T.); #222356=ORIENTED_EDGE('',*,*,#173917,.T.); #222357=ORIENTED_EDGE('',*,*,#173918,.F.); #222358=ORIENTED_EDGE('',*,*,#173919,.F.); #222359=ORIENTED_EDGE('',*,*,#173920,.T.); #222360=ORIENTED_EDGE('',*,*,#173919,.T.); #222361=ORIENTED_EDGE('',*,*,#173921,.F.); #222362=ORIENTED_EDGE('',*,*,#173922,.F.); #222363=ORIENTED_EDGE('',*,*,#173923,.T.); #222364=ORIENTED_EDGE('',*,*,#173922,.T.); #222365=ORIENTED_EDGE('',*,*,#173924,.F.); #222366=ORIENTED_EDGE('',*,*,#173925,.F.); #222367=ORIENTED_EDGE('',*,*,#173926,.T.); #222368=ORIENTED_EDGE('',*,*,#173925,.T.); #222369=ORIENTED_EDGE('',*,*,#173927,.F.); #222370=ORIENTED_EDGE('',*,*,#173928,.F.); #222371=ORIENTED_EDGE('',*,*,#173929,.T.); #222372=ORIENTED_EDGE('',*,*,#173928,.T.); #222373=ORIENTED_EDGE('',*,*,#173930,.F.); #222374=ORIENTED_EDGE('',*,*,#173931,.F.); #222375=ORIENTED_EDGE('',*,*,#173932,.T.); #222376=ORIENTED_EDGE('',*,*,#173931,.T.); #222377=ORIENTED_EDGE('',*,*,#173933,.F.); #222378=ORIENTED_EDGE('',*,*,#173934,.F.); #222379=ORIENTED_EDGE('',*,*,#173935,.T.); #222380=ORIENTED_EDGE('',*,*,#173934,.T.); #222381=ORIENTED_EDGE('',*,*,#173936,.F.); #222382=ORIENTED_EDGE('',*,*,#173937,.F.); #222383=ORIENTED_EDGE('',*,*,#173938,.T.); #222384=ORIENTED_EDGE('',*,*,#173937,.T.); #222385=ORIENTED_EDGE('',*,*,#173939,.F.); #222386=ORIENTED_EDGE('',*,*,#173940,.F.); #222387=ORIENTED_EDGE('',*,*,#173941,.T.); #222388=ORIENTED_EDGE('',*,*,#173940,.T.); #222389=ORIENTED_EDGE('',*,*,#173942,.F.); #222390=ORIENTED_EDGE('',*,*,#173943,.F.); #222391=ORIENTED_EDGE('',*,*,#173944,.T.); #222392=ORIENTED_EDGE('',*,*,#173943,.T.); #222393=ORIENTED_EDGE('',*,*,#173945,.F.); #222394=ORIENTED_EDGE('',*,*,#173946,.F.); #222395=ORIENTED_EDGE('',*,*,#173947,.T.); #222396=ORIENTED_EDGE('',*,*,#173946,.T.); #222397=ORIENTED_EDGE('',*,*,#173948,.F.); #222398=ORIENTED_EDGE('',*,*,#173949,.F.); #222399=ORIENTED_EDGE('',*,*,#173950,.T.); #222400=ORIENTED_EDGE('',*,*,#173949,.T.); #222401=ORIENTED_EDGE('',*,*,#173951,.F.); #222402=ORIENTED_EDGE('',*,*,#173952,.F.); #222403=ORIENTED_EDGE('',*,*,#173953,.T.); #222404=ORIENTED_EDGE('',*,*,#173952,.T.); #222405=ORIENTED_EDGE('',*,*,#173954,.F.); #222406=ORIENTED_EDGE('',*,*,#173955,.F.); #222407=ORIENTED_EDGE('',*,*,#173956,.T.); #222408=ORIENTED_EDGE('',*,*,#173955,.T.); #222409=ORIENTED_EDGE('',*,*,#173957,.F.); #222410=ORIENTED_EDGE('',*,*,#173917,.F.); #222411=ORIENTED_EDGE('',*,*,#173957,.T.); #222412=ORIENTED_EDGE('',*,*,#173954,.T.); #222413=ORIENTED_EDGE('',*,*,#173951,.T.); #222414=ORIENTED_EDGE('',*,*,#173948,.T.); #222415=ORIENTED_EDGE('',*,*,#173945,.T.); #222416=ORIENTED_EDGE('',*,*,#173942,.T.); #222417=ORIENTED_EDGE('',*,*,#173939,.T.); #222418=ORIENTED_EDGE('',*,*,#173936,.T.); #222419=ORIENTED_EDGE('',*,*,#173933,.T.); #222420=ORIENTED_EDGE('',*,*,#173930,.T.); #222421=ORIENTED_EDGE('',*,*,#173927,.T.); #222422=ORIENTED_EDGE('',*,*,#173924,.T.); #222423=ORIENTED_EDGE('',*,*,#173921,.T.); #222424=ORIENTED_EDGE('',*,*,#173918,.T.); #222425=ORIENTED_EDGE('',*,*,#173915,.T.); #222426=ORIENTED_EDGE('',*,*,#173956,.F.); #222427=ORIENTED_EDGE('',*,*,#173916,.F.); #222428=ORIENTED_EDGE('',*,*,#173920,.F.); #222429=ORIENTED_EDGE('',*,*,#173923,.F.); #222430=ORIENTED_EDGE('',*,*,#173926,.F.); #222431=ORIENTED_EDGE('',*,*,#173929,.F.); #222432=ORIENTED_EDGE('',*,*,#173932,.F.); #222433=ORIENTED_EDGE('',*,*,#173935,.F.); #222434=ORIENTED_EDGE('',*,*,#173938,.F.); #222435=ORIENTED_EDGE('',*,*,#173941,.F.); #222436=ORIENTED_EDGE('',*,*,#173944,.F.); #222437=ORIENTED_EDGE('',*,*,#173947,.F.); #222438=ORIENTED_EDGE('',*,*,#173950,.F.); #222439=ORIENTED_EDGE('',*,*,#173953,.F.); #222440=ORIENTED_EDGE('',*,*,#173913,.T.); #222441=ORIENTED_EDGE('',*,*,#173958,.F.); #222442=ORIENTED_EDGE('',*,*,#173959,.T.); #222443=ORIENTED_EDGE('',*,*,#173960,.F.); #222444=ORIENTED_EDGE('',*,*,#173959,.F.); #222445=ORIENTED_EDGE('',*,*,#173961,.F.); #222446=ORIENTED_EDGE('',*,*,#173962,.T.); #222447=ORIENTED_EDGE('',*,*,#173963,.F.); #222448=ORIENTED_EDGE('',*,*,#173962,.F.); #222449=ORIENTED_EDGE('',*,*,#173964,.T.); #222450=ORIENTED_EDGE('',*,*,#173965,.T.); #222451=ORIENTED_EDGE('',*,*,#173966,.F.); #222452=ORIENTED_EDGE('',*,*,#173967,.F.); #222453=ORIENTED_EDGE('',*,*,#173968,.T.); #222454=ORIENTED_EDGE('',*,*,#173967,.T.); #222455=ORIENTED_EDGE('',*,*,#173969,.F.); #222456=ORIENTED_EDGE('',*,*,#173970,.F.); #222457=ORIENTED_EDGE('',*,*,#173971,.T.); #222458=ORIENTED_EDGE('',*,*,#173970,.T.); #222459=ORIENTED_EDGE('',*,*,#173972,.F.); #222460=ORIENTED_EDGE('',*,*,#173973,.F.); #222461=ORIENTED_EDGE('',*,*,#173974,.T.); #222462=ORIENTED_EDGE('',*,*,#173973,.T.); #222463=ORIENTED_EDGE('',*,*,#173975,.F.); #222464=ORIENTED_EDGE('',*,*,#173976,.F.); #222465=ORIENTED_EDGE('',*,*,#173977,.T.); #222466=ORIENTED_EDGE('',*,*,#173976,.T.); #222467=ORIENTED_EDGE('',*,*,#173978,.F.); #222468=ORIENTED_EDGE('',*,*,#173979,.F.); #222469=ORIENTED_EDGE('',*,*,#173980,.T.); #222470=ORIENTED_EDGE('',*,*,#173979,.T.); #222471=ORIENTED_EDGE('',*,*,#173981,.F.); #222472=ORIENTED_EDGE('',*,*,#173982,.F.); #222473=ORIENTED_EDGE('',*,*,#173983,.T.); #222474=ORIENTED_EDGE('',*,*,#173982,.T.); #222475=ORIENTED_EDGE('',*,*,#173984,.F.); #222476=ORIENTED_EDGE('',*,*,#173985,.F.); #222477=ORIENTED_EDGE('',*,*,#173986,.T.); #222478=ORIENTED_EDGE('',*,*,#173985,.T.); #222479=ORIENTED_EDGE('',*,*,#173987,.F.); #222480=ORIENTED_EDGE('',*,*,#173965,.F.); #222481=ORIENTED_EDGE('',*,*,#173987,.T.); #222482=ORIENTED_EDGE('',*,*,#173984,.T.); #222483=ORIENTED_EDGE('',*,*,#173981,.T.); #222484=ORIENTED_EDGE('',*,*,#173978,.T.); #222485=ORIENTED_EDGE('',*,*,#173975,.T.); #222486=ORIENTED_EDGE('',*,*,#173972,.T.); #222487=ORIENTED_EDGE('',*,*,#173969,.T.); #222488=ORIENTED_EDGE('',*,*,#173966,.T.); #222489=ORIENTED_EDGE('',*,*,#173960,.T.); #222490=ORIENTED_EDGE('',*,*,#173963,.T.); #222491=ORIENTED_EDGE('',*,*,#173986,.F.); #222492=ORIENTED_EDGE('',*,*,#173964,.F.); #222493=ORIENTED_EDGE('',*,*,#173968,.F.); #222494=ORIENTED_EDGE('',*,*,#173971,.F.); #222495=ORIENTED_EDGE('',*,*,#173974,.F.); #222496=ORIENTED_EDGE('',*,*,#173977,.F.); #222497=ORIENTED_EDGE('',*,*,#173980,.F.); #222498=ORIENTED_EDGE('',*,*,#173983,.F.); #222499=ORIENTED_EDGE('',*,*,#173958,.T.); #222500=ORIENTED_EDGE('',*,*,#173961,.T.); #222501=ORIENTED_EDGE('',*,*,#173988,.F.); #222502=ORIENTED_EDGE('',*,*,#173989,.T.); #222503=ORIENTED_EDGE('',*,*,#173990,.F.); #222504=ORIENTED_EDGE('',*,*,#173989,.F.); #222505=ORIENTED_EDGE('',*,*,#173991,.T.); #222506=ORIENTED_EDGE('',*,*,#173992,.T.); #222507=ORIENTED_EDGE('',*,*,#173993,.F.); #222508=ORIENTED_EDGE('',*,*,#173994,.F.); #222509=ORIENTED_EDGE('',*,*,#173995,.T.); #222510=ORIENTED_EDGE('',*,*,#173994,.T.); #222511=ORIENTED_EDGE('',*,*,#173996,.F.); #222512=ORIENTED_EDGE('',*,*,#173997,.F.); #222513=ORIENTED_EDGE('',*,*,#173998,.T.); #222514=ORIENTED_EDGE('',*,*,#173997,.T.); #222515=ORIENTED_EDGE('',*,*,#173999,.F.); #222516=ORIENTED_EDGE('',*,*,#174000,.F.); #222517=ORIENTED_EDGE('',*,*,#174001,.T.); #222518=ORIENTED_EDGE('',*,*,#174000,.T.); #222519=ORIENTED_EDGE('',*,*,#174002,.F.); #222520=ORIENTED_EDGE('',*,*,#174003,.F.); #222521=ORIENTED_EDGE('',*,*,#174004,.T.); #222522=ORIENTED_EDGE('',*,*,#174003,.T.); #222523=ORIENTED_EDGE('',*,*,#174005,.F.); #222524=ORIENTED_EDGE('',*,*,#174006,.F.); #222525=ORIENTED_EDGE('',*,*,#174007,.T.); #222526=ORIENTED_EDGE('',*,*,#174006,.T.); #222527=ORIENTED_EDGE('',*,*,#174008,.F.); #222528=ORIENTED_EDGE('',*,*,#174009,.F.); #222529=ORIENTED_EDGE('',*,*,#174010,.T.); #222530=ORIENTED_EDGE('',*,*,#174009,.T.); #222531=ORIENTED_EDGE('',*,*,#174011,.F.); #222532=ORIENTED_EDGE('',*,*,#174012,.F.); #222533=ORIENTED_EDGE('',*,*,#174013,.T.); #222534=ORIENTED_EDGE('',*,*,#174012,.T.); #222535=ORIENTED_EDGE('',*,*,#174014,.F.); #222536=ORIENTED_EDGE('',*,*,#174015,.F.); #222537=ORIENTED_EDGE('',*,*,#174016,.T.); #222538=ORIENTED_EDGE('',*,*,#174015,.T.); #222539=ORIENTED_EDGE('',*,*,#174017,.F.); #222540=ORIENTED_EDGE('',*,*,#174018,.F.); #222541=ORIENTED_EDGE('',*,*,#174019,.T.); #222542=ORIENTED_EDGE('',*,*,#174018,.T.); #222543=ORIENTED_EDGE('',*,*,#174020,.F.); #222544=ORIENTED_EDGE('',*,*,#174021,.F.); #222545=ORIENTED_EDGE('',*,*,#174022,.T.); #222546=ORIENTED_EDGE('',*,*,#174021,.T.); #222547=ORIENTED_EDGE('',*,*,#174023,.F.); #222548=ORIENTED_EDGE('',*,*,#174024,.F.); #222549=ORIENTED_EDGE('',*,*,#174025,.T.); #222550=ORIENTED_EDGE('',*,*,#174024,.T.); #222551=ORIENTED_EDGE('',*,*,#174026,.F.); #222552=ORIENTED_EDGE('',*,*,#174027,.F.); #222553=ORIENTED_EDGE('',*,*,#174028,.T.); #222554=ORIENTED_EDGE('',*,*,#174027,.T.); #222555=ORIENTED_EDGE('',*,*,#174029,.F.); #222556=ORIENTED_EDGE('',*,*,#174030,.F.); #222557=ORIENTED_EDGE('',*,*,#174031,.T.); #222558=ORIENTED_EDGE('',*,*,#174030,.T.); #222559=ORIENTED_EDGE('',*,*,#174032,.F.); #222560=ORIENTED_EDGE('',*,*,#174033,.F.); #222561=ORIENTED_EDGE('',*,*,#174034,.T.); #222562=ORIENTED_EDGE('',*,*,#174033,.T.); #222563=ORIENTED_EDGE('',*,*,#174035,.F.); #222564=ORIENTED_EDGE('',*,*,#174036,.F.); #222565=ORIENTED_EDGE('',*,*,#174037,.T.); #222566=ORIENTED_EDGE('',*,*,#174036,.T.); #222567=ORIENTED_EDGE('',*,*,#174038,.F.); #222568=ORIENTED_EDGE('',*,*,#173992,.F.); #222569=ORIENTED_EDGE('',*,*,#174038,.T.); #222570=ORIENTED_EDGE('',*,*,#174035,.T.); #222571=ORIENTED_EDGE('',*,*,#174032,.T.); #222572=ORIENTED_EDGE('',*,*,#174029,.T.); #222573=ORIENTED_EDGE('',*,*,#174026,.T.); #222574=ORIENTED_EDGE('',*,*,#174023,.T.); #222575=ORIENTED_EDGE('',*,*,#174020,.T.); #222576=ORIENTED_EDGE('',*,*,#174017,.T.); #222577=ORIENTED_EDGE('',*,*,#174014,.T.); #222578=ORIENTED_EDGE('',*,*,#174011,.T.); #222579=ORIENTED_EDGE('',*,*,#174008,.T.); #222580=ORIENTED_EDGE('',*,*,#174005,.T.); #222581=ORIENTED_EDGE('',*,*,#174002,.T.); #222582=ORIENTED_EDGE('',*,*,#173999,.T.); #222583=ORIENTED_EDGE('',*,*,#173996,.T.); #222584=ORIENTED_EDGE('',*,*,#173993,.T.); #222585=ORIENTED_EDGE('',*,*,#173990,.T.); #222586=ORIENTED_EDGE('',*,*,#174037,.F.); #222587=ORIENTED_EDGE('',*,*,#173991,.F.); #222588=ORIENTED_EDGE('',*,*,#173995,.F.); #222589=ORIENTED_EDGE('',*,*,#173998,.F.); #222590=ORIENTED_EDGE('',*,*,#174001,.F.); #222591=ORIENTED_EDGE('',*,*,#174004,.F.); #222592=ORIENTED_EDGE('',*,*,#174007,.F.); #222593=ORIENTED_EDGE('',*,*,#174010,.F.); #222594=ORIENTED_EDGE('',*,*,#174013,.F.); #222595=ORIENTED_EDGE('',*,*,#174016,.F.); #222596=ORIENTED_EDGE('',*,*,#174019,.F.); #222597=ORIENTED_EDGE('',*,*,#174022,.F.); #222598=ORIENTED_EDGE('',*,*,#174025,.F.); #222599=ORIENTED_EDGE('',*,*,#174028,.F.); #222600=ORIENTED_EDGE('',*,*,#174031,.F.); #222601=ORIENTED_EDGE('',*,*,#174034,.F.); #222602=ORIENTED_EDGE('',*,*,#173988,.T.); #222603=ORIENTED_EDGE('',*,*,#174039,.F.); #222604=ORIENTED_EDGE('',*,*,#174040,.T.); #222605=ORIENTED_EDGE('',*,*,#174041,.F.); #222606=ORIENTED_EDGE('',*,*,#174040,.F.); #222607=ORIENTED_EDGE('',*,*,#174042,.T.); #222608=ORIENTED_EDGE('',*,*,#174043,.T.); #222609=ORIENTED_EDGE('',*,*,#174044,.F.); #222610=ORIENTED_EDGE('',*,*,#174045,.F.); #222611=ORIENTED_EDGE('',*,*,#174046,.T.); #222612=ORIENTED_EDGE('',*,*,#174045,.T.); #222613=ORIENTED_EDGE('',*,*,#174047,.F.); #222614=ORIENTED_EDGE('',*,*,#174048,.F.); #222615=ORIENTED_EDGE('',*,*,#174049,.T.); #222616=ORIENTED_EDGE('',*,*,#174048,.T.); #222617=ORIENTED_EDGE('',*,*,#174050,.F.); #222618=ORIENTED_EDGE('',*,*,#174051,.F.); #222619=ORIENTED_EDGE('',*,*,#174052,.T.); #222620=ORIENTED_EDGE('',*,*,#174051,.T.); #222621=ORIENTED_EDGE('',*,*,#174053,.F.); #222622=ORIENTED_EDGE('',*,*,#174054,.F.); #222623=ORIENTED_EDGE('',*,*,#174055,.T.); #222624=ORIENTED_EDGE('',*,*,#174054,.T.); #222625=ORIENTED_EDGE('',*,*,#174056,.F.); #222626=ORIENTED_EDGE('',*,*,#174057,.F.); #222627=ORIENTED_EDGE('',*,*,#174058,.T.); #222628=ORIENTED_EDGE('',*,*,#174057,.T.); #222629=ORIENTED_EDGE('',*,*,#174059,.F.); #222630=ORIENTED_EDGE('',*,*,#174060,.F.); #222631=ORIENTED_EDGE('',*,*,#174061,.T.); #222632=ORIENTED_EDGE('',*,*,#174060,.T.); #222633=ORIENTED_EDGE('',*,*,#174062,.F.); #222634=ORIENTED_EDGE('',*,*,#174063,.F.); #222635=ORIENTED_EDGE('',*,*,#174064,.T.); #222636=ORIENTED_EDGE('',*,*,#174063,.T.); #222637=ORIENTED_EDGE('',*,*,#174065,.F.); #222638=ORIENTED_EDGE('',*,*,#174066,.F.); #222639=ORIENTED_EDGE('',*,*,#174067,.T.); #222640=ORIENTED_EDGE('',*,*,#174066,.T.); #222641=ORIENTED_EDGE('',*,*,#174068,.F.); #222642=ORIENTED_EDGE('',*,*,#174069,.F.); #222643=ORIENTED_EDGE('',*,*,#174070,.T.); #222644=ORIENTED_EDGE('',*,*,#174069,.T.); #222645=ORIENTED_EDGE('',*,*,#174071,.F.); #222646=ORIENTED_EDGE('',*,*,#174072,.F.); #222647=ORIENTED_EDGE('',*,*,#174073,.T.); #222648=ORIENTED_EDGE('',*,*,#174072,.T.); #222649=ORIENTED_EDGE('',*,*,#174074,.F.); #222650=ORIENTED_EDGE('',*,*,#174075,.F.); #222651=ORIENTED_EDGE('',*,*,#174076,.T.); #222652=ORIENTED_EDGE('',*,*,#174075,.T.); #222653=ORIENTED_EDGE('',*,*,#174077,.F.); #222654=ORIENTED_EDGE('',*,*,#174078,.F.); #222655=ORIENTED_EDGE('',*,*,#174079,.T.); #222656=ORIENTED_EDGE('',*,*,#174078,.T.); #222657=ORIENTED_EDGE('',*,*,#174080,.F.); #222658=ORIENTED_EDGE('',*,*,#174081,.F.); #222659=ORIENTED_EDGE('',*,*,#174082,.T.); #222660=ORIENTED_EDGE('',*,*,#174081,.T.); #222661=ORIENTED_EDGE('',*,*,#174083,.F.); #222662=ORIENTED_EDGE('',*,*,#174084,.F.); #222663=ORIENTED_EDGE('',*,*,#174085,.T.); #222664=ORIENTED_EDGE('',*,*,#174084,.T.); #222665=ORIENTED_EDGE('',*,*,#174086,.F.); #222666=ORIENTED_EDGE('',*,*,#174087,.F.); #222667=ORIENTED_EDGE('',*,*,#174088,.T.); #222668=ORIENTED_EDGE('',*,*,#174087,.T.); #222669=ORIENTED_EDGE('',*,*,#174089,.F.); #222670=ORIENTED_EDGE('',*,*,#174090,.F.); #222671=ORIENTED_EDGE('',*,*,#174091,.T.); #222672=ORIENTED_EDGE('',*,*,#174090,.T.); #222673=ORIENTED_EDGE('',*,*,#174092,.F.); #222674=ORIENTED_EDGE('',*,*,#174093,.F.); #222675=ORIENTED_EDGE('',*,*,#174094,.T.); #222676=ORIENTED_EDGE('',*,*,#174093,.T.); #222677=ORIENTED_EDGE('',*,*,#174095,.F.); #222678=ORIENTED_EDGE('',*,*,#174096,.F.); #222679=ORIENTED_EDGE('',*,*,#174097,.T.); #222680=ORIENTED_EDGE('',*,*,#174096,.T.); #222681=ORIENTED_EDGE('',*,*,#174098,.F.); #222682=ORIENTED_EDGE('',*,*,#174099,.F.); #222683=ORIENTED_EDGE('',*,*,#174100,.T.); #222684=ORIENTED_EDGE('',*,*,#174099,.T.); #222685=ORIENTED_EDGE('',*,*,#174101,.F.); #222686=ORIENTED_EDGE('',*,*,#174102,.F.); #222687=ORIENTED_EDGE('',*,*,#174103,.T.); #222688=ORIENTED_EDGE('',*,*,#174102,.T.); #222689=ORIENTED_EDGE('',*,*,#174104,.F.); #222690=ORIENTED_EDGE('',*,*,#174105,.F.); #222691=ORIENTED_EDGE('',*,*,#174106,.T.); #222692=ORIENTED_EDGE('',*,*,#174105,.T.); #222693=ORIENTED_EDGE('',*,*,#174107,.F.); #222694=ORIENTED_EDGE('',*,*,#174043,.F.); #222695=ORIENTED_EDGE('',*,*,#174107,.T.); #222696=ORIENTED_EDGE('',*,*,#174104,.T.); #222697=ORIENTED_EDGE('',*,*,#174101,.T.); #222698=ORIENTED_EDGE('',*,*,#174098,.T.); #222699=ORIENTED_EDGE('',*,*,#174095,.T.); #222700=ORIENTED_EDGE('',*,*,#174092,.T.); #222701=ORIENTED_EDGE('',*,*,#174089,.T.); #222702=ORIENTED_EDGE('',*,*,#174086,.T.); #222703=ORIENTED_EDGE('',*,*,#174083,.T.); #222704=ORIENTED_EDGE('',*,*,#174080,.T.); #222705=ORIENTED_EDGE('',*,*,#174077,.T.); #222706=ORIENTED_EDGE('',*,*,#174074,.T.); #222707=ORIENTED_EDGE('',*,*,#174071,.T.); #222708=ORIENTED_EDGE('',*,*,#174068,.T.); #222709=ORIENTED_EDGE('',*,*,#174065,.T.); #222710=ORIENTED_EDGE('',*,*,#174062,.T.); #222711=ORIENTED_EDGE('',*,*,#174059,.T.); #222712=ORIENTED_EDGE('',*,*,#174056,.T.); #222713=ORIENTED_EDGE('',*,*,#174053,.T.); #222714=ORIENTED_EDGE('',*,*,#174050,.T.); #222715=ORIENTED_EDGE('',*,*,#174047,.T.); #222716=ORIENTED_EDGE('',*,*,#174044,.T.); #222717=ORIENTED_EDGE('',*,*,#174041,.T.); #222718=ORIENTED_EDGE('',*,*,#174106,.F.); #222719=ORIENTED_EDGE('',*,*,#174042,.F.); #222720=ORIENTED_EDGE('',*,*,#174046,.F.); #222721=ORIENTED_EDGE('',*,*,#174049,.F.); #222722=ORIENTED_EDGE('',*,*,#174052,.F.); #222723=ORIENTED_EDGE('',*,*,#174055,.F.); #222724=ORIENTED_EDGE('',*,*,#174058,.F.); #222725=ORIENTED_EDGE('',*,*,#174061,.F.); #222726=ORIENTED_EDGE('',*,*,#174064,.F.); #222727=ORIENTED_EDGE('',*,*,#174067,.F.); #222728=ORIENTED_EDGE('',*,*,#174070,.F.); #222729=ORIENTED_EDGE('',*,*,#174073,.F.); #222730=ORIENTED_EDGE('',*,*,#174076,.F.); #222731=ORIENTED_EDGE('',*,*,#174079,.F.); #222732=ORIENTED_EDGE('',*,*,#174082,.F.); #222733=ORIENTED_EDGE('',*,*,#174085,.F.); #222734=ORIENTED_EDGE('',*,*,#174088,.F.); #222735=ORIENTED_EDGE('',*,*,#174091,.F.); #222736=ORIENTED_EDGE('',*,*,#174094,.F.); #222737=ORIENTED_EDGE('',*,*,#174097,.F.); #222738=ORIENTED_EDGE('',*,*,#174100,.F.); #222739=ORIENTED_EDGE('',*,*,#174103,.F.); #222740=ORIENTED_EDGE('',*,*,#174039,.T.); #222741=ORIENTED_EDGE('',*,*,#174108,.T.); #222742=ORIENTED_EDGE('',*,*,#174109,.T.); #222743=ORIENTED_EDGE('',*,*,#174110,.F.); #222744=ORIENTED_EDGE('',*,*,#174111,.F.); #222745=ORIENTED_EDGE('',*,*,#174112,.T.); #222746=ORIENTED_EDGE('',*,*,#174111,.T.); #222747=ORIENTED_EDGE('',*,*,#174113,.F.); #222748=ORIENTED_EDGE('',*,*,#174114,.F.); #222749=ORIENTED_EDGE('',*,*,#174115,.T.); #222750=ORIENTED_EDGE('',*,*,#174114,.T.); #222751=ORIENTED_EDGE('',*,*,#174116,.F.); #222752=ORIENTED_EDGE('',*,*,#174117,.F.); #222753=ORIENTED_EDGE('',*,*,#174118,.T.); #222754=ORIENTED_EDGE('',*,*,#174117,.T.); #222755=ORIENTED_EDGE('',*,*,#174119,.F.); #222756=ORIENTED_EDGE('',*,*,#174120,.F.); #222757=ORIENTED_EDGE('',*,*,#174121,.T.); #222758=ORIENTED_EDGE('',*,*,#174120,.T.); #222759=ORIENTED_EDGE('',*,*,#174122,.F.); #222760=ORIENTED_EDGE('',*,*,#174123,.F.); #222761=ORIENTED_EDGE('',*,*,#174124,.T.); #222762=ORIENTED_EDGE('',*,*,#174123,.T.); #222763=ORIENTED_EDGE('',*,*,#174125,.F.); #222764=ORIENTED_EDGE('',*,*,#174126,.F.); #222765=ORIENTED_EDGE('',*,*,#174127,.T.); #222766=ORIENTED_EDGE('',*,*,#174126,.T.); #222767=ORIENTED_EDGE('',*,*,#174128,.F.); #222768=ORIENTED_EDGE('',*,*,#174129,.F.); #222769=ORIENTED_EDGE('',*,*,#174130,.T.); #222770=ORIENTED_EDGE('',*,*,#174129,.T.); #222771=ORIENTED_EDGE('',*,*,#174131,.F.); #222772=ORIENTED_EDGE('',*,*,#174132,.F.); #222773=ORIENTED_EDGE('',*,*,#174133,.T.); #222774=ORIENTED_EDGE('',*,*,#174132,.T.); #222775=ORIENTED_EDGE('',*,*,#174134,.F.); #222776=ORIENTED_EDGE('',*,*,#174135,.F.); #222777=ORIENTED_EDGE('',*,*,#174136,.T.); #222778=ORIENTED_EDGE('',*,*,#174135,.T.); #222779=ORIENTED_EDGE('',*,*,#174137,.F.); #222780=ORIENTED_EDGE('',*,*,#174138,.F.); #222781=ORIENTED_EDGE('',*,*,#174139,.T.); #222782=ORIENTED_EDGE('',*,*,#174138,.T.); #222783=ORIENTED_EDGE('',*,*,#174140,.F.); #222784=ORIENTED_EDGE('',*,*,#174141,.F.); #222785=ORIENTED_EDGE('',*,*,#174142,.T.); #222786=ORIENTED_EDGE('',*,*,#174141,.T.); #222787=ORIENTED_EDGE('',*,*,#174143,.F.); #222788=ORIENTED_EDGE('',*,*,#174144,.F.); #222789=ORIENTED_EDGE('',*,*,#174145,.T.); #222790=ORIENTED_EDGE('',*,*,#174144,.T.); #222791=ORIENTED_EDGE('',*,*,#174146,.F.); #222792=ORIENTED_EDGE('',*,*,#174147,.F.); #222793=ORIENTED_EDGE('',*,*,#174148,.T.); #222794=ORIENTED_EDGE('',*,*,#174147,.T.); #222795=ORIENTED_EDGE('',*,*,#174149,.F.); #222796=ORIENTED_EDGE('',*,*,#174150,.F.); #222797=ORIENTED_EDGE('',*,*,#174151,.T.); #222798=ORIENTED_EDGE('',*,*,#174150,.T.); #222799=ORIENTED_EDGE('',*,*,#174152,.F.); #222800=ORIENTED_EDGE('',*,*,#174153,.F.); #222801=ORIENTED_EDGE('',*,*,#174154,.T.); #222802=ORIENTED_EDGE('',*,*,#174153,.T.); #222803=ORIENTED_EDGE('',*,*,#174155,.F.); #222804=ORIENTED_EDGE('',*,*,#174156,.F.); #222805=ORIENTED_EDGE('',*,*,#174157,.T.); #222806=ORIENTED_EDGE('',*,*,#174156,.T.); #222807=ORIENTED_EDGE('',*,*,#174158,.F.); #222808=ORIENTED_EDGE('',*,*,#174159,.F.); #222809=ORIENTED_EDGE('',*,*,#174160,.T.); #222810=ORIENTED_EDGE('',*,*,#174159,.T.); #222811=ORIENTED_EDGE('',*,*,#174161,.F.); #222812=ORIENTED_EDGE('',*,*,#174162,.F.); #222813=ORIENTED_EDGE('',*,*,#174163,.T.); #222814=ORIENTED_EDGE('',*,*,#174162,.T.); #222815=ORIENTED_EDGE('',*,*,#174164,.F.); #222816=ORIENTED_EDGE('',*,*,#174165,.F.); #222817=ORIENTED_EDGE('',*,*,#174166,.T.); #222818=ORIENTED_EDGE('',*,*,#174165,.T.); #222819=ORIENTED_EDGE('',*,*,#174167,.F.); #222820=ORIENTED_EDGE('',*,*,#174168,.F.); #222821=ORIENTED_EDGE('',*,*,#174169,.T.); #222822=ORIENTED_EDGE('',*,*,#174168,.T.); #222823=ORIENTED_EDGE('',*,*,#174170,.F.); #222824=ORIENTED_EDGE('',*,*,#174171,.F.); #222825=ORIENTED_EDGE('',*,*,#174172,.T.); #222826=ORIENTED_EDGE('',*,*,#174171,.T.); #222827=ORIENTED_EDGE('',*,*,#174173,.F.); #222828=ORIENTED_EDGE('',*,*,#174174,.F.); #222829=ORIENTED_EDGE('',*,*,#174175,.T.); #222830=ORIENTED_EDGE('',*,*,#174174,.T.); #222831=ORIENTED_EDGE('',*,*,#174176,.F.); #222832=ORIENTED_EDGE('',*,*,#174177,.F.); #222833=ORIENTED_EDGE('',*,*,#174178,.T.); #222834=ORIENTED_EDGE('',*,*,#174177,.T.); #222835=ORIENTED_EDGE('',*,*,#174179,.F.); #222836=ORIENTED_EDGE('',*,*,#174180,.F.); #222837=ORIENTED_EDGE('',*,*,#174181,.T.); #222838=ORIENTED_EDGE('',*,*,#174180,.T.); #222839=ORIENTED_EDGE('',*,*,#174182,.F.); #222840=ORIENTED_EDGE('',*,*,#174183,.F.); #222841=ORIENTED_EDGE('',*,*,#174184,.T.); #222842=ORIENTED_EDGE('',*,*,#174183,.T.); #222843=ORIENTED_EDGE('',*,*,#174185,.F.); #222844=ORIENTED_EDGE('',*,*,#174109,.F.); #222845=ORIENTED_EDGE('',*,*,#174185,.T.); #222846=ORIENTED_EDGE('',*,*,#174182,.T.); #222847=ORIENTED_EDGE('',*,*,#174179,.T.); #222848=ORIENTED_EDGE('',*,*,#174176,.T.); #222849=ORIENTED_EDGE('',*,*,#174173,.T.); #222850=ORIENTED_EDGE('',*,*,#174170,.T.); #222851=ORIENTED_EDGE('',*,*,#174167,.T.); #222852=ORIENTED_EDGE('',*,*,#174164,.T.); #222853=ORIENTED_EDGE('',*,*,#174161,.T.); #222854=ORIENTED_EDGE('',*,*,#174158,.T.); #222855=ORIENTED_EDGE('',*,*,#174155,.T.); #222856=ORIENTED_EDGE('',*,*,#174152,.T.); #222857=ORIENTED_EDGE('',*,*,#174149,.T.); #222858=ORIENTED_EDGE('',*,*,#174146,.T.); #222859=ORIENTED_EDGE('',*,*,#174143,.T.); #222860=ORIENTED_EDGE('',*,*,#174140,.T.); #222861=ORIENTED_EDGE('',*,*,#174137,.T.); #222862=ORIENTED_EDGE('',*,*,#174134,.T.); #222863=ORIENTED_EDGE('',*,*,#174131,.T.); #222864=ORIENTED_EDGE('',*,*,#174128,.T.); #222865=ORIENTED_EDGE('',*,*,#174125,.T.); #222866=ORIENTED_EDGE('',*,*,#174122,.T.); #222867=ORIENTED_EDGE('',*,*,#174119,.T.); #222868=ORIENTED_EDGE('',*,*,#174116,.T.); #222869=ORIENTED_EDGE('',*,*,#174113,.T.); #222870=ORIENTED_EDGE('',*,*,#174110,.T.); #222871=ORIENTED_EDGE('',*,*,#174184,.F.); #222872=ORIENTED_EDGE('',*,*,#174108,.F.); #222873=ORIENTED_EDGE('',*,*,#174112,.F.); #222874=ORIENTED_EDGE('',*,*,#174115,.F.); #222875=ORIENTED_EDGE('',*,*,#174118,.F.); #222876=ORIENTED_EDGE('',*,*,#174121,.F.); #222877=ORIENTED_EDGE('',*,*,#174124,.F.); #222878=ORIENTED_EDGE('',*,*,#174127,.F.); #222879=ORIENTED_EDGE('',*,*,#174130,.F.); #222880=ORIENTED_EDGE('',*,*,#174133,.F.); #222881=ORIENTED_EDGE('',*,*,#174136,.F.); #222882=ORIENTED_EDGE('',*,*,#174139,.F.); #222883=ORIENTED_EDGE('',*,*,#174142,.F.); #222884=ORIENTED_EDGE('',*,*,#174145,.F.); #222885=ORIENTED_EDGE('',*,*,#174148,.F.); #222886=ORIENTED_EDGE('',*,*,#174151,.F.); #222887=ORIENTED_EDGE('',*,*,#174154,.F.); #222888=ORIENTED_EDGE('',*,*,#174157,.F.); #222889=ORIENTED_EDGE('',*,*,#174160,.F.); #222890=ORIENTED_EDGE('',*,*,#174163,.F.); #222891=ORIENTED_EDGE('',*,*,#174166,.F.); #222892=ORIENTED_EDGE('',*,*,#174169,.F.); #222893=ORIENTED_EDGE('',*,*,#174172,.F.); #222894=ORIENTED_EDGE('',*,*,#174175,.F.); #222895=ORIENTED_EDGE('',*,*,#174178,.F.); #222896=ORIENTED_EDGE('',*,*,#174181,.F.); #222897=ORIENTED_EDGE('',*,*,#174186,.F.); #222898=ORIENTED_EDGE('',*,*,#174187,.T.); #222899=ORIENTED_EDGE('',*,*,#174188,.F.); #222900=ORIENTED_EDGE('',*,*,#174187,.F.); #222901=ORIENTED_EDGE('',*,*,#174189,.T.); #222902=ORIENTED_EDGE('',*,*,#174190,.T.); #222903=ORIENTED_EDGE('',*,*,#174191,.F.); #222904=ORIENTED_EDGE('',*,*,#174192,.F.); #222905=ORIENTED_EDGE('',*,*,#174193,.T.); #222906=ORIENTED_EDGE('',*,*,#174192,.T.); #222907=ORIENTED_EDGE('',*,*,#174194,.F.); #222908=ORIENTED_EDGE('',*,*,#174195,.F.); #222909=ORIENTED_EDGE('',*,*,#174196,.T.); #222910=ORIENTED_EDGE('',*,*,#174195,.T.); #222911=ORIENTED_EDGE('',*,*,#174197,.F.); #222912=ORIENTED_EDGE('',*,*,#174198,.F.); #222913=ORIENTED_EDGE('',*,*,#174199,.T.); #222914=ORIENTED_EDGE('',*,*,#174198,.T.); #222915=ORIENTED_EDGE('',*,*,#174200,.F.); #222916=ORIENTED_EDGE('',*,*,#174201,.F.); #222917=ORIENTED_EDGE('',*,*,#174202,.T.); #222918=ORIENTED_EDGE('',*,*,#174201,.T.); #222919=ORIENTED_EDGE('',*,*,#174203,.F.); #222920=ORIENTED_EDGE('',*,*,#174204,.F.); #222921=ORIENTED_EDGE('',*,*,#174205,.T.); #222922=ORIENTED_EDGE('',*,*,#174204,.T.); #222923=ORIENTED_EDGE('',*,*,#174206,.F.); #222924=ORIENTED_EDGE('',*,*,#174207,.F.); #222925=ORIENTED_EDGE('',*,*,#174208,.T.); #222926=ORIENTED_EDGE('',*,*,#174207,.T.); #222927=ORIENTED_EDGE('',*,*,#174209,.F.); #222928=ORIENTED_EDGE('',*,*,#174210,.F.); #222929=ORIENTED_EDGE('',*,*,#174211,.T.); #222930=ORIENTED_EDGE('',*,*,#174210,.T.); #222931=ORIENTED_EDGE('',*,*,#174212,.F.); #222932=ORIENTED_EDGE('',*,*,#174213,.F.); #222933=ORIENTED_EDGE('',*,*,#174214,.T.); #222934=ORIENTED_EDGE('',*,*,#174213,.T.); #222935=ORIENTED_EDGE('',*,*,#174215,.F.); #222936=ORIENTED_EDGE('',*,*,#174216,.F.); #222937=ORIENTED_EDGE('',*,*,#174217,.T.); #222938=ORIENTED_EDGE('',*,*,#174216,.T.); #222939=ORIENTED_EDGE('',*,*,#174218,.F.); #222940=ORIENTED_EDGE('',*,*,#174219,.F.); #222941=ORIENTED_EDGE('',*,*,#174220,.T.); #222942=ORIENTED_EDGE('',*,*,#174219,.T.); #222943=ORIENTED_EDGE('',*,*,#174221,.F.); #222944=ORIENTED_EDGE('',*,*,#174222,.F.); #222945=ORIENTED_EDGE('',*,*,#174223,.T.); #222946=ORIENTED_EDGE('',*,*,#174222,.T.); #222947=ORIENTED_EDGE('',*,*,#174224,.F.); #222948=ORIENTED_EDGE('',*,*,#174225,.F.); #222949=ORIENTED_EDGE('',*,*,#174226,.T.); #222950=ORIENTED_EDGE('',*,*,#174225,.T.); #222951=ORIENTED_EDGE('',*,*,#174227,.F.); #222952=ORIENTED_EDGE('',*,*,#174228,.F.); #222953=ORIENTED_EDGE('',*,*,#174229,.T.); #222954=ORIENTED_EDGE('',*,*,#174228,.T.); #222955=ORIENTED_EDGE('',*,*,#174230,.F.); #222956=ORIENTED_EDGE('',*,*,#174231,.F.); #222957=ORIENTED_EDGE('',*,*,#174232,.T.); #222958=ORIENTED_EDGE('',*,*,#174231,.T.); #222959=ORIENTED_EDGE('',*,*,#174233,.F.); #222960=ORIENTED_EDGE('',*,*,#174234,.F.); #222961=ORIENTED_EDGE('',*,*,#174235,.T.); #222962=ORIENTED_EDGE('',*,*,#174234,.T.); #222963=ORIENTED_EDGE('',*,*,#174236,.F.); #222964=ORIENTED_EDGE('',*,*,#174237,.F.); #222965=ORIENTED_EDGE('',*,*,#174238,.T.); #222966=ORIENTED_EDGE('',*,*,#174237,.T.); #222967=ORIENTED_EDGE('',*,*,#174239,.F.); #222968=ORIENTED_EDGE('',*,*,#174240,.F.); #222969=ORIENTED_EDGE('',*,*,#174241,.T.); #222970=ORIENTED_EDGE('',*,*,#174240,.T.); #222971=ORIENTED_EDGE('',*,*,#174242,.F.); #222972=ORIENTED_EDGE('',*,*,#174243,.F.); #222973=ORIENTED_EDGE('',*,*,#174244,.T.); #222974=ORIENTED_EDGE('',*,*,#174243,.T.); #222975=ORIENTED_EDGE('',*,*,#174245,.F.); #222976=ORIENTED_EDGE('',*,*,#174246,.F.); #222977=ORIENTED_EDGE('',*,*,#174247,.T.); #222978=ORIENTED_EDGE('',*,*,#174246,.T.); #222979=ORIENTED_EDGE('',*,*,#174248,.F.); #222980=ORIENTED_EDGE('',*,*,#174249,.F.); #222981=ORIENTED_EDGE('',*,*,#174250,.T.); #222982=ORIENTED_EDGE('',*,*,#174249,.T.); #222983=ORIENTED_EDGE('',*,*,#174251,.F.); #222984=ORIENTED_EDGE('',*,*,#174252,.F.); #222985=ORIENTED_EDGE('',*,*,#174253,.T.); #222986=ORIENTED_EDGE('',*,*,#174252,.T.); #222987=ORIENTED_EDGE('',*,*,#174254,.F.); #222988=ORIENTED_EDGE('',*,*,#174255,.F.); #222989=ORIENTED_EDGE('',*,*,#174256,.T.); #222990=ORIENTED_EDGE('',*,*,#174255,.T.); #222991=ORIENTED_EDGE('',*,*,#174257,.F.); #222992=ORIENTED_EDGE('',*,*,#174258,.F.); #222993=ORIENTED_EDGE('',*,*,#174259,.T.); #222994=ORIENTED_EDGE('',*,*,#174258,.T.); #222995=ORIENTED_EDGE('',*,*,#174260,.F.); #222996=ORIENTED_EDGE('',*,*,#174190,.F.); #222997=ORIENTED_EDGE('',*,*,#174260,.T.); #222998=ORIENTED_EDGE('',*,*,#174257,.T.); #222999=ORIENTED_EDGE('',*,*,#174254,.T.); #223000=ORIENTED_EDGE('',*,*,#174251,.T.); #223001=ORIENTED_EDGE('',*,*,#174248,.T.); #223002=ORIENTED_EDGE('',*,*,#174245,.T.); #223003=ORIENTED_EDGE('',*,*,#174242,.T.); #223004=ORIENTED_EDGE('',*,*,#174239,.T.); #223005=ORIENTED_EDGE('',*,*,#174236,.T.); #223006=ORIENTED_EDGE('',*,*,#174233,.T.); #223007=ORIENTED_EDGE('',*,*,#174230,.T.); #223008=ORIENTED_EDGE('',*,*,#174227,.T.); #223009=ORIENTED_EDGE('',*,*,#174224,.T.); #223010=ORIENTED_EDGE('',*,*,#174221,.T.); #223011=ORIENTED_EDGE('',*,*,#174218,.T.); #223012=ORIENTED_EDGE('',*,*,#174215,.T.); #223013=ORIENTED_EDGE('',*,*,#174212,.T.); #223014=ORIENTED_EDGE('',*,*,#174209,.T.); #223015=ORIENTED_EDGE('',*,*,#174206,.T.); #223016=ORIENTED_EDGE('',*,*,#174203,.T.); #223017=ORIENTED_EDGE('',*,*,#174200,.T.); #223018=ORIENTED_EDGE('',*,*,#174197,.T.); #223019=ORIENTED_EDGE('',*,*,#174194,.T.); #223020=ORIENTED_EDGE('',*,*,#174191,.T.); #223021=ORIENTED_EDGE('',*,*,#174188,.T.); #223022=ORIENTED_EDGE('',*,*,#174259,.F.); #223023=ORIENTED_EDGE('',*,*,#174189,.F.); #223024=ORIENTED_EDGE('',*,*,#174193,.F.); #223025=ORIENTED_EDGE('',*,*,#174196,.F.); #223026=ORIENTED_EDGE('',*,*,#174199,.F.); #223027=ORIENTED_EDGE('',*,*,#174202,.F.); #223028=ORIENTED_EDGE('',*,*,#174205,.F.); #223029=ORIENTED_EDGE('',*,*,#174208,.F.); #223030=ORIENTED_EDGE('',*,*,#174211,.F.); #223031=ORIENTED_EDGE('',*,*,#174214,.F.); #223032=ORIENTED_EDGE('',*,*,#174217,.F.); #223033=ORIENTED_EDGE('',*,*,#174220,.F.); #223034=ORIENTED_EDGE('',*,*,#174223,.F.); #223035=ORIENTED_EDGE('',*,*,#174226,.F.); #223036=ORIENTED_EDGE('',*,*,#174229,.F.); #223037=ORIENTED_EDGE('',*,*,#174232,.F.); #223038=ORIENTED_EDGE('',*,*,#174235,.F.); #223039=ORIENTED_EDGE('',*,*,#174238,.F.); #223040=ORIENTED_EDGE('',*,*,#174241,.F.); #223041=ORIENTED_EDGE('',*,*,#174244,.F.); #223042=ORIENTED_EDGE('',*,*,#174247,.F.); #223043=ORIENTED_EDGE('',*,*,#174250,.F.); #223044=ORIENTED_EDGE('',*,*,#174253,.F.); #223045=ORIENTED_EDGE('',*,*,#174256,.F.); #223046=ORIENTED_EDGE('',*,*,#174186,.T.); #223047=ORIENTED_EDGE('',*,*,#174261,.F.); #223048=ORIENTED_EDGE('',*,*,#174262,.T.); #223049=ORIENTED_EDGE('',*,*,#174263,.F.); #223050=ORIENTED_EDGE('',*,*,#174262,.F.); #223051=ORIENTED_EDGE('',*,*,#174264,.T.); #223052=ORIENTED_EDGE('',*,*,#174265,.T.); #223053=ORIENTED_EDGE('',*,*,#174266,.F.); #223054=ORIENTED_EDGE('',*,*,#174267,.F.); #223055=ORIENTED_EDGE('',*,*,#174268,.T.); #223056=ORIENTED_EDGE('',*,*,#174267,.T.); #223057=ORIENTED_EDGE('',*,*,#174269,.F.); #223058=ORIENTED_EDGE('',*,*,#174270,.F.); #223059=ORIENTED_EDGE('',*,*,#174271,.T.); #223060=ORIENTED_EDGE('',*,*,#174270,.T.); #223061=ORIENTED_EDGE('',*,*,#174272,.F.); #223062=ORIENTED_EDGE('',*,*,#174273,.F.); #223063=ORIENTED_EDGE('',*,*,#174274,.T.); #223064=ORIENTED_EDGE('',*,*,#174273,.T.); #223065=ORIENTED_EDGE('',*,*,#174275,.F.); #223066=ORIENTED_EDGE('',*,*,#174276,.F.); #223067=ORIENTED_EDGE('',*,*,#174277,.T.); #223068=ORIENTED_EDGE('',*,*,#174276,.T.); #223069=ORIENTED_EDGE('',*,*,#174278,.F.); #223070=ORIENTED_EDGE('',*,*,#174279,.F.); #223071=ORIENTED_EDGE('',*,*,#174280,.T.); #223072=ORIENTED_EDGE('',*,*,#174279,.T.); #223073=ORIENTED_EDGE('',*,*,#174281,.F.); #223074=ORIENTED_EDGE('',*,*,#174282,.F.); #223075=ORIENTED_EDGE('',*,*,#174283,.T.); #223076=ORIENTED_EDGE('',*,*,#174282,.T.); #223077=ORIENTED_EDGE('',*,*,#174284,.F.); #223078=ORIENTED_EDGE('',*,*,#174285,.F.); #223079=ORIENTED_EDGE('',*,*,#174286,.T.); #223080=ORIENTED_EDGE('',*,*,#174285,.T.); #223081=ORIENTED_EDGE('',*,*,#174287,.F.); #223082=ORIENTED_EDGE('',*,*,#174288,.F.); #223083=ORIENTED_EDGE('',*,*,#174289,.T.); #223084=ORIENTED_EDGE('',*,*,#174288,.T.); #223085=ORIENTED_EDGE('',*,*,#174290,.F.); #223086=ORIENTED_EDGE('',*,*,#174291,.F.); #223087=ORIENTED_EDGE('',*,*,#174292,.T.); #223088=ORIENTED_EDGE('',*,*,#174291,.T.); #223089=ORIENTED_EDGE('',*,*,#174293,.F.); #223090=ORIENTED_EDGE('',*,*,#174294,.F.); #223091=ORIENTED_EDGE('',*,*,#174295,.T.); #223092=ORIENTED_EDGE('',*,*,#174294,.T.); #223093=ORIENTED_EDGE('',*,*,#174296,.F.); #223094=ORIENTED_EDGE('',*,*,#174265,.F.); #223095=ORIENTED_EDGE('',*,*,#174296,.T.); #223096=ORIENTED_EDGE('',*,*,#174293,.T.); #223097=ORIENTED_EDGE('',*,*,#174290,.T.); #223098=ORIENTED_EDGE('',*,*,#174287,.T.); #223099=ORIENTED_EDGE('',*,*,#174284,.T.); #223100=ORIENTED_EDGE('',*,*,#174281,.T.); #223101=ORIENTED_EDGE('',*,*,#174278,.T.); #223102=ORIENTED_EDGE('',*,*,#174275,.T.); #223103=ORIENTED_EDGE('',*,*,#174272,.T.); #223104=ORIENTED_EDGE('',*,*,#174269,.T.); #223105=ORIENTED_EDGE('',*,*,#174266,.T.); #223106=ORIENTED_EDGE('',*,*,#174263,.T.); #223107=ORIENTED_EDGE('',*,*,#174295,.F.); #223108=ORIENTED_EDGE('',*,*,#174264,.F.); #223109=ORIENTED_EDGE('',*,*,#174268,.F.); #223110=ORIENTED_EDGE('',*,*,#174271,.F.); #223111=ORIENTED_EDGE('',*,*,#174274,.F.); #223112=ORIENTED_EDGE('',*,*,#174277,.F.); #223113=ORIENTED_EDGE('',*,*,#174280,.F.); #223114=ORIENTED_EDGE('',*,*,#174283,.F.); #223115=ORIENTED_EDGE('',*,*,#174286,.F.); #223116=ORIENTED_EDGE('',*,*,#174289,.F.); #223117=ORIENTED_EDGE('',*,*,#174292,.F.); #223118=ORIENTED_EDGE('',*,*,#174261,.T.); #223119=ORIENTED_EDGE('',*,*,#174297,.T.); #223120=ORIENTED_EDGE('',*,*,#174298,.T.); #223121=ORIENTED_EDGE('',*,*,#174299,.F.); #223122=ORIENTED_EDGE('',*,*,#174300,.F.); #223123=ORIENTED_EDGE('',*,*,#174301,.T.); #223124=ORIENTED_EDGE('',*,*,#174300,.T.); #223125=ORIENTED_EDGE('',*,*,#174302,.F.); #223126=ORIENTED_EDGE('',*,*,#174303,.F.); #223127=ORIENTED_EDGE('',*,*,#174304,.T.); #223128=ORIENTED_EDGE('',*,*,#174303,.T.); #223129=ORIENTED_EDGE('',*,*,#174305,.F.); #223130=ORIENTED_EDGE('',*,*,#174306,.F.); #223131=ORIENTED_EDGE('',*,*,#174307,.T.); #223132=ORIENTED_EDGE('',*,*,#174306,.T.); #223133=ORIENTED_EDGE('',*,*,#174308,.F.); #223134=ORIENTED_EDGE('',*,*,#174309,.F.); #223135=ORIENTED_EDGE('',*,*,#174310,.T.); #223136=ORIENTED_EDGE('',*,*,#174309,.T.); #223137=ORIENTED_EDGE('',*,*,#174311,.F.); #223138=ORIENTED_EDGE('',*,*,#174312,.F.); #223139=ORIENTED_EDGE('',*,*,#174313,.T.); #223140=ORIENTED_EDGE('',*,*,#174312,.T.); #223141=ORIENTED_EDGE('',*,*,#174314,.F.); #223142=ORIENTED_EDGE('',*,*,#174315,.F.); #223143=ORIENTED_EDGE('',*,*,#174316,.T.); #223144=ORIENTED_EDGE('',*,*,#174315,.T.); #223145=ORIENTED_EDGE('',*,*,#174317,.F.); #223146=ORIENTED_EDGE('',*,*,#174318,.F.); #223147=ORIENTED_EDGE('',*,*,#174319,.T.); #223148=ORIENTED_EDGE('',*,*,#174318,.T.); #223149=ORIENTED_EDGE('',*,*,#174320,.F.); #223150=ORIENTED_EDGE('',*,*,#174321,.F.); #223151=ORIENTED_EDGE('',*,*,#174322,.T.); #223152=ORIENTED_EDGE('',*,*,#174321,.T.); #223153=ORIENTED_EDGE('',*,*,#174323,.F.); #223154=ORIENTED_EDGE('',*,*,#174324,.F.); #223155=ORIENTED_EDGE('',*,*,#174325,.T.); #223156=ORIENTED_EDGE('',*,*,#174324,.T.); #223157=ORIENTED_EDGE('',*,*,#174326,.F.); #223158=ORIENTED_EDGE('',*,*,#174327,.F.); #223159=ORIENTED_EDGE('',*,*,#174328,.T.); #223160=ORIENTED_EDGE('',*,*,#174327,.T.); #223161=ORIENTED_EDGE('',*,*,#174329,.F.); #223162=ORIENTED_EDGE('',*,*,#174330,.F.); #223163=ORIENTED_EDGE('',*,*,#174331,.T.); #223164=ORIENTED_EDGE('',*,*,#174330,.T.); #223165=ORIENTED_EDGE('',*,*,#174332,.F.); #223166=ORIENTED_EDGE('',*,*,#174333,.F.); #223167=ORIENTED_EDGE('',*,*,#174334,.T.); #223168=ORIENTED_EDGE('',*,*,#174333,.T.); #223169=ORIENTED_EDGE('',*,*,#174335,.F.); #223170=ORIENTED_EDGE('',*,*,#174336,.F.); #223171=ORIENTED_EDGE('',*,*,#174337,.T.); #223172=ORIENTED_EDGE('',*,*,#174336,.T.); #223173=ORIENTED_EDGE('',*,*,#174338,.F.); #223174=ORIENTED_EDGE('',*,*,#174339,.F.); #223175=ORIENTED_EDGE('',*,*,#174340,.T.); #223176=ORIENTED_EDGE('',*,*,#174339,.T.); #223177=ORIENTED_EDGE('',*,*,#174341,.F.); #223178=ORIENTED_EDGE('',*,*,#174342,.F.); #223179=ORIENTED_EDGE('',*,*,#174343,.T.); #223180=ORIENTED_EDGE('',*,*,#174342,.T.); #223181=ORIENTED_EDGE('',*,*,#174344,.F.); #223182=ORIENTED_EDGE('',*,*,#174345,.F.); #223183=ORIENTED_EDGE('',*,*,#174346,.T.); #223184=ORIENTED_EDGE('',*,*,#174345,.T.); #223185=ORIENTED_EDGE('',*,*,#174347,.F.); #223186=ORIENTED_EDGE('',*,*,#174348,.F.); #223187=ORIENTED_EDGE('',*,*,#174349,.T.); #223188=ORIENTED_EDGE('',*,*,#174348,.T.); #223189=ORIENTED_EDGE('',*,*,#174350,.F.); #223190=ORIENTED_EDGE('',*,*,#174351,.F.); #223191=ORIENTED_EDGE('',*,*,#174352,.T.); #223192=ORIENTED_EDGE('',*,*,#174351,.T.); #223193=ORIENTED_EDGE('',*,*,#174353,.F.); #223194=ORIENTED_EDGE('',*,*,#174354,.F.); #223195=ORIENTED_EDGE('',*,*,#174355,.T.); #223196=ORIENTED_EDGE('',*,*,#174354,.T.); #223197=ORIENTED_EDGE('',*,*,#174356,.F.); #223198=ORIENTED_EDGE('',*,*,#174357,.F.); #223199=ORIENTED_EDGE('',*,*,#174358,.T.); #223200=ORIENTED_EDGE('',*,*,#174357,.T.); #223201=ORIENTED_EDGE('',*,*,#174359,.F.); #223202=ORIENTED_EDGE('',*,*,#174360,.F.); #223203=ORIENTED_EDGE('',*,*,#174361,.T.); #223204=ORIENTED_EDGE('',*,*,#174360,.T.); #223205=ORIENTED_EDGE('',*,*,#174362,.F.); #223206=ORIENTED_EDGE('',*,*,#174363,.F.); #223207=ORIENTED_EDGE('',*,*,#174364,.T.); #223208=ORIENTED_EDGE('',*,*,#174363,.T.); #223209=ORIENTED_EDGE('',*,*,#174365,.F.); #223210=ORIENTED_EDGE('',*,*,#174366,.F.); #223211=ORIENTED_EDGE('',*,*,#174367,.T.); #223212=ORIENTED_EDGE('',*,*,#174366,.T.); #223213=ORIENTED_EDGE('',*,*,#174368,.F.); #223214=ORIENTED_EDGE('',*,*,#174369,.F.); #223215=ORIENTED_EDGE('',*,*,#174370,.T.); #223216=ORIENTED_EDGE('',*,*,#174369,.T.); #223217=ORIENTED_EDGE('',*,*,#174371,.F.); #223218=ORIENTED_EDGE('',*,*,#174372,.F.); #223219=ORIENTED_EDGE('',*,*,#174373,.T.); #223220=ORIENTED_EDGE('',*,*,#174372,.T.); #223221=ORIENTED_EDGE('',*,*,#174374,.F.); #223222=ORIENTED_EDGE('',*,*,#174375,.F.); #223223=ORIENTED_EDGE('',*,*,#174376,.T.); #223224=ORIENTED_EDGE('',*,*,#174375,.T.); #223225=ORIENTED_EDGE('',*,*,#174377,.F.); #223226=ORIENTED_EDGE('',*,*,#174378,.F.); #223227=ORIENTED_EDGE('',*,*,#174379,.T.); #223228=ORIENTED_EDGE('',*,*,#174378,.T.); #223229=ORIENTED_EDGE('',*,*,#174380,.F.); #223230=ORIENTED_EDGE('',*,*,#174381,.F.); #223231=ORIENTED_EDGE('',*,*,#174382,.T.); #223232=ORIENTED_EDGE('',*,*,#174381,.T.); #223233=ORIENTED_EDGE('',*,*,#174383,.F.); #223234=ORIENTED_EDGE('',*,*,#174384,.F.); #223235=ORIENTED_EDGE('',*,*,#174385,.T.); #223236=ORIENTED_EDGE('',*,*,#174384,.T.); #223237=ORIENTED_EDGE('',*,*,#174386,.F.); #223238=ORIENTED_EDGE('',*,*,#174387,.F.); #223239=ORIENTED_EDGE('',*,*,#174388,.T.); #223240=ORIENTED_EDGE('',*,*,#174387,.T.); #223241=ORIENTED_EDGE('',*,*,#174389,.F.); #223242=ORIENTED_EDGE('',*,*,#174390,.F.); #223243=ORIENTED_EDGE('',*,*,#174391,.T.); #223244=ORIENTED_EDGE('',*,*,#174390,.T.); #223245=ORIENTED_EDGE('',*,*,#174392,.F.); #223246=ORIENTED_EDGE('',*,*,#174393,.F.); #223247=ORIENTED_EDGE('',*,*,#174394,.T.); #223248=ORIENTED_EDGE('',*,*,#174393,.T.); #223249=ORIENTED_EDGE('',*,*,#174395,.F.); #223250=ORIENTED_EDGE('',*,*,#174396,.F.); #223251=ORIENTED_EDGE('',*,*,#174397,.T.); #223252=ORIENTED_EDGE('',*,*,#174396,.T.); #223253=ORIENTED_EDGE('',*,*,#174398,.F.); #223254=ORIENTED_EDGE('',*,*,#174399,.F.); #223255=ORIENTED_EDGE('',*,*,#174400,.T.); #223256=ORIENTED_EDGE('',*,*,#174399,.T.); #223257=ORIENTED_EDGE('',*,*,#174401,.F.); #223258=ORIENTED_EDGE('',*,*,#174402,.F.); #223259=ORIENTED_EDGE('',*,*,#174403,.T.); #223260=ORIENTED_EDGE('',*,*,#174402,.T.); #223261=ORIENTED_EDGE('',*,*,#174404,.F.); #223262=ORIENTED_EDGE('',*,*,#174405,.F.); #223263=ORIENTED_EDGE('',*,*,#174406,.T.); #223264=ORIENTED_EDGE('',*,*,#174405,.T.); #223265=ORIENTED_EDGE('',*,*,#174407,.F.); #223266=ORIENTED_EDGE('',*,*,#174408,.F.); #223267=ORIENTED_EDGE('',*,*,#174409,.T.); #223268=ORIENTED_EDGE('',*,*,#174408,.T.); #223269=ORIENTED_EDGE('',*,*,#174410,.F.); #223270=ORIENTED_EDGE('',*,*,#174298,.F.); #223271=ORIENTED_EDGE('',*,*,#174410,.T.); #223272=ORIENTED_EDGE('',*,*,#174407,.T.); #223273=ORIENTED_EDGE('',*,*,#174404,.T.); #223274=ORIENTED_EDGE('',*,*,#174401,.T.); #223275=ORIENTED_EDGE('',*,*,#174398,.T.); #223276=ORIENTED_EDGE('',*,*,#174395,.T.); #223277=ORIENTED_EDGE('',*,*,#174392,.T.); #223278=ORIENTED_EDGE('',*,*,#174389,.T.); #223279=ORIENTED_EDGE('',*,*,#174386,.T.); #223280=ORIENTED_EDGE('',*,*,#174383,.T.); #223281=ORIENTED_EDGE('',*,*,#174380,.T.); #223282=ORIENTED_EDGE('',*,*,#174377,.T.); #223283=ORIENTED_EDGE('',*,*,#174374,.T.); #223284=ORIENTED_EDGE('',*,*,#174371,.T.); #223285=ORIENTED_EDGE('',*,*,#174368,.T.); #223286=ORIENTED_EDGE('',*,*,#174365,.T.); #223287=ORIENTED_EDGE('',*,*,#174362,.T.); #223288=ORIENTED_EDGE('',*,*,#174359,.T.); #223289=ORIENTED_EDGE('',*,*,#174356,.T.); #223290=ORIENTED_EDGE('',*,*,#174353,.T.); #223291=ORIENTED_EDGE('',*,*,#174350,.T.); #223292=ORIENTED_EDGE('',*,*,#174347,.T.); #223293=ORIENTED_EDGE('',*,*,#174344,.T.); #223294=ORIENTED_EDGE('',*,*,#174341,.T.); #223295=ORIENTED_EDGE('',*,*,#174338,.T.); #223296=ORIENTED_EDGE('',*,*,#174335,.T.); #223297=ORIENTED_EDGE('',*,*,#174332,.T.); #223298=ORIENTED_EDGE('',*,*,#174329,.T.); #223299=ORIENTED_EDGE('',*,*,#174326,.T.); #223300=ORIENTED_EDGE('',*,*,#174323,.T.); #223301=ORIENTED_EDGE('',*,*,#174320,.T.); #223302=ORIENTED_EDGE('',*,*,#174317,.T.); #223303=ORIENTED_EDGE('',*,*,#174314,.T.); #223304=ORIENTED_EDGE('',*,*,#174311,.T.); #223305=ORIENTED_EDGE('',*,*,#174308,.T.); #223306=ORIENTED_EDGE('',*,*,#174305,.T.); #223307=ORIENTED_EDGE('',*,*,#174302,.T.); #223308=ORIENTED_EDGE('',*,*,#174299,.T.); #223309=ORIENTED_EDGE('',*,*,#174409,.F.); #223310=ORIENTED_EDGE('',*,*,#174297,.F.); #223311=ORIENTED_EDGE('',*,*,#174301,.F.); #223312=ORIENTED_EDGE('',*,*,#174304,.F.); #223313=ORIENTED_EDGE('',*,*,#174307,.F.); #223314=ORIENTED_EDGE('',*,*,#174310,.F.); #223315=ORIENTED_EDGE('',*,*,#174313,.F.); #223316=ORIENTED_EDGE('',*,*,#174316,.F.); #223317=ORIENTED_EDGE('',*,*,#174319,.F.); #223318=ORIENTED_EDGE('',*,*,#174322,.F.); #223319=ORIENTED_EDGE('',*,*,#174325,.F.); #223320=ORIENTED_EDGE('',*,*,#174328,.F.); #223321=ORIENTED_EDGE('',*,*,#174331,.F.); #223322=ORIENTED_EDGE('',*,*,#174334,.F.); #223323=ORIENTED_EDGE('',*,*,#174337,.F.); #223324=ORIENTED_EDGE('',*,*,#174340,.F.); #223325=ORIENTED_EDGE('',*,*,#174343,.F.); #223326=ORIENTED_EDGE('',*,*,#174346,.F.); #223327=ORIENTED_EDGE('',*,*,#174349,.F.); #223328=ORIENTED_EDGE('',*,*,#174352,.F.); #223329=ORIENTED_EDGE('',*,*,#174355,.F.); #223330=ORIENTED_EDGE('',*,*,#174358,.F.); #223331=ORIENTED_EDGE('',*,*,#174361,.F.); #223332=ORIENTED_EDGE('',*,*,#174364,.F.); #223333=ORIENTED_EDGE('',*,*,#174367,.F.); #223334=ORIENTED_EDGE('',*,*,#174370,.F.); #223335=ORIENTED_EDGE('',*,*,#174373,.F.); #223336=ORIENTED_EDGE('',*,*,#174376,.F.); #223337=ORIENTED_EDGE('',*,*,#174379,.F.); #223338=ORIENTED_EDGE('',*,*,#174382,.F.); #223339=ORIENTED_EDGE('',*,*,#174385,.F.); #223340=ORIENTED_EDGE('',*,*,#174388,.F.); #223341=ORIENTED_EDGE('',*,*,#174391,.F.); #223342=ORIENTED_EDGE('',*,*,#174394,.F.); #223343=ORIENTED_EDGE('',*,*,#174397,.F.); #223344=ORIENTED_EDGE('',*,*,#174400,.F.); #223345=ORIENTED_EDGE('',*,*,#174403,.F.); #223346=ORIENTED_EDGE('',*,*,#174406,.F.); #223347=ORIENTED_EDGE('',*,*,#174411,.T.); #223348=ORIENTED_EDGE('',*,*,#174412,.T.); #223349=ORIENTED_EDGE('',*,*,#174413,.F.); #223350=ORIENTED_EDGE('',*,*,#174414,.F.); #223351=ORIENTED_EDGE('',*,*,#174415,.T.); #223352=ORIENTED_EDGE('',*,*,#174414,.T.); #223353=ORIENTED_EDGE('',*,*,#174416,.F.); #223354=ORIENTED_EDGE('',*,*,#174417,.F.); #223355=ORIENTED_EDGE('',*,*,#174418,.T.); #223356=ORIENTED_EDGE('',*,*,#174417,.T.); #223357=ORIENTED_EDGE('',*,*,#174419,.F.); #223358=ORIENTED_EDGE('',*,*,#174420,.F.); #223359=ORIENTED_EDGE('',*,*,#174421,.T.); #223360=ORIENTED_EDGE('',*,*,#174420,.T.); #223361=ORIENTED_EDGE('',*,*,#174422,.F.); #223362=ORIENTED_EDGE('',*,*,#174423,.F.); #223363=ORIENTED_EDGE('',*,*,#174424,.T.); #223364=ORIENTED_EDGE('',*,*,#174423,.T.); #223365=ORIENTED_EDGE('',*,*,#174425,.F.); #223366=ORIENTED_EDGE('',*,*,#174426,.F.); #223367=ORIENTED_EDGE('',*,*,#174427,.T.); #223368=ORIENTED_EDGE('',*,*,#174426,.T.); #223369=ORIENTED_EDGE('',*,*,#174428,.F.); #223370=ORIENTED_EDGE('',*,*,#174429,.F.); #223371=ORIENTED_EDGE('',*,*,#174430,.T.); #223372=ORIENTED_EDGE('',*,*,#174429,.T.); #223373=ORIENTED_EDGE('',*,*,#174431,.F.); #223374=ORIENTED_EDGE('',*,*,#174432,.F.); #223375=ORIENTED_EDGE('',*,*,#174433,.T.); #223376=ORIENTED_EDGE('',*,*,#174432,.T.); #223377=ORIENTED_EDGE('',*,*,#174434,.F.); #223378=ORIENTED_EDGE('',*,*,#174435,.F.); #223379=ORIENTED_EDGE('',*,*,#174436,.T.); #223380=ORIENTED_EDGE('',*,*,#174435,.T.); #223381=ORIENTED_EDGE('',*,*,#174437,.F.); #223382=ORIENTED_EDGE('',*,*,#174438,.F.); #223383=ORIENTED_EDGE('',*,*,#174439,.T.); #223384=ORIENTED_EDGE('',*,*,#174438,.T.); #223385=ORIENTED_EDGE('',*,*,#174440,.F.); #223386=ORIENTED_EDGE('',*,*,#174441,.F.); #223387=ORIENTED_EDGE('',*,*,#174442,.T.); #223388=ORIENTED_EDGE('',*,*,#174441,.T.); #223389=ORIENTED_EDGE('',*,*,#174443,.F.); #223390=ORIENTED_EDGE('',*,*,#174444,.F.); #223391=ORIENTED_EDGE('',*,*,#174445,.T.); #223392=ORIENTED_EDGE('',*,*,#174444,.T.); #223393=ORIENTED_EDGE('',*,*,#174446,.F.); #223394=ORIENTED_EDGE('',*,*,#174447,.F.); #223395=ORIENTED_EDGE('',*,*,#174448,.T.); #223396=ORIENTED_EDGE('',*,*,#174447,.T.); #223397=ORIENTED_EDGE('',*,*,#174449,.F.); #223398=ORIENTED_EDGE('',*,*,#174450,.F.); #223399=ORIENTED_EDGE('',*,*,#174451,.T.); #223400=ORIENTED_EDGE('',*,*,#174450,.T.); #223401=ORIENTED_EDGE('',*,*,#174452,.F.); #223402=ORIENTED_EDGE('',*,*,#174453,.F.); #223403=ORIENTED_EDGE('',*,*,#174454,.T.); #223404=ORIENTED_EDGE('',*,*,#174453,.T.); #223405=ORIENTED_EDGE('',*,*,#174455,.F.); #223406=ORIENTED_EDGE('',*,*,#174456,.F.); #223407=ORIENTED_EDGE('',*,*,#174457,.T.); #223408=ORIENTED_EDGE('',*,*,#174456,.T.); #223409=ORIENTED_EDGE('',*,*,#174458,.F.); #223410=ORIENTED_EDGE('',*,*,#174459,.F.); #223411=ORIENTED_EDGE('',*,*,#174460,.T.); #223412=ORIENTED_EDGE('',*,*,#174459,.T.); #223413=ORIENTED_EDGE('',*,*,#174461,.F.); #223414=ORIENTED_EDGE('',*,*,#174462,.F.); #223415=ORIENTED_EDGE('',*,*,#174463,.T.); #223416=ORIENTED_EDGE('',*,*,#174462,.T.); #223417=ORIENTED_EDGE('',*,*,#174464,.F.); #223418=ORIENTED_EDGE('',*,*,#174465,.F.); #223419=ORIENTED_EDGE('',*,*,#174466,.T.); #223420=ORIENTED_EDGE('',*,*,#174465,.T.); #223421=ORIENTED_EDGE('',*,*,#174467,.F.); #223422=ORIENTED_EDGE('',*,*,#174468,.F.); #223423=ORIENTED_EDGE('',*,*,#174469,.T.); #223424=ORIENTED_EDGE('',*,*,#174468,.T.); #223425=ORIENTED_EDGE('',*,*,#174470,.F.); #223426=ORIENTED_EDGE('',*,*,#174471,.F.); #223427=ORIENTED_EDGE('',*,*,#174472,.T.); #223428=ORIENTED_EDGE('',*,*,#174471,.T.); #223429=ORIENTED_EDGE('',*,*,#174473,.F.); #223430=ORIENTED_EDGE('',*,*,#174474,.F.); #223431=ORIENTED_EDGE('',*,*,#174475,.T.); #223432=ORIENTED_EDGE('',*,*,#174474,.T.); #223433=ORIENTED_EDGE('',*,*,#174476,.F.); #223434=ORIENTED_EDGE('',*,*,#174477,.F.); #223435=ORIENTED_EDGE('',*,*,#174478,.T.); #223436=ORIENTED_EDGE('',*,*,#174477,.T.); #223437=ORIENTED_EDGE('',*,*,#174479,.F.); #223438=ORIENTED_EDGE('',*,*,#174480,.F.); #223439=ORIENTED_EDGE('',*,*,#174481,.T.); #223440=ORIENTED_EDGE('',*,*,#174480,.T.); #223441=ORIENTED_EDGE('',*,*,#174482,.F.); #223442=ORIENTED_EDGE('',*,*,#174483,.F.); #223443=ORIENTED_EDGE('',*,*,#174484,.T.); #223444=ORIENTED_EDGE('',*,*,#174483,.T.); #223445=ORIENTED_EDGE('',*,*,#174485,.F.); #223446=ORIENTED_EDGE('',*,*,#174486,.F.); #223447=ORIENTED_EDGE('',*,*,#174487,.T.); #223448=ORIENTED_EDGE('',*,*,#174486,.T.); #223449=ORIENTED_EDGE('',*,*,#174488,.F.); #223450=ORIENTED_EDGE('',*,*,#174489,.F.); #223451=ORIENTED_EDGE('',*,*,#174490,.T.); #223452=ORIENTED_EDGE('',*,*,#174489,.T.); #223453=ORIENTED_EDGE('',*,*,#174491,.F.); #223454=ORIENTED_EDGE('',*,*,#174492,.F.); #223455=ORIENTED_EDGE('',*,*,#174493,.T.); #223456=ORIENTED_EDGE('',*,*,#174492,.T.); #223457=ORIENTED_EDGE('',*,*,#174494,.F.); #223458=ORIENTED_EDGE('',*,*,#174495,.F.); #223459=ORIENTED_EDGE('',*,*,#174496,.T.); #223460=ORIENTED_EDGE('',*,*,#174495,.T.); #223461=ORIENTED_EDGE('',*,*,#174497,.F.); #223462=ORIENTED_EDGE('',*,*,#174498,.F.); #223463=ORIENTED_EDGE('',*,*,#174499,.T.); #223464=ORIENTED_EDGE('',*,*,#174498,.T.); #223465=ORIENTED_EDGE('',*,*,#174500,.F.); #223466=ORIENTED_EDGE('',*,*,#174501,.F.); #223467=ORIENTED_EDGE('',*,*,#174502,.T.); #223468=ORIENTED_EDGE('',*,*,#174501,.T.); #223469=ORIENTED_EDGE('',*,*,#174503,.F.); #223470=ORIENTED_EDGE('',*,*,#174504,.F.); #223471=ORIENTED_EDGE('',*,*,#174505,.T.); #223472=ORIENTED_EDGE('',*,*,#174504,.T.); #223473=ORIENTED_EDGE('',*,*,#174506,.F.); #223474=ORIENTED_EDGE('',*,*,#174507,.F.); #223475=ORIENTED_EDGE('',*,*,#174508,.T.); #223476=ORIENTED_EDGE('',*,*,#174507,.T.); #223477=ORIENTED_EDGE('',*,*,#174509,.F.); #223478=ORIENTED_EDGE('',*,*,#174510,.F.); #223479=ORIENTED_EDGE('',*,*,#174511,.T.); #223480=ORIENTED_EDGE('',*,*,#174510,.T.); #223481=ORIENTED_EDGE('',*,*,#174512,.F.); #223482=ORIENTED_EDGE('',*,*,#174513,.F.); #223483=ORIENTED_EDGE('',*,*,#174514,.T.); #223484=ORIENTED_EDGE('',*,*,#174513,.T.); #223485=ORIENTED_EDGE('',*,*,#174515,.F.); #223486=ORIENTED_EDGE('',*,*,#174516,.F.); #223487=ORIENTED_EDGE('',*,*,#174517,.T.); #223488=ORIENTED_EDGE('',*,*,#174516,.T.); #223489=ORIENTED_EDGE('',*,*,#174518,.F.); #223490=ORIENTED_EDGE('',*,*,#174519,.F.); #223491=ORIENTED_EDGE('',*,*,#174520,.T.); #223492=ORIENTED_EDGE('',*,*,#174519,.T.); #223493=ORIENTED_EDGE('',*,*,#174521,.F.); #223494=ORIENTED_EDGE('',*,*,#174522,.F.); #223495=ORIENTED_EDGE('',*,*,#174523,.T.); #223496=ORIENTED_EDGE('',*,*,#174522,.T.); #223497=ORIENTED_EDGE('',*,*,#174524,.F.); #223498=ORIENTED_EDGE('',*,*,#174412,.F.); #223499=ORIENTED_EDGE('',*,*,#174524,.T.); #223500=ORIENTED_EDGE('',*,*,#174521,.T.); #223501=ORIENTED_EDGE('',*,*,#174518,.T.); #223502=ORIENTED_EDGE('',*,*,#174515,.T.); #223503=ORIENTED_EDGE('',*,*,#174512,.T.); #223504=ORIENTED_EDGE('',*,*,#174509,.T.); #223505=ORIENTED_EDGE('',*,*,#174506,.T.); #223506=ORIENTED_EDGE('',*,*,#174503,.T.); #223507=ORIENTED_EDGE('',*,*,#174500,.T.); #223508=ORIENTED_EDGE('',*,*,#174497,.T.); #223509=ORIENTED_EDGE('',*,*,#174494,.T.); #223510=ORIENTED_EDGE('',*,*,#174491,.T.); #223511=ORIENTED_EDGE('',*,*,#174488,.T.); #223512=ORIENTED_EDGE('',*,*,#174485,.T.); #223513=ORIENTED_EDGE('',*,*,#174482,.T.); #223514=ORIENTED_EDGE('',*,*,#174479,.T.); #223515=ORIENTED_EDGE('',*,*,#174476,.T.); #223516=ORIENTED_EDGE('',*,*,#174473,.T.); #223517=ORIENTED_EDGE('',*,*,#174470,.T.); #223518=ORIENTED_EDGE('',*,*,#174467,.T.); #223519=ORIENTED_EDGE('',*,*,#174464,.T.); #223520=ORIENTED_EDGE('',*,*,#174461,.T.); #223521=ORIENTED_EDGE('',*,*,#174458,.T.); #223522=ORIENTED_EDGE('',*,*,#174455,.T.); #223523=ORIENTED_EDGE('',*,*,#174452,.T.); #223524=ORIENTED_EDGE('',*,*,#174449,.T.); #223525=ORIENTED_EDGE('',*,*,#174446,.T.); #223526=ORIENTED_EDGE('',*,*,#174443,.T.); #223527=ORIENTED_EDGE('',*,*,#174440,.T.); #223528=ORIENTED_EDGE('',*,*,#174437,.T.); #223529=ORIENTED_EDGE('',*,*,#174434,.T.); #223530=ORIENTED_EDGE('',*,*,#174431,.T.); #223531=ORIENTED_EDGE('',*,*,#174428,.T.); #223532=ORIENTED_EDGE('',*,*,#174425,.T.); #223533=ORIENTED_EDGE('',*,*,#174422,.T.); #223534=ORIENTED_EDGE('',*,*,#174419,.T.); #223535=ORIENTED_EDGE('',*,*,#174416,.T.); #223536=ORIENTED_EDGE('',*,*,#174413,.T.); #223537=ORIENTED_EDGE('',*,*,#174523,.F.); #223538=ORIENTED_EDGE('',*,*,#174411,.F.); #223539=ORIENTED_EDGE('',*,*,#174415,.F.); #223540=ORIENTED_EDGE('',*,*,#174418,.F.); #223541=ORIENTED_EDGE('',*,*,#174421,.F.); #223542=ORIENTED_EDGE('',*,*,#174424,.F.); #223543=ORIENTED_EDGE('',*,*,#174427,.F.); #223544=ORIENTED_EDGE('',*,*,#174430,.F.); #223545=ORIENTED_EDGE('',*,*,#174433,.F.); #223546=ORIENTED_EDGE('',*,*,#174436,.F.); #223547=ORIENTED_EDGE('',*,*,#174439,.F.); #223548=ORIENTED_EDGE('',*,*,#174442,.F.); #223549=ORIENTED_EDGE('',*,*,#174445,.F.); #223550=ORIENTED_EDGE('',*,*,#174448,.F.); #223551=ORIENTED_EDGE('',*,*,#174451,.F.); #223552=ORIENTED_EDGE('',*,*,#174454,.F.); #223553=ORIENTED_EDGE('',*,*,#174457,.F.); #223554=ORIENTED_EDGE('',*,*,#174460,.F.); #223555=ORIENTED_EDGE('',*,*,#174463,.F.); #223556=ORIENTED_EDGE('',*,*,#174466,.F.); #223557=ORIENTED_EDGE('',*,*,#174469,.F.); #223558=ORIENTED_EDGE('',*,*,#174472,.F.); #223559=ORIENTED_EDGE('',*,*,#174475,.F.); #223560=ORIENTED_EDGE('',*,*,#174478,.F.); #223561=ORIENTED_EDGE('',*,*,#174481,.F.); #223562=ORIENTED_EDGE('',*,*,#174484,.F.); #223563=ORIENTED_EDGE('',*,*,#174487,.F.); #223564=ORIENTED_EDGE('',*,*,#174490,.F.); #223565=ORIENTED_EDGE('',*,*,#174493,.F.); #223566=ORIENTED_EDGE('',*,*,#174496,.F.); #223567=ORIENTED_EDGE('',*,*,#174499,.F.); #223568=ORIENTED_EDGE('',*,*,#174502,.F.); #223569=ORIENTED_EDGE('',*,*,#174505,.F.); #223570=ORIENTED_EDGE('',*,*,#174508,.F.); #223571=ORIENTED_EDGE('',*,*,#174511,.F.); #223572=ORIENTED_EDGE('',*,*,#174514,.F.); #223573=ORIENTED_EDGE('',*,*,#174517,.F.); #223574=ORIENTED_EDGE('',*,*,#174520,.F.); #223575=ORIENTED_EDGE('',*,*,#174525,.T.); #223576=ORIENTED_EDGE('',*,*,#174526,.T.); #223577=ORIENTED_EDGE('',*,*,#174527,.F.); #223578=ORIENTED_EDGE('',*,*,#174528,.F.); #223579=ORIENTED_EDGE('',*,*,#174529,.T.); #223580=ORIENTED_EDGE('',*,*,#174528,.T.); #223581=ORIENTED_EDGE('',*,*,#174530,.F.); #223582=ORIENTED_EDGE('',*,*,#174531,.F.); #223583=ORIENTED_EDGE('',*,*,#174532,.T.); #223584=ORIENTED_EDGE('',*,*,#174531,.T.); #223585=ORIENTED_EDGE('',*,*,#174533,.F.); #223586=ORIENTED_EDGE('',*,*,#174534,.F.); #223587=ORIENTED_EDGE('',*,*,#174535,.T.); #223588=ORIENTED_EDGE('',*,*,#174534,.T.); #223589=ORIENTED_EDGE('',*,*,#174536,.F.); #223590=ORIENTED_EDGE('',*,*,#174537,.F.); #223591=ORIENTED_EDGE('',*,*,#174538,.T.); #223592=ORIENTED_EDGE('',*,*,#174537,.T.); #223593=ORIENTED_EDGE('',*,*,#174539,.F.); #223594=ORIENTED_EDGE('',*,*,#174540,.F.); #223595=ORIENTED_EDGE('',*,*,#174541,.T.); #223596=ORIENTED_EDGE('',*,*,#174540,.T.); #223597=ORIENTED_EDGE('',*,*,#174542,.F.); #223598=ORIENTED_EDGE('',*,*,#174543,.F.); #223599=ORIENTED_EDGE('',*,*,#174544,.T.); #223600=ORIENTED_EDGE('',*,*,#174543,.T.); #223601=ORIENTED_EDGE('',*,*,#174545,.F.); #223602=ORIENTED_EDGE('',*,*,#174546,.F.); #223603=ORIENTED_EDGE('',*,*,#174547,.T.); #223604=ORIENTED_EDGE('',*,*,#174546,.T.); #223605=ORIENTED_EDGE('',*,*,#174548,.F.); #223606=ORIENTED_EDGE('',*,*,#174549,.F.); #223607=ORIENTED_EDGE('',*,*,#174550,.T.); #223608=ORIENTED_EDGE('',*,*,#174549,.T.); #223609=ORIENTED_EDGE('',*,*,#174551,.F.); #223610=ORIENTED_EDGE('',*,*,#174552,.F.); #223611=ORIENTED_EDGE('',*,*,#174553,.T.); #223612=ORIENTED_EDGE('',*,*,#174552,.T.); #223613=ORIENTED_EDGE('',*,*,#174554,.F.); #223614=ORIENTED_EDGE('',*,*,#174555,.F.); #223615=ORIENTED_EDGE('',*,*,#174556,.T.); #223616=ORIENTED_EDGE('',*,*,#174555,.T.); #223617=ORIENTED_EDGE('',*,*,#174557,.F.); #223618=ORIENTED_EDGE('',*,*,#174558,.F.); #223619=ORIENTED_EDGE('',*,*,#174559,.T.); #223620=ORIENTED_EDGE('',*,*,#174558,.T.); #223621=ORIENTED_EDGE('',*,*,#174560,.F.); #223622=ORIENTED_EDGE('',*,*,#174561,.F.); #223623=ORIENTED_EDGE('',*,*,#174562,.T.); #223624=ORIENTED_EDGE('',*,*,#174561,.T.); #223625=ORIENTED_EDGE('',*,*,#174563,.F.); #223626=ORIENTED_EDGE('',*,*,#174564,.F.); #223627=ORIENTED_EDGE('',*,*,#174565,.T.); #223628=ORIENTED_EDGE('',*,*,#174564,.T.); #223629=ORIENTED_EDGE('',*,*,#174566,.F.); #223630=ORIENTED_EDGE('',*,*,#174567,.F.); #223631=ORIENTED_EDGE('',*,*,#174568,.T.); #223632=ORIENTED_EDGE('',*,*,#174567,.T.); #223633=ORIENTED_EDGE('',*,*,#174569,.F.); #223634=ORIENTED_EDGE('',*,*,#174570,.F.); #223635=ORIENTED_EDGE('',*,*,#174571,.T.); #223636=ORIENTED_EDGE('',*,*,#174570,.T.); #223637=ORIENTED_EDGE('',*,*,#174572,.F.); #223638=ORIENTED_EDGE('',*,*,#174573,.F.); #223639=ORIENTED_EDGE('',*,*,#174574,.T.); #223640=ORIENTED_EDGE('',*,*,#174573,.T.); #223641=ORIENTED_EDGE('',*,*,#174575,.F.); #223642=ORIENTED_EDGE('',*,*,#174576,.F.); #223643=ORIENTED_EDGE('',*,*,#174577,.T.); #223644=ORIENTED_EDGE('',*,*,#174576,.T.); #223645=ORIENTED_EDGE('',*,*,#174578,.F.); #223646=ORIENTED_EDGE('',*,*,#174579,.F.); #223647=ORIENTED_EDGE('',*,*,#174580,.T.); #223648=ORIENTED_EDGE('',*,*,#174579,.T.); #223649=ORIENTED_EDGE('',*,*,#174581,.F.); #223650=ORIENTED_EDGE('',*,*,#174582,.F.); #223651=ORIENTED_EDGE('',*,*,#174583,.T.); #223652=ORIENTED_EDGE('',*,*,#174582,.T.); #223653=ORIENTED_EDGE('',*,*,#174584,.F.); #223654=ORIENTED_EDGE('',*,*,#174526,.F.); #223655=ORIENTED_EDGE('',*,*,#174584,.T.); #223656=ORIENTED_EDGE('',*,*,#174581,.T.); #223657=ORIENTED_EDGE('',*,*,#174578,.T.); #223658=ORIENTED_EDGE('',*,*,#174575,.T.); #223659=ORIENTED_EDGE('',*,*,#174572,.T.); #223660=ORIENTED_EDGE('',*,*,#174569,.T.); #223661=ORIENTED_EDGE('',*,*,#174566,.T.); #223662=ORIENTED_EDGE('',*,*,#174563,.T.); #223663=ORIENTED_EDGE('',*,*,#174560,.T.); #223664=ORIENTED_EDGE('',*,*,#174557,.T.); #223665=ORIENTED_EDGE('',*,*,#174554,.T.); #223666=ORIENTED_EDGE('',*,*,#174551,.T.); #223667=ORIENTED_EDGE('',*,*,#174548,.T.); #223668=ORIENTED_EDGE('',*,*,#174545,.T.); #223669=ORIENTED_EDGE('',*,*,#174542,.T.); #223670=ORIENTED_EDGE('',*,*,#174539,.T.); #223671=ORIENTED_EDGE('',*,*,#174536,.T.); #223672=ORIENTED_EDGE('',*,*,#174533,.T.); #223673=ORIENTED_EDGE('',*,*,#174530,.T.); #223674=ORIENTED_EDGE('',*,*,#174527,.T.); #223675=ORIENTED_EDGE('',*,*,#174583,.F.); #223676=ORIENTED_EDGE('',*,*,#174525,.F.); #223677=ORIENTED_EDGE('',*,*,#174529,.F.); #223678=ORIENTED_EDGE('',*,*,#174532,.F.); #223679=ORIENTED_EDGE('',*,*,#174535,.F.); #223680=ORIENTED_EDGE('',*,*,#174538,.F.); #223681=ORIENTED_EDGE('',*,*,#174541,.F.); #223682=ORIENTED_EDGE('',*,*,#174544,.F.); #223683=ORIENTED_EDGE('',*,*,#174547,.F.); #223684=ORIENTED_EDGE('',*,*,#174550,.F.); #223685=ORIENTED_EDGE('',*,*,#174553,.F.); #223686=ORIENTED_EDGE('',*,*,#174556,.F.); #223687=ORIENTED_EDGE('',*,*,#174559,.F.); #223688=ORIENTED_EDGE('',*,*,#174562,.F.); #223689=ORIENTED_EDGE('',*,*,#174565,.F.); #223690=ORIENTED_EDGE('',*,*,#174568,.F.); #223691=ORIENTED_EDGE('',*,*,#174571,.F.); #223692=ORIENTED_EDGE('',*,*,#174574,.F.); #223693=ORIENTED_EDGE('',*,*,#174577,.F.); #223694=ORIENTED_EDGE('',*,*,#174580,.F.); #223695=ORIENTED_EDGE('',*,*,#174585,.F.); #223696=ORIENTED_EDGE('',*,*,#174586,.T.); #223697=ORIENTED_EDGE('',*,*,#174587,.F.); #223698=ORIENTED_EDGE('',*,*,#174586,.F.); #223699=ORIENTED_EDGE('',*,*,#174588,.T.); #223700=ORIENTED_EDGE('',*,*,#174589,.T.); #223701=ORIENTED_EDGE('',*,*,#174590,.F.); #223702=ORIENTED_EDGE('',*,*,#174591,.F.); #223703=ORIENTED_EDGE('',*,*,#174592,.T.); #223704=ORIENTED_EDGE('',*,*,#174591,.T.); #223705=ORIENTED_EDGE('',*,*,#174593,.F.); #223706=ORIENTED_EDGE('',*,*,#174594,.F.); #223707=ORIENTED_EDGE('',*,*,#174595,.T.); #223708=ORIENTED_EDGE('',*,*,#174594,.T.); #223709=ORIENTED_EDGE('',*,*,#174596,.F.); #223710=ORIENTED_EDGE('',*,*,#174597,.F.); #223711=ORIENTED_EDGE('',*,*,#174598,.T.); #223712=ORIENTED_EDGE('',*,*,#174597,.T.); #223713=ORIENTED_EDGE('',*,*,#174599,.F.); #223714=ORIENTED_EDGE('',*,*,#174600,.F.); #223715=ORIENTED_EDGE('',*,*,#174601,.T.); #223716=ORIENTED_EDGE('',*,*,#174600,.T.); #223717=ORIENTED_EDGE('',*,*,#174602,.F.); #223718=ORIENTED_EDGE('',*,*,#174603,.F.); #223719=ORIENTED_EDGE('',*,*,#174604,.T.); #223720=ORIENTED_EDGE('',*,*,#174603,.T.); #223721=ORIENTED_EDGE('',*,*,#174605,.F.); #223722=ORIENTED_EDGE('',*,*,#174606,.F.); #223723=ORIENTED_EDGE('',*,*,#174607,.T.); #223724=ORIENTED_EDGE('',*,*,#174606,.T.); #223725=ORIENTED_EDGE('',*,*,#174608,.F.); #223726=ORIENTED_EDGE('',*,*,#174609,.F.); #223727=ORIENTED_EDGE('',*,*,#174610,.T.); #223728=ORIENTED_EDGE('',*,*,#174609,.T.); #223729=ORIENTED_EDGE('',*,*,#174611,.F.); #223730=ORIENTED_EDGE('',*,*,#174612,.F.); #223731=ORIENTED_EDGE('',*,*,#174613,.T.); #223732=ORIENTED_EDGE('',*,*,#174612,.T.); #223733=ORIENTED_EDGE('',*,*,#174614,.F.); #223734=ORIENTED_EDGE('',*,*,#174615,.F.); #223735=ORIENTED_EDGE('',*,*,#174616,.T.); #223736=ORIENTED_EDGE('',*,*,#174615,.T.); #223737=ORIENTED_EDGE('',*,*,#174617,.F.); #223738=ORIENTED_EDGE('',*,*,#174618,.F.); #223739=ORIENTED_EDGE('',*,*,#174619,.T.); #223740=ORIENTED_EDGE('',*,*,#174618,.T.); #223741=ORIENTED_EDGE('',*,*,#174620,.F.); #223742=ORIENTED_EDGE('',*,*,#174621,.F.); #223743=ORIENTED_EDGE('',*,*,#174622,.T.); #223744=ORIENTED_EDGE('',*,*,#174621,.T.); #223745=ORIENTED_EDGE('',*,*,#174623,.F.); #223746=ORIENTED_EDGE('',*,*,#174624,.F.); #223747=ORIENTED_EDGE('',*,*,#174625,.T.); #223748=ORIENTED_EDGE('',*,*,#174624,.T.); #223749=ORIENTED_EDGE('',*,*,#174626,.F.); #223750=ORIENTED_EDGE('',*,*,#174627,.F.); #223751=ORIENTED_EDGE('',*,*,#174628,.T.); #223752=ORIENTED_EDGE('',*,*,#174627,.T.); #223753=ORIENTED_EDGE('',*,*,#174629,.F.); #223754=ORIENTED_EDGE('',*,*,#174630,.F.); #223755=ORIENTED_EDGE('',*,*,#174631,.T.); #223756=ORIENTED_EDGE('',*,*,#174630,.T.); #223757=ORIENTED_EDGE('',*,*,#174632,.F.); #223758=ORIENTED_EDGE('',*,*,#174633,.F.); #223759=ORIENTED_EDGE('',*,*,#174634,.T.); #223760=ORIENTED_EDGE('',*,*,#174633,.T.); #223761=ORIENTED_EDGE('',*,*,#174635,.F.); #223762=ORIENTED_EDGE('',*,*,#174636,.F.); #223763=ORIENTED_EDGE('',*,*,#174637,.T.); #223764=ORIENTED_EDGE('',*,*,#174636,.T.); #223765=ORIENTED_EDGE('',*,*,#174638,.F.); #223766=ORIENTED_EDGE('',*,*,#174639,.F.); #223767=ORIENTED_EDGE('',*,*,#174640,.T.); #223768=ORIENTED_EDGE('',*,*,#174639,.T.); #223769=ORIENTED_EDGE('',*,*,#174641,.F.); #223770=ORIENTED_EDGE('',*,*,#174642,.F.); #223771=ORIENTED_EDGE('',*,*,#174643,.T.); #223772=ORIENTED_EDGE('',*,*,#174642,.T.); #223773=ORIENTED_EDGE('',*,*,#174644,.F.); #223774=ORIENTED_EDGE('',*,*,#174645,.F.); #223775=ORIENTED_EDGE('',*,*,#174646,.T.); #223776=ORIENTED_EDGE('',*,*,#174645,.T.); #223777=ORIENTED_EDGE('',*,*,#174647,.F.); #223778=ORIENTED_EDGE('',*,*,#174648,.F.); #223779=ORIENTED_EDGE('',*,*,#174649,.T.); #223780=ORIENTED_EDGE('',*,*,#174648,.T.); #223781=ORIENTED_EDGE('',*,*,#174650,.F.); #223782=ORIENTED_EDGE('',*,*,#174589,.F.); #223783=ORIENTED_EDGE('',*,*,#174650,.T.); #223784=ORIENTED_EDGE('',*,*,#174647,.T.); #223785=ORIENTED_EDGE('',*,*,#174644,.T.); #223786=ORIENTED_EDGE('',*,*,#174641,.T.); #223787=ORIENTED_EDGE('',*,*,#174638,.T.); #223788=ORIENTED_EDGE('',*,*,#174635,.T.); #223789=ORIENTED_EDGE('',*,*,#174632,.T.); #223790=ORIENTED_EDGE('',*,*,#174629,.T.); #223791=ORIENTED_EDGE('',*,*,#174626,.T.); #223792=ORIENTED_EDGE('',*,*,#174623,.T.); #223793=ORIENTED_EDGE('',*,*,#174620,.T.); #223794=ORIENTED_EDGE('',*,*,#174617,.T.); #223795=ORIENTED_EDGE('',*,*,#174614,.T.); #223796=ORIENTED_EDGE('',*,*,#174611,.T.); #223797=ORIENTED_EDGE('',*,*,#174608,.T.); #223798=ORIENTED_EDGE('',*,*,#174605,.T.); #223799=ORIENTED_EDGE('',*,*,#174602,.T.); #223800=ORIENTED_EDGE('',*,*,#174599,.T.); #223801=ORIENTED_EDGE('',*,*,#174596,.T.); #223802=ORIENTED_EDGE('',*,*,#174593,.T.); #223803=ORIENTED_EDGE('',*,*,#174590,.T.); #223804=ORIENTED_EDGE('',*,*,#174587,.T.); #223805=ORIENTED_EDGE('',*,*,#174649,.F.); #223806=ORIENTED_EDGE('',*,*,#174588,.F.); #223807=ORIENTED_EDGE('',*,*,#174592,.F.); #223808=ORIENTED_EDGE('',*,*,#174595,.F.); #223809=ORIENTED_EDGE('',*,*,#174598,.F.); #223810=ORIENTED_EDGE('',*,*,#174601,.F.); #223811=ORIENTED_EDGE('',*,*,#174604,.F.); #223812=ORIENTED_EDGE('',*,*,#174607,.F.); #223813=ORIENTED_EDGE('',*,*,#174610,.F.); #223814=ORIENTED_EDGE('',*,*,#174613,.F.); #223815=ORIENTED_EDGE('',*,*,#174616,.F.); #223816=ORIENTED_EDGE('',*,*,#174619,.F.); #223817=ORIENTED_EDGE('',*,*,#174622,.F.); #223818=ORIENTED_EDGE('',*,*,#174625,.F.); #223819=ORIENTED_EDGE('',*,*,#174628,.F.); #223820=ORIENTED_EDGE('',*,*,#174631,.F.); #223821=ORIENTED_EDGE('',*,*,#174634,.F.); #223822=ORIENTED_EDGE('',*,*,#174637,.F.); #223823=ORIENTED_EDGE('',*,*,#174640,.F.); #223824=ORIENTED_EDGE('',*,*,#174643,.F.); #223825=ORIENTED_EDGE('',*,*,#174646,.F.); #223826=ORIENTED_EDGE('',*,*,#174585,.T.); #223827=ORIENTED_EDGE('',*,*,#174651,.F.); #223828=ORIENTED_EDGE('',*,*,#174652,.T.); #223829=ORIENTED_EDGE('',*,*,#174653,.F.); #223830=ORIENTED_EDGE('',*,*,#174652,.F.); #223831=ORIENTED_EDGE('',*,*,#174654,.T.); #223832=ORIENTED_EDGE('',*,*,#174655,.T.); #223833=ORIENTED_EDGE('',*,*,#174656,.F.); #223834=ORIENTED_EDGE('',*,*,#174657,.F.); #223835=ORIENTED_EDGE('',*,*,#174658,.T.); #223836=ORIENTED_EDGE('',*,*,#174657,.T.); #223837=ORIENTED_EDGE('',*,*,#174659,.F.); #223838=ORIENTED_EDGE('',*,*,#174660,.F.); #223839=ORIENTED_EDGE('',*,*,#174661,.T.); #223840=ORIENTED_EDGE('',*,*,#174660,.T.); #223841=ORIENTED_EDGE('',*,*,#174662,.F.); #223842=ORIENTED_EDGE('',*,*,#174663,.F.); #223843=ORIENTED_EDGE('',*,*,#174664,.T.); #223844=ORIENTED_EDGE('',*,*,#174663,.T.); #223845=ORIENTED_EDGE('',*,*,#174665,.F.); #223846=ORIENTED_EDGE('',*,*,#174666,.F.); #223847=ORIENTED_EDGE('',*,*,#174667,.T.); #223848=ORIENTED_EDGE('',*,*,#174666,.T.); #223849=ORIENTED_EDGE('',*,*,#174668,.F.); #223850=ORIENTED_EDGE('',*,*,#174669,.F.); #223851=ORIENTED_EDGE('',*,*,#174670,.T.); #223852=ORIENTED_EDGE('',*,*,#174669,.T.); #223853=ORIENTED_EDGE('',*,*,#174671,.F.); #223854=ORIENTED_EDGE('',*,*,#174672,.F.); #223855=ORIENTED_EDGE('',*,*,#174673,.T.); #223856=ORIENTED_EDGE('',*,*,#174672,.T.); #223857=ORIENTED_EDGE('',*,*,#174674,.F.); #223858=ORIENTED_EDGE('',*,*,#174675,.F.); #223859=ORIENTED_EDGE('',*,*,#174676,.T.); #223860=ORIENTED_EDGE('',*,*,#174675,.T.); #223861=ORIENTED_EDGE('',*,*,#174677,.F.); #223862=ORIENTED_EDGE('',*,*,#174678,.F.); #223863=ORIENTED_EDGE('',*,*,#174679,.T.); #223864=ORIENTED_EDGE('',*,*,#174678,.T.); #223865=ORIENTED_EDGE('',*,*,#174680,.F.); #223866=ORIENTED_EDGE('',*,*,#174681,.F.); #223867=ORIENTED_EDGE('',*,*,#174682,.T.); #223868=ORIENTED_EDGE('',*,*,#174681,.T.); #223869=ORIENTED_EDGE('',*,*,#174683,.F.); #223870=ORIENTED_EDGE('',*,*,#174684,.F.); #223871=ORIENTED_EDGE('',*,*,#174685,.T.); #223872=ORIENTED_EDGE('',*,*,#174684,.T.); #223873=ORIENTED_EDGE('',*,*,#174686,.F.); #223874=ORIENTED_EDGE('',*,*,#174687,.F.); #223875=ORIENTED_EDGE('',*,*,#174688,.T.); #223876=ORIENTED_EDGE('',*,*,#174687,.T.); #223877=ORIENTED_EDGE('',*,*,#174689,.F.); #223878=ORIENTED_EDGE('',*,*,#174690,.F.); #223879=ORIENTED_EDGE('',*,*,#174691,.T.); #223880=ORIENTED_EDGE('',*,*,#174690,.T.); #223881=ORIENTED_EDGE('',*,*,#174692,.F.); #223882=ORIENTED_EDGE('',*,*,#174693,.F.); #223883=ORIENTED_EDGE('',*,*,#174694,.T.); #223884=ORIENTED_EDGE('',*,*,#174693,.T.); #223885=ORIENTED_EDGE('',*,*,#174695,.F.); #223886=ORIENTED_EDGE('',*,*,#174696,.F.); #223887=ORIENTED_EDGE('',*,*,#174697,.T.); #223888=ORIENTED_EDGE('',*,*,#174696,.T.); #223889=ORIENTED_EDGE('',*,*,#174698,.F.); #223890=ORIENTED_EDGE('',*,*,#174699,.F.); #223891=ORIENTED_EDGE('',*,*,#174700,.T.); #223892=ORIENTED_EDGE('',*,*,#174699,.T.); #223893=ORIENTED_EDGE('',*,*,#174701,.F.); #223894=ORIENTED_EDGE('',*,*,#174655,.F.); #223895=ORIENTED_EDGE('',*,*,#174701,.T.); #223896=ORIENTED_EDGE('',*,*,#174698,.T.); #223897=ORIENTED_EDGE('',*,*,#174695,.T.); #223898=ORIENTED_EDGE('',*,*,#174692,.T.); #223899=ORIENTED_EDGE('',*,*,#174689,.T.); #223900=ORIENTED_EDGE('',*,*,#174686,.T.); #223901=ORIENTED_EDGE('',*,*,#174683,.T.); #223902=ORIENTED_EDGE('',*,*,#174680,.T.); #223903=ORIENTED_EDGE('',*,*,#174677,.T.); #223904=ORIENTED_EDGE('',*,*,#174674,.T.); #223905=ORIENTED_EDGE('',*,*,#174671,.T.); #223906=ORIENTED_EDGE('',*,*,#174668,.T.); #223907=ORIENTED_EDGE('',*,*,#174665,.T.); #223908=ORIENTED_EDGE('',*,*,#174662,.T.); #223909=ORIENTED_EDGE('',*,*,#174659,.T.); #223910=ORIENTED_EDGE('',*,*,#174656,.T.); #223911=ORIENTED_EDGE('',*,*,#174653,.T.); #223912=ORIENTED_EDGE('',*,*,#174700,.F.); #223913=ORIENTED_EDGE('',*,*,#174654,.F.); #223914=ORIENTED_EDGE('',*,*,#174658,.F.); #223915=ORIENTED_EDGE('',*,*,#174661,.F.); #223916=ORIENTED_EDGE('',*,*,#174664,.F.); #223917=ORIENTED_EDGE('',*,*,#174667,.F.); #223918=ORIENTED_EDGE('',*,*,#174670,.F.); #223919=ORIENTED_EDGE('',*,*,#174673,.F.); #223920=ORIENTED_EDGE('',*,*,#174676,.F.); #223921=ORIENTED_EDGE('',*,*,#174679,.F.); #223922=ORIENTED_EDGE('',*,*,#174682,.F.); #223923=ORIENTED_EDGE('',*,*,#174685,.F.); #223924=ORIENTED_EDGE('',*,*,#174688,.F.); #223925=ORIENTED_EDGE('',*,*,#174691,.F.); #223926=ORIENTED_EDGE('',*,*,#174694,.F.); #223927=ORIENTED_EDGE('',*,*,#174697,.F.); #223928=ORIENTED_EDGE('',*,*,#174651,.T.); #223929=ORIENTED_EDGE('',*,*,#174702,.F.); #223930=ORIENTED_EDGE('',*,*,#174703,.T.); #223931=ORIENTED_EDGE('',*,*,#174704,.F.); #223932=ORIENTED_EDGE('',*,*,#174703,.F.); #223933=ORIENTED_EDGE('',*,*,#174705,.T.); #223934=ORIENTED_EDGE('',*,*,#174706,.T.); #223935=ORIENTED_EDGE('',*,*,#174707,.F.); #223936=ORIENTED_EDGE('',*,*,#174708,.F.); #223937=ORIENTED_EDGE('',*,*,#174709,.T.); #223938=ORIENTED_EDGE('',*,*,#174708,.T.); #223939=ORIENTED_EDGE('',*,*,#174710,.F.); #223940=ORIENTED_EDGE('',*,*,#174711,.F.); #223941=ORIENTED_EDGE('',*,*,#174712,.T.); #223942=ORIENTED_EDGE('',*,*,#174711,.T.); #223943=ORIENTED_EDGE('',*,*,#174713,.F.); #223944=ORIENTED_EDGE('',*,*,#174714,.F.); #223945=ORIENTED_EDGE('',*,*,#174715,.T.); #223946=ORIENTED_EDGE('',*,*,#174714,.T.); #223947=ORIENTED_EDGE('',*,*,#174716,.F.); #223948=ORIENTED_EDGE('',*,*,#174717,.F.); #223949=ORIENTED_EDGE('',*,*,#174718,.T.); #223950=ORIENTED_EDGE('',*,*,#174717,.T.); #223951=ORIENTED_EDGE('',*,*,#174719,.F.); #223952=ORIENTED_EDGE('',*,*,#174720,.F.); #223953=ORIENTED_EDGE('',*,*,#174721,.T.); #223954=ORIENTED_EDGE('',*,*,#174720,.T.); #223955=ORIENTED_EDGE('',*,*,#174722,.F.); #223956=ORIENTED_EDGE('',*,*,#174723,.F.); #223957=ORIENTED_EDGE('',*,*,#174724,.T.); #223958=ORIENTED_EDGE('',*,*,#174723,.T.); #223959=ORIENTED_EDGE('',*,*,#174725,.F.); #223960=ORIENTED_EDGE('',*,*,#174726,.F.); #223961=ORIENTED_EDGE('',*,*,#174727,.T.); #223962=ORIENTED_EDGE('',*,*,#174726,.T.); #223963=ORIENTED_EDGE('',*,*,#174728,.F.); #223964=ORIENTED_EDGE('',*,*,#174729,.F.); #223965=ORIENTED_EDGE('',*,*,#174730,.T.); #223966=ORIENTED_EDGE('',*,*,#174729,.T.); #223967=ORIENTED_EDGE('',*,*,#174731,.F.); #223968=ORIENTED_EDGE('',*,*,#174732,.F.); #223969=ORIENTED_EDGE('',*,*,#174733,.T.); #223970=ORIENTED_EDGE('',*,*,#174732,.T.); #223971=ORIENTED_EDGE('',*,*,#174734,.F.); #223972=ORIENTED_EDGE('',*,*,#174735,.F.); #223973=ORIENTED_EDGE('',*,*,#174736,.T.); #223974=ORIENTED_EDGE('',*,*,#174735,.T.); #223975=ORIENTED_EDGE('',*,*,#174737,.F.); #223976=ORIENTED_EDGE('',*,*,#174738,.F.); #223977=ORIENTED_EDGE('',*,*,#174739,.T.); #223978=ORIENTED_EDGE('',*,*,#174738,.T.); #223979=ORIENTED_EDGE('',*,*,#174740,.F.); #223980=ORIENTED_EDGE('',*,*,#174741,.F.); #223981=ORIENTED_EDGE('',*,*,#174742,.T.); #223982=ORIENTED_EDGE('',*,*,#174741,.T.); #223983=ORIENTED_EDGE('',*,*,#174743,.F.); #223984=ORIENTED_EDGE('',*,*,#174706,.F.); #223985=ORIENTED_EDGE('',*,*,#174743,.T.); #223986=ORIENTED_EDGE('',*,*,#174740,.T.); #223987=ORIENTED_EDGE('',*,*,#174737,.T.); #223988=ORIENTED_EDGE('',*,*,#174734,.T.); #223989=ORIENTED_EDGE('',*,*,#174731,.T.); #223990=ORIENTED_EDGE('',*,*,#174728,.T.); #223991=ORIENTED_EDGE('',*,*,#174725,.T.); #223992=ORIENTED_EDGE('',*,*,#174722,.T.); #223993=ORIENTED_EDGE('',*,*,#174719,.T.); #223994=ORIENTED_EDGE('',*,*,#174716,.T.); #223995=ORIENTED_EDGE('',*,*,#174713,.T.); #223996=ORIENTED_EDGE('',*,*,#174710,.T.); #223997=ORIENTED_EDGE('',*,*,#174707,.T.); #223998=ORIENTED_EDGE('',*,*,#174704,.T.); #223999=ORIENTED_EDGE('',*,*,#174742,.F.); #224000=ORIENTED_EDGE('',*,*,#174705,.F.); #224001=ORIENTED_EDGE('',*,*,#174709,.F.); #224002=ORIENTED_EDGE('',*,*,#174712,.F.); #224003=ORIENTED_EDGE('',*,*,#174715,.F.); #224004=ORIENTED_EDGE('',*,*,#174718,.F.); #224005=ORIENTED_EDGE('',*,*,#174721,.F.); #224006=ORIENTED_EDGE('',*,*,#174724,.F.); #224007=ORIENTED_EDGE('',*,*,#174727,.F.); #224008=ORIENTED_EDGE('',*,*,#174730,.F.); #224009=ORIENTED_EDGE('',*,*,#174733,.F.); #224010=ORIENTED_EDGE('',*,*,#174736,.F.); #224011=ORIENTED_EDGE('',*,*,#174739,.F.); #224012=ORIENTED_EDGE('',*,*,#174702,.T.); #224013=ORIENTED_EDGE('',*,*,#174744,.F.); #224014=ORIENTED_EDGE('',*,*,#174745,.T.); #224015=ORIENTED_EDGE('',*,*,#174746,.F.); #224016=ORIENTED_EDGE('',*,*,#174745,.F.); #224017=ORIENTED_EDGE('',*,*,#174747,.T.); #224018=ORIENTED_EDGE('',*,*,#174748,.T.); #224019=ORIENTED_EDGE('',*,*,#174749,.F.); #224020=ORIENTED_EDGE('',*,*,#174750,.F.); #224021=ORIENTED_EDGE('',*,*,#174751,.T.); #224022=ORIENTED_EDGE('',*,*,#174750,.T.); #224023=ORIENTED_EDGE('',*,*,#174752,.F.); #224024=ORIENTED_EDGE('',*,*,#174753,.F.); #224025=ORIENTED_EDGE('',*,*,#174754,.T.); #224026=ORIENTED_EDGE('',*,*,#174753,.T.); #224027=ORIENTED_EDGE('',*,*,#174755,.F.); #224028=ORIENTED_EDGE('',*,*,#174756,.F.); #224029=ORIENTED_EDGE('',*,*,#174757,.T.); #224030=ORIENTED_EDGE('',*,*,#174756,.T.); #224031=ORIENTED_EDGE('',*,*,#174758,.F.); #224032=ORIENTED_EDGE('',*,*,#174759,.F.); #224033=ORIENTED_EDGE('',*,*,#174760,.T.); #224034=ORIENTED_EDGE('',*,*,#174759,.T.); #224035=ORIENTED_EDGE('',*,*,#174761,.F.); #224036=ORIENTED_EDGE('',*,*,#174762,.F.); #224037=ORIENTED_EDGE('',*,*,#174763,.T.); #224038=ORIENTED_EDGE('',*,*,#174762,.T.); #224039=ORIENTED_EDGE('',*,*,#174764,.F.); #224040=ORIENTED_EDGE('',*,*,#174765,.F.); #224041=ORIENTED_EDGE('',*,*,#174766,.T.); #224042=ORIENTED_EDGE('',*,*,#174765,.T.); #224043=ORIENTED_EDGE('',*,*,#174767,.F.); #224044=ORIENTED_EDGE('',*,*,#174768,.F.); #224045=ORIENTED_EDGE('',*,*,#174769,.T.); #224046=ORIENTED_EDGE('',*,*,#174768,.T.); #224047=ORIENTED_EDGE('',*,*,#174770,.F.); #224048=ORIENTED_EDGE('',*,*,#174771,.F.); #224049=ORIENTED_EDGE('',*,*,#174772,.T.); #224050=ORIENTED_EDGE('',*,*,#174771,.T.); #224051=ORIENTED_EDGE('',*,*,#174773,.F.); #224052=ORIENTED_EDGE('',*,*,#174774,.F.); #224053=ORIENTED_EDGE('',*,*,#174775,.T.); #224054=ORIENTED_EDGE('',*,*,#174774,.T.); #224055=ORIENTED_EDGE('',*,*,#174776,.F.); #224056=ORIENTED_EDGE('',*,*,#174777,.F.); #224057=ORIENTED_EDGE('',*,*,#174778,.T.); #224058=ORIENTED_EDGE('',*,*,#174777,.T.); #224059=ORIENTED_EDGE('',*,*,#174779,.F.); #224060=ORIENTED_EDGE('',*,*,#174780,.F.); #224061=ORIENTED_EDGE('',*,*,#174781,.T.); #224062=ORIENTED_EDGE('',*,*,#174780,.T.); #224063=ORIENTED_EDGE('',*,*,#174782,.F.); #224064=ORIENTED_EDGE('',*,*,#174783,.F.); #224065=ORIENTED_EDGE('',*,*,#174784,.T.); #224066=ORIENTED_EDGE('',*,*,#174783,.T.); #224067=ORIENTED_EDGE('',*,*,#174785,.F.); #224068=ORIENTED_EDGE('',*,*,#174786,.F.); #224069=ORIENTED_EDGE('',*,*,#174787,.T.); #224070=ORIENTED_EDGE('',*,*,#174786,.T.); #224071=ORIENTED_EDGE('',*,*,#174788,.F.); #224072=ORIENTED_EDGE('',*,*,#174789,.F.); #224073=ORIENTED_EDGE('',*,*,#174790,.T.); #224074=ORIENTED_EDGE('',*,*,#174789,.T.); #224075=ORIENTED_EDGE('',*,*,#174791,.F.); #224076=ORIENTED_EDGE('',*,*,#174792,.F.); #224077=ORIENTED_EDGE('',*,*,#174793,.T.); #224078=ORIENTED_EDGE('',*,*,#174792,.T.); #224079=ORIENTED_EDGE('',*,*,#174794,.F.); #224080=ORIENTED_EDGE('',*,*,#174795,.F.); #224081=ORIENTED_EDGE('',*,*,#174796,.T.); #224082=ORIENTED_EDGE('',*,*,#174795,.T.); #224083=ORIENTED_EDGE('',*,*,#174797,.F.); #224084=ORIENTED_EDGE('',*,*,#174798,.F.); #224085=ORIENTED_EDGE('',*,*,#174799,.T.); #224086=ORIENTED_EDGE('',*,*,#174798,.T.); #224087=ORIENTED_EDGE('',*,*,#174800,.F.); #224088=ORIENTED_EDGE('',*,*,#174748,.F.); #224089=ORIENTED_EDGE('',*,*,#174800,.T.); #224090=ORIENTED_EDGE('',*,*,#174797,.T.); #224091=ORIENTED_EDGE('',*,*,#174794,.T.); #224092=ORIENTED_EDGE('',*,*,#174791,.T.); #224093=ORIENTED_EDGE('',*,*,#174788,.T.); #224094=ORIENTED_EDGE('',*,*,#174785,.T.); #224095=ORIENTED_EDGE('',*,*,#174782,.T.); #224096=ORIENTED_EDGE('',*,*,#174779,.T.); #224097=ORIENTED_EDGE('',*,*,#174776,.T.); #224098=ORIENTED_EDGE('',*,*,#174773,.T.); #224099=ORIENTED_EDGE('',*,*,#174770,.T.); #224100=ORIENTED_EDGE('',*,*,#174767,.T.); #224101=ORIENTED_EDGE('',*,*,#174764,.T.); #224102=ORIENTED_EDGE('',*,*,#174761,.T.); #224103=ORIENTED_EDGE('',*,*,#174758,.T.); #224104=ORIENTED_EDGE('',*,*,#174755,.T.); #224105=ORIENTED_EDGE('',*,*,#174752,.T.); #224106=ORIENTED_EDGE('',*,*,#174749,.T.); #224107=ORIENTED_EDGE('',*,*,#174746,.T.); #224108=ORIENTED_EDGE('',*,*,#174799,.F.); #224109=ORIENTED_EDGE('',*,*,#174747,.F.); #224110=ORIENTED_EDGE('',*,*,#174751,.F.); #224111=ORIENTED_EDGE('',*,*,#174754,.F.); #224112=ORIENTED_EDGE('',*,*,#174757,.F.); #224113=ORIENTED_EDGE('',*,*,#174760,.F.); #224114=ORIENTED_EDGE('',*,*,#174763,.F.); #224115=ORIENTED_EDGE('',*,*,#174766,.F.); #224116=ORIENTED_EDGE('',*,*,#174769,.F.); #224117=ORIENTED_EDGE('',*,*,#174772,.F.); #224118=ORIENTED_EDGE('',*,*,#174775,.F.); #224119=ORIENTED_EDGE('',*,*,#174778,.F.); #224120=ORIENTED_EDGE('',*,*,#174781,.F.); #224121=ORIENTED_EDGE('',*,*,#174784,.F.); #224122=ORIENTED_EDGE('',*,*,#174787,.F.); #224123=ORIENTED_EDGE('',*,*,#174790,.F.); #224124=ORIENTED_EDGE('',*,*,#174793,.F.); #224125=ORIENTED_EDGE('',*,*,#174796,.F.); #224126=ORIENTED_EDGE('',*,*,#174744,.T.); #224127=ORIENTED_EDGE('',*,*,#174801,.F.); #224128=ORIENTED_EDGE('',*,*,#174802,.T.); #224129=ORIENTED_EDGE('',*,*,#174803,.F.); #224130=ORIENTED_EDGE('',*,*,#174802,.F.); #224131=ORIENTED_EDGE('',*,*,#174804,.T.); #224132=ORIENTED_EDGE('',*,*,#174805,.T.); #224133=ORIENTED_EDGE('',*,*,#174806,.F.); #224134=ORIENTED_EDGE('',*,*,#174807,.F.); #224135=ORIENTED_EDGE('',*,*,#174808,.T.); #224136=ORIENTED_EDGE('',*,*,#174807,.T.); #224137=ORIENTED_EDGE('',*,*,#174809,.F.); #224138=ORIENTED_EDGE('',*,*,#174810,.F.); #224139=ORIENTED_EDGE('',*,*,#174811,.T.); #224140=ORIENTED_EDGE('',*,*,#174810,.T.); #224141=ORIENTED_EDGE('',*,*,#174812,.F.); #224142=ORIENTED_EDGE('',*,*,#174813,.F.); #224143=ORIENTED_EDGE('',*,*,#174814,.T.); #224144=ORIENTED_EDGE('',*,*,#174813,.T.); #224145=ORIENTED_EDGE('',*,*,#174815,.F.); #224146=ORIENTED_EDGE('',*,*,#174816,.F.); #224147=ORIENTED_EDGE('',*,*,#174817,.T.); #224148=ORIENTED_EDGE('',*,*,#174816,.T.); #224149=ORIENTED_EDGE('',*,*,#174818,.F.); #224150=ORIENTED_EDGE('',*,*,#174819,.F.); #224151=ORIENTED_EDGE('',*,*,#174820,.T.); #224152=ORIENTED_EDGE('',*,*,#174819,.T.); #224153=ORIENTED_EDGE('',*,*,#174821,.F.); #224154=ORIENTED_EDGE('',*,*,#174822,.F.); #224155=ORIENTED_EDGE('',*,*,#174823,.T.); #224156=ORIENTED_EDGE('',*,*,#174822,.T.); #224157=ORIENTED_EDGE('',*,*,#174824,.F.); #224158=ORIENTED_EDGE('',*,*,#174825,.F.); #224159=ORIENTED_EDGE('',*,*,#174826,.T.); #224160=ORIENTED_EDGE('',*,*,#174825,.T.); #224161=ORIENTED_EDGE('',*,*,#174827,.F.); #224162=ORIENTED_EDGE('',*,*,#174828,.F.); #224163=ORIENTED_EDGE('',*,*,#174829,.T.); #224164=ORIENTED_EDGE('',*,*,#174828,.T.); #224165=ORIENTED_EDGE('',*,*,#174830,.F.); #224166=ORIENTED_EDGE('',*,*,#174831,.F.); #224167=ORIENTED_EDGE('',*,*,#174832,.T.); #224168=ORIENTED_EDGE('',*,*,#174831,.T.); #224169=ORIENTED_EDGE('',*,*,#174833,.F.); #224170=ORIENTED_EDGE('',*,*,#174834,.F.); #224171=ORIENTED_EDGE('',*,*,#174835,.T.); #224172=ORIENTED_EDGE('',*,*,#174834,.T.); #224173=ORIENTED_EDGE('',*,*,#174836,.F.); #224174=ORIENTED_EDGE('',*,*,#174837,.F.); #224175=ORIENTED_EDGE('',*,*,#174838,.T.); #224176=ORIENTED_EDGE('',*,*,#174837,.T.); #224177=ORIENTED_EDGE('',*,*,#174839,.F.); #224178=ORIENTED_EDGE('',*,*,#174840,.F.); #224179=ORIENTED_EDGE('',*,*,#174841,.T.); #224180=ORIENTED_EDGE('',*,*,#174840,.T.); #224181=ORIENTED_EDGE('',*,*,#174842,.F.); #224182=ORIENTED_EDGE('',*,*,#174805,.F.); #224183=ORIENTED_EDGE('',*,*,#174842,.T.); #224184=ORIENTED_EDGE('',*,*,#174839,.T.); #224185=ORIENTED_EDGE('',*,*,#174836,.T.); #224186=ORIENTED_EDGE('',*,*,#174833,.T.); #224187=ORIENTED_EDGE('',*,*,#174830,.T.); #224188=ORIENTED_EDGE('',*,*,#174827,.T.); #224189=ORIENTED_EDGE('',*,*,#174824,.T.); #224190=ORIENTED_EDGE('',*,*,#174821,.T.); #224191=ORIENTED_EDGE('',*,*,#174818,.T.); #224192=ORIENTED_EDGE('',*,*,#174815,.T.); #224193=ORIENTED_EDGE('',*,*,#174812,.T.); #224194=ORIENTED_EDGE('',*,*,#174809,.T.); #224195=ORIENTED_EDGE('',*,*,#174806,.T.); #224196=ORIENTED_EDGE('',*,*,#174803,.T.); #224197=ORIENTED_EDGE('',*,*,#174841,.F.); #224198=ORIENTED_EDGE('',*,*,#174804,.F.); #224199=ORIENTED_EDGE('',*,*,#174808,.F.); #224200=ORIENTED_EDGE('',*,*,#174811,.F.); #224201=ORIENTED_EDGE('',*,*,#174814,.F.); #224202=ORIENTED_EDGE('',*,*,#174817,.F.); #224203=ORIENTED_EDGE('',*,*,#174820,.F.); #224204=ORIENTED_EDGE('',*,*,#174823,.F.); #224205=ORIENTED_EDGE('',*,*,#174826,.F.); #224206=ORIENTED_EDGE('',*,*,#174829,.F.); #224207=ORIENTED_EDGE('',*,*,#174832,.F.); #224208=ORIENTED_EDGE('',*,*,#174835,.F.); #224209=ORIENTED_EDGE('',*,*,#174838,.F.); #224210=ORIENTED_EDGE('',*,*,#174801,.T.); #224211=ORIENTED_EDGE('',*,*,#174843,.F.); #224212=ORIENTED_EDGE('',*,*,#174844,.T.); #224213=ORIENTED_EDGE('',*,*,#174845,.F.); #224214=ORIENTED_EDGE('',*,*,#174844,.F.); #224215=ORIENTED_EDGE('',*,*,#174846,.T.); #224216=ORIENTED_EDGE('',*,*,#174847,.T.); #224217=ORIENTED_EDGE('',*,*,#174848,.F.); #224218=ORIENTED_EDGE('',*,*,#174849,.F.); #224219=ORIENTED_EDGE('',*,*,#174850,.T.); #224220=ORIENTED_EDGE('',*,*,#174849,.T.); #224221=ORIENTED_EDGE('',*,*,#174851,.F.); #224222=ORIENTED_EDGE('',*,*,#174852,.F.); #224223=ORIENTED_EDGE('',*,*,#174853,.T.); #224224=ORIENTED_EDGE('',*,*,#174852,.T.); #224225=ORIENTED_EDGE('',*,*,#174854,.F.); #224226=ORIENTED_EDGE('',*,*,#174855,.F.); #224227=ORIENTED_EDGE('',*,*,#174856,.T.); #224228=ORIENTED_EDGE('',*,*,#174855,.T.); #224229=ORIENTED_EDGE('',*,*,#174857,.F.); #224230=ORIENTED_EDGE('',*,*,#174858,.F.); #224231=ORIENTED_EDGE('',*,*,#174859,.T.); #224232=ORIENTED_EDGE('',*,*,#174858,.T.); #224233=ORIENTED_EDGE('',*,*,#174860,.F.); #224234=ORIENTED_EDGE('',*,*,#174861,.F.); #224235=ORIENTED_EDGE('',*,*,#174862,.T.); #224236=ORIENTED_EDGE('',*,*,#174861,.T.); #224237=ORIENTED_EDGE('',*,*,#174863,.F.); #224238=ORIENTED_EDGE('',*,*,#174864,.F.); #224239=ORIENTED_EDGE('',*,*,#174865,.T.); #224240=ORIENTED_EDGE('',*,*,#174864,.T.); #224241=ORIENTED_EDGE('',*,*,#174866,.F.); #224242=ORIENTED_EDGE('',*,*,#174867,.F.); #224243=ORIENTED_EDGE('',*,*,#174868,.T.); #224244=ORIENTED_EDGE('',*,*,#174867,.T.); #224245=ORIENTED_EDGE('',*,*,#174869,.F.); #224246=ORIENTED_EDGE('',*,*,#174870,.F.); #224247=ORIENTED_EDGE('',*,*,#174871,.T.); #224248=ORIENTED_EDGE('',*,*,#174870,.T.); #224249=ORIENTED_EDGE('',*,*,#174872,.F.); #224250=ORIENTED_EDGE('',*,*,#174873,.F.); #224251=ORIENTED_EDGE('',*,*,#174874,.T.); #224252=ORIENTED_EDGE('',*,*,#174873,.T.); #224253=ORIENTED_EDGE('',*,*,#174875,.F.); #224254=ORIENTED_EDGE('',*,*,#174876,.F.); #224255=ORIENTED_EDGE('',*,*,#174877,.T.); #224256=ORIENTED_EDGE('',*,*,#174876,.T.); #224257=ORIENTED_EDGE('',*,*,#174878,.F.); #224258=ORIENTED_EDGE('',*,*,#174879,.F.); #224259=ORIENTED_EDGE('',*,*,#174880,.T.); #224260=ORIENTED_EDGE('',*,*,#174879,.T.); #224261=ORIENTED_EDGE('',*,*,#174881,.F.); #224262=ORIENTED_EDGE('',*,*,#174882,.F.); #224263=ORIENTED_EDGE('',*,*,#174883,.T.); #224264=ORIENTED_EDGE('',*,*,#174882,.T.); #224265=ORIENTED_EDGE('',*,*,#174884,.F.); #224266=ORIENTED_EDGE('',*,*,#174885,.F.); #224267=ORIENTED_EDGE('',*,*,#174886,.T.); #224268=ORIENTED_EDGE('',*,*,#174885,.T.); #224269=ORIENTED_EDGE('',*,*,#174887,.F.); #224270=ORIENTED_EDGE('',*,*,#174888,.F.); #224271=ORIENTED_EDGE('',*,*,#174889,.T.); #224272=ORIENTED_EDGE('',*,*,#174888,.T.); #224273=ORIENTED_EDGE('',*,*,#174890,.F.); #224274=ORIENTED_EDGE('',*,*,#174891,.F.); #224275=ORIENTED_EDGE('',*,*,#174892,.T.); #224276=ORIENTED_EDGE('',*,*,#174891,.T.); #224277=ORIENTED_EDGE('',*,*,#174893,.F.); #224278=ORIENTED_EDGE('',*,*,#174894,.F.); #224279=ORIENTED_EDGE('',*,*,#174895,.T.); #224280=ORIENTED_EDGE('',*,*,#174894,.T.); #224281=ORIENTED_EDGE('',*,*,#174896,.F.); #224282=ORIENTED_EDGE('',*,*,#174897,.F.); #224283=ORIENTED_EDGE('',*,*,#174898,.T.); #224284=ORIENTED_EDGE('',*,*,#174897,.T.); #224285=ORIENTED_EDGE('',*,*,#174899,.F.); #224286=ORIENTED_EDGE('',*,*,#174847,.F.); #224287=ORIENTED_EDGE('',*,*,#174899,.T.); #224288=ORIENTED_EDGE('',*,*,#174896,.T.); #224289=ORIENTED_EDGE('',*,*,#174893,.T.); #224290=ORIENTED_EDGE('',*,*,#174890,.T.); #224291=ORIENTED_EDGE('',*,*,#174887,.T.); #224292=ORIENTED_EDGE('',*,*,#174884,.T.); #224293=ORIENTED_EDGE('',*,*,#174881,.T.); #224294=ORIENTED_EDGE('',*,*,#174878,.T.); #224295=ORIENTED_EDGE('',*,*,#174875,.T.); #224296=ORIENTED_EDGE('',*,*,#174872,.T.); #224297=ORIENTED_EDGE('',*,*,#174869,.T.); #224298=ORIENTED_EDGE('',*,*,#174866,.T.); #224299=ORIENTED_EDGE('',*,*,#174863,.T.); #224300=ORIENTED_EDGE('',*,*,#174860,.T.); #224301=ORIENTED_EDGE('',*,*,#174857,.T.); #224302=ORIENTED_EDGE('',*,*,#174854,.T.); #224303=ORIENTED_EDGE('',*,*,#174851,.T.); #224304=ORIENTED_EDGE('',*,*,#174848,.T.); #224305=ORIENTED_EDGE('',*,*,#174845,.T.); #224306=ORIENTED_EDGE('',*,*,#174898,.F.); #224307=ORIENTED_EDGE('',*,*,#174846,.F.); #224308=ORIENTED_EDGE('',*,*,#174850,.F.); #224309=ORIENTED_EDGE('',*,*,#174853,.F.); #224310=ORIENTED_EDGE('',*,*,#174856,.F.); #224311=ORIENTED_EDGE('',*,*,#174859,.F.); #224312=ORIENTED_EDGE('',*,*,#174862,.F.); #224313=ORIENTED_EDGE('',*,*,#174865,.F.); #224314=ORIENTED_EDGE('',*,*,#174868,.F.); #224315=ORIENTED_EDGE('',*,*,#174871,.F.); #224316=ORIENTED_EDGE('',*,*,#174874,.F.); #224317=ORIENTED_EDGE('',*,*,#174877,.F.); #224318=ORIENTED_EDGE('',*,*,#174880,.F.); #224319=ORIENTED_EDGE('',*,*,#174883,.F.); #224320=ORIENTED_EDGE('',*,*,#174886,.F.); #224321=ORIENTED_EDGE('',*,*,#174889,.F.); #224322=ORIENTED_EDGE('',*,*,#174892,.F.); #224323=ORIENTED_EDGE('',*,*,#174895,.F.); #224324=ORIENTED_EDGE('',*,*,#174843,.T.); #224325=ORIENTED_EDGE('',*,*,#174900,.F.); #224326=ORIENTED_EDGE('',*,*,#174901,.T.); #224327=ORIENTED_EDGE('',*,*,#174902,.F.); #224328=ORIENTED_EDGE('',*,*,#174901,.F.); #224329=ORIENTED_EDGE('',*,*,#174903,.T.); #224330=ORIENTED_EDGE('',*,*,#174904,.T.); #224331=ORIENTED_EDGE('',*,*,#174905,.F.); #224332=ORIENTED_EDGE('',*,*,#174906,.F.); #224333=ORIENTED_EDGE('',*,*,#174907,.T.); #224334=ORIENTED_EDGE('',*,*,#174906,.T.); #224335=ORIENTED_EDGE('',*,*,#174908,.F.); #224336=ORIENTED_EDGE('',*,*,#174909,.F.); #224337=ORIENTED_EDGE('',*,*,#174910,.T.); #224338=ORIENTED_EDGE('',*,*,#174909,.T.); #224339=ORIENTED_EDGE('',*,*,#174911,.F.); #224340=ORIENTED_EDGE('',*,*,#174912,.F.); #224341=ORIENTED_EDGE('',*,*,#174913,.T.); #224342=ORIENTED_EDGE('',*,*,#174912,.T.); #224343=ORIENTED_EDGE('',*,*,#174914,.F.); #224344=ORIENTED_EDGE('',*,*,#174915,.F.); #224345=ORIENTED_EDGE('',*,*,#174916,.T.); #224346=ORIENTED_EDGE('',*,*,#174915,.T.); #224347=ORIENTED_EDGE('',*,*,#174917,.F.); #224348=ORIENTED_EDGE('',*,*,#174918,.F.); #224349=ORIENTED_EDGE('',*,*,#174919,.T.); #224350=ORIENTED_EDGE('',*,*,#174918,.T.); #224351=ORIENTED_EDGE('',*,*,#174920,.F.); #224352=ORIENTED_EDGE('',*,*,#174921,.F.); #224353=ORIENTED_EDGE('',*,*,#174922,.T.); #224354=ORIENTED_EDGE('',*,*,#174921,.T.); #224355=ORIENTED_EDGE('',*,*,#174923,.F.); #224356=ORIENTED_EDGE('',*,*,#174924,.F.); #224357=ORIENTED_EDGE('',*,*,#174925,.T.); #224358=ORIENTED_EDGE('',*,*,#174924,.T.); #224359=ORIENTED_EDGE('',*,*,#174926,.F.); #224360=ORIENTED_EDGE('',*,*,#174927,.F.); #224361=ORIENTED_EDGE('',*,*,#174928,.T.); #224362=ORIENTED_EDGE('',*,*,#174927,.T.); #224363=ORIENTED_EDGE('',*,*,#174929,.F.); #224364=ORIENTED_EDGE('',*,*,#174930,.F.); #224365=ORIENTED_EDGE('',*,*,#174931,.T.); #224366=ORIENTED_EDGE('',*,*,#174930,.T.); #224367=ORIENTED_EDGE('',*,*,#174932,.F.); #224368=ORIENTED_EDGE('',*,*,#174933,.F.); #224369=ORIENTED_EDGE('',*,*,#174934,.T.); #224370=ORIENTED_EDGE('',*,*,#174933,.T.); #224371=ORIENTED_EDGE('',*,*,#174935,.F.); #224372=ORIENTED_EDGE('',*,*,#174904,.F.); #224373=ORIENTED_EDGE('',*,*,#174935,.T.); #224374=ORIENTED_EDGE('',*,*,#174932,.T.); #224375=ORIENTED_EDGE('',*,*,#174929,.T.); #224376=ORIENTED_EDGE('',*,*,#174926,.T.); #224377=ORIENTED_EDGE('',*,*,#174923,.T.); #224378=ORIENTED_EDGE('',*,*,#174920,.T.); #224379=ORIENTED_EDGE('',*,*,#174917,.T.); #224380=ORIENTED_EDGE('',*,*,#174914,.T.); #224381=ORIENTED_EDGE('',*,*,#174911,.T.); #224382=ORIENTED_EDGE('',*,*,#174908,.T.); #224383=ORIENTED_EDGE('',*,*,#174905,.T.); #224384=ORIENTED_EDGE('',*,*,#174902,.T.); #224385=ORIENTED_EDGE('',*,*,#174934,.F.); #224386=ORIENTED_EDGE('',*,*,#174903,.F.); #224387=ORIENTED_EDGE('',*,*,#174907,.F.); #224388=ORIENTED_EDGE('',*,*,#174910,.F.); #224389=ORIENTED_EDGE('',*,*,#174913,.F.); #224390=ORIENTED_EDGE('',*,*,#174916,.F.); #224391=ORIENTED_EDGE('',*,*,#174919,.F.); #224392=ORIENTED_EDGE('',*,*,#174922,.F.); #224393=ORIENTED_EDGE('',*,*,#174925,.F.); #224394=ORIENTED_EDGE('',*,*,#174928,.F.); #224395=ORIENTED_EDGE('',*,*,#174931,.F.); #224396=ORIENTED_EDGE('',*,*,#174900,.T.); #224397=ORIENTED_EDGE('',*,*,#174936,.F.); #224398=ORIENTED_EDGE('',*,*,#174937,.T.); #224399=ORIENTED_EDGE('',*,*,#174938,.F.); #224400=ORIENTED_EDGE('',*,*,#174937,.F.); #224401=ORIENTED_EDGE('',*,*,#174939,.T.); #224402=ORIENTED_EDGE('',*,*,#174940,.T.); #224403=ORIENTED_EDGE('',*,*,#174941,.F.); #224404=ORIENTED_EDGE('',*,*,#174942,.F.); #224405=ORIENTED_EDGE('',*,*,#174943,.T.); #224406=ORIENTED_EDGE('',*,*,#174942,.T.); #224407=ORIENTED_EDGE('',*,*,#174944,.F.); #224408=ORIENTED_EDGE('',*,*,#174945,.F.); #224409=ORIENTED_EDGE('',*,*,#174946,.T.); #224410=ORIENTED_EDGE('',*,*,#174945,.T.); #224411=ORIENTED_EDGE('',*,*,#174947,.F.); #224412=ORIENTED_EDGE('',*,*,#174948,.F.); #224413=ORIENTED_EDGE('',*,*,#174949,.T.); #224414=ORIENTED_EDGE('',*,*,#174948,.T.); #224415=ORIENTED_EDGE('',*,*,#174950,.F.); #224416=ORIENTED_EDGE('',*,*,#174951,.F.); #224417=ORIENTED_EDGE('',*,*,#174952,.T.); #224418=ORIENTED_EDGE('',*,*,#174951,.T.); #224419=ORIENTED_EDGE('',*,*,#174953,.F.); #224420=ORIENTED_EDGE('',*,*,#174954,.F.); #224421=ORIENTED_EDGE('',*,*,#174955,.T.); #224422=ORIENTED_EDGE('',*,*,#174954,.T.); #224423=ORIENTED_EDGE('',*,*,#174956,.F.); #224424=ORIENTED_EDGE('',*,*,#174957,.F.); #224425=ORIENTED_EDGE('',*,*,#174958,.T.); #224426=ORIENTED_EDGE('',*,*,#174957,.T.); #224427=ORIENTED_EDGE('',*,*,#174959,.F.); #224428=ORIENTED_EDGE('',*,*,#174960,.F.); #224429=ORIENTED_EDGE('',*,*,#174961,.T.); #224430=ORIENTED_EDGE('',*,*,#174960,.T.); #224431=ORIENTED_EDGE('',*,*,#174962,.F.); #224432=ORIENTED_EDGE('',*,*,#174963,.F.); #224433=ORIENTED_EDGE('',*,*,#174964,.T.); #224434=ORIENTED_EDGE('',*,*,#174963,.T.); #224435=ORIENTED_EDGE('',*,*,#174965,.F.); #224436=ORIENTED_EDGE('',*,*,#174966,.F.); #224437=ORIENTED_EDGE('',*,*,#174967,.T.); #224438=ORIENTED_EDGE('',*,*,#174966,.T.); #224439=ORIENTED_EDGE('',*,*,#174968,.F.); #224440=ORIENTED_EDGE('',*,*,#174969,.F.); #224441=ORIENTED_EDGE('',*,*,#174970,.T.); #224442=ORIENTED_EDGE('',*,*,#174969,.T.); #224443=ORIENTED_EDGE('',*,*,#174971,.F.); #224444=ORIENTED_EDGE('',*,*,#174940,.F.); #224445=ORIENTED_EDGE('',*,*,#174971,.T.); #224446=ORIENTED_EDGE('',*,*,#174968,.T.); #224447=ORIENTED_EDGE('',*,*,#174965,.T.); #224448=ORIENTED_EDGE('',*,*,#174962,.T.); #224449=ORIENTED_EDGE('',*,*,#174959,.T.); #224450=ORIENTED_EDGE('',*,*,#174956,.T.); #224451=ORIENTED_EDGE('',*,*,#174953,.T.); #224452=ORIENTED_EDGE('',*,*,#174950,.T.); #224453=ORIENTED_EDGE('',*,*,#174947,.T.); #224454=ORIENTED_EDGE('',*,*,#174944,.T.); #224455=ORIENTED_EDGE('',*,*,#174941,.T.); #224456=ORIENTED_EDGE('',*,*,#174938,.T.); #224457=ORIENTED_EDGE('',*,*,#174970,.F.); #224458=ORIENTED_EDGE('',*,*,#174939,.F.); #224459=ORIENTED_EDGE('',*,*,#174943,.F.); #224460=ORIENTED_EDGE('',*,*,#174946,.F.); #224461=ORIENTED_EDGE('',*,*,#174949,.F.); #224462=ORIENTED_EDGE('',*,*,#174952,.F.); #224463=ORIENTED_EDGE('',*,*,#174955,.F.); #224464=ORIENTED_EDGE('',*,*,#174958,.F.); #224465=ORIENTED_EDGE('',*,*,#174961,.F.); #224466=ORIENTED_EDGE('',*,*,#174964,.F.); #224467=ORIENTED_EDGE('',*,*,#174967,.F.); #224468=ORIENTED_EDGE('',*,*,#174936,.T.); #224469=ORIENTED_EDGE('',*,*,#174972,.F.); #224470=ORIENTED_EDGE('',*,*,#174973,.T.); #224471=ORIENTED_EDGE('',*,*,#174974,.F.); #224472=ORIENTED_EDGE('',*,*,#174973,.F.); #224473=ORIENTED_EDGE('',*,*,#174975,.T.); #224474=ORIENTED_EDGE('',*,*,#174976,.T.); #224475=ORIENTED_EDGE('',*,*,#174977,.F.); #224476=ORIENTED_EDGE('',*,*,#174978,.F.); #224477=ORIENTED_EDGE('',*,*,#174979,.T.); #224478=ORIENTED_EDGE('',*,*,#174978,.T.); #224479=ORIENTED_EDGE('',*,*,#174980,.F.); #224480=ORIENTED_EDGE('',*,*,#174981,.F.); #224481=ORIENTED_EDGE('',*,*,#174982,.T.); #224482=ORIENTED_EDGE('',*,*,#174981,.T.); #224483=ORIENTED_EDGE('',*,*,#174983,.F.); #224484=ORIENTED_EDGE('',*,*,#174984,.F.); #224485=ORIENTED_EDGE('',*,*,#174985,.T.); #224486=ORIENTED_EDGE('',*,*,#174984,.T.); #224487=ORIENTED_EDGE('',*,*,#174986,.F.); #224488=ORIENTED_EDGE('',*,*,#174987,.F.); #224489=ORIENTED_EDGE('',*,*,#174988,.T.); #224490=ORIENTED_EDGE('',*,*,#174987,.T.); #224491=ORIENTED_EDGE('',*,*,#174989,.F.); #224492=ORIENTED_EDGE('',*,*,#174990,.F.); #224493=ORIENTED_EDGE('',*,*,#174991,.T.); #224494=ORIENTED_EDGE('',*,*,#174990,.T.); #224495=ORIENTED_EDGE('',*,*,#174992,.F.); #224496=ORIENTED_EDGE('',*,*,#174993,.F.); #224497=ORIENTED_EDGE('',*,*,#174994,.T.); #224498=ORIENTED_EDGE('',*,*,#174993,.T.); #224499=ORIENTED_EDGE('',*,*,#174995,.F.); #224500=ORIENTED_EDGE('',*,*,#174996,.F.); #224501=ORIENTED_EDGE('',*,*,#174997,.T.); #224502=ORIENTED_EDGE('',*,*,#174996,.T.); #224503=ORIENTED_EDGE('',*,*,#174998,.F.); #224504=ORIENTED_EDGE('',*,*,#174999,.F.); #224505=ORIENTED_EDGE('',*,*,#175000,.T.); #224506=ORIENTED_EDGE('',*,*,#174999,.T.); #224507=ORIENTED_EDGE('',*,*,#175001,.F.); #224508=ORIENTED_EDGE('',*,*,#175002,.F.); #224509=ORIENTED_EDGE('',*,*,#175003,.T.); #224510=ORIENTED_EDGE('',*,*,#175002,.T.); #224511=ORIENTED_EDGE('',*,*,#175004,.F.); #224512=ORIENTED_EDGE('',*,*,#175005,.F.); #224513=ORIENTED_EDGE('',*,*,#175006,.T.); #224514=ORIENTED_EDGE('',*,*,#175005,.T.); #224515=ORIENTED_EDGE('',*,*,#175007,.F.); #224516=ORIENTED_EDGE('',*,*,#175008,.F.); #224517=ORIENTED_EDGE('',*,*,#175009,.T.); #224518=ORIENTED_EDGE('',*,*,#175008,.T.); #224519=ORIENTED_EDGE('',*,*,#175010,.F.); #224520=ORIENTED_EDGE('',*,*,#175011,.F.); #224521=ORIENTED_EDGE('',*,*,#175012,.T.); #224522=ORIENTED_EDGE('',*,*,#175011,.T.); #224523=ORIENTED_EDGE('',*,*,#175013,.F.); #224524=ORIENTED_EDGE('',*,*,#175014,.F.); #224525=ORIENTED_EDGE('',*,*,#175015,.T.); #224526=ORIENTED_EDGE('',*,*,#175014,.T.); #224527=ORIENTED_EDGE('',*,*,#175016,.F.); #224528=ORIENTED_EDGE('',*,*,#175017,.F.); #224529=ORIENTED_EDGE('',*,*,#175018,.T.); #224530=ORIENTED_EDGE('',*,*,#175017,.T.); #224531=ORIENTED_EDGE('',*,*,#175019,.F.); #224532=ORIENTED_EDGE('',*,*,#175020,.F.); #224533=ORIENTED_EDGE('',*,*,#175021,.T.); #224534=ORIENTED_EDGE('',*,*,#175020,.T.); #224535=ORIENTED_EDGE('',*,*,#175022,.F.); #224536=ORIENTED_EDGE('',*,*,#175023,.F.); #224537=ORIENTED_EDGE('',*,*,#175024,.T.); #224538=ORIENTED_EDGE('',*,*,#175023,.T.); #224539=ORIENTED_EDGE('',*,*,#175025,.F.); #224540=ORIENTED_EDGE('',*,*,#175026,.F.); #224541=ORIENTED_EDGE('',*,*,#175027,.T.); #224542=ORIENTED_EDGE('',*,*,#175026,.T.); #224543=ORIENTED_EDGE('',*,*,#175028,.F.); #224544=ORIENTED_EDGE('',*,*,#175029,.F.); #224545=ORIENTED_EDGE('',*,*,#175030,.T.); #224546=ORIENTED_EDGE('',*,*,#175029,.T.); #224547=ORIENTED_EDGE('',*,*,#175031,.F.); #224548=ORIENTED_EDGE('',*,*,#175032,.F.); #224549=ORIENTED_EDGE('',*,*,#175033,.T.); #224550=ORIENTED_EDGE('',*,*,#175032,.T.); #224551=ORIENTED_EDGE('',*,*,#175034,.F.); #224552=ORIENTED_EDGE('',*,*,#175035,.F.); #224553=ORIENTED_EDGE('',*,*,#175036,.T.); #224554=ORIENTED_EDGE('',*,*,#175035,.T.); #224555=ORIENTED_EDGE('',*,*,#175037,.F.); #224556=ORIENTED_EDGE('',*,*,#175038,.F.); #224557=ORIENTED_EDGE('',*,*,#175039,.T.); #224558=ORIENTED_EDGE('',*,*,#175038,.T.); #224559=ORIENTED_EDGE('',*,*,#175040,.F.); #224560=ORIENTED_EDGE('',*,*,#175041,.F.); #224561=ORIENTED_EDGE('',*,*,#175042,.T.); #224562=ORIENTED_EDGE('',*,*,#175041,.T.); #224563=ORIENTED_EDGE('',*,*,#175043,.F.); #224564=ORIENTED_EDGE('',*,*,#174976,.F.); #224565=ORIENTED_EDGE('',*,*,#175043,.T.); #224566=ORIENTED_EDGE('',*,*,#175040,.T.); #224567=ORIENTED_EDGE('',*,*,#175037,.T.); #224568=ORIENTED_EDGE('',*,*,#175034,.T.); #224569=ORIENTED_EDGE('',*,*,#175031,.T.); #224570=ORIENTED_EDGE('',*,*,#175028,.T.); #224571=ORIENTED_EDGE('',*,*,#175025,.T.); #224572=ORIENTED_EDGE('',*,*,#175022,.T.); #224573=ORIENTED_EDGE('',*,*,#175019,.T.); #224574=ORIENTED_EDGE('',*,*,#175016,.T.); #224575=ORIENTED_EDGE('',*,*,#175013,.T.); #224576=ORIENTED_EDGE('',*,*,#175010,.T.); #224577=ORIENTED_EDGE('',*,*,#175007,.T.); #224578=ORIENTED_EDGE('',*,*,#175004,.T.); #224579=ORIENTED_EDGE('',*,*,#175001,.T.); #224580=ORIENTED_EDGE('',*,*,#174998,.T.); #224581=ORIENTED_EDGE('',*,*,#174995,.T.); #224582=ORIENTED_EDGE('',*,*,#174992,.T.); #224583=ORIENTED_EDGE('',*,*,#174989,.T.); #224584=ORIENTED_EDGE('',*,*,#174986,.T.); #224585=ORIENTED_EDGE('',*,*,#174983,.T.); #224586=ORIENTED_EDGE('',*,*,#174980,.T.); #224587=ORIENTED_EDGE('',*,*,#174977,.T.); #224588=ORIENTED_EDGE('',*,*,#174974,.T.); #224589=ORIENTED_EDGE('',*,*,#175042,.F.); #224590=ORIENTED_EDGE('',*,*,#174975,.F.); #224591=ORIENTED_EDGE('',*,*,#174979,.F.); #224592=ORIENTED_EDGE('',*,*,#174982,.F.); #224593=ORIENTED_EDGE('',*,*,#174985,.F.); #224594=ORIENTED_EDGE('',*,*,#174988,.F.); #224595=ORIENTED_EDGE('',*,*,#174991,.F.); #224596=ORIENTED_EDGE('',*,*,#174994,.F.); #224597=ORIENTED_EDGE('',*,*,#174997,.F.); #224598=ORIENTED_EDGE('',*,*,#175000,.F.); #224599=ORIENTED_EDGE('',*,*,#175003,.F.); #224600=ORIENTED_EDGE('',*,*,#175006,.F.); #224601=ORIENTED_EDGE('',*,*,#175009,.F.); #224602=ORIENTED_EDGE('',*,*,#175012,.F.); #224603=ORIENTED_EDGE('',*,*,#175015,.F.); #224604=ORIENTED_EDGE('',*,*,#175018,.F.); #224605=ORIENTED_EDGE('',*,*,#175021,.F.); #224606=ORIENTED_EDGE('',*,*,#175024,.F.); #224607=ORIENTED_EDGE('',*,*,#175027,.F.); #224608=ORIENTED_EDGE('',*,*,#175030,.F.); #224609=ORIENTED_EDGE('',*,*,#175033,.F.); #224610=ORIENTED_EDGE('',*,*,#175036,.F.); #224611=ORIENTED_EDGE('',*,*,#175039,.F.); #224612=ORIENTED_EDGE('',*,*,#174972,.T.); #224613=ORIENTED_EDGE('',*,*,#175044,.F.); #224614=ORIENTED_EDGE('',*,*,#175045,.T.); #224615=ORIENTED_EDGE('',*,*,#175046,.F.); #224616=ORIENTED_EDGE('',*,*,#175045,.F.); #224617=ORIENTED_EDGE('',*,*,#175047,.T.); #224618=ORIENTED_EDGE('',*,*,#175048,.T.); #224619=ORIENTED_EDGE('',*,*,#175049,.F.); #224620=ORIENTED_EDGE('',*,*,#175050,.F.); #224621=ORIENTED_EDGE('',*,*,#175051,.T.); #224622=ORIENTED_EDGE('',*,*,#175050,.T.); #224623=ORIENTED_EDGE('',*,*,#175052,.F.); #224624=ORIENTED_EDGE('',*,*,#175053,.F.); #224625=ORIENTED_EDGE('',*,*,#175054,.T.); #224626=ORIENTED_EDGE('',*,*,#175053,.T.); #224627=ORIENTED_EDGE('',*,*,#175055,.F.); #224628=ORIENTED_EDGE('',*,*,#175056,.F.); #224629=ORIENTED_EDGE('',*,*,#175057,.T.); #224630=ORIENTED_EDGE('',*,*,#175056,.T.); #224631=ORIENTED_EDGE('',*,*,#175058,.F.); #224632=ORIENTED_EDGE('',*,*,#175059,.F.); #224633=ORIENTED_EDGE('',*,*,#175060,.T.); #224634=ORIENTED_EDGE('',*,*,#175059,.T.); #224635=ORIENTED_EDGE('',*,*,#175061,.F.); #224636=ORIENTED_EDGE('',*,*,#175062,.F.); #224637=ORIENTED_EDGE('',*,*,#175063,.T.); #224638=ORIENTED_EDGE('',*,*,#175062,.T.); #224639=ORIENTED_EDGE('',*,*,#175064,.F.); #224640=ORIENTED_EDGE('',*,*,#175065,.F.); #224641=ORIENTED_EDGE('',*,*,#175066,.T.); #224642=ORIENTED_EDGE('',*,*,#175065,.T.); #224643=ORIENTED_EDGE('',*,*,#175067,.F.); #224644=ORIENTED_EDGE('',*,*,#175068,.F.); #224645=ORIENTED_EDGE('',*,*,#175069,.T.); #224646=ORIENTED_EDGE('',*,*,#175068,.T.); #224647=ORIENTED_EDGE('',*,*,#175070,.F.); #224648=ORIENTED_EDGE('',*,*,#175071,.F.); #224649=ORIENTED_EDGE('',*,*,#175072,.T.); #224650=ORIENTED_EDGE('',*,*,#175071,.T.); #224651=ORIENTED_EDGE('',*,*,#175073,.F.); #224652=ORIENTED_EDGE('',*,*,#175074,.F.); #224653=ORIENTED_EDGE('',*,*,#175075,.T.); #224654=ORIENTED_EDGE('',*,*,#175074,.T.); #224655=ORIENTED_EDGE('',*,*,#175076,.F.); #224656=ORIENTED_EDGE('',*,*,#175077,.F.); #224657=ORIENTED_EDGE('',*,*,#175078,.T.); #224658=ORIENTED_EDGE('',*,*,#175077,.T.); #224659=ORIENTED_EDGE('',*,*,#175079,.F.); #224660=ORIENTED_EDGE('',*,*,#175080,.F.); #224661=ORIENTED_EDGE('',*,*,#175081,.T.); #224662=ORIENTED_EDGE('',*,*,#175080,.T.); #224663=ORIENTED_EDGE('',*,*,#175082,.F.); #224664=ORIENTED_EDGE('',*,*,#175083,.F.); #224665=ORIENTED_EDGE('',*,*,#175084,.T.); #224666=ORIENTED_EDGE('',*,*,#175083,.T.); #224667=ORIENTED_EDGE('',*,*,#175085,.F.); #224668=ORIENTED_EDGE('',*,*,#175086,.F.); #224669=ORIENTED_EDGE('',*,*,#175087,.T.); #224670=ORIENTED_EDGE('',*,*,#175086,.T.); #224671=ORIENTED_EDGE('',*,*,#175088,.F.); #224672=ORIENTED_EDGE('',*,*,#175089,.F.); #224673=ORIENTED_EDGE('',*,*,#175090,.T.); #224674=ORIENTED_EDGE('',*,*,#175089,.T.); #224675=ORIENTED_EDGE('',*,*,#175091,.F.); #224676=ORIENTED_EDGE('',*,*,#175092,.F.); #224677=ORIENTED_EDGE('',*,*,#175093,.T.); #224678=ORIENTED_EDGE('',*,*,#175092,.T.); #224679=ORIENTED_EDGE('',*,*,#175094,.F.); #224680=ORIENTED_EDGE('',*,*,#175095,.F.); #224681=ORIENTED_EDGE('',*,*,#175096,.T.); #224682=ORIENTED_EDGE('',*,*,#175095,.T.); #224683=ORIENTED_EDGE('',*,*,#175097,.F.); #224684=ORIENTED_EDGE('',*,*,#175048,.F.); #224685=ORIENTED_EDGE('',*,*,#175097,.T.); #224686=ORIENTED_EDGE('',*,*,#175094,.T.); #224687=ORIENTED_EDGE('',*,*,#175091,.T.); #224688=ORIENTED_EDGE('',*,*,#175088,.T.); #224689=ORIENTED_EDGE('',*,*,#175085,.T.); #224690=ORIENTED_EDGE('',*,*,#175082,.T.); #224691=ORIENTED_EDGE('',*,*,#175079,.T.); #224692=ORIENTED_EDGE('',*,*,#175076,.T.); #224693=ORIENTED_EDGE('',*,*,#175073,.T.); #224694=ORIENTED_EDGE('',*,*,#175070,.T.); #224695=ORIENTED_EDGE('',*,*,#175067,.T.); #224696=ORIENTED_EDGE('',*,*,#175064,.T.); #224697=ORIENTED_EDGE('',*,*,#175061,.T.); #224698=ORIENTED_EDGE('',*,*,#175058,.T.); #224699=ORIENTED_EDGE('',*,*,#175055,.T.); #224700=ORIENTED_EDGE('',*,*,#175052,.T.); #224701=ORIENTED_EDGE('',*,*,#175049,.T.); #224702=ORIENTED_EDGE('',*,*,#175046,.T.); #224703=ORIENTED_EDGE('',*,*,#175096,.F.); #224704=ORIENTED_EDGE('',*,*,#175047,.F.); #224705=ORIENTED_EDGE('',*,*,#175051,.F.); #224706=ORIENTED_EDGE('',*,*,#175054,.F.); #224707=ORIENTED_EDGE('',*,*,#175057,.F.); #224708=ORIENTED_EDGE('',*,*,#175060,.F.); #224709=ORIENTED_EDGE('',*,*,#175063,.F.); #224710=ORIENTED_EDGE('',*,*,#175066,.F.); #224711=ORIENTED_EDGE('',*,*,#175069,.F.); #224712=ORIENTED_EDGE('',*,*,#175072,.F.); #224713=ORIENTED_EDGE('',*,*,#175075,.F.); #224714=ORIENTED_EDGE('',*,*,#175078,.F.); #224715=ORIENTED_EDGE('',*,*,#175081,.F.); #224716=ORIENTED_EDGE('',*,*,#175084,.F.); #224717=ORIENTED_EDGE('',*,*,#175087,.F.); #224718=ORIENTED_EDGE('',*,*,#175090,.F.); #224719=ORIENTED_EDGE('',*,*,#175093,.F.); #224720=ORIENTED_EDGE('',*,*,#175044,.T.); #224721=ORIENTED_EDGE('',*,*,#175098,.F.); #224722=ORIENTED_EDGE('',*,*,#175099,.T.); #224723=ORIENTED_EDGE('',*,*,#175100,.F.); #224724=ORIENTED_EDGE('',*,*,#175099,.F.); #224725=ORIENTED_EDGE('',*,*,#175101,.T.); #224726=ORIENTED_EDGE('',*,*,#175102,.T.); #224727=ORIENTED_EDGE('',*,*,#175103,.F.); #224728=ORIENTED_EDGE('',*,*,#175104,.F.); #224729=ORIENTED_EDGE('',*,*,#175105,.T.); #224730=ORIENTED_EDGE('',*,*,#175104,.T.); #224731=ORIENTED_EDGE('',*,*,#175106,.F.); #224732=ORIENTED_EDGE('',*,*,#175107,.F.); #224733=ORIENTED_EDGE('',*,*,#175108,.T.); #224734=ORIENTED_EDGE('',*,*,#175107,.T.); #224735=ORIENTED_EDGE('',*,*,#175109,.F.); #224736=ORIENTED_EDGE('',*,*,#175110,.F.); #224737=ORIENTED_EDGE('',*,*,#175111,.T.); #224738=ORIENTED_EDGE('',*,*,#175110,.T.); #224739=ORIENTED_EDGE('',*,*,#175112,.F.); #224740=ORIENTED_EDGE('',*,*,#175113,.F.); #224741=ORIENTED_EDGE('',*,*,#175114,.T.); #224742=ORIENTED_EDGE('',*,*,#175113,.T.); #224743=ORIENTED_EDGE('',*,*,#175115,.F.); #224744=ORIENTED_EDGE('',*,*,#175116,.F.); #224745=ORIENTED_EDGE('',*,*,#175117,.T.); #224746=ORIENTED_EDGE('',*,*,#175116,.T.); #224747=ORIENTED_EDGE('',*,*,#175118,.F.); #224748=ORIENTED_EDGE('',*,*,#175119,.F.); #224749=ORIENTED_EDGE('',*,*,#175120,.T.); #224750=ORIENTED_EDGE('',*,*,#175119,.T.); #224751=ORIENTED_EDGE('',*,*,#175121,.F.); #224752=ORIENTED_EDGE('',*,*,#175122,.F.); #224753=ORIENTED_EDGE('',*,*,#175123,.T.); #224754=ORIENTED_EDGE('',*,*,#175122,.T.); #224755=ORIENTED_EDGE('',*,*,#175124,.F.); #224756=ORIENTED_EDGE('',*,*,#175125,.F.); #224757=ORIENTED_EDGE('',*,*,#175126,.T.); #224758=ORIENTED_EDGE('',*,*,#175125,.T.); #224759=ORIENTED_EDGE('',*,*,#175127,.F.); #224760=ORIENTED_EDGE('',*,*,#175128,.F.); #224761=ORIENTED_EDGE('',*,*,#175129,.T.); #224762=ORIENTED_EDGE('',*,*,#175128,.T.); #224763=ORIENTED_EDGE('',*,*,#175130,.F.); #224764=ORIENTED_EDGE('',*,*,#175131,.F.); #224765=ORIENTED_EDGE('',*,*,#175132,.T.); #224766=ORIENTED_EDGE('',*,*,#175131,.T.); #224767=ORIENTED_EDGE('',*,*,#175133,.F.); #224768=ORIENTED_EDGE('',*,*,#175134,.F.); #224769=ORIENTED_EDGE('',*,*,#175135,.T.); #224770=ORIENTED_EDGE('',*,*,#175134,.T.); #224771=ORIENTED_EDGE('',*,*,#175136,.F.); #224772=ORIENTED_EDGE('',*,*,#175137,.F.); #224773=ORIENTED_EDGE('',*,*,#175138,.T.); #224774=ORIENTED_EDGE('',*,*,#175137,.T.); #224775=ORIENTED_EDGE('',*,*,#175139,.F.); #224776=ORIENTED_EDGE('',*,*,#175140,.F.); #224777=ORIENTED_EDGE('',*,*,#175141,.T.); #224778=ORIENTED_EDGE('',*,*,#175140,.T.); #224779=ORIENTED_EDGE('',*,*,#175142,.F.); #224780=ORIENTED_EDGE('',*,*,#175143,.F.); #224781=ORIENTED_EDGE('',*,*,#175144,.T.); #224782=ORIENTED_EDGE('',*,*,#175143,.T.); #224783=ORIENTED_EDGE('',*,*,#175145,.F.); #224784=ORIENTED_EDGE('',*,*,#175146,.F.); #224785=ORIENTED_EDGE('',*,*,#175147,.T.); #224786=ORIENTED_EDGE('',*,*,#175146,.T.); #224787=ORIENTED_EDGE('',*,*,#175148,.F.); #224788=ORIENTED_EDGE('',*,*,#175149,.F.); #224789=ORIENTED_EDGE('',*,*,#175150,.T.); #224790=ORIENTED_EDGE('',*,*,#175149,.T.); #224791=ORIENTED_EDGE('',*,*,#175151,.F.); #224792=ORIENTED_EDGE('',*,*,#175152,.F.); #224793=ORIENTED_EDGE('',*,*,#175153,.T.); #224794=ORIENTED_EDGE('',*,*,#175152,.T.); #224795=ORIENTED_EDGE('',*,*,#175154,.F.); #224796=ORIENTED_EDGE('',*,*,#175155,.F.); #224797=ORIENTED_EDGE('',*,*,#175156,.T.); #224798=ORIENTED_EDGE('',*,*,#175155,.T.); #224799=ORIENTED_EDGE('',*,*,#175157,.F.); #224800=ORIENTED_EDGE('',*,*,#175158,.F.); #224801=ORIENTED_EDGE('',*,*,#175159,.T.); #224802=ORIENTED_EDGE('',*,*,#175158,.T.); #224803=ORIENTED_EDGE('',*,*,#175160,.F.); #224804=ORIENTED_EDGE('',*,*,#175161,.F.); #224805=ORIENTED_EDGE('',*,*,#175162,.T.); #224806=ORIENTED_EDGE('',*,*,#175161,.T.); #224807=ORIENTED_EDGE('',*,*,#175163,.F.); #224808=ORIENTED_EDGE('',*,*,#175164,.F.); #224809=ORIENTED_EDGE('',*,*,#175165,.T.); #224810=ORIENTED_EDGE('',*,*,#175164,.T.); #224811=ORIENTED_EDGE('',*,*,#175166,.F.); #224812=ORIENTED_EDGE('',*,*,#175167,.F.); #224813=ORIENTED_EDGE('',*,*,#175168,.T.); #224814=ORIENTED_EDGE('',*,*,#175167,.T.); #224815=ORIENTED_EDGE('',*,*,#175169,.F.); #224816=ORIENTED_EDGE('',*,*,#175102,.F.); #224817=ORIENTED_EDGE('',*,*,#175169,.T.); #224818=ORIENTED_EDGE('',*,*,#175166,.T.); #224819=ORIENTED_EDGE('',*,*,#175163,.T.); #224820=ORIENTED_EDGE('',*,*,#175160,.T.); #224821=ORIENTED_EDGE('',*,*,#175157,.T.); #224822=ORIENTED_EDGE('',*,*,#175154,.T.); #224823=ORIENTED_EDGE('',*,*,#175151,.T.); #224824=ORIENTED_EDGE('',*,*,#175148,.T.); #224825=ORIENTED_EDGE('',*,*,#175145,.T.); #224826=ORIENTED_EDGE('',*,*,#175142,.T.); #224827=ORIENTED_EDGE('',*,*,#175139,.T.); #224828=ORIENTED_EDGE('',*,*,#175136,.T.); #224829=ORIENTED_EDGE('',*,*,#175133,.T.); #224830=ORIENTED_EDGE('',*,*,#175130,.T.); #224831=ORIENTED_EDGE('',*,*,#175127,.T.); #224832=ORIENTED_EDGE('',*,*,#175124,.T.); #224833=ORIENTED_EDGE('',*,*,#175121,.T.); #224834=ORIENTED_EDGE('',*,*,#175118,.T.); #224835=ORIENTED_EDGE('',*,*,#175115,.T.); #224836=ORIENTED_EDGE('',*,*,#175112,.T.); #224837=ORIENTED_EDGE('',*,*,#175109,.T.); #224838=ORIENTED_EDGE('',*,*,#175106,.T.); #224839=ORIENTED_EDGE('',*,*,#175103,.T.); #224840=ORIENTED_EDGE('',*,*,#175100,.T.); #224841=ORIENTED_EDGE('',*,*,#175168,.F.); #224842=ORIENTED_EDGE('',*,*,#175101,.F.); #224843=ORIENTED_EDGE('',*,*,#175105,.F.); #224844=ORIENTED_EDGE('',*,*,#175108,.F.); #224845=ORIENTED_EDGE('',*,*,#175111,.F.); #224846=ORIENTED_EDGE('',*,*,#175114,.F.); #224847=ORIENTED_EDGE('',*,*,#175117,.F.); #224848=ORIENTED_EDGE('',*,*,#175120,.F.); #224849=ORIENTED_EDGE('',*,*,#175123,.F.); #224850=ORIENTED_EDGE('',*,*,#175126,.F.); #224851=ORIENTED_EDGE('',*,*,#175129,.F.); #224852=ORIENTED_EDGE('',*,*,#175132,.F.); #224853=ORIENTED_EDGE('',*,*,#175135,.F.); #224854=ORIENTED_EDGE('',*,*,#175138,.F.); #224855=ORIENTED_EDGE('',*,*,#175141,.F.); #224856=ORIENTED_EDGE('',*,*,#175144,.F.); #224857=ORIENTED_EDGE('',*,*,#175147,.F.); #224858=ORIENTED_EDGE('',*,*,#175150,.F.); #224859=ORIENTED_EDGE('',*,*,#175153,.F.); #224860=ORIENTED_EDGE('',*,*,#175156,.F.); #224861=ORIENTED_EDGE('',*,*,#175159,.F.); #224862=ORIENTED_EDGE('',*,*,#175162,.F.); #224863=ORIENTED_EDGE('',*,*,#175165,.F.); #224864=ORIENTED_EDGE('',*,*,#175098,.T.); #224865=ORIENTED_EDGE('',*,*,#175170,.T.); #224866=ORIENTED_EDGE('',*,*,#175171,.T.); #224867=ORIENTED_EDGE('',*,*,#175172,.F.); #224868=ORIENTED_EDGE('',*,*,#175173,.F.); #224869=ORIENTED_EDGE('',*,*,#175174,.T.); #224870=ORIENTED_EDGE('',*,*,#175173,.T.); #224871=ORIENTED_EDGE('',*,*,#175175,.F.); #224872=ORIENTED_EDGE('',*,*,#175176,.F.); #224873=ORIENTED_EDGE('',*,*,#175177,.T.); #224874=ORIENTED_EDGE('',*,*,#175176,.T.); #224875=ORIENTED_EDGE('',*,*,#175178,.F.); #224876=ORIENTED_EDGE('',*,*,#175179,.F.); #224877=ORIENTED_EDGE('',*,*,#175180,.T.); #224878=ORIENTED_EDGE('',*,*,#175179,.T.); #224879=ORIENTED_EDGE('',*,*,#175181,.F.); #224880=ORIENTED_EDGE('',*,*,#175182,.F.); #224881=ORIENTED_EDGE('',*,*,#175183,.T.); #224882=ORIENTED_EDGE('',*,*,#175182,.T.); #224883=ORIENTED_EDGE('',*,*,#175184,.F.); #224884=ORIENTED_EDGE('',*,*,#175185,.F.); #224885=ORIENTED_EDGE('',*,*,#175186,.T.); #224886=ORIENTED_EDGE('',*,*,#175185,.T.); #224887=ORIENTED_EDGE('',*,*,#175187,.F.); #224888=ORIENTED_EDGE('',*,*,#175188,.F.); #224889=ORIENTED_EDGE('',*,*,#175189,.T.); #224890=ORIENTED_EDGE('',*,*,#175188,.T.); #224891=ORIENTED_EDGE('',*,*,#175190,.F.); #224892=ORIENTED_EDGE('',*,*,#175191,.F.); #224893=ORIENTED_EDGE('',*,*,#175192,.T.); #224894=ORIENTED_EDGE('',*,*,#175191,.T.); #224895=ORIENTED_EDGE('',*,*,#175193,.F.); #224896=ORIENTED_EDGE('',*,*,#175194,.F.); #224897=ORIENTED_EDGE('',*,*,#175195,.T.); #224898=ORIENTED_EDGE('',*,*,#175194,.T.); #224899=ORIENTED_EDGE('',*,*,#175196,.F.); #224900=ORIENTED_EDGE('',*,*,#175197,.F.); #224901=ORIENTED_EDGE('',*,*,#175198,.T.); #224902=ORIENTED_EDGE('',*,*,#175197,.T.); #224903=ORIENTED_EDGE('',*,*,#175199,.F.); #224904=ORIENTED_EDGE('',*,*,#175200,.F.); #224905=ORIENTED_EDGE('',*,*,#175201,.T.); #224906=ORIENTED_EDGE('',*,*,#175200,.T.); #224907=ORIENTED_EDGE('',*,*,#175202,.F.); #224908=ORIENTED_EDGE('',*,*,#175203,.F.); #224909=ORIENTED_EDGE('',*,*,#175204,.T.); #224910=ORIENTED_EDGE('',*,*,#175203,.T.); #224911=ORIENTED_EDGE('',*,*,#175205,.F.); #224912=ORIENTED_EDGE('',*,*,#175206,.F.); #224913=ORIENTED_EDGE('',*,*,#175207,.T.); #224914=ORIENTED_EDGE('',*,*,#175206,.T.); #224915=ORIENTED_EDGE('',*,*,#175208,.F.); #224916=ORIENTED_EDGE('',*,*,#175209,.F.); #224917=ORIENTED_EDGE('',*,*,#175210,.T.); #224918=ORIENTED_EDGE('',*,*,#175209,.T.); #224919=ORIENTED_EDGE('',*,*,#175211,.F.); #224920=ORIENTED_EDGE('',*,*,#175212,.F.); #224921=ORIENTED_EDGE('',*,*,#175213,.T.); #224922=ORIENTED_EDGE('',*,*,#175212,.T.); #224923=ORIENTED_EDGE('',*,*,#175214,.F.); #224924=ORIENTED_EDGE('',*,*,#175215,.F.); #224925=ORIENTED_EDGE('',*,*,#175216,.T.); #224926=ORIENTED_EDGE('',*,*,#175215,.T.); #224927=ORIENTED_EDGE('',*,*,#175217,.F.); #224928=ORIENTED_EDGE('',*,*,#175218,.F.); #224929=ORIENTED_EDGE('',*,*,#175219,.T.); #224930=ORIENTED_EDGE('',*,*,#175218,.T.); #224931=ORIENTED_EDGE('',*,*,#175220,.F.); #224932=ORIENTED_EDGE('',*,*,#175221,.F.); #224933=ORIENTED_EDGE('',*,*,#175222,.T.); #224934=ORIENTED_EDGE('',*,*,#175221,.T.); #224935=ORIENTED_EDGE('',*,*,#175223,.F.); #224936=ORIENTED_EDGE('',*,*,#175224,.F.); #224937=ORIENTED_EDGE('',*,*,#175225,.T.); #224938=ORIENTED_EDGE('',*,*,#175224,.T.); #224939=ORIENTED_EDGE('',*,*,#175226,.F.); #224940=ORIENTED_EDGE('',*,*,#175227,.F.); #224941=ORIENTED_EDGE('',*,*,#175228,.T.); #224942=ORIENTED_EDGE('',*,*,#175227,.T.); #224943=ORIENTED_EDGE('',*,*,#175229,.F.); #224944=ORIENTED_EDGE('',*,*,#175230,.F.); #224945=ORIENTED_EDGE('',*,*,#175231,.T.); #224946=ORIENTED_EDGE('',*,*,#175230,.T.); #224947=ORIENTED_EDGE('',*,*,#175232,.F.); #224948=ORIENTED_EDGE('',*,*,#175233,.F.); #224949=ORIENTED_EDGE('',*,*,#175234,.T.); #224950=ORIENTED_EDGE('',*,*,#175233,.T.); #224951=ORIENTED_EDGE('',*,*,#175235,.F.); #224952=ORIENTED_EDGE('',*,*,#175236,.F.); #224953=ORIENTED_EDGE('',*,*,#175237,.T.); #224954=ORIENTED_EDGE('',*,*,#175236,.T.); #224955=ORIENTED_EDGE('',*,*,#175238,.F.); #224956=ORIENTED_EDGE('',*,*,#175239,.F.); #224957=ORIENTED_EDGE('',*,*,#175240,.T.); #224958=ORIENTED_EDGE('',*,*,#175239,.T.); #224959=ORIENTED_EDGE('',*,*,#175241,.F.); #224960=ORIENTED_EDGE('',*,*,#175171,.F.); #224961=ORIENTED_EDGE('',*,*,#175241,.T.); #224962=ORIENTED_EDGE('',*,*,#175238,.T.); #224963=ORIENTED_EDGE('',*,*,#175235,.T.); #224964=ORIENTED_EDGE('',*,*,#175232,.T.); #224965=ORIENTED_EDGE('',*,*,#175229,.T.); #224966=ORIENTED_EDGE('',*,*,#175226,.T.); #224967=ORIENTED_EDGE('',*,*,#175223,.T.); #224968=ORIENTED_EDGE('',*,*,#175220,.T.); #224969=ORIENTED_EDGE('',*,*,#175217,.T.); #224970=ORIENTED_EDGE('',*,*,#175214,.T.); #224971=ORIENTED_EDGE('',*,*,#175211,.T.); #224972=ORIENTED_EDGE('',*,*,#175208,.T.); #224973=ORIENTED_EDGE('',*,*,#175205,.T.); #224974=ORIENTED_EDGE('',*,*,#175202,.T.); #224975=ORIENTED_EDGE('',*,*,#175199,.T.); #224976=ORIENTED_EDGE('',*,*,#175196,.T.); #224977=ORIENTED_EDGE('',*,*,#175193,.T.); #224978=ORIENTED_EDGE('',*,*,#175190,.T.); #224979=ORIENTED_EDGE('',*,*,#175187,.T.); #224980=ORIENTED_EDGE('',*,*,#175184,.T.); #224981=ORIENTED_EDGE('',*,*,#175181,.T.); #224982=ORIENTED_EDGE('',*,*,#175178,.T.); #224983=ORIENTED_EDGE('',*,*,#175175,.T.); #224984=ORIENTED_EDGE('',*,*,#175172,.T.); #224985=ORIENTED_EDGE('',*,*,#175240,.F.); #224986=ORIENTED_EDGE('',*,*,#175170,.F.); #224987=ORIENTED_EDGE('',*,*,#175174,.F.); #224988=ORIENTED_EDGE('',*,*,#175177,.F.); #224989=ORIENTED_EDGE('',*,*,#175180,.F.); #224990=ORIENTED_EDGE('',*,*,#175183,.F.); #224991=ORIENTED_EDGE('',*,*,#175186,.F.); #224992=ORIENTED_EDGE('',*,*,#175189,.F.); #224993=ORIENTED_EDGE('',*,*,#175192,.F.); #224994=ORIENTED_EDGE('',*,*,#175195,.F.); #224995=ORIENTED_EDGE('',*,*,#175198,.F.); #224996=ORIENTED_EDGE('',*,*,#175201,.F.); #224997=ORIENTED_EDGE('',*,*,#175204,.F.); #224998=ORIENTED_EDGE('',*,*,#175207,.F.); #224999=ORIENTED_EDGE('',*,*,#175210,.F.); #225000=ORIENTED_EDGE('',*,*,#175213,.F.); #225001=ORIENTED_EDGE('',*,*,#175216,.F.); #225002=ORIENTED_EDGE('',*,*,#175219,.F.); #225003=ORIENTED_EDGE('',*,*,#175222,.F.); #225004=ORIENTED_EDGE('',*,*,#175225,.F.); #225005=ORIENTED_EDGE('',*,*,#175228,.F.); #225006=ORIENTED_EDGE('',*,*,#175231,.F.); #225007=ORIENTED_EDGE('',*,*,#175234,.F.); #225008=ORIENTED_EDGE('',*,*,#175237,.F.); #225009=ORIENTED_EDGE('',*,*,#175242,.F.); #225010=ORIENTED_EDGE('',*,*,#175243,.T.); #225011=ORIENTED_EDGE('',*,*,#175244,.F.); #225012=ORIENTED_EDGE('',*,*,#175243,.F.); #225013=ORIENTED_EDGE('',*,*,#175245,.T.); #225014=ORIENTED_EDGE('',*,*,#175246,.T.); #225015=ORIENTED_EDGE('',*,*,#175247,.F.); #225016=ORIENTED_EDGE('',*,*,#175248,.F.); #225017=ORIENTED_EDGE('',*,*,#175249,.T.); #225018=ORIENTED_EDGE('',*,*,#175248,.T.); #225019=ORIENTED_EDGE('',*,*,#175250,.F.); #225020=ORIENTED_EDGE('',*,*,#175251,.F.); #225021=ORIENTED_EDGE('',*,*,#175252,.T.); #225022=ORIENTED_EDGE('',*,*,#175251,.T.); #225023=ORIENTED_EDGE('',*,*,#175253,.F.); #225024=ORIENTED_EDGE('',*,*,#175254,.F.); #225025=ORIENTED_EDGE('',*,*,#175255,.T.); #225026=ORIENTED_EDGE('',*,*,#175254,.T.); #225027=ORIENTED_EDGE('',*,*,#175256,.F.); #225028=ORIENTED_EDGE('',*,*,#175246,.F.); #225029=ORIENTED_EDGE('',*,*,#175256,.T.); #225030=ORIENTED_EDGE('',*,*,#175253,.T.); #225031=ORIENTED_EDGE('',*,*,#175250,.T.); #225032=ORIENTED_EDGE('',*,*,#175247,.T.); #225033=ORIENTED_EDGE('',*,*,#175244,.T.); #225034=ORIENTED_EDGE('',*,*,#175255,.F.); #225035=ORIENTED_EDGE('',*,*,#175245,.F.); #225036=ORIENTED_EDGE('',*,*,#175249,.F.); #225037=ORIENTED_EDGE('',*,*,#175252,.F.); #225038=ORIENTED_EDGE('',*,*,#175242,.T.); #225039=ORIENTED_EDGE('',*,*,#175257,.F.); #225040=ORIENTED_EDGE('',*,*,#175258,.T.); #225041=ORIENTED_EDGE('',*,*,#175259,.F.); #225042=ORIENTED_EDGE('',*,*,#175258,.F.); #225043=ORIENTED_EDGE('',*,*,#175260,.T.); #225044=ORIENTED_EDGE('',*,*,#175261,.T.); #225045=ORIENTED_EDGE('',*,*,#175262,.F.); #225046=ORIENTED_EDGE('',*,*,#175263,.F.); #225047=ORIENTED_EDGE('',*,*,#175264,.T.); #225048=ORIENTED_EDGE('',*,*,#175263,.T.); #225049=ORIENTED_EDGE('',*,*,#175265,.F.); #225050=ORIENTED_EDGE('',*,*,#175266,.F.); #225051=ORIENTED_EDGE('',*,*,#175267,.T.); #225052=ORIENTED_EDGE('',*,*,#175266,.T.); #225053=ORIENTED_EDGE('',*,*,#175268,.F.); #225054=ORIENTED_EDGE('',*,*,#175269,.F.); #225055=ORIENTED_EDGE('',*,*,#175270,.T.); #225056=ORIENTED_EDGE('',*,*,#175269,.T.); #225057=ORIENTED_EDGE('',*,*,#175271,.F.); #225058=ORIENTED_EDGE('',*,*,#175272,.F.); #225059=ORIENTED_EDGE('',*,*,#175273,.T.); #225060=ORIENTED_EDGE('',*,*,#175272,.T.); #225061=ORIENTED_EDGE('',*,*,#175274,.F.); #225062=ORIENTED_EDGE('',*,*,#175275,.F.); #225063=ORIENTED_EDGE('',*,*,#175276,.T.); #225064=ORIENTED_EDGE('',*,*,#175275,.T.); #225065=ORIENTED_EDGE('',*,*,#175277,.F.); #225066=ORIENTED_EDGE('',*,*,#175278,.F.); #225067=ORIENTED_EDGE('',*,*,#175279,.T.); #225068=ORIENTED_EDGE('',*,*,#175278,.T.); #225069=ORIENTED_EDGE('',*,*,#175280,.F.); #225070=ORIENTED_EDGE('',*,*,#175281,.F.); #225071=ORIENTED_EDGE('',*,*,#175282,.T.); #225072=ORIENTED_EDGE('',*,*,#175281,.T.); #225073=ORIENTED_EDGE('',*,*,#175283,.F.); #225074=ORIENTED_EDGE('',*,*,#175284,.F.); #225075=ORIENTED_EDGE('',*,*,#175285,.T.); #225076=ORIENTED_EDGE('',*,*,#175284,.T.); #225077=ORIENTED_EDGE('',*,*,#175286,.F.); #225078=ORIENTED_EDGE('',*,*,#175287,.F.); #225079=ORIENTED_EDGE('',*,*,#175288,.T.); #225080=ORIENTED_EDGE('',*,*,#175287,.T.); #225081=ORIENTED_EDGE('',*,*,#175289,.F.); #225082=ORIENTED_EDGE('',*,*,#175290,.F.); #225083=ORIENTED_EDGE('',*,*,#175291,.T.); #225084=ORIENTED_EDGE('',*,*,#175290,.T.); #225085=ORIENTED_EDGE('',*,*,#175292,.F.); #225086=ORIENTED_EDGE('',*,*,#175293,.F.); #225087=ORIENTED_EDGE('',*,*,#175294,.T.); #225088=ORIENTED_EDGE('',*,*,#175293,.T.); #225089=ORIENTED_EDGE('',*,*,#175295,.F.); #225090=ORIENTED_EDGE('',*,*,#175296,.F.); #225091=ORIENTED_EDGE('',*,*,#175297,.T.); #225092=ORIENTED_EDGE('',*,*,#175296,.T.); #225093=ORIENTED_EDGE('',*,*,#175298,.F.); #225094=ORIENTED_EDGE('',*,*,#175299,.F.); #225095=ORIENTED_EDGE('',*,*,#175300,.T.); #225096=ORIENTED_EDGE('',*,*,#175299,.T.); #225097=ORIENTED_EDGE('',*,*,#175301,.F.); #225098=ORIENTED_EDGE('',*,*,#175302,.F.); #225099=ORIENTED_EDGE('',*,*,#175303,.T.); #225100=ORIENTED_EDGE('',*,*,#175302,.T.); #225101=ORIENTED_EDGE('',*,*,#175304,.F.); #225102=ORIENTED_EDGE('',*,*,#175305,.F.); #225103=ORIENTED_EDGE('',*,*,#175306,.T.); #225104=ORIENTED_EDGE('',*,*,#175305,.T.); #225105=ORIENTED_EDGE('',*,*,#175307,.F.); #225106=ORIENTED_EDGE('',*,*,#175308,.F.); #225107=ORIENTED_EDGE('',*,*,#175309,.T.); #225108=ORIENTED_EDGE('',*,*,#175308,.T.); #225109=ORIENTED_EDGE('',*,*,#175310,.F.); #225110=ORIENTED_EDGE('',*,*,#175311,.F.); #225111=ORIENTED_EDGE('',*,*,#175312,.T.); #225112=ORIENTED_EDGE('',*,*,#175311,.T.); #225113=ORIENTED_EDGE('',*,*,#175313,.F.); #225114=ORIENTED_EDGE('',*,*,#175314,.F.); #225115=ORIENTED_EDGE('',*,*,#175315,.T.); #225116=ORIENTED_EDGE('',*,*,#175314,.T.); #225117=ORIENTED_EDGE('',*,*,#175316,.F.); #225118=ORIENTED_EDGE('',*,*,#175317,.F.); #225119=ORIENTED_EDGE('',*,*,#175318,.T.); #225120=ORIENTED_EDGE('',*,*,#175317,.T.); #225121=ORIENTED_EDGE('',*,*,#175319,.F.); #225122=ORIENTED_EDGE('',*,*,#175320,.F.); #225123=ORIENTED_EDGE('',*,*,#175321,.T.); #225124=ORIENTED_EDGE('',*,*,#175320,.T.); #225125=ORIENTED_EDGE('',*,*,#175322,.F.); #225126=ORIENTED_EDGE('',*,*,#175323,.F.); #225127=ORIENTED_EDGE('',*,*,#175324,.T.); #225128=ORIENTED_EDGE('',*,*,#175323,.T.); #225129=ORIENTED_EDGE('',*,*,#175325,.F.); #225130=ORIENTED_EDGE('',*,*,#175326,.F.); #225131=ORIENTED_EDGE('',*,*,#175327,.T.); #225132=ORIENTED_EDGE('',*,*,#175326,.T.); #225133=ORIENTED_EDGE('',*,*,#175328,.F.); #225134=ORIENTED_EDGE('',*,*,#175329,.F.); #225135=ORIENTED_EDGE('',*,*,#175330,.T.); #225136=ORIENTED_EDGE('',*,*,#175329,.T.); #225137=ORIENTED_EDGE('',*,*,#175331,.F.); #225138=ORIENTED_EDGE('',*,*,#175261,.F.); #225139=ORIENTED_EDGE('',*,*,#175331,.T.); #225140=ORIENTED_EDGE('',*,*,#175328,.T.); #225141=ORIENTED_EDGE('',*,*,#175325,.T.); #225142=ORIENTED_EDGE('',*,*,#175322,.T.); #225143=ORIENTED_EDGE('',*,*,#175319,.T.); #225144=ORIENTED_EDGE('',*,*,#175316,.T.); #225145=ORIENTED_EDGE('',*,*,#175313,.T.); #225146=ORIENTED_EDGE('',*,*,#175310,.T.); #225147=ORIENTED_EDGE('',*,*,#175307,.T.); #225148=ORIENTED_EDGE('',*,*,#175304,.T.); #225149=ORIENTED_EDGE('',*,*,#175301,.T.); #225150=ORIENTED_EDGE('',*,*,#175298,.T.); #225151=ORIENTED_EDGE('',*,*,#175295,.T.); #225152=ORIENTED_EDGE('',*,*,#175292,.T.); #225153=ORIENTED_EDGE('',*,*,#175289,.T.); #225154=ORIENTED_EDGE('',*,*,#175286,.T.); #225155=ORIENTED_EDGE('',*,*,#175283,.T.); #225156=ORIENTED_EDGE('',*,*,#175280,.T.); #225157=ORIENTED_EDGE('',*,*,#175277,.T.); #225158=ORIENTED_EDGE('',*,*,#175274,.T.); #225159=ORIENTED_EDGE('',*,*,#175271,.T.); #225160=ORIENTED_EDGE('',*,*,#175268,.T.); #225161=ORIENTED_EDGE('',*,*,#175265,.T.); #225162=ORIENTED_EDGE('',*,*,#175262,.T.); #225163=ORIENTED_EDGE('',*,*,#175259,.T.); #225164=ORIENTED_EDGE('',*,*,#175330,.F.); #225165=ORIENTED_EDGE('',*,*,#175260,.F.); #225166=ORIENTED_EDGE('',*,*,#175264,.F.); #225167=ORIENTED_EDGE('',*,*,#175267,.F.); #225168=ORIENTED_EDGE('',*,*,#175270,.F.); #225169=ORIENTED_EDGE('',*,*,#175273,.F.); #225170=ORIENTED_EDGE('',*,*,#175276,.F.); #225171=ORIENTED_EDGE('',*,*,#175279,.F.); #225172=ORIENTED_EDGE('',*,*,#175282,.F.); #225173=ORIENTED_EDGE('',*,*,#175285,.F.); #225174=ORIENTED_EDGE('',*,*,#175288,.F.); #225175=ORIENTED_EDGE('',*,*,#175291,.F.); #225176=ORIENTED_EDGE('',*,*,#175294,.F.); #225177=ORIENTED_EDGE('',*,*,#175297,.F.); #225178=ORIENTED_EDGE('',*,*,#175300,.F.); #225179=ORIENTED_EDGE('',*,*,#175303,.F.); #225180=ORIENTED_EDGE('',*,*,#175306,.F.); #225181=ORIENTED_EDGE('',*,*,#175309,.F.); #225182=ORIENTED_EDGE('',*,*,#175312,.F.); #225183=ORIENTED_EDGE('',*,*,#175315,.F.); #225184=ORIENTED_EDGE('',*,*,#175318,.F.); #225185=ORIENTED_EDGE('',*,*,#175321,.F.); #225186=ORIENTED_EDGE('',*,*,#175324,.F.); #225187=ORIENTED_EDGE('',*,*,#175327,.F.); #225188=ORIENTED_EDGE('',*,*,#175257,.T.); #225189=ORIENTED_EDGE('',*,*,#175332,.F.); #225190=ORIENTED_EDGE('',*,*,#175333,.T.); #225191=ORIENTED_EDGE('',*,*,#175334,.F.); #225192=ORIENTED_EDGE('',*,*,#175333,.F.); #225193=ORIENTED_EDGE('',*,*,#175335,.T.); #225194=ORIENTED_EDGE('',*,*,#175336,.T.); #225195=ORIENTED_EDGE('',*,*,#175337,.F.); #225196=ORIENTED_EDGE('',*,*,#175338,.F.); #225197=ORIENTED_EDGE('',*,*,#175339,.T.); #225198=ORIENTED_EDGE('',*,*,#175338,.T.); #225199=ORIENTED_EDGE('',*,*,#175340,.F.); #225200=ORIENTED_EDGE('',*,*,#175341,.F.); #225201=ORIENTED_EDGE('',*,*,#175342,.T.); #225202=ORIENTED_EDGE('',*,*,#175341,.T.); #225203=ORIENTED_EDGE('',*,*,#175343,.F.); #225204=ORIENTED_EDGE('',*,*,#175344,.F.); #225205=ORIENTED_EDGE('',*,*,#175345,.T.); #225206=ORIENTED_EDGE('',*,*,#175344,.T.); #225207=ORIENTED_EDGE('',*,*,#175346,.F.); #225208=ORIENTED_EDGE('',*,*,#175347,.F.); #225209=ORIENTED_EDGE('',*,*,#175348,.T.); #225210=ORIENTED_EDGE('',*,*,#175347,.T.); #225211=ORIENTED_EDGE('',*,*,#175349,.F.); #225212=ORIENTED_EDGE('',*,*,#175350,.F.); #225213=ORIENTED_EDGE('',*,*,#175351,.T.); #225214=ORIENTED_EDGE('',*,*,#175350,.T.); #225215=ORIENTED_EDGE('',*,*,#175352,.F.); #225216=ORIENTED_EDGE('',*,*,#175353,.F.); #225217=ORIENTED_EDGE('',*,*,#175354,.T.); #225218=ORIENTED_EDGE('',*,*,#175353,.T.); #225219=ORIENTED_EDGE('',*,*,#175355,.F.); #225220=ORIENTED_EDGE('',*,*,#175356,.F.); #225221=ORIENTED_EDGE('',*,*,#175357,.T.); #225222=ORIENTED_EDGE('',*,*,#175356,.T.); #225223=ORIENTED_EDGE('',*,*,#175358,.F.); #225224=ORIENTED_EDGE('',*,*,#175359,.F.); #225225=ORIENTED_EDGE('',*,*,#175360,.T.); #225226=ORIENTED_EDGE('',*,*,#175359,.T.); #225227=ORIENTED_EDGE('',*,*,#175361,.F.); #225228=ORIENTED_EDGE('',*,*,#175362,.F.); #225229=ORIENTED_EDGE('',*,*,#175363,.T.); #225230=ORIENTED_EDGE('',*,*,#175362,.T.); #225231=ORIENTED_EDGE('',*,*,#175364,.F.); #225232=ORIENTED_EDGE('',*,*,#175365,.F.); #225233=ORIENTED_EDGE('',*,*,#175366,.T.); #225234=ORIENTED_EDGE('',*,*,#175365,.T.); #225235=ORIENTED_EDGE('',*,*,#175367,.F.); #225236=ORIENTED_EDGE('',*,*,#175368,.F.); #225237=ORIENTED_EDGE('',*,*,#175369,.T.); #225238=ORIENTED_EDGE('',*,*,#175368,.T.); #225239=ORIENTED_EDGE('',*,*,#175370,.F.); #225240=ORIENTED_EDGE('',*,*,#175371,.F.); #225241=ORIENTED_EDGE('',*,*,#175372,.T.); #225242=ORIENTED_EDGE('',*,*,#175371,.T.); #225243=ORIENTED_EDGE('',*,*,#175373,.F.); #225244=ORIENTED_EDGE('',*,*,#175374,.F.); #225245=ORIENTED_EDGE('',*,*,#175375,.T.); #225246=ORIENTED_EDGE('',*,*,#175374,.T.); #225247=ORIENTED_EDGE('',*,*,#175376,.F.); #225248=ORIENTED_EDGE('',*,*,#175377,.F.); #225249=ORIENTED_EDGE('',*,*,#175378,.T.); #225250=ORIENTED_EDGE('',*,*,#175377,.T.); #225251=ORIENTED_EDGE('',*,*,#175379,.F.); #225252=ORIENTED_EDGE('',*,*,#175336,.F.); #225253=ORIENTED_EDGE('',*,*,#175379,.T.); #225254=ORIENTED_EDGE('',*,*,#175376,.T.); #225255=ORIENTED_EDGE('',*,*,#175373,.T.); #225256=ORIENTED_EDGE('',*,*,#175370,.T.); #225257=ORIENTED_EDGE('',*,*,#175367,.T.); #225258=ORIENTED_EDGE('',*,*,#175364,.T.); #225259=ORIENTED_EDGE('',*,*,#175361,.T.); #225260=ORIENTED_EDGE('',*,*,#175358,.T.); #225261=ORIENTED_EDGE('',*,*,#175355,.T.); #225262=ORIENTED_EDGE('',*,*,#175352,.T.); #225263=ORIENTED_EDGE('',*,*,#175349,.T.); #225264=ORIENTED_EDGE('',*,*,#175346,.T.); #225265=ORIENTED_EDGE('',*,*,#175343,.T.); #225266=ORIENTED_EDGE('',*,*,#175340,.T.); #225267=ORIENTED_EDGE('',*,*,#175337,.T.); #225268=ORIENTED_EDGE('',*,*,#175334,.T.); #225269=ORIENTED_EDGE('',*,*,#175378,.F.); #225270=ORIENTED_EDGE('',*,*,#175335,.F.); #225271=ORIENTED_EDGE('',*,*,#175339,.F.); #225272=ORIENTED_EDGE('',*,*,#175342,.F.); #225273=ORIENTED_EDGE('',*,*,#175345,.F.); #225274=ORIENTED_EDGE('',*,*,#175348,.F.); #225275=ORIENTED_EDGE('',*,*,#175351,.F.); #225276=ORIENTED_EDGE('',*,*,#175354,.F.); #225277=ORIENTED_EDGE('',*,*,#175357,.F.); #225278=ORIENTED_EDGE('',*,*,#175360,.F.); #225279=ORIENTED_EDGE('',*,*,#175363,.F.); #225280=ORIENTED_EDGE('',*,*,#175366,.F.); #225281=ORIENTED_EDGE('',*,*,#175369,.F.); #225282=ORIENTED_EDGE('',*,*,#175372,.F.); #225283=ORIENTED_EDGE('',*,*,#175375,.F.); #225284=ORIENTED_EDGE('',*,*,#175332,.T.); #225285=ORIENTED_EDGE('',*,*,#175380,.F.); #225286=ORIENTED_EDGE('',*,*,#175381,.T.); #225287=ORIENTED_EDGE('',*,*,#175382,.F.); #225288=ORIENTED_EDGE('',*,*,#175381,.F.); #225289=ORIENTED_EDGE('',*,*,#175383,.T.); #225290=ORIENTED_EDGE('',*,*,#175384,.T.); #225291=ORIENTED_EDGE('',*,*,#175385,.F.); #225292=ORIENTED_EDGE('',*,*,#175386,.F.); #225293=ORIENTED_EDGE('',*,*,#175387,.T.); #225294=ORIENTED_EDGE('',*,*,#175386,.T.); #225295=ORIENTED_EDGE('',*,*,#175388,.F.); #225296=ORIENTED_EDGE('',*,*,#175389,.F.); #225297=ORIENTED_EDGE('',*,*,#175390,.T.); #225298=ORIENTED_EDGE('',*,*,#175389,.T.); #225299=ORIENTED_EDGE('',*,*,#175391,.F.); #225300=ORIENTED_EDGE('',*,*,#175392,.F.); #225301=ORIENTED_EDGE('',*,*,#175393,.T.); #225302=ORIENTED_EDGE('',*,*,#175392,.T.); #225303=ORIENTED_EDGE('',*,*,#175394,.F.); #225304=ORIENTED_EDGE('',*,*,#175395,.F.); #225305=ORIENTED_EDGE('',*,*,#175396,.T.); #225306=ORIENTED_EDGE('',*,*,#175395,.T.); #225307=ORIENTED_EDGE('',*,*,#175397,.F.); #225308=ORIENTED_EDGE('',*,*,#175398,.F.); #225309=ORIENTED_EDGE('',*,*,#175399,.T.); #225310=ORIENTED_EDGE('',*,*,#175398,.T.); #225311=ORIENTED_EDGE('',*,*,#175400,.F.); #225312=ORIENTED_EDGE('',*,*,#175401,.F.); #225313=ORIENTED_EDGE('',*,*,#175402,.T.); #225314=ORIENTED_EDGE('',*,*,#175401,.T.); #225315=ORIENTED_EDGE('',*,*,#175403,.F.); #225316=ORIENTED_EDGE('',*,*,#175404,.F.); #225317=ORIENTED_EDGE('',*,*,#175405,.T.); #225318=ORIENTED_EDGE('',*,*,#175404,.T.); #225319=ORIENTED_EDGE('',*,*,#175406,.F.); #225320=ORIENTED_EDGE('',*,*,#175407,.F.); #225321=ORIENTED_EDGE('',*,*,#175408,.T.); #225322=ORIENTED_EDGE('',*,*,#175407,.T.); #225323=ORIENTED_EDGE('',*,*,#175409,.F.); #225324=ORIENTED_EDGE('',*,*,#175410,.F.); #225325=ORIENTED_EDGE('',*,*,#175411,.T.); #225326=ORIENTED_EDGE('',*,*,#175410,.T.); #225327=ORIENTED_EDGE('',*,*,#175412,.F.); #225328=ORIENTED_EDGE('',*,*,#175413,.F.); #225329=ORIENTED_EDGE('',*,*,#175414,.T.); #225330=ORIENTED_EDGE('',*,*,#175413,.T.); #225331=ORIENTED_EDGE('',*,*,#175415,.F.); #225332=ORIENTED_EDGE('',*,*,#175416,.F.); #225333=ORIENTED_EDGE('',*,*,#175417,.T.); #225334=ORIENTED_EDGE('',*,*,#175416,.T.); #225335=ORIENTED_EDGE('',*,*,#175418,.F.); #225336=ORIENTED_EDGE('',*,*,#175419,.F.); #225337=ORIENTED_EDGE('',*,*,#175420,.T.); #225338=ORIENTED_EDGE('',*,*,#175419,.T.); #225339=ORIENTED_EDGE('',*,*,#175421,.F.); #225340=ORIENTED_EDGE('',*,*,#175422,.F.); #225341=ORIENTED_EDGE('',*,*,#175423,.T.); #225342=ORIENTED_EDGE('',*,*,#175422,.T.); #225343=ORIENTED_EDGE('',*,*,#175424,.F.); #225344=ORIENTED_EDGE('',*,*,#175425,.F.); #225345=ORIENTED_EDGE('',*,*,#175426,.T.); #225346=ORIENTED_EDGE('',*,*,#175425,.T.); #225347=ORIENTED_EDGE('',*,*,#175427,.F.); #225348=ORIENTED_EDGE('',*,*,#175428,.F.); #225349=ORIENTED_EDGE('',*,*,#175429,.T.); #225350=ORIENTED_EDGE('',*,*,#175428,.T.); #225351=ORIENTED_EDGE('',*,*,#175430,.F.); #225352=ORIENTED_EDGE('',*,*,#175431,.F.); #225353=ORIENTED_EDGE('',*,*,#175432,.T.); #225354=ORIENTED_EDGE('',*,*,#175431,.T.); #225355=ORIENTED_EDGE('',*,*,#175433,.F.); #225356=ORIENTED_EDGE('',*,*,#175434,.F.); #225357=ORIENTED_EDGE('',*,*,#175435,.T.); #225358=ORIENTED_EDGE('',*,*,#175434,.T.); #225359=ORIENTED_EDGE('',*,*,#175436,.F.); #225360=ORIENTED_EDGE('',*,*,#175437,.F.); #225361=ORIENTED_EDGE('',*,*,#175438,.T.); #225362=ORIENTED_EDGE('',*,*,#175437,.T.); #225363=ORIENTED_EDGE('',*,*,#175439,.F.); #225364=ORIENTED_EDGE('',*,*,#175440,.F.); #225365=ORIENTED_EDGE('',*,*,#175441,.T.); #225366=ORIENTED_EDGE('',*,*,#175440,.T.); #225367=ORIENTED_EDGE('',*,*,#175442,.F.); #225368=ORIENTED_EDGE('',*,*,#175443,.F.); #225369=ORIENTED_EDGE('',*,*,#175444,.T.); #225370=ORIENTED_EDGE('',*,*,#175443,.T.); #225371=ORIENTED_EDGE('',*,*,#175445,.F.); #225372=ORIENTED_EDGE('',*,*,#175446,.F.); #225373=ORIENTED_EDGE('',*,*,#175447,.T.); #225374=ORIENTED_EDGE('',*,*,#175446,.T.); #225375=ORIENTED_EDGE('',*,*,#175448,.F.); #225376=ORIENTED_EDGE('',*,*,#175449,.F.); #225377=ORIENTED_EDGE('',*,*,#175450,.T.); #225378=ORIENTED_EDGE('',*,*,#175449,.T.); #225379=ORIENTED_EDGE('',*,*,#175451,.F.); #225380=ORIENTED_EDGE('',*,*,#175452,.F.); #225381=ORIENTED_EDGE('',*,*,#175453,.T.); #225382=ORIENTED_EDGE('',*,*,#175452,.T.); #225383=ORIENTED_EDGE('',*,*,#175454,.F.); #225384=ORIENTED_EDGE('',*,*,#175455,.F.); #225385=ORIENTED_EDGE('',*,*,#175456,.T.); #225386=ORIENTED_EDGE('',*,*,#175455,.T.); #225387=ORIENTED_EDGE('',*,*,#175457,.F.); #225388=ORIENTED_EDGE('',*,*,#175458,.F.); #225389=ORIENTED_EDGE('',*,*,#175459,.T.); #225390=ORIENTED_EDGE('',*,*,#175458,.T.); #225391=ORIENTED_EDGE('',*,*,#175460,.F.); #225392=ORIENTED_EDGE('',*,*,#175461,.F.); #225393=ORIENTED_EDGE('',*,*,#175462,.T.); #225394=ORIENTED_EDGE('',*,*,#175461,.T.); #225395=ORIENTED_EDGE('',*,*,#175463,.F.); #225396=ORIENTED_EDGE('',*,*,#175464,.F.); #225397=ORIENTED_EDGE('',*,*,#175465,.T.); #225398=ORIENTED_EDGE('',*,*,#175464,.T.); #225399=ORIENTED_EDGE('',*,*,#175466,.F.); #225400=ORIENTED_EDGE('',*,*,#175467,.F.); #225401=ORIENTED_EDGE('',*,*,#175468,.T.); #225402=ORIENTED_EDGE('',*,*,#175467,.T.); #225403=ORIENTED_EDGE('',*,*,#175469,.F.); #225404=ORIENTED_EDGE('',*,*,#175470,.F.); #225405=ORIENTED_EDGE('',*,*,#175471,.T.); #225406=ORIENTED_EDGE('',*,*,#175470,.T.); #225407=ORIENTED_EDGE('',*,*,#175472,.F.); #225408=ORIENTED_EDGE('',*,*,#175473,.F.); #225409=ORIENTED_EDGE('',*,*,#175474,.T.); #225410=ORIENTED_EDGE('',*,*,#175473,.T.); #225411=ORIENTED_EDGE('',*,*,#175475,.F.); #225412=ORIENTED_EDGE('',*,*,#175476,.F.); #225413=ORIENTED_EDGE('',*,*,#175477,.T.); #225414=ORIENTED_EDGE('',*,*,#175476,.T.); #225415=ORIENTED_EDGE('',*,*,#175478,.F.); #225416=ORIENTED_EDGE('',*,*,#175479,.F.); #225417=ORIENTED_EDGE('',*,*,#175480,.T.); #225418=ORIENTED_EDGE('',*,*,#175479,.T.); #225419=ORIENTED_EDGE('',*,*,#175481,.F.); #225420=ORIENTED_EDGE('',*,*,#175482,.F.); #225421=ORIENTED_EDGE('',*,*,#175483,.T.); #225422=ORIENTED_EDGE('',*,*,#175482,.T.); #225423=ORIENTED_EDGE('',*,*,#175484,.F.); #225424=ORIENTED_EDGE('',*,*,#175485,.F.); #225425=ORIENTED_EDGE('',*,*,#175486,.T.); #225426=ORIENTED_EDGE('',*,*,#175485,.T.); #225427=ORIENTED_EDGE('',*,*,#175487,.F.); #225428=ORIENTED_EDGE('',*,*,#175488,.F.); #225429=ORIENTED_EDGE('',*,*,#175489,.T.); #225430=ORIENTED_EDGE('',*,*,#175488,.T.); #225431=ORIENTED_EDGE('',*,*,#175490,.F.); #225432=ORIENTED_EDGE('',*,*,#175491,.F.); #225433=ORIENTED_EDGE('',*,*,#175492,.T.); #225434=ORIENTED_EDGE('',*,*,#175491,.T.); #225435=ORIENTED_EDGE('',*,*,#175493,.F.); #225436=ORIENTED_EDGE('',*,*,#175494,.F.); #225437=ORIENTED_EDGE('',*,*,#175495,.T.); #225438=ORIENTED_EDGE('',*,*,#175494,.T.); #225439=ORIENTED_EDGE('',*,*,#175496,.F.); #225440=ORIENTED_EDGE('',*,*,#175497,.F.); #225441=ORIENTED_EDGE('',*,*,#175498,.T.); #225442=ORIENTED_EDGE('',*,*,#175497,.T.); #225443=ORIENTED_EDGE('',*,*,#175499,.F.); #225444=ORIENTED_EDGE('',*,*,#175500,.F.); #225445=ORIENTED_EDGE('',*,*,#175501,.T.); #225446=ORIENTED_EDGE('',*,*,#175500,.T.); #225447=ORIENTED_EDGE('',*,*,#175502,.F.); #225448=ORIENTED_EDGE('',*,*,#175503,.F.); #225449=ORIENTED_EDGE('',*,*,#175504,.T.); #225450=ORIENTED_EDGE('',*,*,#175503,.T.); #225451=ORIENTED_EDGE('',*,*,#175505,.F.); #225452=ORIENTED_EDGE('',*,*,#175506,.F.); #225453=ORIENTED_EDGE('',*,*,#175507,.T.); #225454=ORIENTED_EDGE('',*,*,#175506,.T.); #225455=ORIENTED_EDGE('',*,*,#175508,.F.); #225456=ORIENTED_EDGE('',*,*,#175509,.F.); #225457=ORIENTED_EDGE('',*,*,#175510,.T.); #225458=ORIENTED_EDGE('',*,*,#175509,.T.); #225459=ORIENTED_EDGE('',*,*,#175511,.F.); #225460=ORIENTED_EDGE('',*,*,#175384,.F.); #225461=ORIENTED_EDGE('',*,*,#175511,.T.); #225462=ORIENTED_EDGE('',*,*,#175508,.T.); #225463=ORIENTED_EDGE('',*,*,#175505,.T.); #225464=ORIENTED_EDGE('',*,*,#175502,.T.); #225465=ORIENTED_EDGE('',*,*,#175499,.T.); #225466=ORIENTED_EDGE('',*,*,#175496,.T.); #225467=ORIENTED_EDGE('',*,*,#175493,.T.); #225468=ORIENTED_EDGE('',*,*,#175490,.T.); #225469=ORIENTED_EDGE('',*,*,#175487,.T.); #225470=ORIENTED_EDGE('',*,*,#175484,.T.); #225471=ORIENTED_EDGE('',*,*,#175481,.T.); #225472=ORIENTED_EDGE('',*,*,#175478,.T.); #225473=ORIENTED_EDGE('',*,*,#175475,.T.); #225474=ORIENTED_EDGE('',*,*,#175472,.T.); #225475=ORIENTED_EDGE('',*,*,#175469,.T.); #225476=ORIENTED_EDGE('',*,*,#175466,.T.); #225477=ORIENTED_EDGE('',*,*,#175463,.T.); #225478=ORIENTED_EDGE('',*,*,#175460,.T.); #225479=ORIENTED_EDGE('',*,*,#175457,.T.); #225480=ORIENTED_EDGE('',*,*,#175454,.T.); #225481=ORIENTED_EDGE('',*,*,#175451,.T.); #225482=ORIENTED_EDGE('',*,*,#175448,.T.); #225483=ORIENTED_EDGE('',*,*,#175445,.T.); #225484=ORIENTED_EDGE('',*,*,#175442,.T.); #225485=ORIENTED_EDGE('',*,*,#175439,.T.); #225486=ORIENTED_EDGE('',*,*,#175436,.T.); #225487=ORIENTED_EDGE('',*,*,#175433,.T.); #225488=ORIENTED_EDGE('',*,*,#175430,.T.); #225489=ORIENTED_EDGE('',*,*,#175427,.T.); #225490=ORIENTED_EDGE('',*,*,#175424,.T.); #225491=ORIENTED_EDGE('',*,*,#175421,.T.); #225492=ORIENTED_EDGE('',*,*,#175418,.T.); #225493=ORIENTED_EDGE('',*,*,#175415,.T.); #225494=ORIENTED_EDGE('',*,*,#175412,.T.); #225495=ORIENTED_EDGE('',*,*,#175409,.T.); #225496=ORIENTED_EDGE('',*,*,#175406,.T.); #225497=ORIENTED_EDGE('',*,*,#175403,.T.); #225498=ORIENTED_EDGE('',*,*,#175400,.T.); #225499=ORIENTED_EDGE('',*,*,#175397,.T.); #225500=ORIENTED_EDGE('',*,*,#175394,.T.); #225501=ORIENTED_EDGE('',*,*,#175391,.T.); #225502=ORIENTED_EDGE('',*,*,#175388,.T.); #225503=ORIENTED_EDGE('',*,*,#175385,.T.); #225504=ORIENTED_EDGE('',*,*,#175382,.T.); #225505=ORIENTED_EDGE('',*,*,#175510,.F.); #225506=ORIENTED_EDGE('',*,*,#175383,.F.); #225507=ORIENTED_EDGE('',*,*,#175387,.F.); #225508=ORIENTED_EDGE('',*,*,#175390,.F.); #225509=ORIENTED_EDGE('',*,*,#175393,.F.); #225510=ORIENTED_EDGE('',*,*,#175396,.F.); #225511=ORIENTED_EDGE('',*,*,#175399,.F.); #225512=ORIENTED_EDGE('',*,*,#175402,.F.); #225513=ORIENTED_EDGE('',*,*,#175405,.F.); #225514=ORIENTED_EDGE('',*,*,#175408,.F.); #225515=ORIENTED_EDGE('',*,*,#175411,.F.); #225516=ORIENTED_EDGE('',*,*,#175414,.F.); #225517=ORIENTED_EDGE('',*,*,#175417,.F.); #225518=ORIENTED_EDGE('',*,*,#175420,.F.); #225519=ORIENTED_EDGE('',*,*,#175423,.F.); #225520=ORIENTED_EDGE('',*,*,#175426,.F.); #225521=ORIENTED_EDGE('',*,*,#175429,.F.); #225522=ORIENTED_EDGE('',*,*,#175432,.F.); #225523=ORIENTED_EDGE('',*,*,#175435,.F.); #225524=ORIENTED_EDGE('',*,*,#175438,.F.); #225525=ORIENTED_EDGE('',*,*,#175441,.F.); #225526=ORIENTED_EDGE('',*,*,#175444,.F.); #225527=ORIENTED_EDGE('',*,*,#175447,.F.); #225528=ORIENTED_EDGE('',*,*,#175450,.F.); #225529=ORIENTED_EDGE('',*,*,#175453,.F.); #225530=ORIENTED_EDGE('',*,*,#175456,.F.); #225531=ORIENTED_EDGE('',*,*,#175459,.F.); #225532=ORIENTED_EDGE('',*,*,#175462,.F.); #225533=ORIENTED_EDGE('',*,*,#175465,.F.); #225534=ORIENTED_EDGE('',*,*,#175468,.F.); #225535=ORIENTED_EDGE('',*,*,#175471,.F.); #225536=ORIENTED_EDGE('',*,*,#175474,.F.); #225537=ORIENTED_EDGE('',*,*,#175477,.F.); #225538=ORIENTED_EDGE('',*,*,#175480,.F.); #225539=ORIENTED_EDGE('',*,*,#175483,.F.); #225540=ORIENTED_EDGE('',*,*,#175486,.F.); #225541=ORIENTED_EDGE('',*,*,#175489,.F.); #225542=ORIENTED_EDGE('',*,*,#175492,.F.); #225543=ORIENTED_EDGE('',*,*,#175495,.F.); #225544=ORIENTED_EDGE('',*,*,#175498,.F.); #225545=ORIENTED_EDGE('',*,*,#175501,.F.); #225546=ORIENTED_EDGE('',*,*,#175504,.F.); #225547=ORIENTED_EDGE('',*,*,#175507,.F.); #225548=ORIENTED_EDGE('',*,*,#175380,.T.); #225549=ORIENTED_EDGE('',*,*,#175512,.F.); #225550=ORIENTED_EDGE('',*,*,#175513,.T.); #225551=ORIENTED_EDGE('',*,*,#175514,.F.); #225552=ORIENTED_EDGE('',*,*,#175513,.F.); #225553=ORIENTED_EDGE('',*,*,#175515,.T.); #225554=ORIENTED_EDGE('',*,*,#175516,.T.); #225555=ORIENTED_EDGE('',*,*,#175517,.F.); #225556=ORIENTED_EDGE('',*,*,#175518,.F.); #225557=ORIENTED_EDGE('',*,*,#175519,.T.); #225558=ORIENTED_EDGE('',*,*,#175518,.T.); #225559=ORIENTED_EDGE('',*,*,#175520,.F.); #225560=ORIENTED_EDGE('',*,*,#175521,.F.); #225561=ORIENTED_EDGE('',*,*,#175522,.T.); #225562=ORIENTED_EDGE('',*,*,#175521,.T.); #225563=ORIENTED_EDGE('',*,*,#175523,.F.); #225564=ORIENTED_EDGE('',*,*,#175524,.F.); #225565=ORIENTED_EDGE('',*,*,#175525,.T.); #225566=ORIENTED_EDGE('',*,*,#175524,.T.); #225567=ORIENTED_EDGE('',*,*,#175526,.F.); #225568=ORIENTED_EDGE('',*,*,#175527,.F.); #225569=ORIENTED_EDGE('',*,*,#175528,.T.); #225570=ORIENTED_EDGE('',*,*,#175527,.T.); #225571=ORIENTED_EDGE('',*,*,#175529,.F.); #225572=ORIENTED_EDGE('',*,*,#175530,.F.); #225573=ORIENTED_EDGE('',*,*,#175531,.T.); #225574=ORIENTED_EDGE('',*,*,#175530,.T.); #225575=ORIENTED_EDGE('',*,*,#175532,.F.); #225576=ORIENTED_EDGE('',*,*,#175533,.F.); #225577=ORIENTED_EDGE('',*,*,#175534,.T.); #225578=ORIENTED_EDGE('',*,*,#175533,.T.); #225579=ORIENTED_EDGE('',*,*,#175535,.F.); #225580=ORIENTED_EDGE('',*,*,#175536,.F.); #225581=ORIENTED_EDGE('',*,*,#175537,.T.); #225582=ORIENTED_EDGE('',*,*,#175536,.T.); #225583=ORIENTED_EDGE('',*,*,#175538,.F.); #225584=ORIENTED_EDGE('',*,*,#175539,.F.); #225585=ORIENTED_EDGE('',*,*,#175540,.T.); #225586=ORIENTED_EDGE('',*,*,#175539,.T.); #225587=ORIENTED_EDGE('',*,*,#175541,.F.); #225588=ORIENTED_EDGE('',*,*,#175542,.F.); #225589=ORIENTED_EDGE('',*,*,#175543,.T.); #225590=ORIENTED_EDGE('',*,*,#175542,.T.); #225591=ORIENTED_EDGE('',*,*,#175544,.F.); #225592=ORIENTED_EDGE('',*,*,#175545,.F.); #225593=ORIENTED_EDGE('',*,*,#175546,.T.); #225594=ORIENTED_EDGE('',*,*,#175545,.T.); #225595=ORIENTED_EDGE('',*,*,#175547,.F.); #225596=ORIENTED_EDGE('',*,*,#175548,.F.); #225597=ORIENTED_EDGE('',*,*,#175549,.T.); #225598=ORIENTED_EDGE('',*,*,#175548,.T.); #225599=ORIENTED_EDGE('',*,*,#175550,.F.); #225600=ORIENTED_EDGE('',*,*,#175551,.F.); #225601=ORIENTED_EDGE('',*,*,#175552,.T.); #225602=ORIENTED_EDGE('',*,*,#175551,.T.); #225603=ORIENTED_EDGE('',*,*,#175553,.F.); #225604=ORIENTED_EDGE('',*,*,#175554,.F.); #225605=ORIENTED_EDGE('',*,*,#175555,.T.); #225606=ORIENTED_EDGE('',*,*,#175554,.T.); #225607=ORIENTED_EDGE('',*,*,#175556,.F.); #225608=ORIENTED_EDGE('',*,*,#175557,.F.); #225609=ORIENTED_EDGE('',*,*,#175558,.T.); #225610=ORIENTED_EDGE('',*,*,#175557,.T.); #225611=ORIENTED_EDGE('',*,*,#175559,.F.); #225612=ORIENTED_EDGE('',*,*,#175560,.F.); #225613=ORIENTED_EDGE('',*,*,#175561,.T.); #225614=ORIENTED_EDGE('',*,*,#175560,.T.); #225615=ORIENTED_EDGE('',*,*,#175562,.F.); #225616=ORIENTED_EDGE('',*,*,#175563,.F.); #225617=ORIENTED_EDGE('',*,*,#175564,.T.); #225618=ORIENTED_EDGE('',*,*,#175563,.T.); #225619=ORIENTED_EDGE('',*,*,#175565,.F.); #225620=ORIENTED_EDGE('',*,*,#175566,.F.); #225621=ORIENTED_EDGE('',*,*,#175567,.T.); #225622=ORIENTED_EDGE('',*,*,#175566,.T.); #225623=ORIENTED_EDGE('',*,*,#175568,.F.); #225624=ORIENTED_EDGE('',*,*,#175569,.F.); #225625=ORIENTED_EDGE('',*,*,#175570,.T.); #225626=ORIENTED_EDGE('',*,*,#175569,.T.); #225627=ORIENTED_EDGE('',*,*,#175571,.F.); #225628=ORIENTED_EDGE('',*,*,#175572,.F.); #225629=ORIENTED_EDGE('',*,*,#175573,.T.); #225630=ORIENTED_EDGE('',*,*,#175572,.T.); #225631=ORIENTED_EDGE('',*,*,#175574,.F.); #225632=ORIENTED_EDGE('',*,*,#175575,.F.); #225633=ORIENTED_EDGE('',*,*,#175576,.T.); #225634=ORIENTED_EDGE('',*,*,#175575,.T.); #225635=ORIENTED_EDGE('',*,*,#175577,.F.); #225636=ORIENTED_EDGE('',*,*,#175516,.F.); #225637=ORIENTED_EDGE('',*,*,#175577,.T.); #225638=ORIENTED_EDGE('',*,*,#175574,.T.); #225639=ORIENTED_EDGE('',*,*,#175571,.T.); #225640=ORIENTED_EDGE('',*,*,#175568,.T.); #225641=ORIENTED_EDGE('',*,*,#175565,.T.); #225642=ORIENTED_EDGE('',*,*,#175562,.T.); #225643=ORIENTED_EDGE('',*,*,#175559,.T.); #225644=ORIENTED_EDGE('',*,*,#175556,.T.); #225645=ORIENTED_EDGE('',*,*,#175553,.T.); #225646=ORIENTED_EDGE('',*,*,#175550,.T.); #225647=ORIENTED_EDGE('',*,*,#175547,.T.); #225648=ORIENTED_EDGE('',*,*,#175544,.T.); #225649=ORIENTED_EDGE('',*,*,#175541,.T.); #225650=ORIENTED_EDGE('',*,*,#175538,.T.); #225651=ORIENTED_EDGE('',*,*,#175535,.T.); #225652=ORIENTED_EDGE('',*,*,#175532,.T.); #225653=ORIENTED_EDGE('',*,*,#175529,.T.); #225654=ORIENTED_EDGE('',*,*,#175526,.T.); #225655=ORIENTED_EDGE('',*,*,#175523,.T.); #225656=ORIENTED_EDGE('',*,*,#175520,.T.); #225657=ORIENTED_EDGE('',*,*,#175517,.T.); #225658=ORIENTED_EDGE('',*,*,#175514,.T.); #225659=ORIENTED_EDGE('',*,*,#175576,.F.); #225660=ORIENTED_EDGE('',*,*,#175515,.F.); #225661=ORIENTED_EDGE('',*,*,#175519,.F.); #225662=ORIENTED_EDGE('',*,*,#175522,.F.); #225663=ORIENTED_EDGE('',*,*,#175525,.F.); #225664=ORIENTED_EDGE('',*,*,#175528,.F.); #225665=ORIENTED_EDGE('',*,*,#175531,.F.); #225666=ORIENTED_EDGE('',*,*,#175534,.F.); #225667=ORIENTED_EDGE('',*,*,#175537,.F.); #225668=ORIENTED_EDGE('',*,*,#175540,.F.); #225669=ORIENTED_EDGE('',*,*,#175543,.F.); #225670=ORIENTED_EDGE('',*,*,#175546,.F.); #225671=ORIENTED_EDGE('',*,*,#175549,.F.); #225672=ORIENTED_EDGE('',*,*,#175552,.F.); #225673=ORIENTED_EDGE('',*,*,#175555,.F.); #225674=ORIENTED_EDGE('',*,*,#175558,.F.); #225675=ORIENTED_EDGE('',*,*,#175561,.F.); #225676=ORIENTED_EDGE('',*,*,#175564,.F.); #225677=ORIENTED_EDGE('',*,*,#175567,.F.); #225678=ORIENTED_EDGE('',*,*,#175570,.F.); #225679=ORIENTED_EDGE('',*,*,#175573,.F.); #225680=ORIENTED_EDGE('',*,*,#175512,.T.); #225681=ORIENTED_EDGE('',*,*,#175578,.F.); #225682=ORIENTED_EDGE('',*,*,#175579,.T.); #225683=ORIENTED_EDGE('',*,*,#175580,.F.); #225684=ORIENTED_EDGE('',*,*,#175579,.F.); #225685=ORIENTED_EDGE('',*,*,#175581,.T.); #225686=ORIENTED_EDGE('',*,*,#175582,.T.); #225687=ORIENTED_EDGE('',*,*,#175583,.F.); #225688=ORIENTED_EDGE('',*,*,#175584,.F.); #225689=ORIENTED_EDGE('',*,*,#175585,.T.); #225690=ORIENTED_EDGE('',*,*,#175584,.T.); #225691=ORIENTED_EDGE('',*,*,#175586,.F.); #225692=ORIENTED_EDGE('',*,*,#175587,.F.); #225693=ORIENTED_EDGE('',*,*,#175588,.T.); #225694=ORIENTED_EDGE('',*,*,#175587,.T.); #225695=ORIENTED_EDGE('',*,*,#175589,.F.); #225696=ORIENTED_EDGE('',*,*,#175590,.F.); #225697=ORIENTED_EDGE('',*,*,#175591,.T.); #225698=ORIENTED_EDGE('',*,*,#175590,.T.); #225699=ORIENTED_EDGE('',*,*,#175592,.F.); #225700=ORIENTED_EDGE('',*,*,#175582,.F.); #225701=ORIENTED_EDGE('',*,*,#175592,.T.); #225702=ORIENTED_EDGE('',*,*,#175589,.T.); #225703=ORIENTED_EDGE('',*,*,#175586,.T.); #225704=ORIENTED_EDGE('',*,*,#175583,.T.); #225705=ORIENTED_EDGE('',*,*,#175580,.T.); #225706=ORIENTED_EDGE('',*,*,#175591,.F.); #225707=ORIENTED_EDGE('',*,*,#175581,.F.); #225708=ORIENTED_EDGE('',*,*,#175585,.F.); #225709=ORIENTED_EDGE('',*,*,#175588,.F.); #225710=ORIENTED_EDGE('',*,*,#175578,.T.); #225711=ORIENTED_EDGE('',*,*,#175593,.F.); #225712=ORIENTED_EDGE('',*,*,#175594,.T.); #225713=ORIENTED_EDGE('',*,*,#175595,.F.); #225714=ORIENTED_EDGE('',*,*,#175594,.F.); #225715=ORIENTED_EDGE('',*,*,#175596,.T.); #225716=ORIENTED_EDGE('',*,*,#175597,.T.); #225717=ORIENTED_EDGE('',*,*,#175598,.F.); #225718=ORIENTED_EDGE('',*,*,#175599,.F.); #225719=ORIENTED_EDGE('',*,*,#175600,.T.); #225720=ORIENTED_EDGE('',*,*,#175599,.T.); #225721=ORIENTED_EDGE('',*,*,#175601,.F.); #225722=ORIENTED_EDGE('',*,*,#175602,.F.); #225723=ORIENTED_EDGE('',*,*,#175603,.T.); #225724=ORIENTED_EDGE('',*,*,#175602,.T.); #225725=ORIENTED_EDGE('',*,*,#175604,.F.); #225726=ORIENTED_EDGE('',*,*,#175605,.F.); #225727=ORIENTED_EDGE('',*,*,#175606,.T.); #225728=ORIENTED_EDGE('',*,*,#175605,.T.); #225729=ORIENTED_EDGE('',*,*,#175607,.F.); #225730=ORIENTED_EDGE('',*,*,#175597,.F.); #225731=ORIENTED_EDGE('',*,*,#175607,.T.); #225732=ORIENTED_EDGE('',*,*,#175604,.T.); #225733=ORIENTED_EDGE('',*,*,#175601,.T.); #225734=ORIENTED_EDGE('',*,*,#175598,.T.); #225735=ORIENTED_EDGE('',*,*,#175595,.T.); #225736=ORIENTED_EDGE('',*,*,#175606,.F.); #225737=ORIENTED_EDGE('',*,*,#175596,.F.); #225738=ORIENTED_EDGE('',*,*,#175600,.F.); #225739=ORIENTED_EDGE('',*,*,#175603,.F.); #225740=ORIENTED_EDGE('',*,*,#175593,.T.); #225741=ORIENTED_EDGE('',*,*,#175608,.F.); #225742=ORIENTED_EDGE('',*,*,#175609,.T.); #225743=ORIENTED_EDGE('',*,*,#175610,.F.); #225744=ORIENTED_EDGE('',*,*,#175609,.F.); #225745=ORIENTED_EDGE('',*,*,#175611,.T.); #225746=ORIENTED_EDGE('',*,*,#175612,.T.); #225747=ORIENTED_EDGE('',*,*,#175613,.F.); #225748=ORIENTED_EDGE('',*,*,#175614,.F.); #225749=ORIENTED_EDGE('',*,*,#175615,.T.); #225750=ORIENTED_EDGE('',*,*,#175614,.T.); #225751=ORIENTED_EDGE('',*,*,#175616,.F.); #225752=ORIENTED_EDGE('',*,*,#175617,.F.); #225753=ORIENTED_EDGE('',*,*,#175618,.T.); #225754=ORIENTED_EDGE('',*,*,#175617,.T.); #225755=ORIENTED_EDGE('',*,*,#175619,.F.); #225756=ORIENTED_EDGE('',*,*,#175620,.F.); #225757=ORIENTED_EDGE('',*,*,#175621,.T.); #225758=ORIENTED_EDGE('',*,*,#175620,.T.); #225759=ORIENTED_EDGE('',*,*,#175622,.F.); #225760=ORIENTED_EDGE('',*,*,#175623,.F.); #225761=ORIENTED_EDGE('',*,*,#175624,.T.); #225762=ORIENTED_EDGE('',*,*,#175623,.T.); #225763=ORIENTED_EDGE('',*,*,#175625,.F.); #225764=ORIENTED_EDGE('',*,*,#175626,.F.); #225765=ORIENTED_EDGE('',*,*,#175627,.T.); #225766=ORIENTED_EDGE('',*,*,#175626,.T.); #225767=ORIENTED_EDGE('',*,*,#175628,.F.); #225768=ORIENTED_EDGE('',*,*,#175629,.F.); #225769=ORIENTED_EDGE('',*,*,#175630,.T.); #225770=ORIENTED_EDGE('',*,*,#175629,.T.); #225771=ORIENTED_EDGE('',*,*,#175631,.F.); #225772=ORIENTED_EDGE('',*,*,#175632,.F.); #225773=ORIENTED_EDGE('',*,*,#175633,.T.); #225774=ORIENTED_EDGE('',*,*,#175632,.T.); #225775=ORIENTED_EDGE('',*,*,#175634,.F.); #225776=ORIENTED_EDGE('',*,*,#175635,.F.); #225777=ORIENTED_EDGE('',*,*,#175636,.T.); #225778=ORIENTED_EDGE('',*,*,#175635,.T.); #225779=ORIENTED_EDGE('',*,*,#175637,.F.); #225780=ORIENTED_EDGE('',*,*,#175638,.F.); #225781=ORIENTED_EDGE('',*,*,#175639,.T.); #225782=ORIENTED_EDGE('',*,*,#175638,.T.); #225783=ORIENTED_EDGE('',*,*,#175640,.F.); #225784=ORIENTED_EDGE('',*,*,#175641,.F.); #225785=ORIENTED_EDGE('',*,*,#175642,.T.); #225786=ORIENTED_EDGE('',*,*,#175641,.T.); #225787=ORIENTED_EDGE('',*,*,#175643,.F.); #225788=ORIENTED_EDGE('',*,*,#175644,.F.); #225789=ORIENTED_EDGE('',*,*,#175645,.T.); #225790=ORIENTED_EDGE('',*,*,#175644,.T.); #225791=ORIENTED_EDGE('',*,*,#175646,.F.); #225792=ORIENTED_EDGE('',*,*,#175647,.F.); #225793=ORIENTED_EDGE('',*,*,#175648,.T.); #225794=ORIENTED_EDGE('',*,*,#175647,.T.); #225795=ORIENTED_EDGE('',*,*,#175649,.F.); #225796=ORIENTED_EDGE('',*,*,#175650,.F.); #225797=ORIENTED_EDGE('',*,*,#175651,.T.); #225798=ORIENTED_EDGE('',*,*,#175650,.T.); #225799=ORIENTED_EDGE('',*,*,#175652,.F.); #225800=ORIENTED_EDGE('',*,*,#175612,.F.); #225801=ORIENTED_EDGE('',*,*,#175652,.T.); #225802=ORIENTED_EDGE('',*,*,#175649,.T.); #225803=ORIENTED_EDGE('',*,*,#175646,.T.); #225804=ORIENTED_EDGE('',*,*,#175643,.T.); #225805=ORIENTED_EDGE('',*,*,#175640,.T.); #225806=ORIENTED_EDGE('',*,*,#175637,.T.); #225807=ORIENTED_EDGE('',*,*,#175634,.T.); #225808=ORIENTED_EDGE('',*,*,#175631,.T.); #225809=ORIENTED_EDGE('',*,*,#175628,.T.); #225810=ORIENTED_EDGE('',*,*,#175625,.T.); #225811=ORIENTED_EDGE('',*,*,#175622,.T.); #225812=ORIENTED_EDGE('',*,*,#175619,.T.); #225813=ORIENTED_EDGE('',*,*,#175616,.T.); #225814=ORIENTED_EDGE('',*,*,#175613,.T.); #225815=ORIENTED_EDGE('',*,*,#175610,.T.); #225816=ORIENTED_EDGE('',*,*,#175651,.F.); #225817=ORIENTED_EDGE('',*,*,#175611,.F.); #225818=ORIENTED_EDGE('',*,*,#175615,.F.); #225819=ORIENTED_EDGE('',*,*,#175618,.F.); #225820=ORIENTED_EDGE('',*,*,#175621,.F.); #225821=ORIENTED_EDGE('',*,*,#175624,.F.); #225822=ORIENTED_EDGE('',*,*,#175627,.F.); #225823=ORIENTED_EDGE('',*,*,#175630,.F.); #225824=ORIENTED_EDGE('',*,*,#175633,.F.); #225825=ORIENTED_EDGE('',*,*,#175636,.F.); #225826=ORIENTED_EDGE('',*,*,#175639,.F.); #225827=ORIENTED_EDGE('',*,*,#175642,.F.); #225828=ORIENTED_EDGE('',*,*,#175645,.F.); #225829=ORIENTED_EDGE('',*,*,#175648,.F.); #225830=ORIENTED_EDGE('',*,*,#175608,.T.); #225831=ORIENTED_EDGE('',*,*,#175653,.F.); #225832=ORIENTED_EDGE('',*,*,#175654,.T.); #225833=ORIENTED_EDGE('',*,*,#175655,.F.); #225834=ORIENTED_EDGE('',*,*,#175654,.F.); #225835=ORIENTED_EDGE('',*,*,#175656,.T.); #225836=ORIENTED_EDGE('',*,*,#175657,.T.); #225837=ORIENTED_EDGE('',*,*,#175658,.F.); #225838=ORIENTED_EDGE('',*,*,#175659,.F.); #225839=ORIENTED_EDGE('',*,*,#175660,.T.); #225840=ORIENTED_EDGE('',*,*,#175659,.T.); #225841=ORIENTED_EDGE('',*,*,#175661,.F.); #225842=ORIENTED_EDGE('',*,*,#175662,.F.); #225843=ORIENTED_EDGE('',*,*,#175663,.T.); #225844=ORIENTED_EDGE('',*,*,#175662,.T.); #225845=ORIENTED_EDGE('',*,*,#175664,.F.); #225846=ORIENTED_EDGE('',*,*,#175657,.F.); #225847=ORIENTED_EDGE('',*,*,#175664,.T.); #225848=ORIENTED_EDGE('',*,*,#175661,.T.); #225849=ORIENTED_EDGE('',*,*,#175658,.T.); #225850=ORIENTED_EDGE('',*,*,#175655,.T.); #225851=ORIENTED_EDGE('',*,*,#175663,.F.); #225852=ORIENTED_EDGE('',*,*,#175656,.F.); #225853=ORIENTED_EDGE('',*,*,#175660,.F.); #225854=ORIENTED_EDGE('',*,*,#175653,.T.); #225855=ORIENTED_EDGE('',*,*,#175665,.F.); #225856=ORIENTED_EDGE('',*,*,#175666,.T.); #225857=ORIENTED_EDGE('',*,*,#175667,.F.); #225858=ORIENTED_EDGE('',*,*,#175666,.F.); #225859=ORIENTED_EDGE('',*,*,#175668,.F.); #225860=ORIENTED_EDGE('',*,*,#175669,.T.); #225861=ORIENTED_EDGE('',*,*,#175670,.F.); #225862=ORIENTED_EDGE('',*,*,#175669,.F.); #225863=ORIENTED_EDGE('',*,*,#175671,.T.); #225864=ORIENTED_EDGE('',*,*,#175672,.T.); #225865=ORIENTED_EDGE('',*,*,#175673,.F.); #225866=ORIENTED_EDGE('',*,*,#175674,.F.); #225867=ORIENTED_EDGE('',*,*,#175675,.T.); #225868=ORIENTED_EDGE('',*,*,#175674,.T.); #225869=ORIENTED_EDGE('',*,*,#175676,.F.); #225870=ORIENTED_EDGE('',*,*,#175677,.F.); #225871=ORIENTED_EDGE('',*,*,#175678,.T.); #225872=ORIENTED_EDGE('',*,*,#175677,.T.); #225873=ORIENTED_EDGE('',*,*,#175679,.F.); #225874=ORIENTED_EDGE('',*,*,#175680,.F.); #225875=ORIENTED_EDGE('',*,*,#175681,.T.); #225876=ORIENTED_EDGE('',*,*,#175680,.T.); #225877=ORIENTED_EDGE('',*,*,#175682,.F.); #225878=ORIENTED_EDGE('',*,*,#175683,.F.); #225879=ORIENTED_EDGE('',*,*,#175684,.T.); #225880=ORIENTED_EDGE('',*,*,#175683,.T.); #225881=ORIENTED_EDGE('',*,*,#175685,.F.); #225882=ORIENTED_EDGE('',*,*,#175686,.F.); #225883=ORIENTED_EDGE('',*,*,#175687,.T.); #225884=ORIENTED_EDGE('',*,*,#175686,.T.); #225885=ORIENTED_EDGE('',*,*,#175688,.F.); #225886=ORIENTED_EDGE('',*,*,#175689,.F.); #225887=ORIENTED_EDGE('',*,*,#175690,.T.); #225888=ORIENTED_EDGE('',*,*,#175689,.T.); #225889=ORIENTED_EDGE('',*,*,#175691,.F.); #225890=ORIENTED_EDGE('',*,*,#175672,.F.); #225891=ORIENTED_EDGE('',*,*,#175691,.T.); #225892=ORIENTED_EDGE('',*,*,#175688,.T.); #225893=ORIENTED_EDGE('',*,*,#175685,.T.); #225894=ORIENTED_EDGE('',*,*,#175682,.T.); #225895=ORIENTED_EDGE('',*,*,#175679,.T.); #225896=ORIENTED_EDGE('',*,*,#175676,.T.); #225897=ORIENTED_EDGE('',*,*,#175673,.T.); #225898=ORIENTED_EDGE('',*,*,#175667,.T.); #225899=ORIENTED_EDGE('',*,*,#175670,.T.); #225900=ORIENTED_EDGE('',*,*,#175690,.F.); #225901=ORIENTED_EDGE('',*,*,#175671,.F.); #225902=ORIENTED_EDGE('',*,*,#175675,.F.); #225903=ORIENTED_EDGE('',*,*,#175678,.F.); #225904=ORIENTED_EDGE('',*,*,#175681,.F.); #225905=ORIENTED_EDGE('',*,*,#175684,.F.); #225906=ORIENTED_EDGE('',*,*,#175687,.F.); #225907=ORIENTED_EDGE('',*,*,#175665,.T.); #225908=ORIENTED_EDGE('',*,*,#175668,.T.); #225909=ORIENTED_EDGE('',*,*,#175692,.F.); #225910=ORIENTED_EDGE('',*,*,#175693,.T.); #225911=ORIENTED_EDGE('',*,*,#175694,.F.); #225912=ORIENTED_EDGE('',*,*,#175693,.F.); #225913=ORIENTED_EDGE('',*,*,#175695,.T.); #225914=ORIENTED_EDGE('',*,*,#175696,.T.); #225915=ORIENTED_EDGE('',*,*,#175697,.F.); #225916=ORIENTED_EDGE('',*,*,#175698,.F.); #225917=ORIENTED_EDGE('',*,*,#175699,.T.); #225918=ORIENTED_EDGE('',*,*,#175698,.T.); #225919=ORIENTED_EDGE('',*,*,#175700,.F.); #225920=ORIENTED_EDGE('',*,*,#175701,.F.); #225921=ORIENTED_EDGE('',*,*,#175702,.T.); #225922=ORIENTED_EDGE('',*,*,#175701,.T.); #225923=ORIENTED_EDGE('',*,*,#175703,.F.); #225924=ORIENTED_EDGE('',*,*,#175696,.F.); #225925=ORIENTED_EDGE('',*,*,#175703,.T.); #225926=ORIENTED_EDGE('',*,*,#175700,.T.); #225927=ORIENTED_EDGE('',*,*,#175697,.T.); #225928=ORIENTED_EDGE('',*,*,#175694,.T.); #225929=ORIENTED_EDGE('',*,*,#175702,.F.); #225930=ORIENTED_EDGE('',*,*,#175695,.F.); #225931=ORIENTED_EDGE('',*,*,#175699,.F.); #225932=ORIENTED_EDGE('',*,*,#175692,.T.); #225933=ORIENTED_EDGE('',*,*,#175704,.F.); #225934=ORIENTED_EDGE('',*,*,#175705,.T.); #225935=ORIENTED_EDGE('',*,*,#175706,.F.); #225936=ORIENTED_EDGE('',*,*,#175705,.F.); #225937=ORIENTED_EDGE('',*,*,#175707,.T.); #225938=ORIENTED_EDGE('',*,*,#175708,.T.); #225939=ORIENTED_EDGE('',*,*,#175709,.F.); #225940=ORIENTED_EDGE('',*,*,#175710,.F.); #225941=ORIENTED_EDGE('',*,*,#175711,.T.); #225942=ORIENTED_EDGE('',*,*,#175710,.T.); #225943=ORIENTED_EDGE('',*,*,#175712,.F.); #225944=ORIENTED_EDGE('',*,*,#175713,.F.); #225945=ORIENTED_EDGE('',*,*,#175714,.T.); #225946=ORIENTED_EDGE('',*,*,#175713,.T.); #225947=ORIENTED_EDGE('',*,*,#175715,.F.); #225948=ORIENTED_EDGE('',*,*,#175716,.F.); #225949=ORIENTED_EDGE('',*,*,#175717,.T.); #225950=ORIENTED_EDGE('',*,*,#175716,.T.); #225951=ORIENTED_EDGE('',*,*,#175718,.F.); #225952=ORIENTED_EDGE('',*,*,#175708,.F.); #225953=ORIENTED_EDGE('',*,*,#175718,.T.); #225954=ORIENTED_EDGE('',*,*,#175715,.T.); #225955=ORIENTED_EDGE('',*,*,#175712,.T.); #225956=ORIENTED_EDGE('',*,*,#175709,.T.); #225957=ORIENTED_EDGE('',*,*,#175706,.T.); #225958=ORIENTED_EDGE('',*,*,#175717,.F.); #225959=ORIENTED_EDGE('',*,*,#175707,.F.); #225960=ORIENTED_EDGE('',*,*,#175711,.F.); #225961=ORIENTED_EDGE('',*,*,#175714,.F.); #225962=ORIENTED_EDGE('',*,*,#175704,.T.); #225963=ORIENTED_EDGE('',*,*,#175719,.F.); #225964=ORIENTED_EDGE('',*,*,#175720,.T.); #225965=ORIENTED_EDGE('',*,*,#175721,.F.); #225966=ORIENTED_EDGE('',*,*,#175720,.F.); #225967=ORIENTED_EDGE('',*,*,#175722,.T.); #225968=ORIENTED_EDGE('',*,*,#175723,.T.); #225969=ORIENTED_EDGE('',*,*,#175724,.F.); #225970=ORIENTED_EDGE('',*,*,#175725,.F.); #225971=ORIENTED_EDGE('',*,*,#175726,.T.); #225972=ORIENTED_EDGE('',*,*,#175725,.T.); #225973=ORIENTED_EDGE('',*,*,#175727,.F.); #225974=ORIENTED_EDGE('',*,*,#175728,.F.); #225975=ORIENTED_EDGE('',*,*,#175729,.T.); #225976=ORIENTED_EDGE('',*,*,#175728,.T.); #225977=ORIENTED_EDGE('',*,*,#175730,.F.); #225978=ORIENTED_EDGE('',*,*,#175731,.F.); #225979=ORIENTED_EDGE('',*,*,#175732,.T.); #225980=ORIENTED_EDGE('',*,*,#175731,.T.); #225981=ORIENTED_EDGE('',*,*,#175733,.F.); #225982=ORIENTED_EDGE('',*,*,#175734,.F.); #225983=ORIENTED_EDGE('',*,*,#175735,.T.); #225984=ORIENTED_EDGE('',*,*,#175734,.T.); #225985=ORIENTED_EDGE('',*,*,#175736,.F.); #225986=ORIENTED_EDGE('',*,*,#175737,.F.); #225987=ORIENTED_EDGE('',*,*,#175738,.T.); #225988=ORIENTED_EDGE('',*,*,#175737,.T.); #225989=ORIENTED_EDGE('',*,*,#175739,.F.); #225990=ORIENTED_EDGE('',*,*,#175740,.F.); #225991=ORIENTED_EDGE('',*,*,#175741,.T.); #225992=ORIENTED_EDGE('',*,*,#175740,.T.); #225993=ORIENTED_EDGE('',*,*,#175742,.F.); #225994=ORIENTED_EDGE('',*,*,#175743,.F.); #225995=ORIENTED_EDGE('',*,*,#175744,.T.); #225996=ORIENTED_EDGE('',*,*,#175743,.T.); #225997=ORIENTED_EDGE('',*,*,#175745,.F.); #225998=ORIENTED_EDGE('',*,*,#175746,.F.); #225999=ORIENTED_EDGE('',*,*,#175747,.T.); #226000=ORIENTED_EDGE('',*,*,#175746,.T.); #226001=ORIENTED_EDGE('',*,*,#175748,.F.); #226002=ORIENTED_EDGE('',*,*,#175749,.F.); #226003=ORIENTED_EDGE('',*,*,#175750,.T.); #226004=ORIENTED_EDGE('',*,*,#175749,.T.); #226005=ORIENTED_EDGE('',*,*,#175751,.F.); #226006=ORIENTED_EDGE('',*,*,#175752,.F.); #226007=ORIENTED_EDGE('',*,*,#175753,.T.); #226008=ORIENTED_EDGE('',*,*,#175752,.T.); #226009=ORIENTED_EDGE('',*,*,#175754,.F.); #226010=ORIENTED_EDGE('',*,*,#175755,.F.); #226011=ORIENTED_EDGE('',*,*,#175756,.T.); #226012=ORIENTED_EDGE('',*,*,#175755,.T.); #226013=ORIENTED_EDGE('',*,*,#175757,.F.); #226014=ORIENTED_EDGE('',*,*,#175758,.F.); #226015=ORIENTED_EDGE('',*,*,#175759,.T.); #226016=ORIENTED_EDGE('',*,*,#175758,.T.); #226017=ORIENTED_EDGE('',*,*,#175760,.F.); #226018=ORIENTED_EDGE('',*,*,#175761,.F.); #226019=ORIENTED_EDGE('',*,*,#175762,.T.); #226020=ORIENTED_EDGE('',*,*,#175761,.T.); #226021=ORIENTED_EDGE('',*,*,#175763,.F.); #226022=ORIENTED_EDGE('',*,*,#175764,.F.); #226023=ORIENTED_EDGE('',*,*,#175765,.T.); #226024=ORIENTED_EDGE('',*,*,#175764,.T.); #226025=ORIENTED_EDGE('',*,*,#175766,.F.); #226026=ORIENTED_EDGE('',*,*,#175767,.F.); #226027=ORIENTED_EDGE('',*,*,#175768,.T.); #226028=ORIENTED_EDGE('',*,*,#175767,.T.); #226029=ORIENTED_EDGE('',*,*,#175769,.F.); #226030=ORIENTED_EDGE('',*,*,#175770,.F.); #226031=ORIENTED_EDGE('',*,*,#175771,.T.); #226032=ORIENTED_EDGE('',*,*,#175770,.T.); #226033=ORIENTED_EDGE('',*,*,#175772,.F.); #226034=ORIENTED_EDGE('',*,*,#175773,.F.); #226035=ORIENTED_EDGE('',*,*,#175774,.T.); #226036=ORIENTED_EDGE('',*,*,#175773,.T.); #226037=ORIENTED_EDGE('',*,*,#175775,.F.); #226038=ORIENTED_EDGE('',*,*,#175776,.F.); #226039=ORIENTED_EDGE('',*,*,#175777,.T.); #226040=ORIENTED_EDGE('',*,*,#175776,.T.); #226041=ORIENTED_EDGE('',*,*,#175778,.F.); #226042=ORIENTED_EDGE('',*,*,#175779,.F.); #226043=ORIENTED_EDGE('',*,*,#175780,.T.); #226044=ORIENTED_EDGE('',*,*,#175779,.T.); #226045=ORIENTED_EDGE('',*,*,#175781,.F.); #226046=ORIENTED_EDGE('',*,*,#175782,.F.); #226047=ORIENTED_EDGE('',*,*,#175783,.T.); #226048=ORIENTED_EDGE('',*,*,#175782,.T.); #226049=ORIENTED_EDGE('',*,*,#175784,.F.); #226050=ORIENTED_EDGE('',*,*,#175785,.F.); #226051=ORIENTED_EDGE('',*,*,#175786,.T.); #226052=ORIENTED_EDGE('',*,*,#175785,.T.); #226053=ORIENTED_EDGE('',*,*,#175787,.F.); #226054=ORIENTED_EDGE('',*,*,#175788,.F.); #226055=ORIENTED_EDGE('',*,*,#175789,.T.); #226056=ORIENTED_EDGE('',*,*,#175788,.T.); #226057=ORIENTED_EDGE('',*,*,#175790,.F.); #226058=ORIENTED_EDGE('',*,*,#175791,.F.); #226059=ORIENTED_EDGE('',*,*,#175792,.T.); #226060=ORIENTED_EDGE('',*,*,#175791,.T.); #226061=ORIENTED_EDGE('',*,*,#175793,.F.); #226062=ORIENTED_EDGE('',*,*,#175794,.F.); #226063=ORIENTED_EDGE('',*,*,#175795,.T.); #226064=ORIENTED_EDGE('',*,*,#175794,.T.); #226065=ORIENTED_EDGE('',*,*,#175796,.F.); #226066=ORIENTED_EDGE('',*,*,#175797,.F.); #226067=ORIENTED_EDGE('',*,*,#175798,.T.); #226068=ORIENTED_EDGE('',*,*,#175797,.T.); #226069=ORIENTED_EDGE('',*,*,#175799,.F.); #226070=ORIENTED_EDGE('',*,*,#175800,.F.); #226071=ORIENTED_EDGE('',*,*,#175801,.T.); #226072=ORIENTED_EDGE('',*,*,#175800,.T.); #226073=ORIENTED_EDGE('',*,*,#175802,.F.); #226074=ORIENTED_EDGE('',*,*,#175803,.F.); #226075=ORIENTED_EDGE('',*,*,#175804,.T.); #226076=ORIENTED_EDGE('',*,*,#175803,.T.); #226077=ORIENTED_EDGE('',*,*,#175805,.F.); #226078=ORIENTED_EDGE('',*,*,#175806,.F.); #226079=ORIENTED_EDGE('',*,*,#175807,.T.); #226080=ORIENTED_EDGE('',*,*,#175806,.T.); #226081=ORIENTED_EDGE('',*,*,#175808,.F.); #226082=ORIENTED_EDGE('',*,*,#175809,.F.); #226083=ORIENTED_EDGE('',*,*,#175810,.T.); #226084=ORIENTED_EDGE('',*,*,#175809,.T.); #226085=ORIENTED_EDGE('',*,*,#175811,.F.); #226086=ORIENTED_EDGE('',*,*,#175812,.F.); #226087=ORIENTED_EDGE('',*,*,#175813,.T.); #226088=ORIENTED_EDGE('',*,*,#175812,.T.); #226089=ORIENTED_EDGE('',*,*,#175814,.F.); #226090=ORIENTED_EDGE('',*,*,#175815,.F.); #226091=ORIENTED_EDGE('',*,*,#175816,.T.); #226092=ORIENTED_EDGE('',*,*,#175815,.T.); #226093=ORIENTED_EDGE('',*,*,#175817,.F.); #226094=ORIENTED_EDGE('',*,*,#175818,.F.); #226095=ORIENTED_EDGE('',*,*,#175819,.T.); #226096=ORIENTED_EDGE('',*,*,#175818,.T.); #226097=ORIENTED_EDGE('',*,*,#175820,.F.); #226098=ORIENTED_EDGE('',*,*,#175821,.F.); #226099=ORIENTED_EDGE('',*,*,#175822,.T.); #226100=ORIENTED_EDGE('',*,*,#175821,.T.); #226101=ORIENTED_EDGE('',*,*,#175823,.F.); #226102=ORIENTED_EDGE('',*,*,#175824,.F.); #226103=ORIENTED_EDGE('',*,*,#175825,.T.); #226104=ORIENTED_EDGE('',*,*,#175824,.T.); #226105=ORIENTED_EDGE('',*,*,#175826,.F.); #226106=ORIENTED_EDGE('',*,*,#175827,.F.); #226107=ORIENTED_EDGE('',*,*,#175828,.T.); #226108=ORIENTED_EDGE('',*,*,#175827,.T.); #226109=ORIENTED_EDGE('',*,*,#175829,.F.); #226110=ORIENTED_EDGE('',*,*,#175830,.F.); #226111=ORIENTED_EDGE('',*,*,#175831,.T.); #226112=ORIENTED_EDGE('',*,*,#175830,.T.); #226113=ORIENTED_EDGE('',*,*,#175832,.F.); #226114=ORIENTED_EDGE('',*,*,#175833,.F.); #226115=ORIENTED_EDGE('',*,*,#175834,.T.); #226116=ORIENTED_EDGE('',*,*,#175833,.T.); #226117=ORIENTED_EDGE('',*,*,#175835,.F.); #226118=ORIENTED_EDGE('',*,*,#175836,.F.); #226119=ORIENTED_EDGE('',*,*,#175837,.T.); #226120=ORIENTED_EDGE('',*,*,#175836,.T.); #226121=ORIENTED_EDGE('',*,*,#175838,.F.); #226122=ORIENTED_EDGE('',*,*,#175839,.F.); #226123=ORIENTED_EDGE('',*,*,#175840,.T.); #226124=ORIENTED_EDGE('',*,*,#175839,.T.); #226125=ORIENTED_EDGE('',*,*,#175841,.F.); #226126=ORIENTED_EDGE('',*,*,#175842,.F.); #226127=ORIENTED_EDGE('',*,*,#175843,.T.); #226128=ORIENTED_EDGE('',*,*,#175842,.T.); #226129=ORIENTED_EDGE('',*,*,#175844,.F.); #226130=ORIENTED_EDGE('',*,*,#175845,.F.); #226131=ORIENTED_EDGE('',*,*,#175846,.T.); #226132=ORIENTED_EDGE('',*,*,#175845,.T.); #226133=ORIENTED_EDGE('',*,*,#175847,.F.); #226134=ORIENTED_EDGE('',*,*,#175848,.F.); #226135=ORIENTED_EDGE('',*,*,#175849,.T.); #226136=ORIENTED_EDGE('',*,*,#175848,.T.); #226137=ORIENTED_EDGE('',*,*,#175850,.F.); #226138=ORIENTED_EDGE('',*,*,#175723,.F.); #226139=ORIENTED_EDGE('',*,*,#175850,.T.); #226140=ORIENTED_EDGE('',*,*,#175847,.T.); #226141=ORIENTED_EDGE('',*,*,#175844,.T.); #226142=ORIENTED_EDGE('',*,*,#175841,.T.); #226143=ORIENTED_EDGE('',*,*,#175838,.T.); #226144=ORIENTED_EDGE('',*,*,#175835,.T.); #226145=ORIENTED_EDGE('',*,*,#175832,.T.); #226146=ORIENTED_EDGE('',*,*,#175829,.T.); #226147=ORIENTED_EDGE('',*,*,#175826,.T.); #226148=ORIENTED_EDGE('',*,*,#175823,.T.); #226149=ORIENTED_EDGE('',*,*,#175820,.T.); #226150=ORIENTED_EDGE('',*,*,#175817,.T.); #226151=ORIENTED_EDGE('',*,*,#175814,.T.); #226152=ORIENTED_EDGE('',*,*,#175811,.T.); #226153=ORIENTED_EDGE('',*,*,#175808,.T.); #226154=ORIENTED_EDGE('',*,*,#175805,.T.); #226155=ORIENTED_EDGE('',*,*,#175802,.T.); #226156=ORIENTED_EDGE('',*,*,#175799,.T.); #226157=ORIENTED_EDGE('',*,*,#175796,.T.); #226158=ORIENTED_EDGE('',*,*,#175793,.T.); #226159=ORIENTED_EDGE('',*,*,#175790,.T.); #226160=ORIENTED_EDGE('',*,*,#175787,.T.); #226161=ORIENTED_EDGE('',*,*,#175784,.T.); #226162=ORIENTED_EDGE('',*,*,#175781,.T.); #226163=ORIENTED_EDGE('',*,*,#175778,.T.); #226164=ORIENTED_EDGE('',*,*,#175775,.T.); #226165=ORIENTED_EDGE('',*,*,#175772,.T.); #226166=ORIENTED_EDGE('',*,*,#175769,.T.); #226167=ORIENTED_EDGE('',*,*,#175766,.T.); #226168=ORIENTED_EDGE('',*,*,#175763,.T.); #226169=ORIENTED_EDGE('',*,*,#175760,.T.); #226170=ORIENTED_EDGE('',*,*,#175757,.T.); #226171=ORIENTED_EDGE('',*,*,#175754,.T.); #226172=ORIENTED_EDGE('',*,*,#175751,.T.); #226173=ORIENTED_EDGE('',*,*,#175748,.T.); #226174=ORIENTED_EDGE('',*,*,#175745,.T.); #226175=ORIENTED_EDGE('',*,*,#175742,.T.); #226176=ORIENTED_EDGE('',*,*,#175739,.T.); #226177=ORIENTED_EDGE('',*,*,#175736,.T.); #226178=ORIENTED_EDGE('',*,*,#175733,.T.); #226179=ORIENTED_EDGE('',*,*,#175730,.T.); #226180=ORIENTED_EDGE('',*,*,#175727,.T.); #226181=ORIENTED_EDGE('',*,*,#175724,.T.); #226182=ORIENTED_EDGE('',*,*,#175721,.T.); #226183=ORIENTED_EDGE('',*,*,#175849,.F.); #226184=ORIENTED_EDGE('',*,*,#175722,.F.); #226185=ORIENTED_EDGE('',*,*,#175726,.F.); #226186=ORIENTED_EDGE('',*,*,#175729,.F.); #226187=ORIENTED_EDGE('',*,*,#175732,.F.); #226188=ORIENTED_EDGE('',*,*,#175735,.F.); #226189=ORIENTED_EDGE('',*,*,#175738,.F.); #226190=ORIENTED_EDGE('',*,*,#175741,.F.); #226191=ORIENTED_EDGE('',*,*,#175744,.F.); #226192=ORIENTED_EDGE('',*,*,#175747,.F.); #226193=ORIENTED_EDGE('',*,*,#175750,.F.); #226194=ORIENTED_EDGE('',*,*,#175753,.F.); #226195=ORIENTED_EDGE('',*,*,#175756,.F.); #226196=ORIENTED_EDGE('',*,*,#175759,.F.); #226197=ORIENTED_EDGE('',*,*,#175762,.F.); #226198=ORIENTED_EDGE('',*,*,#175765,.F.); #226199=ORIENTED_EDGE('',*,*,#175768,.F.); #226200=ORIENTED_EDGE('',*,*,#175771,.F.); #226201=ORIENTED_EDGE('',*,*,#175774,.F.); #226202=ORIENTED_EDGE('',*,*,#175777,.F.); #226203=ORIENTED_EDGE('',*,*,#175780,.F.); #226204=ORIENTED_EDGE('',*,*,#175783,.F.); #226205=ORIENTED_EDGE('',*,*,#175786,.F.); #226206=ORIENTED_EDGE('',*,*,#175789,.F.); #226207=ORIENTED_EDGE('',*,*,#175792,.F.); #226208=ORIENTED_EDGE('',*,*,#175795,.F.); #226209=ORIENTED_EDGE('',*,*,#175798,.F.); #226210=ORIENTED_EDGE('',*,*,#175801,.F.); #226211=ORIENTED_EDGE('',*,*,#175804,.F.); #226212=ORIENTED_EDGE('',*,*,#175807,.F.); #226213=ORIENTED_EDGE('',*,*,#175810,.F.); #226214=ORIENTED_EDGE('',*,*,#175813,.F.); #226215=ORIENTED_EDGE('',*,*,#175816,.F.); #226216=ORIENTED_EDGE('',*,*,#175819,.F.); #226217=ORIENTED_EDGE('',*,*,#175822,.F.); #226218=ORIENTED_EDGE('',*,*,#175825,.F.); #226219=ORIENTED_EDGE('',*,*,#175828,.F.); #226220=ORIENTED_EDGE('',*,*,#175831,.F.); #226221=ORIENTED_EDGE('',*,*,#175834,.F.); #226222=ORIENTED_EDGE('',*,*,#175837,.F.); #226223=ORIENTED_EDGE('',*,*,#175840,.F.); #226224=ORIENTED_EDGE('',*,*,#175843,.F.); #226225=ORIENTED_EDGE('',*,*,#175846,.F.); #226226=ORIENTED_EDGE('',*,*,#175719,.T.); #226227=ORIENTED_EDGE('',*,*,#175851,.F.); #226228=ORIENTED_EDGE('',*,*,#175852,.T.); #226229=ORIENTED_EDGE('',*,*,#175853,.F.); #226230=ORIENTED_EDGE('',*,*,#175852,.F.); #226231=ORIENTED_EDGE('',*,*,#175854,.T.); #226232=ORIENTED_EDGE('',*,*,#175855,.T.); #226233=ORIENTED_EDGE('',*,*,#175856,.F.); #226234=ORIENTED_EDGE('',*,*,#175857,.F.); #226235=ORIENTED_EDGE('',*,*,#175858,.T.); #226236=ORIENTED_EDGE('',*,*,#175857,.T.); #226237=ORIENTED_EDGE('',*,*,#175859,.F.); #226238=ORIENTED_EDGE('',*,*,#175860,.F.); #226239=ORIENTED_EDGE('',*,*,#175861,.T.); #226240=ORIENTED_EDGE('',*,*,#175860,.T.); #226241=ORIENTED_EDGE('',*,*,#175862,.F.); #226242=ORIENTED_EDGE('',*,*,#175863,.F.); #226243=ORIENTED_EDGE('',*,*,#175864,.T.); #226244=ORIENTED_EDGE('',*,*,#175863,.T.); #226245=ORIENTED_EDGE('',*,*,#175865,.F.); #226246=ORIENTED_EDGE('',*,*,#175866,.F.); #226247=ORIENTED_EDGE('',*,*,#175867,.T.); #226248=ORIENTED_EDGE('',*,*,#175866,.T.); #226249=ORIENTED_EDGE('',*,*,#175868,.F.); #226250=ORIENTED_EDGE('',*,*,#175869,.F.); #226251=ORIENTED_EDGE('',*,*,#175870,.T.); #226252=ORIENTED_EDGE('',*,*,#175869,.T.); #226253=ORIENTED_EDGE('',*,*,#175871,.F.); #226254=ORIENTED_EDGE('',*,*,#175872,.F.); #226255=ORIENTED_EDGE('',*,*,#175873,.T.); #226256=ORIENTED_EDGE('',*,*,#175872,.T.); #226257=ORIENTED_EDGE('',*,*,#175874,.F.); #226258=ORIENTED_EDGE('',*,*,#175875,.F.); #226259=ORIENTED_EDGE('',*,*,#175876,.T.); #226260=ORIENTED_EDGE('',*,*,#175875,.T.); #226261=ORIENTED_EDGE('',*,*,#175877,.F.); #226262=ORIENTED_EDGE('',*,*,#175878,.F.); #226263=ORIENTED_EDGE('',*,*,#175879,.T.); #226264=ORIENTED_EDGE('',*,*,#175878,.T.); #226265=ORIENTED_EDGE('',*,*,#175880,.F.); #226266=ORIENTED_EDGE('',*,*,#175881,.F.); #226267=ORIENTED_EDGE('',*,*,#175882,.T.); #226268=ORIENTED_EDGE('',*,*,#175881,.T.); #226269=ORIENTED_EDGE('',*,*,#175883,.F.); #226270=ORIENTED_EDGE('',*,*,#175884,.F.); #226271=ORIENTED_EDGE('',*,*,#175885,.T.); #226272=ORIENTED_EDGE('',*,*,#175884,.T.); #226273=ORIENTED_EDGE('',*,*,#175886,.F.); #226274=ORIENTED_EDGE('',*,*,#175887,.F.); #226275=ORIENTED_EDGE('',*,*,#175888,.T.); #226276=ORIENTED_EDGE('',*,*,#175887,.T.); #226277=ORIENTED_EDGE('',*,*,#175889,.F.); #226278=ORIENTED_EDGE('',*,*,#175890,.F.); #226279=ORIENTED_EDGE('',*,*,#175891,.T.); #226280=ORIENTED_EDGE('',*,*,#175890,.T.); #226281=ORIENTED_EDGE('',*,*,#175892,.F.); #226282=ORIENTED_EDGE('',*,*,#175893,.F.); #226283=ORIENTED_EDGE('',*,*,#175894,.T.); #226284=ORIENTED_EDGE('',*,*,#175893,.T.); #226285=ORIENTED_EDGE('',*,*,#175895,.F.); #226286=ORIENTED_EDGE('',*,*,#175896,.F.); #226287=ORIENTED_EDGE('',*,*,#175897,.T.); #226288=ORIENTED_EDGE('',*,*,#175896,.T.); #226289=ORIENTED_EDGE('',*,*,#175898,.F.); #226290=ORIENTED_EDGE('',*,*,#175899,.F.); #226291=ORIENTED_EDGE('',*,*,#175900,.T.); #226292=ORIENTED_EDGE('',*,*,#175899,.T.); #226293=ORIENTED_EDGE('',*,*,#175901,.F.); #226294=ORIENTED_EDGE('',*,*,#175902,.F.); #226295=ORIENTED_EDGE('',*,*,#175903,.T.); #226296=ORIENTED_EDGE('',*,*,#175902,.T.); #226297=ORIENTED_EDGE('',*,*,#175904,.F.); #226298=ORIENTED_EDGE('',*,*,#175905,.F.); #226299=ORIENTED_EDGE('',*,*,#175906,.T.); #226300=ORIENTED_EDGE('',*,*,#175905,.T.); #226301=ORIENTED_EDGE('',*,*,#175907,.F.); #226302=ORIENTED_EDGE('',*,*,#175908,.F.); #226303=ORIENTED_EDGE('',*,*,#175909,.T.); #226304=ORIENTED_EDGE('',*,*,#175908,.T.); #226305=ORIENTED_EDGE('',*,*,#175910,.F.); #226306=ORIENTED_EDGE('',*,*,#175911,.F.); #226307=ORIENTED_EDGE('',*,*,#175912,.T.); #226308=ORIENTED_EDGE('',*,*,#175911,.T.); #226309=ORIENTED_EDGE('',*,*,#175913,.F.); #226310=ORIENTED_EDGE('',*,*,#175914,.F.); #226311=ORIENTED_EDGE('',*,*,#175915,.T.); #226312=ORIENTED_EDGE('',*,*,#175914,.T.); #226313=ORIENTED_EDGE('',*,*,#175916,.F.); #226314=ORIENTED_EDGE('',*,*,#175855,.F.); #226315=ORIENTED_EDGE('',*,*,#175916,.T.); #226316=ORIENTED_EDGE('',*,*,#175913,.T.); #226317=ORIENTED_EDGE('',*,*,#175910,.T.); #226318=ORIENTED_EDGE('',*,*,#175907,.T.); #226319=ORIENTED_EDGE('',*,*,#175904,.T.); #226320=ORIENTED_EDGE('',*,*,#175901,.T.); #226321=ORIENTED_EDGE('',*,*,#175898,.T.); #226322=ORIENTED_EDGE('',*,*,#175895,.T.); #226323=ORIENTED_EDGE('',*,*,#175892,.T.); #226324=ORIENTED_EDGE('',*,*,#175889,.T.); #226325=ORIENTED_EDGE('',*,*,#175886,.T.); #226326=ORIENTED_EDGE('',*,*,#175883,.T.); #226327=ORIENTED_EDGE('',*,*,#175880,.T.); #226328=ORIENTED_EDGE('',*,*,#175877,.T.); #226329=ORIENTED_EDGE('',*,*,#175874,.T.); #226330=ORIENTED_EDGE('',*,*,#175871,.T.); #226331=ORIENTED_EDGE('',*,*,#175868,.T.); #226332=ORIENTED_EDGE('',*,*,#175865,.T.); #226333=ORIENTED_EDGE('',*,*,#175862,.T.); #226334=ORIENTED_EDGE('',*,*,#175859,.T.); #226335=ORIENTED_EDGE('',*,*,#175856,.T.); #226336=ORIENTED_EDGE('',*,*,#175853,.T.); #226337=ORIENTED_EDGE('',*,*,#175915,.F.); #226338=ORIENTED_EDGE('',*,*,#175854,.F.); #226339=ORIENTED_EDGE('',*,*,#175858,.F.); #226340=ORIENTED_EDGE('',*,*,#175861,.F.); #226341=ORIENTED_EDGE('',*,*,#175864,.F.); #226342=ORIENTED_EDGE('',*,*,#175867,.F.); #226343=ORIENTED_EDGE('',*,*,#175870,.F.); #226344=ORIENTED_EDGE('',*,*,#175873,.F.); #226345=ORIENTED_EDGE('',*,*,#175876,.F.); #226346=ORIENTED_EDGE('',*,*,#175879,.F.); #226347=ORIENTED_EDGE('',*,*,#175882,.F.); #226348=ORIENTED_EDGE('',*,*,#175885,.F.); #226349=ORIENTED_EDGE('',*,*,#175888,.F.); #226350=ORIENTED_EDGE('',*,*,#175891,.F.); #226351=ORIENTED_EDGE('',*,*,#175894,.F.); #226352=ORIENTED_EDGE('',*,*,#175897,.F.); #226353=ORIENTED_EDGE('',*,*,#175900,.F.); #226354=ORIENTED_EDGE('',*,*,#175903,.F.); #226355=ORIENTED_EDGE('',*,*,#175906,.F.); #226356=ORIENTED_EDGE('',*,*,#175909,.F.); #226357=ORIENTED_EDGE('',*,*,#175912,.F.); #226358=ORIENTED_EDGE('',*,*,#175851,.T.); #226359=ORIENTED_EDGE('',*,*,#175917,.T.); #226360=ORIENTED_EDGE('',*,*,#175918,.T.); #226361=ORIENTED_EDGE('',*,*,#175919,.F.); #226362=ORIENTED_EDGE('',*,*,#175920,.F.); #226363=ORIENTED_EDGE('',*,*,#175921,.T.); #226364=ORIENTED_EDGE('',*,*,#175920,.T.); #226365=ORIENTED_EDGE('',*,*,#175922,.F.); #226366=ORIENTED_EDGE('',*,*,#175923,.F.); #226367=ORIENTED_EDGE('',*,*,#175924,.T.); #226368=ORIENTED_EDGE('',*,*,#175923,.T.); #226369=ORIENTED_EDGE('',*,*,#175925,.F.); #226370=ORIENTED_EDGE('',*,*,#175926,.F.); #226371=ORIENTED_EDGE('',*,*,#175927,.T.); #226372=ORIENTED_EDGE('',*,*,#175926,.T.); #226373=ORIENTED_EDGE('',*,*,#175928,.F.); #226374=ORIENTED_EDGE('',*,*,#175929,.F.); #226375=ORIENTED_EDGE('',*,*,#175930,.T.); #226376=ORIENTED_EDGE('',*,*,#175929,.T.); #226377=ORIENTED_EDGE('',*,*,#175931,.F.); #226378=ORIENTED_EDGE('',*,*,#175932,.F.); #226379=ORIENTED_EDGE('',*,*,#175933,.T.); #226380=ORIENTED_EDGE('',*,*,#175932,.T.); #226381=ORIENTED_EDGE('',*,*,#175934,.F.); #226382=ORIENTED_EDGE('',*,*,#175935,.F.); #226383=ORIENTED_EDGE('',*,*,#175936,.T.); #226384=ORIENTED_EDGE('',*,*,#175935,.T.); #226385=ORIENTED_EDGE('',*,*,#175937,.F.); #226386=ORIENTED_EDGE('',*,*,#175938,.F.); #226387=ORIENTED_EDGE('',*,*,#175939,.T.); #226388=ORIENTED_EDGE('',*,*,#175938,.T.); #226389=ORIENTED_EDGE('',*,*,#175940,.F.); #226390=ORIENTED_EDGE('',*,*,#175941,.F.); #226391=ORIENTED_EDGE('',*,*,#175942,.T.); #226392=ORIENTED_EDGE('',*,*,#175941,.T.); #226393=ORIENTED_EDGE('',*,*,#175943,.F.); #226394=ORIENTED_EDGE('',*,*,#175944,.F.); #226395=ORIENTED_EDGE('',*,*,#175945,.T.); #226396=ORIENTED_EDGE('',*,*,#175944,.T.); #226397=ORIENTED_EDGE('',*,*,#175946,.F.); #226398=ORIENTED_EDGE('',*,*,#175947,.F.); #226399=ORIENTED_EDGE('',*,*,#175948,.T.); #226400=ORIENTED_EDGE('',*,*,#175947,.T.); #226401=ORIENTED_EDGE('',*,*,#175949,.F.); #226402=ORIENTED_EDGE('',*,*,#175950,.F.); #226403=ORIENTED_EDGE('',*,*,#175951,.T.); #226404=ORIENTED_EDGE('',*,*,#175950,.T.); #226405=ORIENTED_EDGE('',*,*,#175952,.F.); #226406=ORIENTED_EDGE('',*,*,#175918,.F.); #226407=ORIENTED_EDGE('',*,*,#175952,.T.); #226408=ORIENTED_EDGE('',*,*,#175949,.T.); #226409=ORIENTED_EDGE('',*,*,#175946,.T.); #226410=ORIENTED_EDGE('',*,*,#175943,.T.); #226411=ORIENTED_EDGE('',*,*,#175940,.T.); #226412=ORIENTED_EDGE('',*,*,#175937,.T.); #226413=ORIENTED_EDGE('',*,*,#175934,.T.); #226414=ORIENTED_EDGE('',*,*,#175931,.T.); #226415=ORIENTED_EDGE('',*,*,#175928,.T.); #226416=ORIENTED_EDGE('',*,*,#175925,.T.); #226417=ORIENTED_EDGE('',*,*,#175922,.T.); #226418=ORIENTED_EDGE('',*,*,#175919,.T.); #226419=ORIENTED_EDGE('',*,*,#175951,.F.); #226420=ORIENTED_EDGE('',*,*,#175917,.F.); #226421=ORIENTED_EDGE('',*,*,#175921,.F.); #226422=ORIENTED_EDGE('',*,*,#175924,.F.); #226423=ORIENTED_EDGE('',*,*,#175927,.F.); #226424=ORIENTED_EDGE('',*,*,#175930,.F.); #226425=ORIENTED_EDGE('',*,*,#175933,.F.); #226426=ORIENTED_EDGE('',*,*,#175936,.F.); #226427=ORIENTED_EDGE('',*,*,#175939,.F.); #226428=ORIENTED_EDGE('',*,*,#175942,.F.); #226429=ORIENTED_EDGE('',*,*,#175945,.F.); #226430=ORIENTED_EDGE('',*,*,#175948,.F.); #226431=ORIENTED_EDGE('',*,*,#175953,.T.); #226432=ORIENTED_EDGE('',*,*,#175954,.T.); #226433=ORIENTED_EDGE('',*,*,#175955,.F.); #226434=ORIENTED_EDGE('',*,*,#175956,.F.); #226435=ORIENTED_EDGE('',*,*,#175957,.T.); #226436=ORIENTED_EDGE('',*,*,#175956,.T.); #226437=ORIENTED_EDGE('',*,*,#175958,.F.); #226438=ORIENTED_EDGE('',*,*,#175959,.F.); #226439=ORIENTED_EDGE('',*,*,#175960,.T.); #226440=ORIENTED_EDGE('',*,*,#175959,.T.); #226441=ORIENTED_EDGE('',*,*,#175961,.F.); #226442=ORIENTED_EDGE('',*,*,#175962,.F.); #226443=ORIENTED_EDGE('',*,*,#175963,.T.); #226444=ORIENTED_EDGE('',*,*,#175962,.T.); #226445=ORIENTED_EDGE('',*,*,#175964,.F.); #226446=ORIENTED_EDGE('',*,*,#175965,.F.); #226447=ORIENTED_EDGE('',*,*,#175966,.T.); #226448=ORIENTED_EDGE('',*,*,#175965,.T.); #226449=ORIENTED_EDGE('',*,*,#175967,.F.); #226450=ORIENTED_EDGE('',*,*,#175968,.F.); #226451=ORIENTED_EDGE('',*,*,#175969,.T.); #226452=ORIENTED_EDGE('',*,*,#175968,.T.); #226453=ORIENTED_EDGE('',*,*,#175970,.F.); #226454=ORIENTED_EDGE('',*,*,#175971,.F.); #226455=ORIENTED_EDGE('',*,*,#175972,.T.); #226456=ORIENTED_EDGE('',*,*,#175971,.T.); #226457=ORIENTED_EDGE('',*,*,#175973,.F.); #226458=ORIENTED_EDGE('',*,*,#175974,.F.); #226459=ORIENTED_EDGE('',*,*,#175975,.T.); #226460=ORIENTED_EDGE('',*,*,#175974,.T.); #226461=ORIENTED_EDGE('',*,*,#175976,.F.); #226462=ORIENTED_EDGE('',*,*,#175977,.F.); #226463=ORIENTED_EDGE('',*,*,#175978,.T.); #226464=ORIENTED_EDGE('',*,*,#175977,.T.); #226465=ORIENTED_EDGE('',*,*,#175979,.F.); #226466=ORIENTED_EDGE('',*,*,#175980,.F.); #226467=ORIENTED_EDGE('',*,*,#175981,.T.); #226468=ORIENTED_EDGE('',*,*,#175980,.T.); #226469=ORIENTED_EDGE('',*,*,#175982,.F.); #226470=ORIENTED_EDGE('',*,*,#175983,.F.); #226471=ORIENTED_EDGE('',*,*,#175984,.T.); #226472=ORIENTED_EDGE('',*,*,#175983,.T.); #226473=ORIENTED_EDGE('',*,*,#175985,.F.); #226474=ORIENTED_EDGE('',*,*,#175986,.F.); #226475=ORIENTED_EDGE('',*,*,#175987,.T.); #226476=ORIENTED_EDGE('',*,*,#175986,.T.); #226477=ORIENTED_EDGE('',*,*,#175988,.F.); #226478=ORIENTED_EDGE('',*,*,#175954,.F.); #226479=ORIENTED_EDGE('',*,*,#175988,.T.); #226480=ORIENTED_EDGE('',*,*,#175985,.T.); #226481=ORIENTED_EDGE('',*,*,#175982,.T.); #226482=ORIENTED_EDGE('',*,*,#175979,.T.); #226483=ORIENTED_EDGE('',*,*,#175976,.T.); #226484=ORIENTED_EDGE('',*,*,#175973,.T.); #226485=ORIENTED_EDGE('',*,*,#175970,.T.); #226486=ORIENTED_EDGE('',*,*,#175967,.T.); #226487=ORIENTED_EDGE('',*,*,#175964,.T.); #226488=ORIENTED_EDGE('',*,*,#175961,.T.); #226489=ORIENTED_EDGE('',*,*,#175958,.T.); #226490=ORIENTED_EDGE('',*,*,#175955,.T.); #226491=ORIENTED_EDGE('',*,*,#175987,.F.); #226492=ORIENTED_EDGE('',*,*,#175953,.F.); #226493=ORIENTED_EDGE('',*,*,#175957,.F.); #226494=ORIENTED_EDGE('',*,*,#175960,.F.); #226495=ORIENTED_EDGE('',*,*,#175963,.F.); #226496=ORIENTED_EDGE('',*,*,#175966,.F.); #226497=ORIENTED_EDGE('',*,*,#175969,.F.); #226498=ORIENTED_EDGE('',*,*,#175972,.F.); #226499=ORIENTED_EDGE('',*,*,#175975,.F.); #226500=ORIENTED_EDGE('',*,*,#175978,.F.); #226501=ORIENTED_EDGE('',*,*,#175981,.F.); #226502=ORIENTED_EDGE('',*,*,#175984,.F.); #226503=ORIENTED_EDGE('',*,*,#175989,.F.); #226504=ORIENTED_EDGE('',*,*,#175990,.T.); #226505=ORIENTED_EDGE('',*,*,#175991,.F.); #226506=ORIENTED_EDGE('',*,*,#175990,.F.); #226507=ORIENTED_EDGE('',*,*,#175992,.T.); #226508=ORIENTED_EDGE('',*,*,#175993,.T.); #226509=ORIENTED_EDGE('',*,*,#175994,.F.); #226510=ORIENTED_EDGE('',*,*,#175995,.F.); #226511=ORIENTED_EDGE('',*,*,#175996,.T.); #226512=ORIENTED_EDGE('',*,*,#175995,.T.); #226513=ORIENTED_EDGE('',*,*,#175997,.F.); #226514=ORIENTED_EDGE('',*,*,#175998,.F.); #226515=ORIENTED_EDGE('',*,*,#175999,.T.); #226516=ORIENTED_EDGE('',*,*,#175998,.T.); #226517=ORIENTED_EDGE('',*,*,#176000,.F.); #226518=ORIENTED_EDGE('',*,*,#176001,.F.); #226519=ORIENTED_EDGE('',*,*,#176002,.T.); #226520=ORIENTED_EDGE('',*,*,#176001,.T.); #226521=ORIENTED_EDGE('',*,*,#176003,.F.); #226522=ORIENTED_EDGE('',*,*,#176004,.F.); #226523=ORIENTED_EDGE('',*,*,#176005,.T.); #226524=ORIENTED_EDGE('',*,*,#176004,.T.); #226525=ORIENTED_EDGE('',*,*,#176006,.F.); #226526=ORIENTED_EDGE('',*,*,#176007,.F.); #226527=ORIENTED_EDGE('',*,*,#176008,.T.); #226528=ORIENTED_EDGE('',*,*,#176007,.T.); #226529=ORIENTED_EDGE('',*,*,#176009,.F.); #226530=ORIENTED_EDGE('',*,*,#176010,.F.); #226531=ORIENTED_EDGE('',*,*,#176011,.T.); #226532=ORIENTED_EDGE('',*,*,#176010,.T.); #226533=ORIENTED_EDGE('',*,*,#176012,.F.); #226534=ORIENTED_EDGE('',*,*,#176013,.F.); #226535=ORIENTED_EDGE('',*,*,#176014,.T.); #226536=ORIENTED_EDGE('',*,*,#176013,.T.); #226537=ORIENTED_EDGE('',*,*,#176015,.F.); #226538=ORIENTED_EDGE('',*,*,#176016,.F.); #226539=ORIENTED_EDGE('',*,*,#176017,.T.); #226540=ORIENTED_EDGE('',*,*,#176016,.T.); #226541=ORIENTED_EDGE('',*,*,#176018,.F.); #226542=ORIENTED_EDGE('',*,*,#176019,.F.); #226543=ORIENTED_EDGE('',*,*,#176020,.T.); #226544=ORIENTED_EDGE('',*,*,#176019,.T.); #226545=ORIENTED_EDGE('',*,*,#176021,.F.); #226546=ORIENTED_EDGE('',*,*,#176022,.F.); #226547=ORIENTED_EDGE('',*,*,#176023,.T.); #226548=ORIENTED_EDGE('',*,*,#176022,.T.); #226549=ORIENTED_EDGE('',*,*,#176024,.F.); #226550=ORIENTED_EDGE('',*,*,#176025,.F.); #226551=ORIENTED_EDGE('',*,*,#176026,.T.); #226552=ORIENTED_EDGE('',*,*,#176025,.T.); #226553=ORIENTED_EDGE('',*,*,#176027,.F.); #226554=ORIENTED_EDGE('',*,*,#176028,.F.); #226555=ORIENTED_EDGE('',*,*,#176029,.T.); #226556=ORIENTED_EDGE('',*,*,#176028,.T.); #226557=ORIENTED_EDGE('',*,*,#176030,.F.); #226558=ORIENTED_EDGE('',*,*,#176031,.F.); #226559=ORIENTED_EDGE('',*,*,#176032,.T.); #226560=ORIENTED_EDGE('',*,*,#176031,.T.); #226561=ORIENTED_EDGE('',*,*,#176033,.F.); #226562=ORIENTED_EDGE('',*,*,#176034,.F.); #226563=ORIENTED_EDGE('',*,*,#176035,.T.); #226564=ORIENTED_EDGE('',*,*,#176034,.T.); #226565=ORIENTED_EDGE('',*,*,#176036,.F.); #226566=ORIENTED_EDGE('',*,*,#176037,.F.); #226567=ORIENTED_EDGE('',*,*,#176038,.T.); #226568=ORIENTED_EDGE('',*,*,#176037,.T.); #226569=ORIENTED_EDGE('',*,*,#176039,.F.); #226570=ORIENTED_EDGE('',*,*,#176040,.F.); #226571=ORIENTED_EDGE('',*,*,#176041,.T.); #226572=ORIENTED_EDGE('',*,*,#176040,.T.); #226573=ORIENTED_EDGE('',*,*,#176042,.F.); #226574=ORIENTED_EDGE('',*,*,#175993,.F.); #226575=ORIENTED_EDGE('',*,*,#176042,.T.); #226576=ORIENTED_EDGE('',*,*,#176039,.T.); #226577=ORIENTED_EDGE('',*,*,#176036,.T.); #226578=ORIENTED_EDGE('',*,*,#176033,.T.); #226579=ORIENTED_EDGE('',*,*,#176030,.T.); #226580=ORIENTED_EDGE('',*,*,#176027,.T.); #226581=ORIENTED_EDGE('',*,*,#176024,.T.); #226582=ORIENTED_EDGE('',*,*,#176021,.T.); #226583=ORIENTED_EDGE('',*,*,#176018,.T.); #226584=ORIENTED_EDGE('',*,*,#176015,.T.); #226585=ORIENTED_EDGE('',*,*,#176012,.T.); #226586=ORIENTED_EDGE('',*,*,#176009,.T.); #226587=ORIENTED_EDGE('',*,*,#176006,.T.); #226588=ORIENTED_EDGE('',*,*,#176003,.T.); #226589=ORIENTED_EDGE('',*,*,#176000,.T.); #226590=ORIENTED_EDGE('',*,*,#175997,.T.); #226591=ORIENTED_EDGE('',*,*,#175994,.T.); #226592=ORIENTED_EDGE('',*,*,#175991,.T.); #226593=ORIENTED_EDGE('',*,*,#176041,.F.); #226594=ORIENTED_EDGE('',*,*,#175992,.F.); #226595=ORIENTED_EDGE('',*,*,#175996,.F.); #226596=ORIENTED_EDGE('',*,*,#175999,.F.); #226597=ORIENTED_EDGE('',*,*,#176002,.F.); #226598=ORIENTED_EDGE('',*,*,#176005,.F.); #226599=ORIENTED_EDGE('',*,*,#176008,.F.); #226600=ORIENTED_EDGE('',*,*,#176011,.F.); #226601=ORIENTED_EDGE('',*,*,#176014,.F.); #226602=ORIENTED_EDGE('',*,*,#176017,.F.); #226603=ORIENTED_EDGE('',*,*,#176020,.F.); #226604=ORIENTED_EDGE('',*,*,#176023,.F.); #226605=ORIENTED_EDGE('',*,*,#176026,.F.); #226606=ORIENTED_EDGE('',*,*,#176029,.F.); #226607=ORIENTED_EDGE('',*,*,#176032,.F.); #226608=ORIENTED_EDGE('',*,*,#176035,.F.); #226609=ORIENTED_EDGE('',*,*,#176038,.F.); #226610=ORIENTED_EDGE('',*,*,#175989,.T.); #226611=ORIENTED_EDGE('',*,*,#176043,.F.); #226612=ORIENTED_EDGE('',*,*,#176044,.T.); #226613=ORIENTED_EDGE('',*,*,#176045,.F.); #226614=ORIENTED_EDGE('',*,*,#176044,.F.); #226615=ORIENTED_EDGE('',*,*,#176046,.T.); #226616=ORIENTED_EDGE('',*,*,#176047,.T.); #226617=ORIENTED_EDGE('',*,*,#176048,.F.); #226618=ORIENTED_EDGE('',*,*,#176049,.F.); #226619=ORIENTED_EDGE('',*,*,#176050,.T.); #226620=ORIENTED_EDGE('',*,*,#176049,.T.); #226621=ORIENTED_EDGE('',*,*,#176051,.F.); #226622=ORIENTED_EDGE('',*,*,#176052,.F.); #226623=ORIENTED_EDGE('',*,*,#176053,.T.); #226624=ORIENTED_EDGE('',*,*,#176052,.T.); #226625=ORIENTED_EDGE('',*,*,#176054,.F.); #226626=ORIENTED_EDGE('',*,*,#176055,.F.); #226627=ORIENTED_EDGE('',*,*,#176056,.T.); #226628=ORIENTED_EDGE('',*,*,#176055,.T.); #226629=ORIENTED_EDGE('',*,*,#176057,.F.); #226630=ORIENTED_EDGE('',*,*,#176058,.F.); #226631=ORIENTED_EDGE('',*,*,#176059,.T.); #226632=ORIENTED_EDGE('',*,*,#176058,.T.); #226633=ORIENTED_EDGE('',*,*,#176060,.F.); #226634=ORIENTED_EDGE('',*,*,#176061,.F.); #226635=ORIENTED_EDGE('',*,*,#176062,.T.); #226636=ORIENTED_EDGE('',*,*,#176061,.T.); #226637=ORIENTED_EDGE('',*,*,#176063,.F.); #226638=ORIENTED_EDGE('',*,*,#176064,.F.); #226639=ORIENTED_EDGE('',*,*,#176065,.T.); #226640=ORIENTED_EDGE('',*,*,#176064,.T.); #226641=ORIENTED_EDGE('',*,*,#176066,.F.); #226642=ORIENTED_EDGE('',*,*,#176067,.F.); #226643=ORIENTED_EDGE('',*,*,#176068,.T.); #226644=ORIENTED_EDGE('',*,*,#176067,.T.); #226645=ORIENTED_EDGE('',*,*,#176069,.F.); #226646=ORIENTED_EDGE('',*,*,#176070,.F.); #226647=ORIENTED_EDGE('',*,*,#176071,.T.); #226648=ORIENTED_EDGE('',*,*,#176070,.T.); #226649=ORIENTED_EDGE('',*,*,#176072,.F.); #226650=ORIENTED_EDGE('',*,*,#176073,.F.); #226651=ORIENTED_EDGE('',*,*,#176074,.T.); #226652=ORIENTED_EDGE('',*,*,#176073,.T.); #226653=ORIENTED_EDGE('',*,*,#176075,.F.); #226654=ORIENTED_EDGE('',*,*,#176076,.F.); #226655=ORIENTED_EDGE('',*,*,#176077,.T.); #226656=ORIENTED_EDGE('',*,*,#176076,.T.); #226657=ORIENTED_EDGE('',*,*,#176078,.F.); #226658=ORIENTED_EDGE('',*,*,#176079,.F.); #226659=ORIENTED_EDGE('',*,*,#176080,.T.); #226660=ORIENTED_EDGE('',*,*,#176079,.T.); #226661=ORIENTED_EDGE('',*,*,#176081,.F.); #226662=ORIENTED_EDGE('',*,*,#176082,.F.); #226663=ORIENTED_EDGE('',*,*,#176083,.T.); #226664=ORIENTED_EDGE('',*,*,#176082,.T.); #226665=ORIENTED_EDGE('',*,*,#176084,.F.); #226666=ORIENTED_EDGE('',*,*,#176085,.F.); #226667=ORIENTED_EDGE('',*,*,#176086,.T.); #226668=ORIENTED_EDGE('',*,*,#176085,.T.); #226669=ORIENTED_EDGE('',*,*,#176087,.F.); #226670=ORIENTED_EDGE('',*,*,#176088,.F.); #226671=ORIENTED_EDGE('',*,*,#176089,.T.); #226672=ORIENTED_EDGE('',*,*,#176088,.T.); #226673=ORIENTED_EDGE('',*,*,#176090,.F.); #226674=ORIENTED_EDGE('',*,*,#176091,.F.); #226675=ORIENTED_EDGE('',*,*,#176092,.T.); #226676=ORIENTED_EDGE('',*,*,#176091,.T.); #226677=ORIENTED_EDGE('',*,*,#176093,.F.); #226678=ORIENTED_EDGE('',*,*,#176094,.F.); #226679=ORIENTED_EDGE('',*,*,#176095,.T.); #226680=ORIENTED_EDGE('',*,*,#176094,.T.); #226681=ORIENTED_EDGE('',*,*,#176096,.F.); #226682=ORIENTED_EDGE('',*,*,#176097,.F.); #226683=ORIENTED_EDGE('',*,*,#176098,.T.); #226684=ORIENTED_EDGE('',*,*,#176097,.T.); #226685=ORIENTED_EDGE('',*,*,#176099,.F.); #226686=ORIENTED_EDGE('',*,*,#176100,.F.); #226687=ORIENTED_EDGE('',*,*,#176101,.T.); #226688=ORIENTED_EDGE('',*,*,#176100,.T.); #226689=ORIENTED_EDGE('',*,*,#176102,.F.); #226690=ORIENTED_EDGE('',*,*,#176103,.F.); #226691=ORIENTED_EDGE('',*,*,#176104,.T.); #226692=ORIENTED_EDGE('',*,*,#176103,.T.); #226693=ORIENTED_EDGE('',*,*,#176105,.F.); #226694=ORIENTED_EDGE('',*,*,#176106,.F.); #226695=ORIENTED_EDGE('',*,*,#176107,.T.); #226696=ORIENTED_EDGE('',*,*,#176106,.T.); #226697=ORIENTED_EDGE('',*,*,#176108,.F.); #226698=ORIENTED_EDGE('',*,*,#176109,.F.); #226699=ORIENTED_EDGE('',*,*,#176110,.T.); #226700=ORIENTED_EDGE('',*,*,#176109,.T.); #226701=ORIENTED_EDGE('',*,*,#176111,.F.); #226702=ORIENTED_EDGE('',*,*,#176047,.F.); #226703=ORIENTED_EDGE('',*,*,#176111,.T.); #226704=ORIENTED_EDGE('',*,*,#176108,.T.); #226705=ORIENTED_EDGE('',*,*,#176105,.T.); #226706=ORIENTED_EDGE('',*,*,#176102,.T.); #226707=ORIENTED_EDGE('',*,*,#176099,.T.); #226708=ORIENTED_EDGE('',*,*,#176096,.T.); #226709=ORIENTED_EDGE('',*,*,#176093,.T.); #226710=ORIENTED_EDGE('',*,*,#176090,.T.); #226711=ORIENTED_EDGE('',*,*,#176087,.T.); #226712=ORIENTED_EDGE('',*,*,#176084,.T.); #226713=ORIENTED_EDGE('',*,*,#176081,.T.); #226714=ORIENTED_EDGE('',*,*,#176078,.T.); #226715=ORIENTED_EDGE('',*,*,#176075,.T.); #226716=ORIENTED_EDGE('',*,*,#176072,.T.); #226717=ORIENTED_EDGE('',*,*,#176069,.T.); #226718=ORIENTED_EDGE('',*,*,#176066,.T.); #226719=ORIENTED_EDGE('',*,*,#176063,.T.); #226720=ORIENTED_EDGE('',*,*,#176060,.T.); #226721=ORIENTED_EDGE('',*,*,#176057,.T.); #226722=ORIENTED_EDGE('',*,*,#176054,.T.); #226723=ORIENTED_EDGE('',*,*,#176051,.T.); #226724=ORIENTED_EDGE('',*,*,#176048,.T.); #226725=ORIENTED_EDGE('',*,*,#176045,.T.); #226726=ORIENTED_EDGE('',*,*,#176110,.F.); #226727=ORIENTED_EDGE('',*,*,#176046,.F.); #226728=ORIENTED_EDGE('',*,*,#176050,.F.); #226729=ORIENTED_EDGE('',*,*,#176053,.F.); #226730=ORIENTED_EDGE('',*,*,#176056,.F.); #226731=ORIENTED_EDGE('',*,*,#176059,.F.); #226732=ORIENTED_EDGE('',*,*,#176062,.F.); #226733=ORIENTED_EDGE('',*,*,#176065,.F.); #226734=ORIENTED_EDGE('',*,*,#176068,.F.); #226735=ORIENTED_EDGE('',*,*,#176071,.F.); #226736=ORIENTED_EDGE('',*,*,#176074,.F.); #226737=ORIENTED_EDGE('',*,*,#176077,.F.); #226738=ORIENTED_EDGE('',*,*,#176080,.F.); #226739=ORIENTED_EDGE('',*,*,#176083,.F.); #226740=ORIENTED_EDGE('',*,*,#176086,.F.); #226741=ORIENTED_EDGE('',*,*,#176089,.F.); #226742=ORIENTED_EDGE('',*,*,#176092,.F.); #226743=ORIENTED_EDGE('',*,*,#176095,.F.); #226744=ORIENTED_EDGE('',*,*,#176098,.F.); #226745=ORIENTED_EDGE('',*,*,#176101,.F.); #226746=ORIENTED_EDGE('',*,*,#176104,.F.); #226747=ORIENTED_EDGE('',*,*,#176107,.F.); #226748=ORIENTED_EDGE('',*,*,#176043,.T.); #226749=ORIENTED_EDGE('',*,*,#176112,.F.); #226750=ORIENTED_EDGE('',*,*,#176113,.T.); #226751=ORIENTED_EDGE('',*,*,#176114,.F.); #226752=ORIENTED_EDGE('',*,*,#176113,.F.); #226753=ORIENTED_EDGE('',*,*,#176115,.T.); #226754=ORIENTED_EDGE('',*,*,#176116,.T.); #226755=ORIENTED_EDGE('',*,*,#176117,.F.); #226756=ORIENTED_EDGE('',*,*,#176118,.F.); #226757=ORIENTED_EDGE('',*,*,#176119,.T.); #226758=ORIENTED_EDGE('',*,*,#176118,.T.); #226759=ORIENTED_EDGE('',*,*,#176120,.F.); #226760=ORIENTED_EDGE('',*,*,#176121,.F.); #226761=ORIENTED_EDGE('',*,*,#176122,.T.); #226762=ORIENTED_EDGE('',*,*,#176121,.T.); #226763=ORIENTED_EDGE('',*,*,#176123,.F.); #226764=ORIENTED_EDGE('',*,*,#176124,.F.); #226765=ORIENTED_EDGE('',*,*,#176125,.T.); #226766=ORIENTED_EDGE('',*,*,#176124,.T.); #226767=ORIENTED_EDGE('',*,*,#176126,.F.); #226768=ORIENTED_EDGE('',*,*,#176127,.F.); #226769=ORIENTED_EDGE('',*,*,#176128,.T.); #226770=ORIENTED_EDGE('',*,*,#176127,.T.); #226771=ORIENTED_EDGE('',*,*,#176129,.F.); #226772=ORIENTED_EDGE('',*,*,#176130,.F.); #226773=ORIENTED_EDGE('',*,*,#176131,.T.); #226774=ORIENTED_EDGE('',*,*,#176130,.T.); #226775=ORIENTED_EDGE('',*,*,#176132,.F.); #226776=ORIENTED_EDGE('',*,*,#176133,.F.); #226777=ORIENTED_EDGE('',*,*,#176134,.T.); #226778=ORIENTED_EDGE('',*,*,#176133,.T.); #226779=ORIENTED_EDGE('',*,*,#176135,.F.); #226780=ORIENTED_EDGE('',*,*,#176136,.F.); #226781=ORIENTED_EDGE('',*,*,#176137,.T.); #226782=ORIENTED_EDGE('',*,*,#176136,.T.); #226783=ORIENTED_EDGE('',*,*,#176138,.F.); #226784=ORIENTED_EDGE('',*,*,#176139,.F.); #226785=ORIENTED_EDGE('',*,*,#176140,.T.); #226786=ORIENTED_EDGE('',*,*,#176139,.T.); #226787=ORIENTED_EDGE('',*,*,#176141,.F.); #226788=ORIENTED_EDGE('',*,*,#176142,.F.); #226789=ORIENTED_EDGE('',*,*,#176143,.T.); #226790=ORIENTED_EDGE('',*,*,#176142,.T.); #226791=ORIENTED_EDGE('',*,*,#176144,.F.); #226792=ORIENTED_EDGE('',*,*,#176145,.F.); #226793=ORIENTED_EDGE('',*,*,#176146,.T.); #226794=ORIENTED_EDGE('',*,*,#176145,.T.); #226795=ORIENTED_EDGE('',*,*,#176147,.F.); #226796=ORIENTED_EDGE('',*,*,#176148,.F.); #226797=ORIENTED_EDGE('',*,*,#176149,.T.); #226798=ORIENTED_EDGE('',*,*,#176148,.T.); #226799=ORIENTED_EDGE('',*,*,#176150,.F.); #226800=ORIENTED_EDGE('',*,*,#176116,.F.); #226801=ORIENTED_EDGE('',*,*,#176150,.T.); #226802=ORIENTED_EDGE('',*,*,#176147,.T.); #226803=ORIENTED_EDGE('',*,*,#176144,.T.); #226804=ORIENTED_EDGE('',*,*,#176141,.T.); #226805=ORIENTED_EDGE('',*,*,#176138,.T.); #226806=ORIENTED_EDGE('',*,*,#176135,.T.); #226807=ORIENTED_EDGE('',*,*,#176132,.T.); #226808=ORIENTED_EDGE('',*,*,#176129,.T.); #226809=ORIENTED_EDGE('',*,*,#176126,.T.); #226810=ORIENTED_EDGE('',*,*,#176123,.T.); #226811=ORIENTED_EDGE('',*,*,#176120,.T.); #226812=ORIENTED_EDGE('',*,*,#176117,.T.); #226813=ORIENTED_EDGE('',*,*,#176114,.T.); #226814=ORIENTED_EDGE('',*,*,#176149,.F.); #226815=ORIENTED_EDGE('',*,*,#176115,.F.); #226816=ORIENTED_EDGE('',*,*,#176119,.F.); #226817=ORIENTED_EDGE('',*,*,#176122,.F.); #226818=ORIENTED_EDGE('',*,*,#176125,.F.); #226819=ORIENTED_EDGE('',*,*,#176128,.F.); #226820=ORIENTED_EDGE('',*,*,#176131,.F.); #226821=ORIENTED_EDGE('',*,*,#176134,.F.); #226822=ORIENTED_EDGE('',*,*,#176137,.F.); #226823=ORIENTED_EDGE('',*,*,#176140,.F.); #226824=ORIENTED_EDGE('',*,*,#176143,.F.); #226825=ORIENTED_EDGE('',*,*,#176146,.F.); #226826=ORIENTED_EDGE('',*,*,#176112,.T.); #226827=ORIENTED_EDGE('',*,*,#176151,.T.); #226828=ORIENTED_EDGE('',*,*,#176152,.T.); #226829=ORIENTED_EDGE('',*,*,#176153,.F.); #226830=ORIENTED_EDGE('',*,*,#176154,.F.); #226831=ORIENTED_EDGE('',*,*,#176155,.T.); #226832=ORIENTED_EDGE('',*,*,#176154,.T.); #226833=ORIENTED_EDGE('',*,*,#176156,.F.); #226834=ORIENTED_EDGE('',*,*,#176157,.F.); #226835=ORIENTED_EDGE('',*,*,#176158,.T.); #226836=ORIENTED_EDGE('',*,*,#176157,.T.); #226837=ORIENTED_EDGE('',*,*,#176159,.F.); #226838=ORIENTED_EDGE('',*,*,#176160,.F.); #226839=ORIENTED_EDGE('',*,*,#176161,.T.); #226840=ORIENTED_EDGE('',*,*,#176160,.T.); #226841=ORIENTED_EDGE('',*,*,#176162,.F.); #226842=ORIENTED_EDGE('',*,*,#176163,.F.); #226843=ORIENTED_EDGE('',*,*,#176164,.T.); #226844=ORIENTED_EDGE('',*,*,#176163,.T.); #226845=ORIENTED_EDGE('',*,*,#176165,.F.); #226846=ORIENTED_EDGE('',*,*,#176166,.F.); #226847=ORIENTED_EDGE('',*,*,#176167,.T.); #226848=ORIENTED_EDGE('',*,*,#176166,.T.); #226849=ORIENTED_EDGE('',*,*,#176168,.F.); #226850=ORIENTED_EDGE('',*,*,#176169,.F.); #226851=ORIENTED_EDGE('',*,*,#176170,.T.); #226852=ORIENTED_EDGE('',*,*,#176169,.T.); #226853=ORIENTED_EDGE('',*,*,#176171,.F.); #226854=ORIENTED_EDGE('',*,*,#176172,.F.); #226855=ORIENTED_EDGE('',*,*,#176173,.T.); #226856=ORIENTED_EDGE('',*,*,#176172,.T.); #226857=ORIENTED_EDGE('',*,*,#176174,.F.); #226858=ORIENTED_EDGE('',*,*,#176175,.F.); #226859=ORIENTED_EDGE('',*,*,#176176,.T.); #226860=ORIENTED_EDGE('',*,*,#176175,.T.); #226861=ORIENTED_EDGE('',*,*,#176177,.F.); #226862=ORIENTED_EDGE('',*,*,#176178,.F.); #226863=ORIENTED_EDGE('',*,*,#176179,.T.); #226864=ORIENTED_EDGE('',*,*,#176178,.T.); #226865=ORIENTED_EDGE('',*,*,#176180,.F.); #226866=ORIENTED_EDGE('',*,*,#176181,.F.); #226867=ORIENTED_EDGE('',*,*,#176182,.T.); #226868=ORIENTED_EDGE('',*,*,#176181,.T.); #226869=ORIENTED_EDGE('',*,*,#176183,.F.); #226870=ORIENTED_EDGE('',*,*,#176184,.F.); #226871=ORIENTED_EDGE('',*,*,#176185,.T.); #226872=ORIENTED_EDGE('',*,*,#176184,.T.); #226873=ORIENTED_EDGE('',*,*,#176186,.F.); #226874=ORIENTED_EDGE('',*,*,#176187,.F.); #226875=ORIENTED_EDGE('',*,*,#176188,.T.); #226876=ORIENTED_EDGE('',*,*,#176187,.T.); #226877=ORIENTED_EDGE('',*,*,#176189,.F.); #226878=ORIENTED_EDGE('',*,*,#176190,.F.); #226879=ORIENTED_EDGE('',*,*,#176191,.T.); #226880=ORIENTED_EDGE('',*,*,#176190,.T.); #226881=ORIENTED_EDGE('',*,*,#176192,.F.); #226882=ORIENTED_EDGE('',*,*,#176193,.F.); #226883=ORIENTED_EDGE('',*,*,#176194,.T.); #226884=ORIENTED_EDGE('',*,*,#176193,.T.); #226885=ORIENTED_EDGE('',*,*,#176195,.F.); #226886=ORIENTED_EDGE('',*,*,#176196,.F.); #226887=ORIENTED_EDGE('',*,*,#176197,.T.); #226888=ORIENTED_EDGE('',*,*,#176196,.T.); #226889=ORIENTED_EDGE('',*,*,#176198,.F.); #226890=ORIENTED_EDGE('',*,*,#176199,.F.); #226891=ORIENTED_EDGE('',*,*,#176200,.T.); #226892=ORIENTED_EDGE('',*,*,#176199,.T.); #226893=ORIENTED_EDGE('',*,*,#176201,.F.); #226894=ORIENTED_EDGE('',*,*,#176202,.F.); #226895=ORIENTED_EDGE('',*,*,#176203,.T.); #226896=ORIENTED_EDGE('',*,*,#176202,.T.); #226897=ORIENTED_EDGE('',*,*,#176204,.F.); #226898=ORIENTED_EDGE('',*,*,#176205,.F.); #226899=ORIENTED_EDGE('',*,*,#176206,.T.); #226900=ORIENTED_EDGE('',*,*,#176205,.T.); #226901=ORIENTED_EDGE('',*,*,#176207,.F.); #226902=ORIENTED_EDGE('',*,*,#176208,.F.); #226903=ORIENTED_EDGE('',*,*,#176209,.T.); #226904=ORIENTED_EDGE('',*,*,#176208,.T.); #226905=ORIENTED_EDGE('',*,*,#176210,.F.); #226906=ORIENTED_EDGE('',*,*,#176211,.F.); #226907=ORIENTED_EDGE('',*,*,#176212,.T.); #226908=ORIENTED_EDGE('',*,*,#176211,.T.); #226909=ORIENTED_EDGE('',*,*,#176213,.F.); #226910=ORIENTED_EDGE('',*,*,#176214,.F.); #226911=ORIENTED_EDGE('',*,*,#176215,.T.); #226912=ORIENTED_EDGE('',*,*,#176214,.T.); #226913=ORIENTED_EDGE('',*,*,#176216,.F.); #226914=ORIENTED_EDGE('',*,*,#176217,.F.); #226915=ORIENTED_EDGE('',*,*,#176218,.T.); #226916=ORIENTED_EDGE('',*,*,#176217,.T.); #226917=ORIENTED_EDGE('',*,*,#176219,.F.); #226918=ORIENTED_EDGE('',*,*,#176220,.F.); #226919=ORIENTED_EDGE('',*,*,#176221,.T.); #226920=ORIENTED_EDGE('',*,*,#176220,.T.); #226921=ORIENTED_EDGE('',*,*,#176222,.F.); #226922=ORIENTED_EDGE('',*,*,#176223,.F.); #226923=ORIENTED_EDGE('',*,*,#176224,.T.); #226924=ORIENTED_EDGE('',*,*,#176223,.T.); #226925=ORIENTED_EDGE('',*,*,#176225,.F.); #226926=ORIENTED_EDGE('',*,*,#176226,.F.); #226927=ORIENTED_EDGE('',*,*,#176227,.T.); #226928=ORIENTED_EDGE('',*,*,#176226,.T.); #226929=ORIENTED_EDGE('',*,*,#176228,.F.); #226930=ORIENTED_EDGE('',*,*,#176229,.F.); #226931=ORIENTED_EDGE('',*,*,#176230,.T.); #226932=ORIENTED_EDGE('',*,*,#176229,.T.); #226933=ORIENTED_EDGE('',*,*,#176231,.F.); #226934=ORIENTED_EDGE('',*,*,#176232,.F.); #226935=ORIENTED_EDGE('',*,*,#176233,.T.); #226936=ORIENTED_EDGE('',*,*,#176232,.T.); #226937=ORIENTED_EDGE('',*,*,#176234,.F.); #226938=ORIENTED_EDGE('',*,*,#176235,.F.); #226939=ORIENTED_EDGE('',*,*,#176236,.T.); #226940=ORIENTED_EDGE('',*,*,#176235,.T.); #226941=ORIENTED_EDGE('',*,*,#176237,.F.); #226942=ORIENTED_EDGE('',*,*,#176238,.F.); #226943=ORIENTED_EDGE('',*,*,#176239,.T.); #226944=ORIENTED_EDGE('',*,*,#176238,.T.); #226945=ORIENTED_EDGE('',*,*,#176240,.F.); #226946=ORIENTED_EDGE('',*,*,#176241,.F.); #226947=ORIENTED_EDGE('',*,*,#176242,.T.); #226948=ORIENTED_EDGE('',*,*,#176241,.T.); #226949=ORIENTED_EDGE('',*,*,#176243,.F.); #226950=ORIENTED_EDGE('',*,*,#176244,.F.); #226951=ORIENTED_EDGE('',*,*,#176245,.T.); #226952=ORIENTED_EDGE('',*,*,#176244,.T.); #226953=ORIENTED_EDGE('',*,*,#176246,.F.); #226954=ORIENTED_EDGE('',*,*,#176247,.F.); #226955=ORIENTED_EDGE('',*,*,#176248,.T.); #226956=ORIENTED_EDGE('',*,*,#176247,.T.); #226957=ORIENTED_EDGE('',*,*,#176249,.F.); #226958=ORIENTED_EDGE('',*,*,#176250,.F.); #226959=ORIENTED_EDGE('',*,*,#176251,.T.); #226960=ORIENTED_EDGE('',*,*,#176250,.T.); #226961=ORIENTED_EDGE('',*,*,#176252,.F.); #226962=ORIENTED_EDGE('',*,*,#176253,.F.); #226963=ORIENTED_EDGE('',*,*,#176254,.T.); #226964=ORIENTED_EDGE('',*,*,#176253,.T.); #226965=ORIENTED_EDGE('',*,*,#176255,.F.); #226966=ORIENTED_EDGE('',*,*,#176256,.F.); #226967=ORIENTED_EDGE('',*,*,#176257,.T.); #226968=ORIENTED_EDGE('',*,*,#176256,.T.); #226969=ORIENTED_EDGE('',*,*,#176258,.F.); #226970=ORIENTED_EDGE('',*,*,#176152,.F.); #226971=ORIENTED_EDGE('',*,*,#176258,.T.); #226972=ORIENTED_EDGE('',*,*,#176255,.T.); #226973=ORIENTED_EDGE('',*,*,#176252,.T.); #226974=ORIENTED_EDGE('',*,*,#176249,.T.); #226975=ORIENTED_EDGE('',*,*,#176246,.T.); #226976=ORIENTED_EDGE('',*,*,#176243,.T.); #226977=ORIENTED_EDGE('',*,*,#176240,.T.); #226978=ORIENTED_EDGE('',*,*,#176237,.T.); #226979=ORIENTED_EDGE('',*,*,#176234,.T.); #226980=ORIENTED_EDGE('',*,*,#176231,.T.); #226981=ORIENTED_EDGE('',*,*,#176228,.T.); #226982=ORIENTED_EDGE('',*,*,#176225,.T.); #226983=ORIENTED_EDGE('',*,*,#176222,.T.); #226984=ORIENTED_EDGE('',*,*,#176219,.T.); #226985=ORIENTED_EDGE('',*,*,#176216,.T.); #226986=ORIENTED_EDGE('',*,*,#176213,.T.); #226987=ORIENTED_EDGE('',*,*,#176210,.T.); #226988=ORIENTED_EDGE('',*,*,#176207,.T.); #226989=ORIENTED_EDGE('',*,*,#176204,.T.); #226990=ORIENTED_EDGE('',*,*,#176201,.T.); #226991=ORIENTED_EDGE('',*,*,#176198,.T.); #226992=ORIENTED_EDGE('',*,*,#176195,.T.); #226993=ORIENTED_EDGE('',*,*,#176192,.T.); #226994=ORIENTED_EDGE('',*,*,#176189,.T.); #226995=ORIENTED_EDGE('',*,*,#176186,.T.); #226996=ORIENTED_EDGE('',*,*,#176183,.T.); #226997=ORIENTED_EDGE('',*,*,#176180,.T.); #226998=ORIENTED_EDGE('',*,*,#176177,.T.); #226999=ORIENTED_EDGE('',*,*,#176174,.T.); #227000=ORIENTED_EDGE('',*,*,#176171,.T.); #227001=ORIENTED_EDGE('',*,*,#176168,.T.); #227002=ORIENTED_EDGE('',*,*,#176165,.T.); #227003=ORIENTED_EDGE('',*,*,#176162,.T.); #227004=ORIENTED_EDGE('',*,*,#176159,.T.); #227005=ORIENTED_EDGE('',*,*,#176156,.T.); #227006=ORIENTED_EDGE('',*,*,#176153,.T.); #227007=ORIENTED_EDGE('',*,*,#176257,.F.); #227008=ORIENTED_EDGE('',*,*,#176151,.F.); #227009=ORIENTED_EDGE('',*,*,#176155,.F.); #227010=ORIENTED_EDGE('',*,*,#176158,.F.); #227011=ORIENTED_EDGE('',*,*,#176161,.F.); #227012=ORIENTED_EDGE('',*,*,#176164,.F.); #227013=ORIENTED_EDGE('',*,*,#176167,.F.); #227014=ORIENTED_EDGE('',*,*,#176170,.F.); #227015=ORIENTED_EDGE('',*,*,#176173,.F.); #227016=ORIENTED_EDGE('',*,*,#176176,.F.); #227017=ORIENTED_EDGE('',*,*,#176179,.F.); #227018=ORIENTED_EDGE('',*,*,#176182,.F.); #227019=ORIENTED_EDGE('',*,*,#176185,.F.); #227020=ORIENTED_EDGE('',*,*,#176188,.F.); #227021=ORIENTED_EDGE('',*,*,#176191,.F.); #227022=ORIENTED_EDGE('',*,*,#176194,.F.); #227023=ORIENTED_EDGE('',*,*,#176197,.F.); #227024=ORIENTED_EDGE('',*,*,#176200,.F.); #227025=ORIENTED_EDGE('',*,*,#176203,.F.); #227026=ORIENTED_EDGE('',*,*,#176206,.F.); #227027=ORIENTED_EDGE('',*,*,#176209,.F.); #227028=ORIENTED_EDGE('',*,*,#176212,.F.); #227029=ORIENTED_EDGE('',*,*,#176215,.F.); #227030=ORIENTED_EDGE('',*,*,#176218,.F.); #227031=ORIENTED_EDGE('',*,*,#176221,.F.); #227032=ORIENTED_EDGE('',*,*,#176224,.F.); #227033=ORIENTED_EDGE('',*,*,#176227,.F.); #227034=ORIENTED_EDGE('',*,*,#176230,.F.); #227035=ORIENTED_EDGE('',*,*,#176233,.F.); #227036=ORIENTED_EDGE('',*,*,#176236,.F.); #227037=ORIENTED_EDGE('',*,*,#176239,.F.); #227038=ORIENTED_EDGE('',*,*,#176242,.F.); #227039=ORIENTED_EDGE('',*,*,#176245,.F.); #227040=ORIENTED_EDGE('',*,*,#176248,.F.); #227041=ORIENTED_EDGE('',*,*,#176251,.F.); #227042=ORIENTED_EDGE('',*,*,#176254,.F.); #227043=ORIENTED_EDGE('',*,*,#176259,.F.); #227044=ORIENTED_EDGE('',*,*,#176260,.T.); #227045=ORIENTED_EDGE('',*,*,#176261,.F.); #227046=ORIENTED_EDGE('',*,*,#176260,.F.); #227047=ORIENTED_EDGE('',*,*,#176262,.T.); #227048=ORIENTED_EDGE('',*,*,#176263,.T.); #227049=ORIENTED_EDGE('',*,*,#176264,.F.); #227050=ORIENTED_EDGE('',*,*,#176265,.F.); #227051=ORIENTED_EDGE('',*,*,#176266,.T.); #227052=ORIENTED_EDGE('',*,*,#176265,.T.); #227053=ORIENTED_EDGE('',*,*,#176267,.F.); #227054=ORIENTED_EDGE('',*,*,#176268,.F.); #227055=ORIENTED_EDGE('',*,*,#176269,.T.); #227056=ORIENTED_EDGE('',*,*,#176268,.T.); #227057=ORIENTED_EDGE('',*,*,#176270,.F.); #227058=ORIENTED_EDGE('',*,*,#176271,.F.); #227059=ORIENTED_EDGE('',*,*,#176272,.T.); #227060=ORIENTED_EDGE('',*,*,#176271,.T.); #227061=ORIENTED_EDGE('',*,*,#176273,.F.); #227062=ORIENTED_EDGE('',*,*,#176274,.F.); #227063=ORIENTED_EDGE('',*,*,#176275,.T.); #227064=ORIENTED_EDGE('',*,*,#176274,.T.); #227065=ORIENTED_EDGE('',*,*,#176276,.F.); #227066=ORIENTED_EDGE('',*,*,#176277,.F.); #227067=ORIENTED_EDGE('',*,*,#176278,.T.); #227068=ORIENTED_EDGE('',*,*,#176277,.T.); #227069=ORIENTED_EDGE('',*,*,#176279,.F.); #227070=ORIENTED_EDGE('',*,*,#176280,.F.); #227071=ORIENTED_EDGE('',*,*,#176281,.T.); #227072=ORIENTED_EDGE('',*,*,#176280,.T.); #227073=ORIENTED_EDGE('',*,*,#176282,.F.); #227074=ORIENTED_EDGE('',*,*,#176283,.F.); #227075=ORIENTED_EDGE('',*,*,#176284,.T.); #227076=ORIENTED_EDGE('',*,*,#176283,.T.); #227077=ORIENTED_EDGE('',*,*,#176285,.F.); #227078=ORIENTED_EDGE('',*,*,#176286,.F.); #227079=ORIENTED_EDGE('',*,*,#176287,.T.); #227080=ORIENTED_EDGE('',*,*,#176286,.T.); #227081=ORIENTED_EDGE('',*,*,#176288,.F.); #227082=ORIENTED_EDGE('',*,*,#176289,.F.); #227083=ORIENTED_EDGE('',*,*,#176290,.T.); #227084=ORIENTED_EDGE('',*,*,#176289,.T.); #227085=ORIENTED_EDGE('',*,*,#176291,.F.); #227086=ORIENTED_EDGE('',*,*,#176263,.F.); #227087=ORIENTED_EDGE('',*,*,#176291,.T.); #227088=ORIENTED_EDGE('',*,*,#176288,.T.); #227089=ORIENTED_EDGE('',*,*,#176285,.T.); #227090=ORIENTED_EDGE('',*,*,#176282,.T.); #227091=ORIENTED_EDGE('',*,*,#176279,.T.); #227092=ORIENTED_EDGE('',*,*,#176276,.T.); #227093=ORIENTED_EDGE('',*,*,#176273,.T.); #227094=ORIENTED_EDGE('',*,*,#176270,.T.); #227095=ORIENTED_EDGE('',*,*,#176267,.T.); #227096=ORIENTED_EDGE('',*,*,#176264,.T.); #227097=ORIENTED_EDGE('',*,*,#176261,.T.); #227098=ORIENTED_EDGE('',*,*,#176290,.F.); #227099=ORIENTED_EDGE('',*,*,#176262,.F.); #227100=ORIENTED_EDGE('',*,*,#176266,.F.); #227101=ORIENTED_EDGE('',*,*,#176269,.F.); #227102=ORIENTED_EDGE('',*,*,#176272,.F.); #227103=ORIENTED_EDGE('',*,*,#176275,.F.); #227104=ORIENTED_EDGE('',*,*,#176278,.F.); #227105=ORIENTED_EDGE('',*,*,#176281,.F.); #227106=ORIENTED_EDGE('',*,*,#176284,.F.); #227107=ORIENTED_EDGE('',*,*,#176287,.F.); #227108=ORIENTED_EDGE('',*,*,#176259,.T.); #227109=ORIENTED_EDGE('',*,*,#176292,.F.); #227110=ORIENTED_EDGE('',*,*,#176293,.T.); #227111=ORIENTED_EDGE('',*,*,#176294,.F.); #227112=ORIENTED_EDGE('',*,*,#176293,.F.); #227113=ORIENTED_EDGE('',*,*,#176295,.F.); #227114=ORIENTED_EDGE('',*,*,#176296,.T.); #227115=ORIENTED_EDGE('',*,*,#176297,.F.); #227116=ORIENTED_EDGE('',*,*,#176296,.F.); #227117=ORIENTED_EDGE('',*,*,#176298,.T.); #227118=ORIENTED_EDGE('',*,*,#176299,.T.); #227119=ORIENTED_EDGE('',*,*,#176300,.F.); #227120=ORIENTED_EDGE('',*,*,#176301,.F.); #227121=ORIENTED_EDGE('',*,*,#176302,.T.); #227122=ORIENTED_EDGE('',*,*,#176301,.T.); #227123=ORIENTED_EDGE('',*,*,#176303,.F.); #227124=ORIENTED_EDGE('',*,*,#176304,.F.); #227125=ORIENTED_EDGE('',*,*,#176305,.T.); #227126=ORIENTED_EDGE('',*,*,#176304,.T.); #227127=ORIENTED_EDGE('',*,*,#176306,.F.); #227128=ORIENTED_EDGE('',*,*,#176307,.F.); #227129=ORIENTED_EDGE('',*,*,#176308,.T.); #227130=ORIENTED_EDGE('',*,*,#176307,.T.); #227131=ORIENTED_EDGE('',*,*,#176309,.F.); #227132=ORIENTED_EDGE('',*,*,#176310,.F.); #227133=ORIENTED_EDGE('',*,*,#176311,.T.); #227134=ORIENTED_EDGE('',*,*,#176310,.T.); #227135=ORIENTED_EDGE('',*,*,#176312,.F.); #227136=ORIENTED_EDGE('',*,*,#176313,.F.); #227137=ORIENTED_EDGE('',*,*,#176314,.T.); #227138=ORIENTED_EDGE('',*,*,#176313,.T.); #227139=ORIENTED_EDGE('',*,*,#176315,.F.); #227140=ORIENTED_EDGE('',*,*,#176316,.F.); #227141=ORIENTED_EDGE('',*,*,#176317,.T.); #227142=ORIENTED_EDGE('',*,*,#176316,.T.); #227143=ORIENTED_EDGE('',*,*,#176318,.F.); #227144=ORIENTED_EDGE('',*,*,#176319,.F.); #227145=ORIENTED_EDGE('',*,*,#176320,.T.); #227146=ORIENTED_EDGE('',*,*,#176319,.T.); #227147=ORIENTED_EDGE('',*,*,#176321,.F.); #227148=ORIENTED_EDGE('',*,*,#176322,.F.); #227149=ORIENTED_EDGE('',*,*,#176323,.T.); #227150=ORIENTED_EDGE('',*,*,#176322,.T.); #227151=ORIENTED_EDGE('',*,*,#176324,.F.); #227152=ORIENTED_EDGE('',*,*,#176325,.F.); #227153=ORIENTED_EDGE('',*,*,#176326,.T.); #227154=ORIENTED_EDGE('',*,*,#176325,.T.); #227155=ORIENTED_EDGE('',*,*,#176327,.F.); #227156=ORIENTED_EDGE('',*,*,#176328,.F.); #227157=ORIENTED_EDGE('',*,*,#176329,.T.); #227158=ORIENTED_EDGE('',*,*,#176328,.T.); #227159=ORIENTED_EDGE('',*,*,#176330,.F.); #227160=ORIENTED_EDGE('',*,*,#176331,.F.); #227161=ORIENTED_EDGE('',*,*,#176332,.T.); #227162=ORIENTED_EDGE('',*,*,#176331,.T.); #227163=ORIENTED_EDGE('',*,*,#176333,.F.); #227164=ORIENTED_EDGE('',*,*,#176334,.F.); #227165=ORIENTED_EDGE('',*,*,#176335,.T.); #227166=ORIENTED_EDGE('',*,*,#176334,.T.); #227167=ORIENTED_EDGE('',*,*,#176336,.F.); #227168=ORIENTED_EDGE('',*,*,#176337,.F.); #227169=ORIENTED_EDGE('',*,*,#176338,.T.); #227170=ORIENTED_EDGE('',*,*,#176337,.T.); #227171=ORIENTED_EDGE('',*,*,#176339,.F.); #227172=ORIENTED_EDGE('',*,*,#176340,.F.); #227173=ORIENTED_EDGE('',*,*,#176341,.T.); #227174=ORIENTED_EDGE('',*,*,#176340,.T.); #227175=ORIENTED_EDGE('',*,*,#176342,.F.); #227176=ORIENTED_EDGE('',*,*,#176343,.F.); #227177=ORIENTED_EDGE('',*,*,#176344,.T.); #227178=ORIENTED_EDGE('',*,*,#176343,.T.); #227179=ORIENTED_EDGE('',*,*,#176345,.F.); #227180=ORIENTED_EDGE('',*,*,#176346,.F.); #227181=ORIENTED_EDGE('',*,*,#176347,.T.); #227182=ORIENTED_EDGE('',*,*,#176346,.T.); #227183=ORIENTED_EDGE('',*,*,#176348,.F.); #227184=ORIENTED_EDGE('',*,*,#176349,.F.); #227185=ORIENTED_EDGE('',*,*,#176350,.T.); #227186=ORIENTED_EDGE('',*,*,#176349,.T.); #227187=ORIENTED_EDGE('',*,*,#176351,.F.); #227188=ORIENTED_EDGE('',*,*,#176352,.F.); #227189=ORIENTED_EDGE('',*,*,#176353,.T.); #227190=ORIENTED_EDGE('',*,*,#176352,.T.); #227191=ORIENTED_EDGE('',*,*,#176354,.F.); #227192=ORIENTED_EDGE('',*,*,#176355,.F.); #227193=ORIENTED_EDGE('',*,*,#176356,.T.); #227194=ORIENTED_EDGE('',*,*,#176355,.T.); #227195=ORIENTED_EDGE('',*,*,#176357,.F.); #227196=ORIENTED_EDGE('',*,*,#176358,.F.); #227197=ORIENTED_EDGE('',*,*,#176359,.T.); #227198=ORIENTED_EDGE('',*,*,#176358,.T.); #227199=ORIENTED_EDGE('',*,*,#176360,.F.); #227200=ORIENTED_EDGE('',*,*,#176299,.F.); #227201=ORIENTED_EDGE('',*,*,#176360,.T.); #227202=ORIENTED_EDGE('',*,*,#176357,.T.); #227203=ORIENTED_EDGE('',*,*,#176354,.T.); #227204=ORIENTED_EDGE('',*,*,#176351,.T.); #227205=ORIENTED_EDGE('',*,*,#176348,.T.); #227206=ORIENTED_EDGE('',*,*,#176345,.T.); #227207=ORIENTED_EDGE('',*,*,#176342,.T.); #227208=ORIENTED_EDGE('',*,*,#176339,.T.); #227209=ORIENTED_EDGE('',*,*,#176336,.T.); #227210=ORIENTED_EDGE('',*,*,#176333,.T.); #227211=ORIENTED_EDGE('',*,*,#176330,.T.); #227212=ORIENTED_EDGE('',*,*,#176327,.T.); #227213=ORIENTED_EDGE('',*,*,#176324,.T.); #227214=ORIENTED_EDGE('',*,*,#176321,.T.); #227215=ORIENTED_EDGE('',*,*,#176318,.T.); #227216=ORIENTED_EDGE('',*,*,#176315,.T.); #227217=ORIENTED_EDGE('',*,*,#176312,.T.); #227218=ORIENTED_EDGE('',*,*,#176309,.T.); #227219=ORIENTED_EDGE('',*,*,#176306,.T.); #227220=ORIENTED_EDGE('',*,*,#176303,.T.); #227221=ORIENTED_EDGE('',*,*,#176300,.T.); #227222=ORIENTED_EDGE('',*,*,#176294,.T.); #227223=ORIENTED_EDGE('',*,*,#176297,.T.); #227224=ORIENTED_EDGE('',*,*,#176359,.F.); #227225=ORIENTED_EDGE('',*,*,#176298,.F.); #227226=ORIENTED_EDGE('',*,*,#176302,.F.); #227227=ORIENTED_EDGE('',*,*,#176305,.F.); #227228=ORIENTED_EDGE('',*,*,#176308,.F.); #227229=ORIENTED_EDGE('',*,*,#176311,.F.); #227230=ORIENTED_EDGE('',*,*,#176314,.F.); #227231=ORIENTED_EDGE('',*,*,#176317,.F.); #227232=ORIENTED_EDGE('',*,*,#176320,.F.); #227233=ORIENTED_EDGE('',*,*,#176323,.F.); #227234=ORIENTED_EDGE('',*,*,#176326,.F.); #227235=ORIENTED_EDGE('',*,*,#176329,.F.); #227236=ORIENTED_EDGE('',*,*,#176332,.F.); #227237=ORIENTED_EDGE('',*,*,#176335,.F.); #227238=ORIENTED_EDGE('',*,*,#176338,.F.); #227239=ORIENTED_EDGE('',*,*,#176341,.F.); #227240=ORIENTED_EDGE('',*,*,#176344,.F.); #227241=ORIENTED_EDGE('',*,*,#176347,.F.); #227242=ORIENTED_EDGE('',*,*,#176350,.F.); #227243=ORIENTED_EDGE('',*,*,#176353,.F.); #227244=ORIENTED_EDGE('',*,*,#176356,.F.); #227245=ORIENTED_EDGE('',*,*,#176292,.T.); #227246=ORIENTED_EDGE('',*,*,#176295,.T.); #227247=ORIENTED_EDGE('',*,*,#176361,.T.); #227248=ORIENTED_EDGE('',*,*,#176362,.T.); #227249=ORIENTED_EDGE('',*,*,#176363,.F.); #227250=ORIENTED_EDGE('',*,*,#176364,.F.); #227251=ORIENTED_EDGE('',*,*,#176365,.T.); #227252=ORIENTED_EDGE('',*,*,#176364,.T.); #227253=ORIENTED_EDGE('',*,*,#176366,.F.); #227254=ORIENTED_EDGE('',*,*,#176367,.F.); #227255=ORIENTED_EDGE('',*,*,#176368,.T.); #227256=ORIENTED_EDGE('',*,*,#176367,.T.); #227257=ORIENTED_EDGE('',*,*,#176369,.F.); #227258=ORIENTED_EDGE('',*,*,#176370,.F.); #227259=ORIENTED_EDGE('',*,*,#176371,.T.); #227260=ORIENTED_EDGE('',*,*,#176370,.T.); #227261=ORIENTED_EDGE('',*,*,#176372,.F.); #227262=ORIENTED_EDGE('',*,*,#176373,.F.); #227263=ORIENTED_EDGE('',*,*,#176374,.T.); #227264=ORIENTED_EDGE('',*,*,#176373,.T.); #227265=ORIENTED_EDGE('',*,*,#176375,.F.); #227266=ORIENTED_EDGE('',*,*,#176376,.F.); #227267=ORIENTED_EDGE('',*,*,#176377,.T.); #227268=ORIENTED_EDGE('',*,*,#176376,.T.); #227269=ORIENTED_EDGE('',*,*,#176378,.F.); #227270=ORIENTED_EDGE('',*,*,#176379,.F.); #227271=ORIENTED_EDGE('',*,*,#176380,.T.); #227272=ORIENTED_EDGE('',*,*,#176379,.T.); #227273=ORIENTED_EDGE('',*,*,#176381,.F.); #227274=ORIENTED_EDGE('',*,*,#176382,.F.); #227275=ORIENTED_EDGE('',*,*,#176383,.T.); #227276=ORIENTED_EDGE('',*,*,#176382,.T.); #227277=ORIENTED_EDGE('',*,*,#176384,.F.); #227278=ORIENTED_EDGE('',*,*,#176385,.F.); #227279=ORIENTED_EDGE('',*,*,#176386,.T.); #227280=ORIENTED_EDGE('',*,*,#176385,.T.); #227281=ORIENTED_EDGE('',*,*,#176387,.F.); #227282=ORIENTED_EDGE('',*,*,#176388,.F.); #227283=ORIENTED_EDGE('',*,*,#176389,.T.); #227284=ORIENTED_EDGE('',*,*,#176388,.T.); #227285=ORIENTED_EDGE('',*,*,#176390,.F.); #227286=ORIENTED_EDGE('',*,*,#176391,.F.); #227287=ORIENTED_EDGE('',*,*,#176392,.T.); #227288=ORIENTED_EDGE('',*,*,#176391,.T.); #227289=ORIENTED_EDGE('',*,*,#176393,.F.); #227290=ORIENTED_EDGE('',*,*,#176394,.F.); #227291=ORIENTED_EDGE('',*,*,#176395,.T.); #227292=ORIENTED_EDGE('',*,*,#176394,.T.); #227293=ORIENTED_EDGE('',*,*,#176396,.F.); #227294=ORIENTED_EDGE('',*,*,#176397,.F.); #227295=ORIENTED_EDGE('',*,*,#176398,.T.); #227296=ORIENTED_EDGE('',*,*,#176397,.T.); #227297=ORIENTED_EDGE('',*,*,#176399,.F.); #227298=ORIENTED_EDGE('',*,*,#176400,.F.); #227299=ORIENTED_EDGE('',*,*,#176401,.T.); #227300=ORIENTED_EDGE('',*,*,#176400,.T.); #227301=ORIENTED_EDGE('',*,*,#176402,.F.); #227302=ORIENTED_EDGE('',*,*,#176362,.F.); #227303=ORIENTED_EDGE('',*,*,#176402,.T.); #227304=ORIENTED_EDGE('',*,*,#176399,.T.); #227305=ORIENTED_EDGE('',*,*,#176396,.T.); #227306=ORIENTED_EDGE('',*,*,#176393,.T.); #227307=ORIENTED_EDGE('',*,*,#176390,.T.); #227308=ORIENTED_EDGE('',*,*,#176387,.T.); #227309=ORIENTED_EDGE('',*,*,#176384,.T.); #227310=ORIENTED_EDGE('',*,*,#176381,.T.); #227311=ORIENTED_EDGE('',*,*,#176378,.T.); #227312=ORIENTED_EDGE('',*,*,#176375,.T.); #227313=ORIENTED_EDGE('',*,*,#176372,.T.); #227314=ORIENTED_EDGE('',*,*,#176369,.T.); #227315=ORIENTED_EDGE('',*,*,#176366,.T.); #227316=ORIENTED_EDGE('',*,*,#176363,.T.); #227317=ORIENTED_EDGE('',*,*,#176401,.F.); #227318=ORIENTED_EDGE('',*,*,#176361,.F.); #227319=ORIENTED_EDGE('',*,*,#176365,.F.); #227320=ORIENTED_EDGE('',*,*,#176368,.F.); #227321=ORIENTED_EDGE('',*,*,#176371,.F.); #227322=ORIENTED_EDGE('',*,*,#176374,.F.); #227323=ORIENTED_EDGE('',*,*,#176377,.F.); #227324=ORIENTED_EDGE('',*,*,#176380,.F.); #227325=ORIENTED_EDGE('',*,*,#176383,.F.); #227326=ORIENTED_EDGE('',*,*,#176386,.F.); #227327=ORIENTED_EDGE('',*,*,#176389,.F.); #227328=ORIENTED_EDGE('',*,*,#176392,.F.); #227329=ORIENTED_EDGE('',*,*,#176395,.F.); #227330=ORIENTED_EDGE('',*,*,#176398,.F.); #227331=ORIENTED_EDGE('',*,*,#176403,.F.); #227332=ORIENTED_EDGE('',*,*,#176404,.T.); #227333=ORIENTED_EDGE('',*,*,#176405,.F.); #227334=ORIENTED_EDGE('',*,*,#176404,.F.); #227335=ORIENTED_EDGE('',*,*,#176406,.F.); #227336=ORIENTED_EDGE('',*,*,#176407,.T.); #227337=ORIENTED_EDGE('',*,*,#176408,.F.); #227338=ORIENTED_EDGE('',*,*,#176407,.F.); #227339=ORIENTED_EDGE('',*,*,#176409,.F.); #227340=ORIENTED_EDGE('',*,*,#176410,.T.); #227341=ORIENTED_EDGE('',*,*,#176411,.F.); #227342=ORIENTED_EDGE('',*,*,#176410,.F.); #227343=ORIENTED_EDGE('',*,*,#176412,.F.); #227344=ORIENTED_EDGE('',*,*,#176413,.T.); #227345=ORIENTED_EDGE('',*,*,#176414,.F.); #227346=ORIENTED_EDGE('',*,*,#176413,.F.); #227347=ORIENTED_EDGE('',*,*,#176415,.F.); #227348=ORIENTED_EDGE('',*,*,#176416,.T.); #227349=ORIENTED_EDGE('',*,*,#176417,.F.); #227350=ORIENTED_EDGE('',*,*,#176416,.F.); #227351=ORIENTED_EDGE('',*,*,#176418,.F.); #227352=ORIENTED_EDGE('',*,*,#176419,.T.); #227353=ORIENTED_EDGE('',*,*,#176420,.F.); #227354=ORIENTED_EDGE('',*,*,#176419,.F.); #227355=ORIENTED_EDGE('',*,*,#176421,.F.); #227356=ORIENTED_EDGE('',*,*,#176422,.T.); #227357=ORIENTED_EDGE('',*,*,#176423,.F.); #227358=ORIENTED_EDGE('',*,*,#176422,.F.); #227359=ORIENTED_EDGE('',*,*,#176424,.F.); #227360=ORIENTED_EDGE('',*,*,#176425,.T.); #227361=ORIENTED_EDGE('',*,*,#176426,.F.); #227362=ORIENTED_EDGE('',*,*,#176425,.F.); #227363=ORIENTED_EDGE('',*,*,#176427,.F.); #227364=ORIENTED_EDGE('',*,*,#176428,.T.); #227365=ORIENTED_EDGE('',*,*,#176429,.F.); #227366=ORIENTED_EDGE('',*,*,#176428,.F.); #227367=ORIENTED_EDGE('',*,*,#176430,.F.); #227368=ORIENTED_EDGE('',*,*,#176431,.T.); #227369=ORIENTED_EDGE('',*,*,#176432,.F.); #227370=ORIENTED_EDGE('',*,*,#176431,.F.); #227371=ORIENTED_EDGE('',*,*,#176433,.F.); #227372=ORIENTED_EDGE('',*,*,#176434,.T.); #227373=ORIENTED_EDGE('',*,*,#176435,.F.); #227374=ORIENTED_EDGE('',*,*,#176434,.F.); #227375=ORIENTED_EDGE('',*,*,#176436,.T.); #227376=ORIENTED_EDGE('',*,*,#176437,.T.); #227377=ORIENTED_EDGE('',*,*,#176438,.F.); #227378=ORIENTED_EDGE('',*,*,#176439,.F.); #227379=ORIENTED_EDGE('',*,*,#176440,.T.); #227380=ORIENTED_EDGE('',*,*,#176439,.T.); #227381=ORIENTED_EDGE('',*,*,#176441,.F.); #227382=ORIENTED_EDGE('',*,*,#176442,.F.); #227383=ORIENTED_EDGE('',*,*,#176443,.T.); #227384=ORIENTED_EDGE('',*,*,#176442,.T.); #227385=ORIENTED_EDGE('',*,*,#176444,.F.); #227386=ORIENTED_EDGE('',*,*,#176445,.F.); #227387=ORIENTED_EDGE('',*,*,#176446,.T.); #227388=ORIENTED_EDGE('',*,*,#176445,.T.); #227389=ORIENTED_EDGE('',*,*,#176447,.F.); #227390=ORIENTED_EDGE('',*,*,#176448,.F.); #227391=ORIENTED_EDGE('',*,*,#176449,.T.); #227392=ORIENTED_EDGE('',*,*,#176448,.T.); #227393=ORIENTED_EDGE('',*,*,#176450,.F.); #227394=ORIENTED_EDGE('',*,*,#176451,.F.); #227395=ORIENTED_EDGE('',*,*,#176452,.T.); #227396=ORIENTED_EDGE('',*,*,#176451,.T.); #227397=ORIENTED_EDGE('',*,*,#176453,.F.); #227398=ORIENTED_EDGE('',*,*,#176454,.F.); #227399=ORIENTED_EDGE('',*,*,#176455,.T.); #227400=ORIENTED_EDGE('',*,*,#176454,.T.); #227401=ORIENTED_EDGE('',*,*,#176456,.F.); #227402=ORIENTED_EDGE('',*,*,#176457,.F.); #227403=ORIENTED_EDGE('',*,*,#176458,.T.); #227404=ORIENTED_EDGE('',*,*,#176457,.T.); #227405=ORIENTED_EDGE('',*,*,#176459,.F.); #227406=ORIENTED_EDGE('',*,*,#176460,.F.); #227407=ORIENTED_EDGE('',*,*,#176461,.T.); #227408=ORIENTED_EDGE('',*,*,#176460,.T.); #227409=ORIENTED_EDGE('',*,*,#176462,.F.); #227410=ORIENTED_EDGE('',*,*,#176463,.F.); #227411=ORIENTED_EDGE('',*,*,#176464,.T.); #227412=ORIENTED_EDGE('',*,*,#176463,.T.); #227413=ORIENTED_EDGE('',*,*,#176465,.F.); #227414=ORIENTED_EDGE('',*,*,#176466,.F.); #227415=ORIENTED_EDGE('',*,*,#176467,.T.); #227416=ORIENTED_EDGE('',*,*,#176466,.T.); #227417=ORIENTED_EDGE('',*,*,#176468,.F.); #227418=ORIENTED_EDGE('',*,*,#176469,.F.); #227419=ORIENTED_EDGE('',*,*,#176470,.T.); #227420=ORIENTED_EDGE('',*,*,#176469,.T.); #227421=ORIENTED_EDGE('',*,*,#176471,.F.); #227422=ORIENTED_EDGE('',*,*,#176472,.F.); #227423=ORIENTED_EDGE('',*,*,#176473,.T.); #227424=ORIENTED_EDGE('',*,*,#176472,.T.); #227425=ORIENTED_EDGE('',*,*,#176474,.F.); #227426=ORIENTED_EDGE('',*,*,#176475,.F.); #227427=ORIENTED_EDGE('',*,*,#176476,.T.); #227428=ORIENTED_EDGE('',*,*,#176475,.T.); #227429=ORIENTED_EDGE('',*,*,#176477,.F.); #227430=ORIENTED_EDGE('',*,*,#176478,.F.); #227431=ORIENTED_EDGE('',*,*,#176479,.T.); #227432=ORIENTED_EDGE('',*,*,#176478,.T.); #227433=ORIENTED_EDGE('',*,*,#176480,.F.); #227434=ORIENTED_EDGE('',*,*,#176481,.F.); #227435=ORIENTED_EDGE('',*,*,#176482,.T.); #227436=ORIENTED_EDGE('',*,*,#176481,.T.); #227437=ORIENTED_EDGE('',*,*,#176483,.F.); #227438=ORIENTED_EDGE('',*,*,#176484,.F.); #227439=ORIENTED_EDGE('',*,*,#176485,.T.); #227440=ORIENTED_EDGE('',*,*,#176484,.T.); #227441=ORIENTED_EDGE('',*,*,#176486,.F.); #227442=ORIENTED_EDGE('',*,*,#176487,.F.); #227443=ORIENTED_EDGE('',*,*,#176488,.T.); #227444=ORIENTED_EDGE('',*,*,#176487,.T.); #227445=ORIENTED_EDGE('',*,*,#176489,.F.); #227446=ORIENTED_EDGE('',*,*,#176490,.F.); #227447=ORIENTED_EDGE('',*,*,#176491,.T.); #227448=ORIENTED_EDGE('',*,*,#176490,.T.); #227449=ORIENTED_EDGE('',*,*,#176492,.F.); #227450=ORIENTED_EDGE('',*,*,#176493,.F.); #227451=ORIENTED_EDGE('',*,*,#176494,.T.); #227452=ORIENTED_EDGE('',*,*,#176493,.T.); #227453=ORIENTED_EDGE('',*,*,#176495,.F.); #227454=ORIENTED_EDGE('',*,*,#176496,.F.); #227455=ORIENTED_EDGE('',*,*,#176497,.T.); #227456=ORIENTED_EDGE('',*,*,#176496,.T.); #227457=ORIENTED_EDGE('',*,*,#176498,.F.); #227458=ORIENTED_EDGE('',*,*,#176499,.F.); #227459=ORIENTED_EDGE('',*,*,#176500,.T.); #227460=ORIENTED_EDGE('',*,*,#176499,.T.); #227461=ORIENTED_EDGE('',*,*,#176501,.F.); #227462=ORIENTED_EDGE('',*,*,#176502,.F.); #227463=ORIENTED_EDGE('',*,*,#176503,.T.); #227464=ORIENTED_EDGE('',*,*,#176502,.T.); #227465=ORIENTED_EDGE('',*,*,#176504,.F.); #227466=ORIENTED_EDGE('',*,*,#176505,.F.); #227467=ORIENTED_EDGE('',*,*,#176506,.T.); #227468=ORIENTED_EDGE('',*,*,#176505,.T.); #227469=ORIENTED_EDGE('',*,*,#176507,.F.); #227470=ORIENTED_EDGE('',*,*,#176508,.F.); #227471=ORIENTED_EDGE('',*,*,#176509,.T.); #227472=ORIENTED_EDGE('',*,*,#176508,.T.); #227473=ORIENTED_EDGE('',*,*,#176510,.F.); #227474=ORIENTED_EDGE('',*,*,#176511,.F.); #227475=ORIENTED_EDGE('',*,*,#176512,.T.); #227476=ORIENTED_EDGE('',*,*,#176511,.T.); #227477=ORIENTED_EDGE('',*,*,#176513,.F.); #227478=ORIENTED_EDGE('',*,*,#176514,.F.); #227479=ORIENTED_EDGE('',*,*,#176515,.T.); #227480=ORIENTED_EDGE('',*,*,#176514,.T.); #227481=ORIENTED_EDGE('',*,*,#176516,.F.); #227482=ORIENTED_EDGE('',*,*,#176517,.F.); #227483=ORIENTED_EDGE('',*,*,#176518,.T.); #227484=ORIENTED_EDGE('',*,*,#176517,.T.); #227485=ORIENTED_EDGE('',*,*,#176519,.F.); #227486=ORIENTED_EDGE('',*,*,#176520,.F.); #227487=ORIENTED_EDGE('',*,*,#176521,.T.); #227488=ORIENTED_EDGE('',*,*,#176520,.T.); #227489=ORIENTED_EDGE('',*,*,#176522,.F.); #227490=ORIENTED_EDGE('',*,*,#176523,.F.); #227491=ORIENTED_EDGE('',*,*,#176524,.T.); #227492=ORIENTED_EDGE('',*,*,#176523,.T.); #227493=ORIENTED_EDGE('',*,*,#176525,.F.); #227494=ORIENTED_EDGE('',*,*,#176526,.F.); #227495=ORIENTED_EDGE('',*,*,#176527,.T.); #227496=ORIENTED_EDGE('',*,*,#176526,.T.); #227497=ORIENTED_EDGE('',*,*,#176528,.F.); #227498=ORIENTED_EDGE('',*,*,#176529,.F.); #227499=ORIENTED_EDGE('',*,*,#176530,.T.); #227500=ORIENTED_EDGE('',*,*,#176529,.T.); #227501=ORIENTED_EDGE('',*,*,#176531,.F.); #227502=ORIENTED_EDGE('',*,*,#176532,.F.); #227503=ORIENTED_EDGE('',*,*,#176533,.T.); #227504=ORIENTED_EDGE('',*,*,#176532,.T.); #227505=ORIENTED_EDGE('',*,*,#176534,.F.); #227506=ORIENTED_EDGE('',*,*,#176535,.F.); #227507=ORIENTED_EDGE('',*,*,#176536,.T.); #227508=ORIENTED_EDGE('',*,*,#176535,.T.); #227509=ORIENTED_EDGE('',*,*,#176537,.F.); #227510=ORIENTED_EDGE('',*,*,#176538,.F.); #227511=ORIENTED_EDGE('',*,*,#176539,.T.); #227512=ORIENTED_EDGE('',*,*,#176538,.T.); #227513=ORIENTED_EDGE('',*,*,#176540,.F.); #227514=ORIENTED_EDGE('',*,*,#176541,.F.); #227515=ORIENTED_EDGE('',*,*,#176542,.T.); #227516=ORIENTED_EDGE('',*,*,#176541,.T.); #227517=ORIENTED_EDGE('',*,*,#176543,.F.); #227518=ORIENTED_EDGE('',*,*,#176544,.F.); #227519=ORIENTED_EDGE('',*,*,#176545,.T.); #227520=ORIENTED_EDGE('',*,*,#176544,.T.); #227521=ORIENTED_EDGE('',*,*,#176546,.F.); #227522=ORIENTED_EDGE('',*,*,#176547,.F.); #227523=ORIENTED_EDGE('',*,*,#176548,.T.); #227524=ORIENTED_EDGE('',*,*,#176547,.T.); #227525=ORIENTED_EDGE('',*,*,#176549,.F.); #227526=ORIENTED_EDGE('',*,*,#176550,.F.); #227527=ORIENTED_EDGE('',*,*,#176551,.T.); #227528=ORIENTED_EDGE('',*,*,#176550,.T.); #227529=ORIENTED_EDGE('',*,*,#176552,.F.); #227530=ORIENTED_EDGE('',*,*,#176553,.F.); #227531=ORIENTED_EDGE('',*,*,#176554,.T.); #227532=ORIENTED_EDGE('',*,*,#176553,.T.); #227533=ORIENTED_EDGE('',*,*,#176555,.F.); #227534=ORIENTED_EDGE('',*,*,#176556,.F.); #227535=ORIENTED_EDGE('',*,*,#176557,.T.); #227536=ORIENTED_EDGE('',*,*,#176556,.T.); #227537=ORIENTED_EDGE('',*,*,#176558,.F.); #227538=ORIENTED_EDGE('',*,*,#176559,.F.); #227539=ORIENTED_EDGE('',*,*,#176560,.T.); #227540=ORIENTED_EDGE('',*,*,#176559,.T.); #227541=ORIENTED_EDGE('',*,*,#176561,.F.); #227542=ORIENTED_EDGE('',*,*,#176562,.F.); #227543=ORIENTED_EDGE('',*,*,#176563,.T.); #227544=ORIENTED_EDGE('',*,*,#176562,.T.); #227545=ORIENTED_EDGE('',*,*,#176564,.F.); #227546=ORIENTED_EDGE('',*,*,#176565,.F.); #227547=ORIENTED_EDGE('',*,*,#176566,.T.); #227548=ORIENTED_EDGE('',*,*,#176565,.T.); #227549=ORIENTED_EDGE('',*,*,#176567,.F.); #227550=ORIENTED_EDGE('',*,*,#176568,.F.); #227551=ORIENTED_EDGE('',*,*,#176569,.T.); #227552=ORIENTED_EDGE('',*,*,#176568,.T.); #227553=ORIENTED_EDGE('',*,*,#176570,.F.); #227554=ORIENTED_EDGE('',*,*,#176571,.F.); #227555=ORIENTED_EDGE('',*,*,#176572,.T.); #227556=ORIENTED_EDGE('',*,*,#176571,.T.); #227557=ORIENTED_EDGE('',*,*,#176573,.F.); #227558=ORIENTED_EDGE('',*,*,#176574,.F.); #227559=ORIENTED_EDGE('',*,*,#176575,.T.); #227560=ORIENTED_EDGE('',*,*,#176574,.T.); #227561=ORIENTED_EDGE('',*,*,#176576,.F.); #227562=ORIENTED_EDGE('',*,*,#176577,.F.); #227563=ORIENTED_EDGE('',*,*,#176578,.T.); #227564=ORIENTED_EDGE('',*,*,#176577,.T.); #227565=ORIENTED_EDGE('',*,*,#176579,.F.); #227566=ORIENTED_EDGE('',*,*,#176580,.F.); #227567=ORIENTED_EDGE('',*,*,#176581,.T.); #227568=ORIENTED_EDGE('',*,*,#176580,.T.); #227569=ORIENTED_EDGE('',*,*,#176582,.F.); #227570=ORIENTED_EDGE('',*,*,#176583,.F.); #227571=ORIENTED_EDGE('',*,*,#176584,.T.); #227572=ORIENTED_EDGE('',*,*,#176583,.T.); #227573=ORIENTED_EDGE('',*,*,#176585,.F.); #227574=ORIENTED_EDGE('',*,*,#176586,.F.); #227575=ORIENTED_EDGE('',*,*,#176587,.T.); #227576=ORIENTED_EDGE('',*,*,#176586,.T.); #227577=ORIENTED_EDGE('',*,*,#176588,.F.); #227578=ORIENTED_EDGE('',*,*,#176589,.F.); #227579=ORIENTED_EDGE('',*,*,#176590,.T.); #227580=ORIENTED_EDGE('',*,*,#176589,.T.); #227581=ORIENTED_EDGE('',*,*,#176591,.F.); #227582=ORIENTED_EDGE('',*,*,#176592,.F.); #227583=ORIENTED_EDGE('',*,*,#176593,.T.); #227584=ORIENTED_EDGE('',*,*,#176592,.T.); #227585=ORIENTED_EDGE('',*,*,#176594,.F.); #227586=ORIENTED_EDGE('',*,*,#176595,.F.); #227587=ORIENTED_EDGE('',*,*,#176596,.T.); #227588=ORIENTED_EDGE('',*,*,#176595,.T.); #227589=ORIENTED_EDGE('',*,*,#176597,.F.); #227590=ORIENTED_EDGE('',*,*,#176598,.F.); #227591=ORIENTED_EDGE('',*,*,#176599,.T.); #227592=ORIENTED_EDGE('',*,*,#176598,.T.); #227593=ORIENTED_EDGE('',*,*,#176600,.F.); #227594=ORIENTED_EDGE('',*,*,#176601,.F.); #227595=ORIENTED_EDGE('',*,*,#176602,.T.); #227596=ORIENTED_EDGE('',*,*,#176601,.T.); #227597=ORIENTED_EDGE('',*,*,#176603,.F.); #227598=ORIENTED_EDGE('',*,*,#176604,.F.); #227599=ORIENTED_EDGE('',*,*,#176605,.T.); #227600=ORIENTED_EDGE('',*,*,#176604,.T.); #227601=ORIENTED_EDGE('',*,*,#176606,.F.); #227602=ORIENTED_EDGE('',*,*,#176607,.F.); #227603=ORIENTED_EDGE('',*,*,#176608,.T.); #227604=ORIENTED_EDGE('',*,*,#176607,.T.); #227605=ORIENTED_EDGE('',*,*,#176609,.F.); #227606=ORIENTED_EDGE('',*,*,#176610,.F.); #227607=ORIENTED_EDGE('',*,*,#176611,.T.); #227608=ORIENTED_EDGE('',*,*,#176610,.T.); #227609=ORIENTED_EDGE('',*,*,#176612,.F.); #227610=ORIENTED_EDGE('',*,*,#176613,.F.); #227611=ORIENTED_EDGE('',*,*,#176614,.T.); #227612=ORIENTED_EDGE('',*,*,#176613,.T.); #227613=ORIENTED_EDGE('',*,*,#176615,.F.); #227614=ORIENTED_EDGE('',*,*,#176616,.F.); #227615=ORIENTED_EDGE('',*,*,#176617,.T.); #227616=ORIENTED_EDGE('',*,*,#176616,.T.); #227617=ORIENTED_EDGE('',*,*,#176618,.F.); #227618=ORIENTED_EDGE('',*,*,#176619,.F.); #227619=ORIENTED_EDGE('',*,*,#176620,.T.); #227620=ORIENTED_EDGE('',*,*,#176619,.T.); #227621=ORIENTED_EDGE('',*,*,#176621,.F.); #227622=ORIENTED_EDGE('',*,*,#176622,.F.); #227623=ORIENTED_EDGE('',*,*,#176623,.T.); #227624=ORIENTED_EDGE('',*,*,#176622,.T.); #227625=ORIENTED_EDGE('',*,*,#176624,.F.); #227626=ORIENTED_EDGE('',*,*,#176625,.F.); #227627=ORIENTED_EDGE('',*,*,#176626,.T.); #227628=ORIENTED_EDGE('',*,*,#176625,.T.); #227629=ORIENTED_EDGE('',*,*,#176627,.F.); #227630=ORIENTED_EDGE('',*,*,#176628,.F.); #227631=ORIENTED_EDGE('',*,*,#176629,.T.); #227632=ORIENTED_EDGE('',*,*,#176628,.T.); #227633=ORIENTED_EDGE('',*,*,#176630,.F.); #227634=ORIENTED_EDGE('',*,*,#176631,.F.); #227635=ORIENTED_EDGE('',*,*,#176632,.T.); #227636=ORIENTED_EDGE('',*,*,#176631,.T.); #227637=ORIENTED_EDGE('',*,*,#176633,.F.); #227638=ORIENTED_EDGE('',*,*,#176634,.F.); #227639=ORIENTED_EDGE('',*,*,#176635,.T.); #227640=ORIENTED_EDGE('',*,*,#176634,.T.); #227641=ORIENTED_EDGE('',*,*,#176636,.F.); #227642=ORIENTED_EDGE('',*,*,#176637,.F.); #227643=ORIENTED_EDGE('',*,*,#176638,.T.); #227644=ORIENTED_EDGE('',*,*,#176637,.T.); #227645=ORIENTED_EDGE('',*,*,#176639,.F.); #227646=ORIENTED_EDGE('',*,*,#176640,.F.); #227647=ORIENTED_EDGE('',*,*,#176641,.T.); #227648=ORIENTED_EDGE('',*,*,#176640,.T.); #227649=ORIENTED_EDGE('',*,*,#176642,.F.); #227650=ORIENTED_EDGE('',*,*,#176643,.F.); #227651=ORIENTED_EDGE('',*,*,#176644,.T.); #227652=ORIENTED_EDGE('',*,*,#176643,.T.); #227653=ORIENTED_EDGE('',*,*,#176645,.F.); #227654=ORIENTED_EDGE('',*,*,#176646,.F.); #227655=ORIENTED_EDGE('',*,*,#176647,.T.); #227656=ORIENTED_EDGE('',*,*,#176646,.T.); #227657=ORIENTED_EDGE('',*,*,#176648,.F.); #227658=ORIENTED_EDGE('',*,*,#176649,.F.); #227659=ORIENTED_EDGE('',*,*,#176650,.T.); #227660=ORIENTED_EDGE('',*,*,#176649,.T.); #227661=ORIENTED_EDGE('',*,*,#176651,.F.); #227662=ORIENTED_EDGE('',*,*,#176652,.F.); #227663=ORIENTED_EDGE('',*,*,#176653,.T.); #227664=ORIENTED_EDGE('',*,*,#176652,.T.); #227665=ORIENTED_EDGE('',*,*,#176654,.F.); #227666=ORIENTED_EDGE('',*,*,#176655,.F.); #227667=ORIENTED_EDGE('',*,*,#176656,.T.); #227668=ORIENTED_EDGE('',*,*,#176655,.T.); #227669=ORIENTED_EDGE('',*,*,#176657,.F.); #227670=ORIENTED_EDGE('',*,*,#176658,.F.); #227671=ORIENTED_EDGE('',*,*,#176659,.T.); #227672=ORIENTED_EDGE('',*,*,#176658,.T.); #227673=ORIENTED_EDGE('',*,*,#176660,.F.); #227674=ORIENTED_EDGE('',*,*,#176661,.F.); #227675=ORIENTED_EDGE('',*,*,#176662,.T.); #227676=ORIENTED_EDGE('',*,*,#176661,.T.); #227677=ORIENTED_EDGE('',*,*,#176663,.F.); #227678=ORIENTED_EDGE('',*,*,#176664,.F.); #227679=ORIENTED_EDGE('',*,*,#176665,.T.); #227680=ORIENTED_EDGE('',*,*,#176664,.T.); #227681=ORIENTED_EDGE('',*,*,#176666,.F.); #227682=ORIENTED_EDGE('',*,*,#176667,.F.); #227683=ORIENTED_EDGE('',*,*,#176668,.T.); #227684=ORIENTED_EDGE('',*,*,#176667,.T.); #227685=ORIENTED_EDGE('',*,*,#176669,.F.); #227686=ORIENTED_EDGE('',*,*,#176670,.F.); #227687=ORIENTED_EDGE('',*,*,#176671,.T.); #227688=ORIENTED_EDGE('',*,*,#176670,.T.); #227689=ORIENTED_EDGE('',*,*,#176672,.F.); #227690=ORIENTED_EDGE('',*,*,#176673,.F.); #227691=ORIENTED_EDGE('',*,*,#176674,.T.); #227692=ORIENTED_EDGE('',*,*,#176673,.T.); #227693=ORIENTED_EDGE('',*,*,#176675,.F.); #227694=ORIENTED_EDGE('',*,*,#176676,.F.); #227695=ORIENTED_EDGE('',*,*,#176677,.T.); #227696=ORIENTED_EDGE('',*,*,#176676,.T.); #227697=ORIENTED_EDGE('',*,*,#176678,.F.); #227698=ORIENTED_EDGE('',*,*,#176679,.F.); #227699=ORIENTED_EDGE('',*,*,#176680,.T.); #227700=ORIENTED_EDGE('',*,*,#176679,.T.); #227701=ORIENTED_EDGE('',*,*,#176681,.F.); #227702=ORIENTED_EDGE('',*,*,#176682,.F.); #227703=ORIENTED_EDGE('',*,*,#176683,.T.); #227704=ORIENTED_EDGE('',*,*,#176682,.T.); #227705=ORIENTED_EDGE('',*,*,#176684,.F.); #227706=ORIENTED_EDGE('',*,*,#176685,.F.); #227707=ORIENTED_EDGE('',*,*,#176686,.T.); #227708=ORIENTED_EDGE('',*,*,#176685,.T.); #227709=ORIENTED_EDGE('',*,*,#176687,.F.); #227710=ORIENTED_EDGE('',*,*,#176688,.F.); #227711=ORIENTED_EDGE('',*,*,#176689,.T.); #227712=ORIENTED_EDGE('',*,*,#176688,.T.); #227713=ORIENTED_EDGE('',*,*,#176690,.F.); #227714=ORIENTED_EDGE('',*,*,#176691,.F.); #227715=ORIENTED_EDGE('',*,*,#176692,.T.); #227716=ORIENTED_EDGE('',*,*,#176691,.T.); #227717=ORIENTED_EDGE('',*,*,#176693,.F.); #227718=ORIENTED_EDGE('',*,*,#176694,.F.); #227719=ORIENTED_EDGE('',*,*,#176695,.T.); #227720=ORIENTED_EDGE('',*,*,#176694,.T.); #227721=ORIENTED_EDGE('',*,*,#176696,.F.); #227722=ORIENTED_EDGE('',*,*,#176697,.F.); #227723=ORIENTED_EDGE('',*,*,#176698,.T.); #227724=ORIENTED_EDGE('',*,*,#176697,.T.); #227725=ORIENTED_EDGE('',*,*,#176699,.F.); #227726=ORIENTED_EDGE('',*,*,#176700,.F.); #227727=ORIENTED_EDGE('',*,*,#176701,.T.); #227728=ORIENTED_EDGE('',*,*,#176700,.T.); #227729=ORIENTED_EDGE('',*,*,#176702,.F.); #227730=ORIENTED_EDGE('',*,*,#176703,.F.); #227731=ORIENTED_EDGE('',*,*,#176704,.T.); #227732=ORIENTED_EDGE('',*,*,#176703,.T.); #227733=ORIENTED_EDGE('',*,*,#176705,.F.); #227734=ORIENTED_EDGE('',*,*,#176706,.F.); #227735=ORIENTED_EDGE('',*,*,#176707,.T.); #227736=ORIENTED_EDGE('',*,*,#176706,.T.); #227737=ORIENTED_EDGE('',*,*,#176708,.F.); #227738=ORIENTED_EDGE('',*,*,#176709,.F.); #227739=ORIENTED_EDGE('',*,*,#176710,.T.); #227740=ORIENTED_EDGE('',*,*,#176709,.T.); #227741=ORIENTED_EDGE('',*,*,#176711,.F.); #227742=ORIENTED_EDGE('',*,*,#176712,.F.); #227743=ORIENTED_EDGE('',*,*,#176713,.T.); #227744=ORIENTED_EDGE('',*,*,#176712,.T.); #227745=ORIENTED_EDGE('',*,*,#176714,.F.); #227746=ORIENTED_EDGE('',*,*,#176715,.F.); #227747=ORIENTED_EDGE('',*,*,#176716,.T.); #227748=ORIENTED_EDGE('',*,*,#176715,.T.); #227749=ORIENTED_EDGE('',*,*,#176717,.F.); #227750=ORIENTED_EDGE('',*,*,#176718,.F.); #227751=ORIENTED_EDGE('',*,*,#176719,.T.); #227752=ORIENTED_EDGE('',*,*,#176718,.T.); #227753=ORIENTED_EDGE('',*,*,#176720,.F.); #227754=ORIENTED_EDGE('',*,*,#176721,.F.); #227755=ORIENTED_EDGE('',*,*,#176722,.T.); #227756=ORIENTED_EDGE('',*,*,#176721,.T.); #227757=ORIENTED_EDGE('',*,*,#176723,.F.); #227758=ORIENTED_EDGE('',*,*,#176724,.F.); #227759=ORIENTED_EDGE('',*,*,#176725,.T.); #227760=ORIENTED_EDGE('',*,*,#176724,.T.); #227761=ORIENTED_EDGE('',*,*,#176726,.F.); #227762=ORIENTED_EDGE('',*,*,#176727,.F.); #227763=ORIENTED_EDGE('',*,*,#176728,.T.); #227764=ORIENTED_EDGE('',*,*,#176727,.T.); #227765=ORIENTED_EDGE('',*,*,#176729,.F.); #227766=ORIENTED_EDGE('',*,*,#176730,.F.); #227767=ORIENTED_EDGE('',*,*,#176731,.T.); #227768=ORIENTED_EDGE('',*,*,#176730,.T.); #227769=ORIENTED_EDGE('',*,*,#176732,.F.); #227770=ORIENTED_EDGE('',*,*,#176733,.F.); #227771=ORIENTED_EDGE('',*,*,#176734,.T.); #227772=ORIENTED_EDGE('',*,*,#176733,.T.); #227773=ORIENTED_EDGE('',*,*,#176735,.F.); #227774=ORIENTED_EDGE('',*,*,#176736,.F.); #227775=ORIENTED_EDGE('',*,*,#176737,.T.); #227776=ORIENTED_EDGE('',*,*,#176736,.T.); #227777=ORIENTED_EDGE('',*,*,#176738,.F.); #227778=ORIENTED_EDGE('',*,*,#176739,.F.); #227779=ORIENTED_EDGE('',*,*,#176740,.T.); #227780=ORIENTED_EDGE('',*,*,#176739,.T.); #227781=ORIENTED_EDGE('',*,*,#176741,.F.); #227782=ORIENTED_EDGE('',*,*,#176742,.F.); #227783=ORIENTED_EDGE('',*,*,#176743,.T.); #227784=ORIENTED_EDGE('',*,*,#176742,.T.); #227785=ORIENTED_EDGE('',*,*,#176744,.F.); #227786=ORIENTED_EDGE('',*,*,#176745,.F.); #227787=ORIENTED_EDGE('',*,*,#176746,.T.); #227788=ORIENTED_EDGE('',*,*,#176745,.T.); #227789=ORIENTED_EDGE('',*,*,#176747,.F.); #227790=ORIENTED_EDGE('',*,*,#176748,.F.); #227791=ORIENTED_EDGE('',*,*,#176749,.T.); #227792=ORIENTED_EDGE('',*,*,#176748,.T.); #227793=ORIENTED_EDGE('',*,*,#176750,.F.); #227794=ORIENTED_EDGE('',*,*,#176751,.F.); #227795=ORIENTED_EDGE('',*,*,#176752,.T.); #227796=ORIENTED_EDGE('',*,*,#176751,.T.); #227797=ORIENTED_EDGE('',*,*,#176753,.F.); #227798=ORIENTED_EDGE('',*,*,#176754,.F.); #227799=ORIENTED_EDGE('',*,*,#176755,.T.); #227800=ORIENTED_EDGE('',*,*,#176754,.T.); #227801=ORIENTED_EDGE('',*,*,#176756,.F.); #227802=ORIENTED_EDGE('',*,*,#176757,.F.); #227803=ORIENTED_EDGE('',*,*,#176758,.T.); #227804=ORIENTED_EDGE('',*,*,#176757,.T.); #227805=ORIENTED_EDGE('',*,*,#176759,.F.); #227806=ORIENTED_EDGE('',*,*,#176760,.F.); #227807=ORIENTED_EDGE('',*,*,#176761,.T.); #227808=ORIENTED_EDGE('',*,*,#176760,.T.); #227809=ORIENTED_EDGE('',*,*,#176762,.F.); #227810=ORIENTED_EDGE('',*,*,#176763,.F.); #227811=ORIENTED_EDGE('',*,*,#176764,.T.); #227812=ORIENTED_EDGE('',*,*,#176763,.T.); #227813=ORIENTED_EDGE('',*,*,#176765,.F.); #227814=ORIENTED_EDGE('',*,*,#176766,.F.); #227815=ORIENTED_EDGE('',*,*,#176767,.T.); #227816=ORIENTED_EDGE('',*,*,#176766,.T.); #227817=ORIENTED_EDGE('',*,*,#176768,.F.); #227818=ORIENTED_EDGE('',*,*,#176769,.F.); #227819=ORIENTED_EDGE('',*,*,#176770,.T.); #227820=ORIENTED_EDGE('',*,*,#176769,.T.); #227821=ORIENTED_EDGE('',*,*,#176771,.F.); #227822=ORIENTED_EDGE('',*,*,#176772,.F.); #227823=ORIENTED_EDGE('',*,*,#176773,.T.); #227824=ORIENTED_EDGE('',*,*,#176772,.T.); #227825=ORIENTED_EDGE('',*,*,#176774,.F.); #227826=ORIENTED_EDGE('',*,*,#176775,.F.); #227827=ORIENTED_EDGE('',*,*,#176776,.T.); #227828=ORIENTED_EDGE('',*,*,#176775,.T.); #227829=ORIENTED_EDGE('',*,*,#176777,.F.); #227830=ORIENTED_EDGE('',*,*,#176778,.F.); #227831=ORIENTED_EDGE('',*,*,#176779,.T.); #227832=ORIENTED_EDGE('',*,*,#176778,.T.); #227833=ORIENTED_EDGE('',*,*,#176780,.F.); #227834=ORIENTED_EDGE('',*,*,#176781,.F.); #227835=ORIENTED_EDGE('',*,*,#176782,.T.); #227836=ORIENTED_EDGE('',*,*,#176781,.T.); #227837=ORIENTED_EDGE('',*,*,#176783,.F.); #227838=ORIENTED_EDGE('',*,*,#176784,.F.); #227839=ORIENTED_EDGE('',*,*,#176785,.T.); #227840=ORIENTED_EDGE('',*,*,#176784,.T.); #227841=ORIENTED_EDGE('',*,*,#176786,.F.); #227842=ORIENTED_EDGE('',*,*,#176787,.F.); #227843=ORIENTED_EDGE('',*,*,#176788,.T.); #227844=ORIENTED_EDGE('',*,*,#176787,.T.); #227845=ORIENTED_EDGE('',*,*,#176789,.F.); #227846=ORIENTED_EDGE('',*,*,#176790,.F.); #227847=ORIENTED_EDGE('',*,*,#176791,.T.); #227848=ORIENTED_EDGE('',*,*,#176790,.T.); #227849=ORIENTED_EDGE('',*,*,#176792,.F.); #227850=ORIENTED_EDGE('',*,*,#176793,.F.); #227851=ORIENTED_EDGE('',*,*,#176794,.T.); #227852=ORIENTED_EDGE('',*,*,#176793,.T.); #227853=ORIENTED_EDGE('',*,*,#176795,.F.); #227854=ORIENTED_EDGE('',*,*,#176796,.F.); #227855=ORIENTED_EDGE('',*,*,#176797,.T.); #227856=ORIENTED_EDGE('',*,*,#176796,.T.); #227857=ORIENTED_EDGE('',*,*,#176798,.F.); #227858=ORIENTED_EDGE('',*,*,#176799,.F.); #227859=ORIENTED_EDGE('',*,*,#176800,.T.); #227860=ORIENTED_EDGE('',*,*,#176799,.T.); #227861=ORIENTED_EDGE('',*,*,#176801,.F.); #227862=ORIENTED_EDGE('',*,*,#176802,.F.); #227863=ORIENTED_EDGE('',*,*,#176803,.T.); #227864=ORIENTED_EDGE('',*,*,#176802,.T.); #227865=ORIENTED_EDGE('',*,*,#176804,.F.); #227866=ORIENTED_EDGE('',*,*,#176805,.F.); #227867=ORIENTED_EDGE('',*,*,#176806,.T.); #227868=ORIENTED_EDGE('',*,*,#176805,.T.); #227869=ORIENTED_EDGE('',*,*,#176807,.F.); #227870=ORIENTED_EDGE('',*,*,#176808,.F.); #227871=ORIENTED_EDGE('',*,*,#176809,.T.); #227872=ORIENTED_EDGE('',*,*,#176808,.T.); #227873=ORIENTED_EDGE('',*,*,#176810,.F.); #227874=ORIENTED_EDGE('',*,*,#176811,.F.); #227875=ORIENTED_EDGE('',*,*,#176812,.T.); #227876=ORIENTED_EDGE('',*,*,#176811,.T.); #227877=ORIENTED_EDGE('',*,*,#176813,.F.); #227878=ORIENTED_EDGE('',*,*,#176814,.F.); #227879=ORIENTED_EDGE('',*,*,#176815,.T.); #227880=ORIENTED_EDGE('',*,*,#176814,.T.); #227881=ORIENTED_EDGE('',*,*,#176816,.F.); #227882=ORIENTED_EDGE('',*,*,#176817,.F.); #227883=ORIENTED_EDGE('',*,*,#176818,.T.); #227884=ORIENTED_EDGE('',*,*,#176817,.T.); #227885=ORIENTED_EDGE('',*,*,#176819,.F.); #227886=ORIENTED_EDGE('',*,*,#176820,.F.); #227887=ORIENTED_EDGE('',*,*,#176821,.T.); #227888=ORIENTED_EDGE('',*,*,#176820,.T.); #227889=ORIENTED_EDGE('',*,*,#176822,.F.); #227890=ORIENTED_EDGE('',*,*,#176823,.F.); #227891=ORIENTED_EDGE('',*,*,#176824,.T.); #227892=ORIENTED_EDGE('',*,*,#176823,.T.); #227893=ORIENTED_EDGE('',*,*,#176825,.F.); #227894=ORIENTED_EDGE('',*,*,#176826,.F.); #227895=ORIENTED_EDGE('',*,*,#176827,.T.); #227896=ORIENTED_EDGE('',*,*,#176826,.T.); #227897=ORIENTED_EDGE('',*,*,#176828,.F.); #227898=ORIENTED_EDGE('',*,*,#176829,.F.); #227899=ORIENTED_EDGE('',*,*,#176830,.T.); #227900=ORIENTED_EDGE('',*,*,#176829,.T.); #227901=ORIENTED_EDGE('',*,*,#176831,.F.); #227902=ORIENTED_EDGE('',*,*,#176832,.F.); #227903=ORIENTED_EDGE('',*,*,#176833,.T.); #227904=ORIENTED_EDGE('',*,*,#176832,.T.); #227905=ORIENTED_EDGE('',*,*,#176834,.F.); #227906=ORIENTED_EDGE('',*,*,#176437,.F.); #227907=ORIENTED_EDGE('',*,*,#176834,.T.); #227908=ORIENTED_EDGE('',*,*,#176831,.T.); #227909=ORIENTED_EDGE('',*,*,#176828,.T.); #227910=ORIENTED_EDGE('',*,*,#176825,.T.); #227911=ORIENTED_EDGE('',*,*,#176822,.T.); #227912=ORIENTED_EDGE('',*,*,#176819,.T.); #227913=ORIENTED_EDGE('',*,*,#176816,.T.); #227914=ORIENTED_EDGE('',*,*,#176813,.T.); #227915=ORIENTED_EDGE('',*,*,#176810,.T.); #227916=ORIENTED_EDGE('',*,*,#176807,.T.); #227917=ORIENTED_EDGE('',*,*,#176804,.T.); #227918=ORIENTED_EDGE('',*,*,#176801,.T.); #227919=ORIENTED_EDGE('',*,*,#176798,.T.); #227920=ORIENTED_EDGE('',*,*,#176795,.T.); #227921=ORIENTED_EDGE('',*,*,#176792,.T.); #227922=ORIENTED_EDGE('',*,*,#176789,.T.); #227923=ORIENTED_EDGE('',*,*,#176786,.T.); #227924=ORIENTED_EDGE('',*,*,#176783,.T.); #227925=ORIENTED_EDGE('',*,*,#176780,.T.); #227926=ORIENTED_EDGE('',*,*,#176777,.T.); #227927=ORIENTED_EDGE('',*,*,#176774,.T.); #227928=ORIENTED_EDGE('',*,*,#176771,.T.); #227929=ORIENTED_EDGE('',*,*,#176768,.T.); #227930=ORIENTED_EDGE('',*,*,#176765,.T.); #227931=ORIENTED_EDGE('',*,*,#176762,.T.); #227932=ORIENTED_EDGE('',*,*,#176759,.T.); #227933=ORIENTED_EDGE('',*,*,#176756,.T.); #227934=ORIENTED_EDGE('',*,*,#176753,.T.); #227935=ORIENTED_EDGE('',*,*,#176750,.T.); #227936=ORIENTED_EDGE('',*,*,#176747,.T.); #227937=ORIENTED_EDGE('',*,*,#176744,.T.); #227938=ORIENTED_EDGE('',*,*,#176741,.T.); #227939=ORIENTED_EDGE('',*,*,#176738,.T.); #227940=ORIENTED_EDGE('',*,*,#176735,.T.); #227941=ORIENTED_EDGE('',*,*,#176732,.T.); #227942=ORIENTED_EDGE('',*,*,#176729,.T.); #227943=ORIENTED_EDGE('',*,*,#176726,.T.); #227944=ORIENTED_EDGE('',*,*,#176723,.T.); #227945=ORIENTED_EDGE('',*,*,#176720,.T.); #227946=ORIENTED_EDGE('',*,*,#176717,.T.); #227947=ORIENTED_EDGE('',*,*,#176714,.T.); #227948=ORIENTED_EDGE('',*,*,#176711,.T.); #227949=ORIENTED_EDGE('',*,*,#176708,.T.); #227950=ORIENTED_EDGE('',*,*,#176705,.T.); #227951=ORIENTED_EDGE('',*,*,#176702,.T.); #227952=ORIENTED_EDGE('',*,*,#176699,.T.); #227953=ORIENTED_EDGE('',*,*,#176696,.T.); #227954=ORIENTED_EDGE('',*,*,#176693,.T.); #227955=ORIENTED_EDGE('',*,*,#176690,.T.); #227956=ORIENTED_EDGE('',*,*,#176687,.T.); #227957=ORIENTED_EDGE('',*,*,#176684,.T.); #227958=ORIENTED_EDGE('',*,*,#176681,.T.); #227959=ORIENTED_EDGE('',*,*,#176678,.T.); #227960=ORIENTED_EDGE('',*,*,#176675,.T.); #227961=ORIENTED_EDGE('',*,*,#176672,.T.); #227962=ORIENTED_EDGE('',*,*,#176669,.T.); #227963=ORIENTED_EDGE('',*,*,#176666,.T.); #227964=ORIENTED_EDGE('',*,*,#176663,.T.); #227965=ORIENTED_EDGE('',*,*,#176660,.T.); #227966=ORIENTED_EDGE('',*,*,#176657,.T.); #227967=ORIENTED_EDGE('',*,*,#176654,.T.); #227968=ORIENTED_EDGE('',*,*,#176651,.T.); #227969=ORIENTED_EDGE('',*,*,#176648,.T.); #227970=ORIENTED_EDGE('',*,*,#176645,.T.); #227971=ORIENTED_EDGE('',*,*,#176642,.T.); #227972=ORIENTED_EDGE('',*,*,#176639,.T.); #227973=ORIENTED_EDGE('',*,*,#176636,.T.); #227974=ORIENTED_EDGE('',*,*,#176633,.T.); #227975=ORIENTED_EDGE('',*,*,#176630,.T.); #227976=ORIENTED_EDGE('',*,*,#176627,.T.); #227977=ORIENTED_EDGE('',*,*,#176624,.T.); #227978=ORIENTED_EDGE('',*,*,#176621,.T.); #227979=ORIENTED_EDGE('',*,*,#176618,.T.); #227980=ORIENTED_EDGE('',*,*,#176615,.T.); #227981=ORIENTED_EDGE('',*,*,#176612,.T.); #227982=ORIENTED_EDGE('',*,*,#176609,.T.); #227983=ORIENTED_EDGE('',*,*,#176606,.T.); #227984=ORIENTED_EDGE('',*,*,#176603,.T.); #227985=ORIENTED_EDGE('',*,*,#176600,.T.); #227986=ORIENTED_EDGE('',*,*,#176597,.T.); #227987=ORIENTED_EDGE('',*,*,#176594,.T.); #227988=ORIENTED_EDGE('',*,*,#176591,.T.); #227989=ORIENTED_EDGE('',*,*,#176588,.T.); #227990=ORIENTED_EDGE('',*,*,#176585,.T.); #227991=ORIENTED_EDGE('',*,*,#176582,.T.); #227992=ORIENTED_EDGE('',*,*,#176579,.T.); #227993=ORIENTED_EDGE('',*,*,#176576,.T.); #227994=ORIENTED_EDGE('',*,*,#176573,.T.); #227995=ORIENTED_EDGE('',*,*,#176570,.T.); #227996=ORIENTED_EDGE('',*,*,#176567,.T.); #227997=ORIENTED_EDGE('',*,*,#176564,.T.); #227998=ORIENTED_EDGE('',*,*,#176561,.T.); #227999=ORIENTED_EDGE('',*,*,#176558,.T.); #228000=ORIENTED_EDGE('',*,*,#176555,.T.); #228001=ORIENTED_EDGE('',*,*,#176552,.T.); #228002=ORIENTED_EDGE('',*,*,#176549,.T.); #228003=ORIENTED_EDGE('',*,*,#176546,.T.); #228004=ORIENTED_EDGE('',*,*,#176543,.T.); #228005=ORIENTED_EDGE('',*,*,#176540,.T.); #228006=ORIENTED_EDGE('',*,*,#176537,.T.); #228007=ORIENTED_EDGE('',*,*,#176534,.T.); #228008=ORIENTED_EDGE('',*,*,#176531,.T.); #228009=ORIENTED_EDGE('',*,*,#176528,.T.); #228010=ORIENTED_EDGE('',*,*,#176525,.T.); #228011=ORIENTED_EDGE('',*,*,#176522,.T.); #228012=ORIENTED_EDGE('',*,*,#176519,.T.); #228013=ORIENTED_EDGE('',*,*,#176516,.T.); #228014=ORIENTED_EDGE('',*,*,#176513,.T.); #228015=ORIENTED_EDGE('',*,*,#176510,.T.); #228016=ORIENTED_EDGE('',*,*,#176507,.T.); #228017=ORIENTED_EDGE('',*,*,#176504,.T.); #228018=ORIENTED_EDGE('',*,*,#176501,.T.); #228019=ORIENTED_EDGE('',*,*,#176498,.T.); #228020=ORIENTED_EDGE('',*,*,#176495,.T.); #228021=ORIENTED_EDGE('',*,*,#176492,.T.); #228022=ORIENTED_EDGE('',*,*,#176489,.T.); #228023=ORIENTED_EDGE('',*,*,#176486,.T.); #228024=ORIENTED_EDGE('',*,*,#176483,.T.); #228025=ORIENTED_EDGE('',*,*,#176480,.T.); #228026=ORIENTED_EDGE('',*,*,#176477,.T.); #228027=ORIENTED_EDGE('',*,*,#176474,.T.); #228028=ORIENTED_EDGE('',*,*,#176471,.T.); #228029=ORIENTED_EDGE('',*,*,#176468,.T.); #228030=ORIENTED_EDGE('',*,*,#176465,.T.); #228031=ORIENTED_EDGE('',*,*,#176462,.T.); #228032=ORIENTED_EDGE('',*,*,#176459,.T.); #228033=ORIENTED_EDGE('',*,*,#176456,.T.); #228034=ORIENTED_EDGE('',*,*,#176453,.T.); #228035=ORIENTED_EDGE('',*,*,#176450,.T.); #228036=ORIENTED_EDGE('',*,*,#176447,.T.); #228037=ORIENTED_EDGE('',*,*,#176444,.T.); #228038=ORIENTED_EDGE('',*,*,#176441,.T.); #228039=ORIENTED_EDGE('',*,*,#176438,.T.); #228040=ORIENTED_EDGE('',*,*,#176405,.T.); #228041=ORIENTED_EDGE('',*,*,#176408,.T.); #228042=ORIENTED_EDGE('',*,*,#176411,.T.); #228043=ORIENTED_EDGE('',*,*,#176414,.T.); #228044=ORIENTED_EDGE('',*,*,#176417,.T.); #228045=ORIENTED_EDGE('',*,*,#176420,.T.); #228046=ORIENTED_EDGE('',*,*,#176423,.T.); #228047=ORIENTED_EDGE('',*,*,#176426,.T.); #228048=ORIENTED_EDGE('',*,*,#176429,.T.); #228049=ORIENTED_EDGE('',*,*,#176432,.T.); #228050=ORIENTED_EDGE('',*,*,#176435,.T.); #228051=ORIENTED_EDGE('',*,*,#176833,.F.); #228052=ORIENTED_EDGE('',*,*,#176436,.F.); #228053=ORIENTED_EDGE('',*,*,#176440,.F.); #228054=ORIENTED_EDGE('',*,*,#176443,.F.); #228055=ORIENTED_EDGE('',*,*,#176446,.F.); #228056=ORIENTED_EDGE('',*,*,#176449,.F.); #228057=ORIENTED_EDGE('',*,*,#176452,.F.); #228058=ORIENTED_EDGE('',*,*,#176455,.F.); #228059=ORIENTED_EDGE('',*,*,#176458,.F.); #228060=ORIENTED_EDGE('',*,*,#176461,.F.); #228061=ORIENTED_EDGE('',*,*,#176464,.F.); #228062=ORIENTED_EDGE('',*,*,#176467,.F.); #228063=ORIENTED_EDGE('',*,*,#176470,.F.); #228064=ORIENTED_EDGE('',*,*,#176473,.F.); #228065=ORIENTED_EDGE('',*,*,#176476,.F.); #228066=ORIENTED_EDGE('',*,*,#176479,.F.); #228067=ORIENTED_EDGE('',*,*,#176482,.F.); #228068=ORIENTED_EDGE('',*,*,#176485,.F.); #228069=ORIENTED_EDGE('',*,*,#176488,.F.); #228070=ORIENTED_EDGE('',*,*,#176491,.F.); #228071=ORIENTED_EDGE('',*,*,#176494,.F.); #228072=ORIENTED_EDGE('',*,*,#176497,.F.); #228073=ORIENTED_EDGE('',*,*,#176500,.F.); #228074=ORIENTED_EDGE('',*,*,#176503,.F.); #228075=ORIENTED_EDGE('',*,*,#176506,.F.); #228076=ORIENTED_EDGE('',*,*,#176509,.F.); #228077=ORIENTED_EDGE('',*,*,#176512,.F.); #228078=ORIENTED_EDGE('',*,*,#176515,.F.); #228079=ORIENTED_EDGE('',*,*,#176518,.F.); #228080=ORIENTED_EDGE('',*,*,#176521,.F.); #228081=ORIENTED_EDGE('',*,*,#176524,.F.); #228082=ORIENTED_EDGE('',*,*,#176527,.F.); #228083=ORIENTED_EDGE('',*,*,#176530,.F.); #228084=ORIENTED_EDGE('',*,*,#176533,.F.); #228085=ORIENTED_EDGE('',*,*,#176536,.F.); #228086=ORIENTED_EDGE('',*,*,#176539,.F.); #228087=ORIENTED_EDGE('',*,*,#176542,.F.); #228088=ORIENTED_EDGE('',*,*,#176545,.F.); #228089=ORIENTED_EDGE('',*,*,#176548,.F.); #228090=ORIENTED_EDGE('',*,*,#176551,.F.); #228091=ORIENTED_EDGE('',*,*,#176554,.F.); #228092=ORIENTED_EDGE('',*,*,#176557,.F.); #228093=ORIENTED_EDGE('',*,*,#176560,.F.); #228094=ORIENTED_EDGE('',*,*,#176563,.F.); #228095=ORIENTED_EDGE('',*,*,#176566,.F.); #228096=ORIENTED_EDGE('',*,*,#176569,.F.); #228097=ORIENTED_EDGE('',*,*,#176572,.F.); #228098=ORIENTED_EDGE('',*,*,#176575,.F.); #228099=ORIENTED_EDGE('',*,*,#176578,.F.); #228100=ORIENTED_EDGE('',*,*,#176581,.F.); #228101=ORIENTED_EDGE('',*,*,#176584,.F.); #228102=ORIENTED_EDGE('',*,*,#176587,.F.); #228103=ORIENTED_EDGE('',*,*,#176590,.F.); #228104=ORIENTED_EDGE('',*,*,#176593,.F.); #228105=ORIENTED_EDGE('',*,*,#176596,.F.); #228106=ORIENTED_EDGE('',*,*,#176599,.F.); #228107=ORIENTED_EDGE('',*,*,#176602,.F.); #228108=ORIENTED_EDGE('',*,*,#176605,.F.); #228109=ORIENTED_EDGE('',*,*,#176608,.F.); #228110=ORIENTED_EDGE('',*,*,#176611,.F.); #228111=ORIENTED_EDGE('',*,*,#176614,.F.); #228112=ORIENTED_EDGE('',*,*,#176617,.F.); #228113=ORIENTED_EDGE('',*,*,#176620,.F.); #228114=ORIENTED_EDGE('',*,*,#176623,.F.); #228115=ORIENTED_EDGE('',*,*,#176626,.F.); #228116=ORIENTED_EDGE('',*,*,#176629,.F.); #228117=ORIENTED_EDGE('',*,*,#176632,.F.); #228118=ORIENTED_EDGE('',*,*,#176635,.F.); #228119=ORIENTED_EDGE('',*,*,#176638,.F.); #228120=ORIENTED_EDGE('',*,*,#176641,.F.); #228121=ORIENTED_EDGE('',*,*,#176644,.F.); #228122=ORIENTED_EDGE('',*,*,#176647,.F.); #228123=ORIENTED_EDGE('',*,*,#176650,.F.); #228124=ORIENTED_EDGE('',*,*,#176653,.F.); #228125=ORIENTED_EDGE('',*,*,#176656,.F.); #228126=ORIENTED_EDGE('',*,*,#176659,.F.); #228127=ORIENTED_EDGE('',*,*,#176662,.F.); #228128=ORIENTED_EDGE('',*,*,#176665,.F.); #228129=ORIENTED_EDGE('',*,*,#176668,.F.); #228130=ORIENTED_EDGE('',*,*,#176671,.F.); #228131=ORIENTED_EDGE('',*,*,#176674,.F.); #228132=ORIENTED_EDGE('',*,*,#176677,.F.); #228133=ORIENTED_EDGE('',*,*,#176680,.F.); #228134=ORIENTED_EDGE('',*,*,#176683,.F.); #228135=ORIENTED_EDGE('',*,*,#176686,.F.); #228136=ORIENTED_EDGE('',*,*,#176689,.F.); #228137=ORIENTED_EDGE('',*,*,#176692,.F.); #228138=ORIENTED_EDGE('',*,*,#176695,.F.); #228139=ORIENTED_EDGE('',*,*,#176698,.F.); #228140=ORIENTED_EDGE('',*,*,#176701,.F.); #228141=ORIENTED_EDGE('',*,*,#176704,.F.); #228142=ORIENTED_EDGE('',*,*,#176707,.F.); #228143=ORIENTED_EDGE('',*,*,#176710,.F.); #228144=ORIENTED_EDGE('',*,*,#176713,.F.); #228145=ORIENTED_EDGE('',*,*,#176716,.F.); #228146=ORIENTED_EDGE('',*,*,#176719,.F.); #228147=ORIENTED_EDGE('',*,*,#176722,.F.); #228148=ORIENTED_EDGE('',*,*,#176725,.F.); #228149=ORIENTED_EDGE('',*,*,#176728,.F.); #228150=ORIENTED_EDGE('',*,*,#176731,.F.); #228151=ORIENTED_EDGE('',*,*,#176734,.F.); #228152=ORIENTED_EDGE('',*,*,#176737,.F.); #228153=ORIENTED_EDGE('',*,*,#176740,.F.); #228154=ORIENTED_EDGE('',*,*,#176743,.F.); #228155=ORIENTED_EDGE('',*,*,#176746,.F.); #228156=ORIENTED_EDGE('',*,*,#176749,.F.); #228157=ORIENTED_EDGE('',*,*,#176752,.F.); #228158=ORIENTED_EDGE('',*,*,#176755,.F.); #228159=ORIENTED_EDGE('',*,*,#176758,.F.); #228160=ORIENTED_EDGE('',*,*,#176761,.F.); #228161=ORIENTED_EDGE('',*,*,#176764,.F.); #228162=ORIENTED_EDGE('',*,*,#176767,.F.); #228163=ORIENTED_EDGE('',*,*,#176770,.F.); #228164=ORIENTED_EDGE('',*,*,#176773,.F.); #228165=ORIENTED_EDGE('',*,*,#176776,.F.); #228166=ORIENTED_EDGE('',*,*,#176779,.F.); #228167=ORIENTED_EDGE('',*,*,#176782,.F.); #228168=ORIENTED_EDGE('',*,*,#176785,.F.); #228169=ORIENTED_EDGE('',*,*,#176788,.F.); #228170=ORIENTED_EDGE('',*,*,#176791,.F.); #228171=ORIENTED_EDGE('',*,*,#176794,.F.); #228172=ORIENTED_EDGE('',*,*,#176797,.F.); #228173=ORIENTED_EDGE('',*,*,#176800,.F.); #228174=ORIENTED_EDGE('',*,*,#176803,.F.); #228175=ORIENTED_EDGE('',*,*,#176806,.F.); #228176=ORIENTED_EDGE('',*,*,#176809,.F.); #228177=ORIENTED_EDGE('',*,*,#176812,.F.); #228178=ORIENTED_EDGE('',*,*,#176815,.F.); #228179=ORIENTED_EDGE('',*,*,#176818,.F.); #228180=ORIENTED_EDGE('',*,*,#176821,.F.); #228181=ORIENTED_EDGE('',*,*,#176824,.F.); #228182=ORIENTED_EDGE('',*,*,#176827,.F.); #228183=ORIENTED_EDGE('',*,*,#176830,.F.); #228184=ORIENTED_EDGE('',*,*,#176403,.T.); #228185=ORIENTED_EDGE('',*,*,#176406,.T.); #228186=ORIENTED_EDGE('',*,*,#176409,.T.); #228187=ORIENTED_EDGE('',*,*,#176412,.T.); #228188=ORIENTED_EDGE('',*,*,#176415,.T.); #228189=ORIENTED_EDGE('',*,*,#176418,.T.); #228190=ORIENTED_EDGE('',*,*,#176421,.T.); #228191=ORIENTED_EDGE('',*,*,#176424,.T.); #228192=ORIENTED_EDGE('',*,*,#176427,.T.); #228193=ORIENTED_EDGE('',*,*,#176430,.T.); #228194=ORIENTED_EDGE('',*,*,#176433,.T.); #228195=ORIENTED_EDGE('',*,*,#176835,.F.); #228196=ORIENTED_EDGE('',*,*,#176836,.T.); #228197=ORIENTED_EDGE('',*,*,#176837,.F.); #228198=ORIENTED_EDGE('',*,*,#176836,.F.); #228199=ORIENTED_EDGE('',*,*,#176838,.T.); #228200=ORIENTED_EDGE('',*,*,#176839,.T.); #228201=ORIENTED_EDGE('',*,*,#176840,.F.); #228202=ORIENTED_EDGE('',*,*,#176841,.F.); #228203=ORIENTED_EDGE('',*,*,#176842,.T.); #228204=ORIENTED_EDGE('',*,*,#176841,.T.); #228205=ORIENTED_EDGE('',*,*,#176843,.F.); #228206=ORIENTED_EDGE('',*,*,#176844,.F.); #228207=ORIENTED_EDGE('',*,*,#176845,.T.); #228208=ORIENTED_EDGE('',*,*,#176844,.T.); #228209=ORIENTED_EDGE('',*,*,#176846,.F.); #228210=ORIENTED_EDGE('',*,*,#176839,.F.); #228211=ORIENTED_EDGE('',*,*,#176846,.T.); #228212=ORIENTED_EDGE('',*,*,#176843,.T.); #228213=ORIENTED_EDGE('',*,*,#176840,.T.); #228214=ORIENTED_EDGE('',*,*,#176837,.T.); #228215=ORIENTED_EDGE('',*,*,#176845,.F.); #228216=ORIENTED_EDGE('',*,*,#176838,.F.); #228217=ORIENTED_EDGE('',*,*,#176842,.F.); #228218=ORIENTED_EDGE('',*,*,#176835,.T.); #228219=ORIENTED_EDGE('',*,*,#176847,.T.); #228220=ORIENTED_EDGE('',*,*,#176848,.T.); #228221=ORIENTED_EDGE('',*,*,#176849,.F.); #228222=ORIENTED_EDGE('',*,*,#176850,.F.); #228223=ORIENTED_EDGE('',*,*,#176851,.T.); #228224=ORIENTED_EDGE('',*,*,#176850,.T.); #228225=ORIENTED_EDGE('',*,*,#176852,.F.); #228226=ORIENTED_EDGE('',*,*,#176853,.F.); #228227=ORIENTED_EDGE('',*,*,#176854,.T.); #228228=ORIENTED_EDGE('',*,*,#176853,.T.); #228229=ORIENTED_EDGE('',*,*,#176855,.F.); #228230=ORIENTED_EDGE('',*,*,#176856,.F.); #228231=ORIENTED_EDGE('',*,*,#176857,.T.); #228232=ORIENTED_EDGE('',*,*,#176856,.T.); #228233=ORIENTED_EDGE('',*,*,#176858,.F.); #228234=ORIENTED_EDGE('',*,*,#176859,.F.); #228235=ORIENTED_EDGE('',*,*,#176860,.T.); #228236=ORIENTED_EDGE('',*,*,#176859,.T.); #228237=ORIENTED_EDGE('',*,*,#176861,.F.); #228238=ORIENTED_EDGE('',*,*,#176862,.F.); #228239=ORIENTED_EDGE('',*,*,#176863,.T.); #228240=ORIENTED_EDGE('',*,*,#176862,.T.); #228241=ORIENTED_EDGE('',*,*,#176864,.F.); #228242=ORIENTED_EDGE('',*,*,#176865,.F.); #228243=ORIENTED_EDGE('',*,*,#176866,.T.); #228244=ORIENTED_EDGE('',*,*,#176865,.T.); #228245=ORIENTED_EDGE('',*,*,#176867,.F.); #228246=ORIENTED_EDGE('',*,*,#176868,.F.); #228247=ORIENTED_EDGE('',*,*,#176869,.T.); #228248=ORIENTED_EDGE('',*,*,#176868,.T.); #228249=ORIENTED_EDGE('',*,*,#176870,.F.); #228250=ORIENTED_EDGE('',*,*,#176871,.F.); #228251=ORIENTED_EDGE('',*,*,#176872,.T.); #228252=ORIENTED_EDGE('',*,*,#176871,.T.); #228253=ORIENTED_EDGE('',*,*,#176873,.F.); #228254=ORIENTED_EDGE('',*,*,#176874,.F.); #228255=ORIENTED_EDGE('',*,*,#176875,.T.); #228256=ORIENTED_EDGE('',*,*,#176874,.T.); #228257=ORIENTED_EDGE('',*,*,#176876,.F.); #228258=ORIENTED_EDGE('',*,*,#176877,.F.); #228259=ORIENTED_EDGE('',*,*,#176878,.T.); #228260=ORIENTED_EDGE('',*,*,#176877,.T.); #228261=ORIENTED_EDGE('',*,*,#176879,.F.); #228262=ORIENTED_EDGE('',*,*,#176880,.F.); #228263=ORIENTED_EDGE('',*,*,#176881,.T.); #228264=ORIENTED_EDGE('',*,*,#176880,.T.); #228265=ORIENTED_EDGE('',*,*,#176882,.F.); #228266=ORIENTED_EDGE('',*,*,#176883,.F.); #228267=ORIENTED_EDGE('',*,*,#176884,.T.); #228268=ORIENTED_EDGE('',*,*,#176883,.T.); #228269=ORIENTED_EDGE('',*,*,#176885,.F.); #228270=ORIENTED_EDGE('',*,*,#176886,.F.); #228271=ORIENTED_EDGE('',*,*,#176887,.T.); #228272=ORIENTED_EDGE('',*,*,#176886,.T.); #228273=ORIENTED_EDGE('',*,*,#176888,.F.); #228274=ORIENTED_EDGE('',*,*,#176889,.F.); #228275=ORIENTED_EDGE('',*,*,#176890,.T.); #228276=ORIENTED_EDGE('',*,*,#176889,.T.); #228277=ORIENTED_EDGE('',*,*,#176891,.F.); #228278=ORIENTED_EDGE('',*,*,#176892,.F.); #228279=ORIENTED_EDGE('',*,*,#176893,.T.); #228280=ORIENTED_EDGE('',*,*,#176892,.T.); #228281=ORIENTED_EDGE('',*,*,#176894,.F.); #228282=ORIENTED_EDGE('',*,*,#176895,.F.); #228283=ORIENTED_EDGE('',*,*,#176896,.T.); #228284=ORIENTED_EDGE('',*,*,#176895,.T.); #228285=ORIENTED_EDGE('',*,*,#176897,.F.); #228286=ORIENTED_EDGE('',*,*,#176898,.F.); #228287=ORIENTED_EDGE('',*,*,#176899,.T.); #228288=ORIENTED_EDGE('',*,*,#176898,.T.); #228289=ORIENTED_EDGE('',*,*,#176900,.F.); #228290=ORIENTED_EDGE('',*,*,#176901,.F.); #228291=ORIENTED_EDGE('',*,*,#176902,.T.); #228292=ORIENTED_EDGE('',*,*,#176901,.T.); #228293=ORIENTED_EDGE('',*,*,#176903,.F.); #228294=ORIENTED_EDGE('',*,*,#176904,.F.); #228295=ORIENTED_EDGE('',*,*,#176905,.T.); #228296=ORIENTED_EDGE('',*,*,#176904,.T.); #228297=ORIENTED_EDGE('',*,*,#176906,.F.); #228298=ORIENTED_EDGE('',*,*,#176848,.F.); #228299=ORIENTED_EDGE('',*,*,#176906,.T.); #228300=ORIENTED_EDGE('',*,*,#176903,.T.); #228301=ORIENTED_EDGE('',*,*,#176900,.T.); #228302=ORIENTED_EDGE('',*,*,#176897,.T.); #228303=ORIENTED_EDGE('',*,*,#176894,.T.); #228304=ORIENTED_EDGE('',*,*,#176891,.T.); #228305=ORIENTED_EDGE('',*,*,#176888,.T.); #228306=ORIENTED_EDGE('',*,*,#176885,.T.); #228307=ORIENTED_EDGE('',*,*,#176882,.T.); #228308=ORIENTED_EDGE('',*,*,#176879,.T.); #228309=ORIENTED_EDGE('',*,*,#176876,.T.); #228310=ORIENTED_EDGE('',*,*,#176873,.T.); #228311=ORIENTED_EDGE('',*,*,#176870,.T.); #228312=ORIENTED_EDGE('',*,*,#176867,.T.); #228313=ORIENTED_EDGE('',*,*,#176864,.T.); #228314=ORIENTED_EDGE('',*,*,#176861,.T.); #228315=ORIENTED_EDGE('',*,*,#176858,.T.); #228316=ORIENTED_EDGE('',*,*,#176855,.T.); #228317=ORIENTED_EDGE('',*,*,#176852,.T.); #228318=ORIENTED_EDGE('',*,*,#176849,.T.); #228319=ORIENTED_EDGE('',*,*,#176905,.F.); #228320=ORIENTED_EDGE('',*,*,#176847,.F.); #228321=ORIENTED_EDGE('',*,*,#176851,.F.); #228322=ORIENTED_EDGE('',*,*,#176854,.F.); #228323=ORIENTED_EDGE('',*,*,#176857,.F.); #228324=ORIENTED_EDGE('',*,*,#176860,.F.); #228325=ORIENTED_EDGE('',*,*,#176863,.F.); #228326=ORIENTED_EDGE('',*,*,#176866,.F.); #228327=ORIENTED_EDGE('',*,*,#176869,.F.); #228328=ORIENTED_EDGE('',*,*,#176872,.F.); #228329=ORIENTED_EDGE('',*,*,#176875,.F.); #228330=ORIENTED_EDGE('',*,*,#176878,.F.); #228331=ORIENTED_EDGE('',*,*,#176881,.F.); #228332=ORIENTED_EDGE('',*,*,#176884,.F.); #228333=ORIENTED_EDGE('',*,*,#176887,.F.); #228334=ORIENTED_EDGE('',*,*,#176890,.F.); #228335=ORIENTED_EDGE('',*,*,#176893,.F.); #228336=ORIENTED_EDGE('',*,*,#176896,.F.); #228337=ORIENTED_EDGE('',*,*,#176899,.F.); #228338=ORIENTED_EDGE('',*,*,#176902,.F.); #228339=ORIENTED_EDGE('',*,*,#176907,.T.); #228340=ORIENTED_EDGE('',*,*,#176908,.T.); #228341=ORIENTED_EDGE('',*,*,#176909,.F.); #228342=ORIENTED_EDGE('',*,*,#176910,.F.); #228343=ORIENTED_EDGE('',*,*,#176911,.T.); #228344=ORIENTED_EDGE('',*,*,#176910,.T.); #228345=ORIENTED_EDGE('',*,*,#176912,.F.); #228346=ORIENTED_EDGE('',*,*,#176913,.F.); #228347=ORIENTED_EDGE('',*,*,#176914,.T.); #228348=ORIENTED_EDGE('',*,*,#176913,.T.); #228349=ORIENTED_EDGE('',*,*,#176915,.F.); #228350=ORIENTED_EDGE('',*,*,#176916,.F.); #228351=ORIENTED_EDGE('',*,*,#176917,.T.); #228352=ORIENTED_EDGE('',*,*,#176916,.T.); #228353=ORIENTED_EDGE('',*,*,#176918,.F.); #228354=ORIENTED_EDGE('',*,*,#176919,.F.); #228355=ORIENTED_EDGE('',*,*,#176920,.T.); #228356=ORIENTED_EDGE('',*,*,#176919,.T.); #228357=ORIENTED_EDGE('',*,*,#176921,.F.); #228358=ORIENTED_EDGE('',*,*,#176922,.F.); #228359=ORIENTED_EDGE('',*,*,#176923,.T.); #228360=ORIENTED_EDGE('',*,*,#176922,.T.); #228361=ORIENTED_EDGE('',*,*,#176924,.F.); #228362=ORIENTED_EDGE('',*,*,#176925,.F.); #228363=ORIENTED_EDGE('',*,*,#176926,.T.); #228364=ORIENTED_EDGE('',*,*,#176925,.T.); #228365=ORIENTED_EDGE('',*,*,#176927,.F.); #228366=ORIENTED_EDGE('',*,*,#176928,.F.); #228367=ORIENTED_EDGE('',*,*,#176929,.T.); #228368=ORIENTED_EDGE('',*,*,#176928,.T.); #228369=ORIENTED_EDGE('',*,*,#176930,.F.); #228370=ORIENTED_EDGE('',*,*,#176931,.F.); #228371=ORIENTED_EDGE('',*,*,#176932,.T.); #228372=ORIENTED_EDGE('',*,*,#176931,.T.); #228373=ORIENTED_EDGE('',*,*,#176933,.F.); #228374=ORIENTED_EDGE('',*,*,#176934,.F.); #228375=ORIENTED_EDGE('',*,*,#176935,.T.); #228376=ORIENTED_EDGE('',*,*,#176934,.T.); #228377=ORIENTED_EDGE('',*,*,#176936,.F.); #228378=ORIENTED_EDGE('',*,*,#176937,.F.); #228379=ORIENTED_EDGE('',*,*,#176938,.T.); #228380=ORIENTED_EDGE('',*,*,#176937,.T.); #228381=ORIENTED_EDGE('',*,*,#176939,.F.); #228382=ORIENTED_EDGE('',*,*,#176940,.F.); #228383=ORIENTED_EDGE('',*,*,#176941,.T.); #228384=ORIENTED_EDGE('',*,*,#176940,.T.); #228385=ORIENTED_EDGE('',*,*,#176942,.F.); #228386=ORIENTED_EDGE('',*,*,#176943,.F.); #228387=ORIENTED_EDGE('',*,*,#176944,.T.); #228388=ORIENTED_EDGE('',*,*,#176943,.T.); #228389=ORIENTED_EDGE('',*,*,#176945,.F.); #228390=ORIENTED_EDGE('',*,*,#176946,.F.); #228391=ORIENTED_EDGE('',*,*,#176947,.T.); #228392=ORIENTED_EDGE('',*,*,#176946,.T.); #228393=ORIENTED_EDGE('',*,*,#176948,.F.); #228394=ORIENTED_EDGE('',*,*,#176949,.F.); #228395=ORIENTED_EDGE('',*,*,#176950,.T.); #228396=ORIENTED_EDGE('',*,*,#176949,.T.); #228397=ORIENTED_EDGE('',*,*,#176951,.F.); #228398=ORIENTED_EDGE('',*,*,#176952,.F.); #228399=ORIENTED_EDGE('',*,*,#176953,.T.); #228400=ORIENTED_EDGE('',*,*,#176952,.T.); #228401=ORIENTED_EDGE('',*,*,#176954,.F.); #228402=ORIENTED_EDGE('',*,*,#176955,.F.); #228403=ORIENTED_EDGE('',*,*,#176956,.T.); #228404=ORIENTED_EDGE('',*,*,#176955,.T.); #228405=ORIENTED_EDGE('',*,*,#176957,.F.); #228406=ORIENTED_EDGE('',*,*,#176958,.F.); #228407=ORIENTED_EDGE('',*,*,#176959,.T.); #228408=ORIENTED_EDGE('',*,*,#176958,.T.); #228409=ORIENTED_EDGE('',*,*,#176960,.F.); #228410=ORIENTED_EDGE('',*,*,#176961,.F.); #228411=ORIENTED_EDGE('',*,*,#176962,.T.); #228412=ORIENTED_EDGE('',*,*,#176961,.T.); #228413=ORIENTED_EDGE('',*,*,#176963,.F.); #228414=ORIENTED_EDGE('',*,*,#176964,.F.); #228415=ORIENTED_EDGE('',*,*,#176965,.T.); #228416=ORIENTED_EDGE('',*,*,#176964,.T.); #228417=ORIENTED_EDGE('',*,*,#176966,.F.); #228418=ORIENTED_EDGE('',*,*,#176967,.F.); #228419=ORIENTED_EDGE('',*,*,#176968,.T.); #228420=ORIENTED_EDGE('',*,*,#176967,.T.); #228421=ORIENTED_EDGE('',*,*,#176969,.F.); #228422=ORIENTED_EDGE('',*,*,#176908,.F.); #228423=ORIENTED_EDGE('',*,*,#176969,.T.); #228424=ORIENTED_EDGE('',*,*,#176966,.T.); #228425=ORIENTED_EDGE('',*,*,#176963,.T.); #228426=ORIENTED_EDGE('',*,*,#176960,.T.); #228427=ORIENTED_EDGE('',*,*,#176957,.T.); #228428=ORIENTED_EDGE('',*,*,#176954,.T.); #228429=ORIENTED_EDGE('',*,*,#176951,.T.); #228430=ORIENTED_EDGE('',*,*,#176948,.T.); #228431=ORIENTED_EDGE('',*,*,#176945,.T.); #228432=ORIENTED_EDGE('',*,*,#176942,.T.); #228433=ORIENTED_EDGE('',*,*,#176939,.T.); #228434=ORIENTED_EDGE('',*,*,#176936,.T.); #228435=ORIENTED_EDGE('',*,*,#176933,.T.); #228436=ORIENTED_EDGE('',*,*,#176930,.T.); #228437=ORIENTED_EDGE('',*,*,#176927,.T.); #228438=ORIENTED_EDGE('',*,*,#176924,.T.); #228439=ORIENTED_EDGE('',*,*,#176921,.T.); #228440=ORIENTED_EDGE('',*,*,#176918,.T.); #228441=ORIENTED_EDGE('',*,*,#176915,.T.); #228442=ORIENTED_EDGE('',*,*,#176912,.T.); #228443=ORIENTED_EDGE('',*,*,#176909,.T.); #228444=ORIENTED_EDGE('',*,*,#176968,.F.); #228445=ORIENTED_EDGE('',*,*,#176907,.F.); #228446=ORIENTED_EDGE('',*,*,#176911,.F.); #228447=ORIENTED_EDGE('',*,*,#176914,.F.); #228448=ORIENTED_EDGE('',*,*,#176917,.F.); #228449=ORIENTED_EDGE('',*,*,#176920,.F.); #228450=ORIENTED_EDGE('',*,*,#176923,.F.); #228451=ORIENTED_EDGE('',*,*,#176926,.F.); #228452=ORIENTED_EDGE('',*,*,#176929,.F.); #228453=ORIENTED_EDGE('',*,*,#176932,.F.); #228454=ORIENTED_EDGE('',*,*,#176935,.F.); #228455=ORIENTED_EDGE('',*,*,#176938,.F.); #228456=ORIENTED_EDGE('',*,*,#176941,.F.); #228457=ORIENTED_EDGE('',*,*,#176944,.F.); #228458=ORIENTED_EDGE('',*,*,#176947,.F.); #228459=ORIENTED_EDGE('',*,*,#176950,.F.); #228460=ORIENTED_EDGE('',*,*,#176953,.F.); #228461=ORIENTED_EDGE('',*,*,#176956,.F.); #228462=ORIENTED_EDGE('',*,*,#176959,.F.); #228463=ORIENTED_EDGE('',*,*,#176962,.F.); #228464=ORIENTED_EDGE('',*,*,#176965,.F.); #228465=ORIENTED_EDGE('',*,*,#176970,.T.); #228466=ORIENTED_EDGE('',*,*,#176971,.T.); #228467=ORIENTED_EDGE('',*,*,#176972,.F.); #228468=ORIENTED_EDGE('',*,*,#176973,.F.); #228469=ORIENTED_EDGE('',*,*,#176974,.T.); #228470=ORIENTED_EDGE('',*,*,#176973,.T.); #228471=ORIENTED_EDGE('',*,*,#176975,.F.); #228472=ORIENTED_EDGE('',*,*,#176976,.F.); #228473=ORIENTED_EDGE('',*,*,#176977,.T.); #228474=ORIENTED_EDGE('',*,*,#176976,.T.); #228475=ORIENTED_EDGE('',*,*,#176978,.F.); #228476=ORIENTED_EDGE('',*,*,#176979,.F.); #228477=ORIENTED_EDGE('',*,*,#176980,.T.); #228478=ORIENTED_EDGE('',*,*,#176979,.T.); #228479=ORIENTED_EDGE('',*,*,#176981,.F.); #228480=ORIENTED_EDGE('',*,*,#176982,.F.); #228481=ORIENTED_EDGE('',*,*,#176983,.T.); #228482=ORIENTED_EDGE('',*,*,#176982,.T.); #228483=ORIENTED_EDGE('',*,*,#176984,.F.); #228484=ORIENTED_EDGE('',*,*,#176985,.F.); #228485=ORIENTED_EDGE('',*,*,#176986,.T.); #228486=ORIENTED_EDGE('',*,*,#176985,.T.); #228487=ORIENTED_EDGE('',*,*,#176987,.F.); #228488=ORIENTED_EDGE('',*,*,#176988,.F.); #228489=ORIENTED_EDGE('',*,*,#176989,.T.); #228490=ORIENTED_EDGE('',*,*,#176988,.T.); #228491=ORIENTED_EDGE('',*,*,#176990,.F.); #228492=ORIENTED_EDGE('',*,*,#176991,.F.); #228493=ORIENTED_EDGE('',*,*,#176992,.T.); #228494=ORIENTED_EDGE('',*,*,#176991,.T.); #228495=ORIENTED_EDGE('',*,*,#176993,.F.); #228496=ORIENTED_EDGE('',*,*,#176994,.F.); #228497=ORIENTED_EDGE('',*,*,#176995,.T.); #228498=ORIENTED_EDGE('',*,*,#176994,.T.); #228499=ORIENTED_EDGE('',*,*,#176996,.F.); #228500=ORIENTED_EDGE('',*,*,#176997,.F.); #228501=ORIENTED_EDGE('',*,*,#176998,.T.); #228502=ORIENTED_EDGE('',*,*,#176997,.T.); #228503=ORIENTED_EDGE('',*,*,#176999,.F.); #228504=ORIENTED_EDGE('',*,*,#177000,.F.); #228505=ORIENTED_EDGE('',*,*,#177001,.T.); #228506=ORIENTED_EDGE('',*,*,#177000,.T.); #228507=ORIENTED_EDGE('',*,*,#177002,.F.); #228508=ORIENTED_EDGE('',*,*,#177003,.F.); #228509=ORIENTED_EDGE('',*,*,#177004,.T.); #228510=ORIENTED_EDGE('',*,*,#177003,.T.); #228511=ORIENTED_EDGE('',*,*,#177005,.F.); #228512=ORIENTED_EDGE('',*,*,#177006,.F.); #228513=ORIENTED_EDGE('',*,*,#177007,.T.); #228514=ORIENTED_EDGE('',*,*,#177006,.T.); #228515=ORIENTED_EDGE('',*,*,#177008,.F.); #228516=ORIENTED_EDGE('',*,*,#177009,.F.); #228517=ORIENTED_EDGE('',*,*,#177010,.T.); #228518=ORIENTED_EDGE('',*,*,#177009,.T.); #228519=ORIENTED_EDGE('',*,*,#177011,.F.); #228520=ORIENTED_EDGE('',*,*,#177012,.F.); #228521=ORIENTED_EDGE('',*,*,#177013,.T.); #228522=ORIENTED_EDGE('',*,*,#177012,.T.); #228523=ORIENTED_EDGE('',*,*,#177014,.F.); #228524=ORIENTED_EDGE('',*,*,#177015,.F.); #228525=ORIENTED_EDGE('',*,*,#177016,.T.); #228526=ORIENTED_EDGE('',*,*,#177015,.T.); #228527=ORIENTED_EDGE('',*,*,#177017,.F.); #228528=ORIENTED_EDGE('',*,*,#177018,.F.); #228529=ORIENTED_EDGE('',*,*,#177019,.T.); #228530=ORIENTED_EDGE('',*,*,#177018,.T.); #228531=ORIENTED_EDGE('',*,*,#177020,.F.); #228532=ORIENTED_EDGE('',*,*,#177021,.F.); #228533=ORIENTED_EDGE('',*,*,#177022,.T.); #228534=ORIENTED_EDGE('',*,*,#177021,.T.); #228535=ORIENTED_EDGE('',*,*,#177023,.F.); #228536=ORIENTED_EDGE('',*,*,#177024,.F.); #228537=ORIENTED_EDGE('',*,*,#177025,.T.); #228538=ORIENTED_EDGE('',*,*,#177024,.T.); #228539=ORIENTED_EDGE('',*,*,#177026,.F.); #228540=ORIENTED_EDGE('',*,*,#177027,.F.); #228541=ORIENTED_EDGE('',*,*,#177028,.T.); #228542=ORIENTED_EDGE('',*,*,#177027,.T.); #228543=ORIENTED_EDGE('',*,*,#177029,.F.); #228544=ORIENTED_EDGE('',*,*,#176971,.F.); #228545=ORIENTED_EDGE('',*,*,#177029,.T.); #228546=ORIENTED_EDGE('',*,*,#177026,.T.); #228547=ORIENTED_EDGE('',*,*,#177023,.T.); #228548=ORIENTED_EDGE('',*,*,#177020,.T.); #228549=ORIENTED_EDGE('',*,*,#177017,.T.); #228550=ORIENTED_EDGE('',*,*,#177014,.T.); #228551=ORIENTED_EDGE('',*,*,#177011,.T.); #228552=ORIENTED_EDGE('',*,*,#177008,.T.); #228553=ORIENTED_EDGE('',*,*,#177005,.T.); #228554=ORIENTED_EDGE('',*,*,#177002,.T.); #228555=ORIENTED_EDGE('',*,*,#176999,.T.); #228556=ORIENTED_EDGE('',*,*,#176996,.T.); #228557=ORIENTED_EDGE('',*,*,#176993,.T.); #228558=ORIENTED_EDGE('',*,*,#176990,.T.); #228559=ORIENTED_EDGE('',*,*,#176987,.T.); #228560=ORIENTED_EDGE('',*,*,#176984,.T.); #228561=ORIENTED_EDGE('',*,*,#176981,.T.); #228562=ORIENTED_EDGE('',*,*,#176978,.T.); #228563=ORIENTED_EDGE('',*,*,#176975,.T.); #228564=ORIENTED_EDGE('',*,*,#176972,.T.); #228565=ORIENTED_EDGE('',*,*,#177028,.F.); #228566=ORIENTED_EDGE('',*,*,#176970,.F.); #228567=ORIENTED_EDGE('',*,*,#176974,.F.); #228568=ORIENTED_EDGE('',*,*,#176977,.F.); #228569=ORIENTED_EDGE('',*,*,#176980,.F.); #228570=ORIENTED_EDGE('',*,*,#176983,.F.); #228571=ORIENTED_EDGE('',*,*,#176986,.F.); #228572=ORIENTED_EDGE('',*,*,#176989,.F.); #228573=ORIENTED_EDGE('',*,*,#176992,.F.); #228574=ORIENTED_EDGE('',*,*,#176995,.F.); #228575=ORIENTED_EDGE('',*,*,#176998,.F.); #228576=ORIENTED_EDGE('',*,*,#177001,.F.); #228577=ORIENTED_EDGE('',*,*,#177004,.F.); #228578=ORIENTED_EDGE('',*,*,#177007,.F.); #228579=ORIENTED_EDGE('',*,*,#177010,.F.); #228580=ORIENTED_EDGE('',*,*,#177013,.F.); #228581=ORIENTED_EDGE('',*,*,#177016,.F.); #228582=ORIENTED_EDGE('',*,*,#177019,.F.); #228583=ORIENTED_EDGE('',*,*,#177022,.F.); #228584=ORIENTED_EDGE('',*,*,#177025,.F.); #228585=ORIENTED_EDGE('',*,*,#177030,.T.); #228586=ORIENTED_EDGE('',*,*,#177031,.T.); #228587=ORIENTED_EDGE('',*,*,#177032,.F.); #228588=ORIENTED_EDGE('',*,*,#177033,.F.); #228589=ORIENTED_EDGE('',*,*,#177034,.T.); #228590=ORIENTED_EDGE('',*,*,#177033,.T.); #228591=ORIENTED_EDGE('',*,*,#177035,.F.); #228592=ORIENTED_EDGE('',*,*,#177036,.F.); #228593=ORIENTED_EDGE('',*,*,#177037,.T.); #228594=ORIENTED_EDGE('',*,*,#177036,.T.); #228595=ORIENTED_EDGE('',*,*,#177038,.F.); #228596=ORIENTED_EDGE('',*,*,#177039,.F.); #228597=ORIENTED_EDGE('',*,*,#177040,.T.); #228598=ORIENTED_EDGE('',*,*,#177039,.T.); #228599=ORIENTED_EDGE('',*,*,#177041,.F.); #228600=ORIENTED_EDGE('',*,*,#177042,.F.); #228601=ORIENTED_EDGE('',*,*,#177043,.T.); #228602=ORIENTED_EDGE('',*,*,#177042,.T.); #228603=ORIENTED_EDGE('',*,*,#177044,.F.); #228604=ORIENTED_EDGE('',*,*,#177045,.F.); #228605=ORIENTED_EDGE('',*,*,#177046,.T.); #228606=ORIENTED_EDGE('',*,*,#177045,.T.); #228607=ORIENTED_EDGE('',*,*,#177047,.F.); #228608=ORIENTED_EDGE('',*,*,#177048,.F.); #228609=ORIENTED_EDGE('',*,*,#177049,.T.); #228610=ORIENTED_EDGE('',*,*,#177048,.T.); #228611=ORIENTED_EDGE('',*,*,#177050,.F.); #228612=ORIENTED_EDGE('',*,*,#177051,.F.); #228613=ORIENTED_EDGE('',*,*,#177052,.T.); #228614=ORIENTED_EDGE('',*,*,#177051,.T.); #228615=ORIENTED_EDGE('',*,*,#177053,.F.); #228616=ORIENTED_EDGE('',*,*,#177054,.F.); #228617=ORIENTED_EDGE('',*,*,#177055,.T.); #228618=ORIENTED_EDGE('',*,*,#177054,.T.); #228619=ORIENTED_EDGE('',*,*,#177056,.F.); #228620=ORIENTED_EDGE('',*,*,#177057,.F.); #228621=ORIENTED_EDGE('',*,*,#177058,.T.); #228622=ORIENTED_EDGE('',*,*,#177057,.T.); #228623=ORIENTED_EDGE('',*,*,#177059,.F.); #228624=ORIENTED_EDGE('',*,*,#177060,.F.); #228625=ORIENTED_EDGE('',*,*,#177061,.T.); #228626=ORIENTED_EDGE('',*,*,#177060,.T.); #228627=ORIENTED_EDGE('',*,*,#177062,.F.); #228628=ORIENTED_EDGE('',*,*,#177063,.F.); #228629=ORIENTED_EDGE('',*,*,#177064,.T.); #228630=ORIENTED_EDGE('',*,*,#177063,.T.); #228631=ORIENTED_EDGE('',*,*,#177065,.F.); #228632=ORIENTED_EDGE('',*,*,#177066,.F.); #228633=ORIENTED_EDGE('',*,*,#177067,.T.); #228634=ORIENTED_EDGE('',*,*,#177066,.T.); #228635=ORIENTED_EDGE('',*,*,#177068,.F.); #228636=ORIENTED_EDGE('',*,*,#177069,.F.); #228637=ORIENTED_EDGE('',*,*,#177070,.T.); #228638=ORIENTED_EDGE('',*,*,#177069,.T.); #228639=ORIENTED_EDGE('',*,*,#177071,.F.); #228640=ORIENTED_EDGE('',*,*,#177072,.F.); #228641=ORIENTED_EDGE('',*,*,#177073,.T.); #228642=ORIENTED_EDGE('',*,*,#177072,.T.); #228643=ORIENTED_EDGE('',*,*,#177074,.F.); #228644=ORIENTED_EDGE('',*,*,#177075,.F.); #228645=ORIENTED_EDGE('',*,*,#177076,.T.); #228646=ORIENTED_EDGE('',*,*,#177075,.T.); #228647=ORIENTED_EDGE('',*,*,#177077,.F.); #228648=ORIENTED_EDGE('',*,*,#177078,.F.); #228649=ORIENTED_EDGE('',*,*,#177079,.T.); #228650=ORIENTED_EDGE('',*,*,#177078,.T.); #228651=ORIENTED_EDGE('',*,*,#177080,.F.); #228652=ORIENTED_EDGE('',*,*,#177081,.F.); #228653=ORIENTED_EDGE('',*,*,#177082,.T.); #228654=ORIENTED_EDGE('',*,*,#177081,.T.); #228655=ORIENTED_EDGE('',*,*,#177083,.F.); #228656=ORIENTED_EDGE('',*,*,#177084,.F.); #228657=ORIENTED_EDGE('',*,*,#177085,.T.); #228658=ORIENTED_EDGE('',*,*,#177084,.T.); #228659=ORIENTED_EDGE('',*,*,#177086,.F.); #228660=ORIENTED_EDGE('',*,*,#177087,.F.); #228661=ORIENTED_EDGE('',*,*,#177088,.T.); #228662=ORIENTED_EDGE('',*,*,#177087,.T.); #228663=ORIENTED_EDGE('',*,*,#177089,.F.); #228664=ORIENTED_EDGE('',*,*,#177090,.F.); #228665=ORIENTED_EDGE('',*,*,#177091,.T.); #228666=ORIENTED_EDGE('',*,*,#177090,.T.); #228667=ORIENTED_EDGE('',*,*,#177092,.F.); #228668=ORIENTED_EDGE('',*,*,#177031,.F.); #228669=ORIENTED_EDGE('',*,*,#177092,.T.); #228670=ORIENTED_EDGE('',*,*,#177089,.T.); #228671=ORIENTED_EDGE('',*,*,#177086,.T.); #228672=ORIENTED_EDGE('',*,*,#177083,.T.); #228673=ORIENTED_EDGE('',*,*,#177080,.T.); #228674=ORIENTED_EDGE('',*,*,#177077,.T.); #228675=ORIENTED_EDGE('',*,*,#177074,.T.); #228676=ORIENTED_EDGE('',*,*,#177071,.T.); #228677=ORIENTED_EDGE('',*,*,#177068,.T.); #228678=ORIENTED_EDGE('',*,*,#177065,.T.); #228679=ORIENTED_EDGE('',*,*,#177062,.T.); #228680=ORIENTED_EDGE('',*,*,#177059,.T.); #228681=ORIENTED_EDGE('',*,*,#177056,.T.); #228682=ORIENTED_EDGE('',*,*,#177053,.T.); #228683=ORIENTED_EDGE('',*,*,#177050,.T.); #228684=ORIENTED_EDGE('',*,*,#177047,.T.); #228685=ORIENTED_EDGE('',*,*,#177044,.T.); #228686=ORIENTED_EDGE('',*,*,#177041,.T.); #228687=ORIENTED_EDGE('',*,*,#177038,.T.); #228688=ORIENTED_EDGE('',*,*,#177035,.T.); #228689=ORIENTED_EDGE('',*,*,#177032,.T.); #228690=ORIENTED_EDGE('',*,*,#177091,.F.); #228691=ORIENTED_EDGE('',*,*,#177030,.F.); #228692=ORIENTED_EDGE('',*,*,#177034,.F.); #228693=ORIENTED_EDGE('',*,*,#177037,.F.); #228694=ORIENTED_EDGE('',*,*,#177040,.F.); #228695=ORIENTED_EDGE('',*,*,#177043,.F.); #228696=ORIENTED_EDGE('',*,*,#177046,.F.); #228697=ORIENTED_EDGE('',*,*,#177049,.F.); #228698=ORIENTED_EDGE('',*,*,#177052,.F.); #228699=ORIENTED_EDGE('',*,*,#177055,.F.); #228700=ORIENTED_EDGE('',*,*,#177058,.F.); #228701=ORIENTED_EDGE('',*,*,#177061,.F.); #228702=ORIENTED_EDGE('',*,*,#177064,.F.); #228703=ORIENTED_EDGE('',*,*,#177067,.F.); #228704=ORIENTED_EDGE('',*,*,#177070,.F.); #228705=ORIENTED_EDGE('',*,*,#177073,.F.); #228706=ORIENTED_EDGE('',*,*,#177076,.F.); #228707=ORIENTED_EDGE('',*,*,#177079,.F.); #228708=ORIENTED_EDGE('',*,*,#177082,.F.); #228709=ORIENTED_EDGE('',*,*,#177085,.F.); #228710=ORIENTED_EDGE('',*,*,#177088,.F.); #228711=ORIENTED_EDGE('',*,*,#177093,.F.); #228712=ORIENTED_EDGE('',*,*,#177094,.T.); #228713=ORIENTED_EDGE('',*,*,#177095,.F.); #228714=ORIENTED_EDGE('',*,*,#177094,.F.); #228715=ORIENTED_EDGE('',*,*,#177096,.F.); #228716=ORIENTED_EDGE('',*,*,#177097,.T.); #228717=ORIENTED_EDGE('',*,*,#177098,.F.); #228718=ORIENTED_EDGE('',*,*,#177097,.F.); #228719=ORIENTED_EDGE('',*,*,#177099,.F.); #228720=ORIENTED_EDGE('',*,*,#177100,.T.); #228721=ORIENTED_EDGE('',*,*,#177101,.F.); #228722=ORIENTED_EDGE('',*,*,#177100,.F.); #228723=ORIENTED_EDGE('',*,*,#177102,.T.); #228724=ORIENTED_EDGE('',*,*,#177103,.T.); #228725=ORIENTED_EDGE('',*,*,#177104,.F.); #228726=ORIENTED_EDGE('',*,*,#177105,.F.); #228727=ORIENTED_EDGE('',*,*,#177106,.T.); #228728=ORIENTED_EDGE('',*,*,#177105,.T.); #228729=ORIENTED_EDGE('',*,*,#177107,.F.); #228730=ORIENTED_EDGE('',*,*,#177108,.F.); #228731=ORIENTED_EDGE('',*,*,#177109,.T.); #228732=ORIENTED_EDGE('',*,*,#177108,.T.); #228733=ORIENTED_EDGE('',*,*,#177110,.F.); #228734=ORIENTED_EDGE('',*,*,#177111,.F.); #228735=ORIENTED_EDGE('',*,*,#177112,.T.); #228736=ORIENTED_EDGE('',*,*,#177111,.T.); #228737=ORIENTED_EDGE('',*,*,#177113,.F.); #228738=ORIENTED_EDGE('',*,*,#177114,.F.); #228739=ORIENTED_EDGE('',*,*,#177115,.T.); #228740=ORIENTED_EDGE('',*,*,#177114,.T.); #228741=ORIENTED_EDGE('',*,*,#177116,.F.); #228742=ORIENTED_EDGE('',*,*,#177117,.F.); #228743=ORIENTED_EDGE('',*,*,#177118,.T.); #228744=ORIENTED_EDGE('',*,*,#177117,.T.); #228745=ORIENTED_EDGE('',*,*,#177119,.F.); #228746=ORIENTED_EDGE('',*,*,#177120,.F.); #228747=ORIENTED_EDGE('',*,*,#177121,.T.); #228748=ORIENTED_EDGE('',*,*,#177120,.T.); #228749=ORIENTED_EDGE('',*,*,#177122,.F.); #228750=ORIENTED_EDGE('',*,*,#177123,.F.); #228751=ORIENTED_EDGE('',*,*,#177124,.T.); #228752=ORIENTED_EDGE('',*,*,#177123,.T.); #228753=ORIENTED_EDGE('',*,*,#177125,.F.); #228754=ORIENTED_EDGE('',*,*,#177126,.F.); #228755=ORIENTED_EDGE('',*,*,#177127,.T.); #228756=ORIENTED_EDGE('',*,*,#177126,.T.); #228757=ORIENTED_EDGE('',*,*,#177128,.F.); #228758=ORIENTED_EDGE('',*,*,#177129,.F.); #228759=ORIENTED_EDGE('',*,*,#177130,.T.); #228760=ORIENTED_EDGE('',*,*,#177129,.T.); #228761=ORIENTED_EDGE('',*,*,#177131,.F.); #228762=ORIENTED_EDGE('',*,*,#177132,.F.); #228763=ORIENTED_EDGE('',*,*,#177133,.T.); #228764=ORIENTED_EDGE('',*,*,#177132,.T.); #228765=ORIENTED_EDGE('',*,*,#177134,.F.); #228766=ORIENTED_EDGE('',*,*,#177135,.F.); #228767=ORIENTED_EDGE('',*,*,#177136,.T.); #228768=ORIENTED_EDGE('',*,*,#177135,.T.); #228769=ORIENTED_EDGE('',*,*,#177137,.F.); #228770=ORIENTED_EDGE('',*,*,#177138,.F.); #228771=ORIENTED_EDGE('',*,*,#177139,.T.); #228772=ORIENTED_EDGE('',*,*,#177138,.T.); #228773=ORIENTED_EDGE('',*,*,#177140,.F.); #228774=ORIENTED_EDGE('',*,*,#177141,.F.); #228775=ORIENTED_EDGE('',*,*,#177142,.T.); #228776=ORIENTED_EDGE('',*,*,#177141,.T.); #228777=ORIENTED_EDGE('',*,*,#177143,.F.); #228778=ORIENTED_EDGE('',*,*,#177144,.F.); #228779=ORIENTED_EDGE('',*,*,#177145,.T.); #228780=ORIENTED_EDGE('',*,*,#177144,.T.); #228781=ORIENTED_EDGE('',*,*,#177146,.F.); #228782=ORIENTED_EDGE('',*,*,#177147,.F.); #228783=ORIENTED_EDGE('',*,*,#177148,.T.); #228784=ORIENTED_EDGE('',*,*,#177147,.T.); #228785=ORIENTED_EDGE('',*,*,#177149,.F.); #228786=ORIENTED_EDGE('',*,*,#177150,.F.); #228787=ORIENTED_EDGE('',*,*,#177151,.T.); #228788=ORIENTED_EDGE('',*,*,#177150,.T.); #228789=ORIENTED_EDGE('',*,*,#177152,.F.); #228790=ORIENTED_EDGE('',*,*,#177153,.F.); #228791=ORIENTED_EDGE('',*,*,#177154,.T.); #228792=ORIENTED_EDGE('',*,*,#177153,.T.); #228793=ORIENTED_EDGE('',*,*,#177155,.F.); #228794=ORIENTED_EDGE('',*,*,#177156,.F.); #228795=ORIENTED_EDGE('',*,*,#177157,.T.); #228796=ORIENTED_EDGE('',*,*,#177156,.T.); #228797=ORIENTED_EDGE('',*,*,#177158,.F.); #228798=ORIENTED_EDGE('',*,*,#177159,.F.); #228799=ORIENTED_EDGE('',*,*,#177160,.T.); #228800=ORIENTED_EDGE('',*,*,#177159,.T.); #228801=ORIENTED_EDGE('',*,*,#177161,.F.); #228802=ORIENTED_EDGE('',*,*,#177162,.F.); #228803=ORIENTED_EDGE('',*,*,#177163,.T.); #228804=ORIENTED_EDGE('',*,*,#177162,.T.); #228805=ORIENTED_EDGE('',*,*,#177164,.F.); #228806=ORIENTED_EDGE('',*,*,#177165,.F.); #228807=ORIENTED_EDGE('',*,*,#177166,.T.); #228808=ORIENTED_EDGE('',*,*,#177165,.T.); #228809=ORIENTED_EDGE('',*,*,#177167,.F.); #228810=ORIENTED_EDGE('',*,*,#177168,.F.); #228811=ORIENTED_EDGE('',*,*,#177169,.T.); #228812=ORIENTED_EDGE('',*,*,#177168,.T.); #228813=ORIENTED_EDGE('',*,*,#177170,.F.); #228814=ORIENTED_EDGE('',*,*,#177171,.F.); #228815=ORIENTED_EDGE('',*,*,#177172,.T.); #228816=ORIENTED_EDGE('',*,*,#177171,.T.); #228817=ORIENTED_EDGE('',*,*,#177173,.F.); #228818=ORIENTED_EDGE('',*,*,#177174,.F.); #228819=ORIENTED_EDGE('',*,*,#177175,.T.); #228820=ORIENTED_EDGE('',*,*,#177174,.T.); #228821=ORIENTED_EDGE('',*,*,#177176,.F.); #228822=ORIENTED_EDGE('',*,*,#177177,.F.); #228823=ORIENTED_EDGE('',*,*,#177178,.T.); #228824=ORIENTED_EDGE('',*,*,#177177,.T.); #228825=ORIENTED_EDGE('',*,*,#177179,.F.); #228826=ORIENTED_EDGE('',*,*,#177180,.F.); #228827=ORIENTED_EDGE('',*,*,#177181,.T.); #228828=ORIENTED_EDGE('',*,*,#177180,.T.); #228829=ORIENTED_EDGE('',*,*,#177182,.F.); #228830=ORIENTED_EDGE('',*,*,#177183,.F.); #228831=ORIENTED_EDGE('',*,*,#177184,.T.); #228832=ORIENTED_EDGE('',*,*,#177183,.T.); #228833=ORIENTED_EDGE('',*,*,#177185,.F.); #228834=ORIENTED_EDGE('',*,*,#177186,.F.); #228835=ORIENTED_EDGE('',*,*,#177187,.T.); #228836=ORIENTED_EDGE('',*,*,#177186,.T.); #228837=ORIENTED_EDGE('',*,*,#177188,.F.); #228838=ORIENTED_EDGE('',*,*,#177189,.F.); #228839=ORIENTED_EDGE('',*,*,#177190,.T.); #228840=ORIENTED_EDGE('',*,*,#177189,.T.); #228841=ORIENTED_EDGE('',*,*,#177191,.F.); #228842=ORIENTED_EDGE('',*,*,#177192,.F.); #228843=ORIENTED_EDGE('',*,*,#177193,.T.); #228844=ORIENTED_EDGE('',*,*,#177192,.T.); #228845=ORIENTED_EDGE('',*,*,#177194,.F.); #228846=ORIENTED_EDGE('',*,*,#177195,.F.); #228847=ORIENTED_EDGE('',*,*,#177196,.T.); #228848=ORIENTED_EDGE('',*,*,#177195,.T.); #228849=ORIENTED_EDGE('',*,*,#177197,.F.); #228850=ORIENTED_EDGE('',*,*,#177198,.F.); #228851=ORIENTED_EDGE('',*,*,#177199,.T.); #228852=ORIENTED_EDGE('',*,*,#177198,.T.); #228853=ORIENTED_EDGE('',*,*,#177200,.F.); #228854=ORIENTED_EDGE('',*,*,#177201,.F.); #228855=ORIENTED_EDGE('',*,*,#177202,.T.); #228856=ORIENTED_EDGE('',*,*,#177201,.T.); #228857=ORIENTED_EDGE('',*,*,#177203,.F.); #228858=ORIENTED_EDGE('',*,*,#177204,.F.); #228859=ORIENTED_EDGE('',*,*,#177205,.T.); #228860=ORIENTED_EDGE('',*,*,#177204,.T.); #228861=ORIENTED_EDGE('',*,*,#177206,.F.); #228862=ORIENTED_EDGE('',*,*,#177207,.F.); #228863=ORIENTED_EDGE('',*,*,#177208,.T.); #228864=ORIENTED_EDGE('',*,*,#177207,.T.); #228865=ORIENTED_EDGE('',*,*,#177209,.F.); #228866=ORIENTED_EDGE('',*,*,#177210,.F.); #228867=ORIENTED_EDGE('',*,*,#177211,.T.); #228868=ORIENTED_EDGE('',*,*,#177210,.T.); #228869=ORIENTED_EDGE('',*,*,#177212,.F.); #228870=ORIENTED_EDGE('',*,*,#177213,.F.); #228871=ORIENTED_EDGE('',*,*,#177214,.T.); #228872=ORIENTED_EDGE('',*,*,#177213,.T.); #228873=ORIENTED_EDGE('',*,*,#177215,.F.); #228874=ORIENTED_EDGE('',*,*,#177216,.F.); #228875=ORIENTED_EDGE('',*,*,#177217,.T.); #228876=ORIENTED_EDGE('',*,*,#177216,.T.); #228877=ORIENTED_EDGE('',*,*,#177218,.F.); #228878=ORIENTED_EDGE('',*,*,#177219,.F.); #228879=ORIENTED_EDGE('',*,*,#177220,.T.); #228880=ORIENTED_EDGE('',*,*,#177219,.T.); #228881=ORIENTED_EDGE('',*,*,#177221,.F.); #228882=ORIENTED_EDGE('',*,*,#177222,.F.); #228883=ORIENTED_EDGE('',*,*,#177223,.T.); #228884=ORIENTED_EDGE('',*,*,#177222,.T.); #228885=ORIENTED_EDGE('',*,*,#177224,.F.); #228886=ORIENTED_EDGE('',*,*,#177225,.F.); #228887=ORIENTED_EDGE('',*,*,#177226,.T.); #228888=ORIENTED_EDGE('',*,*,#177225,.T.); #228889=ORIENTED_EDGE('',*,*,#177227,.F.); #228890=ORIENTED_EDGE('',*,*,#177228,.F.); #228891=ORIENTED_EDGE('',*,*,#177229,.T.); #228892=ORIENTED_EDGE('',*,*,#177228,.T.); #228893=ORIENTED_EDGE('',*,*,#177230,.F.); #228894=ORIENTED_EDGE('',*,*,#177231,.F.); #228895=ORIENTED_EDGE('',*,*,#177232,.T.); #228896=ORIENTED_EDGE('',*,*,#177231,.T.); #228897=ORIENTED_EDGE('',*,*,#177233,.F.); #228898=ORIENTED_EDGE('',*,*,#177234,.F.); #228899=ORIENTED_EDGE('',*,*,#177235,.T.); #228900=ORIENTED_EDGE('',*,*,#177234,.T.); #228901=ORIENTED_EDGE('',*,*,#177236,.F.); #228902=ORIENTED_EDGE('',*,*,#177237,.F.); #228903=ORIENTED_EDGE('',*,*,#177238,.T.); #228904=ORIENTED_EDGE('',*,*,#177237,.T.); #228905=ORIENTED_EDGE('',*,*,#177239,.F.); #228906=ORIENTED_EDGE('',*,*,#177240,.F.); #228907=ORIENTED_EDGE('',*,*,#177241,.T.); #228908=ORIENTED_EDGE('',*,*,#177240,.T.); #228909=ORIENTED_EDGE('',*,*,#177242,.F.); #228910=ORIENTED_EDGE('',*,*,#177243,.F.); #228911=ORIENTED_EDGE('',*,*,#177244,.T.); #228912=ORIENTED_EDGE('',*,*,#177243,.T.); #228913=ORIENTED_EDGE('',*,*,#177245,.F.); #228914=ORIENTED_EDGE('',*,*,#177246,.F.); #228915=ORIENTED_EDGE('',*,*,#177247,.T.); #228916=ORIENTED_EDGE('',*,*,#177246,.T.); #228917=ORIENTED_EDGE('',*,*,#177248,.F.); #228918=ORIENTED_EDGE('',*,*,#177249,.F.); #228919=ORIENTED_EDGE('',*,*,#177250,.T.); #228920=ORIENTED_EDGE('',*,*,#177249,.T.); #228921=ORIENTED_EDGE('',*,*,#177251,.F.); #228922=ORIENTED_EDGE('',*,*,#177252,.F.); #228923=ORIENTED_EDGE('',*,*,#177253,.T.); #228924=ORIENTED_EDGE('',*,*,#177252,.T.); #228925=ORIENTED_EDGE('',*,*,#177254,.F.); #228926=ORIENTED_EDGE('',*,*,#177255,.F.); #228927=ORIENTED_EDGE('',*,*,#177256,.T.); #228928=ORIENTED_EDGE('',*,*,#177255,.T.); #228929=ORIENTED_EDGE('',*,*,#177257,.F.); #228930=ORIENTED_EDGE('',*,*,#177258,.F.); #228931=ORIENTED_EDGE('',*,*,#177259,.T.); #228932=ORIENTED_EDGE('',*,*,#177258,.T.); #228933=ORIENTED_EDGE('',*,*,#177260,.F.); #228934=ORIENTED_EDGE('',*,*,#177261,.F.); #228935=ORIENTED_EDGE('',*,*,#177262,.T.); #228936=ORIENTED_EDGE('',*,*,#177261,.T.); #228937=ORIENTED_EDGE('',*,*,#177263,.F.); #228938=ORIENTED_EDGE('',*,*,#177264,.F.); #228939=ORIENTED_EDGE('',*,*,#177265,.T.); #228940=ORIENTED_EDGE('',*,*,#177264,.T.); #228941=ORIENTED_EDGE('',*,*,#177266,.F.); #228942=ORIENTED_EDGE('',*,*,#177267,.F.); #228943=ORIENTED_EDGE('',*,*,#177268,.T.); #228944=ORIENTED_EDGE('',*,*,#177267,.T.); #228945=ORIENTED_EDGE('',*,*,#177269,.F.); #228946=ORIENTED_EDGE('',*,*,#177270,.F.); #228947=ORIENTED_EDGE('',*,*,#177271,.T.); #228948=ORIENTED_EDGE('',*,*,#177270,.T.); #228949=ORIENTED_EDGE('',*,*,#177272,.F.); #228950=ORIENTED_EDGE('',*,*,#177273,.F.); #228951=ORIENTED_EDGE('',*,*,#177274,.T.); #228952=ORIENTED_EDGE('',*,*,#177273,.T.); #228953=ORIENTED_EDGE('',*,*,#177275,.F.); #228954=ORIENTED_EDGE('',*,*,#177276,.F.); #228955=ORIENTED_EDGE('',*,*,#177277,.T.); #228956=ORIENTED_EDGE('',*,*,#177276,.T.); #228957=ORIENTED_EDGE('',*,*,#177278,.F.); #228958=ORIENTED_EDGE('',*,*,#177279,.F.); #228959=ORIENTED_EDGE('',*,*,#177280,.T.); #228960=ORIENTED_EDGE('',*,*,#177279,.T.); #228961=ORIENTED_EDGE('',*,*,#177281,.F.); #228962=ORIENTED_EDGE('',*,*,#177282,.F.); #228963=ORIENTED_EDGE('',*,*,#177283,.T.); #228964=ORIENTED_EDGE('',*,*,#177282,.T.); #228965=ORIENTED_EDGE('',*,*,#177284,.F.); #228966=ORIENTED_EDGE('',*,*,#177285,.F.); #228967=ORIENTED_EDGE('',*,*,#177286,.T.); #228968=ORIENTED_EDGE('',*,*,#177285,.T.); #228969=ORIENTED_EDGE('',*,*,#177287,.F.); #228970=ORIENTED_EDGE('',*,*,#177288,.F.); #228971=ORIENTED_EDGE('',*,*,#177289,.T.); #228972=ORIENTED_EDGE('',*,*,#177288,.T.); #228973=ORIENTED_EDGE('',*,*,#177290,.F.); #228974=ORIENTED_EDGE('',*,*,#177291,.F.); #228975=ORIENTED_EDGE('',*,*,#177292,.T.); #228976=ORIENTED_EDGE('',*,*,#177291,.T.); #228977=ORIENTED_EDGE('',*,*,#177293,.F.); #228978=ORIENTED_EDGE('',*,*,#177294,.F.); #228979=ORIENTED_EDGE('',*,*,#177295,.T.); #228980=ORIENTED_EDGE('',*,*,#177294,.T.); #228981=ORIENTED_EDGE('',*,*,#177296,.F.); #228982=ORIENTED_EDGE('',*,*,#177297,.F.); #228983=ORIENTED_EDGE('',*,*,#177298,.T.); #228984=ORIENTED_EDGE('',*,*,#177297,.T.); #228985=ORIENTED_EDGE('',*,*,#177299,.F.); #228986=ORIENTED_EDGE('',*,*,#177300,.F.); #228987=ORIENTED_EDGE('',*,*,#177301,.T.); #228988=ORIENTED_EDGE('',*,*,#177300,.T.); #228989=ORIENTED_EDGE('',*,*,#177302,.F.); #228990=ORIENTED_EDGE('',*,*,#177303,.F.); #228991=ORIENTED_EDGE('',*,*,#177304,.T.); #228992=ORIENTED_EDGE('',*,*,#177303,.T.); #228993=ORIENTED_EDGE('',*,*,#177305,.F.); #228994=ORIENTED_EDGE('',*,*,#177306,.F.); #228995=ORIENTED_EDGE('',*,*,#177307,.T.); #228996=ORIENTED_EDGE('',*,*,#177306,.T.); #228997=ORIENTED_EDGE('',*,*,#177308,.F.); #228998=ORIENTED_EDGE('',*,*,#177309,.F.); #228999=ORIENTED_EDGE('',*,*,#177310,.T.); #229000=ORIENTED_EDGE('',*,*,#177309,.T.); #229001=ORIENTED_EDGE('',*,*,#177311,.F.); #229002=ORIENTED_EDGE('',*,*,#177312,.F.); #229003=ORIENTED_EDGE('',*,*,#177313,.T.); #229004=ORIENTED_EDGE('',*,*,#177312,.T.); #229005=ORIENTED_EDGE('',*,*,#177314,.F.); #229006=ORIENTED_EDGE('',*,*,#177315,.F.); #229007=ORIENTED_EDGE('',*,*,#177316,.T.); #229008=ORIENTED_EDGE('',*,*,#177315,.T.); #229009=ORIENTED_EDGE('',*,*,#177317,.F.); #229010=ORIENTED_EDGE('',*,*,#177318,.F.); #229011=ORIENTED_EDGE('',*,*,#177319,.T.); #229012=ORIENTED_EDGE('',*,*,#177318,.T.); #229013=ORIENTED_EDGE('',*,*,#177320,.F.); #229014=ORIENTED_EDGE('',*,*,#177321,.F.); #229015=ORIENTED_EDGE('',*,*,#177322,.T.); #229016=ORIENTED_EDGE('',*,*,#177321,.T.); #229017=ORIENTED_EDGE('',*,*,#177323,.F.); #229018=ORIENTED_EDGE('',*,*,#177324,.F.); #229019=ORIENTED_EDGE('',*,*,#177325,.T.); #229020=ORIENTED_EDGE('',*,*,#177324,.T.); #229021=ORIENTED_EDGE('',*,*,#177326,.F.); #229022=ORIENTED_EDGE('',*,*,#177327,.F.); #229023=ORIENTED_EDGE('',*,*,#177328,.T.); #229024=ORIENTED_EDGE('',*,*,#177327,.T.); #229025=ORIENTED_EDGE('',*,*,#177329,.F.); #229026=ORIENTED_EDGE('',*,*,#177330,.F.); #229027=ORIENTED_EDGE('',*,*,#177331,.T.); #229028=ORIENTED_EDGE('',*,*,#177330,.T.); #229029=ORIENTED_EDGE('',*,*,#177332,.F.); #229030=ORIENTED_EDGE('',*,*,#177333,.F.); #229031=ORIENTED_EDGE('',*,*,#177334,.T.); #229032=ORIENTED_EDGE('',*,*,#177333,.T.); #229033=ORIENTED_EDGE('',*,*,#177335,.F.); #229034=ORIENTED_EDGE('',*,*,#177336,.F.); #229035=ORIENTED_EDGE('',*,*,#177337,.T.); #229036=ORIENTED_EDGE('',*,*,#177336,.T.); #229037=ORIENTED_EDGE('',*,*,#177338,.F.); #229038=ORIENTED_EDGE('',*,*,#177339,.F.); #229039=ORIENTED_EDGE('',*,*,#177340,.T.); #229040=ORIENTED_EDGE('',*,*,#177339,.T.); #229041=ORIENTED_EDGE('',*,*,#177341,.F.); #229042=ORIENTED_EDGE('',*,*,#177342,.F.); #229043=ORIENTED_EDGE('',*,*,#177343,.T.); #229044=ORIENTED_EDGE('',*,*,#177342,.T.); #229045=ORIENTED_EDGE('',*,*,#177344,.F.); #229046=ORIENTED_EDGE('',*,*,#177345,.F.); #229047=ORIENTED_EDGE('',*,*,#177346,.T.); #229048=ORIENTED_EDGE('',*,*,#177345,.T.); #229049=ORIENTED_EDGE('',*,*,#177347,.F.); #229050=ORIENTED_EDGE('',*,*,#177348,.F.); #229051=ORIENTED_EDGE('',*,*,#177349,.T.); #229052=ORIENTED_EDGE('',*,*,#177348,.T.); #229053=ORIENTED_EDGE('',*,*,#177350,.F.); #229054=ORIENTED_EDGE('',*,*,#177351,.F.); #229055=ORIENTED_EDGE('',*,*,#177352,.T.); #229056=ORIENTED_EDGE('',*,*,#177351,.T.); #229057=ORIENTED_EDGE('',*,*,#177353,.F.); #229058=ORIENTED_EDGE('',*,*,#177354,.F.); #229059=ORIENTED_EDGE('',*,*,#177355,.T.); #229060=ORIENTED_EDGE('',*,*,#177354,.T.); #229061=ORIENTED_EDGE('',*,*,#177356,.F.); #229062=ORIENTED_EDGE('',*,*,#177357,.F.); #229063=ORIENTED_EDGE('',*,*,#177358,.T.); #229064=ORIENTED_EDGE('',*,*,#177357,.T.); #229065=ORIENTED_EDGE('',*,*,#177359,.F.); #229066=ORIENTED_EDGE('',*,*,#177360,.F.); #229067=ORIENTED_EDGE('',*,*,#177361,.T.); #229068=ORIENTED_EDGE('',*,*,#177360,.T.); #229069=ORIENTED_EDGE('',*,*,#177362,.F.); #229070=ORIENTED_EDGE('',*,*,#177363,.F.); #229071=ORIENTED_EDGE('',*,*,#177364,.T.); #229072=ORIENTED_EDGE('',*,*,#177363,.T.); #229073=ORIENTED_EDGE('',*,*,#177365,.F.); #229074=ORIENTED_EDGE('',*,*,#177366,.F.); #229075=ORIENTED_EDGE('',*,*,#177367,.T.); #229076=ORIENTED_EDGE('',*,*,#177366,.T.); #229077=ORIENTED_EDGE('',*,*,#177368,.F.); #229078=ORIENTED_EDGE('',*,*,#177369,.F.); #229079=ORIENTED_EDGE('',*,*,#177370,.T.); #229080=ORIENTED_EDGE('',*,*,#177369,.T.); #229081=ORIENTED_EDGE('',*,*,#177371,.F.); #229082=ORIENTED_EDGE('',*,*,#177103,.F.); #229083=ORIENTED_EDGE('',*,*,#177371,.T.); #229084=ORIENTED_EDGE('',*,*,#177368,.T.); #229085=ORIENTED_EDGE('',*,*,#177365,.T.); #229086=ORIENTED_EDGE('',*,*,#177362,.T.); #229087=ORIENTED_EDGE('',*,*,#177359,.T.); #229088=ORIENTED_EDGE('',*,*,#177356,.T.); #229089=ORIENTED_EDGE('',*,*,#177353,.T.); #229090=ORIENTED_EDGE('',*,*,#177350,.T.); #229091=ORIENTED_EDGE('',*,*,#177347,.T.); #229092=ORIENTED_EDGE('',*,*,#177344,.T.); #229093=ORIENTED_EDGE('',*,*,#177341,.T.); #229094=ORIENTED_EDGE('',*,*,#177338,.T.); #229095=ORIENTED_EDGE('',*,*,#177335,.T.); #229096=ORIENTED_EDGE('',*,*,#177332,.T.); #229097=ORIENTED_EDGE('',*,*,#177329,.T.); #229098=ORIENTED_EDGE('',*,*,#177326,.T.); #229099=ORIENTED_EDGE('',*,*,#177323,.T.); #229100=ORIENTED_EDGE('',*,*,#177320,.T.); #229101=ORIENTED_EDGE('',*,*,#177317,.T.); #229102=ORIENTED_EDGE('',*,*,#177314,.T.); #229103=ORIENTED_EDGE('',*,*,#177311,.T.); #229104=ORIENTED_EDGE('',*,*,#177308,.T.); #229105=ORIENTED_EDGE('',*,*,#177305,.T.); #229106=ORIENTED_EDGE('',*,*,#177302,.T.); #229107=ORIENTED_EDGE('',*,*,#177299,.T.); #229108=ORIENTED_EDGE('',*,*,#177296,.T.); #229109=ORIENTED_EDGE('',*,*,#177293,.T.); #229110=ORIENTED_EDGE('',*,*,#177290,.T.); #229111=ORIENTED_EDGE('',*,*,#177287,.T.); #229112=ORIENTED_EDGE('',*,*,#177284,.T.); #229113=ORIENTED_EDGE('',*,*,#177281,.T.); #229114=ORIENTED_EDGE('',*,*,#177278,.T.); #229115=ORIENTED_EDGE('',*,*,#177275,.T.); #229116=ORIENTED_EDGE('',*,*,#177272,.T.); #229117=ORIENTED_EDGE('',*,*,#177269,.T.); #229118=ORIENTED_EDGE('',*,*,#177266,.T.); #229119=ORIENTED_EDGE('',*,*,#177263,.T.); #229120=ORIENTED_EDGE('',*,*,#177260,.T.); #229121=ORIENTED_EDGE('',*,*,#177257,.T.); #229122=ORIENTED_EDGE('',*,*,#177254,.T.); #229123=ORIENTED_EDGE('',*,*,#177251,.T.); #229124=ORIENTED_EDGE('',*,*,#177248,.T.); #229125=ORIENTED_EDGE('',*,*,#177245,.T.); #229126=ORIENTED_EDGE('',*,*,#177242,.T.); #229127=ORIENTED_EDGE('',*,*,#177239,.T.); #229128=ORIENTED_EDGE('',*,*,#177236,.T.); #229129=ORIENTED_EDGE('',*,*,#177233,.T.); #229130=ORIENTED_EDGE('',*,*,#177230,.T.); #229131=ORIENTED_EDGE('',*,*,#177227,.T.); #229132=ORIENTED_EDGE('',*,*,#177224,.T.); #229133=ORIENTED_EDGE('',*,*,#177221,.T.); #229134=ORIENTED_EDGE('',*,*,#177218,.T.); #229135=ORIENTED_EDGE('',*,*,#177215,.T.); #229136=ORIENTED_EDGE('',*,*,#177212,.T.); #229137=ORIENTED_EDGE('',*,*,#177209,.T.); #229138=ORIENTED_EDGE('',*,*,#177206,.T.); #229139=ORIENTED_EDGE('',*,*,#177203,.T.); #229140=ORIENTED_EDGE('',*,*,#177200,.T.); #229141=ORIENTED_EDGE('',*,*,#177197,.T.); #229142=ORIENTED_EDGE('',*,*,#177194,.T.); #229143=ORIENTED_EDGE('',*,*,#177191,.T.); #229144=ORIENTED_EDGE('',*,*,#177188,.T.); #229145=ORIENTED_EDGE('',*,*,#177185,.T.); #229146=ORIENTED_EDGE('',*,*,#177182,.T.); #229147=ORIENTED_EDGE('',*,*,#177179,.T.); #229148=ORIENTED_EDGE('',*,*,#177176,.T.); #229149=ORIENTED_EDGE('',*,*,#177173,.T.); #229150=ORIENTED_EDGE('',*,*,#177170,.T.); #229151=ORIENTED_EDGE('',*,*,#177167,.T.); #229152=ORIENTED_EDGE('',*,*,#177164,.T.); #229153=ORIENTED_EDGE('',*,*,#177161,.T.); #229154=ORIENTED_EDGE('',*,*,#177158,.T.); #229155=ORIENTED_EDGE('',*,*,#177155,.T.); #229156=ORIENTED_EDGE('',*,*,#177152,.T.); #229157=ORIENTED_EDGE('',*,*,#177149,.T.); #229158=ORIENTED_EDGE('',*,*,#177146,.T.); #229159=ORIENTED_EDGE('',*,*,#177143,.T.); #229160=ORIENTED_EDGE('',*,*,#177140,.T.); #229161=ORIENTED_EDGE('',*,*,#177137,.T.); #229162=ORIENTED_EDGE('',*,*,#177134,.T.); #229163=ORIENTED_EDGE('',*,*,#177131,.T.); #229164=ORIENTED_EDGE('',*,*,#177128,.T.); #229165=ORIENTED_EDGE('',*,*,#177125,.T.); #229166=ORIENTED_EDGE('',*,*,#177122,.T.); #229167=ORIENTED_EDGE('',*,*,#177119,.T.); #229168=ORIENTED_EDGE('',*,*,#177116,.T.); #229169=ORIENTED_EDGE('',*,*,#177113,.T.); #229170=ORIENTED_EDGE('',*,*,#177110,.T.); #229171=ORIENTED_EDGE('',*,*,#177107,.T.); #229172=ORIENTED_EDGE('',*,*,#177104,.T.); #229173=ORIENTED_EDGE('',*,*,#177095,.T.); #229174=ORIENTED_EDGE('',*,*,#177098,.T.); #229175=ORIENTED_EDGE('',*,*,#177101,.T.); #229176=ORIENTED_EDGE('',*,*,#177370,.F.); #229177=ORIENTED_EDGE('',*,*,#177102,.F.); #229178=ORIENTED_EDGE('',*,*,#177106,.F.); #229179=ORIENTED_EDGE('',*,*,#177109,.F.); #229180=ORIENTED_EDGE('',*,*,#177112,.F.); #229181=ORIENTED_EDGE('',*,*,#177115,.F.); #229182=ORIENTED_EDGE('',*,*,#177118,.F.); #229183=ORIENTED_EDGE('',*,*,#177121,.F.); #229184=ORIENTED_EDGE('',*,*,#177124,.F.); #229185=ORIENTED_EDGE('',*,*,#177127,.F.); #229186=ORIENTED_EDGE('',*,*,#177130,.F.); #229187=ORIENTED_EDGE('',*,*,#177133,.F.); #229188=ORIENTED_EDGE('',*,*,#177136,.F.); #229189=ORIENTED_EDGE('',*,*,#177139,.F.); #229190=ORIENTED_EDGE('',*,*,#177142,.F.); #229191=ORIENTED_EDGE('',*,*,#177145,.F.); #229192=ORIENTED_EDGE('',*,*,#177148,.F.); #229193=ORIENTED_EDGE('',*,*,#177151,.F.); #229194=ORIENTED_EDGE('',*,*,#177154,.F.); #229195=ORIENTED_EDGE('',*,*,#177157,.F.); #229196=ORIENTED_EDGE('',*,*,#177160,.F.); #229197=ORIENTED_EDGE('',*,*,#177163,.F.); #229198=ORIENTED_EDGE('',*,*,#177166,.F.); #229199=ORIENTED_EDGE('',*,*,#177169,.F.); #229200=ORIENTED_EDGE('',*,*,#177172,.F.); #229201=ORIENTED_EDGE('',*,*,#177175,.F.); #229202=ORIENTED_EDGE('',*,*,#177178,.F.); #229203=ORIENTED_EDGE('',*,*,#177181,.F.); #229204=ORIENTED_EDGE('',*,*,#177184,.F.); #229205=ORIENTED_EDGE('',*,*,#177187,.F.); #229206=ORIENTED_EDGE('',*,*,#177190,.F.); #229207=ORIENTED_EDGE('',*,*,#177193,.F.); #229208=ORIENTED_EDGE('',*,*,#177196,.F.); #229209=ORIENTED_EDGE('',*,*,#177199,.F.); #229210=ORIENTED_EDGE('',*,*,#177202,.F.); #229211=ORIENTED_EDGE('',*,*,#177205,.F.); #229212=ORIENTED_EDGE('',*,*,#177208,.F.); #229213=ORIENTED_EDGE('',*,*,#177211,.F.); #229214=ORIENTED_EDGE('',*,*,#177214,.F.); #229215=ORIENTED_EDGE('',*,*,#177217,.F.); #229216=ORIENTED_EDGE('',*,*,#177220,.F.); #229217=ORIENTED_EDGE('',*,*,#177223,.F.); #229218=ORIENTED_EDGE('',*,*,#177226,.F.); #229219=ORIENTED_EDGE('',*,*,#177229,.F.); #229220=ORIENTED_EDGE('',*,*,#177232,.F.); #229221=ORIENTED_EDGE('',*,*,#177235,.F.); #229222=ORIENTED_EDGE('',*,*,#177238,.F.); #229223=ORIENTED_EDGE('',*,*,#177241,.F.); #229224=ORIENTED_EDGE('',*,*,#177244,.F.); #229225=ORIENTED_EDGE('',*,*,#177247,.F.); #229226=ORIENTED_EDGE('',*,*,#177250,.F.); #229227=ORIENTED_EDGE('',*,*,#177253,.F.); #229228=ORIENTED_EDGE('',*,*,#177256,.F.); #229229=ORIENTED_EDGE('',*,*,#177259,.F.); #229230=ORIENTED_EDGE('',*,*,#177262,.F.); #229231=ORIENTED_EDGE('',*,*,#177265,.F.); #229232=ORIENTED_EDGE('',*,*,#177268,.F.); #229233=ORIENTED_EDGE('',*,*,#177271,.F.); #229234=ORIENTED_EDGE('',*,*,#177274,.F.); #229235=ORIENTED_EDGE('',*,*,#177277,.F.); #229236=ORIENTED_EDGE('',*,*,#177280,.F.); #229237=ORIENTED_EDGE('',*,*,#177283,.F.); #229238=ORIENTED_EDGE('',*,*,#177286,.F.); #229239=ORIENTED_EDGE('',*,*,#177289,.F.); #229240=ORIENTED_EDGE('',*,*,#177292,.F.); #229241=ORIENTED_EDGE('',*,*,#177295,.F.); #229242=ORIENTED_EDGE('',*,*,#177298,.F.); #229243=ORIENTED_EDGE('',*,*,#177301,.F.); #229244=ORIENTED_EDGE('',*,*,#177304,.F.); #229245=ORIENTED_EDGE('',*,*,#177307,.F.); #229246=ORIENTED_EDGE('',*,*,#177310,.F.); #229247=ORIENTED_EDGE('',*,*,#177313,.F.); #229248=ORIENTED_EDGE('',*,*,#177316,.F.); #229249=ORIENTED_EDGE('',*,*,#177319,.F.); #229250=ORIENTED_EDGE('',*,*,#177322,.F.); #229251=ORIENTED_EDGE('',*,*,#177325,.F.); #229252=ORIENTED_EDGE('',*,*,#177328,.F.); #229253=ORIENTED_EDGE('',*,*,#177331,.F.); #229254=ORIENTED_EDGE('',*,*,#177334,.F.); #229255=ORIENTED_EDGE('',*,*,#177337,.F.); #229256=ORIENTED_EDGE('',*,*,#177340,.F.); #229257=ORIENTED_EDGE('',*,*,#177343,.F.); #229258=ORIENTED_EDGE('',*,*,#177346,.F.); #229259=ORIENTED_EDGE('',*,*,#177349,.F.); #229260=ORIENTED_EDGE('',*,*,#177352,.F.); #229261=ORIENTED_EDGE('',*,*,#177355,.F.); #229262=ORIENTED_EDGE('',*,*,#177358,.F.); #229263=ORIENTED_EDGE('',*,*,#177361,.F.); #229264=ORIENTED_EDGE('',*,*,#177364,.F.); #229265=ORIENTED_EDGE('',*,*,#177367,.F.); #229266=ORIENTED_EDGE('',*,*,#177093,.T.); #229267=ORIENTED_EDGE('',*,*,#177096,.T.); #229268=ORIENTED_EDGE('',*,*,#177099,.T.); #229269=ORIENTED_EDGE('',*,*,#177372,.T.); #229270=ORIENTED_EDGE('',*,*,#177373,.T.); #229271=ORIENTED_EDGE('',*,*,#177374,.F.); #229272=ORIENTED_EDGE('',*,*,#177375,.F.); #229273=ORIENTED_EDGE('',*,*,#177376,.T.); #229274=ORIENTED_EDGE('',*,*,#177375,.T.); #229275=ORIENTED_EDGE('',*,*,#177377,.F.); #229276=ORIENTED_EDGE('',*,*,#177378,.F.); #229277=ORIENTED_EDGE('',*,*,#177379,.T.); #229278=ORIENTED_EDGE('',*,*,#177378,.T.); #229279=ORIENTED_EDGE('',*,*,#177380,.F.); #229280=ORIENTED_EDGE('',*,*,#177381,.F.); #229281=ORIENTED_EDGE('',*,*,#177382,.T.); #229282=ORIENTED_EDGE('',*,*,#177381,.T.); #229283=ORIENTED_EDGE('',*,*,#177383,.F.); #229284=ORIENTED_EDGE('',*,*,#177384,.F.); #229285=ORIENTED_EDGE('',*,*,#177385,.T.); #229286=ORIENTED_EDGE('',*,*,#177384,.T.); #229287=ORIENTED_EDGE('',*,*,#177386,.F.); #229288=ORIENTED_EDGE('',*,*,#177387,.F.); #229289=ORIENTED_EDGE('',*,*,#177388,.T.); #229290=ORIENTED_EDGE('',*,*,#177387,.T.); #229291=ORIENTED_EDGE('',*,*,#177389,.F.); #229292=ORIENTED_EDGE('',*,*,#177390,.F.); #229293=ORIENTED_EDGE('',*,*,#177391,.T.); #229294=ORIENTED_EDGE('',*,*,#177390,.T.); #229295=ORIENTED_EDGE('',*,*,#177392,.F.); #229296=ORIENTED_EDGE('',*,*,#177393,.F.); #229297=ORIENTED_EDGE('',*,*,#177394,.T.); #229298=ORIENTED_EDGE('',*,*,#177393,.T.); #229299=ORIENTED_EDGE('',*,*,#177395,.F.); #229300=ORIENTED_EDGE('',*,*,#177396,.F.); #229301=ORIENTED_EDGE('',*,*,#177397,.T.); #229302=ORIENTED_EDGE('',*,*,#177396,.T.); #229303=ORIENTED_EDGE('',*,*,#177398,.F.); #229304=ORIENTED_EDGE('',*,*,#177399,.F.); #229305=ORIENTED_EDGE('',*,*,#177400,.T.); #229306=ORIENTED_EDGE('',*,*,#177399,.T.); #229307=ORIENTED_EDGE('',*,*,#177401,.F.); #229308=ORIENTED_EDGE('',*,*,#177402,.F.); #229309=ORIENTED_EDGE('',*,*,#177403,.T.); #229310=ORIENTED_EDGE('',*,*,#177402,.T.); #229311=ORIENTED_EDGE('',*,*,#177404,.F.); #229312=ORIENTED_EDGE('',*,*,#177405,.F.); #229313=ORIENTED_EDGE('',*,*,#177406,.T.); #229314=ORIENTED_EDGE('',*,*,#177405,.T.); #229315=ORIENTED_EDGE('',*,*,#177407,.F.); #229316=ORIENTED_EDGE('',*,*,#177408,.F.); #229317=ORIENTED_EDGE('',*,*,#177409,.T.); #229318=ORIENTED_EDGE('',*,*,#177408,.T.); #229319=ORIENTED_EDGE('',*,*,#177410,.F.); #229320=ORIENTED_EDGE('',*,*,#177411,.F.); #229321=ORIENTED_EDGE('',*,*,#177412,.T.); #229322=ORIENTED_EDGE('',*,*,#177411,.T.); #229323=ORIENTED_EDGE('',*,*,#177413,.F.); #229324=ORIENTED_EDGE('',*,*,#177414,.F.); #229325=ORIENTED_EDGE('',*,*,#177415,.T.); #229326=ORIENTED_EDGE('',*,*,#177414,.T.); #229327=ORIENTED_EDGE('',*,*,#177416,.F.); #229328=ORIENTED_EDGE('',*,*,#177417,.F.); #229329=ORIENTED_EDGE('',*,*,#177418,.T.); #229330=ORIENTED_EDGE('',*,*,#177417,.T.); #229331=ORIENTED_EDGE('',*,*,#177419,.F.); #229332=ORIENTED_EDGE('',*,*,#177420,.F.); #229333=ORIENTED_EDGE('',*,*,#177421,.T.); #229334=ORIENTED_EDGE('',*,*,#177420,.T.); #229335=ORIENTED_EDGE('',*,*,#177422,.F.); #229336=ORIENTED_EDGE('',*,*,#177423,.F.); #229337=ORIENTED_EDGE('',*,*,#177424,.T.); #229338=ORIENTED_EDGE('',*,*,#177423,.T.); #229339=ORIENTED_EDGE('',*,*,#177425,.F.); #229340=ORIENTED_EDGE('',*,*,#177426,.F.); #229341=ORIENTED_EDGE('',*,*,#177427,.T.); #229342=ORIENTED_EDGE('',*,*,#177426,.T.); #229343=ORIENTED_EDGE('',*,*,#177428,.F.); #229344=ORIENTED_EDGE('',*,*,#177429,.F.); #229345=ORIENTED_EDGE('',*,*,#177430,.T.); #229346=ORIENTED_EDGE('',*,*,#177429,.T.); #229347=ORIENTED_EDGE('',*,*,#177431,.F.); #229348=ORIENTED_EDGE('',*,*,#177432,.F.); #229349=ORIENTED_EDGE('',*,*,#177433,.T.); #229350=ORIENTED_EDGE('',*,*,#177432,.T.); #229351=ORIENTED_EDGE('',*,*,#177434,.F.); #229352=ORIENTED_EDGE('',*,*,#177435,.F.); #229353=ORIENTED_EDGE('',*,*,#177436,.T.); #229354=ORIENTED_EDGE('',*,*,#177435,.T.); #229355=ORIENTED_EDGE('',*,*,#177437,.F.); #229356=ORIENTED_EDGE('',*,*,#177438,.F.); #229357=ORIENTED_EDGE('',*,*,#177439,.T.); #229358=ORIENTED_EDGE('',*,*,#177438,.T.); #229359=ORIENTED_EDGE('',*,*,#177440,.F.); #229360=ORIENTED_EDGE('',*,*,#177441,.F.); #229361=ORIENTED_EDGE('',*,*,#177442,.T.); #229362=ORIENTED_EDGE('',*,*,#177441,.T.); #229363=ORIENTED_EDGE('',*,*,#177443,.F.); #229364=ORIENTED_EDGE('',*,*,#177444,.F.); #229365=ORIENTED_EDGE('',*,*,#177445,.T.); #229366=ORIENTED_EDGE('',*,*,#177444,.T.); #229367=ORIENTED_EDGE('',*,*,#177446,.F.); #229368=ORIENTED_EDGE('',*,*,#177447,.F.); #229369=ORIENTED_EDGE('',*,*,#177448,.T.); #229370=ORIENTED_EDGE('',*,*,#177447,.T.); #229371=ORIENTED_EDGE('',*,*,#177449,.F.); #229372=ORIENTED_EDGE('',*,*,#177450,.F.); #229373=ORIENTED_EDGE('',*,*,#177451,.T.); #229374=ORIENTED_EDGE('',*,*,#177450,.T.); #229375=ORIENTED_EDGE('',*,*,#177452,.F.); #229376=ORIENTED_EDGE('',*,*,#177453,.F.); #229377=ORIENTED_EDGE('',*,*,#177454,.T.); #229378=ORIENTED_EDGE('',*,*,#177453,.T.); #229379=ORIENTED_EDGE('',*,*,#177455,.F.); #229380=ORIENTED_EDGE('',*,*,#177456,.F.); #229381=ORIENTED_EDGE('',*,*,#177457,.T.); #229382=ORIENTED_EDGE('',*,*,#177456,.T.); #229383=ORIENTED_EDGE('',*,*,#177458,.F.); #229384=ORIENTED_EDGE('',*,*,#177459,.F.); #229385=ORIENTED_EDGE('',*,*,#177460,.T.); #229386=ORIENTED_EDGE('',*,*,#177459,.T.); #229387=ORIENTED_EDGE('',*,*,#177461,.F.); #229388=ORIENTED_EDGE('',*,*,#177462,.F.); #229389=ORIENTED_EDGE('',*,*,#177463,.T.); #229390=ORIENTED_EDGE('',*,*,#177462,.T.); #229391=ORIENTED_EDGE('',*,*,#177464,.F.); #229392=ORIENTED_EDGE('',*,*,#177465,.F.); #229393=ORIENTED_EDGE('',*,*,#177466,.T.); #229394=ORIENTED_EDGE('',*,*,#177465,.T.); #229395=ORIENTED_EDGE('',*,*,#177467,.F.); #229396=ORIENTED_EDGE('',*,*,#177468,.F.); #229397=ORIENTED_EDGE('',*,*,#177469,.T.); #229398=ORIENTED_EDGE('',*,*,#177468,.T.); #229399=ORIENTED_EDGE('',*,*,#177470,.F.); #229400=ORIENTED_EDGE('',*,*,#177471,.F.); #229401=ORIENTED_EDGE('',*,*,#177472,.T.); #229402=ORIENTED_EDGE('',*,*,#177471,.T.); #229403=ORIENTED_EDGE('',*,*,#177473,.F.); #229404=ORIENTED_EDGE('',*,*,#177373,.F.); #229405=ORIENTED_EDGE('',*,*,#177473,.T.); #229406=ORIENTED_EDGE('',*,*,#177470,.T.); #229407=ORIENTED_EDGE('',*,*,#177467,.T.); #229408=ORIENTED_EDGE('',*,*,#177464,.T.); #229409=ORIENTED_EDGE('',*,*,#177461,.T.); #229410=ORIENTED_EDGE('',*,*,#177458,.T.); #229411=ORIENTED_EDGE('',*,*,#177455,.T.); #229412=ORIENTED_EDGE('',*,*,#177452,.T.); #229413=ORIENTED_EDGE('',*,*,#177449,.T.); #229414=ORIENTED_EDGE('',*,*,#177446,.T.); #229415=ORIENTED_EDGE('',*,*,#177443,.T.); #229416=ORIENTED_EDGE('',*,*,#177440,.T.); #229417=ORIENTED_EDGE('',*,*,#177437,.T.); #229418=ORIENTED_EDGE('',*,*,#177434,.T.); #229419=ORIENTED_EDGE('',*,*,#177431,.T.); #229420=ORIENTED_EDGE('',*,*,#177428,.T.); #229421=ORIENTED_EDGE('',*,*,#177425,.T.); #229422=ORIENTED_EDGE('',*,*,#177422,.T.); #229423=ORIENTED_EDGE('',*,*,#177419,.T.); #229424=ORIENTED_EDGE('',*,*,#177416,.T.); #229425=ORIENTED_EDGE('',*,*,#177413,.T.); #229426=ORIENTED_EDGE('',*,*,#177410,.T.); #229427=ORIENTED_EDGE('',*,*,#177407,.T.); #229428=ORIENTED_EDGE('',*,*,#177404,.T.); #229429=ORIENTED_EDGE('',*,*,#177401,.T.); #229430=ORIENTED_EDGE('',*,*,#177398,.T.); #229431=ORIENTED_EDGE('',*,*,#177395,.T.); #229432=ORIENTED_EDGE('',*,*,#177392,.T.); #229433=ORIENTED_EDGE('',*,*,#177389,.T.); #229434=ORIENTED_EDGE('',*,*,#177386,.T.); #229435=ORIENTED_EDGE('',*,*,#177383,.T.); #229436=ORIENTED_EDGE('',*,*,#177380,.T.); #229437=ORIENTED_EDGE('',*,*,#177377,.T.); #229438=ORIENTED_EDGE('',*,*,#177374,.T.); #229439=ORIENTED_EDGE('',*,*,#177472,.F.); #229440=ORIENTED_EDGE('',*,*,#177372,.F.); #229441=ORIENTED_EDGE('',*,*,#177376,.F.); #229442=ORIENTED_EDGE('',*,*,#177379,.F.); #229443=ORIENTED_EDGE('',*,*,#177382,.F.); #229444=ORIENTED_EDGE('',*,*,#177385,.F.); #229445=ORIENTED_EDGE('',*,*,#177388,.F.); #229446=ORIENTED_EDGE('',*,*,#177391,.F.); #229447=ORIENTED_EDGE('',*,*,#177394,.F.); #229448=ORIENTED_EDGE('',*,*,#177397,.F.); #229449=ORIENTED_EDGE('',*,*,#177400,.F.); #229450=ORIENTED_EDGE('',*,*,#177403,.F.); #229451=ORIENTED_EDGE('',*,*,#177406,.F.); #229452=ORIENTED_EDGE('',*,*,#177409,.F.); #229453=ORIENTED_EDGE('',*,*,#177412,.F.); #229454=ORIENTED_EDGE('',*,*,#177415,.F.); #229455=ORIENTED_EDGE('',*,*,#177418,.F.); #229456=ORIENTED_EDGE('',*,*,#177421,.F.); #229457=ORIENTED_EDGE('',*,*,#177424,.F.); #229458=ORIENTED_EDGE('',*,*,#177427,.F.); #229459=ORIENTED_EDGE('',*,*,#177430,.F.); #229460=ORIENTED_EDGE('',*,*,#177433,.F.); #229461=ORIENTED_EDGE('',*,*,#177436,.F.); #229462=ORIENTED_EDGE('',*,*,#177439,.F.); #229463=ORIENTED_EDGE('',*,*,#177442,.F.); #229464=ORIENTED_EDGE('',*,*,#177445,.F.); #229465=ORIENTED_EDGE('',*,*,#177448,.F.); #229466=ORIENTED_EDGE('',*,*,#177451,.F.); #229467=ORIENTED_EDGE('',*,*,#177454,.F.); #229468=ORIENTED_EDGE('',*,*,#177457,.F.); #229469=ORIENTED_EDGE('',*,*,#177460,.F.); #229470=ORIENTED_EDGE('',*,*,#177463,.F.); #229471=ORIENTED_EDGE('',*,*,#177466,.F.); #229472=ORIENTED_EDGE('',*,*,#177469,.F.); #229473=ORIENTED_EDGE('',*,*,#177474,.T.); #229474=ORIENTED_EDGE('',*,*,#177475,.T.); #229475=ORIENTED_EDGE('',*,*,#177476,.F.); #229476=ORIENTED_EDGE('',*,*,#177477,.F.); #229477=ORIENTED_EDGE('',*,*,#177478,.T.); #229478=ORIENTED_EDGE('',*,*,#177477,.T.); #229479=ORIENTED_EDGE('',*,*,#177479,.F.); #229480=ORIENTED_EDGE('',*,*,#177480,.F.); #229481=ORIENTED_EDGE('',*,*,#177481,.T.); #229482=ORIENTED_EDGE('',*,*,#177480,.T.); #229483=ORIENTED_EDGE('',*,*,#177482,.F.); #229484=ORIENTED_EDGE('',*,*,#177483,.F.); #229485=ORIENTED_EDGE('',*,*,#177484,.T.); #229486=ORIENTED_EDGE('',*,*,#177483,.T.); #229487=ORIENTED_EDGE('',*,*,#177485,.F.); #229488=ORIENTED_EDGE('',*,*,#177486,.F.); #229489=ORIENTED_EDGE('',*,*,#177487,.T.); #229490=ORIENTED_EDGE('',*,*,#177486,.T.); #229491=ORIENTED_EDGE('',*,*,#177488,.F.); #229492=ORIENTED_EDGE('',*,*,#177489,.F.); #229493=ORIENTED_EDGE('',*,*,#177490,.T.); #229494=ORIENTED_EDGE('',*,*,#177489,.T.); #229495=ORIENTED_EDGE('',*,*,#177491,.F.); #229496=ORIENTED_EDGE('',*,*,#177492,.F.); #229497=ORIENTED_EDGE('',*,*,#177493,.T.); #229498=ORIENTED_EDGE('',*,*,#177492,.T.); #229499=ORIENTED_EDGE('',*,*,#177494,.F.); #229500=ORIENTED_EDGE('',*,*,#177495,.F.); #229501=ORIENTED_EDGE('',*,*,#177496,.T.); #229502=ORIENTED_EDGE('',*,*,#177495,.T.); #229503=ORIENTED_EDGE('',*,*,#177497,.F.); #229504=ORIENTED_EDGE('',*,*,#177498,.F.); #229505=ORIENTED_EDGE('',*,*,#177499,.T.); #229506=ORIENTED_EDGE('',*,*,#177498,.T.); #229507=ORIENTED_EDGE('',*,*,#177500,.F.); #229508=ORIENTED_EDGE('',*,*,#177501,.F.); #229509=ORIENTED_EDGE('',*,*,#177502,.T.); #229510=ORIENTED_EDGE('',*,*,#177501,.T.); #229511=ORIENTED_EDGE('',*,*,#177503,.F.); #229512=ORIENTED_EDGE('',*,*,#177504,.F.); #229513=ORIENTED_EDGE('',*,*,#177505,.T.); #229514=ORIENTED_EDGE('',*,*,#177504,.T.); #229515=ORIENTED_EDGE('',*,*,#177506,.F.); #229516=ORIENTED_EDGE('',*,*,#177507,.F.); #229517=ORIENTED_EDGE('',*,*,#177508,.T.); #229518=ORIENTED_EDGE('',*,*,#177507,.T.); #229519=ORIENTED_EDGE('',*,*,#177509,.F.); #229520=ORIENTED_EDGE('',*,*,#177510,.F.); #229521=ORIENTED_EDGE('',*,*,#177511,.T.); #229522=ORIENTED_EDGE('',*,*,#177510,.T.); #229523=ORIENTED_EDGE('',*,*,#177512,.F.); #229524=ORIENTED_EDGE('',*,*,#177513,.F.); #229525=ORIENTED_EDGE('',*,*,#177514,.T.); #229526=ORIENTED_EDGE('',*,*,#177513,.T.); #229527=ORIENTED_EDGE('',*,*,#177515,.F.); #229528=ORIENTED_EDGE('',*,*,#177516,.F.); #229529=ORIENTED_EDGE('',*,*,#177517,.T.); #229530=ORIENTED_EDGE('',*,*,#177516,.T.); #229531=ORIENTED_EDGE('',*,*,#177518,.F.); #229532=ORIENTED_EDGE('',*,*,#177519,.F.); #229533=ORIENTED_EDGE('',*,*,#177520,.T.); #229534=ORIENTED_EDGE('',*,*,#177519,.T.); #229535=ORIENTED_EDGE('',*,*,#177521,.F.); #229536=ORIENTED_EDGE('',*,*,#177522,.F.); #229537=ORIENTED_EDGE('',*,*,#177523,.T.); #229538=ORIENTED_EDGE('',*,*,#177522,.T.); #229539=ORIENTED_EDGE('',*,*,#177524,.F.); #229540=ORIENTED_EDGE('',*,*,#177525,.F.); #229541=ORIENTED_EDGE('',*,*,#177526,.T.); #229542=ORIENTED_EDGE('',*,*,#177525,.T.); #229543=ORIENTED_EDGE('',*,*,#177527,.F.); #229544=ORIENTED_EDGE('',*,*,#177528,.F.); #229545=ORIENTED_EDGE('',*,*,#177529,.T.); #229546=ORIENTED_EDGE('',*,*,#177528,.T.); #229547=ORIENTED_EDGE('',*,*,#177530,.F.); #229548=ORIENTED_EDGE('',*,*,#177531,.F.); #229549=ORIENTED_EDGE('',*,*,#177532,.T.); #229550=ORIENTED_EDGE('',*,*,#177531,.T.); #229551=ORIENTED_EDGE('',*,*,#177533,.F.); #229552=ORIENTED_EDGE('',*,*,#177475,.F.); #229553=ORIENTED_EDGE('',*,*,#177533,.T.); #229554=ORIENTED_EDGE('',*,*,#177530,.T.); #229555=ORIENTED_EDGE('',*,*,#177527,.T.); #229556=ORIENTED_EDGE('',*,*,#177524,.T.); #229557=ORIENTED_EDGE('',*,*,#177521,.T.); #229558=ORIENTED_EDGE('',*,*,#177518,.T.); #229559=ORIENTED_EDGE('',*,*,#177515,.T.); #229560=ORIENTED_EDGE('',*,*,#177512,.T.); #229561=ORIENTED_EDGE('',*,*,#177509,.T.); #229562=ORIENTED_EDGE('',*,*,#177506,.T.); #229563=ORIENTED_EDGE('',*,*,#177503,.T.); #229564=ORIENTED_EDGE('',*,*,#177500,.T.); #229565=ORIENTED_EDGE('',*,*,#177497,.T.); #229566=ORIENTED_EDGE('',*,*,#177494,.T.); #229567=ORIENTED_EDGE('',*,*,#177491,.T.); #229568=ORIENTED_EDGE('',*,*,#177488,.T.); #229569=ORIENTED_EDGE('',*,*,#177485,.T.); #229570=ORIENTED_EDGE('',*,*,#177482,.T.); #229571=ORIENTED_EDGE('',*,*,#177479,.T.); #229572=ORIENTED_EDGE('',*,*,#177476,.T.); #229573=ORIENTED_EDGE('',*,*,#177532,.F.); #229574=ORIENTED_EDGE('',*,*,#177474,.F.); #229575=ORIENTED_EDGE('',*,*,#177478,.F.); #229576=ORIENTED_EDGE('',*,*,#177481,.F.); #229577=ORIENTED_EDGE('',*,*,#177484,.F.); #229578=ORIENTED_EDGE('',*,*,#177487,.F.); #229579=ORIENTED_EDGE('',*,*,#177490,.F.); #229580=ORIENTED_EDGE('',*,*,#177493,.F.); #229581=ORIENTED_EDGE('',*,*,#177496,.F.); #229582=ORIENTED_EDGE('',*,*,#177499,.F.); #229583=ORIENTED_EDGE('',*,*,#177502,.F.); #229584=ORIENTED_EDGE('',*,*,#177505,.F.); #229585=ORIENTED_EDGE('',*,*,#177508,.F.); #229586=ORIENTED_EDGE('',*,*,#177511,.F.); #229587=ORIENTED_EDGE('',*,*,#177514,.F.); #229588=ORIENTED_EDGE('',*,*,#177517,.F.); #229589=ORIENTED_EDGE('',*,*,#177520,.F.); #229590=ORIENTED_EDGE('',*,*,#177523,.F.); #229591=ORIENTED_EDGE('',*,*,#177526,.F.); #229592=ORIENTED_EDGE('',*,*,#177529,.F.); #229593=ORIENTED_EDGE('',*,*,#177534,.T.); #229594=ORIENTED_EDGE('',*,*,#177535,.T.); #229595=ORIENTED_EDGE('',*,*,#177536,.F.); #229596=ORIENTED_EDGE('',*,*,#177537,.F.); #229597=ORIENTED_EDGE('',*,*,#177538,.T.); #229598=ORIENTED_EDGE('',*,*,#177537,.T.); #229599=ORIENTED_EDGE('',*,*,#177539,.F.); #229600=ORIENTED_EDGE('',*,*,#177540,.F.); #229601=ORIENTED_EDGE('',*,*,#177541,.T.); #229602=ORIENTED_EDGE('',*,*,#177540,.T.); #229603=ORIENTED_EDGE('',*,*,#177542,.F.); #229604=ORIENTED_EDGE('',*,*,#177543,.F.); #229605=ORIENTED_EDGE('',*,*,#177544,.T.); #229606=ORIENTED_EDGE('',*,*,#177543,.T.); #229607=ORIENTED_EDGE('',*,*,#177545,.F.); #229608=ORIENTED_EDGE('',*,*,#177546,.F.); #229609=ORIENTED_EDGE('',*,*,#177547,.T.); #229610=ORIENTED_EDGE('',*,*,#177546,.T.); #229611=ORIENTED_EDGE('',*,*,#177548,.F.); #229612=ORIENTED_EDGE('',*,*,#177549,.F.); #229613=ORIENTED_EDGE('',*,*,#177550,.T.); #229614=ORIENTED_EDGE('',*,*,#177549,.T.); #229615=ORIENTED_EDGE('',*,*,#177551,.F.); #229616=ORIENTED_EDGE('',*,*,#177552,.F.); #229617=ORIENTED_EDGE('',*,*,#177553,.T.); #229618=ORIENTED_EDGE('',*,*,#177552,.T.); #229619=ORIENTED_EDGE('',*,*,#177554,.F.); #229620=ORIENTED_EDGE('',*,*,#177555,.F.); #229621=ORIENTED_EDGE('',*,*,#177556,.T.); #229622=ORIENTED_EDGE('',*,*,#177555,.T.); #229623=ORIENTED_EDGE('',*,*,#177557,.F.); #229624=ORIENTED_EDGE('',*,*,#177558,.F.); #229625=ORIENTED_EDGE('',*,*,#177559,.T.); #229626=ORIENTED_EDGE('',*,*,#177558,.T.); #229627=ORIENTED_EDGE('',*,*,#177560,.F.); #229628=ORIENTED_EDGE('',*,*,#177561,.F.); #229629=ORIENTED_EDGE('',*,*,#177562,.T.); #229630=ORIENTED_EDGE('',*,*,#177561,.T.); #229631=ORIENTED_EDGE('',*,*,#177563,.F.); #229632=ORIENTED_EDGE('',*,*,#177564,.F.); #229633=ORIENTED_EDGE('',*,*,#177565,.T.); #229634=ORIENTED_EDGE('',*,*,#177564,.T.); #229635=ORIENTED_EDGE('',*,*,#177566,.F.); #229636=ORIENTED_EDGE('',*,*,#177567,.F.); #229637=ORIENTED_EDGE('',*,*,#177568,.T.); #229638=ORIENTED_EDGE('',*,*,#177567,.T.); #229639=ORIENTED_EDGE('',*,*,#177569,.F.); #229640=ORIENTED_EDGE('',*,*,#177570,.F.); #229641=ORIENTED_EDGE('',*,*,#177571,.T.); #229642=ORIENTED_EDGE('',*,*,#177570,.T.); #229643=ORIENTED_EDGE('',*,*,#177572,.F.); #229644=ORIENTED_EDGE('',*,*,#177573,.F.); #229645=ORIENTED_EDGE('',*,*,#177574,.T.); #229646=ORIENTED_EDGE('',*,*,#177573,.T.); #229647=ORIENTED_EDGE('',*,*,#177575,.F.); #229648=ORIENTED_EDGE('',*,*,#177576,.F.); #229649=ORIENTED_EDGE('',*,*,#177577,.T.); #229650=ORIENTED_EDGE('',*,*,#177576,.T.); #229651=ORIENTED_EDGE('',*,*,#177578,.F.); #229652=ORIENTED_EDGE('',*,*,#177579,.F.); #229653=ORIENTED_EDGE('',*,*,#177580,.T.); #229654=ORIENTED_EDGE('',*,*,#177579,.T.); #229655=ORIENTED_EDGE('',*,*,#177581,.F.); #229656=ORIENTED_EDGE('',*,*,#177582,.F.); #229657=ORIENTED_EDGE('',*,*,#177583,.T.); #229658=ORIENTED_EDGE('',*,*,#177582,.T.); #229659=ORIENTED_EDGE('',*,*,#177584,.F.); #229660=ORIENTED_EDGE('',*,*,#177585,.F.); #229661=ORIENTED_EDGE('',*,*,#177586,.T.); #229662=ORIENTED_EDGE('',*,*,#177585,.T.); #229663=ORIENTED_EDGE('',*,*,#177587,.F.); #229664=ORIENTED_EDGE('',*,*,#177588,.F.); #229665=ORIENTED_EDGE('',*,*,#177589,.T.); #229666=ORIENTED_EDGE('',*,*,#177588,.T.); #229667=ORIENTED_EDGE('',*,*,#177590,.F.); #229668=ORIENTED_EDGE('',*,*,#177591,.F.); #229669=ORIENTED_EDGE('',*,*,#177592,.T.); #229670=ORIENTED_EDGE('',*,*,#177591,.T.); #229671=ORIENTED_EDGE('',*,*,#177593,.F.); #229672=ORIENTED_EDGE('',*,*,#177594,.F.); #229673=ORIENTED_EDGE('',*,*,#177595,.T.); #229674=ORIENTED_EDGE('',*,*,#177594,.T.); #229675=ORIENTED_EDGE('',*,*,#177596,.F.); #229676=ORIENTED_EDGE('',*,*,#177535,.F.); #229677=ORIENTED_EDGE('',*,*,#177596,.T.); #229678=ORIENTED_EDGE('',*,*,#177593,.T.); #229679=ORIENTED_EDGE('',*,*,#177590,.T.); #229680=ORIENTED_EDGE('',*,*,#177587,.T.); #229681=ORIENTED_EDGE('',*,*,#177584,.T.); #229682=ORIENTED_EDGE('',*,*,#177581,.T.); #229683=ORIENTED_EDGE('',*,*,#177578,.T.); #229684=ORIENTED_EDGE('',*,*,#177575,.T.); #229685=ORIENTED_EDGE('',*,*,#177572,.T.); #229686=ORIENTED_EDGE('',*,*,#177569,.T.); #229687=ORIENTED_EDGE('',*,*,#177566,.T.); #229688=ORIENTED_EDGE('',*,*,#177563,.T.); #229689=ORIENTED_EDGE('',*,*,#177560,.T.); #229690=ORIENTED_EDGE('',*,*,#177557,.T.); #229691=ORIENTED_EDGE('',*,*,#177554,.T.); #229692=ORIENTED_EDGE('',*,*,#177551,.T.); #229693=ORIENTED_EDGE('',*,*,#177548,.T.); #229694=ORIENTED_EDGE('',*,*,#177545,.T.); #229695=ORIENTED_EDGE('',*,*,#177542,.T.); #229696=ORIENTED_EDGE('',*,*,#177539,.T.); #229697=ORIENTED_EDGE('',*,*,#177536,.T.); #229698=ORIENTED_EDGE('',*,*,#177595,.F.); #229699=ORIENTED_EDGE('',*,*,#177534,.F.); #229700=ORIENTED_EDGE('',*,*,#177538,.F.); #229701=ORIENTED_EDGE('',*,*,#177541,.F.); #229702=ORIENTED_EDGE('',*,*,#177544,.F.); #229703=ORIENTED_EDGE('',*,*,#177547,.F.); #229704=ORIENTED_EDGE('',*,*,#177550,.F.); #229705=ORIENTED_EDGE('',*,*,#177553,.F.); #229706=ORIENTED_EDGE('',*,*,#177556,.F.); #229707=ORIENTED_EDGE('',*,*,#177559,.F.); #229708=ORIENTED_EDGE('',*,*,#177562,.F.); #229709=ORIENTED_EDGE('',*,*,#177565,.F.); #229710=ORIENTED_EDGE('',*,*,#177568,.F.); #229711=ORIENTED_EDGE('',*,*,#177571,.F.); #229712=ORIENTED_EDGE('',*,*,#177574,.F.); #229713=ORIENTED_EDGE('',*,*,#177577,.F.); #229714=ORIENTED_EDGE('',*,*,#177580,.F.); #229715=ORIENTED_EDGE('',*,*,#177583,.F.); #229716=ORIENTED_EDGE('',*,*,#177586,.F.); #229717=ORIENTED_EDGE('',*,*,#177589,.F.); #229718=ORIENTED_EDGE('',*,*,#177592,.F.); #229719=ORIENTED_EDGE('',*,*,#177597,.F.); #229720=ORIENTED_EDGE('',*,*,#177598,.T.); #229721=ORIENTED_EDGE('',*,*,#177599,.F.); #229722=ORIENTED_EDGE('',*,*,#177598,.F.); #229723=ORIENTED_EDGE('',*,*,#177600,.F.); #229724=ORIENTED_EDGE('',*,*,#177601,.F.); #229725=ORIENTED_EDGE('',*,*,#177602,.F.); #229726=ORIENTED_EDGE('',*,*,#177603,.T.); #229727=ORIENTED_EDGE('',*,*,#177604,.F.); #229728=ORIENTED_EDGE('',*,*,#177603,.F.); #229729=ORIENTED_EDGE('',*,*,#177605,.F.); #229730=ORIENTED_EDGE('',*,*,#177606,.T.); #229731=ORIENTED_EDGE('',*,*,#177607,.F.); #229732=ORIENTED_EDGE('',*,*,#177606,.F.); #229733=ORIENTED_EDGE('',*,*,#177608,.F.); #229734=ORIENTED_EDGE('',*,*,#177609,.T.); #229735=ORIENTED_EDGE('',*,*,#177610,.F.); #229736=ORIENTED_EDGE('',*,*,#177609,.F.); #229737=ORIENTED_EDGE('',*,*,#177611,.F.); #229738=ORIENTED_EDGE('',*,*,#177601,.T.); #229739=ORIENTED_EDGE('',*,*,#177612,.F.); #229740=ORIENTED_EDGE('',*,*,#177613,.T.); #229741=ORIENTED_EDGE('',*,*,#177614,.F.); #229742=ORIENTED_EDGE('',*,*,#177613,.F.); #229743=ORIENTED_EDGE('',*,*,#177615,.F.); #229744=ORIENTED_EDGE('',*,*,#177616,.T.); #229745=ORIENTED_EDGE('',*,*,#177617,.F.); #229746=ORIENTED_EDGE('',*,*,#177616,.F.); #229747=ORIENTED_EDGE('',*,*,#177618,.F.); #229748=ORIENTED_EDGE('',*,*,#177619,.T.); #229749=ORIENTED_EDGE('',*,*,#177620,.F.); #229750=ORIENTED_EDGE('',*,*,#177619,.F.); #229751=ORIENTED_EDGE('',*,*,#177621,.F.); #229752=ORIENTED_EDGE('',*,*,#177622,.T.); #229753=ORIENTED_EDGE('',*,*,#177623,.F.); #229754=ORIENTED_EDGE('',*,*,#177622,.F.); #229755=ORIENTED_EDGE('',*,*,#177624,.F.); #229756=ORIENTED_EDGE('',*,*,#177625,.T.); #229757=ORIENTED_EDGE('',*,*,#177626,.F.); #229758=ORIENTED_EDGE('',*,*,#177625,.F.); #229759=ORIENTED_EDGE('',*,*,#177627,.F.); #229760=ORIENTED_EDGE('',*,*,#177628,.T.); #229761=ORIENTED_EDGE('',*,*,#177629,.F.); #229762=ORIENTED_EDGE('',*,*,#177628,.F.); #229763=ORIENTED_EDGE('',*,*,#177630,.F.); #229764=ORIENTED_EDGE('',*,*,#177631,.T.); #229765=ORIENTED_EDGE('',*,*,#177632,.F.); #229766=ORIENTED_EDGE('',*,*,#177631,.F.); #229767=ORIENTED_EDGE('',*,*,#177633,.F.); #229768=ORIENTED_EDGE('',*,*,#177634,.T.); #229769=ORIENTED_EDGE('',*,*,#177635,.F.); #229770=ORIENTED_EDGE('',*,*,#177634,.F.); #229771=ORIENTED_EDGE('',*,*,#177636,.F.); #229772=ORIENTED_EDGE('',*,*,#177637,.T.); #229773=ORIENTED_EDGE('',*,*,#177638,.F.); #229774=ORIENTED_EDGE('',*,*,#177637,.F.); #229775=ORIENTED_EDGE('',*,*,#177639,.T.); #229776=ORIENTED_EDGE('',*,*,#177640,.T.); #229777=ORIENTED_EDGE('',*,*,#177641,.F.); #229778=ORIENTED_EDGE('',*,*,#177642,.F.); #229779=ORIENTED_EDGE('',*,*,#177643,.T.); #229780=ORIENTED_EDGE('',*,*,#177642,.T.); #229781=ORIENTED_EDGE('',*,*,#177644,.F.); #229782=ORIENTED_EDGE('',*,*,#177645,.F.); #229783=ORIENTED_EDGE('',*,*,#177646,.T.); #229784=ORIENTED_EDGE('',*,*,#177645,.T.); #229785=ORIENTED_EDGE('',*,*,#177647,.F.); #229786=ORIENTED_EDGE('',*,*,#177648,.F.); #229787=ORIENTED_EDGE('',*,*,#177649,.T.); #229788=ORIENTED_EDGE('',*,*,#177648,.T.); #229789=ORIENTED_EDGE('',*,*,#177650,.F.); #229790=ORIENTED_EDGE('',*,*,#177651,.F.); #229791=ORIENTED_EDGE('',*,*,#177652,.T.); #229792=ORIENTED_EDGE('',*,*,#177651,.T.); #229793=ORIENTED_EDGE('',*,*,#177653,.F.); #229794=ORIENTED_EDGE('',*,*,#177654,.F.); #229795=ORIENTED_EDGE('',*,*,#177655,.T.); #229796=ORIENTED_EDGE('',*,*,#177654,.T.); #229797=ORIENTED_EDGE('',*,*,#177656,.F.); #229798=ORIENTED_EDGE('',*,*,#177657,.F.); #229799=ORIENTED_EDGE('',*,*,#177658,.T.); #229800=ORIENTED_EDGE('',*,*,#177657,.T.); #229801=ORIENTED_EDGE('',*,*,#177659,.F.); #229802=ORIENTED_EDGE('',*,*,#177660,.F.); #229803=ORIENTED_EDGE('',*,*,#177661,.T.); #229804=ORIENTED_EDGE('',*,*,#177660,.T.); #229805=ORIENTED_EDGE('',*,*,#177662,.F.); #229806=ORIENTED_EDGE('',*,*,#177663,.F.); #229807=ORIENTED_EDGE('',*,*,#177664,.T.); #229808=ORIENTED_EDGE('',*,*,#177663,.T.); #229809=ORIENTED_EDGE('',*,*,#177665,.F.); #229810=ORIENTED_EDGE('',*,*,#177666,.F.); #229811=ORIENTED_EDGE('',*,*,#177667,.T.); #229812=ORIENTED_EDGE('',*,*,#177666,.T.); #229813=ORIENTED_EDGE('',*,*,#177668,.F.); #229814=ORIENTED_EDGE('',*,*,#177669,.F.); #229815=ORIENTED_EDGE('',*,*,#177670,.T.); #229816=ORIENTED_EDGE('',*,*,#177669,.T.); #229817=ORIENTED_EDGE('',*,*,#177671,.F.); #229818=ORIENTED_EDGE('',*,*,#177672,.F.); #229819=ORIENTED_EDGE('',*,*,#177673,.T.); #229820=ORIENTED_EDGE('',*,*,#177672,.T.); #229821=ORIENTED_EDGE('',*,*,#177674,.F.); #229822=ORIENTED_EDGE('',*,*,#177675,.F.); #229823=ORIENTED_EDGE('',*,*,#177676,.T.); #229824=ORIENTED_EDGE('',*,*,#177675,.T.); #229825=ORIENTED_EDGE('',*,*,#177677,.F.); #229826=ORIENTED_EDGE('',*,*,#177678,.F.); #229827=ORIENTED_EDGE('',*,*,#177679,.T.); #229828=ORIENTED_EDGE('',*,*,#177678,.T.); #229829=ORIENTED_EDGE('',*,*,#177680,.F.); #229830=ORIENTED_EDGE('',*,*,#177681,.F.); #229831=ORIENTED_EDGE('',*,*,#177682,.T.); #229832=ORIENTED_EDGE('',*,*,#177681,.T.); #229833=ORIENTED_EDGE('',*,*,#177683,.F.); #229834=ORIENTED_EDGE('',*,*,#177684,.F.); #229835=ORIENTED_EDGE('',*,*,#177685,.T.); #229836=ORIENTED_EDGE('',*,*,#177684,.T.); #229837=ORIENTED_EDGE('',*,*,#177686,.F.); #229838=ORIENTED_EDGE('',*,*,#177640,.F.); #229839=ORIENTED_EDGE('',*,*,#177687,.T.); #229840=ORIENTED_EDGE('',*,*,#177688,.T.); #229841=ORIENTED_EDGE('',*,*,#177689,.F.); #229842=ORIENTED_EDGE('',*,*,#177690,.F.); #229843=ORIENTED_EDGE('',*,*,#177691,.T.); #229844=ORIENTED_EDGE('',*,*,#177690,.T.); #229845=ORIENTED_EDGE('',*,*,#177692,.F.); #229846=ORIENTED_EDGE('',*,*,#177693,.F.); #229847=ORIENTED_EDGE('',*,*,#177694,.T.); #229848=ORIENTED_EDGE('',*,*,#177693,.T.); #229849=ORIENTED_EDGE('',*,*,#177695,.F.); #229850=ORIENTED_EDGE('',*,*,#177696,.F.); #229851=ORIENTED_EDGE('',*,*,#177697,.T.); #229852=ORIENTED_EDGE('',*,*,#177696,.T.); #229853=ORIENTED_EDGE('',*,*,#177698,.F.); #229854=ORIENTED_EDGE('',*,*,#177699,.F.); #229855=ORIENTED_EDGE('',*,*,#177700,.T.); #229856=ORIENTED_EDGE('',*,*,#177699,.T.); #229857=ORIENTED_EDGE('',*,*,#177701,.F.); #229858=ORIENTED_EDGE('',*,*,#177702,.F.); #229859=ORIENTED_EDGE('',*,*,#177703,.T.); #229860=ORIENTED_EDGE('',*,*,#177702,.T.); #229861=ORIENTED_EDGE('',*,*,#177704,.F.); #229862=ORIENTED_EDGE('',*,*,#177705,.F.); #229863=ORIENTED_EDGE('',*,*,#177706,.T.); #229864=ORIENTED_EDGE('',*,*,#177705,.T.); #229865=ORIENTED_EDGE('',*,*,#177707,.F.); #229866=ORIENTED_EDGE('',*,*,#177708,.F.); #229867=ORIENTED_EDGE('',*,*,#177709,.T.); #229868=ORIENTED_EDGE('',*,*,#177708,.T.); #229869=ORIENTED_EDGE('',*,*,#177710,.F.); #229870=ORIENTED_EDGE('',*,*,#177711,.F.); #229871=ORIENTED_EDGE('',*,*,#177712,.T.); #229872=ORIENTED_EDGE('',*,*,#177711,.T.); #229873=ORIENTED_EDGE('',*,*,#177713,.F.); #229874=ORIENTED_EDGE('',*,*,#177714,.F.); #229875=ORIENTED_EDGE('',*,*,#177715,.T.); #229876=ORIENTED_EDGE('',*,*,#177714,.T.); #229877=ORIENTED_EDGE('',*,*,#177716,.F.); #229878=ORIENTED_EDGE('',*,*,#177717,.F.); #229879=ORIENTED_EDGE('',*,*,#177718,.T.); #229880=ORIENTED_EDGE('',*,*,#177717,.T.); #229881=ORIENTED_EDGE('',*,*,#177719,.F.); #229882=ORIENTED_EDGE('',*,*,#177720,.F.); #229883=ORIENTED_EDGE('',*,*,#177721,.T.); #229884=ORIENTED_EDGE('',*,*,#177720,.T.); #229885=ORIENTED_EDGE('',*,*,#177722,.F.); #229886=ORIENTED_EDGE('',*,*,#177723,.F.); #229887=ORIENTED_EDGE('',*,*,#177724,.T.); #229888=ORIENTED_EDGE('',*,*,#177723,.T.); #229889=ORIENTED_EDGE('',*,*,#177725,.F.); #229890=ORIENTED_EDGE('',*,*,#177726,.F.); #229891=ORIENTED_EDGE('',*,*,#177727,.T.); #229892=ORIENTED_EDGE('',*,*,#177726,.T.); #229893=ORIENTED_EDGE('',*,*,#177728,.F.); #229894=ORIENTED_EDGE('',*,*,#177729,.F.); #229895=ORIENTED_EDGE('',*,*,#177730,.T.); #229896=ORIENTED_EDGE('',*,*,#177729,.T.); #229897=ORIENTED_EDGE('',*,*,#177731,.F.); #229898=ORIENTED_EDGE('',*,*,#177732,.F.); #229899=ORIENTED_EDGE('',*,*,#177733,.T.); #229900=ORIENTED_EDGE('',*,*,#177732,.T.); #229901=ORIENTED_EDGE('',*,*,#177734,.F.); #229902=ORIENTED_EDGE('',*,*,#177735,.F.); #229903=ORIENTED_EDGE('',*,*,#177736,.T.); #229904=ORIENTED_EDGE('',*,*,#177735,.T.); #229905=ORIENTED_EDGE('',*,*,#177737,.F.); #229906=ORIENTED_EDGE('',*,*,#177738,.F.); #229907=ORIENTED_EDGE('',*,*,#177739,.T.); #229908=ORIENTED_EDGE('',*,*,#177738,.T.); #229909=ORIENTED_EDGE('',*,*,#177740,.F.); #229910=ORIENTED_EDGE('',*,*,#177741,.F.); #229911=ORIENTED_EDGE('',*,*,#177742,.T.); #229912=ORIENTED_EDGE('',*,*,#177741,.T.); #229913=ORIENTED_EDGE('',*,*,#177743,.F.); #229914=ORIENTED_EDGE('',*,*,#177744,.F.); #229915=ORIENTED_EDGE('',*,*,#177745,.T.); #229916=ORIENTED_EDGE('',*,*,#177744,.T.); #229917=ORIENTED_EDGE('',*,*,#177746,.F.); #229918=ORIENTED_EDGE('',*,*,#177747,.F.); #229919=ORIENTED_EDGE('',*,*,#177748,.T.); #229920=ORIENTED_EDGE('',*,*,#177747,.T.); #229921=ORIENTED_EDGE('',*,*,#177749,.F.); #229922=ORIENTED_EDGE('',*,*,#177750,.F.); #229923=ORIENTED_EDGE('',*,*,#177751,.T.); #229924=ORIENTED_EDGE('',*,*,#177750,.T.); #229925=ORIENTED_EDGE('',*,*,#177752,.F.); #229926=ORIENTED_EDGE('',*,*,#177753,.F.); #229927=ORIENTED_EDGE('',*,*,#177754,.T.); #229928=ORIENTED_EDGE('',*,*,#177753,.T.); #229929=ORIENTED_EDGE('',*,*,#177755,.F.); #229930=ORIENTED_EDGE('',*,*,#177756,.F.); #229931=ORIENTED_EDGE('',*,*,#177757,.T.); #229932=ORIENTED_EDGE('',*,*,#177756,.T.); #229933=ORIENTED_EDGE('',*,*,#177758,.F.); #229934=ORIENTED_EDGE('',*,*,#177759,.F.); #229935=ORIENTED_EDGE('',*,*,#177760,.T.); #229936=ORIENTED_EDGE('',*,*,#177759,.T.); #229937=ORIENTED_EDGE('',*,*,#177761,.F.); #229938=ORIENTED_EDGE('',*,*,#177762,.F.); #229939=ORIENTED_EDGE('',*,*,#177763,.T.); #229940=ORIENTED_EDGE('',*,*,#177762,.T.); #229941=ORIENTED_EDGE('',*,*,#177764,.F.); #229942=ORIENTED_EDGE('',*,*,#177765,.F.); #229943=ORIENTED_EDGE('',*,*,#177766,.T.); #229944=ORIENTED_EDGE('',*,*,#177765,.T.); #229945=ORIENTED_EDGE('',*,*,#177767,.F.); #229946=ORIENTED_EDGE('',*,*,#177768,.F.); #229947=ORIENTED_EDGE('',*,*,#177769,.T.); #229948=ORIENTED_EDGE('',*,*,#177768,.T.); #229949=ORIENTED_EDGE('',*,*,#177770,.F.); #229950=ORIENTED_EDGE('',*,*,#177771,.F.); #229951=ORIENTED_EDGE('',*,*,#177772,.T.); #229952=ORIENTED_EDGE('',*,*,#177771,.T.); #229953=ORIENTED_EDGE('',*,*,#177773,.F.); #229954=ORIENTED_EDGE('',*,*,#177774,.F.); #229955=ORIENTED_EDGE('',*,*,#177775,.T.); #229956=ORIENTED_EDGE('',*,*,#177774,.T.); #229957=ORIENTED_EDGE('',*,*,#177776,.F.); #229958=ORIENTED_EDGE('',*,*,#177777,.F.); #229959=ORIENTED_EDGE('',*,*,#177778,.T.); #229960=ORIENTED_EDGE('',*,*,#177777,.T.); #229961=ORIENTED_EDGE('',*,*,#177779,.F.); #229962=ORIENTED_EDGE('',*,*,#177780,.F.); #229963=ORIENTED_EDGE('',*,*,#177781,.T.); #229964=ORIENTED_EDGE('',*,*,#177780,.T.); #229965=ORIENTED_EDGE('',*,*,#177782,.F.); #229966=ORIENTED_EDGE('',*,*,#177783,.F.); #229967=ORIENTED_EDGE('',*,*,#177784,.T.); #229968=ORIENTED_EDGE('',*,*,#177783,.T.); #229969=ORIENTED_EDGE('',*,*,#177785,.F.); #229970=ORIENTED_EDGE('',*,*,#177786,.F.); #229971=ORIENTED_EDGE('',*,*,#177787,.T.); #229972=ORIENTED_EDGE('',*,*,#177786,.T.); #229973=ORIENTED_EDGE('',*,*,#177788,.F.); #229974=ORIENTED_EDGE('',*,*,#177789,.F.); #229975=ORIENTED_EDGE('',*,*,#177790,.T.); #229976=ORIENTED_EDGE('',*,*,#177789,.T.); #229977=ORIENTED_EDGE('',*,*,#177791,.F.); #229978=ORIENTED_EDGE('',*,*,#177792,.F.); #229979=ORIENTED_EDGE('',*,*,#177793,.T.); #229980=ORIENTED_EDGE('',*,*,#177792,.T.); #229981=ORIENTED_EDGE('',*,*,#177794,.F.); #229982=ORIENTED_EDGE('',*,*,#177795,.F.); #229983=ORIENTED_EDGE('',*,*,#177796,.T.); #229984=ORIENTED_EDGE('',*,*,#177795,.T.); #229985=ORIENTED_EDGE('',*,*,#177797,.F.); #229986=ORIENTED_EDGE('',*,*,#177798,.F.); #229987=ORIENTED_EDGE('',*,*,#177799,.T.); #229988=ORIENTED_EDGE('',*,*,#177798,.T.); #229989=ORIENTED_EDGE('',*,*,#177800,.F.); #229990=ORIENTED_EDGE('',*,*,#177801,.F.); #229991=ORIENTED_EDGE('',*,*,#177802,.T.); #229992=ORIENTED_EDGE('',*,*,#177801,.T.); #229993=ORIENTED_EDGE('',*,*,#177803,.F.); #229994=ORIENTED_EDGE('',*,*,#177804,.F.); #229995=ORIENTED_EDGE('',*,*,#177805,.T.); #229996=ORIENTED_EDGE('',*,*,#177804,.T.); #229997=ORIENTED_EDGE('',*,*,#177806,.F.); #229998=ORIENTED_EDGE('',*,*,#177807,.F.); #229999=ORIENTED_EDGE('',*,*,#177808,.T.); #230000=ORIENTED_EDGE('',*,*,#177807,.T.); #230001=ORIENTED_EDGE('',*,*,#177809,.F.); #230002=ORIENTED_EDGE('',*,*,#177810,.F.); #230003=ORIENTED_EDGE('',*,*,#177811,.T.); #230004=ORIENTED_EDGE('',*,*,#177810,.T.); #230005=ORIENTED_EDGE('',*,*,#177812,.F.); #230006=ORIENTED_EDGE('',*,*,#177813,.F.); #230007=ORIENTED_EDGE('',*,*,#177814,.T.); #230008=ORIENTED_EDGE('',*,*,#177813,.T.); #230009=ORIENTED_EDGE('',*,*,#177815,.F.); #230010=ORIENTED_EDGE('',*,*,#177816,.F.); #230011=ORIENTED_EDGE('',*,*,#177817,.T.); #230012=ORIENTED_EDGE('',*,*,#177816,.T.); #230013=ORIENTED_EDGE('',*,*,#177818,.F.); #230014=ORIENTED_EDGE('',*,*,#177819,.F.); #230015=ORIENTED_EDGE('',*,*,#177820,.T.); #230016=ORIENTED_EDGE('',*,*,#177819,.T.); #230017=ORIENTED_EDGE('',*,*,#177821,.F.); #230018=ORIENTED_EDGE('',*,*,#177822,.F.); #230019=ORIENTED_EDGE('',*,*,#177823,.T.); #230020=ORIENTED_EDGE('',*,*,#177822,.T.); #230021=ORIENTED_EDGE('',*,*,#177824,.F.); #230022=ORIENTED_EDGE('',*,*,#177825,.F.); #230023=ORIENTED_EDGE('',*,*,#177826,.T.); #230024=ORIENTED_EDGE('',*,*,#177825,.T.); #230025=ORIENTED_EDGE('',*,*,#177827,.F.); #230026=ORIENTED_EDGE('',*,*,#177828,.F.); #230027=ORIENTED_EDGE('',*,*,#177829,.T.); #230028=ORIENTED_EDGE('',*,*,#177828,.T.); #230029=ORIENTED_EDGE('',*,*,#177830,.F.); #230030=ORIENTED_EDGE('',*,*,#177831,.F.); #230031=ORIENTED_EDGE('',*,*,#177832,.T.); #230032=ORIENTED_EDGE('',*,*,#177831,.T.); #230033=ORIENTED_EDGE('',*,*,#177833,.F.); #230034=ORIENTED_EDGE('',*,*,#177834,.F.); #230035=ORIENTED_EDGE('',*,*,#177835,.T.); #230036=ORIENTED_EDGE('',*,*,#177834,.T.); #230037=ORIENTED_EDGE('',*,*,#177836,.F.); #230038=ORIENTED_EDGE('',*,*,#177837,.F.); #230039=ORIENTED_EDGE('',*,*,#177838,.T.); #230040=ORIENTED_EDGE('',*,*,#177837,.T.); #230041=ORIENTED_EDGE('',*,*,#177839,.F.); #230042=ORIENTED_EDGE('',*,*,#177840,.F.); #230043=ORIENTED_EDGE('',*,*,#177841,.T.); #230044=ORIENTED_EDGE('',*,*,#177840,.T.); #230045=ORIENTED_EDGE('',*,*,#177842,.F.); #230046=ORIENTED_EDGE('',*,*,#177843,.F.); #230047=ORIENTED_EDGE('',*,*,#177844,.T.); #230048=ORIENTED_EDGE('',*,*,#177843,.T.); #230049=ORIENTED_EDGE('',*,*,#177845,.F.); #230050=ORIENTED_EDGE('',*,*,#177846,.F.); #230051=ORIENTED_EDGE('',*,*,#177847,.T.); #230052=ORIENTED_EDGE('',*,*,#177846,.T.); #230053=ORIENTED_EDGE('',*,*,#177848,.F.); #230054=ORIENTED_EDGE('',*,*,#177849,.F.); #230055=ORIENTED_EDGE('',*,*,#177850,.T.); #230056=ORIENTED_EDGE('',*,*,#177849,.T.); #230057=ORIENTED_EDGE('',*,*,#177851,.F.); #230058=ORIENTED_EDGE('',*,*,#177852,.F.); #230059=ORIENTED_EDGE('',*,*,#177853,.T.); #230060=ORIENTED_EDGE('',*,*,#177852,.T.); #230061=ORIENTED_EDGE('',*,*,#177854,.F.); #230062=ORIENTED_EDGE('',*,*,#177855,.F.); #230063=ORIENTED_EDGE('',*,*,#177856,.T.); #230064=ORIENTED_EDGE('',*,*,#177855,.T.); #230065=ORIENTED_EDGE('',*,*,#177857,.F.); #230066=ORIENTED_EDGE('',*,*,#177858,.F.); #230067=ORIENTED_EDGE('',*,*,#177859,.T.); #230068=ORIENTED_EDGE('',*,*,#177858,.T.); #230069=ORIENTED_EDGE('',*,*,#177860,.F.); #230070=ORIENTED_EDGE('',*,*,#177861,.F.); #230071=ORIENTED_EDGE('',*,*,#177862,.T.); #230072=ORIENTED_EDGE('',*,*,#177861,.T.); #230073=ORIENTED_EDGE('',*,*,#177863,.F.); #230074=ORIENTED_EDGE('',*,*,#177864,.F.); #230075=ORIENTED_EDGE('',*,*,#177865,.T.); #230076=ORIENTED_EDGE('',*,*,#177864,.T.); #230077=ORIENTED_EDGE('',*,*,#177866,.F.); #230078=ORIENTED_EDGE('',*,*,#177867,.F.); #230079=ORIENTED_EDGE('',*,*,#177868,.T.); #230080=ORIENTED_EDGE('',*,*,#177867,.T.); #230081=ORIENTED_EDGE('',*,*,#177869,.F.); #230082=ORIENTED_EDGE('',*,*,#177870,.F.); #230083=ORIENTED_EDGE('',*,*,#177871,.T.); #230084=ORIENTED_EDGE('',*,*,#177870,.T.); #230085=ORIENTED_EDGE('',*,*,#177872,.F.); #230086=ORIENTED_EDGE('',*,*,#177873,.F.); #230087=ORIENTED_EDGE('',*,*,#177874,.T.); #230088=ORIENTED_EDGE('',*,*,#177873,.T.); #230089=ORIENTED_EDGE('',*,*,#177875,.F.); #230090=ORIENTED_EDGE('',*,*,#177876,.F.); #230091=ORIENTED_EDGE('',*,*,#177877,.T.); #230092=ORIENTED_EDGE('',*,*,#177876,.T.); #230093=ORIENTED_EDGE('',*,*,#177878,.F.); #230094=ORIENTED_EDGE('',*,*,#177879,.F.); #230095=ORIENTED_EDGE('',*,*,#177880,.T.); #230096=ORIENTED_EDGE('',*,*,#177879,.T.); #230097=ORIENTED_EDGE('',*,*,#177881,.F.); #230098=ORIENTED_EDGE('',*,*,#177882,.F.); #230099=ORIENTED_EDGE('',*,*,#177883,.T.); #230100=ORIENTED_EDGE('',*,*,#177882,.T.); #230101=ORIENTED_EDGE('',*,*,#177884,.F.); #230102=ORIENTED_EDGE('',*,*,#177885,.F.); #230103=ORIENTED_EDGE('',*,*,#177886,.T.); #230104=ORIENTED_EDGE('',*,*,#177885,.T.); #230105=ORIENTED_EDGE('',*,*,#177887,.F.); #230106=ORIENTED_EDGE('',*,*,#177888,.F.); #230107=ORIENTED_EDGE('',*,*,#177889,.T.); #230108=ORIENTED_EDGE('',*,*,#177888,.T.); #230109=ORIENTED_EDGE('',*,*,#177890,.F.); #230110=ORIENTED_EDGE('',*,*,#177891,.F.); #230111=ORIENTED_EDGE('',*,*,#177892,.T.); #230112=ORIENTED_EDGE('',*,*,#177891,.T.); #230113=ORIENTED_EDGE('',*,*,#177893,.F.); #230114=ORIENTED_EDGE('',*,*,#177894,.F.); #230115=ORIENTED_EDGE('',*,*,#177895,.T.); #230116=ORIENTED_EDGE('',*,*,#177894,.T.); #230117=ORIENTED_EDGE('',*,*,#177896,.F.); #230118=ORIENTED_EDGE('',*,*,#177897,.F.); #230119=ORIENTED_EDGE('',*,*,#177898,.T.); #230120=ORIENTED_EDGE('',*,*,#177897,.T.); #230121=ORIENTED_EDGE('',*,*,#177899,.F.); #230122=ORIENTED_EDGE('',*,*,#177900,.F.); #230123=ORIENTED_EDGE('',*,*,#177901,.T.); #230124=ORIENTED_EDGE('',*,*,#177900,.T.); #230125=ORIENTED_EDGE('',*,*,#177902,.F.); #230126=ORIENTED_EDGE('',*,*,#177903,.F.); #230127=ORIENTED_EDGE('',*,*,#177904,.T.); #230128=ORIENTED_EDGE('',*,*,#177903,.T.); #230129=ORIENTED_EDGE('',*,*,#177905,.F.); #230130=ORIENTED_EDGE('',*,*,#177906,.F.); #230131=ORIENTED_EDGE('',*,*,#177907,.T.); #230132=ORIENTED_EDGE('',*,*,#177906,.T.); #230133=ORIENTED_EDGE('',*,*,#177908,.F.); #230134=ORIENTED_EDGE('',*,*,#177909,.F.); #230135=ORIENTED_EDGE('',*,*,#177910,.T.); #230136=ORIENTED_EDGE('',*,*,#177909,.T.); #230137=ORIENTED_EDGE('',*,*,#177911,.F.); #230138=ORIENTED_EDGE('',*,*,#177912,.F.); #230139=ORIENTED_EDGE('',*,*,#177913,.T.); #230140=ORIENTED_EDGE('',*,*,#177912,.T.); #230141=ORIENTED_EDGE('',*,*,#177914,.F.); #230142=ORIENTED_EDGE('',*,*,#177915,.F.); #230143=ORIENTED_EDGE('',*,*,#177916,.T.); #230144=ORIENTED_EDGE('',*,*,#177915,.T.); #230145=ORIENTED_EDGE('',*,*,#177917,.F.); #230146=ORIENTED_EDGE('',*,*,#177918,.F.); #230147=ORIENTED_EDGE('',*,*,#177919,.T.); #230148=ORIENTED_EDGE('',*,*,#177918,.T.); #230149=ORIENTED_EDGE('',*,*,#177920,.F.); #230150=ORIENTED_EDGE('',*,*,#177921,.F.); #230151=ORIENTED_EDGE('',*,*,#177922,.T.); #230152=ORIENTED_EDGE('',*,*,#177921,.T.); #230153=ORIENTED_EDGE('',*,*,#177923,.F.); #230154=ORIENTED_EDGE('',*,*,#177924,.F.); #230155=ORIENTED_EDGE('',*,*,#177925,.T.); #230156=ORIENTED_EDGE('',*,*,#177924,.T.); #230157=ORIENTED_EDGE('',*,*,#177926,.F.); #230158=ORIENTED_EDGE('',*,*,#177927,.F.); #230159=ORIENTED_EDGE('',*,*,#177928,.T.); #230160=ORIENTED_EDGE('',*,*,#177927,.T.); #230161=ORIENTED_EDGE('',*,*,#177929,.F.); #230162=ORIENTED_EDGE('',*,*,#177930,.F.); #230163=ORIENTED_EDGE('',*,*,#177931,.T.); #230164=ORIENTED_EDGE('',*,*,#177930,.T.); #230165=ORIENTED_EDGE('',*,*,#177932,.F.); #230166=ORIENTED_EDGE('',*,*,#177933,.F.); #230167=ORIENTED_EDGE('',*,*,#177934,.T.); #230168=ORIENTED_EDGE('',*,*,#177933,.T.); #230169=ORIENTED_EDGE('',*,*,#177935,.F.); #230170=ORIENTED_EDGE('',*,*,#177936,.F.); #230171=ORIENTED_EDGE('',*,*,#177937,.T.); #230172=ORIENTED_EDGE('',*,*,#177936,.T.); #230173=ORIENTED_EDGE('',*,*,#177938,.F.); #230174=ORIENTED_EDGE('',*,*,#177939,.F.); #230175=ORIENTED_EDGE('',*,*,#177940,.T.); #230176=ORIENTED_EDGE('',*,*,#177939,.T.); #230177=ORIENTED_EDGE('',*,*,#177941,.F.); #230178=ORIENTED_EDGE('',*,*,#177942,.F.); #230179=ORIENTED_EDGE('',*,*,#177943,.T.); #230180=ORIENTED_EDGE('',*,*,#177942,.T.); #230181=ORIENTED_EDGE('',*,*,#177944,.F.); #230182=ORIENTED_EDGE('',*,*,#177945,.F.); #230183=ORIENTED_EDGE('',*,*,#177946,.T.); #230184=ORIENTED_EDGE('',*,*,#177945,.T.); #230185=ORIENTED_EDGE('',*,*,#177947,.F.); #230186=ORIENTED_EDGE('',*,*,#177948,.F.); #230187=ORIENTED_EDGE('',*,*,#177949,.T.); #230188=ORIENTED_EDGE('',*,*,#177948,.T.); #230189=ORIENTED_EDGE('',*,*,#177950,.F.); #230190=ORIENTED_EDGE('',*,*,#177951,.F.); #230191=ORIENTED_EDGE('',*,*,#177952,.T.); #230192=ORIENTED_EDGE('',*,*,#177951,.T.); #230193=ORIENTED_EDGE('',*,*,#177953,.F.); #230194=ORIENTED_EDGE('',*,*,#177954,.F.); #230195=ORIENTED_EDGE('',*,*,#177955,.T.); #230196=ORIENTED_EDGE('',*,*,#177954,.T.); #230197=ORIENTED_EDGE('',*,*,#177956,.F.); #230198=ORIENTED_EDGE('',*,*,#177957,.F.); #230199=ORIENTED_EDGE('',*,*,#177958,.T.); #230200=ORIENTED_EDGE('',*,*,#177957,.T.); #230201=ORIENTED_EDGE('',*,*,#177959,.F.); #230202=ORIENTED_EDGE('',*,*,#177960,.F.); #230203=ORIENTED_EDGE('',*,*,#177961,.T.); #230204=ORIENTED_EDGE('',*,*,#177960,.T.); #230205=ORIENTED_EDGE('',*,*,#177962,.F.); #230206=ORIENTED_EDGE('',*,*,#177963,.F.); #230207=ORIENTED_EDGE('',*,*,#177964,.T.); #230208=ORIENTED_EDGE('',*,*,#177963,.T.); #230209=ORIENTED_EDGE('',*,*,#177965,.F.); #230210=ORIENTED_EDGE('',*,*,#177966,.F.); #230211=ORIENTED_EDGE('',*,*,#177967,.T.); #230212=ORIENTED_EDGE('',*,*,#177966,.T.); #230213=ORIENTED_EDGE('',*,*,#177968,.F.); #230214=ORIENTED_EDGE('',*,*,#177969,.F.); #230215=ORIENTED_EDGE('',*,*,#177970,.T.); #230216=ORIENTED_EDGE('',*,*,#177969,.T.); #230217=ORIENTED_EDGE('',*,*,#177971,.F.); #230218=ORIENTED_EDGE('',*,*,#177972,.F.); #230219=ORIENTED_EDGE('',*,*,#177973,.T.); #230220=ORIENTED_EDGE('',*,*,#177972,.T.); #230221=ORIENTED_EDGE('',*,*,#177974,.F.); #230222=ORIENTED_EDGE('',*,*,#177975,.F.); #230223=ORIENTED_EDGE('',*,*,#177976,.T.); #230224=ORIENTED_EDGE('',*,*,#177975,.T.); #230225=ORIENTED_EDGE('',*,*,#177977,.F.); #230226=ORIENTED_EDGE('',*,*,#177978,.F.); #230227=ORIENTED_EDGE('',*,*,#177979,.T.); #230228=ORIENTED_EDGE('',*,*,#177978,.T.); #230229=ORIENTED_EDGE('',*,*,#177980,.F.); #230230=ORIENTED_EDGE('',*,*,#177981,.F.); #230231=ORIENTED_EDGE('',*,*,#177982,.T.); #230232=ORIENTED_EDGE('',*,*,#177981,.T.); #230233=ORIENTED_EDGE('',*,*,#177983,.F.); #230234=ORIENTED_EDGE('',*,*,#177984,.F.); #230235=ORIENTED_EDGE('',*,*,#177985,.T.); #230236=ORIENTED_EDGE('',*,*,#177984,.T.); #230237=ORIENTED_EDGE('',*,*,#177986,.F.); #230238=ORIENTED_EDGE('',*,*,#177987,.F.); #230239=ORIENTED_EDGE('',*,*,#177988,.T.); #230240=ORIENTED_EDGE('',*,*,#177987,.T.); #230241=ORIENTED_EDGE('',*,*,#177989,.F.); #230242=ORIENTED_EDGE('',*,*,#177990,.F.); #230243=ORIENTED_EDGE('',*,*,#177991,.T.); #230244=ORIENTED_EDGE('',*,*,#177990,.T.); #230245=ORIENTED_EDGE('',*,*,#177992,.F.); #230246=ORIENTED_EDGE('',*,*,#177993,.F.); #230247=ORIENTED_EDGE('',*,*,#177994,.T.); #230248=ORIENTED_EDGE('',*,*,#177993,.T.); #230249=ORIENTED_EDGE('',*,*,#177995,.F.); #230250=ORIENTED_EDGE('',*,*,#177996,.F.); #230251=ORIENTED_EDGE('',*,*,#177997,.T.); #230252=ORIENTED_EDGE('',*,*,#177996,.T.); #230253=ORIENTED_EDGE('',*,*,#177998,.F.); #230254=ORIENTED_EDGE('',*,*,#177999,.F.); #230255=ORIENTED_EDGE('',*,*,#178000,.T.); #230256=ORIENTED_EDGE('',*,*,#177999,.T.); #230257=ORIENTED_EDGE('',*,*,#178001,.F.); #230258=ORIENTED_EDGE('',*,*,#178002,.F.); #230259=ORIENTED_EDGE('',*,*,#178003,.T.); #230260=ORIENTED_EDGE('',*,*,#178002,.T.); #230261=ORIENTED_EDGE('',*,*,#178004,.F.); #230262=ORIENTED_EDGE('',*,*,#178005,.F.); #230263=ORIENTED_EDGE('',*,*,#178006,.T.); #230264=ORIENTED_EDGE('',*,*,#178005,.T.); #230265=ORIENTED_EDGE('',*,*,#178007,.F.); #230266=ORIENTED_EDGE('',*,*,#178008,.F.); #230267=ORIENTED_EDGE('',*,*,#178009,.T.); #230268=ORIENTED_EDGE('',*,*,#178008,.T.); #230269=ORIENTED_EDGE('',*,*,#178010,.F.); #230270=ORIENTED_EDGE('',*,*,#178011,.F.); #230271=ORIENTED_EDGE('',*,*,#178012,.T.); #230272=ORIENTED_EDGE('',*,*,#178011,.T.); #230273=ORIENTED_EDGE('',*,*,#178013,.F.); #230274=ORIENTED_EDGE('',*,*,#178014,.F.); #230275=ORIENTED_EDGE('',*,*,#178015,.T.); #230276=ORIENTED_EDGE('',*,*,#178014,.T.); #230277=ORIENTED_EDGE('',*,*,#178016,.F.); #230278=ORIENTED_EDGE('',*,*,#178017,.F.); #230279=ORIENTED_EDGE('',*,*,#178018,.T.); #230280=ORIENTED_EDGE('',*,*,#178017,.T.); #230281=ORIENTED_EDGE('',*,*,#178019,.F.); #230282=ORIENTED_EDGE('',*,*,#178020,.F.); #230283=ORIENTED_EDGE('',*,*,#178021,.T.); #230284=ORIENTED_EDGE('',*,*,#178020,.T.); #230285=ORIENTED_EDGE('',*,*,#178022,.F.); #230286=ORIENTED_EDGE('',*,*,#178023,.F.); #230287=ORIENTED_EDGE('',*,*,#178024,.T.); #230288=ORIENTED_EDGE('',*,*,#178023,.T.); #230289=ORIENTED_EDGE('',*,*,#178025,.F.); #230290=ORIENTED_EDGE('',*,*,#178026,.F.); #230291=ORIENTED_EDGE('',*,*,#178027,.T.); #230292=ORIENTED_EDGE('',*,*,#178026,.T.); #230293=ORIENTED_EDGE('',*,*,#178028,.F.); #230294=ORIENTED_EDGE('',*,*,#178029,.F.); #230295=ORIENTED_EDGE('',*,*,#178030,.T.); #230296=ORIENTED_EDGE('',*,*,#178029,.T.); #230297=ORIENTED_EDGE('',*,*,#178031,.F.); #230298=ORIENTED_EDGE('',*,*,#178032,.F.); #230299=ORIENTED_EDGE('',*,*,#178033,.T.); #230300=ORIENTED_EDGE('',*,*,#178032,.T.); #230301=ORIENTED_EDGE('',*,*,#178034,.F.); #230302=ORIENTED_EDGE('',*,*,#178035,.F.); #230303=ORIENTED_EDGE('',*,*,#178036,.T.); #230304=ORIENTED_EDGE('',*,*,#178035,.T.); #230305=ORIENTED_EDGE('',*,*,#178037,.F.); #230306=ORIENTED_EDGE('',*,*,#178038,.F.); #230307=ORIENTED_EDGE('',*,*,#178039,.T.); #230308=ORIENTED_EDGE('',*,*,#178038,.T.); #230309=ORIENTED_EDGE('',*,*,#178040,.F.); #230310=ORIENTED_EDGE('',*,*,#178041,.F.); #230311=ORIENTED_EDGE('',*,*,#178042,.T.); #230312=ORIENTED_EDGE('',*,*,#178041,.T.); #230313=ORIENTED_EDGE('',*,*,#178043,.F.); #230314=ORIENTED_EDGE('',*,*,#178044,.F.); #230315=ORIENTED_EDGE('',*,*,#178045,.T.); #230316=ORIENTED_EDGE('',*,*,#178044,.T.); #230317=ORIENTED_EDGE('',*,*,#178046,.F.); #230318=ORIENTED_EDGE('',*,*,#178047,.F.); #230319=ORIENTED_EDGE('',*,*,#178048,.T.); #230320=ORIENTED_EDGE('',*,*,#178047,.T.); #230321=ORIENTED_EDGE('',*,*,#178049,.F.); #230322=ORIENTED_EDGE('',*,*,#178050,.F.); #230323=ORIENTED_EDGE('',*,*,#178051,.T.); #230324=ORIENTED_EDGE('',*,*,#178050,.T.); #230325=ORIENTED_EDGE('',*,*,#178052,.F.); #230326=ORIENTED_EDGE('',*,*,#178053,.F.); #230327=ORIENTED_EDGE('',*,*,#178054,.T.); #230328=ORIENTED_EDGE('',*,*,#178053,.T.); #230329=ORIENTED_EDGE('',*,*,#178055,.F.); #230330=ORIENTED_EDGE('',*,*,#178056,.F.); #230331=ORIENTED_EDGE('',*,*,#178057,.T.); #230332=ORIENTED_EDGE('',*,*,#178056,.T.); #230333=ORIENTED_EDGE('',*,*,#178058,.F.); #230334=ORIENTED_EDGE('',*,*,#178059,.F.); #230335=ORIENTED_EDGE('',*,*,#178060,.T.); #230336=ORIENTED_EDGE('',*,*,#178059,.T.); #230337=ORIENTED_EDGE('',*,*,#178061,.F.); #230338=ORIENTED_EDGE('',*,*,#178062,.F.); #230339=ORIENTED_EDGE('',*,*,#178063,.T.); #230340=ORIENTED_EDGE('',*,*,#178062,.T.); #230341=ORIENTED_EDGE('',*,*,#178064,.F.); #230342=ORIENTED_EDGE('',*,*,#178065,.F.); #230343=ORIENTED_EDGE('',*,*,#178066,.T.); #230344=ORIENTED_EDGE('',*,*,#178065,.T.); #230345=ORIENTED_EDGE('',*,*,#178067,.F.); #230346=ORIENTED_EDGE('',*,*,#178068,.F.); #230347=ORIENTED_EDGE('',*,*,#178069,.T.); #230348=ORIENTED_EDGE('',*,*,#178068,.T.); #230349=ORIENTED_EDGE('',*,*,#178070,.F.); #230350=ORIENTED_EDGE('',*,*,#178071,.F.); #230351=ORIENTED_EDGE('',*,*,#178072,.T.); #230352=ORIENTED_EDGE('',*,*,#178071,.T.); #230353=ORIENTED_EDGE('',*,*,#178073,.F.); #230354=ORIENTED_EDGE('',*,*,#178074,.F.); #230355=ORIENTED_EDGE('',*,*,#178075,.T.); #230356=ORIENTED_EDGE('',*,*,#178074,.T.); #230357=ORIENTED_EDGE('',*,*,#178076,.F.); #230358=ORIENTED_EDGE('',*,*,#178077,.F.); #230359=ORIENTED_EDGE('',*,*,#178078,.T.); #230360=ORIENTED_EDGE('',*,*,#178077,.T.); #230361=ORIENTED_EDGE('',*,*,#178079,.F.); #230362=ORIENTED_EDGE('',*,*,#178080,.F.); #230363=ORIENTED_EDGE('',*,*,#178081,.T.); #230364=ORIENTED_EDGE('',*,*,#178080,.T.); #230365=ORIENTED_EDGE('',*,*,#178082,.F.); #230366=ORIENTED_EDGE('',*,*,#178083,.F.); #230367=ORIENTED_EDGE('',*,*,#178084,.T.); #230368=ORIENTED_EDGE('',*,*,#178083,.T.); #230369=ORIENTED_EDGE('',*,*,#178085,.F.); #230370=ORIENTED_EDGE('',*,*,#178086,.F.); #230371=ORIENTED_EDGE('',*,*,#178087,.T.); #230372=ORIENTED_EDGE('',*,*,#178086,.T.); #230373=ORIENTED_EDGE('',*,*,#178088,.F.); #230374=ORIENTED_EDGE('',*,*,#178089,.F.); #230375=ORIENTED_EDGE('',*,*,#178090,.T.); #230376=ORIENTED_EDGE('',*,*,#178089,.T.); #230377=ORIENTED_EDGE('',*,*,#178091,.F.); #230378=ORIENTED_EDGE('',*,*,#178092,.F.); #230379=ORIENTED_EDGE('',*,*,#178093,.T.); #230380=ORIENTED_EDGE('',*,*,#178092,.T.); #230381=ORIENTED_EDGE('',*,*,#178094,.F.); #230382=ORIENTED_EDGE('',*,*,#178095,.F.); #230383=ORIENTED_EDGE('',*,*,#178096,.T.); #230384=ORIENTED_EDGE('',*,*,#178095,.T.); #230385=ORIENTED_EDGE('',*,*,#178097,.F.); #230386=ORIENTED_EDGE('',*,*,#178098,.F.); #230387=ORIENTED_EDGE('',*,*,#178099,.T.); #230388=ORIENTED_EDGE('',*,*,#178098,.T.); #230389=ORIENTED_EDGE('',*,*,#178100,.F.); #230390=ORIENTED_EDGE('',*,*,#178101,.F.); #230391=ORIENTED_EDGE('',*,*,#178102,.T.); #230392=ORIENTED_EDGE('',*,*,#178101,.T.); #230393=ORIENTED_EDGE('',*,*,#178103,.F.); #230394=ORIENTED_EDGE('',*,*,#178104,.F.); #230395=ORIENTED_EDGE('',*,*,#178105,.T.); #230396=ORIENTED_EDGE('',*,*,#178104,.T.); #230397=ORIENTED_EDGE('',*,*,#178106,.F.); #230398=ORIENTED_EDGE('',*,*,#178107,.F.); #230399=ORIENTED_EDGE('',*,*,#178108,.T.); #230400=ORIENTED_EDGE('',*,*,#178107,.T.); #230401=ORIENTED_EDGE('',*,*,#178109,.F.); #230402=ORIENTED_EDGE('',*,*,#178110,.F.); #230403=ORIENTED_EDGE('',*,*,#178111,.T.); #230404=ORIENTED_EDGE('',*,*,#178110,.T.); #230405=ORIENTED_EDGE('',*,*,#178112,.F.); #230406=ORIENTED_EDGE('',*,*,#178113,.F.); #230407=ORIENTED_EDGE('',*,*,#178114,.T.); #230408=ORIENTED_EDGE('',*,*,#178113,.T.); #230409=ORIENTED_EDGE('',*,*,#178115,.F.); #230410=ORIENTED_EDGE('',*,*,#178116,.F.); #230411=ORIENTED_EDGE('',*,*,#178117,.T.); #230412=ORIENTED_EDGE('',*,*,#178116,.T.); #230413=ORIENTED_EDGE('',*,*,#178118,.F.); #230414=ORIENTED_EDGE('',*,*,#178119,.F.); #230415=ORIENTED_EDGE('',*,*,#178120,.T.); #230416=ORIENTED_EDGE('',*,*,#178119,.T.); #230417=ORIENTED_EDGE('',*,*,#178121,.F.); #230418=ORIENTED_EDGE('',*,*,#178122,.F.); #230419=ORIENTED_EDGE('',*,*,#178123,.T.); #230420=ORIENTED_EDGE('',*,*,#178122,.T.); #230421=ORIENTED_EDGE('',*,*,#178124,.F.); #230422=ORIENTED_EDGE('',*,*,#178125,.F.); #230423=ORIENTED_EDGE('',*,*,#178126,.T.); #230424=ORIENTED_EDGE('',*,*,#178125,.T.); #230425=ORIENTED_EDGE('',*,*,#178127,.F.); #230426=ORIENTED_EDGE('',*,*,#178128,.F.); #230427=ORIENTED_EDGE('',*,*,#178129,.T.); #230428=ORIENTED_EDGE('',*,*,#178128,.T.); #230429=ORIENTED_EDGE('',*,*,#178130,.F.); #230430=ORIENTED_EDGE('',*,*,#178131,.F.); #230431=ORIENTED_EDGE('',*,*,#178132,.T.); #230432=ORIENTED_EDGE('',*,*,#178131,.T.); #230433=ORIENTED_EDGE('',*,*,#178133,.F.); #230434=ORIENTED_EDGE('',*,*,#178134,.F.); #230435=ORIENTED_EDGE('',*,*,#178135,.T.); #230436=ORIENTED_EDGE('',*,*,#178134,.T.); #230437=ORIENTED_EDGE('',*,*,#178136,.F.); #230438=ORIENTED_EDGE('',*,*,#178137,.F.); #230439=ORIENTED_EDGE('',*,*,#178138,.T.); #230440=ORIENTED_EDGE('',*,*,#178137,.T.); #230441=ORIENTED_EDGE('',*,*,#178139,.F.); #230442=ORIENTED_EDGE('',*,*,#178140,.F.); #230443=ORIENTED_EDGE('',*,*,#178141,.T.); #230444=ORIENTED_EDGE('',*,*,#178140,.T.); #230445=ORIENTED_EDGE('',*,*,#178142,.F.); #230446=ORIENTED_EDGE('',*,*,#178143,.F.); #230447=ORIENTED_EDGE('',*,*,#178144,.T.); #230448=ORIENTED_EDGE('',*,*,#178143,.T.); #230449=ORIENTED_EDGE('',*,*,#178145,.F.); #230450=ORIENTED_EDGE('',*,*,#178146,.F.); #230451=ORIENTED_EDGE('',*,*,#178147,.T.); #230452=ORIENTED_EDGE('',*,*,#178146,.T.); #230453=ORIENTED_EDGE('',*,*,#178148,.F.); #230454=ORIENTED_EDGE('',*,*,#178149,.F.); #230455=ORIENTED_EDGE('',*,*,#178150,.T.); #230456=ORIENTED_EDGE('',*,*,#178149,.T.); #230457=ORIENTED_EDGE('',*,*,#178151,.F.); #230458=ORIENTED_EDGE('',*,*,#178152,.F.); #230459=ORIENTED_EDGE('',*,*,#178153,.T.); #230460=ORIENTED_EDGE('',*,*,#178152,.T.); #230461=ORIENTED_EDGE('',*,*,#178154,.F.); #230462=ORIENTED_EDGE('',*,*,#178155,.F.); #230463=ORIENTED_EDGE('',*,*,#178156,.T.); #230464=ORIENTED_EDGE('',*,*,#178155,.T.); #230465=ORIENTED_EDGE('',*,*,#178157,.F.); #230466=ORIENTED_EDGE('',*,*,#178158,.F.); #230467=ORIENTED_EDGE('',*,*,#178159,.T.); #230468=ORIENTED_EDGE('',*,*,#178158,.T.); #230469=ORIENTED_EDGE('',*,*,#178160,.F.); #230470=ORIENTED_EDGE('',*,*,#178161,.F.); #230471=ORIENTED_EDGE('',*,*,#178162,.T.); #230472=ORIENTED_EDGE('',*,*,#178161,.T.); #230473=ORIENTED_EDGE('',*,*,#178163,.F.); #230474=ORIENTED_EDGE('',*,*,#178164,.F.); #230475=ORIENTED_EDGE('',*,*,#178165,.T.); #230476=ORIENTED_EDGE('',*,*,#178164,.T.); #230477=ORIENTED_EDGE('',*,*,#178166,.F.); #230478=ORIENTED_EDGE('',*,*,#178167,.F.); #230479=ORIENTED_EDGE('',*,*,#178168,.T.); #230480=ORIENTED_EDGE('',*,*,#178167,.T.); #230481=ORIENTED_EDGE('',*,*,#178169,.F.); #230482=ORIENTED_EDGE('',*,*,#178170,.F.); #230483=ORIENTED_EDGE('',*,*,#178171,.T.); #230484=ORIENTED_EDGE('',*,*,#178170,.T.); #230485=ORIENTED_EDGE('',*,*,#178172,.F.); #230486=ORIENTED_EDGE('',*,*,#178173,.F.); #230487=ORIENTED_EDGE('',*,*,#178174,.T.); #230488=ORIENTED_EDGE('',*,*,#178173,.T.); #230489=ORIENTED_EDGE('',*,*,#178175,.F.); #230490=ORIENTED_EDGE('',*,*,#178176,.F.); #230491=ORIENTED_EDGE('',*,*,#178177,.T.); #230492=ORIENTED_EDGE('',*,*,#178176,.T.); #230493=ORIENTED_EDGE('',*,*,#178178,.F.); #230494=ORIENTED_EDGE('',*,*,#178179,.F.); #230495=ORIENTED_EDGE('',*,*,#178180,.T.); #230496=ORIENTED_EDGE('',*,*,#178179,.T.); #230497=ORIENTED_EDGE('',*,*,#178181,.F.); #230498=ORIENTED_EDGE('',*,*,#178182,.F.); #230499=ORIENTED_EDGE('',*,*,#178183,.T.); #230500=ORIENTED_EDGE('',*,*,#178182,.T.); #230501=ORIENTED_EDGE('',*,*,#178184,.F.); #230502=ORIENTED_EDGE('',*,*,#178185,.F.); #230503=ORIENTED_EDGE('',*,*,#178186,.T.); #230504=ORIENTED_EDGE('',*,*,#178185,.T.); #230505=ORIENTED_EDGE('',*,*,#178187,.F.); #230506=ORIENTED_EDGE('',*,*,#178188,.F.); #230507=ORIENTED_EDGE('',*,*,#178189,.T.); #230508=ORIENTED_EDGE('',*,*,#178188,.T.); #230509=ORIENTED_EDGE('',*,*,#178190,.F.); #230510=ORIENTED_EDGE('',*,*,#178191,.F.); #230511=ORIENTED_EDGE('',*,*,#178192,.T.); #230512=ORIENTED_EDGE('',*,*,#178191,.T.); #230513=ORIENTED_EDGE('',*,*,#178193,.F.); #230514=ORIENTED_EDGE('',*,*,#178194,.F.); #230515=ORIENTED_EDGE('',*,*,#178195,.T.); #230516=ORIENTED_EDGE('',*,*,#178194,.T.); #230517=ORIENTED_EDGE('',*,*,#178196,.F.); #230518=ORIENTED_EDGE('',*,*,#178197,.F.); #230519=ORIENTED_EDGE('',*,*,#178198,.T.); #230520=ORIENTED_EDGE('',*,*,#178197,.T.); #230521=ORIENTED_EDGE('',*,*,#178199,.F.); #230522=ORIENTED_EDGE('',*,*,#178200,.F.); #230523=ORIENTED_EDGE('',*,*,#178201,.T.); #230524=ORIENTED_EDGE('',*,*,#178200,.T.); #230525=ORIENTED_EDGE('',*,*,#178202,.F.); #230526=ORIENTED_EDGE('',*,*,#178203,.F.); #230527=ORIENTED_EDGE('',*,*,#178204,.T.); #230528=ORIENTED_EDGE('',*,*,#178203,.T.); #230529=ORIENTED_EDGE('',*,*,#178205,.F.); #230530=ORIENTED_EDGE('',*,*,#178206,.F.); #230531=ORIENTED_EDGE('',*,*,#178207,.T.); #230532=ORIENTED_EDGE('',*,*,#178206,.T.); #230533=ORIENTED_EDGE('',*,*,#178208,.F.); #230534=ORIENTED_EDGE('',*,*,#178209,.F.); #230535=ORIENTED_EDGE('',*,*,#178210,.T.); #230536=ORIENTED_EDGE('',*,*,#178209,.T.); #230537=ORIENTED_EDGE('',*,*,#178211,.F.); #230538=ORIENTED_EDGE('',*,*,#178212,.F.); #230539=ORIENTED_EDGE('',*,*,#178213,.T.); #230540=ORIENTED_EDGE('',*,*,#178212,.T.); #230541=ORIENTED_EDGE('',*,*,#178214,.F.); #230542=ORIENTED_EDGE('',*,*,#178215,.F.); #230543=ORIENTED_EDGE('',*,*,#178216,.T.); #230544=ORIENTED_EDGE('',*,*,#178215,.T.); #230545=ORIENTED_EDGE('',*,*,#178217,.F.); #230546=ORIENTED_EDGE('',*,*,#178218,.F.); #230547=ORIENTED_EDGE('',*,*,#178219,.T.); #230548=ORIENTED_EDGE('',*,*,#178218,.T.); #230549=ORIENTED_EDGE('',*,*,#178220,.F.); #230550=ORIENTED_EDGE('',*,*,#178221,.F.); #230551=ORIENTED_EDGE('',*,*,#178222,.T.); #230552=ORIENTED_EDGE('',*,*,#178221,.T.); #230553=ORIENTED_EDGE('',*,*,#178223,.F.); #230554=ORIENTED_EDGE('',*,*,#178224,.F.); #230555=ORIENTED_EDGE('',*,*,#178225,.T.); #230556=ORIENTED_EDGE('',*,*,#178224,.T.); #230557=ORIENTED_EDGE('',*,*,#178226,.F.); #230558=ORIENTED_EDGE('',*,*,#178227,.F.); #230559=ORIENTED_EDGE('',*,*,#178228,.T.); #230560=ORIENTED_EDGE('',*,*,#178227,.T.); #230561=ORIENTED_EDGE('',*,*,#178229,.F.); #230562=ORIENTED_EDGE('',*,*,#178230,.F.); #230563=ORIENTED_EDGE('',*,*,#178231,.T.); #230564=ORIENTED_EDGE('',*,*,#178230,.T.); #230565=ORIENTED_EDGE('',*,*,#178232,.F.); #230566=ORIENTED_EDGE('',*,*,#178233,.F.); #230567=ORIENTED_EDGE('',*,*,#178234,.T.); #230568=ORIENTED_EDGE('',*,*,#178233,.T.); #230569=ORIENTED_EDGE('',*,*,#178235,.F.); #230570=ORIENTED_EDGE('',*,*,#178236,.F.); #230571=ORIENTED_EDGE('',*,*,#178237,.T.); #230572=ORIENTED_EDGE('',*,*,#178236,.T.); #230573=ORIENTED_EDGE('',*,*,#178238,.F.); #230574=ORIENTED_EDGE('',*,*,#178239,.F.); #230575=ORIENTED_EDGE('',*,*,#178240,.T.); #230576=ORIENTED_EDGE('',*,*,#178239,.T.); #230577=ORIENTED_EDGE('',*,*,#178241,.F.); #230578=ORIENTED_EDGE('',*,*,#178242,.F.); #230579=ORIENTED_EDGE('',*,*,#178243,.T.); #230580=ORIENTED_EDGE('',*,*,#178242,.T.); #230581=ORIENTED_EDGE('',*,*,#178244,.F.); #230582=ORIENTED_EDGE('',*,*,#178245,.F.); #230583=ORIENTED_EDGE('',*,*,#178246,.T.); #230584=ORIENTED_EDGE('',*,*,#178245,.T.); #230585=ORIENTED_EDGE('',*,*,#178247,.F.); #230586=ORIENTED_EDGE('',*,*,#178248,.F.); #230587=ORIENTED_EDGE('',*,*,#178249,.T.); #230588=ORIENTED_EDGE('',*,*,#178248,.T.); #230589=ORIENTED_EDGE('',*,*,#178250,.F.); #230590=ORIENTED_EDGE('',*,*,#178251,.F.); #230591=ORIENTED_EDGE('',*,*,#178252,.T.); #230592=ORIENTED_EDGE('',*,*,#178251,.T.); #230593=ORIENTED_EDGE('',*,*,#178253,.F.); #230594=ORIENTED_EDGE('',*,*,#178254,.F.); #230595=ORIENTED_EDGE('',*,*,#178255,.T.); #230596=ORIENTED_EDGE('',*,*,#178254,.T.); #230597=ORIENTED_EDGE('',*,*,#178256,.F.); #230598=ORIENTED_EDGE('',*,*,#178257,.F.); #230599=ORIENTED_EDGE('',*,*,#178258,.T.); #230600=ORIENTED_EDGE('',*,*,#178257,.T.); #230601=ORIENTED_EDGE('',*,*,#178259,.F.); #230602=ORIENTED_EDGE('',*,*,#178260,.F.); #230603=ORIENTED_EDGE('',*,*,#178261,.T.); #230604=ORIENTED_EDGE('',*,*,#178260,.T.); #230605=ORIENTED_EDGE('',*,*,#178262,.F.); #230606=ORIENTED_EDGE('',*,*,#178263,.F.); #230607=ORIENTED_EDGE('',*,*,#178264,.T.); #230608=ORIENTED_EDGE('',*,*,#178263,.T.); #230609=ORIENTED_EDGE('',*,*,#178265,.F.); #230610=ORIENTED_EDGE('',*,*,#178266,.F.); #230611=ORIENTED_EDGE('',*,*,#178267,.T.); #230612=ORIENTED_EDGE('',*,*,#178266,.T.); #230613=ORIENTED_EDGE('',*,*,#178268,.F.); #230614=ORIENTED_EDGE('',*,*,#178269,.F.); #230615=ORIENTED_EDGE('',*,*,#178270,.T.); #230616=ORIENTED_EDGE('',*,*,#178269,.T.); #230617=ORIENTED_EDGE('',*,*,#178271,.F.); #230618=ORIENTED_EDGE('',*,*,#178272,.F.); #230619=ORIENTED_EDGE('',*,*,#178273,.T.); #230620=ORIENTED_EDGE('',*,*,#178272,.T.); #230621=ORIENTED_EDGE('',*,*,#178274,.F.); #230622=ORIENTED_EDGE('',*,*,#178275,.F.); #230623=ORIENTED_EDGE('',*,*,#178276,.T.); #230624=ORIENTED_EDGE('',*,*,#178275,.T.); #230625=ORIENTED_EDGE('',*,*,#178277,.F.); #230626=ORIENTED_EDGE('',*,*,#178278,.F.); #230627=ORIENTED_EDGE('',*,*,#178279,.T.); #230628=ORIENTED_EDGE('',*,*,#178278,.T.); #230629=ORIENTED_EDGE('',*,*,#178280,.F.); #230630=ORIENTED_EDGE('',*,*,#178281,.F.); #230631=ORIENTED_EDGE('',*,*,#178282,.T.); #230632=ORIENTED_EDGE('',*,*,#178281,.T.); #230633=ORIENTED_EDGE('',*,*,#178283,.F.); #230634=ORIENTED_EDGE('',*,*,#178284,.F.); #230635=ORIENTED_EDGE('',*,*,#178285,.T.); #230636=ORIENTED_EDGE('',*,*,#178284,.T.); #230637=ORIENTED_EDGE('',*,*,#178286,.F.); #230638=ORIENTED_EDGE('',*,*,#178287,.F.); #230639=ORIENTED_EDGE('',*,*,#178288,.T.); #230640=ORIENTED_EDGE('',*,*,#178287,.T.); #230641=ORIENTED_EDGE('',*,*,#178289,.F.); #230642=ORIENTED_EDGE('',*,*,#178290,.F.); #230643=ORIENTED_EDGE('',*,*,#178291,.T.); #230644=ORIENTED_EDGE('',*,*,#178290,.T.); #230645=ORIENTED_EDGE('',*,*,#178292,.F.); #230646=ORIENTED_EDGE('',*,*,#178293,.F.); #230647=ORIENTED_EDGE('',*,*,#178294,.T.); #230648=ORIENTED_EDGE('',*,*,#178293,.T.); #230649=ORIENTED_EDGE('',*,*,#178295,.F.); #230650=ORIENTED_EDGE('',*,*,#178296,.F.); #230651=ORIENTED_EDGE('',*,*,#178297,.T.); #230652=ORIENTED_EDGE('',*,*,#178296,.T.); #230653=ORIENTED_EDGE('',*,*,#178298,.F.); #230654=ORIENTED_EDGE('',*,*,#178299,.F.); #230655=ORIENTED_EDGE('',*,*,#178300,.T.); #230656=ORIENTED_EDGE('',*,*,#178299,.T.); #230657=ORIENTED_EDGE('',*,*,#178301,.F.); #230658=ORIENTED_EDGE('',*,*,#178302,.F.); #230659=ORIENTED_EDGE('',*,*,#178303,.T.); #230660=ORIENTED_EDGE('',*,*,#178302,.T.); #230661=ORIENTED_EDGE('',*,*,#178304,.F.); #230662=ORIENTED_EDGE('',*,*,#178305,.F.); #230663=ORIENTED_EDGE('',*,*,#178306,.T.); #230664=ORIENTED_EDGE('',*,*,#178305,.T.); #230665=ORIENTED_EDGE('',*,*,#178307,.F.); #230666=ORIENTED_EDGE('',*,*,#178308,.F.); #230667=ORIENTED_EDGE('',*,*,#178309,.T.); #230668=ORIENTED_EDGE('',*,*,#178308,.T.); #230669=ORIENTED_EDGE('',*,*,#178310,.F.); #230670=ORIENTED_EDGE('',*,*,#178311,.F.); #230671=ORIENTED_EDGE('',*,*,#178312,.T.); #230672=ORIENTED_EDGE('',*,*,#178311,.T.); #230673=ORIENTED_EDGE('',*,*,#178313,.F.); #230674=ORIENTED_EDGE('',*,*,#178314,.F.); #230675=ORIENTED_EDGE('',*,*,#178315,.T.); #230676=ORIENTED_EDGE('',*,*,#178314,.T.); #230677=ORIENTED_EDGE('',*,*,#178316,.F.); #230678=ORIENTED_EDGE('',*,*,#178317,.F.); #230679=ORIENTED_EDGE('',*,*,#178318,.T.); #230680=ORIENTED_EDGE('',*,*,#178317,.T.); #230681=ORIENTED_EDGE('',*,*,#178319,.F.); #230682=ORIENTED_EDGE('',*,*,#177688,.F.); #230683=ORIENTED_EDGE('',*,*,#178319,.T.); #230684=ORIENTED_EDGE('',*,*,#178316,.T.); #230685=ORIENTED_EDGE('',*,*,#178313,.T.); #230686=ORIENTED_EDGE('',*,*,#178310,.T.); #230687=ORIENTED_EDGE('',*,*,#178307,.T.); #230688=ORIENTED_EDGE('',*,*,#178304,.T.); #230689=ORIENTED_EDGE('',*,*,#178301,.T.); #230690=ORIENTED_EDGE('',*,*,#178298,.T.); #230691=ORIENTED_EDGE('',*,*,#178295,.T.); #230692=ORIENTED_EDGE('',*,*,#178292,.T.); #230693=ORIENTED_EDGE('',*,*,#178289,.T.); #230694=ORIENTED_EDGE('',*,*,#178286,.T.); #230695=ORIENTED_EDGE('',*,*,#178283,.T.); #230696=ORIENTED_EDGE('',*,*,#178280,.T.); #230697=ORIENTED_EDGE('',*,*,#178277,.T.); #230698=ORIENTED_EDGE('',*,*,#178274,.T.); #230699=ORIENTED_EDGE('',*,*,#178271,.T.); #230700=ORIENTED_EDGE('',*,*,#178268,.T.); #230701=ORIENTED_EDGE('',*,*,#178265,.T.); #230702=ORIENTED_EDGE('',*,*,#178262,.T.); #230703=ORIENTED_EDGE('',*,*,#178259,.T.); #230704=ORIENTED_EDGE('',*,*,#178256,.T.); #230705=ORIENTED_EDGE('',*,*,#178253,.T.); #230706=ORIENTED_EDGE('',*,*,#178250,.T.); #230707=ORIENTED_EDGE('',*,*,#178247,.T.); #230708=ORIENTED_EDGE('',*,*,#178244,.T.); #230709=ORIENTED_EDGE('',*,*,#178241,.T.); #230710=ORIENTED_EDGE('',*,*,#178238,.T.); #230711=ORIENTED_EDGE('',*,*,#178235,.T.); #230712=ORIENTED_EDGE('',*,*,#178232,.T.); #230713=ORIENTED_EDGE('',*,*,#178229,.T.); #230714=ORIENTED_EDGE('',*,*,#178226,.T.); #230715=ORIENTED_EDGE('',*,*,#178223,.T.); #230716=ORIENTED_EDGE('',*,*,#178220,.T.); #230717=ORIENTED_EDGE('',*,*,#178217,.T.); #230718=ORIENTED_EDGE('',*,*,#178214,.T.); #230719=ORIENTED_EDGE('',*,*,#178211,.T.); #230720=ORIENTED_EDGE('',*,*,#178208,.T.); #230721=ORIENTED_EDGE('',*,*,#178205,.T.); #230722=ORIENTED_EDGE('',*,*,#178202,.T.); #230723=ORIENTED_EDGE('',*,*,#178199,.T.); #230724=ORIENTED_EDGE('',*,*,#178196,.T.); #230725=ORIENTED_EDGE('',*,*,#178193,.T.); #230726=ORIENTED_EDGE('',*,*,#178190,.T.); #230727=ORIENTED_EDGE('',*,*,#178187,.T.); #230728=ORIENTED_EDGE('',*,*,#178184,.T.); #230729=ORIENTED_EDGE('',*,*,#178181,.T.); #230730=ORIENTED_EDGE('',*,*,#178178,.T.); #230731=ORIENTED_EDGE('',*,*,#178175,.T.); #230732=ORIENTED_EDGE('',*,*,#178172,.T.); #230733=ORIENTED_EDGE('',*,*,#178169,.T.); #230734=ORIENTED_EDGE('',*,*,#178166,.T.); #230735=ORIENTED_EDGE('',*,*,#178163,.T.); #230736=ORIENTED_EDGE('',*,*,#178160,.T.); #230737=ORIENTED_EDGE('',*,*,#178157,.T.); #230738=ORIENTED_EDGE('',*,*,#178154,.T.); #230739=ORIENTED_EDGE('',*,*,#178151,.T.); #230740=ORIENTED_EDGE('',*,*,#178148,.T.); #230741=ORIENTED_EDGE('',*,*,#178145,.T.); #230742=ORIENTED_EDGE('',*,*,#178142,.T.); #230743=ORIENTED_EDGE('',*,*,#178139,.T.); #230744=ORIENTED_EDGE('',*,*,#178136,.T.); #230745=ORIENTED_EDGE('',*,*,#178133,.T.); #230746=ORIENTED_EDGE('',*,*,#178130,.T.); #230747=ORIENTED_EDGE('',*,*,#178127,.T.); #230748=ORIENTED_EDGE('',*,*,#178124,.T.); #230749=ORIENTED_EDGE('',*,*,#178121,.T.); #230750=ORIENTED_EDGE('',*,*,#178118,.T.); #230751=ORIENTED_EDGE('',*,*,#178115,.T.); #230752=ORIENTED_EDGE('',*,*,#178112,.T.); #230753=ORIENTED_EDGE('',*,*,#178109,.T.); #230754=ORIENTED_EDGE('',*,*,#178106,.T.); #230755=ORIENTED_EDGE('',*,*,#178103,.T.); #230756=ORIENTED_EDGE('',*,*,#178100,.T.); #230757=ORIENTED_EDGE('',*,*,#178097,.T.); #230758=ORIENTED_EDGE('',*,*,#178094,.T.); #230759=ORIENTED_EDGE('',*,*,#178091,.T.); #230760=ORIENTED_EDGE('',*,*,#178088,.T.); #230761=ORIENTED_EDGE('',*,*,#178085,.T.); #230762=ORIENTED_EDGE('',*,*,#178082,.T.); #230763=ORIENTED_EDGE('',*,*,#178079,.T.); #230764=ORIENTED_EDGE('',*,*,#178076,.T.); #230765=ORIENTED_EDGE('',*,*,#178073,.T.); #230766=ORIENTED_EDGE('',*,*,#178070,.T.); #230767=ORIENTED_EDGE('',*,*,#178067,.T.); #230768=ORIENTED_EDGE('',*,*,#178064,.T.); #230769=ORIENTED_EDGE('',*,*,#178061,.T.); #230770=ORIENTED_EDGE('',*,*,#178058,.T.); #230771=ORIENTED_EDGE('',*,*,#178055,.T.); #230772=ORIENTED_EDGE('',*,*,#178052,.T.); #230773=ORIENTED_EDGE('',*,*,#178049,.T.); #230774=ORIENTED_EDGE('',*,*,#178046,.T.); #230775=ORIENTED_EDGE('',*,*,#178043,.T.); #230776=ORIENTED_EDGE('',*,*,#178040,.T.); #230777=ORIENTED_EDGE('',*,*,#178037,.T.); #230778=ORIENTED_EDGE('',*,*,#178034,.T.); #230779=ORIENTED_EDGE('',*,*,#178031,.T.); #230780=ORIENTED_EDGE('',*,*,#178028,.T.); #230781=ORIENTED_EDGE('',*,*,#178025,.T.); #230782=ORIENTED_EDGE('',*,*,#178022,.T.); #230783=ORIENTED_EDGE('',*,*,#178019,.T.); #230784=ORIENTED_EDGE('',*,*,#178016,.T.); #230785=ORIENTED_EDGE('',*,*,#178013,.T.); #230786=ORIENTED_EDGE('',*,*,#178010,.T.); #230787=ORIENTED_EDGE('',*,*,#178007,.T.); #230788=ORIENTED_EDGE('',*,*,#178004,.T.); #230789=ORIENTED_EDGE('',*,*,#178001,.T.); #230790=ORIENTED_EDGE('',*,*,#177998,.T.); #230791=ORIENTED_EDGE('',*,*,#177995,.T.); #230792=ORIENTED_EDGE('',*,*,#177992,.T.); #230793=ORIENTED_EDGE('',*,*,#177989,.T.); #230794=ORIENTED_EDGE('',*,*,#177986,.T.); #230795=ORIENTED_EDGE('',*,*,#177983,.T.); #230796=ORIENTED_EDGE('',*,*,#177980,.T.); #230797=ORIENTED_EDGE('',*,*,#177977,.T.); #230798=ORIENTED_EDGE('',*,*,#177974,.T.); #230799=ORIENTED_EDGE('',*,*,#177971,.T.); #230800=ORIENTED_EDGE('',*,*,#177968,.T.); #230801=ORIENTED_EDGE('',*,*,#177965,.T.); #230802=ORIENTED_EDGE('',*,*,#177962,.T.); #230803=ORIENTED_EDGE('',*,*,#177959,.T.); #230804=ORIENTED_EDGE('',*,*,#177956,.T.); #230805=ORIENTED_EDGE('',*,*,#177953,.T.); #230806=ORIENTED_EDGE('',*,*,#177950,.T.); #230807=ORIENTED_EDGE('',*,*,#177947,.T.); #230808=ORIENTED_EDGE('',*,*,#177944,.T.); #230809=ORIENTED_EDGE('',*,*,#177941,.T.); #230810=ORIENTED_EDGE('',*,*,#177938,.T.); #230811=ORIENTED_EDGE('',*,*,#177935,.T.); #230812=ORIENTED_EDGE('',*,*,#177932,.T.); #230813=ORIENTED_EDGE('',*,*,#177929,.T.); #230814=ORIENTED_EDGE('',*,*,#177926,.T.); #230815=ORIENTED_EDGE('',*,*,#177923,.T.); #230816=ORIENTED_EDGE('',*,*,#177920,.T.); #230817=ORIENTED_EDGE('',*,*,#177917,.T.); #230818=ORIENTED_EDGE('',*,*,#177914,.T.); #230819=ORIENTED_EDGE('',*,*,#177911,.T.); #230820=ORIENTED_EDGE('',*,*,#177908,.T.); #230821=ORIENTED_EDGE('',*,*,#177905,.T.); #230822=ORIENTED_EDGE('',*,*,#177902,.T.); #230823=ORIENTED_EDGE('',*,*,#177899,.T.); #230824=ORIENTED_EDGE('',*,*,#177896,.T.); #230825=ORIENTED_EDGE('',*,*,#177893,.T.); #230826=ORIENTED_EDGE('',*,*,#177890,.T.); #230827=ORIENTED_EDGE('',*,*,#177887,.T.); #230828=ORIENTED_EDGE('',*,*,#177884,.T.); #230829=ORIENTED_EDGE('',*,*,#177881,.T.); #230830=ORIENTED_EDGE('',*,*,#177878,.T.); #230831=ORIENTED_EDGE('',*,*,#177875,.T.); #230832=ORIENTED_EDGE('',*,*,#177872,.T.); #230833=ORIENTED_EDGE('',*,*,#177869,.T.); #230834=ORIENTED_EDGE('',*,*,#177866,.T.); #230835=ORIENTED_EDGE('',*,*,#177863,.T.); #230836=ORIENTED_EDGE('',*,*,#177860,.T.); #230837=ORIENTED_EDGE('',*,*,#177857,.T.); #230838=ORIENTED_EDGE('',*,*,#177854,.T.); #230839=ORIENTED_EDGE('',*,*,#177851,.T.); #230840=ORIENTED_EDGE('',*,*,#177848,.T.); #230841=ORIENTED_EDGE('',*,*,#177845,.T.); #230842=ORIENTED_EDGE('',*,*,#177842,.T.); #230843=ORIENTED_EDGE('',*,*,#177839,.T.); #230844=ORIENTED_EDGE('',*,*,#177836,.T.); #230845=ORIENTED_EDGE('',*,*,#177833,.T.); #230846=ORIENTED_EDGE('',*,*,#177830,.T.); #230847=ORIENTED_EDGE('',*,*,#177827,.T.); #230848=ORIENTED_EDGE('',*,*,#177824,.T.); #230849=ORIENTED_EDGE('',*,*,#177821,.T.); #230850=ORIENTED_EDGE('',*,*,#177818,.T.); #230851=ORIENTED_EDGE('',*,*,#177815,.T.); #230852=ORIENTED_EDGE('',*,*,#177812,.T.); #230853=ORIENTED_EDGE('',*,*,#177809,.T.); #230854=ORIENTED_EDGE('',*,*,#177806,.T.); #230855=ORIENTED_EDGE('',*,*,#177803,.T.); #230856=ORIENTED_EDGE('',*,*,#177800,.T.); #230857=ORIENTED_EDGE('',*,*,#177797,.T.); #230858=ORIENTED_EDGE('',*,*,#177794,.T.); #230859=ORIENTED_EDGE('',*,*,#177791,.T.); #230860=ORIENTED_EDGE('',*,*,#177788,.T.); #230861=ORIENTED_EDGE('',*,*,#177785,.T.); #230862=ORIENTED_EDGE('',*,*,#177782,.T.); #230863=ORIENTED_EDGE('',*,*,#177779,.T.); #230864=ORIENTED_EDGE('',*,*,#177776,.T.); #230865=ORIENTED_EDGE('',*,*,#177773,.T.); #230866=ORIENTED_EDGE('',*,*,#177770,.T.); #230867=ORIENTED_EDGE('',*,*,#177767,.T.); #230868=ORIENTED_EDGE('',*,*,#177764,.T.); #230869=ORIENTED_EDGE('',*,*,#177761,.T.); #230870=ORIENTED_EDGE('',*,*,#177758,.T.); #230871=ORIENTED_EDGE('',*,*,#177755,.T.); #230872=ORIENTED_EDGE('',*,*,#177752,.T.); #230873=ORIENTED_EDGE('',*,*,#177749,.T.); #230874=ORIENTED_EDGE('',*,*,#177746,.T.); #230875=ORIENTED_EDGE('',*,*,#177743,.T.); #230876=ORIENTED_EDGE('',*,*,#177740,.T.); #230877=ORIENTED_EDGE('',*,*,#177737,.T.); #230878=ORIENTED_EDGE('',*,*,#177734,.T.); #230879=ORIENTED_EDGE('',*,*,#177731,.T.); #230880=ORIENTED_EDGE('',*,*,#177728,.T.); #230881=ORIENTED_EDGE('',*,*,#177725,.T.); #230882=ORIENTED_EDGE('',*,*,#177722,.T.); #230883=ORIENTED_EDGE('',*,*,#177719,.T.); #230884=ORIENTED_EDGE('',*,*,#177716,.T.); #230885=ORIENTED_EDGE('',*,*,#177713,.T.); #230886=ORIENTED_EDGE('',*,*,#177710,.T.); #230887=ORIENTED_EDGE('',*,*,#177707,.T.); #230888=ORIENTED_EDGE('',*,*,#177704,.T.); #230889=ORIENTED_EDGE('',*,*,#177701,.T.); #230890=ORIENTED_EDGE('',*,*,#177698,.T.); #230891=ORIENTED_EDGE('',*,*,#177695,.T.); #230892=ORIENTED_EDGE('',*,*,#177692,.T.); #230893=ORIENTED_EDGE('',*,*,#177689,.T.); #230894=ORIENTED_EDGE('',*,*,#177599,.T.); #230895=ORIENTED_EDGE('',*,*,#177607,.T.); #230896=ORIENTED_EDGE('',*,*,#177610,.T.); #230897=ORIENTED_EDGE('',*,*,#177600,.T.); #230898=ORIENTED_EDGE('',*,*,#177604,.T.); #230899=ORIENTED_EDGE('',*,*,#177614,.T.); #230900=ORIENTED_EDGE('',*,*,#177617,.T.); #230901=ORIENTED_EDGE('',*,*,#177620,.T.); #230902=ORIENTED_EDGE('',*,*,#177623,.T.); #230903=ORIENTED_EDGE('',*,*,#177626,.T.); #230904=ORIENTED_EDGE('',*,*,#177629,.T.); #230905=ORIENTED_EDGE('',*,*,#177632,.T.); #230906=ORIENTED_EDGE('',*,*,#177635,.T.); #230907=ORIENTED_EDGE('',*,*,#177638,.T.); #230908=ORIENTED_EDGE('',*,*,#177686,.T.); #230909=ORIENTED_EDGE('',*,*,#177683,.T.); #230910=ORIENTED_EDGE('',*,*,#177680,.T.); #230911=ORIENTED_EDGE('',*,*,#177677,.T.); #230912=ORIENTED_EDGE('',*,*,#177674,.T.); #230913=ORIENTED_EDGE('',*,*,#177671,.T.); #230914=ORIENTED_EDGE('',*,*,#177668,.T.); #230915=ORIENTED_EDGE('',*,*,#177665,.T.); #230916=ORIENTED_EDGE('',*,*,#177662,.T.); #230917=ORIENTED_EDGE('',*,*,#177659,.T.); #230918=ORIENTED_EDGE('',*,*,#177656,.T.); #230919=ORIENTED_EDGE('',*,*,#177653,.T.); #230920=ORIENTED_EDGE('',*,*,#177650,.T.); #230921=ORIENTED_EDGE('',*,*,#177647,.T.); #230922=ORIENTED_EDGE('',*,*,#177644,.T.); #230923=ORIENTED_EDGE('',*,*,#177641,.T.); #230924=ORIENTED_EDGE('',*,*,#178318,.F.); #230925=ORIENTED_EDGE('',*,*,#177687,.F.); #230926=ORIENTED_EDGE('',*,*,#177691,.F.); #230927=ORIENTED_EDGE('',*,*,#177694,.F.); #230928=ORIENTED_EDGE('',*,*,#177697,.F.); #230929=ORIENTED_EDGE('',*,*,#177700,.F.); #230930=ORIENTED_EDGE('',*,*,#177703,.F.); #230931=ORIENTED_EDGE('',*,*,#177706,.F.); #230932=ORIENTED_EDGE('',*,*,#177709,.F.); #230933=ORIENTED_EDGE('',*,*,#177712,.F.); #230934=ORIENTED_EDGE('',*,*,#177715,.F.); #230935=ORIENTED_EDGE('',*,*,#177718,.F.); #230936=ORIENTED_EDGE('',*,*,#177721,.F.); #230937=ORIENTED_EDGE('',*,*,#177724,.F.); #230938=ORIENTED_EDGE('',*,*,#177727,.F.); #230939=ORIENTED_EDGE('',*,*,#177730,.F.); #230940=ORIENTED_EDGE('',*,*,#177733,.F.); #230941=ORIENTED_EDGE('',*,*,#177736,.F.); #230942=ORIENTED_EDGE('',*,*,#177739,.F.); #230943=ORIENTED_EDGE('',*,*,#177742,.F.); #230944=ORIENTED_EDGE('',*,*,#177745,.F.); #230945=ORIENTED_EDGE('',*,*,#177748,.F.); #230946=ORIENTED_EDGE('',*,*,#177751,.F.); #230947=ORIENTED_EDGE('',*,*,#177754,.F.); #230948=ORIENTED_EDGE('',*,*,#177757,.F.); #230949=ORIENTED_EDGE('',*,*,#177760,.F.); #230950=ORIENTED_EDGE('',*,*,#177763,.F.); #230951=ORIENTED_EDGE('',*,*,#177766,.F.); #230952=ORIENTED_EDGE('',*,*,#177769,.F.); #230953=ORIENTED_EDGE('',*,*,#177772,.F.); #230954=ORIENTED_EDGE('',*,*,#177775,.F.); #230955=ORIENTED_EDGE('',*,*,#177778,.F.); #230956=ORIENTED_EDGE('',*,*,#177781,.F.); #230957=ORIENTED_EDGE('',*,*,#177784,.F.); #230958=ORIENTED_EDGE('',*,*,#177787,.F.); #230959=ORIENTED_EDGE('',*,*,#177790,.F.); #230960=ORIENTED_EDGE('',*,*,#177793,.F.); #230961=ORIENTED_EDGE('',*,*,#177796,.F.); #230962=ORIENTED_EDGE('',*,*,#177799,.F.); #230963=ORIENTED_EDGE('',*,*,#177802,.F.); #230964=ORIENTED_EDGE('',*,*,#177805,.F.); #230965=ORIENTED_EDGE('',*,*,#177808,.F.); #230966=ORIENTED_EDGE('',*,*,#177811,.F.); #230967=ORIENTED_EDGE('',*,*,#177814,.F.); #230968=ORIENTED_EDGE('',*,*,#177817,.F.); #230969=ORIENTED_EDGE('',*,*,#177820,.F.); #230970=ORIENTED_EDGE('',*,*,#177823,.F.); #230971=ORIENTED_EDGE('',*,*,#177826,.F.); #230972=ORIENTED_EDGE('',*,*,#177829,.F.); #230973=ORIENTED_EDGE('',*,*,#177832,.F.); #230974=ORIENTED_EDGE('',*,*,#177835,.F.); #230975=ORIENTED_EDGE('',*,*,#177838,.F.); #230976=ORIENTED_EDGE('',*,*,#177841,.F.); #230977=ORIENTED_EDGE('',*,*,#177844,.F.); #230978=ORIENTED_EDGE('',*,*,#177847,.F.); #230979=ORIENTED_EDGE('',*,*,#177850,.F.); #230980=ORIENTED_EDGE('',*,*,#177853,.F.); #230981=ORIENTED_EDGE('',*,*,#177856,.F.); #230982=ORIENTED_EDGE('',*,*,#177859,.F.); #230983=ORIENTED_EDGE('',*,*,#177862,.F.); #230984=ORIENTED_EDGE('',*,*,#177865,.F.); #230985=ORIENTED_EDGE('',*,*,#177868,.F.); #230986=ORIENTED_EDGE('',*,*,#177871,.F.); #230987=ORIENTED_EDGE('',*,*,#177874,.F.); #230988=ORIENTED_EDGE('',*,*,#177877,.F.); #230989=ORIENTED_EDGE('',*,*,#177880,.F.); #230990=ORIENTED_EDGE('',*,*,#177883,.F.); #230991=ORIENTED_EDGE('',*,*,#177886,.F.); #230992=ORIENTED_EDGE('',*,*,#177889,.F.); #230993=ORIENTED_EDGE('',*,*,#177892,.F.); #230994=ORIENTED_EDGE('',*,*,#177895,.F.); #230995=ORIENTED_EDGE('',*,*,#177898,.F.); #230996=ORIENTED_EDGE('',*,*,#177901,.F.); #230997=ORIENTED_EDGE('',*,*,#177904,.F.); #230998=ORIENTED_EDGE('',*,*,#177907,.F.); #230999=ORIENTED_EDGE('',*,*,#177910,.F.); #231000=ORIENTED_EDGE('',*,*,#177913,.F.); #231001=ORIENTED_EDGE('',*,*,#177916,.F.); #231002=ORIENTED_EDGE('',*,*,#177919,.F.); #231003=ORIENTED_EDGE('',*,*,#177922,.F.); #231004=ORIENTED_EDGE('',*,*,#177925,.F.); #231005=ORIENTED_EDGE('',*,*,#177928,.F.); #231006=ORIENTED_EDGE('',*,*,#177931,.F.); #231007=ORIENTED_EDGE('',*,*,#177934,.F.); #231008=ORIENTED_EDGE('',*,*,#177937,.F.); #231009=ORIENTED_EDGE('',*,*,#177940,.F.); #231010=ORIENTED_EDGE('',*,*,#177943,.F.); #231011=ORIENTED_EDGE('',*,*,#177946,.F.); #231012=ORIENTED_EDGE('',*,*,#177949,.F.); #231013=ORIENTED_EDGE('',*,*,#177952,.F.); #231014=ORIENTED_EDGE('',*,*,#177955,.F.); #231015=ORIENTED_EDGE('',*,*,#177958,.F.); #231016=ORIENTED_EDGE('',*,*,#177961,.F.); #231017=ORIENTED_EDGE('',*,*,#177964,.F.); #231018=ORIENTED_EDGE('',*,*,#177967,.F.); #231019=ORIENTED_EDGE('',*,*,#177970,.F.); #231020=ORIENTED_EDGE('',*,*,#177973,.F.); #231021=ORIENTED_EDGE('',*,*,#177976,.F.); #231022=ORIENTED_EDGE('',*,*,#177979,.F.); #231023=ORIENTED_EDGE('',*,*,#177982,.F.); #231024=ORIENTED_EDGE('',*,*,#177985,.F.); #231025=ORIENTED_EDGE('',*,*,#177988,.F.); #231026=ORIENTED_EDGE('',*,*,#177991,.F.); #231027=ORIENTED_EDGE('',*,*,#177994,.F.); #231028=ORIENTED_EDGE('',*,*,#177997,.F.); #231029=ORIENTED_EDGE('',*,*,#178000,.F.); #231030=ORIENTED_EDGE('',*,*,#178003,.F.); #231031=ORIENTED_EDGE('',*,*,#178006,.F.); #231032=ORIENTED_EDGE('',*,*,#178009,.F.); #231033=ORIENTED_EDGE('',*,*,#178012,.F.); #231034=ORIENTED_EDGE('',*,*,#178015,.F.); #231035=ORIENTED_EDGE('',*,*,#178018,.F.); #231036=ORIENTED_EDGE('',*,*,#178021,.F.); #231037=ORIENTED_EDGE('',*,*,#178024,.F.); #231038=ORIENTED_EDGE('',*,*,#178027,.F.); #231039=ORIENTED_EDGE('',*,*,#178030,.F.); #231040=ORIENTED_EDGE('',*,*,#178033,.F.); #231041=ORIENTED_EDGE('',*,*,#178036,.F.); #231042=ORIENTED_EDGE('',*,*,#178039,.F.); #231043=ORIENTED_EDGE('',*,*,#178042,.F.); #231044=ORIENTED_EDGE('',*,*,#178045,.F.); #231045=ORIENTED_EDGE('',*,*,#178048,.F.); #231046=ORIENTED_EDGE('',*,*,#178051,.F.); #231047=ORIENTED_EDGE('',*,*,#178054,.F.); #231048=ORIENTED_EDGE('',*,*,#178057,.F.); #231049=ORIENTED_EDGE('',*,*,#178060,.F.); #231050=ORIENTED_EDGE('',*,*,#178063,.F.); #231051=ORIENTED_EDGE('',*,*,#178066,.F.); #231052=ORIENTED_EDGE('',*,*,#178069,.F.); #231053=ORIENTED_EDGE('',*,*,#178072,.F.); #231054=ORIENTED_EDGE('',*,*,#178075,.F.); #231055=ORIENTED_EDGE('',*,*,#178078,.F.); #231056=ORIENTED_EDGE('',*,*,#178081,.F.); #231057=ORIENTED_EDGE('',*,*,#178084,.F.); #231058=ORIENTED_EDGE('',*,*,#178087,.F.); #231059=ORIENTED_EDGE('',*,*,#178090,.F.); #231060=ORIENTED_EDGE('',*,*,#178093,.F.); #231061=ORIENTED_EDGE('',*,*,#178096,.F.); #231062=ORIENTED_EDGE('',*,*,#178099,.F.); #231063=ORIENTED_EDGE('',*,*,#178102,.F.); #231064=ORIENTED_EDGE('',*,*,#178105,.F.); #231065=ORIENTED_EDGE('',*,*,#178108,.F.); #231066=ORIENTED_EDGE('',*,*,#178111,.F.); #231067=ORIENTED_EDGE('',*,*,#178114,.F.); #231068=ORIENTED_EDGE('',*,*,#178117,.F.); #231069=ORIENTED_EDGE('',*,*,#178120,.F.); #231070=ORIENTED_EDGE('',*,*,#178123,.F.); #231071=ORIENTED_EDGE('',*,*,#178126,.F.); #231072=ORIENTED_EDGE('',*,*,#178129,.F.); #231073=ORIENTED_EDGE('',*,*,#178132,.F.); #231074=ORIENTED_EDGE('',*,*,#178135,.F.); #231075=ORIENTED_EDGE('',*,*,#178138,.F.); #231076=ORIENTED_EDGE('',*,*,#178141,.F.); #231077=ORIENTED_EDGE('',*,*,#178144,.F.); #231078=ORIENTED_EDGE('',*,*,#178147,.F.); #231079=ORIENTED_EDGE('',*,*,#178150,.F.); #231080=ORIENTED_EDGE('',*,*,#178153,.F.); #231081=ORIENTED_EDGE('',*,*,#178156,.F.); #231082=ORIENTED_EDGE('',*,*,#178159,.F.); #231083=ORIENTED_EDGE('',*,*,#178162,.F.); #231084=ORIENTED_EDGE('',*,*,#178165,.F.); #231085=ORIENTED_EDGE('',*,*,#178168,.F.); #231086=ORIENTED_EDGE('',*,*,#178171,.F.); #231087=ORIENTED_EDGE('',*,*,#178174,.F.); #231088=ORIENTED_EDGE('',*,*,#178177,.F.); #231089=ORIENTED_EDGE('',*,*,#178180,.F.); #231090=ORIENTED_EDGE('',*,*,#178183,.F.); #231091=ORIENTED_EDGE('',*,*,#178186,.F.); #231092=ORIENTED_EDGE('',*,*,#178189,.F.); #231093=ORIENTED_EDGE('',*,*,#178192,.F.); #231094=ORIENTED_EDGE('',*,*,#178195,.F.); #231095=ORIENTED_EDGE('',*,*,#178198,.F.); #231096=ORIENTED_EDGE('',*,*,#178201,.F.); #231097=ORIENTED_EDGE('',*,*,#178204,.F.); #231098=ORIENTED_EDGE('',*,*,#178207,.F.); #231099=ORIENTED_EDGE('',*,*,#178210,.F.); #231100=ORIENTED_EDGE('',*,*,#178213,.F.); #231101=ORIENTED_EDGE('',*,*,#178216,.F.); #231102=ORIENTED_EDGE('',*,*,#178219,.F.); #231103=ORIENTED_EDGE('',*,*,#178222,.F.); #231104=ORIENTED_EDGE('',*,*,#178225,.F.); #231105=ORIENTED_EDGE('',*,*,#178228,.F.); #231106=ORIENTED_EDGE('',*,*,#178231,.F.); #231107=ORIENTED_EDGE('',*,*,#178234,.F.); #231108=ORIENTED_EDGE('',*,*,#178237,.F.); #231109=ORIENTED_EDGE('',*,*,#178240,.F.); #231110=ORIENTED_EDGE('',*,*,#178243,.F.); #231111=ORIENTED_EDGE('',*,*,#178246,.F.); #231112=ORIENTED_EDGE('',*,*,#178249,.F.); #231113=ORIENTED_EDGE('',*,*,#178252,.F.); #231114=ORIENTED_EDGE('',*,*,#178255,.F.); #231115=ORIENTED_EDGE('',*,*,#178258,.F.); #231116=ORIENTED_EDGE('',*,*,#178261,.F.); #231117=ORIENTED_EDGE('',*,*,#178264,.F.); #231118=ORIENTED_EDGE('',*,*,#178267,.F.); #231119=ORIENTED_EDGE('',*,*,#178270,.F.); #231120=ORIENTED_EDGE('',*,*,#178273,.F.); #231121=ORIENTED_EDGE('',*,*,#178276,.F.); #231122=ORIENTED_EDGE('',*,*,#178279,.F.); #231123=ORIENTED_EDGE('',*,*,#178282,.F.); #231124=ORIENTED_EDGE('',*,*,#178285,.F.); #231125=ORIENTED_EDGE('',*,*,#178288,.F.); #231126=ORIENTED_EDGE('',*,*,#178291,.F.); #231127=ORIENTED_EDGE('',*,*,#178294,.F.); #231128=ORIENTED_EDGE('',*,*,#178297,.F.); #231129=ORIENTED_EDGE('',*,*,#178300,.F.); #231130=ORIENTED_EDGE('',*,*,#178303,.F.); #231131=ORIENTED_EDGE('',*,*,#178306,.F.); #231132=ORIENTED_EDGE('',*,*,#178309,.F.); #231133=ORIENTED_EDGE('',*,*,#178312,.F.); #231134=ORIENTED_EDGE('',*,*,#178315,.F.); #231135=ORIENTED_EDGE('',*,*,#177597,.T.); #231136=ORIENTED_EDGE('',*,*,#177608,.T.); #231137=ORIENTED_EDGE('',*,*,#177605,.T.); #231138=ORIENTED_EDGE('',*,*,#177602,.T.); #231139=ORIENTED_EDGE('',*,*,#177611,.T.); #231140=ORIENTED_EDGE('',*,*,#177612,.T.); #231141=ORIENTED_EDGE('',*,*,#177615,.T.); #231142=ORIENTED_EDGE('',*,*,#177618,.T.); #231143=ORIENTED_EDGE('',*,*,#177621,.T.); #231144=ORIENTED_EDGE('',*,*,#177624,.T.); #231145=ORIENTED_EDGE('',*,*,#177627,.T.); #231146=ORIENTED_EDGE('',*,*,#177630,.T.); #231147=ORIENTED_EDGE('',*,*,#177633,.T.); #231148=ORIENTED_EDGE('',*,*,#177636,.T.); #231149=ORIENTED_EDGE('',*,*,#177685,.F.); #231150=ORIENTED_EDGE('',*,*,#177639,.F.); #231151=ORIENTED_EDGE('',*,*,#177643,.F.); #231152=ORIENTED_EDGE('',*,*,#177646,.F.); #231153=ORIENTED_EDGE('',*,*,#177649,.F.); #231154=ORIENTED_EDGE('',*,*,#177652,.F.); #231155=ORIENTED_EDGE('',*,*,#177655,.F.); #231156=ORIENTED_EDGE('',*,*,#177658,.F.); #231157=ORIENTED_EDGE('',*,*,#177661,.F.); #231158=ORIENTED_EDGE('',*,*,#177664,.F.); #231159=ORIENTED_EDGE('',*,*,#177667,.F.); #231160=ORIENTED_EDGE('',*,*,#177670,.F.); #231161=ORIENTED_EDGE('',*,*,#177673,.F.); #231162=ORIENTED_EDGE('',*,*,#177676,.F.); #231163=ORIENTED_EDGE('',*,*,#177679,.F.); #231164=ORIENTED_EDGE('',*,*,#177682,.F.); #231165=ORIENTED_EDGE('',*,*,#178320,.T.); #231166=ORIENTED_EDGE('',*,*,#178321,.T.); #231167=ORIENTED_EDGE('',*,*,#178322,.F.); #231168=ORIENTED_EDGE('',*,*,#178323,.F.); #231169=ORIENTED_EDGE('',*,*,#178324,.T.); #231170=ORIENTED_EDGE('',*,*,#178323,.T.); #231171=ORIENTED_EDGE('',*,*,#178325,.F.); #231172=ORIENTED_EDGE('',*,*,#178326,.F.); #231173=ORIENTED_EDGE('',*,*,#178327,.T.); #231174=ORIENTED_EDGE('',*,*,#178326,.T.); #231175=ORIENTED_EDGE('',*,*,#178328,.F.); #231176=ORIENTED_EDGE('',*,*,#178329,.F.); #231177=ORIENTED_EDGE('',*,*,#178330,.T.); #231178=ORIENTED_EDGE('',*,*,#178329,.T.); #231179=ORIENTED_EDGE('',*,*,#178331,.F.); #231180=ORIENTED_EDGE('',*,*,#178332,.F.); #231181=ORIENTED_EDGE('',*,*,#178333,.T.); #231182=ORIENTED_EDGE('',*,*,#178332,.T.); #231183=ORIENTED_EDGE('',*,*,#178334,.F.); #231184=ORIENTED_EDGE('',*,*,#178335,.F.); #231185=ORIENTED_EDGE('',*,*,#178336,.T.); #231186=ORIENTED_EDGE('',*,*,#178335,.T.); #231187=ORIENTED_EDGE('',*,*,#178337,.F.); #231188=ORIENTED_EDGE('',*,*,#178338,.F.); #231189=ORIENTED_EDGE('',*,*,#178339,.T.); #231190=ORIENTED_EDGE('',*,*,#178338,.T.); #231191=ORIENTED_EDGE('',*,*,#178340,.F.); #231192=ORIENTED_EDGE('',*,*,#178341,.F.); #231193=ORIENTED_EDGE('',*,*,#178342,.T.); #231194=ORIENTED_EDGE('',*,*,#178341,.T.); #231195=ORIENTED_EDGE('',*,*,#178343,.F.); #231196=ORIENTED_EDGE('',*,*,#178344,.F.); #231197=ORIENTED_EDGE('',*,*,#178345,.T.); #231198=ORIENTED_EDGE('',*,*,#178344,.T.); #231199=ORIENTED_EDGE('',*,*,#178346,.F.); #231200=ORIENTED_EDGE('',*,*,#178347,.F.); #231201=ORIENTED_EDGE('',*,*,#178348,.T.); #231202=ORIENTED_EDGE('',*,*,#178347,.T.); #231203=ORIENTED_EDGE('',*,*,#178349,.F.); #231204=ORIENTED_EDGE('',*,*,#178350,.F.); #231205=ORIENTED_EDGE('',*,*,#178351,.T.); #231206=ORIENTED_EDGE('',*,*,#178350,.T.); #231207=ORIENTED_EDGE('',*,*,#178352,.F.); #231208=ORIENTED_EDGE('',*,*,#178353,.F.); #231209=ORIENTED_EDGE('',*,*,#178354,.T.); #231210=ORIENTED_EDGE('',*,*,#178353,.T.); #231211=ORIENTED_EDGE('',*,*,#178355,.F.); #231212=ORIENTED_EDGE('',*,*,#178356,.F.); #231213=ORIENTED_EDGE('',*,*,#178357,.T.); #231214=ORIENTED_EDGE('',*,*,#178356,.T.); #231215=ORIENTED_EDGE('',*,*,#178358,.F.); #231216=ORIENTED_EDGE('',*,*,#178359,.F.); #231217=ORIENTED_EDGE('',*,*,#178360,.T.); #231218=ORIENTED_EDGE('',*,*,#178359,.T.); #231219=ORIENTED_EDGE('',*,*,#178361,.F.); #231220=ORIENTED_EDGE('',*,*,#178362,.F.); #231221=ORIENTED_EDGE('',*,*,#178363,.T.); #231222=ORIENTED_EDGE('',*,*,#178362,.T.); #231223=ORIENTED_EDGE('',*,*,#178364,.F.); #231224=ORIENTED_EDGE('',*,*,#178365,.F.); #231225=ORIENTED_EDGE('',*,*,#178366,.T.); #231226=ORIENTED_EDGE('',*,*,#178365,.T.); #231227=ORIENTED_EDGE('',*,*,#178367,.F.); #231228=ORIENTED_EDGE('',*,*,#178368,.F.); #231229=ORIENTED_EDGE('',*,*,#178369,.T.); #231230=ORIENTED_EDGE('',*,*,#178368,.T.); #231231=ORIENTED_EDGE('',*,*,#178370,.F.); #231232=ORIENTED_EDGE('',*,*,#178371,.F.); #231233=ORIENTED_EDGE('',*,*,#178372,.T.); #231234=ORIENTED_EDGE('',*,*,#178371,.T.); #231235=ORIENTED_EDGE('',*,*,#178373,.F.); #231236=ORIENTED_EDGE('',*,*,#178374,.F.); #231237=ORIENTED_EDGE('',*,*,#178375,.T.); #231238=ORIENTED_EDGE('',*,*,#178374,.T.); #231239=ORIENTED_EDGE('',*,*,#178376,.F.); #231240=ORIENTED_EDGE('',*,*,#178377,.F.); #231241=ORIENTED_EDGE('',*,*,#178378,.T.); #231242=ORIENTED_EDGE('',*,*,#178377,.T.); #231243=ORIENTED_EDGE('',*,*,#178379,.F.); #231244=ORIENTED_EDGE('',*,*,#178380,.F.); #231245=ORIENTED_EDGE('',*,*,#178381,.T.); #231246=ORIENTED_EDGE('',*,*,#178380,.T.); #231247=ORIENTED_EDGE('',*,*,#178382,.F.); #231248=ORIENTED_EDGE('',*,*,#178321,.F.); #231249=ORIENTED_EDGE('',*,*,#178382,.T.); #231250=ORIENTED_EDGE('',*,*,#178379,.T.); #231251=ORIENTED_EDGE('',*,*,#178376,.T.); #231252=ORIENTED_EDGE('',*,*,#178373,.T.); #231253=ORIENTED_EDGE('',*,*,#178370,.T.); #231254=ORIENTED_EDGE('',*,*,#178367,.T.); #231255=ORIENTED_EDGE('',*,*,#178364,.T.); #231256=ORIENTED_EDGE('',*,*,#178361,.T.); #231257=ORIENTED_EDGE('',*,*,#178358,.T.); #231258=ORIENTED_EDGE('',*,*,#178355,.T.); #231259=ORIENTED_EDGE('',*,*,#178352,.T.); #231260=ORIENTED_EDGE('',*,*,#178349,.T.); #231261=ORIENTED_EDGE('',*,*,#178346,.T.); #231262=ORIENTED_EDGE('',*,*,#178343,.T.); #231263=ORIENTED_EDGE('',*,*,#178340,.T.); #231264=ORIENTED_EDGE('',*,*,#178337,.T.); #231265=ORIENTED_EDGE('',*,*,#178334,.T.); #231266=ORIENTED_EDGE('',*,*,#178331,.T.); #231267=ORIENTED_EDGE('',*,*,#178328,.T.); #231268=ORIENTED_EDGE('',*,*,#178325,.T.); #231269=ORIENTED_EDGE('',*,*,#178322,.T.); #231270=ORIENTED_EDGE('',*,*,#178381,.F.); #231271=ORIENTED_EDGE('',*,*,#178320,.F.); #231272=ORIENTED_EDGE('',*,*,#178324,.F.); #231273=ORIENTED_EDGE('',*,*,#178327,.F.); #231274=ORIENTED_EDGE('',*,*,#178330,.F.); #231275=ORIENTED_EDGE('',*,*,#178333,.F.); #231276=ORIENTED_EDGE('',*,*,#178336,.F.); #231277=ORIENTED_EDGE('',*,*,#178339,.F.); #231278=ORIENTED_EDGE('',*,*,#178342,.F.); #231279=ORIENTED_EDGE('',*,*,#178345,.F.); #231280=ORIENTED_EDGE('',*,*,#178348,.F.); #231281=ORIENTED_EDGE('',*,*,#178351,.F.); #231282=ORIENTED_EDGE('',*,*,#178354,.F.); #231283=ORIENTED_EDGE('',*,*,#178357,.F.); #231284=ORIENTED_EDGE('',*,*,#178360,.F.); #231285=ORIENTED_EDGE('',*,*,#178363,.F.); #231286=ORIENTED_EDGE('',*,*,#178366,.F.); #231287=ORIENTED_EDGE('',*,*,#178369,.F.); #231288=ORIENTED_EDGE('',*,*,#178372,.F.); #231289=ORIENTED_EDGE('',*,*,#178375,.F.); #231290=ORIENTED_EDGE('',*,*,#178378,.F.); #231291=ORIENTED_EDGE('',*,*,#178383,.T.); #231292=ORIENTED_EDGE('',*,*,#178384,.T.); #231293=ORIENTED_EDGE('',*,*,#178385,.F.); #231294=ORIENTED_EDGE('',*,*,#178386,.F.); #231295=ORIENTED_EDGE('',*,*,#178387,.T.); #231296=ORIENTED_EDGE('',*,*,#178386,.T.); #231297=ORIENTED_EDGE('',*,*,#178388,.F.); #231298=ORIENTED_EDGE('',*,*,#178389,.F.); #231299=ORIENTED_EDGE('',*,*,#178390,.T.); #231300=ORIENTED_EDGE('',*,*,#178389,.T.); #231301=ORIENTED_EDGE('',*,*,#178391,.F.); #231302=ORIENTED_EDGE('',*,*,#178392,.F.); #231303=ORIENTED_EDGE('',*,*,#178393,.T.); #231304=ORIENTED_EDGE('',*,*,#178392,.T.); #231305=ORIENTED_EDGE('',*,*,#178394,.F.); #231306=ORIENTED_EDGE('',*,*,#178395,.F.); #231307=ORIENTED_EDGE('',*,*,#178396,.T.); #231308=ORIENTED_EDGE('',*,*,#178395,.T.); #231309=ORIENTED_EDGE('',*,*,#178397,.F.); #231310=ORIENTED_EDGE('',*,*,#178398,.F.); #231311=ORIENTED_EDGE('',*,*,#178399,.T.); #231312=ORIENTED_EDGE('',*,*,#178398,.T.); #231313=ORIENTED_EDGE('',*,*,#178400,.F.); #231314=ORIENTED_EDGE('',*,*,#178401,.F.); #231315=ORIENTED_EDGE('',*,*,#178402,.T.); #231316=ORIENTED_EDGE('',*,*,#178401,.T.); #231317=ORIENTED_EDGE('',*,*,#178403,.F.); #231318=ORIENTED_EDGE('',*,*,#178404,.F.); #231319=ORIENTED_EDGE('',*,*,#178405,.T.); #231320=ORIENTED_EDGE('',*,*,#178404,.T.); #231321=ORIENTED_EDGE('',*,*,#178406,.F.); #231322=ORIENTED_EDGE('',*,*,#178407,.F.); #231323=ORIENTED_EDGE('',*,*,#178408,.T.); #231324=ORIENTED_EDGE('',*,*,#178407,.T.); #231325=ORIENTED_EDGE('',*,*,#178409,.F.); #231326=ORIENTED_EDGE('',*,*,#178410,.F.); #231327=ORIENTED_EDGE('',*,*,#178411,.T.); #231328=ORIENTED_EDGE('',*,*,#178410,.T.); #231329=ORIENTED_EDGE('',*,*,#178412,.F.); #231330=ORIENTED_EDGE('',*,*,#178413,.F.); #231331=ORIENTED_EDGE('',*,*,#178414,.T.); #231332=ORIENTED_EDGE('',*,*,#178413,.T.); #231333=ORIENTED_EDGE('',*,*,#178415,.F.); #231334=ORIENTED_EDGE('',*,*,#178416,.F.); #231335=ORIENTED_EDGE('',*,*,#178417,.T.); #231336=ORIENTED_EDGE('',*,*,#178416,.T.); #231337=ORIENTED_EDGE('',*,*,#178418,.F.); #231338=ORIENTED_EDGE('',*,*,#178419,.F.); #231339=ORIENTED_EDGE('',*,*,#178420,.T.); #231340=ORIENTED_EDGE('',*,*,#178419,.T.); #231341=ORIENTED_EDGE('',*,*,#178421,.F.); #231342=ORIENTED_EDGE('',*,*,#178422,.F.); #231343=ORIENTED_EDGE('',*,*,#178423,.T.); #231344=ORIENTED_EDGE('',*,*,#178422,.T.); #231345=ORIENTED_EDGE('',*,*,#178424,.F.); #231346=ORIENTED_EDGE('',*,*,#178425,.F.); #231347=ORIENTED_EDGE('',*,*,#178426,.T.); #231348=ORIENTED_EDGE('',*,*,#178425,.T.); #231349=ORIENTED_EDGE('',*,*,#178427,.F.); #231350=ORIENTED_EDGE('',*,*,#178428,.F.); #231351=ORIENTED_EDGE('',*,*,#178429,.T.); #231352=ORIENTED_EDGE('',*,*,#178428,.T.); #231353=ORIENTED_EDGE('',*,*,#178430,.F.); #231354=ORIENTED_EDGE('',*,*,#178431,.F.); #231355=ORIENTED_EDGE('',*,*,#178432,.T.); #231356=ORIENTED_EDGE('',*,*,#178431,.T.); #231357=ORIENTED_EDGE('',*,*,#178433,.F.); #231358=ORIENTED_EDGE('',*,*,#178434,.F.); #231359=ORIENTED_EDGE('',*,*,#178435,.T.); #231360=ORIENTED_EDGE('',*,*,#178434,.T.); #231361=ORIENTED_EDGE('',*,*,#178436,.F.); #231362=ORIENTED_EDGE('',*,*,#178437,.F.); #231363=ORIENTED_EDGE('',*,*,#178438,.T.); #231364=ORIENTED_EDGE('',*,*,#178437,.T.); #231365=ORIENTED_EDGE('',*,*,#178439,.F.); #231366=ORIENTED_EDGE('',*,*,#178440,.F.); #231367=ORIENTED_EDGE('',*,*,#178441,.T.); #231368=ORIENTED_EDGE('',*,*,#178440,.T.); #231369=ORIENTED_EDGE('',*,*,#178442,.F.); #231370=ORIENTED_EDGE('',*,*,#178384,.F.); #231371=ORIENTED_EDGE('',*,*,#178442,.T.); #231372=ORIENTED_EDGE('',*,*,#178439,.T.); #231373=ORIENTED_EDGE('',*,*,#178436,.T.); #231374=ORIENTED_EDGE('',*,*,#178433,.T.); #231375=ORIENTED_EDGE('',*,*,#178430,.T.); #231376=ORIENTED_EDGE('',*,*,#178427,.T.); #231377=ORIENTED_EDGE('',*,*,#178424,.T.); #231378=ORIENTED_EDGE('',*,*,#178421,.T.); #231379=ORIENTED_EDGE('',*,*,#178418,.T.); #231380=ORIENTED_EDGE('',*,*,#178415,.T.); #231381=ORIENTED_EDGE('',*,*,#178412,.T.); #231382=ORIENTED_EDGE('',*,*,#178409,.T.); #231383=ORIENTED_EDGE('',*,*,#178406,.T.); #231384=ORIENTED_EDGE('',*,*,#178403,.T.); #231385=ORIENTED_EDGE('',*,*,#178400,.T.); #231386=ORIENTED_EDGE('',*,*,#178397,.T.); #231387=ORIENTED_EDGE('',*,*,#178394,.T.); #231388=ORIENTED_EDGE('',*,*,#178391,.T.); #231389=ORIENTED_EDGE('',*,*,#178388,.T.); #231390=ORIENTED_EDGE('',*,*,#178385,.T.); #231391=ORIENTED_EDGE('',*,*,#178441,.F.); #231392=ORIENTED_EDGE('',*,*,#178383,.F.); #231393=ORIENTED_EDGE('',*,*,#178387,.F.); #231394=ORIENTED_EDGE('',*,*,#178390,.F.); #231395=ORIENTED_EDGE('',*,*,#178393,.F.); #231396=ORIENTED_EDGE('',*,*,#178396,.F.); #231397=ORIENTED_EDGE('',*,*,#178399,.F.); #231398=ORIENTED_EDGE('',*,*,#178402,.F.); #231399=ORIENTED_EDGE('',*,*,#178405,.F.); #231400=ORIENTED_EDGE('',*,*,#178408,.F.); #231401=ORIENTED_EDGE('',*,*,#178411,.F.); #231402=ORIENTED_EDGE('',*,*,#178414,.F.); #231403=ORIENTED_EDGE('',*,*,#178417,.F.); #231404=ORIENTED_EDGE('',*,*,#178420,.F.); #231405=ORIENTED_EDGE('',*,*,#178423,.F.); #231406=ORIENTED_EDGE('',*,*,#178426,.F.); #231407=ORIENTED_EDGE('',*,*,#178429,.F.); #231408=ORIENTED_EDGE('',*,*,#178432,.F.); #231409=ORIENTED_EDGE('',*,*,#178435,.F.); #231410=ORIENTED_EDGE('',*,*,#178438,.F.); #231411=ORIENTED_EDGE('',*,*,#178443,.F.); #231412=ORIENTED_EDGE('',*,*,#178444,.T.); #231413=ORIENTED_EDGE('',*,*,#178445,.F.); #231414=ORIENTED_EDGE('',*,*,#178444,.F.); #231415=ORIENTED_EDGE('',*,*,#178446,.F.); #231416=ORIENTED_EDGE('',*,*,#178447,.T.); #231417=ORIENTED_EDGE('',*,*,#178448,.F.); #231418=ORIENTED_EDGE('',*,*,#178447,.F.); #231419=ORIENTED_EDGE('',*,*,#178449,.F.); #231420=ORIENTED_EDGE('',*,*,#178450,.T.); #231421=ORIENTED_EDGE('',*,*,#178451,.F.); #231422=ORIENTED_EDGE('',*,*,#178450,.F.); #231423=ORIENTED_EDGE('',*,*,#178452,.F.); #231424=ORIENTED_EDGE('',*,*,#178453,.T.); #231425=ORIENTED_EDGE('',*,*,#178454,.F.); #231426=ORIENTED_EDGE('',*,*,#178453,.F.); #231427=ORIENTED_EDGE('',*,*,#178455,.F.); #231428=ORIENTED_EDGE('',*,*,#178456,.T.); #231429=ORIENTED_EDGE('',*,*,#178457,.F.); #231430=ORIENTED_EDGE('',*,*,#178456,.F.); #231431=ORIENTED_EDGE('',*,*,#178458,.F.); #231432=ORIENTED_EDGE('',*,*,#178459,.T.); #231433=ORIENTED_EDGE('',*,*,#178460,.F.); #231434=ORIENTED_EDGE('',*,*,#178459,.F.); #231435=ORIENTED_EDGE('',*,*,#178461,.F.); #231436=ORIENTED_EDGE('',*,*,#178462,.T.); #231437=ORIENTED_EDGE('',*,*,#178463,.F.); #231438=ORIENTED_EDGE('',*,*,#178462,.F.); #231439=ORIENTED_EDGE('',*,*,#178464,.F.); #231440=ORIENTED_EDGE('',*,*,#178465,.T.); #231441=ORIENTED_EDGE('',*,*,#178466,.F.); #231442=ORIENTED_EDGE('',*,*,#178465,.F.); #231443=ORIENTED_EDGE('',*,*,#178467,.F.); #231444=ORIENTED_EDGE('',*,*,#178468,.T.); #231445=ORIENTED_EDGE('',*,*,#178469,.F.); #231446=ORIENTED_EDGE('',*,*,#178468,.F.); #231447=ORIENTED_EDGE('',*,*,#178470,.F.); #231448=ORIENTED_EDGE('',*,*,#178471,.T.); #231449=ORIENTED_EDGE('',*,*,#178472,.F.); #231450=ORIENTED_EDGE('',*,*,#178471,.F.); #231451=ORIENTED_EDGE('',*,*,#178473,.F.); #231452=ORIENTED_EDGE('',*,*,#178474,.T.); #231453=ORIENTED_EDGE('',*,*,#178475,.F.); #231454=ORIENTED_EDGE('',*,*,#178474,.F.); #231455=ORIENTED_EDGE('',*,*,#178476,.F.); #231456=ORIENTED_EDGE('',*,*,#178477,.T.); #231457=ORIENTED_EDGE('',*,*,#178478,.F.); #231458=ORIENTED_EDGE('',*,*,#178477,.F.); #231459=ORIENTED_EDGE('',*,*,#178479,.F.); #231460=ORIENTED_EDGE('',*,*,#178480,.T.); #231461=ORIENTED_EDGE('',*,*,#178481,.F.); #231462=ORIENTED_EDGE('',*,*,#178480,.F.); #231463=ORIENTED_EDGE('',*,*,#178482,.F.); #231464=ORIENTED_EDGE('',*,*,#178483,.T.); #231465=ORIENTED_EDGE('',*,*,#178484,.F.); #231466=ORIENTED_EDGE('',*,*,#178483,.F.); #231467=ORIENTED_EDGE('',*,*,#178485,.F.); #231468=ORIENTED_EDGE('',*,*,#178486,.T.); #231469=ORIENTED_EDGE('',*,*,#178487,.F.); #231470=ORIENTED_EDGE('',*,*,#178486,.F.); #231471=ORIENTED_EDGE('',*,*,#178488,.F.); #231472=ORIENTED_EDGE('',*,*,#178489,.T.); #231473=ORIENTED_EDGE('',*,*,#178490,.F.); #231474=ORIENTED_EDGE('',*,*,#178489,.F.); #231475=ORIENTED_EDGE('',*,*,#178491,.F.); #231476=ORIENTED_EDGE('',*,*,#178492,.T.); #231477=ORIENTED_EDGE('',*,*,#178493,.F.); #231478=ORIENTED_EDGE('',*,*,#178492,.F.); #231479=ORIENTED_EDGE('',*,*,#178494,.F.); #231480=ORIENTED_EDGE('',*,*,#178495,.T.); #231481=ORIENTED_EDGE('',*,*,#178496,.F.); #231482=ORIENTED_EDGE('',*,*,#178495,.F.); #231483=ORIENTED_EDGE('',*,*,#178497,.F.); #231484=ORIENTED_EDGE('',*,*,#178498,.T.); #231485=ORIENTED_EDGE('',*,*,#178499,.F.); #231486=ORIENTED_EDGE('',*,*,#178498,.F.); #231487=ORIENTED_EDGE('',*,*,#178500,.F.); #231488=ORIENTED_EDGE('',*,*,#178501,.T.); #231489=ORIENTED_EDGE('',*,*,#178502,.F.); #231490=ORIENTED_EDGE('',*,*,#178501,.F.); #231491=ORIENTED_EDGE('',*,*,#178503,.F.); #231492=ORIENTED_EDGE('',*,*,#178504,.T.); #231493=ORIENTED_EDGE('',*,*,#178505,.F.); #231494=ORIENTED_EDGE('',*,*,#178504,.F.); #231495=ORIENTED_EDGE('',*,*,#178506,.F.); #231496=ORIENTED_EDGE('',*,*,#178507,.T.); #231497=ORIENTED_EDGE('',*,*,#178508,.F.); #231498=ORIENTED_EDGE('',*,*,#178507,.F.); #231499=ORIENTED_EDGE('',*,*,#178509,.F.); #231500=ORIENTED_EDGE('',*,*,#178510,.T.); #231501=ORIENTED_EDGE('',*,*,#178511,.F.); #231502=ORIENTED_EDGE('',*,*,#178510,.F.); #231503=ORIENTED_EDGE('',*,*,#178512,.F.); #231504=ORIENTED_EDGE('',*,*,#178513,.T.); #231505=ORIENTED_EDGE('',*,*,#178514,.F.); #231506=ORIENTED_EDGE('',*,*,#178513,.F.); #231507=ORIENTED_EDGE('',*,*,#178515,.F.); #231508=ORIENTED_EDGE('',*,*,#178516,.T.); #231509=ORIENTED_EDGE('',*,*,#178517,.F.); #231510=ORIENTED_EDGE('',*,*,#178516,.F.); #231511=ORIENTED_EDGE('',*,*,#178518,.F.); #231512=ORIENTED_EDGE('',*,*,#178519,.T.); #231513=ORIENTED_EDGE('',*,*,#178520,.F.); #231514=ORIENTED_EDGE('',*,*,#178519,.F.); #231515=ORIENTED_EDGE('',*,*,#178521,.F.); #231516=ORIENTED_EDGE('',*,*,#178522,.T.); #231517=ORIENTED_EDGE('',*,*,#178523,.F.); #231518=ORIENTED_EDGE('',*,*,#178522,.F.); #231519=ORIENTED_EDGE('',*,*,#178524,.F.); #231520=ORIENTED_EDGE('',*,*,#178525,.T.); #231521=ORIENTED_EDGE('',*,*,#178526,.F.); #231522=ORIENTED_EDGE('',*,*,#178525,.F.); #231523=ORIENTED_EDGE('',*,*,#178527,.F.); #231524=ORIENTED_EDGE('',*,*,#178528,.T.); #231525=ORIENTED_EDGE('',*,*,#178529,.F.); #231526=ORIENTED_EDGE('',*,*,#178528,.F.); #231527=ORIENTED_EDGE('',*,*,#178530,.F.); #231528=ORIENTED_EDGE('',*,*,#178531,.T.); #231529=ORIENTED_EDGE('',*,*,#178532,.F.); #231530=ORIENTED_EDGE('',*,*,#178531,.F.); #231531=ORIENTED_EDGE('',*,*,#178533,.F.); #231532=ORIENTED_EDGE('',*,*,#178534,.T.); #231533=ORIENTED_EDGE('',*,*,#178535,.F.); #231534=ORIENTED_EDGE('',*,*,#178534,.F.); #231535=ORIENTED_EDGE('',*,*,#178536,.F.); #231536=ORIENTED_EDGE('',*,*,#178537,.T.); #231537=ORIENTED_EDGE('',*,*,#178538,.F.); #231538=ORIENTED_EDGE('',*,*,#178537,.F.); #231539=ORIENTED_EDGE('',*,*,#178539,.F.); #231540=ORIENTED_EDGE('',*,*,#178540,.T.); #231541=ORIENTED_EDGE('',*,*,#178541,.F.); #231542=ORIENTED_EDGE('',*,*,#178540,.F.); #231543=ORIENTED_EDGE('',*,*,#178542,.F.); #231544=ORIENTED_EDGE('',*,*,#178543,.T.); #231545=ORIENTED_EDGE('',*,*,#178544,.F.); #231546=ORIENTED_EDGE('',*,*,#178543,.F.); #231547=ORIENTED_EDGE('',*,*,#178545,.F.); #231548=ORIENTED_EDGE('',*,*,#178546,.T.); #231549=ORIENTED_EDGE('',*,*,#178547,.F.); #231550=ORIENTED_EDGE('',*,*,#178546,.F.); #231551=ORIENTED_EDGE('',*,*,#178548,.F.); #231552=ORIENTED_EDGE('',*,*,#178549,.F.); #231553=ORIENTED_EDGE('',*,*,#178550,.F.); #231554=ORIENTED_EDGE('',*,*,#178551,.T.); #231555=ORIENTED_EDGE('',*,*,#178552,.F.); #231556=ORIENTED_EDGE('',*,*,#178551,.F.); #231557=ORIENTED_EDGE('',*,*,#178553,.F.); #231558=ORIENTED_EDGE('',*,*,#178554,.T.); #231559=ORIENTED_EDGE('',*,*,#178555,.F.); #231560=ORIENTED_EDGE('',*,*,#178554,.F.); #231561=ORIENTED_EDGE('',*,*,#178556,.F.); #231562=ORIENTED_EDGE('',*,*,#178557,.T.); #231563=ORIENTED_EDGE('',*,*,#178558,.F.); #231564=ORIENTED_EDGE('',*,*,#178557,.F.); #231565=ORIENTED_EDGE('',*,*,#178559,.F.); #231566=ORIENTED_EDGE('',*,*,#178549,.T.); #231567=ORIENTED_EDGE('',*,*,#178560,.F.); #231568=ORIENTED_EDGE('',*,*,#178561,.T.); #231569=ORIENTED_EDGE('',*,*,#178562,.F.); #231570=ORIENTED_EDGE('',*,*,#178561,.F.); #231571=ORIENTED_EDGE('',*,*,#178563,.F.); #231572=ORIENTED_EDGE('',*,*,#178564,.T.); #231573=ORIENTED_EDGE('',*,*,#178565,.F.); #231574=ORIENTED_EDGE('',*,*,#178564,.F.); #231575=ORIENTED_EDGE('',*,*,#178566,.F.); #231576=ORIENTED_EDGE('',*,*,#178567,.T.); #231577=ORIENTED_EDGE('',*,*,#178568,.F.); #231578=ORIENTED_EDGE('',*,*,#178567,.F.); #231579=ORIENTED_EDGE('',*,*,#178569,.F.); #231580=ORIENTED_EDGE('',*,*,#178570,.T.); #231581=ORIENTED_EDGE('',*,*,#178571,.F.); #231582=ORIENTED_EDGE('',*,*,#178570,.F.); #231583=ORIENTED_EDGE('',*,*,#178572,.F.); #231584=ORIENTED_EDGE('',*,*,#178573,.F.); #231585=ORIENTED_EDGE('',*,*,#178574,.F.); #231586=ORIENTED_EDGE('',*,*,#178575,.T.); #231587=ORIENTED_EDGE('',*,*,#178576,.F.); #231588=ORIENTED_EDGE('',*,*,#178575,.F.); #231589=ORIENTED_EDGE('',*,*,#178577,.F.); #231590=ORIENTED_EDGE('',*,*,#178578,.T.); #231591=ORIENTED_EDGE('',*,*,#178579,.F.); #231592=ORIENTED_EDGE('',*,*,#178578,.F.); #231593=ORIENTED_EDGE('',*,*,#178580,.F.); #231594=ORIENTED_EDGE('',*,*,#178581,.T.); #231595=ORIENTED_EDGE('',*,*,#178582,.F.); #231596=ORIENTED_EDGE('',*,*,#178581,.F.); #231597=ORIENTED_EDGE('',*,*,#178583,.F.); #231598=ORIENTED_EDGE('',*,*,#178573,.T.); #231599=ORIENTED_EDGE('',*,*,#178584,.F.); #231600=ORIENTED_EDGE('',*,*,#178585,.F.); #231601=ORIENTED_EDGE('',*,*,#178586,.F.); #231602=ORIENTED_EDGE('',*,*,#178587,.T.); #231603=ORIENTED_EDGE('',*,*,#178588,.F.); #231604=ORIENTED_EDGE('',*,*,#178587,.F.); #231605=ORIENTED_EDGE('',*,*,#178589,.F.); #231606=ORIENTED_EDGE('',*,*,#178590,.T.); #231607=ORIENTED_EDGE('',*,*,#178591,.F.); #231608=ORIENTED_EDGE('',*,*,#178590,.F.); #231609=ORIENTED_EDGE('',*,*,#178592,.F.); #231610=ORIENTED_EDGE('',*,*,#178593,.T.); #231611=ORIENTED_EDGE('',*,*,#178594,.F.); #231612=ORIENTED_EDGE('',*,*,#178593,.F.); #231613=ORIENTED_EDGE('',*,*,#178595,.F.); #231614=ORIENTED_EDGE('',*,*,#178585,.T.); #231615=ORIENTED_EDGE('',*,*,#178596,.F.); #231616=ORIENTED_EDGE('',*,*,#178597,.F.); #231617=ORIENTED_EDGE('',*,*,#178598,.F.); #231618=ORIENTED_EDGE('',*,*,#178599,.T.); #231619=ORIENTED_EDGE('',*,*,#178600,.F.); #231620=ORIENTED_EDGE('',*,*,#178599,.F.); #231621=ORIENTED_EDGE('',*,*,#178601,.F.); #231622=ORIENTED_EDGE('',*,*,#178602,.T.); #231623=ORIENTED_EDGE('',*,*,#178603,.F.); #231624=ORIENTED_EDGE('',*,*,#178602,.F.); #231625=ORIENTED_EDGE('',*,*,#178604,.F.); #231626=ORIENTED_EDGE('',*,*,#178605,.T.); #231627=ORIENTED_EDGE('',*,*,#178606,.F.); #231628=ORIENTED_EDGE('',*,*,#178605,.F.); #231629=ORIENTED_EDGE('',*,*,#178607,.F.); #231630=ORIENTED_EDGE('',*,*,#178597,.T.); #231631=ORIENTED_EDGE('',*,*,#178608,.F.); #231632=ORIENTED_EDGE('',*,*,#178609,.T.); #231633=ORIENTED_EDGE('',*,*,#178610,.F.); #231634=ORIENTED_EDGE('',*,*,#178609,.F.); #231635=ORIENTED_EDGE('',*,*,#178611,.F.); #231636=ORIENTED_EDGE('',*,*,#178612,.T.); #231637=ORIENTED_EDGE('',*,*,#178613,.F.); #231638=ORIENTED_EDGE('',*,*,#178612,.F.); #231639=ORIENTED_EDGE('',*,*,#178614,.F.); #231640=ORIENTED_EDGE('',*,*,#178615,.T.); #231641=ORIENTED_EDGE('',*,*,#178616,.F.); #231642=ORIENTED_EDGE('',*,*,#178615,.F.); #231643=ORIENTED_EDGE('',*,*,#178617,.F.); #231644=ORIENTED_EDGE('',*,*,#178618,.T.); #231645=ORIENTED_EDGE('',*,*,#178619,.F.); #231646=ORIENTED_EDGE('',*,*,#178618,.F.); #231647=ORIENTED_EDGE('',*,*,#178620,.F.); #231648=ORIENTED_EDGE('',*,*,#178621,.T.); #231649=ORIENTED_EDGE('',*,*,#178622,.F.); #231650=ORIENTED_EDGE('',*,*,#178621,.F.); #231651=ORIENTED_EDGE('',*,*,#178623,.F.); #231652=ORIENTED_EDGE('',*,*,#178624,.T.); #231653=ORIENTED_EDGE('',*,*,#178625,.F.); #231654=ORIENTED_EDGE('',*,*,#178624,.F.); #231655=ORIENTED_EDGE('',*,*,#178626,.F.); #231656=ORIENTED_EDGE('',*,*,#178627,.T.); #231657=ORIENTED_EDGE('',*,*,#178628,.F.); #231658=ORIENTED_EDGE('',*,*,#178627,.F.); #231659=ORIENTED_EDGE('',*,*,#178629,.F.); #231660=ORIENTED_EDGE('',*,*,#178630,.T.); #231661=ORIENTED_EDGE('',*,*,#178631,.F.); #231662=ORIENTED_EDGE('',*,*,#178630,.F.); #231663=ORIENTED_EDGE('',*,*,#178632,.F.); #231664=ORIENTED_EDGE('',*,*,#178633,.T.); #231665=ORIENTED_EDGE('',*,*,#178634,.F.); #231666=ORIENTED_EDGE('',*,*,#178633,.F.); #231667=ORIENTED_EDGE('',*,*,#178635,.F.); #231668=ORIENTED_EDGE('',*,*,#178636,.T.); #231669=ORIENTED_EDGE('',*,*,#178637,.F.); #231670=ORIENTED_EDGE('',*,*,#178636,.F.); #231671=ORIENTED_EDGE('',*,*,#178638,.F.); #231672=ORIENTED_EDGE('',*,*,#178639,.T.); #231673=ORIENTED_EDGE('',*,*,#178640,.F.); #231674=ORIENTED_EDGE('',*,*,#178639,.F.); #231675=ORIENTED_EDGE('',*,*,#178641,.F.); #231676=ORIENTED_EDGE('',*,*,#178642,.T.); #231677=ORIENTED_EDGE('',*,*,#178643,.F.); #231678=ORIENTED_EDGE('',*,*,#178642,.F.); #231679=ORIENTED_EDGE('',*,*,#178644,.F.); #231680=ORIENTED_EDGE('',*,*,#178645,.T.); #231681=ORIENTED_EDGE('',*,*,#178646,.F.); #231682=ORIENTED_EDGE('',*,*,#178645,.F.); #231683=ORIENTED_EDGE('',*,*,#178647,.F.); #231684=ORIENTED_EDGE('',*,*,#178648,.T.); #231685=ORIENTED_EDGE('',*,*,#178649,.F.); #231686=ORIENTED_EDGE('',*,*,#178648,.F.); #231687=ORIENTED_EDGE('',*,*,#178650,.F.); #231688=ORIENTED_EDGE('',*,*,#178651,.T.); #231689=ORIENTED_EDGE('',*,*,#178652,.F.); #231690=ORIENTED_EDGE('',*,*,#178651,.F.); #231691=ORIENTED_EDGE('',*,*,#178653,.F.); #231692=ORIENTED_EDGE('',*,*,#178654,.T.); #231693=ORIENTED_EDGE('',*,*,#178655,.F.); #231694=ORIENTED_EDGE('',*,*,#178654,.F.); #231695=ORIENTED_EDGE('',*,*,#178656,.F.); #231696=ORIENTED_EDGE('',*,*,#178657,.T.); #231697=ORIENTED_EDGE('',*,*,#178658,.F.); #231698=ORIENTED_EDGE('',*,*,#178657,.F.); #231699=ORIENTED_EDGE('',*,*,#178659,.F.); #231700=ORIENTED_EDGE('',*,*,#178660,.T.); #231701=ORIENTED_EDGE('',*,*,#178661,.F.); #231702=ORIENTED_EDGE('',*,*,#178660,.F.); #231703=ORIENTED_EDGE('',*,*,#178662,.F.); #231704=ORIENTED_EDGE('',*,*,#178663,.T.); #231705=ORIENTED_EDGE('',*,*,#178664,.F.); #231706=ORIENTED_EDGE('',*,*,#178663,.F.); #231707=ORIENTED_EDGE('',*,*,#178665,.T.); #231708=ORIENTED_EDGE('',*,*,#178666,.T.); #231709=ORIENTED_EDGE('',*,*,#178667,.F.); #231710=ORIENTED_EDGE('',*,*,#178668,.F.); #231711=ORIENTED_EDGE('',*,*,#178669,.T.); #231712=ORIENTED_EDGE('',*,*,#178668,.T.); #231713=ORIENTED_EDGE('',*,*,#178670,.F.); #231714=ORIENTED_EDGE('',*,*,#178671,.F.); #231715=ORIENTED_EDGE('',*,*,#178672,.T.); #231716=ORIENTED_EDGE('',*,*,#178671,.T.); #231717=ORIENTED_EDGE('',*,*,#178673,.F.); #231718=ORIENTED_EDGE('',*,*,#178674,.F.); #231719=ORIENTED_EDGE('',*,*,#178675,.T.); #231720=ORIENTED_EDGE('',*,*,#178674,.T.); #231721=ORIENTED_EDGE('',*,*,#178676,.F.); #231722=ORIENTED_EDGE('',*,*,#178677,.F.); #231723=ORIENTED_EDGE('',*,*,#178678,.T.); #231724=ORIENTED_EDGE('',*,*,#178677,.T.); #231725=ORIENTED_EDGE('',*,*,#178679,.F.); #231726=ORIENTED_EDGE('',*,*,#178680,.F.); #231727=ORIENTED_EDGE('',*,*,#178681,.T.); #231728=ORIENTED_EDGE('',*,*,#178680,.T.); #231729=ORIENTED_EDGE('',*,*,#178682,.F.); #231730=ORIENTED_EDGE('',*,*,#178683,.F.); #231731=ORIENTED_EDGE('',*,*,#178684,.T.); #231732=ORIENTED_EDGE('',*,*,#178683,.T.); #231733=ORIENTED_EDGE('',*,*,#178685,.F.); #231734=ORIENTED_EDGE('',*,*,#178686,.F.); #231735=ORIENTED_EDGE('',*,*,#178687,.T.); #231736=ORIENTED_EDGE('',*,*,#178686,.T.); #231737=ORIENTED_EDGE('',*,*,#178688,.F.); #231738=ORIENTED_EDGE('',*,*,#178666,.F.); #231739=ORIENTED_EDGE('',*,*,#178689,.T.); #231740=ORIENTED_EDGE('',*,*,#178690,.T.); #231741=ORIENTED_EDGE('',*,*,#178691,.F.); #231742=ORIENTED_EDGE('',*,*,#178692,.F.); #231743=ORIENTED_EDGE('',*,*,#178693,.T.); #231744=ORIENTED_EDGE('',*,*,#178692,.T.); #231745=ORIENTED_EDGE('',*,*,#178694,.F.); #231746=ORIENTED_EDGE('',*,*,#178695,.F.); #231747=ORIENTED_EDGE('',*,*,#178696,.T.); #231748=ORIENTED_EDGE('',*,*,#178695,.T.); #231749=ORIENTED_EDGE('',*,*,#178697,.F.); #231750=ORIENTED_EDGE('',*,*,#178698,.F.); #231751=ORIENTED_EDGE('',*,*,#178699,.T.); #231752=ORIENTED_EDGE('',*,*,#178698,.T.); #231753=ORIENTED_EDGE('',*,*,#178700,.F.); #231754=ORIENTED_EDGE('',*,*,#178701,.F.); #231755=ORIENTED_EDGE('',*,*,#178702,.T.); #231756=ORIENTED_EDGE('',*,*,#178701,.T.); #231757=ORIENTED_EDGE('',*,*,#178703,.F.); #231758=ORIENTED_EDGE('',*,*,#178704,.F.); #231759=ORIENTED_EDGE('',*,*,#178705,.T.); #231760=ORIENTED_EDGE('',*,*,#178704,.T.); #231761=ORIENTED_EDGE('',*,*,#178706,.F.); #231762=ORIENTED_EDGE('',*,*,#178707,.F.); #231763=ORIENTED_EDGE('',*,*,#178708,.T.); #231764=ORIENTED_EDGE('',*,*,#178707,.T.); #231765=ORIENTED_EDGE('',*,*,#178709,.F.); #231766=ORIENTED_EDGE('',*,*,#178710,.F.); #231767=ORIENTED_EDGE('',*,*,#178711,.T.); #231768=ORIENTED_EDGE('',*,*,#178710,.T.); #231769=ORIENTED_EDGE('',*,*,#178712,.F.); #231770=ORIENTED_EDGE('',*,*,#178713,.F.); #231771=ORIENTED_EDGE('',*,*,#178714,.T.); #231772=ORIENTED_EDGE('',*,*,#178713,.T.); #231773=ORIENTED_EDGE('',*,*,#178715,.F.); #231774=ORIENTED_EDGE('',*,*,#178716,.F.); #231775=ORIENTED_EDGE('',*,*,#178717,.T.); #231776=ORIENTED_EDGE('',*,*,#178716,.T.); #231777=ORIENTED_EDGE('',*,*,#178718,.F.); #231778=ORIENTED_EDGE('',*,*,#178719,.F.); #231779=ORIENTED_EDGE('',*,*,#178720,.T.); #231780=ORIENTED_EDGE('',*,*,#178719,.T.); #231781=ORIENTED_EDGE('',*,*,#178721,.F.); #231782=ORIENTED_EDGE('',*,*,#178722,.F.); #231783=ORIENTED_EDGE('',*,*,#178723,.T.); #231784=ORIENTED_EDGE('',*,*,#178722,.T.); #231785=ORIENTED_EDGE('',*,*,#178724,.F.); #231786=ORIENTED_EDGE('',*,*,#178725,.F.); #231787=ORIENTED_EDGE('',*,*,#178726,.T.); #231788=ORIENTED_EDGE('',*,*,#178725,.T.); #231789=ORIENTED_EDGE('',*,*,#178727,.F.); #231790=ORIENTED_EDGE('',*,*,#178728,.F.); #231791=ORIENTED_EDGE('',*,*,#178729,.T.); #231792=ORIENTED_EDGE('',*,*,#178728,.T.); #231793=ORIENTED_EDGE('',*,*,#178730,.F.); #231794=ORIENTED_EDGE('',*,*,#178731,.F.); #231795=ORIENTED_EDGE('',*,*,#178732,.T.); #231796=ORIENTED_EDGE('',*,*,#178731,.T.); #231797=ORIENTED_EDGE('',*,*,#178733,.F.); #231798=ORIENTED_EDGE('',*,*,#178734,.F.); #231799=ORIENTED_EDGE('',*,*,#178735,.T.); #231800=ORIENTED_EDGE('',*,*,#178734,.T.); #231801=ORIENTED_EDGE('',*,*,#178736,.F.); #231802=ORIENTED_EDGE('',*,*,#178690,.F.); #231803=ORIENTED_EDGE('',*,*,#178737,.T.); #231804=ORIENTED_EDGE('',*,*,#178738,.T.); #231805=ORIENTED_EDGE('',*,*,#178739,.F.); #231806=ORIENTED_EDGE('',*,*,#178740,.F.); #231807=ORIENTED_EDGE('',*,*,#178741,.T.); #231808=ORIENTED_EDGE('',*,*,#178740,.T.); #231809=ORIENTED_EDGE('',*,*,#178742,.F.); #231810=ORIENTED_EDGE('',*,*,#178743,.F.); #231811=ORIENTED_EDGE('',*,*,#178744,.T.); #231812=ORIENTED_EDGE('',*,*,#178743,.T.); #231813=ORIENTED_EDGE('',*,*,#178745,.F.); #231814=ORIENTED_EDGE('',*,*,#178746,.F.); #231815=ORIENTED_EDGE('',*,*,#178747,.T.); #231816=ORIENTED_EDGE('',*,*,#178746,.T.); #231817=ORIENTED_EDGE('',*,*,#178748,.F.); #231818=ORIENTED_EDGE('',*,*,#178749,.F.); #231819=ORIENTED_EDGE('',*,*,#178750,.T.); #231820=ORIENTED_EDGE('',*,*,#178749,.T.); #231821=ORIENTED_EDGE('',*,*,#178751,.F.); #231822=ORIENTED_EDGE('',*,*,#178752,.F.); #231823=ORIENTED_EDGE('',*,*,#178753,.T.); #231824=ORIENTED_EDGE('',*,*,#178752,.T.); #231825=ORIENTED_EDGE('',*,*,#178754,.F.); #231826=ORIENTED_EDGE('',*,*,#178755,.F.); #231827=ORIENTED_EDGE('',*,*,#178756,.T.); #231828=ORIENTED_EDGE('',*,*,#178755,.T.); #231829=ORIENTED_EDGE('',*,*,#178757,.F.); #231830=ORIENTED_EDGE('',*,*,#178758,.F.); #231831=ORIENTED_EDGE('',*,*,#178759,.T.); #231832=ORIENTED_EDGE('',*,*,#178758,.T.); #231833=ORIENTED_EDGE('',*,*,#178760,.F.); #231834=ORIENTED_EDGE('',*,*,#178761,.F.); #231835=ORIENTED_EDGE('',*,*,#178762,.T.); #231836=ORIENTED_EDGE('',*,*,#178761,.T.); #231837=ORIENTED_EDGE('',*,*,#178763,.F.); #231838=ORIENTED_EDGE('',*,*,#178764,.F.); #231839=ORIENTED_EDGE('',*,*,#178765,.T.); #231840=ORIENTED_EDGE('',*,*,#178764,.T.); #231841=ORIENTED_EDGE('',*,*,#178766,.F.); #231842=ORIENTED_EDGE('',*,*,#178767,.F.); #231843=ORIENTED_EDGE('',*,*,#178768,.T.); #231844=ORIENTED_EDGE('',*,*,#178767,.T.); #231845=ORIENTED_EDGE('',*,*,#178769,.F.); #231846=ORIENTED_EDGE('',*,*,#178770,.F.); #231847=ORIENTED_EDGE('',*,*,#178771,.T.); #231848=ORIENTED_EDGE('',*,*,#178770,.T.); #231849=ORIENTED_EDGE('',*,*,#178772,.F.); #231850=ORIENTED_EDGE('',*,*,#178773,.F.); #231851=ORIENTED_EDGE('',*,*,#178774,.T.); #231852=ORIENTED_EDGE('',*,*,#178773,.T.); #231853=ORIENTED_EDGE('',*,*,#178775,.F.); #231854=ORIENTED_EDGE('',*,*,#178776,.F.); #231855=ORIENTED_EDGE('',*,*,#178777,.T.); #231856=ORIENTED_EDGE('',*,*,#178776,.T.); #231857=ORIENTED_EDGE('',*,*,#178778,.F.); #231858=ORIENTED_EDGE('',*,*,#178779,.F.); #231859=ORIENTED_EDGE('',*,*,#178780,.T.); #231860=ORIENTED_EDGE('',*,*,#178779,.T.); #231861=ORIENTED_EDGE('',*,*,#178781,.F.); #231862=ORIENTED_EDGE('',*,*,#178782,.F.); #231863=ORIENTED_EDGE('',*,*,#178783,.T.); #231864=ORIENTED_EDGE('',*,*,#178782,.T.); #231865=ORIENTED_EDGE('',*,*,#178784,.F.); #231866=ORIENTED_EDGE('',*,*,#178738,.F.); #231867=ORIENTED_EDGE('',*,*,#178785,.T.); #231868=ORIENTED_EDGE('',*,*,#178786,.T.); #231869=ORIENTED_EDGE('',*,*,#178787,.F.); #231870=ORIENTED_EDGE('',*,*,#178788,.F.); #231871=ORIENTED_EDGE('',*,*,#178789,.T.); #231872=ORIENTED_EDGE('',*,*,#178788,.T.); #231873=ORIENTED_EDGE('',*,*,#178790,.F.); #231874=ORIENTED_EDGE('',*,*,#178791,.F.); #231875=ORIENTED_EDGE('',*,*,#178792,.T.); #231876=ORIENTED_EDGE('',*,*,#178791,.T.); #231877=ORIENTED_EDGE('',*,*,#178793,.F.); #231878=ORIENTED_EDGE('',*,*,#178794,.F.); #231879=ORIENTED_EDGE('',*,*,#178795,.T.); #231880=ORIENTED_EDGE('',*,*,#178794,.T.); #231881=ORIENTED_EDGE('',*,*,#178796,.F.); #231882=ORIENTED_EDGE('',*,*,#178797,.F.); #231883=ORIENTED_EDGE('',*,*,#178798,.T.); #231884=ORIENTED_EDGE('',*,*,#178797,.T.); #231885=ORIENTED_EDGE('',*,*,#178799,.F.); #231886=ORIENTED_EDGE('',*,*,#178800,.F.); #231887=ORIENTED_EDGE('',*,*,#178801,.T.); #231888=ORIENTED_EDGE('',*,*,#178800,.T.); #231889=ORIENTED_EDGE('',*,*,#178802,.F.); #231890=ORIENTED_EDGE('',*,*,#178803,.F.); #231891=ORIENTED_EDGE('',*,*,#178804,.T.); #231892=ORIENTED_EDGE('',*,*,#178803,.T.); #231893=ORIENTED_EDGE('',*,*,#178805,.F.); #231894=ORIENTED_EDGE('',*,*,#178806,.F.); #231895=ORIENTED_EDGE('',*,*,#178807,.T.); #231896=ORIENTED_EDGE('',*,*,#178806,.T.); #231897=ORIENTED_EDGE('',*,*,#178808,.F.); #231898=ORIENTED_EDGE('',*,*,#178809,.F.); #231899=ORIENTED_EDGE('',*,*,#178810,.T.); #231900=ORIENTED_EDGE('',*,*,#178809,.T.); #231901=ORIENTED_EDGE('',*,*,#178811,.F.); #231902=ORIENTED_EDGE('',*,*,#178812,.F.); #231903=ORIENTED_EDGE('',*,*,#178813,.T.); #231904=ORIENTED_EDGE('',*,*,#178812,.T.); #231905=ORIENTED_EDGE('',*,*,#178814,.F.); #231906=ORIENTED_EDGE('',*,*,#178815,.F.); #231907=ORIENTED_EDGE('',*,*,#178816,.T.); #231908=ORIENTED_EDGE('',*,*,#178815,.T.); #231909=ORIENTED_EDGE('',*,*,#178817,.F.); #231910=ORIENTED_EDGE('',*,*,#178818,.F.); #231911=ORIENTED_EDGE('',*,*,#178819,.T.); #231912=ORIENTED_EDGE('',*,*,#178818,.T.); #231913=ORIENTED_EDGE('',*,*,#178820,.F.); #231914=ORIENTED_EDGE('',*,*,#178821,.F.); #231915=ORIENTED_EDGE('',*,*,#178822,.T.); #231916=ORIENTED_EDGE('',*,*,#178821,.T.); #231917=ORIENTED_EDGE('',*,*,#178823,.F.); #231918=ORIENTED_EDGE('',*,*,#178824,.F.); #231919=ORIENTED_EDGE('',*,*,#178825,.T.); #231920=ORIENTED_EDGE('',*,*,#178824,.T.); #231921=ORIENTED_EDGE('',*,*,#178826,.F.); #231922=ORIENTED_EDGE('',*,*,#178827,.F.); #231923=ORIENTED_EDGE('',*,*,#178828,.T.); #231924=ORIENTED_EDGE('',*,*,#178827,.T.); #231925=ORIENTED_EDGE('',*,*,#178829,.F.); #231926=ORIENTED_EDGE('',*,*,#178830,.F.); #231927=ORIENTED_EDGE('',*,*,#178831,.T.); #231928=ORIENTED_EDGE('',*,*,#178830,.T.); #231929=ORIENTED_EDGE('',*,*,#178832,.F.); #231930=ORIENTED_EDGE('',*,*,#178833,.F.); #231931=ORIENTED_EDGE('',*,*,#178834,.T.); #231932=ORIENTED_EDGE('',*,*,#178833,.T.); #231933=ORIENTED_EDGE('',*,*,#178835,.F.); #231934=ORIENTED_EDGE('',*,*,#178836,.F.); #231935=ORIENTED_EDGE('',*,*,#178837,.T.); #231936=ORIENTED_EDGE('',*,*,#178836,.T.); #231937=ORIENTED_EDGE('',*,*,#178838,.F.); #231938=ORIENTED_EDGE('',*,*,#178839,.F.); #231939=ORIENTED_EDGE('',*,*,#178840,.T.); #231940=ORIENTED_EDGE('',*,*,#178839,.T.); #231941=ORIENTED_EDGE('',*,*,#178841,.F.); #231942=ORIENTED_EDGE('',*,*,#178842,.F.); #231943=ORIENTED_EDGE('',*,*,#178843,.T.); #231944=ORIENTED_EDGE('',*,*,#178842,.T.); #231945=ORIENTED_EDGE('',*,*,#178844,.F.); #231946=ORIENTED_EDGE('',*,*,#178845,.F.); #231947=ORIENTED_EDGE('',*,*,#178846,.T.); #231948=ORIENTED_EDGE('',*,*,#178845,.T.); #231949=ORIENTED_EDGE('',*,*,#178847,.F.); #231950=ORIENTED_EDGE('',*,*,#178848,.F.); #231951=ORIENTED_EDGE('',*,*,#178849,.T.); #231952=ORIENTED_EDGE('',*,*,#178848,.T.); #231953=ORIENTED_EDGE('',*,*,#178850,.F.); #231954=ORIENTED_EDGE('',*,*,#178851,.F.); #231955=ORIENTED_EDGE('',*,*,#178852,.T.); #231956=ORIENTED_EDGE('',*,*,#178851,.T.); #231957=ORIENTED_EDGE('',*,*,#178853,.F.); #231958=ORIENTED_EDGE('',*,*,#178854,.F.); #231959=ORIENTED_EDGE('',*,*,#178855,.T.); #231960=ORIENTED_EDGE('',*,*,#178854,.T.); #231961=ORIENTED_EDGE('',*,*,#178856,.F.); #231962=ORIENTED_EDGE('',*,*,#178857,.F.); #231963=ORIENTED_EDGE('',*,*,#178858,.T.); #231964=ORIENTED_EDGE('',*,*,#178857,.T.); #231965=ORIENTED_EDGE('',*,*,#178859,.F.); #231966=ORIENTED_EDGE('',*,*,#178860,.F.); #231967=ORIENTED_EDGE('',*,*,#178861,.T.); #231968=ORIENTED_EDGE('',*,*,#178860,.T.); #231969=ORIENTED_EDGE('',*,*,#178862,.F.); #231970=ORIENTED_EDGE('',*,*,#178863,.F.); #231971=ORIENTED_EDGE('',*,*,#178864,.T.); #231972=ORIENTED_EDGE('',*,*,#178863,.T.); #231973=ORIENTED_EDGE('',*,*,#178865,.F.); #231974=ORIENTED_EDGE('',*,*,#178866,.F.); #231975=ORIENTED_EDGE('',*,*,#178867,.T.); #231976=ORIENTED_EDGE('',*,*,#178866,.T.); #231977=ORIENTED_EDGE('',*,*,#178868,.F.); #231978=ORIENTED_EDGE('',*,*,#178869,.F.); #231979=ORIENTED_EDGE('',*,*,#178870,.T.); #231980=ORIENTED_EDGE('',*,*,#178869,.T.); #231981=ORIENTED_EDGE('',*,*,#178871,.F.); #231982=ORIENTED_EDGE('',*,*,#178872,.F.); #231983=ORIENTED_EDGE('',*,*,#178873,.T.); #231984=ORIENTED_EDGE('',*,*,#178872,.T.); #231985=ORIENTED_EDGE('',*,*,#178874,.F.); #231986=ORIENTED_EDGE('',*,*,#178875,.F.); #231987=ORIENTED_EDGE('',*,*,#178876,.T.); #231988=ORIENTED_EDGE('',*,*,#178875,.T.); #231989=ORIENTED_EDGE('',*,*,#178877,.F.); #231990=ORIENTED_EDGE('',*,*,#178878,.F.); #231991=ORIENTED_EDGE('',*,*,#178879,.T.); #231992=ORIENTED_EDGE('',*,*,#178878,.T.); #231993=ORIENTED_EDGE('',*,*,#178880,.F.); #231994=ORIENTED_EDGE('',*,*,#178881,.F.); #231995=ORIENTED_EDGE('',*,*,#178882,.T.); #231996=ORIENTED_EDGE('',*,*,#178881,.T.); #231997=ORIENTED_EDGE('',*,*,#178883,.F.); #231998=ORIENTED_EDGE('',*,*,#178884,.F.); #231999=ORIENTED_EDGE('',*,*,#178885,.T.); #232000=ORIENTED_EDGE('',*,*,#178884,.T.); #232001=ORIENTED_EDGE('',*,*,#178886,.F.); #232002=ORIENTED_EDGE('',*,*,#178887,.F.); #232003=ORIENTED_EDGE('',*,*,#178888,.T.); #232004=ORIENTED_EDGE('',*,*,#178887,.T.); #232005=ORIENTED_EDGE('',*,*,#178889,.F.); #232006=ORIENTED_EDGE('',*,*,#178890,.F.); #232007=ORIENTED_EDGE('',*,*,#178891,.T.); #232008=ORIENTED_EDGE('',*,*,#178890,.T.); #232009=ORIENTED_EDGE('',*,*,#178892,.F.); #232010=ORIENTED_EDGE('',*,*,#178786,.F.); #232011=ORIENTED_EDGE('',*,*,#178893,.T.); #232012=ORIENTED_EDGE('',*,*,#178894,.T.); #232013=ORIENTED_EDGE('',*,*,#178895,.F.); #232014=ORIENTED_EDGE('',*,*,#178896,.F.); #232015=ORIENTED_EDGE('',*,*,#178897,.T.); #232016=ORIENTED_EDGE('',*,*,#178896,.T.); #232017=ORIENTED_EDGE('',*,*,#178898,.F.); #232018=ORIENTED_EDGE('',*,*,#178899,.F.); #232019=ORIENTED_EDGE('',*,*,#178900,.T.); #232020=ORIENTED_EDGE('',*,*,#178899,.T.); #232021=ORIENTED_EDGE('',*,*,#178901,.F.); #232022=ORIENTED_EDGE('',*,*,#178902,.F.); #232023=ORIENTED_EDGE('',*,*,#178903,.T.); #232024=ORIENTED_EDGE('',*,*,#178902,.T.); #232025=ORIENTED_EDGE('',*,*,#178904,.F.); #232026=ORIENTED_EDGE('',*,*,#178905,.F.); #232027=ORIENTED_EDGE('',*,*,#178906,.T.); #232028=ORIENTED_EDGE('',*,*,#178905,.T.); #232029=ORIENTED_EDGE('',*,*,#178907,.F.); #232030=ORIENTED_EDGE('',*,*,#178908,.F.); #232031=ORIENTED_EDGE('',*,*,#178909,.T.); #232032=ORIENTED_EDGE('',*,*,#178908,.T.); #232033=ORIENTED_EDGE('',*,*,#178910,.F.); #232034=ORIENTED_EDGE('',*,*,#178911,.F.); #232035=ORIENTED_EDGE('',*,*,#178912,.T.); #232036=ORIENTED_EDGE('',*,*,#178911,.T.); #232037=ORIENTED_EDGE('',*,*,#178913,.F.); #232038=ORIENTED_EDGE('',*,*,#178914,.F.); #232039=ORIENTED_EDGE('',*,*,#178915,.T.); #232040=ORIENTED_EDGE('',*,*,#178914,.T.); #232041=ORIENTED_EDGE('',*,*,#178916,.F.); #232042=ORIENTED_EDGE('',*,*,#178917,.F.); #232043=ORIENTED_EDGE('',*,*,#178918,.T.); #232044=ORIENTED_EDGE('',*,*,#178917,.T.); #232045=ORIENTED_EDGE('',*,*,#178919,.F.); #232046=ORIENTED_EDGE('',*,*,#178920,.F.); #232047=ORIENTED_EDGE('',*,*,#178921,.T.); #232048=ORIENTED_EDGE('',*,*,#178920,.T.); #232049=ORIENTED_EDGE('',*,*,#178922,.F.); #232050=ORIENTED_EDGE('',*,*,#178923,.F.); #232051=ORIENTED_EDGE('',*,*,#178924,.T.); #232052=ORIENTED_EDGE('',*,*,#178923,.T.); #232053=ORIENTED_EDGE('',*,*,#178925,.F.); #232054=ORIENTED_EDGE('',*,*,#178926,.F.); #232055=ORIENTED_EDGE('',*,*,#178927,.T.); #232056=ORIENTED_EDGE('',*,*,#178926,.T.); #232057=ORIENTED_EDGE('',*,*,#178928,.F.); #232058=ORIENTED_EDGE('',*,*,#178929,.F.); #232059=ORIENTED_EDGE('',*,*,#178930,.T.); #232060=ORIENTED_EDGE('',*,*,#178929,.T.); #232061=ORIENTED_EDGE('',*,*,#178931,.F.); #232062=ORIENTED_EDGE('',*,*,#178932,.F.); #232063=ORIENTED_EDGE('',*,*,#178933,.T.); #232064=ORIENTED_EDGE('',*,*,#178932,.T.); #232065=ORIENTED_EDGE('',*,*,#178934,.F.); #232066=ORIENTED_EDGE('',*,*,#178935,.F.); #232067=ORIENTED_EDGE('',*,*,#178936,.T.); #232068=ORIENTED_EDGE('',*,*,#178935,.T.); #232069=ORIENTED_EDGE('',*,*,#178937,.F.); #232070=ORIENTED_EDGE('',*,*,#178938,.F.); #232071=ORIENTED_EDGE('',*,*,#178939,.T.); #232072=ORIENTED_EDGE('',*,*,#178938,.T.); #232073=ORIENTED_EDGE('',*,*,#178940,.F.); #232074=ORIENTED_EDGE('',*,*,#178941,.F.); #232075=ORIENTED_EDGE('',*,*,#178942,.T.); #232076=ORIENTED_EDGE('',*,*,#178941,.T.); #232077=ORIENTED_EDGE('',*,*,#178943,.F.); #232078=ORIENTED_EDGE('',*,*,#178944,.F.); #232079=ORIENTED_EDGE('',*,*,#178945,.T.); #232080=ORIENTED_EDGE('',*,*,#178944,.T.); #232081=ORIENTED_EDGE('',*,*,#178946,.F.); #232082=ORIENTED_EDGE('',*,*,#178947,.F.); #232083=ORIENTED_EDGE('',*,*,#178948,.T.); #232084=ORIENTED_EDGE('',*,*,#178947,.T.); #232085=ORIENTED_EDGE('',*,*,#178949,.F.); #232086=ORIENTED_EDGE('',*,*,#178950,.F.); #232087=ORIENTED_EDGE('',*,*,#178951,.T.); #232088=ORIENTED_EDGE('',*,*,#178950,.T.); #232089=ORIENTED_EDGE('',*,*,#178952,.F.); #232090=ORIENTED_EDGE('',*,*,#178953,.F.); #232091=ORIENTED_EDGE('',*,*,#178954,.T.); #232092=ORIENTED_EDGE('',*,*,#178953,.T.); #232093=ORIENTED_EDGE('',*,*,#178955,.F.); #232094=ORIENTED_EDGE('',*,*,#178956,.F.); #232095=ORIENTED_EDGE('',*,*,#178957,.T.); #232096=ORIENTED_EDGE('',*,*,#178956,.T.); #232097=ORIENTED_EDGE('',*,*,#178958,.F.); #232098=ORIENTED_EDGE('',*,*,#178959,.F.); #232099=ORIENTED_EDGE('',*,*,#178960,.T.); #232100=ORIENTED_EDGE('',*,*,#178959,.T.); #232101=ORIENTED_EDGE('',*,*,#178961,.F.); #232102=ORIENTED_EDGE('',*,*,#178962,.F.); #232103=ORIENTED_EDGE('',*,*,#178963,.T.); #232104=ORIENTED_EDGE('',*,*,#178962,.T.); #232105=ORIENTED_EDGE('',*,*,#178964,.F.); #232106=ORIENTED_EDGE('',*,*,#178965,.F.); #232107=ORIENTED_EDGE('',*,*,#178966,.T.); #232108=ORIENTED_EDGE('',*,*,#178965,.T.); #232109=ORIENTED_EDGE('',*,*,#178967,.F.); #232110=ORIENTED_EDGE('',*,*,#178968,.F.); #232111=ORIENTED_EDGE('',*,*,#178969,.T.); #232112=ORIENTED_EDGE('',*,*,#178968,.T.); #232113=ORIENTED_EDGE('',*,*,#178970,.F.); #232114=ORIENTED_EDGE('',*,*,#178971,.F.); #232115=ORIENTED_EDGE('',*,*,#178972,.T.); #232116=ORIENTED_EDGE('',*,*,#178971,.T.); #232117=ORIENTED_EDGE('',*,*,#178973,.F.); #232118=ORIENTED_EDGE('',*,*,#178974,.F.); #232119=ORIENTED_EDGE('',*,*,#178975,.T.); #232120=ORIENTED_EDGE('',*,*,#178974,.T.); #232121=ORIENTED_EDGE('',*,*,#178976,.F.); #232122=ORIENTED_EDGE('',*,*,#178977,.F.); #232123=ORIENTED_EDGE('',*,*,#178978,.T.); #232124=ORIENTED_EDGE('',*,*,#178977,.T.); #232125=ORIENTED_EDGE('',*,*,#178979,.F.); #232126=ORIENTED_EDGE('',*,*,#178980,.F.); #232127=ORIENTED_EDGE('',*,*,#178981,.T.); #232128=ORIENTED_EDGE('',*,*,#178980,.T.); #232129=ORIENTED_EDGE('',*,*,#178982,.F.); #232130=ORIENTED_EDGE('',*,*,#178983,.F.); #232131=ORIENTED_EDGE('',*,*,#178984,.T.); #232132=ORIENTED_EDGE('',*,*,#178983,.T.); #232133=ORIENTED_EDGE('',*,*,#178985,.F.); #232134=ORIENTED_EDGE('',*,*,#178986,.F.); #232135=ORIENTED_EDGE('',*,*,#178987,.T.); #232136=ORIENTED_EDGE('',*,*,#178986,.T.); #232137=ORIENTED_EDGE('',*,*,#178988,.F.); #232138=ORIENTED_EDGE('',*,*,#178989,.F.); #232139=ORIENTED_EDGE('',*,*,#178990,.T.); #232140=ORIENTED_EDGE('',*,*,#178989,.T.); #232141=ORIENTED_EDGE('',*,*,#178991,.F.); #232142=ORIENTED_EDGE('',*,*,#178992,.F.); #232143=ORIENTED_EDGE('',*,*,#178993,.T.); #232144=ORIENTED_EDGE('',*,*,#178992,.T.); #232145=ORIENTED_EDGE('',*,*,#178994,.F.); #232146=ORIENTED_EDGE('',*,*,#178995,.F.); #232147=ORIENTED_EDGE('',*,*,#178996,.T.); #232148=ORIENTED_EDGE('',*,*,#178995,.T.); #232149=ORIENTED_EDGE('',*,*,#178997,.F.); #232150=ORIENTED_EDGE('',*,*,#178998,.F.); #232151=ORIENTED_EDGE('',*,*,#178999,.T.); #232152=ORIENTED_EDGE('',*,*,#178998,.T.); #232153=ORIENTED_EDGE('',*,*,#179000,.F.); #232154=ORIENTED_EDGE('',*,*,#178894,.F.); #232155=ORIENTED_EDGE('',*,*,#179001,.T.); #232156=ORIENTED_EDGE('',*,*,#179002,.T.); #232157=ORIENTED_EDGE('',*,*,#179003,.F.); #232158=ORIENTED_EDGE('',*,*,#179004,.F.); #232159=ORIENTED_EDGE('',*,*,#179005,.T.); #232160=ORIENTED_EDGE('',*,*,#179004,.T.); #232161=ORIENTED_EDGE('',*,*,#179006,.F.); #232162=ORIENTED_EDGE('',*,*,#179007,.F.); #232163=ORIENTED_EDGE('',*,*,#179008,.T.); #232164=ORIENTED_EDGE('',*,*,#179007,.T.); #232165=ORIENTED_EDGE('',*,*,#179009,.F.); #232166=ORIENTED_EDGE('',*,*,#179010,.F.); #232167=ORIENTED_EDGE('',*,*,#179011,.T.); #232168=ORIENTED_EDGE('',*,*,#179010,.T.); #232169=ORIENTED_EDGE('',*,*,#179012,.F.); #232170=ORIENTED_EDGE('',*,*,#179013,.F.); #232171=ORIENTED_EDGE('',*,*,#179014,.T.); #232172=ORIENTED_EDGE('',*,*,#179013,.T.); #232173=ORIENTED_EDGE('',*,*,#179015,.F.); #232174=ORIENTED_EDGE('',*,*,#179016,.F.); #232175=ORIENTED_EDGE('',*,*,#179017,.T.); #232176=ORIENTED_EDGE('',*,*,#179016,.T.); #232177=ORIENTED_EDGE('',*,*,#179018,.F.); #232178=ORIENTED_EDGE('',*,*,#179019,.F.); #232179=ORIENTED_EDGE('',*,*,#179020,.T.); #232180=ORIENTED_EDGE('',*,*,#179019,.T.); #232181=ORIENTED_EDGE('',*,*,#179021,.F.); #232182=ORIENTED_EDGE('',*,*,#179022,.F.); #232183=ORIENTED_EDGE('',*,*,#179023,.T.); #232184=ORIENTED_EDGE('',*,*,#179022,.T.); #232185=ORIENTED_EDGE('',*,*,#179024,.F.); #232186=ORIENTED_EDGE('',*,*,#179025,.F.); #232187=ORIENTED_EDGE('',*,*,#179026,.T.); #232188=ORIENTED_EDGE('',*,*,#179025,.T.); #232189=ORIENTED_EDGE('',*,*,#179027,.F.); #232190=ORIENTED_EDGE('',*,*,#179028,.F.); #232191=ORIENTED_EDGE('',*,*,#179029,.T.); #232192=ORIENTED_EDGE('',*,*,#179028,.T.); #232193=ORIENTED_EDGE('',*,*,#179030,.F.); #232194=ORIENTED_EDGE('',*,*,#179031,.F.); #232195=ORIENTED_EDGE('',*,*,#179032,.T.); #232196=ORIENTED_EDGE('',*,*,#179031,.T.); #232197=ORIENTED_EDGE('',*,*,#179033,.F.); #232198=ORIENTED_EDGE('',*,*,#179034,.F.); #232199=ORIENTED_EDGE('',*,*,#179035,.T.); #232200=ORIENTED_EDGE('',*,*,#179034,.T.); #232201=ORIENTED_EDGE('',*,*,#179036,.F.); #232202=ORIENTED_EDGE('',*,*,#179037,.F.); #232203=ORIENTED_EDGE('',*,*,#179038,.T.); #232204=ORIENTED_EDGE('',*,*,#179037,.T.); #232205=ORIENTED_EDGE('',*,*,#179039,.F.); #232206=ORIENTED_EDGE('',*,*,#179040,.F.); #232207=ORIENTED_EDGE('',*,*,#179041,.T.); #232208=ORIENTED_EDGE('',*,*,#179040,.T.); #232209=ORIENTED_EDGE('',*,*,#179042,.F.); #232210=ORIENTED_EDGE('',*,*,#179043,.F.); #232211=ORIENTED_EDGE('',*,*,#179044,.T.); #232212=ORIENTED_EDGE('',*,*,#179043,.T.); #232213=ORIENTED_EDGE('',*,*,#179045,.F.); #232214=ORIENTED_EDGE('',*,*,#179046,.F.); #232215=ORIENTED_EDGE('',*,*,#179047,.T.); #232216=ORIENTED_EDGE('',*,*,#179046,.T.); #232217=ORIENTED_EDGE('',*,*,#179048,.F.); #232218=ORIENTED_EDGE('',*,*,#179049,.F.); #232219=ORIENTED_EDGE('',*,*,#179050,.T.); #232220=ORIENTED_EDGE('',*,*,#179049,.T.); #232221=ORIENTED_EDGE('',*,*,#179051,.F.); #232222=ORIENTED_EDGE('',*,*,#179052,.F.); #232223=ORIENTED_EDGE('',*,*,#179053,.T.); #232224=ORIENTED_EDGE('',*,*,#179052,.T.); #232225=ORIENTED_EDGE('',*,*,#179054,.F.); #232226=ORIENTED_EDGE('',*,*,#179055,.F.); #232227=ORIENTED_EDGE('',*,*,#179056,.T.); #232228=ORIENTED_EDGE('',*,*,#179055,.T.); #232229=ORIENTED_EDGE('',*,*,#179057,.F.); #232230=ORIENTED_EDGE('',*,*,#179058,.F.); #232231=ORIENTED_EDGE('',*,*,#179059,.T.); #232232=ORIENTED_EDGE('',*,*,#179058,.T.); #232233=ORIENTED_EDGE('',*,*,#179060,.F.); #232234=ORIENTED_EDGE('',*,*,#179061,.F.); #232235=ORIENTED_EDGE('',*,*,#179062,.T.); #232236=ORIENTED_EDGE('',*,*,#179061,.T.); #232237=ORIENTED_EDGE('',*,*,#179063,.F.); #232238=ORIENTED_EDGE('',*,*,#179064,.F.); #232239=ORIENTED_EDGE('',*,*,#179065,.T.); #232240=ORIENTED_EDGE('',*,*,#179064,.T.); #232241=ORIENTED_EDGE('',*,*,#179066,.F.); #232242=ORIENTED_EDGE('',*,*,#179067,.F.); #232243=ORIENTED_EDGE('',*,*,#179068,.T.); #232244=ORIENTED_EDGE('',*,*,#179067,.T.); #232245=ORIENTED_EDGE('',*,*,#179069,.F.); #232246=ORIENTED_EDGE('',*,*,#179070,.F.); #232247=ORIENTED_EDGE('',*,*,#179071,.T.); #232248=ORIENTED_EDGE('',*,*,#179070,.T.); #232249=ORIENTED_EDGE('',*,*,#179072,.F.); #232250=ORIENTED_EDGE('',*,*,#179073,.F.); #232251=ORIENTED_EDGE('',*,*,#179074,.T.); #232252=ORIENTED_EDGE('',*,*,#179073,.T.); #232253=ORIENTED_EDGE('',*,*,#179075,.F.); #232254=ORIENTED_EDGE('',*,*,#179076,.F.); #232255=ORIENTED_EDGE('',*,*,#179077,.T.); #232256=ORIENTED_EDGE('',*,*,#179076,.T.); #232257=ORIENTED_EDGE('',*,*,#179078,.F.); #232258=ORIENTED_EDGE('',*,*,#179079,.F.); #232259=ORIENTED_EDGE('',*,*,#179080,.T.); #232260=ORIENTED_EDGE('',*,*,#179079,.T.); #232261=ORIENTED_EDGE('',*,*,#179081,.F.); #232262=ORIENTED_EDGE('',*,*,#179082,.F.); #232263=ORIENTED_EDGE('',*,*,#179083,.T.); #232264=ORIENTED_EDGE('',*,*,#179082,.T.); #232265=ORIENTED_EDGE('',*,*,#179084,.F.); #232266=ORIENTED_EDGE('',*,*,#179085,.F.); #232267=ORIENTED_EDGE('',*,*,#179086,.T.); #232268=ORIENTED_EDGE('',*,*,#179085,.T.); #232269=ORIENTED_EDGE('',*,*,#179087,.F.); #232270=ORIENTED_EDGE('',*,*,#179088,.F.); #232271=ORIENTED_EDGE('',*,*,#179089,.T.); #232272=ORIENTED_EDGE('',*,*,#179088,.T.); #232273=ORIENTED_EDGE('',*,*,#179090,.F.); #232274=ORIENTED_EDGE('',*,*,#179091,.F.); #232275=ORIENTED_EDGE('',*,*,#179092,.T.); #232276=ORIENTED_EDGE('',*,*,#179091,.T.); #232277=ORIENTED_EDGE('',*,*,#179093,.F.); #232278=ORIENTED_EDGE('',*,*,#179094,.F.); #232279=ORIENTED_EDGE('',*,*,#179095,.T.); #232280=ORIENTED_EDGE('',*,*,#179094,.T.); #232281=ORIENTED_EDGE('',*,*,#179096,.F.); #232282=ORIENTED_EDGE('',*,*,#179097,.F.); #232283=ORIENTED_EDGE('',*,*,#179098,.T.); #232284=ORIENTED_EDGE('',*,*,#179097,.T.); #232285=ORIENTED_EDGE('',*,*,#179099,.F.); #232286=ORIENTED_EDGE('',*,*,#179100,.F.); #232287=ORIENTED_EDGE('',*,*,#179101,.T.); #232288=ORIENTED_EDGE('',*,*,#179100,.T.); #232289=ORIENTED_EDGE('',*,*,#179102,.F.); #232290=ORIENTED_EDGE('',*,*,#179103,.F.); #232291=ORIENTED_EDGE('',*,*,#179104,.T.); #232292=ORIENTED_EDGE('',*,*,#179103,.T.); #232293=ORIENTED_EDGE('',*,*,#179105,.F.); #232294=ORIENTED_EDGE('',*,*,#179106,.F.); #232295=ORIENTED_EDGE('',*,*,#179107,.T.); #232296=ORIENTED_EDGE('',*,*,#179106,.T.); #232297=ORIENTED_EDGE('',*,*,#179108,.F.); #232298=ORIENTED_EDGE('',*,*,#179109,.F.); #232299=ORIENTED_EDGE('',*,*,#179110,.T.); #232300=ORIENTED_EDGE('',*,*,#179109,.T.); #232301=ORIENTED_EDGE('',*,*,#179111,.F.); #232302=ORIENTED_EDGE('',*,*,#179112,.F.); #232303=ORIENTED_EDGE('',*,*,#179113,.T.); #232304=ORIENTED_EDGE('',*,*,#179112,.T.); #232305=ORIENTED_EDGE('',*,*,#179114,.F.); #232306=ORIENTED_EDGE('',*,*,#179115,.F.); #232307=ORIENTED_EDGE('',*,*,#179116,.T.); #232308=ORIENTED_EDGE('',*,*,#179115,.T.); #232309=ORIENTED_EDGE('',*,*,#179117,.F.); #232310=ORIENTED_EDGE('',*,*,#179118,.F.); #232311=ORIENTED_EDGE('',*,*,#179119,.T.); #232312=ORIENTED_EDGE('',*,*,#179118,.T.); #232313=ORIENTED_EDGE('',*,*,#179120,.F.); #232314=ORIENTED_EDGE('',*,*,#179121,.F.); #232315=ORIENTED_EDGE('',*,*,#179122,.T.); #232316=ORIENTED_EDGE('',*,*,#179121,.T.); #232317=ORIENTED_EDGE('',*,*,#179123,.F.); #232318=ORIENTED_EDGE('',*,*,#179124,.F.); #232319=ORIENTED_EDGE('',*,*,#179125,.T.); #232320=ORIENTED_EDGE('',*,*,#179124,.T.); #232321=ORIENTED_EDGE('',*,*,#179126,.F.); #232322=ORIENTED_EDGE('',*,*,#179127,.F.); #232323=ORIENTED_EDGE('',*,*,#179128,.T.); #232324=ORIENTED_EDGE('',*,*,#179127,.T.); #232325=ORIENTED_EDGE('',*,*,#179129,.F.); #232326=ORIENTED_EDGE('',*,*,#179130,.F.); #232327=ORIENTED_EDGE('',*,*,#179131,.T.); #232328=ORIENTED_EDGE('',*,*,#179130,.T.); #232329=ORIENTED_EDGE('',*,*,#179132,.F.); #232330=ORIENTED_EDGE('',*,*,#179133,.F.); #232331=ORIENTED_EDGE('',*,*,#179134,.T.); #232332=ORIENTED_EDGE('',*,*,#179133,.T.); #232333=ORIENTED_EDGE('',*,*,#179135,.F.); #232334=ORIENTED_EDGE('',*,*,#179136,.F.); #232335=ORIENTED_EDGE('',*,*,#179137,.T.); #232336=ORIENTED_EDGE('',*,*,#179136,.T.); #232337=ORIENTED_EDGE('',*,*,#179138,.F.); #232338=ORIENTED_EDGE('',*,*,#179139,.F.); #232339=ORIENTED_EDGE('',*,*,#179140,.T.); #232340=ORIENTED_EDGE('',*,*,#179139,.T.); #232341=ORIENTED_EDGE('',*,*,#179141,.F.); #232342=ORIENTED_EDGE('',*,*,#179142,.F.); #232343=ORIENTED_EDGE('',*,*,#179143,.T.); #232344=ORIENTED_EDGE('',*,*,#179142,.T.); #232345=ORIENTED_EDGE('',*,*,#179144,.F.); #232346=ORIENTED_EDGE('',*,*,#179145,.F.); #232347=ORIENTED_EDGE('',*,*,#179146,.T.); #232348=ORIENTED_EDGE('',*,*,#179145,.T.); #232349=ORIENTED_EDGE('',*,*,#179147,.F.); #232350=ORIENTED_EDGE('',*,*,#179148,.F.); #232351=ORIENTED_EDGE('',*,*,#179149,.T.); #232352=ORIENTED_EDGE('',*,*,#179148,.T.); #232353=ORIENTED_EDGE('',*,*,#179150,.F.); #232354=ORIENTED_EDGE('',*,*,#179151,.F.); #232355=ORIENTED_EDGE('',*,*,#179152,.T.); #232356=ORIENTED_EDGE('',*,*,#179151,.T.); #232357=ORIENTED_EDGE('',*,*,#179153,.F.); #232358=ORIENTED_EDGE('',*,*,#179154,.F.); #232359=ORIENTED_EDGE('',*,*,#179155,.T.); #232360=ORIENTED_EDGE('',*,*,#179154,.T.); #232361=ORIENTED_EDGE('',*,*,#179156,.F.); #232362=ORIENTED_EDGE('',*,*,#179157,.F.); #232363=ORIENTED_EDGE('',*,*,#179158,.T.); #232364=ORIENTED_EDGE('',*,*,#179157,.T.); #232365=ORIENTED_EDGE('',*,*,#179159,.F.); #232366=ORIENTED_EDGE('',*,*,#179160,.F.); #232367=ORIENTED_EDGE('',*,*,#179161,.T.); #232368=ORIENTED_EDGE('',*,*,#179160,.T.); #232369=ORIENTED_EDGE('',*,*,#179162,.F.); #232370=ORIENTED_EDGE('',*,*,#179163,.F.); #232371=ORIENTED_EDGE('',*,*,#179164,.T.); #232372=ORIENTED_EDGE('',*,*,#179163,.T.); #232373=ORIENTED_EDGE('',*,*,#179165,.F.); #232374=ORIENTED_EDGE('',*,*,#179166,.F.); #232375=ORIENTED_EDGE('',*,*,#179167,.T.); #232376=ORIENTED_EDGE('',*,*,#179166,.T.); #232377=ORIENTED_EDGE('',*,*,#179168,.F.); #232378=ORIENTED_EDGE('',*,*,#179169,.F.); #232379=ORIENTED_EDGE('',*,*,#179170,.T.); #232380=ORIENTED_EDGE('',*,*,#179169,.T.); #232381=ORIENTED_EDGE('',*,*,#179171,.F.); #232382=ORIENTED_EDGE('',*,*,#179172,.F.); #232383=ORIENTED_EDGE('',*,*,#179173,.T.); #232384=ORIENTED_EDGE('',*,*,#179172,.T.); #232385=ORIENTED_EDGE('',*,*,#179174,.F.); #232386=ORIENTED_EDGE('',*,*,#179175,.F.); #232387=ORIENTED_EDGE('',*,*,#179176,.T.); #232388=ORIENTED_EDGE('',*,*,#179175,.T.); #232389=ORIENTED_EDGE('',*,*,#179177,.F.); #232390=ORIENTED_EDGE('',*,*,#179178,.F.); #232391=ORIENTED_EDGE('',*,*,#179179,.T.); #232392=ORIENTED_EDGE('',*,*,#179178,.T.); #232393=ORIENTED_EDGE('',*,*,#179180,.F.); #232394=ORIENTED_EDGE('',*,*,#179181,.F.); #232395=ORIENTED_EDGE('',*,*,#179182,.T.); #232396=ORIENTED_EDGE('',*,*,#179181,.T.); #232397=ORIENTED_EDGE('',*,*,#179183,.F.); #232398=ORIENTED_EDGE('',*,*,#179184,.F.); #232399=ORIENTED_EDGE('',*,*,#179185,.T.); #232400=ORIENTED_EDGE('',*,*,#179184,.T.); #232401=ORIENTED_EDGE('',*,*,#179186,.F.); #232402=ORIENTED_EDGE('',*,*,#179187,.F.); #232403=ORIENTED_EDGE('',*,*,#179188,.T.); #232404=ORIENTED_EDGE('',*,*,#179187,.T.); #232405=ORIENTED_EDGE('',*,*,#179189,.F.); #232406=ORIENTED_EDGE('',*,*,#179190,.F.); #232407=ORIENTED_EDGE('',*,*,#179191,.T.); #232408=ORIENTED_EDGE('',*,*,#179190,.T.); #232409=ORIENTED_EDGE('',*,*,#179192,.F.); #232410=ORIENTED_EDGE('',*,*,#179193,.F.); #232411=ORIENTED_EDGE('',*,*,#179194,.T.); #232412=ORIENTED_EDGE('',*,*,#179193,.T.); #232413=ORIENTED_EDGE('',*,*,#179195,.F.); #232414=ORIENTED_EDGE('',*,*,#179196,.F.); #232415=ORIENTED_EDGE('',*,*,#179197,.T.); #232416=ORIENTED_EDGE('',*,*,#179196,.T.); #232417=ORIENTED_EDGE('',*,*,#179198,.F.); #232418=ORIENTED_EDGE('',*,*,#179199,.F.); #232419=ORIENTED_EDGE('',*,*,#179200,.T.); #232420=ORIENTED_EDGE('',*,*,#179199,.T.); #232421=ORIENTED_EDGE('',*,*,#179201,.F.); #232422=ORIENTED_EDGE('',*,*,#179202,.F.); #232423=ORIENTED_EDGE('',*,*,#179203,.T.); #232424=ORIENTED_EDGE('',*,*,#179202,.T.); #232425=ORIENTED_EDGE('',*,*,#179204,.F.); #232426=ORIENTED_EDGE('',*,*,#179205,.F.); #232427=ORIENTED_EDGE('',*,*,#179206,.T.); #232428=ORIENTED_EDGE('',*,*,#179205,.T.); #232429=ORIENTED_EDGE('',*,*,#179207,.F.); #232430=ORIENTED_EDGE('',*,*,#179208,.F.); #232431=ORIENTED_EDGE('',*,*,#179209,.T.); #232432=ORIENTED_EDGE('',*,*,#179208,.T.); #232433=ORIENTED_EDGE('',*,*,#179210,.F.); #232434=ORIENTED_EDGE('',*,*,#179211,.F.); #232435=ORIENTED_EDGE('',*,*,#179212,.T.); #232436=ORIENTED_EDGE('',*,*,#179211,.T.); #232437=ORIENTED_EDGE('',*,*,#179213,.F.); #232438=ORIENTED_EDGE('',*,*,#179214,.F.); #232439=ORIENTED_EDGE('',*,*,#179215,.T.); #232440=ORIENTED_EDGE('',*,*,#179214,.T.); #232441=ORIENTED_EDGE('',*,*,#179216,.F.); #232442=ORIENTED_EDGE('',*,*,#179217,.F.); #232443=ORIENTED_EDGE('',*,*,#179218,.T.); #232444=ORIENTED_EDGE('',*,*,#179217,.T.); #232445=ORIENTED_EDGE('',*,*,#179219,.F.); #232446=ORIENTED_EDGE('',*,*,#179220,.F.); #232447=ORIENTED_EDGE('',*,*,#179221,.T.); #232448=ORIENTED_EDGE('',*,*,#179220,.T.); #232449=ORIENTED_EDGE('',*,*,#179222,.F.); #232450=ORIENTED_EDGE('',*,*,#179223,.F.); #232451=ORIENTED_EDGE('',*,*,#179224,.T.); #232452=ORIENTED_EDGE('',*,*,#179223,.T.); #232453=ORIENTED_EDGE('',*,*,#179225,.F.); #232454=ORIENTED_EDGE('',*,*,#179226,.F.); #232455=ORIENTED_EDGE('',*,*,#179227,.T.); #232456=ORIENTED_EDGE('',*,*,#179226,.T.); #232457=ORIENTED_EDGE('',*,*,#179228,.F.); #232458=ORIENTED_EDGE('',*,*,#179229,.F.); #232459=ORIENTED_EDGE('',*,*,#179230,.T.); #232460=ORIENTED_EDGE('',*,*,#179229,.T.); #232461=ORIENTED_EDGE('',*,*,#179231,.F.); #232462=ORIENTED_EDGE('',*,*,#179232,.F.); #232463=ORIENTED_EDGE('',*,*,#179233,.T.); #232464=ORIENTED_EDGE('',*,*,#179232,.T.); #232465=ORIENTED_EDGE('',*,*,#179234,.F.); #232466=ORIENTED_EDGE('',*,*,#179235,.F.); #232467=ORIENTED_EDGE('',*,*,#179236,.T.); #232468=ORIENTED_EDGE('',*,*,#179235,.T.); #232469=ORIENTED_EDGE('',*,*,#179237,.F.); #232470=ORIENTED_EDGE('',*,*,#179238,.F.); #232471=ORIENTED_EDGE('',*,*,#179239,.T.); #232472=ORIENTED_EDGE('',*,*,#179238,.T.); #232473=ORIENTED_EDGE('',*,*,#179240,.F.); #232474=ORIENTED_EDGE('',*,*,#179241,.F.); #232475=ORIENTED_EDGE('',*,*,#179242,.T.); #232476=ORIENTED_EDGE('',*,*,#179241,.T.); #232477=ORIENTED_EDGE('',*,*,#179243,.F.); #232478=ORIENTED_EDGE('',*,*,#179244,.F.); #232479=ORIENTED_EDGE('',*,*,#179245,.T.); #232480=ORIENTED_EDGE('',*,*,#179244,.T.); #232481=ORIENTED_EDGE('',*,*,#179246,.F.); #232482=ORIENTED_EDGE('',*,*,#179247,.F.); #232483=ORIENTED_EDGE('',*,*,#179248,.T.); #232484=ORIENTED_EDGE('',*,*,#179247,.T.); #232485=ORIENTED_EDGE('',*,*,#179249,.F.); #232486=ORIENTED_EDGE('',*,*,#179250,.F.); #232487=ORIENTED_EDGE('',*,*,#179251,.T.); #232488=ORIENTED_EDGE('',*,*,#179250,.T.); #232489=ORIENTED_EDGE('',*,*,#179252,.F.); #232490=ORIENTED_EDGE('',*,*,#179253,.F.); #232491=ORIENTED_EDGE('',*,*,#179254,.T.); #232492=ORIENTED_EDGE('',*,*,#179253,.T.); #232493=ORIENTED_EDGE('',*,*,#179255,.F.); #232494=ORIENTED_EDGE('',*,*,#179256,.F.); #232495=ORIENTED_EDGE('',*,*,#179257,.T.); #232496=ORIENTED_EDGE('',*,*,#179256,.T.); #232497=ORIENTED_EDGE('',*,*,#179258,.F.); #232498=ORIENTED_EDGE('',*,*,#179259,.F.); #232499=ORIENTED_EDGE('',*,*,#179260,.T.); #232500=ORIENTED_EDGE('',*,*,#179259,.T.); #232501=ORIENTED_EDGE('',*,*,#179261,.F.); #232502=ORIENTED_EDGE('',*,*,#179262,.F.); #232503=ORIENTED_EDGE('',*,*,#179263,.T.); #232504=ORIENTED_EDGE('',*,*,#179262,.T.); #232505=ORIENTED_EDGE('',*,*,#179264,.F.); #232506=ORIENTED_EDGE('',*,*,#179265,.F.); #232507=ORIENTED_EDGE('',*,*,#179266,.T.); #232508=ORIENTED_EDGE('',*,*,#179265,.T.); #232509=ORIENTED_EDGE('',*,*,#179267,.F.); #232510=ORIENTED_EDGE('',*,*,#179268,.F.); #232511=ORIENTED_EDGE('',*,*,#179269,.T.); #232512=ORIENTED_EDGE('',*,*,#179268,.T.); #232513=ORIENTED_EDGE('',*,*,#179270,.F.); #232514=ORIENTED_EDGE('',*,*,#179271,.F.); #232515=ORIENTED_EDGE('',*,*,#179272,.T.); #232516=ORIENTED_EDGE('',*,*,#179271,.T.); #232517=ORIENTED_EDGE('',*,*,#179273,.F.); #232518=ORIENTED_EDGE('',*,*,#179274,.F.); #232519=ORIENTED_EDGE('',*,*,#179275,.T.); #232520=ORIENTED_EDGE('',*,*,#179274,.T.); #232521=ORIENTED_EDGE('',*,*,#179276,.F.); #232522=ORIENTED_EDGE('',*,*,#179277,.F.); #232523=ORIENTED_EDGE('',*,*,#179278,.T.); #232524=ORIENTED_EDGE('',*,*,#179277,.T.); #232525=ORIENTED_EDGE('',*,*,#179279,.F.); #232526=ORIENTED_EDGE('',*,*,#179280,.F.); #232527=ORIENTED_EDGE('',*,*,#179281,.T.); #232528=ORIENTED_EDGE('',*,*,#179280,.T.); #232529=ORIENTED_EDGE('',*,*,#179282,.F.); #232530=ORIENTED_EDGE('',*,*,#179283,.F.); #232531=ORIENTED_EDGE('',*,*,#179284,.T.); #232532=ORIENTED_EDGE('',*,*,#179283,.T.); #232533=ORIENTED_EDGE('',*,*,#179285,.F.); #232534=ORIENTED_EDGE('',*,*,#179286,.F.); #232535=ORIENTED_EDGE('',*,*,#179287,.T.); #232536=ORIENTED_EDGE('',*,*,#179286,.T.); #232537=ORIENTED_EDGE('',*,*,#179288,.F.); #232538=ORIENTED_EDGE('',*,*,#179289,.F.); #232539=ORIENTED_EDGE('',*,*,#179290,.T.); #232540=ORIENTED_EDGE('',*,*,#179289,.T.); #232541=ORIENTED_EDGE('',*,*,#179291,.F.); #232542=ORIENTED_EDGE('',*,*,#179292,.F.); #232543=ORIENTED_EDGE('',*,*,#179293,.T.); #232544=ORIENTED_EDGE('',*,*,#179292,.T.); #232545=ORIENTED_EDGE('',*,*,#179294,.F.); #232546=ORIENTED_EDGE('',*,*,#179295,.F.); #232547=ORIENTED_EDGE('',*,*,#179296,.T.); #232548=ORIENTED_EDGE('',*,*,#179295,.T.); #232549=ORIENTED_EDGE('',*,*,#179297,.F.); #232550=ORIENTED_EDGE('',*,*,#179298,.F.); #232551=ORIENTED_EDGE('',*,*,#179299,.T.); #232552=ORIENTED_EDGE('',*,*,#179298,.T.); #232553=ORIENTED_EDGE('',*,*,#179300,.F.); #232554=ORIENTED_EDGE('',*,*,#179301,.F.); #232555=ORIENTED_EDGE('',*,*,#179302,.T.); #232556=ORIENTED_EDGE('',*,*,#179301,.T.); #232557=ORIENTED_EDGE('',*,*,#179303,.F.); #232558=ORIENTED_EDGE('',*,*,#179304,.F.); #232559=ORIENTED_EDGE('',*,*,#179305,.T.); #232560=ORIENTED_EDGE('',*,*,#179304,.T.); #232561=ORIENTED_EDGE('',*,*,#179306,.F.); #232562=ORIENTED_EDGE('',*,*,#179307,.F.); #232563=ORIENTED_EDGE('',*,*,#179308,.T.); #232564=ORIENTED_EDGE('',*,*,#179307,.T.); #232565=ORIENTED_EDGE('',*,*,#179309,.F.); #232566=ORIENTED_EDGE('',*,*,#179310,.F.); #232567=ORIENTED_EDGE('',*,*,#179311,.T.); #232568=ORIENTED_EDGE('',*,*,#179310,.T.); #232569=ORIENTED_EDGE('',*,*,#179312,.F.); #232570=ORIENTED_EDGE('',*,*,#179313,.F.); #232571=ORIENTED_EDGE('',*,*,#179314,.T.); #232572=ORIENTED_EDGE('',*,*,#179313,.T.); #232573=ORIENTED_EDGE('',*,*,#179315,.F.); #232574=ORIENTED_EDGE('',*,*,#179316,.F.); #232575=ORIENTED_EDGE('',*,*,#179317,.T.); #232576=ORIENTED_EDGE('',*,*,#179316,.T.); #232577=ORIENTED_EDGE('',*,*,#179318,.F.); #232578=ORIENTED_EDGE('',*,*,#179319,.F.); #232579=ORIENTED_EDGE('',*,*,#179320,.T.); #232580=ORIENTED_EDGE('',*,*,#179319,.T.); #232581=ORIENTED_EDGE('',*,*,#179321,.F.); #232582=ORIENTED_EDGE('',*,*,#179322,.F.); #232583=ORIENTED_EDGE('',*,*,#179323,.T.); #232584=ORIENTED_EDGE('',*,*,#179322,.T.); #232585=ORIENTED_EDGE('',*,*,#179324,.F.); #232586=ORIENTED_EDGE('',*,*,#179325,.F.); #232587=ORIENTED_EDGE('',*,*,#179326,.T.); #232588=ORIENTED_EDGE('',*,*,#179325,.T.); #232589=ORIENTED_EDGE('',*,*,#179327,.F.); #232590=ORIENTED_EDGE('',*,*,#179328,.F.); #232591=ORIENTED_EDGE('',*,*,#179329,.T.); #232592=ORIENTED_EDGE('',*,*,#179328,.T.); #232593=ORIENTED_EDGE('',*,*,#179330,.F.); #232594=ORIENTED_EDGE('',*,*,#179331,.F.); #232595=ORIENTED_EDGE('',*,*,#179332,.T.); #232596=ORIENTED_EDGE('',*,*,#179331,.T.); #232597=ORIENTED_EDGE('',*,*,#179333,.F.); #232598=ORIENTED_EDGE('',*,*,#179334,.F.); #232599=ORIENTED_EDGE('',*,*,#179335,.T.); #232600=ORIENTED_EDGE('',*,*,#179334,.T.); #232601=ORIENTED_EDGE('',*,*,#179336,.F.); #232602=ORIENTED_EDGE('',*,*,#179337,.F.); #232603=ORIENTED_EDGE('',*,*,#179338,.T.); #232604=ORIENTED_EDGE('',*,*,#179337,.T.); #232605=ORIENTED_EDGE('',*,*,#179339,.F.); #232606=ORIENTED_EDGE('',*,*,#179340,.F.); #232607=ORIENTED_EDGE('',*,*,#179341,.T.); #232608=ORIENTED_EDGE('',*,*,#179340,.T.); #232609=ORIENTED_EDGE('',*,*,#179342,.F.); #232610=ORIENTED_EDGE('',*,*,#179343,.F.); #232611=ORIENTED_EDGE('',*,*,#179344,.T.); #232612=ORIENTED_EDGE('',*,*,#179343,.T.); #232613=ORIENTED_EDGE('',*,*,#179345,.F.); #232614=ORIENTED_EDGE('',*,*,#179346,.F.); #232615=ORIENTED_EDGE('',*,*,#179347,.T.); #232616=ORIENTED_EDGE('',*,*,#179346,.T.); #232617=ORIENTED_EDGE('',*,*,#179348,.F.); #232618=ORIENTED_EDGE('',*,*,#179349,.F.); #232619=ORIENTED_EDGE('',*,*,#179350,.T.); #232620=ORIENTED_EDGE('',*,*,#179349,.T.); #232621=ORIENTED_EDGE('',*,*,#179351,.F.); #232622=ORIENTED_EDGE('',*,*,#179352,.F.); #232623=ORIENTED_EDGE('',*,*,#179353,.T.); #232624=ORIENTED_EDGE('',*,*,#179352,.T.); #232625=ORIENTED_EDGE('',*,*,#179354,.F.); #232626=ORIENTED_EDGE('',*,*,#179355,.F.); #232627=ORIENTED_EDGE('',*,*,#179356,.T.); #232628=ORIENTED_EDGE('',*,*,#179355,.T.); #232629=ORIENTED_EDGE('',*,*,#179357,.F.); #232630=ORIENTED_EDGE('',*,*,#179358,.F.); #232631=ORIENTED_EDGE('',*,*,#179359,.T.); #232632=ORIENTED_EDGE('',*,*,#179358,.T.); #232633=ORIENTED_EDGE('',*,*,#179360,.F.); #232634=ORIENTED_EDGE('',*,*,#179361,.F.); #232635=ORIENTED_EDGE('',*,*,#179362,.T.); #232636=ORIENTED_EDGE('',*,*,#179361,.T.); #232637=ORIENTED_EDGE('',*,*,#179363,.F.); #232638=ORIENTED_EDGE('',*,*,#179364,.F.); #232639=ORIENTED_EDGE('',*,*,#179365,.T.); #232640=ORIENTED_EDGE('',*,*,#179364,.T.); #232641=ORIENTED_EDGE('',*,*,#179366,.F.); #232642=ORIENTED_EDGE('',*,*,#179367,.F.); #232643=ORIENTED_EDGE('',*,*,#179368,.T.); #232644=ORIENTED_EDGE('',*,*,#179367,.T.); #232645=ORIENTED_EDGE('',*,*,#179369,.F.); #232646=ORIENTED_EDGE('',*,*,#179370,.F.); #232647=ORIENTED_EDGE('',*,*,#179371,.T.); #232648=ORIENTED_EDGE('',*,*,#179370,.T.); #232649=ORIENTED_EDGE('',*,*,#179372,.F.); #232650=ORIENTED_EDGE('',*,*,#179373,.F.); #232651=ORIENTED_EDGE('',*,*,#179374,.T.); #232652=ORIENTED_EDGE('',*,*,#179373,.T.); #232653=ORIENTED_EDGE('',*,*,#179375,.F.); #232654=ORIENTED_EDGE('',*,*,#179376,.F.); #232655=ORIENTED_EDGE('',*,*,#179377,.T.); #232656=ORIENTED_EDGE('',*,*,#179376,.T.); #232657=ORIENTED_EDGE('',*,*,#179378,.F.); #232658=ORIENTED_EDGE('',*,*,#179379,.F.); #232659=ORIENTED_EDGE('',*,*,#179380,.T.); #232660=ORIENTED_EDGE('',*,*,#179379,.T.); #232661=ORIENTED_EDGE('',*,*,#179381,.F.); #232662=ORIENTED_EDGE('',*,*,#179382,.F.); #232663=ORIENTED_EDGE('',*,*,#179383,.T.); #232664=ORIENTED_EDGE('',*,*,#179382,.T.); #232665=ORIENTED_EDGE('',*,*,#179384,.F.); #232666=ORIENTED_EDGE('',*,*,#179385,.F.); #232667=ORIENTED_EDGE('',*,*,#179386,.T.); #232668=ORIENTED_EDGE('',*,*,#179385,.T.); #232669=ORIENTED_EDGE('',*,*,#179387,.F.); #232670=ORIENTED_EDGE('',*,*,#179388,.F.); #232671=ORIENTED_EDGE('',*,*,#179389,.T.); #232672=ORIENTED_EDGE('',*,*,#179388,.T.); #232673=ORIENTED_EDGE('',*,*,#179390,.F.); #232674=ORIENTED_EDGE('',*,*,#179391,.F.); #232675=ORIENTED_EDGE('',*,*,#179392,.T.); #232676=ORIENTED_EDGE('',*,*,#179391,.T.); #232677=ORIENTED_EDGE('',*,*,#179393,.F.); #232678=ORIENTED_EDGE('',*,*,#179394,.F.); #232679=ORIENTED_EDGE('',*,*,#179395,.T.); #232680=ORIENTED_EDGE('',*,*,#179394,.T.); #232681=ORIENTED_EDGE('',*,*,#179396,.F.); #232682=ORIENTED_EDGE('',*,*,#179397,.F.); #232683=ORIENTED_EDGE('',*,*,#179398,.T.); #232684=ORIENTED_EDGE('',*,*,#179397,.T.); #232685=ORIENTED_EDGE('',*,*,#179399,.F.); #232686=ORIENTED_EDGE('',*,*,#179400,.F.); #232687=ORIENTED_EDGE('',*,*,#179401,.T.); #232688=ORIENTED_EDGE('',*,*,#179400,.T.); #232689=ORIENTED_EDGE('',*,*,#179402,.F.); #232690=ORIENTED_EDGE('',*,*,#179403,.F.); #232691=ORIENTED_EDGE('',*,*,#179404,.T.); #232692=ORIENTED_EDGE('',*,*,#179403,.T.); #232693=ORIENTED_EDGE('',*,*,#179405,.F.); #232694=ORIENTED_EDGE('',*,*,#179406,.F.); #232695=ORIENTED_EDGE('',*,*,#179407,.T.); #232696=ORIENTED_EDGE('',*,*,#179406,.T.); #232697=ORIENTED_EDGE('',*,*,#179408,.F.); #232698=ORIENTED_EDGE('',*,*,#179409,.F.); #232699=ORIENTED_EDGE('',*,*,#179410,.T.); #232700=ORIENTED_EDGE('',*,*,#179409,.T.); #232701=ORIENTED_EDGE('',*,*,#179411,.F.); #232702=ORIENTED_EDGE('',*,*,#179412,.F.); #232703=ORIENTED_EDGE('',*,*,#179413,.T.); #232704=ORIENTED_EDGE('',*,*,#179412,.T.); #232705=ORIENTED_EDGE('',*,*,#179414,.F.); #232706=ORIENTED_EDGE('',*,*,#179415,.F.); #232707=ORIENTED_EDGE('',*,*,#179416,.T.); #232708=ORIENTED_EDGE('',*,*,#179415,.T.); #232709=ORIENTED_EDGE('',*,*,#179417,.F.); #232710=ORIENTED_EDGE('',*,*,#179418,.F.); #232711=ORIENTED_EDGE('',*,*,#179419,.T.); #232712=ORIENTED_EDGE('',*,*,#179418,.T.); #232713=ORIENTED_EDGE('',*,*,#179420,.F.); #232714=ORIENTED_EDGE('',*,*,#179421,.F.); #232715=ORIENTED_EDGE('',*,*,#179422,.T.); #232716=ORIENTED_EDGE('',*,*,#179421,.T.); #232717=ORIENTED_EDGE('',*,*,#179423,.F.); #232718=ORIENTED_EDGE('',*,*,#179424,.F.); #232719=ORIENTED_EDGE('',*,*,#179425,.T.); #232720=ORIENTED_EDGE('',*,*,#179424,.T.); #232721=ORIENTED_EDGE('',*,*,#179426,.F.); #232722=ORIENTED_EDGE('',*,*,#179427,.F.); #232723=ORIENTED_EDGE('',*,*,#179428,.T.); #232724=ORIENTED_EDGE('',*,*,#179427,.T.); #232725=ORIENTED_EDGE('',*,*,#179429,.F.); #232726=ORIENTED_EDGE('',*,*,#179430,.F.); #232727=ORIENTED_EDGE('',*,*,#179431,.T.); #232728=ORIENTED_EDGE('',*,*,#179430,.T.); #232729=ORIENTED_EDGE('',*,*,#179432,.F.); #232730=ORIENTED_EDGE('',*,*,#179433,.F.); #232731=ORIENTED_EDGE('',*,*,#179434,.T.); #232732=ORIENTED_EDGE('',*,*,#179433,.T.); #232733=ORIENTED_EDGE('',*,*,#179435,.F.); #232734=ORIENTED_EDGE('',*,*,#179436,.F.); #232735=ORIENTED_EDGE('',*,*,#179437,.T.); #232736=ORIENTED_EDGE('',*,*,#179436,.T.); #232737=ORIENTED_EDGE('',*,*,#179438,.F.); #232738=ORIENTED_EDGE('',*,*,#179439,.F.); #232739=ORIENTED_EDGE('',*,*,#179440,.T.); #232740=ORIENTED_EDGE('',*,*,#179439,.T.); #232741=ORIENTED_EDGE('',*,*,#179441,.F.); #232742=ORIENTED_EDGE('',*,*,#179442,.F.); #232743=ORIENTED_EDGE('',*,*,#179443,.T.); #232744=ORIENTED_EDGE('',*,*,#179442,.T.); #232745=ORIENTED_EDGE('',*,*,#179444,.F.); #232746=ORIENTED_EDGE('',*,*,#179445,.F.); #232747=ORIENTED_EDGE('',*,*,#179446,.T.); #232748=ORIENTED_EDGE('',*,*,#179445,.T.); #232749=ORIENTED_EDGE('',*,*,#179447,.F.); #232750=ORIENTED_EDGE('',*,*,#179448,.F.); #232751=ORIENTED_EDGE('',*,*,#179449,.T.); #232752=ORIENTED_EDGE('',*,*,#179448,.T.); #232753=ORIENTED_EDGE('',*,*,#179450,.F.); #232754=ORIENTED_EDGE('',*,*,#179451,.F.); #232755=ORIENTED_EDGE('',*,*,#179452,.T.); #232756=ORIENTED_EDGE('',*,*,#179451,.T.); #232757=ORIENTED_EDGE('',*,*,#179453,.F.); #232758=ORIENTED_EDGE('',*,*,#179454,.F.); #232759=ORIENTED_EDGE('',*,*,#179455,.T.); #232760=ORIENTED_EDGE('',*,*,#179454,.T.); #232761=ORIENTED_EDGE('',*,*,#179456,.F.); #232762=ORIENTED_EDGE('',*,*,#179457,.F.); #232763=ORIENTED_EDGE('',*,*,#179458,.T.); #232764=ORIENTED_EDGE('',*,*,#179457,.T.); #232765=ORIENTED_EDGE('',*,*,#179459,.F.); #232766=ORIENTED_EDGE('',*,*,#179460,.F.); #232767=ORIENTED_EDGE('',*,*,#179461,.T.); #232768=ORIENTED_EDGE('',*,*,#179460,.T.); #232769=ORIENTED_EDGE('',*,*,#179462,.F.); #232770=ORIENTED_EDGE('',*,*,#179463,.F.); #232771=ORIENTED_EDGE('',*,*,#179464,.T.); #232772=ORIENTED_EDGE('',*,*,#179463,.T.); #232773=ORIENTED_EDGE('',*,*,#179465,.F.); #232774=ORIENTED_EDGE('',*,*,#179466,.F.); #232775=ORIENTED_EDGE('',*,*,#179467,.T.); #232776=ORIENTED_EDGE('',*,*,#179466,.T.); #232777=ORIENTED_EDGE('',*,*,#179468,.F.); #232778=ORIENTED_EDGE('',*,*,#179469,.F.); #232779=ORIENTED_EDGE('',*,*,#179470,.T.); #232780=ORIENTED_EDGE('',*,*,#179469,.T.); #232781=ORIENTED_EDGE('',*,*,#179471,.F.); #232782=ORIENTED_EDGE('',*,*,#179472,.F.); #232783=ORIENTED_EDGE('',*,*,#179473,.T.); #232784=ORIENTED_EDGE('',*,*,#179472,.T.); #232785=ORIENTED_EDGE('',*,*,#179474,.F.); #232786=ORIENTED_EDGE('',*,*,#179475,.F.); #232787=ORIENTED_EDGE('',*,*,#179476,.T.); #232788=ORIENTED_EDGE('',*,*,#179475,.T.); #232789=ORIENTED_EDGE('',*,*,#179477,.F.); #232790=ORIENTED_EDGE('',*,*,#179478,.F.); #232791=ORIENTED_EDGE('',*,*,#179479,.T.); #232792=ORIENTED_EDGE('',*,*,#179478,.T.); #232793=ORIENTED_EDGE('',*,*,#179480,.F.); #232794=ORIENTED_EDGE('',*,*,#179481,.F.); #232795=ORIENTED_EDGE('',*,*,#179482,.T.); #232796=ORIENTED_EDGE('',*,*,#179481,.T.); #232797=ORIENTED_EDGE('',*,*,#179483,.F.); #232798=ORIENTED_EDGE('',*,*,#179484,.F.); #232799=ORIENTED_EDGE('',*,*,#179485,.T.); #232800=ORIENTED_EDGE('',*,*,#179484,.T.); #232801=ORIENTED_EDGE('',*,*,#179486,.F.); #232802=ORIENTED_EDGE('',*,*,#179487,.F.); #232803=ORIENTED_EDGE('',*,*,#179488,.T.); #232804=ORIENTED_EDGE('',*,*,#179487,.T.); #232805=ORIENTED_EDGE('',*,*,#179489,.F.); #232806=ORIENTED_EDGE('',*,*,#179490,.F.); #232807=ORIENTED_EDGE('',*,*,#179491,.T.); #232808=ORIENTED_EDGE('',*,*,#179490,.T.); #232809=ORIENTED_EDGE('',*,*,#179492,.F.); #232810=ORIENTED_EDGE('',*,*,#179493,.F.); #232811=ORIENTED_EDGE('',*,*,#179494,.T.); #232812=ORIENTED_EDGE('',*,*,#179493,.T.); #232813=ORIENTED_EDGE('',*,*,#179495,.F.); #232814=ORIENTED_EDGE('',*,*,#179496,.F.); #232815=ORIENTED_EDGE('',*,*,#179497,.T.); #232816=ORIENTED_EDGE('',*,*,#179496,.T.); #232817=ORIENTED_EDGE('',*,*,#179498,.F.); #232818=ORIENTED_EDGE('',*,*,#179499,.F.); #232819=ORIENTED_EDGE('',*,*,#179500,.T.); #232820=ORIENTED_EDGE('',*,*,#179499,.T.); #232821=ORIENTED_EDGE('',*,*,#179501,.F.); #232822=ORIENTED_EDGE('',*,*,#179502,.F.); #232823=ORIENTED_EDGE('',*,*,#179503,.T.); #232824=ORIENTED_EDGE('',*,*,#179502,.T.); #232825=ORIENTED_EDGE('',*,*,#179504,.F.); #232826=ORIENTED_EDGE('',*,*,#179505,.F.); #232827=ORIENTED_EDGE('',*,*,#179506,.T.); #232828=ORIENTED_EDGE('',*,*,#179505,.T.); #232829=ORIENTED_EDGE('',*,*,#179507,.F.); #232830=ORIENTED_EDGE('',*,*,#179508,.F.); #232831=ORIENTED_EDGE('',*,*,#179509,.T.); #232832=ORIENTED_EDGE('',*,*,#179508,.T.); #232833=ORIENTED_EDGE('',*,*,#179510,.F.); #232834=ORIENTED_EDGE('',*,*,#179511,.F.); #232835=ORIENTED_EDGE('',*,*,#179512,.T.); #232836=ORIENTED_EDGE('',*,*,#179511,.T.); #232837=ORIENTED_EDGE('',*,*,#179513,.F.); #232838=ORIENTED_EDGE('',*,*,#179514,.F.); #232839=ORIENTED_EDGE('',*,*,#179515,.T.); #232840=ORIENTED_EDGE('',*,*,#179514,.T.); #232841=ORIENTED_EDGE('',*,*,#179516,.F.); #232842=ORIENTED_EDGE('',*,*,#179517,.F.); #232843=ORIENTED_EDGE('',*,*,#179518,.T.); #232844=ORIENTED_EDGE('',*,*,#179517,.T.); #232845=ORIENTED_EDGE('',*,*,#179519,.F.); #232846=ORIENTED_EDGE('',*,*,#179520,.F.); #232847=ORIENTED_EDGE('',*,*,#179521,.T.); #232848=ORIENTED_EDGE('',*,*,#179520,.T.); #232849=ORIENTED_EDGE('',*,*,#179522,.F.); #232850=ORIENTED_EDGE('',*,*,#179523,.F.); #232851=ORIENTED_EDGE('',*,*,#179524,.T.); #232852=ORIENTED_EDGE('',*,*,#179523,.T.); #232853=ORIENTED_EDGE('',*,*,#179525,.F.); #232854=ORIENTED_EDGE('',*,*,#179526,.F.); #232855=ORIENTED_EDGE('',*,*,#179527,.T.); #232856=ORIENTED_EDGE('',*,*,#179526,.T.); #232857=ORIENTED_EDGE('',*,*,#179528,.F.); #232858=ORIENTED_EDGE('',*,*,#179529,.F.); #232859=ORIENTED_EDGE('',*,*,#179530,.T.); #232860=ORIENTED_EDGE('',*,*,#179529,.T.); #232861=ORIENTED_EDGE('',*,*,#179531,.F.); #232862=ORIENTED_EDGE('',*,*,#179532,.F.); #232863=ORIENTED_EDGE('',*,*,#179533,.T.); #232864=ORIENTED_EDGE('',*,*,#179532,.T.); #232865=ORIENTED_EDGE('',*,*,#179534,.F.); #232866=ORIENTED_EDGE('',*,*,#179535,.F.); #232867=ORIENTED_EDGE('',*,*,#179536,.T.); #232868=ORIENTED_EDGE('',*,*,#179535,.T.); #232869=ORIENTED_EDGE('',*,*,#179537,.F.); #232870=ORIENTED_EDGE('',*,*,#179538,.F.); #232871=ORIENTED_EDGE('',*,*,#179539,.T.); #232872=ORIENTED_EDGE('',*,*,#179538,.T.); #232873=ORIENTED_EDGE('',*,*,#179540,.F.); #232874=ORIENTED_EDGE('',*,*,#179541,.F.); #232875=ORIENTED_EDGE('',*,*,#179542,.T.); #232876=ORIENTED_EDGE('',*,*,#179541,.T.); #232877=ORIENTED_EDGE('',*,*,#179543,.F.); #232878=ORIENTED_EDGE('',*,*,#179544,.F.); #232879=ORIENTED_EDGE('',*,*,#179545,.T.); #232880=ORIENTED_EDGE('',*,*,#179544,.T.); #232881=ORIENTED_EDGE('',*,*,#179546,.F.); #232882=ORIENTED_EDGE('',*,*,#179547,.F.); #232883=ORIENTED_EDGE('',*,*,#179548,.T.); #232884=ORIENTED_EDGE('',*,*,#179547,.T.); #232885=ORIENTED_EDGE('',*,*,#179549,.F.); #232886=ORIENTED_EDGE('',*,*,#179550,.F.); #232887=ORIENTED_EDGE('',*,*,#179551,.T.); #232888=ORIENTED_EDGE('',*,*,#179550,.T.); #232889=ORIENTED_EDGE('',*,*,#179552,.F.); #232890=ORIENTED_EDGE('',*,*,#179553,.F.); #232891=ORIENTED_EDGE('',*,*,#179554,.T.); #232892=ORIENTED_EDGE('',*,*,#179553,.T.); #232893=ORIENTED_EDGE('',*,*,#179555,.F.); #232894=ORIENTED_EDGE('',*,*,#179556,.F.); #232895=ORIENTED_EDGE('',*,*,#179557,.T.); #232896=ORIENTED_EDGE('',*,*,#179556,.T.); #232897=ORIENTED_EDGE('',*,*,#179558,.F.); #232898=ORIENTED_EDGE('',*,*,#179559,.F.); #232899=ORIENTED_EDGE('',*,*,#179560,.T.); #232900=ORIENTED_EDGE('',*,*,#179559,.T.); #232901=ORIENTED_EDGE('',*,*,#179561,.F.); #232902=ORIENTED_EDGE('',*,*,#179562,.F.); #232903=ORIENTED_EDGE('',*,*,#179563,.T.); #232904=ORIENTED_EDGE('',*,*,#179562,.T.); #232905=ORIENTED_EDGE('',*,*,#179564,.F.); #232906=ORIENTED_EDGE('',*,*,#179565,.F.); #232907=ORIENTED_EDGE('',*,*,#179566,.T.); #232908=ORIENTED_EDGE('',*,*,#179565,.T.); #232909=ORIENTED_EDGE('',*,*,#179567,.F.); #232910=ORIENTED_EDGE('',*,*,#179568,.F.); #232911=ORIENTED_EDGE('',*,*,#179569,.T.); #232912=ORIENTED_EDGE('',*,*,#179568,.T.); #232913=ORIENTED_EDGE('',*,*,#179570,.F.); #232914=ORIENTED_EDGE('',*,*,#179571,.F.); #232915=ORIENTED_EDGE('',*,*,#179572,.T.); #232916=ORIENTED_EDGE('',*,*,#179571,.T.); #232917=ORIENTED_EDGE('',*,*,#179573,.F.); #232918=ORIENTED_EDGE('',*,*,#179574,.F.); #232919=ORIENTED_EDGE('',*,*,#179575,.T.); #232920=ORIENTED_EDGE('',*,*,#179574,.T.); #232921=ORIENTED_EDGE('',*,*,#179576,.F.); #232922=ORIENTED_EDGE('',*,*,#179577,.F.); #232923=ORIENTED_EDGE('',*,*,#179578,.T.); #232924=ORIENTED_EDGE('',*,*,#179577,.T.); #232925=ORIENTED_EDGE('',*,*,#179579,.F.); #232926=ORIENTED_EDGE('',*,*,#179580,.F.); #232927=ORIENTED_EDGE('',*,*,#179581,.T.); #232928=ORIENTED_EDGE('',*,*,#179580,.T.); #232929=ORIENTED_EDGE('',*,*,#179582,.F.); #232930=ORIENTED_EDGE('',*,*,#179583,.F.); #232931=ORIENTED_EDGE('',*,*,#179584,.T.); #232932=ORIENTED_EDGE('',*,*,#179583,.T.); #232933=ORIENTED_EDGE('',*,*,#179585,.F.); #232934=ORIENTED_EDGE('',*,*,#179586,.F.); #232935=ORIENTED_EDGE('',*,*,#179587,.T.); #232936=ORIENTED_EDGE('',*,*,#179586,.T.); #232937=ORIENTED_EDGE('',*,*,#179588,.F.); #232938=ORIENTED_EDGE('',*,*,#179589,.F.); #232939=ORIENTED_EDGE('',*,*,#179590,.T.); #232940=ORIENTED_EDGE('',*,*,#179589,.T.); #232941=ORIENTED_EDGE('',*,*,#179591,.F.); #232942=ORIENTED_EDGE('',*,*,#179592,.F.); #232943=ORIENTED_EDGE('',*,*,#179593,.T.); #232944=ORIENTED_EDGE('',*,*,#179592,.T.); #232945=ORIENTED_EDGE('',*,*,#179594,.F.); #232946=ORIENTED_EDGE('',*,*,#179595,.F.); #232947=ORIENTED_EDGE('',*,*,#179596,.T.); #232948=ORIENTED_EDGE('',*,*,#179595,.T.); #232949=ORIENTED_EDGE('',*,*,#179597,.F.); #232950=ORIENTED_EDGE('',*,*,#179598,.F.); #232951=ORIENTED_EDGE('',*,*,#179599,.T.); #232952=ORIENTED_EDGE('',*,*,#179598,.T.); #232953=ORIENTED_EDGE('',*,*,#179600,.F.); #232954=ORIENTED_EDGE('',*,*,#179601,.F.); #232955=ORIENTED_EDGE('',*,*,#179602,.T.); #232956=ORIENTED_EDGE('',*,*,#179601,.T.); #232957=ORIENTED_EDGE('',*,*,#179603,.F.); #232958=ORIENTED_EDGE('',*,*,#179604,.F.); #232959=ORIENTED_EDGE('',*,*,#179605,.T.); #232960=ORIENTED_EDGE('',*,*,#179604,.T.); #232961=ORIENTED_EDGE('',*,*,#179606,.F.); #232962=ORIENTED_EDGE('',*,*,#179607,.F.); #232963=ORIENTED_EDGE('',*,*,#179608,.T.); #232964=ORIENTED_EDGE('',*,*,#179607,.T.); #232965=ORIENTED_EDGE('',*,*,#179609,.F.); #232966=ORIENTED_EDGE('',*,*,#179610,.F.); #232967=ORIENTED_EDGE('',*,*,#179611,.T.); #232968=ORIENTED_EDGE('',*,*,#179610,.T.); #232969=ORIENTED_EDGE('',*,*,#179612,.F.); #232970=ORIENTED_EDGE('',*,*,#179613,.F.); #232971=ORIENTED_EDGE('',*,*,#179614,.T.); #232972=ORIENTED_EDGE('',*,*,#179613,.T.); #232973=ORIENTED_EDGE('',*,*,#179615,.F.); #232974=ORIENTED_EDGE('',*,*,#179616,.F.); #232975=ORIENTED_EDGE('',*,*,#179617,.T.); #232976=ORIENTED_EDGE('',*,*,#179616,.T.); #232977=ORIENTED_EDGE('',*,*,#179618,.F.); #232978=ORIENTED_EDGE('',*,*,#179619,.F.); #232979=ORIENTED_EDGE('',*,*,#179620,.T.); #232980=ORIENTED_EDGE('',*,*,#179619,.T.); #232981=ORIENTED_EDGE('',*,*,#179621,.F.); #232982=ORIENTED_EDGE('',*,*,#179622,.F.); #232983=ORIENTED_EDGE('',*,*,#179623,.T.); #232984=ORIENTED_EDGE('',*,*,#179622,.T.); #232985=ORIENTED_EDGE('',*,*,#179624,.F.); #232986=ORIENTED_EDGE('',*,*,#179625,.F.); #232987=ORIENTED_EDGE('',*,*,#179626,.T.); #232988=ORIENTED_EDGE('',*,*,#179625,.T.); #232989=ORIENTED_EDGE('',*,*,#179627,.F.); #232990=ORIENTED_EDGE('',*,*,#179628,.F.); #232991=ORIENTED_EDGE('',*,*,#179629,.T.); #232992=ORIENTED_EDGE('',*,*,#179628,.T.); #232993=ORIENTED_EDGE('',*,*,#179630,.F.); #232994=ORIENTED_EDGE('',*,*,#179631,.F.); #232995=ORIENTED_EDGE('',*,*,#179632,.T.); #232996=ORIENTED_EDGE('',*,*,#179631,.T.); #232997=ORIENTED_EDGE('',*,*,#179633,.F.); #232998=ORIENTED_EDGE('',*,*,#179634,.F.); #232999=ORIENTED_EDGE('',*,*,#179635,.T.); #233000=ORIENTED_EDGE('',*,*,#179634,.T.); #233001=ORIENTED_EDGE('',*,*,#179636,.F.); #233002=ORIENTED_EDGE('',*,*,#179637,.F.); #233003=ORIENTED_EDGE('',*,*,#179638,.T.); #233004=ORIENTED_EDGE('',*,*,#179637,.T.); #233005=ORIENTED_EDGE('',*,*,#179639,.F.); #233006=ORIENTED_EDGE('',*,*,#179640,.F.); #233007=ORIENTED_EDGE('',*,*,#179641,.T.); #233008=ORIENTED_EDGE('',*,*,#179640,.T.); #233009=ORIENTED_EDGE('',*,*,#179642,.F.); #233010=ORIENTED_EDGE('',*,*,#179643,.F.); #233011=ORIENTED_EDGE('',*,*,#179644,.T.); #233012=ORIENTED_EDGE('',*,*,#179643,.T.); #233013=ORIENTED_EDGE('',*,*,#179645,.F.); #233014=ORIENTED_EDGE('',*,*,#179646,.F.); #233015=ORIENTED_EDGE('',*,*,#179647,.T.); #233016=ORIENTED_EDGE('',*,*,#179646,.T.); #233017=ORIENTED_EDGE('',*,*,#179648,.F.); #233018=ORIENTED_EDGE('',*,*,#179649,.F.); #233019=ORIENTED_EDGE('',*,*,#179650,.T.); #233020=ORIENTED_EDGE('',*,*,#179649,.T.); #233021=ORIENTED_EDGE('',*,*,#179651,.F.); #233022=ORIENTED_EDGE('',*,*,#179652,.F.); #233023=ORIENTED_EDGE('',*,*,#179653,.T.); #233024=ORIENTED_EDGE('',*,*,#179652,.T.); #233025=ORIENTED_EDGE('',*,*,#179654,.F.); #233026=ORIENTED_EDGE('',*,*,#179655,.F.); #233027=ORIENTED_EDGE('',*,*,#179656,.T.); #233028=ORIENTED_EDGE('',*,*,#179655,.T.); #233029=ORIENTED_EDGE('',*,*,#179657,.F.); #233030=ORIENTED_EDGE('',*,*,#179658,.F.); #233031=ORIENTED_EDGE('',*,*,#179659,.T.); #233032=ORIENTED_EDGE('',*,*,#179658,.T.); #233033=ORIENTED_EDGE('',*,*,#179660,.F.); #233034=ORIENTED_EDGE('',*,*,#179661,.F.); #233035=ORIENTED_EDGE('',*,*,#179662,.T.); #233036=ORIENTED_EDGE('',*,*,#179661,.T.); #233037=ORIENTED_EDGE('',*,*,#179663,.F.); #233038=ORIENTED_EDGE('',*,*,#179664,.F.); #233039=ORIENTED_EDGE('',*,*,#179665,.T.); #233040=ORIENTED_EDGE('',*,*,#179664,.T.); #233041=ORIENTED_EDGE('',*,*,#179666,.F.); #233042=ORIENTED_EDGE('',*,*,#179667,.F.); #233043=ORIENTED_EDGE('',*,*,#179668,.T.); #233044=ORIENTED_EDGE('',*,*,#179667,.T.); #233045=ORIENTED_EDGE('',*,*,#179669,.F.); #233046=ORIENTED_EDGE('',*,*,#179670,.F.); #233047=ORIENTED_EDGE('',*,*,#179671,.T.); #233048=ORIENTED_EDGE('',*,*,#179670,.T.); #233049=ORIENTED_EDGE('',*,*,#179672,.F.); #233050=ORIENTED_EDGE('',*,*,#179673,.F.); #233051=ORIENTED_EDGE('',*,*,#179674,.T.); #233052=ORIENTED_EDGE('',*,*,#179673,.T.); #233053=ORIENTED_EDGE('',*,*,#179675,.F.); #233054=ORIENTED_EDGE('',*,*,#179676,.F.); #233055=ORIENTED_EDGE('',*,*,#179677,.T.); #233056=ORIENTED_EDGE('',*,*,#179676,.T.); #233057=ORIENTED_EDGE('',*,*,#179678,.F.); #233058=ORIENTED_EDGE('',*,*,#179679,.F.); #233059=ORIENTED_EDGE('',*,*,#179680,.T.); #233060=ORIENTED_EDGE('',*,*,#179679,.T.); #233061=ORIENTED_EDGE('',*,*,#179681,.F.); #233062=ORIENTED_EDGE('',*,*,#179682,.F.); #233063=ORIENTED_EDGE('',*,*,#179683,.T.); #233064=ORIENTED_EDGE('',*,*,#179682,.T.); #233065=ORIENTED_EDGE('',*,*,#179684,.F.); #233066=ORIENTED_EDGE('',*,*,#179685,.F.); #233067=ORIENTED_EDGE('',*,*,#179686,.T.); #233068=ORIENTED_EDGE('',*,*,#179685,.T.); #233069=ORIENTED_EDGE('',*,*,#179687,.F.); #233070=ORIENTED_EDGE('',*,*,#179688,.F.); #233071=ORIENTED_EDGE('',*,*,#179689,.T.); #233072=ORIENTED_EDGE('',*,*,#179688,.T.); #233073=ORIENTED_EDGE('',*,*,#179690,.F.); #233074=ORIENTED_EDGE('',*,*,#179691,.F.); #233075=ORIENTED_EDGE('',*,*,#179692,.T.); #233076=ORIENTED_EDGE('',*,*,#179691,.T.); #233077=ORIENTED_EDGE('',*,*,#179693,.F.); #233078=ORIENTED_EDGE('',*,*,#179694,.F.); #233079=ORIENTED_EDGE('',*,*,#179695,.T.); #233080=ORIENTED_EDGE('',*,*,#179694,.T.); #233081=ORIENTED_EDGE('',*,*,#179696,.F.); #233082=ORIENTED_EDGE('',*,*,#179697,.F.); #233083=ORIENTED_EDGE('',*,*,#179698,.T.); #233084=ORIENTED_EDGE('',*,*,#179697,.T.); #233085=ORIENTED_EDGE('',*,*,#179699,.F.); #233086=ORIENTED_EDGE('',*,*,#179700,.F.); #233087=ORIENTED_EDGE('',*,*,#179701,.T.); #233088=ORIENTED_EDGE('',*,*,#179700,.T.); #233089=ORIENTED_EDGE('',*,*,#179702,.F.); #233090=ORIENTED_EDGE('',*,*,#179703,.F.); #233091=ORIENTED_EDGE('',*,*,#179704,.T.); #233092=ORIENTED_EDGE('',*,*,#179703,.T.); #233093=ORIENTED_EDGE('',*,*,#179705,.F.); #233094=ORIENTED_EDGE('',*,*,#179706,.F.); #233095=ORIENTED_EDGE('',*,*,#179707,.T.); #233096=ORIENTED_EDGE('',*,*,#179706,.T.); #233097=ORIENTED_EDGE('',*,*,#179708,.F.); #233098=ORIENTED_EDGE('',*,*,#179709,.F.); #233099=ORIENTED_EDGE('',*,*,#179710,.T.); #233100=ORIENTED_EDGE('',*,*,#179709,.T.); #233101=ORIENTED_EDGE('',*,*,#179711,.F.); #233102=ORIENTED_EDGE('',*,*,#179712,.F.); #233103=ORIENTED_EDGE('',*,*,#179713,.T.); #233104=ORIENTED_EDGE('',*,*,#179712,.T.); #233105=ORIENTED_EDGE('',*,*,#179714,.F.); #233106=ORIENTED_EDGE('',*,*,#179715,.F.); #233107=ORIENTED_EDGE('',*,*,#179716,.T.); #233108=ORIENTED_EDGE('',*,*,#179715,.T.); #233109=ORIENTED_EDGE('',*,*,#179717,.F.); #233110=ORIENTED_EDGE('',*,*,#179718,.F.); #233111=ORIENTED_EDGE('',*,*,#179719,.T.); #233112=ORIENTED_EDGE('',*,*,#179718,.T.); #233113=ORIENTED_EDGE('',*,*,#179720,.F.); #233114=ORIENTED_EDGE('',*,*,#179721,.F.); #233115=ORIENTED_EDGE('',*,*,#179722,.T.); #233116=ORIENTED_EDGE('',*,*,#179721,.T.); #233117=ORIENTED_EDGE('',*,*,#179723,.F.); #233118=ORIENTED_EDGE('',*,*,#179724,.F.); #233119=ORIENTED_EDGE('',*,*,#179725,.T.); #233120=ORIENTED_EDGE('',*,*,#179724,.T.); #233121=ORIENTED_EDGE('',*,*,#179726,.F.); #233122=ORIENTED_EDGE('',*,*,#179727,.F.); #233123=ORIENTED_EDGE('',*,*,#179728,.T.); #233124=ORIENTED_EDGE('',*,*,#179727,.T.); #233125=ORIENTED_EDGE('',*,*,#179729,.F.); #233126=ORIENTED_EDGE('',*,*,#179730,.F.); #233127=ORIENTED_EDGE('',*,*,#179731,.T.); #233128=ORIENTED_EDGE('',*,*,#179730,.T.); #233129=ORIENTED_EDGE('',*,*,#179732,.F.); #233130=ORIENTED_EDGE('',*,*,#179733,.F.); #233131=ORIENTED_EDGE('',*,*,#179734,.T.); #233132=ORIENTED_EDGE('',*,*,#179733,.T.); #233133=ORIENTED_EDGE('',*,*,#179735,.F.); #233134=ORIENTED_EDGE('',*,*,#179736,.F.); #233135=ORIENTED_EDGE('',*,*,#179737,.T.); #233136=ORIENTED_EDGE('',*,*,#179736,.T.); #233137=ORIENTED_EDGE('',*,*,#179738,.F.); #233138=ORIENTED_EDGE('',*,*,#179739,.F.); #233139=ORIENTED_EDGE('',*,*,#179740,.T.); #233140=ORIENTED_EDGE('',*,*,#179739,.T.); #233141=ORIENTED_EDGE('',*,*,#179741,.F.); #233142=ORIENTED_EDGE('',*,*,#179742,.F.); #233143=ORIENTED_EDGE('',*,*,#179743,.T.); #233144=ORIENTED_EDGE('',*,*,#179742,.T.); #233145=ORIENTED_EDGE('',*,*,#179744,.F.); #233146=ORIENTED_EDGE('',*,*,#179745,.F.); #233147=ORIENTED_EDGE('',*,*,#179746,.T.); #233148=ORIENTED_EDGE('',*,*,#179745,.T.); #233149=ORIENTED_EDGE('',*,*,#179747,.F.); #233150=ORIENTED_EDGE('',*,*,#179748,.F.); #233151=ORIENTED_EDGE('',*,*,#179749,.T.); #233152=ORIENTED_EDGE('',*,*,#179748,.T.); #233153=ORIENTED_EDGE('',*,*,#179750,.F.); #233154=ORIENTED_EDGE('',*,*,#179751,.F.); #233155=ORIENTED_EDGE('',*,*,#179752,.T.); #233156=ORIENTED_EDGE('',*,*,#179751,.T.); #233157=ORIENTED_EDGE('',*,*,#179753,.F.); #233158=ORIENTED_EDGE('',*,*,#179754,.F.); #233159=ORIENTED_EDGE('',*,*,#179755,.T.); #233160=ORIENTED_EDGE('',*,*,#179754,.T.); #233161=ORIENTED_EDGE('',*,*,#179756,.F.); #233162=ORIENTED_EDGE('',*,*,#179757,.F.); #233163=ORIENTED_EDGE('',*,*,#179758,.T.); #233164=ORIENTED_EDGE('',*,*,#179757,.T.); #233165=ORIENTED_EDGE('',*,*,#179759,.F.); #233166=ORIENTED_EDGE('',*,*,#179760,.F.); #233167=ORIENTED_EDGE('',*,*,#179761,.T.); #233168=ORIENTED_EDGE('',*,*,#179760,.T.); #233169=ORIENTED_EDGE('',*,*,#179762,.F.); #233170=ORIENTED_EDGE('',*,*,#179763,.F.); #233171=ORIENTED_EDGE('',*,*,#179764,.T.); #233172=ORIENTED_EDGE('',*,*,#179763,.T.); #233173=ORIENTED_EDGE('',*,*,#179765,.F.); #233174=ORIENTED_EDGE('',*,*,#179766,.F.); #233175=ORIENTED_EDGE('',*,*,#179767,.T.); #233176=ORIENTED_EDGE('',*,*,#179766,.T.); #233177=ORIENTED_EDGE('',*,*,#179768,.F.); #233178=ORIENTED_EDGE('',*,*,#179769,.F.); #233179=ORIENTED_EDGE('',*,*,#179770,.T.); #233180=ORIENTED_EDGE('',*,*,#179769,.T.); #233181=ORIENTED_EDGE('',*,*,#179771,.F.); #233182=ORIENTED_EDGE('',*,*,#179772,.F.); #233183=ORIENTED_EDGE('',*,*,#179773,.T.); #233184=ORIENTED_EDGE('',*,*,#179772,.T.); #233185=ORIENTED_EDGE('',*,*,#179774,.F.); #233186=ORIENTED_EDGE('',*,*,#179775,.F.); #233187=ORIENTED_EDGE('',*,*,#179776,.T.); #233188=ORIENTED_EDGE('',*,*,#179775,.T.); #233189=ORIENTED_EDGE('',*,*,#179777,.F.); #233190=ORIENTED_EDGE('',*,*,#179778,.F.); #233191=ORIENTED_EDGE('',*,*,#179779,.T.); #233192=ORIENTED_EDGE('',*,*,#179778,.T.); #233193=ORIENTED_EDGE('',*,*,#179780,.F.); #233194=ORIENTED_EDGE('',*,*,#179781,.F.); #233195=ORIENTED_EDGE('',*,*,#179782,.T.); #233196=ORIENTED_EDGE('',*,*,#179781,.T.); #233197=ORIENTED_EDGE('',*,*,#179783,.F.); #233198=ORIENTED_EDGE('',*,*,#179784,.F.); #233199=ORIENTED_EDGE('',*,*,#179785,.T.); #233200=ORIENTED_EDGE('',*,*,#179784,.T.); #233201=ORIENTED_EDGE('',*,*,#179786,.F.); #233202=ORIENTED_EDGE('',*,*,#179787,.F.); #233203=ORIENTED_EDGE('',*,*,#179788,.T.); #233204=ORIENTED_EDGE('',*,*,#179787,.T.); #233205=ORIENTED_EDGE('',*,*,#179789,.F.); #233206=ORIENTED_EDGE('',*,*,#179790,.F.); #233207=ORIENTED_EDGE('',*,*,#179791,.T.); #233208=ORIENTED_EDGE('',*,*,#179790,.T.); #233209=ORIENTED_EDGE('',*,*,#179792,.F.); #233210=ORIENTED_EDGE('',*,*,#179793,.F.); #233211=ORIENTED_EDGE('',*,*,#179794,.T.); #233212=ORIENTED_EDGE('',*,*,#179793,.T.); #233213=ORIENTED_EDGE('',*,*,#179795,.F.); #233214=ORIENTED_EDGE('',*,*,#179796,.F.); #233215=ORIENTED_EDGE('',*,*,#179797,.T.); #233216=ORIENTED_EDGE('',*,*,#179796,.T.); #233217=ORIENTED_EDGE('',*,*,#179798,.F.); #233218=ORIENTED_EDGE('',*,*,#179799,.F.); #233219=ORIENTED_EDGE('',*,*,#179800,.T.); #233220=ORIENTED_EDGE('',*,*,#179799,.T.); #233221=ORIENTED_EDGE('',*,*,#179801,.F.); #233222=ORIENTED_EDGE('',*,*,#179802,.F.); #233223=ORIENTED_EDGE('',*,*,#179803,.T.); #233224=ORIENTED_EDGE('',*,*,#179802,.T.); #233225=ORIENTED_EDGE('',*,*,#179804,.F.); #233226=ORIENTED_EDGE('',*,*,#179805,.F.); #233227=ORIENTED_EDGE('',*,*,#179806,.T.); #233228=ORIENTED_EDGE('',*,*,#179805,.T.); #233229=ORIENTED_EDGE('',*,*,#179807,.F.); #233230=ORIENTED_EDGE('',*,*,#179808,.F.); #233231=ORIENTED_EDGE('',*,*,#179809,.T.); #233232=ORIENTED_EDGE('',*,*,#179808,.T.); #233233=ORIENTED_EDGE('',*,*,#179810,.F.); #233234=ORIENTED_EDGE('',*,*,#179811,.F.); #233235=ORIENTED_EDGE('',*,*,#179812,.T.); #233236=ORIENTED_EDGE('',*,*,#179811,.T.); #233237=ORIENTED_EDGE('',*,*,#179813,.F.); #233238=ORIENTED_EDGE('',*,*,#179814,.F.); #233239=ORIENTED_EDGE('',*,*,#179815,.T.); #233240=ORIENTED_EDGE('',*,*,#179814,.T.); #233241=ORIENTED_EDGE('',*,*,#179816,.F.); #233242=ORIENTED_EDGE('',*,*,#179817,.F.); #233243=ORIENTED_EDGE('',*,*,#179818,.T.); #233244=ORIENTED_EDGE('',*,*,#179817,.T.); #233245=ORIENTED_EDGE('',*,*,#179819,.F.); #233246=ORIENTED_EDGE('',*,*,#179820,.F.); #233247=ORIENTED_EDGE('',*,*,#179821,.T.); #233248=ORIENTED_EDGE('',*,*,#179820,.T.); #233249=ORIENTED_EDGE('',*,*,#179822,.F.); #233250=ORIENTED_EDGE('',*,*,#179823,.F.); #233251=ORIENTED_EDGE('',*,*,#179824,.T.); #233252=ORIENTED_EDGE('',*,*,#179823,.T.); #233253=ORIENTED_EDGE('',*,*,#179825,.F.); #233254=ORIENTED_EDGE('',*,*,#179826,.F.); #233255=ORIENTED_EDGE('',*,*,#179827,.T.); #233256=ORIENTED_EDGE('',*,*,#179826,.T.); #233257=ORIENTED_EDGE('',*,*,#179828,.F.); #233258=ORIENTED_EDGE('',*,*,#179829,.F.); #233259=ORIENTED_EDGE('',*,*,#179830,.T.); #233260=ORIENTED_EDGE('',*,*,#179829,.T.); #233261=ORIENTED_EDGE('',*,*,#179831,.F.); #233262=ORIENTED_EDGE('',*,*,#179832,.F.); #233263=ORIENTED_EDGE('',*,*,#179833,.T.); #233264=ORIENTED_EDGE('',*,*,#179832,.T.); #233265=ORIENTED_EDGE('',*,*,#179834,.F.); #233266=ORIENTED_EDGE('',*,*,#179835,.F.); #233267=ORIENTED_EDGE('',*,*,#179836,.T.); #233268=ORIENTED_EDGE('',*,*,#179835,.T.); #233269=ORIENTED_EDGE('',*,*,#179837,.F.); #233270=ORIENTED_EDGE('',*,*,#179838,.F.); #233271=ORIENTED_EDGE('',*,*,#179839,.T.); #233272=ORIENTED_EDGE('',*,*,#179838,.T.); #233273=ORIENTED_EDGE('',*,*,#179840,.F.); #233274=ORIENTED_EDGE('',*,*,#179841,.F.); #233275=ORIENTED_EDGE('',*,*,#179842,.T.); #233276=ORIENTED_EDGE('',*,*,#179841,.T.); #233277=ORIENTED_EDGE('',*,*,#179843,.F.); #233278=ORIENTED_EDGE('',*,*,#179844,.F.); #233279=ORIENTED_EDGE('',*,*,#179845,.T.); #233280=ORIENTED_EDGE('',*,*,#179844,.T.); #233281=ORIENTED_EDGE('',*,*,#179846,.F.); #233282=ORIENTED_EDGE('',*,*,#179847,.F.); #233283=ORIENTED_EDGE('',*,*,#179848,.T.); #233284=ORIENTED_EDGE('',*,*,#179847,.T.); #233285=ORIENTED_EDGE('',*,*,#179849,.F.); #233286=ORIENTED_EDGE('',*,*,#179850,.F.); #233287=ORIENTED_EDGE('',*,*,#179851,.T.); #233288=ORIENTED_EDGE('',*,*,#179850,.T.); #233289=ORIENTED_EDGE('',*,*,#179852,.F.); #233290=ORIENTED_EDGE('',*,*,#179853,.F.); #233291=ORIENTED_EDGE('',*,*,#179854,.T.); #233292=ORIENTED_EDGE('',*,*,#179853,.T.); #233293=ORIENTED_EDGE('',*,*,#179855,.F.); #233294=ORIENTED_EDGE('',*,*,#179856,.F.); #233295=ORIENTED_EDGE('',*,*,#179857,.T.); #233296=ORIENTED_EDGE('',*,*,#179856,.T.); #233297=ORIENTED_EDGE('',*,*,#179858,.F.); #233298=ORIENTED_EDGE('',*,*,#179859,.F.); #233299=ORIENTED_EDGE('',*,*,#179860,.T.); #233300=ORIENTED_EDGE('',*,*,#179859,.T.); #233301=ORIENTED_EDGE('',*,*,#179861,.F.); #233302=ORIENTED_EDGE('',*,*,#179862,.F.); #233303=ORIENTED_EDGE('',*,*,#179863,.T.); #233304=ORIENTED_EDGE('',*,*,#179862,.T.); #233305=ORIENTED_EDGE('',*,*,#179864,.F.); #233306=ORIENTED_EDGE('',*,*,#179865,.F.); #233307=ORIENTED_EDGE('',*,*,#179866,.T.); #233308=ORIENTED_EDGE('',*,*,#179865,.T.); #233309=ORIENTED_EDGE('',*,*,#179867,.F.); #233310=ORIENTED_EDGE('',*,*,#179868,.F.); #233311=ORIENTED_EDGE('',*,*,#179869,.T.); #233312=ORIENTED_EDGE('',*,*,#179868,.T.); #233313=ORIENTED_EDGE('',*,*,#179870,.F.); #233314=ORIENTED_EDGE('',*,*,#179871,.F.); #233315=ORIENTED_EDGE('',*,*,#179872,.T.); #233316=ORIENTED_EDGE('',*,*,#179871,.T.); #233317=ORIENTED_EDGE('',*,*,#179873,.F.); #233318=ORIENTED_EDGE('',*,*,#179874,.F.); #233319=ORIENTED_EDGE('',*,*,#179875,.T.); #233320=ORIENTED_EDGE('',*,*,#179874,.T.); #233321=ORIENTED_EDGE('',*,*,#179876,.F.); #233322=ORIENTED_EDGE('',*,*,#179877,.F.); #233323=ORIENTED_EDGE('',*,*,#179878,.T.); #233324=ORIENTED_EDGE('',*,*,#179877,.T.); #233325=ORIENTED_EDGE('',*,*,#179879,.F.); #233326=ORIENTED_EDGE('',*,*,#179880,.F.); #233327=ORIENTED_EDGE('',*,*,#179881,.T.); #233328=ORIENTED_EDGE('',*,*,#179880,.T.); #233329=ORIENTED_EDGE('',*,*,#179882,.F.); #233330=ORIENTED_EDGE('',*,*,#179883,.F.); #233331=ORIENTED_EDGE('',*,*,#179884,.T.); #233332=ORIENTED_EDGE('',*,*,#179883,.T.); #233333=ORIENTED_EDGE('',*,*,#179885,.F.); #233334=ORIENTED_EDGE('',*,*,#179886,.F.); #233335=ORIENTED_EDGE('',*,*,#179887,.T.); #233336=ORIENTED_EDGE('',*,*,#179886,.T.); #233337=ORIENTED_EDGE('',*,*,#179888,.F.); #233338=ORIENTED_EDGE('',*,*,#179889,.F.); #233339=ORIENTED_EDGE('',*,*,#179890,.T.); #233340=ORIENTED_EDGE('',*,*,#179889,.T.); #233341=ORIENTED_EDGE('',*,*,#179891,.F.); #233342=ORIENTED_EDGE('',*,*,#179892,.F.); #233343=ORIENTED_EDGE('',*,*,#179893,.T.); #233344=ORIENTED_EDGE('',*,*,#179892,.T.); #233345=ORIENTED_EDGE('',*,*,#179894,.F.); #233346=ORIENTED_EDGE('',*,*,#179895,.F.); #233347=ORIENTED_EDGE('',*,*,#179896,.T.); #233348=ORIENTED_EDGE('',*,*,#179895,.T.); #233349=ORIENTED_EDGE('',*,*,#179897,.F.); #233350=ORIENTED_EDGE('',*,*,#179898,.F.); #233351=ORIENTED_EDGE('',*,*,#179899,.T.); #233352=ORIENTED_EDGE('',*,*,#179898,.T.); #233353=ORIENTED_EDGE('',*,*,#179900,.F.); #233354=ORIENTED_EDGE('',*,*,#179901,.F.); #233355=ORIENTED_EDGE('',*,*,#179902,.T.); #233356=ORIENTED_EDGE('',*,*,#179901,.T.); #233357=ORIENTED_EDGE('',*,*,#179903,.F.); #233358=ORIENTED_EDGE('',*,*,#179904,.F.); #233359=ORIENTED_EDGE('',*,*,#179905,.T.); #233360=ORIENTED_EDGE('',*,*,#179904,.T.); #233361=ORIENTED_EDGE('',*,*,#179906,.F.); #233362=ORIENTED_EDGE('',*,*,#179907,.F.); #233363=ORIENTED_EDGE('',*,*,#179908,.T.); #233364=ORIENTED_EDGE('',*,*,#179907,.T.); #233365=ORIENTED_EDGE('',*,*,#179909,.F.); #233366=ORIENTED_EDGE('',*,*,#179910,.F.); #233367=ORIENTED_EDGE('',*,*,#179911,.T.); #233368=ORIENTED_EDGE('',*,*,#179910,.T.); #233369=ORIENTED_EDGE('',*,*,#179912,.F.); #233370=ORIENTED_EDGE('',*,*,#179913,.F.); #233371=ORIENTED_EDGE('',*,*,#179914,.T.); #233372=ORIENTED_EDGE('',*,*,#179913,.T.); #233373=ORIENTED_EDGE('',*,*,#179915,.F.); #233374=ORIENTED_EDGE('',*,*,#179916,.F.); #233375=ORIENTED_EDGE('',*,*,#179917,.T.); #233376=ORIENTED_EDGE('',*,*,#179916,.T.); #233377=ORIENTED_EDGE('',*,*,#179918,.F.); #233378=ORIENTED_EDGE('',*,*,#179919,.F.); #233379=ORIENTED_EDGE('',*,*,#179920,.T.); #233380=ORIENTED_EDGE('',*,*,#179919,.T.); #233381=ORIENTED_EDGE('',*,*,#179921,.F.); #233382=ORIENTED_EDGE('',*,*,#179922,.F.); #233383=ORIENTED_EDGE('',*,*,#179923,.T.); #233384=ORIENTED_EDGE('',*,*,#179922,.T.); #233385=ORIENTED_EDGE('',*,*,#179924,.F.); #233386=ORIENTED_EDGE('',*,*,#179925,.F.); #233387=ORIENTED_EDGE('',*,*,#179926,.T.); #233388=ORIENTED_EDGE('',*,*,#179925,.T.); #233389=ORIENTED_EDGE('',*,*,#179927,.F.); #233390=ORIENTED_EDGE('',*,*,#179928,.F.); #233391=ORIENTED_EDGE('',*,*,#179929,.T.); #233392=ORIENTED_EDGE('',*,*,#179928,.T.); #233393=ORIENTED_EDGE('',*,*,#179930,.F.); #233394=ORIENTED_EDGE('',*,*,#179931,.F.); #233395=ORIENTED_EDGE('',*,*,#179932,.T.); #233396=ORIENTED_EDGE('',*,*,#179931,.T.); #233397=ORIENTED_EDGE('',*,*,#179933,.F.); #233398=ORIENTED_EDGE('',*,*,#179934,.F.); #233399=ORIENTED_EDGE('',*,*,#179935,.T.); #233400=ORIENTED_EDGE('',*,*,#179934,.T.); #233401=ORIENTED_EDGE('',*,*,#179936,.F.); #233402=ORIENTED_EDGE('',*,*,#179937,.F.); #233403=ORIENTED_EDGE('',*,*,#179938,.T.); #233404=ORIENTED_EDGE('',*,*,#179937,.T.); #233405=ORIENTED_EDGE('',*,*,#179939,.F.); #233406=ORIENTED_EDGE('',*,*,#179940,.F.); #233407=ORIENTED_EDGE('',*,*,#179941,.T.); #233408=ORIENTED_EDGE('',*,*,#179940,.T.); #233409=ORIENTED_EDGE('',*,*,#179942,.F.); #233410=ORIENTED_EDGE('',*,*,#179943,.F.); #233411=ORIENTED_EDGE('',*,*,#179944,.T.); #233412=ORIENTED_EDGE('',*,*,#179943,.T.); #233413=ORIENTED_EDGE('',*,*,#179945,.F.); #233414=ORIENTED_EDGE('',*,*,#179946,.F.); #233415=ORIENTED_EDGE('',*,*,#179947,.T.); #233416=ORIENTED_EDGE('',*,*,#179946,.T.); #233417=ORIENTED_EDGE('',*,*,#179948,.F.); #233418=ORIENTED_EDGE('',*,*,#179949,.F.); #233419=ORIENTED_EDGE('',*,*,#179950,.T.); #233420=ORIENTED_EDGE('',*,*,#179949,.T.); #233421=ORIENTED_EDGE('',*,*,#179951,.F.); #233422=ORIENTED_EDGE('',*,*,#179952,.F.); #233423=ORIENTED_EDGE('',*,*,#179953,.T.); #233424=ORIENTED_EDGE('',*,*,#179952,.T.); #233425=ORIENTED_EDGE('',*,*,#179954,.F.); #233426=ORIENTED_EDGE('',*,*,#179955,.F.); #233427=ORIENTED_EDGE('',*,*,#179956,.T.); #233428=ORIENTED_EDGE('',*,*,#179955,.T.); #233429=ORIENTED_EDGE('',*,*,#179957,.F.); #233430=ORIENTED_EDGE('',*,*,#179958,.F.); #233431=ORIENTED_EDGE('',*,*,#179959,.T.); #233432=ORIENTED_EDGE('',*,*,#179958,.T.); #233433=ORIENTED_EDGE('',*,*,#179960,.F.); #233434=ORIENTED_EDGE('',*,*,#179961,.F.); #233435=ORIENTED_EDGE('',*,*,#179962,.T.); #233436=ORIENTED_EDGE('',*,*,#179961,.T.); #233437=ORIENTED_EDGE('',*,*,#179963,.F.); #233438=ORIENTED_EDGE('',*,*,#179964,.F.); #233439=ORIENTED_EDGE('',*,*,#179965,.T.); #233440=ORIENTED_EDGE('',*,*,#179964,.T.); #233441=ORIENTED_EDGE('',*,*,#179966,.F.); #233442=ORIENTED_EDGE('',*,*,#179967,.F.); #233443=ORIENTED_EDGE('',*,*,#179968,.T.); #233444=ORIENTED_EDGE('',*,*,#179967,.T.); #233445=ORIENTED_EDGE('',*,*,#179969,.F.); #233446=ORIENTED_EDGE('',*,*,#179970,.F.); #233447=ORIENTED_EDGE('',*,*,#179971,.T.); #233448=ORIENTED_EDGE('',*,*,#179970,.T.); #233449=ORIENTED_EDGE('',*,*,#179972,.F.); #233450=ORIENTED_EDGE('',*,*,#179973,.F.); #233451=ORIENTED_EDGE('',*,*,#179974,.T.); #233452=ORIENTED_EDGE('',*,*,#179973,.T.); #233453=ORIENTED_EDGE('',*,*,#179975,.F.); #233454=ORIENTED_EDGE('',*,*,#179976,.F.); #233455=ORIENTED_EDGE('',*,*,#179977,.T.); #233456=ORIENTED_EDGE('',*,*,#179976,.T.); #233457=ORIENTED_EDGE('',*,*,#179978,.F.); #233458=ORIENTED_EDGE('',*,*,#179979,.F.); #233459=ORIENTED_EDGE('',*,*,#179980,.T.); #233460=ORIENTED_EDGE('',*,*,#179979,.T.); #233461=ORIENTED_EDGE('',*,*,#179981,.F.); #233462=ORIENTED_EDGE('',*,*,#179982,.F.); #233463=ORIENTED_EDGE('',*,*,#179983,.T.); #233464=ORIENTED_EDGE('',*,*,#179982,.T.); #233465=ORIENTED_EDGE('',*,*,#179984,.F.); #233466=ORIENTED_EDGE('',*,*,#179985,.F.); #233467=ORIENTED_EDGE('',*,*,#179986,.T.); #233468=ORIENTED_EDGE('',*,*,#179985,.T.); #233469=ORIENTED_EDGE('',*,*,#179987,.F.); #233470=ORIENTED_EDGE('',*,*,#179988,.F.); #233471=ORIENTED_EDGE('',*,*,#179989,.T.); #233472=ORIENTED_EDGE('',*,*,#179988,.T.); #233473=ORIENTED_EDGE('',*,*,#179990,.F.); #233474=ORIENTED_EDGE('',*,*,#179991,.F.); #233475=ORIENTED_EDGE('',*,*,#179992,.T.); #233476=ORIENTED_EDGE('',*,*,#179991,.T.); #233477=ORIENTED_EDGE('',*,*,#179993,.F.); #233478=ORIENTED_EDGE('',*,*,#179994,.F.); #233479=ORIENTED_EDGE('',*,*,#179995,.T.); #233480=ORIENTED_EDGE('',*,*,#179994,.T.); #233481=ORIENTED_EDGE('',*,*,#179996,.F.); #233482=ORIENTED_EDGE('',*,*,#179997,.F.); #233483=ORIENTED_EDGE('',*,*,#179998,.T.); #233484=ORIENTED_EDGE('',*,*,#179997,.T.); #233485=ORIENTED_EDGE('',*,*,#179999,.F.); #233486=ORIENTED_EDGE('',*,*,#180000,.F.); #233487=ORIENTED_EDGE('',*,*,#180001,.T.); #233488=ORIENTED_EDGE('',*,*,#180000,.T.); #233489=ORIENTED_EDGE('',*,*,#180002,.F.); #233490=ORIENTED_EDGE('',*,*,#180003,.F.); #233491=ORIENTED_EDGE('',*,*,#180004,.T.); #233492=ORIENTED_EDGE('',*,*,#180003,.T.); #233493=ORIENTED_EDGE('',*,*,#180005,.F.); #233494=ORIENTED_EDGE('',*,*,#180006,.F.); #233495=ORIENTED_EDGE('',*,*,#180007,.T.); #233496=ORIENTED_EDGE('',*,*,#180006,.T.); #233497=ORIENTED_EDGE('',*,*,#180008,.F.); #233498=ORIENTED_EDGE('',*,*,#180009,.F.); #233499=ORIENTED_EDGE('',*,*,#180010,.T.); #233500=ORIENTED_EDGE('',*,*,#180009,.T.); #233501=ORIENTED_EDGE('',*,*,#180011,.F.); #233502=ORIENTED_EDGE('',*,*,#180012,.F.); #233503=ORIENTED_EDGE('',*,*,#180013,.T.); #233504=ORIENTED_EDGE('',*,*,#180012,.T.); #233505=ORIENTED_EDGE('',*,*,#180014,.F.); #233506=ORIENTED_EDGE('',*,*,#180015,.F.); #233507=ORIENTED_EDGE('',*,*,#180016,.T.); #233508=ORIENTED_EDGE('',*,*,#180015,.T.); #233509=ORIENTED_EDGE('',*,*,#180017,.F.); #233510=ORIENTED_EDGE('',*,*,#180018,.F.); #233511=ORIENTED_EDGE('',*,*,#180019,.T.); #233512=ORIENTED_EDGE('',*,*,#180018,.T.); #233513=ORIENTED_EDGE('',*,*,#180020,.F.); #233514=ORIENTED_EDGE('',*,*,#180021,.F.); #233515=ORIENTED_EDGE('',*,*,#180022,.T.); #233516=ORIENTED_EDGE('',*,*,#180021,.T.); #233517=ORIENTED_EDGE('',*,*,#180023,.F.); #233518=ORIENTED_EDGE('',*,*,#180024,.F.); #233519=ORIENTED_EDGE('',*,*,#180025,.T.); #233520=ORIENTED_EDGE('',*,*,#180024,.T.); #233521=ORIENTED_EDGE('',*,*,#180026,.F.); #233522=ORIENTED_EDGE('',*,*,#180027,.F.); #233523=ORIENTED_EDGE('',*,*,#180028,.T.); #233524=ORIENTED_EDGE('',*,*,#180027,.T.); #233525=ORIENTED_EDGE('',*,*,#180029,.F.); #233526=ORIENTED_EDGE('',*,*,#180030,.F.); #233527=ORIENTED_EDGE('',*,*,#180031,.T.); #233528=ORIENTED_EDGE('',*,*,#180030,.T.); #233529=ORIENTED_EDGE('',*,*,#180032,.F.); #233530=ORIENTED_EDGE('',*,*,#180033,.F.); #233531=ORIENTED_EDGE('',*,*,#180034,.T.); #233532=ORIENTED_EDGE('',*,*,#180033,.T.); #233533=ORIENTED_EDGE('',*,*,#180035,.F.); #233534=ORIENTED_EDGE('',*,*,#180036,.F.); #233535=ORIENTED_EDGE('',*,*,#180037,.T.); #233536=ORIENTED_EDGE('',*,*,#180036,.T.); #233537=ORIENTED_EDGE('',*,*,#180038,.F.); #233538=ORIENTED_EDGE('',*,*,#180039,.F.); #233539=ORIENTED_EDGE('',*,*,#180040,.T.); #233540=ORIENTED_EDGE('',*,*,#180039,.T.); #233541=ORIENTED_EDGE('',*,*,#180041,.F.); #233542=ORIENTED_EDGE('',*,*,#180042,.F.); #233543=ORIENTED_EDGE('',*,*,#180043,.T.); #233544=ORIENTED_EDGE('',*,*,#180042,.T.); #233545=ORIENTED_EDGE('',*,*,#180044,.F.); #233546=ORIENTED_EDGE('',*,*,#180045,.F.); #233547=ORIENTED_EDGE('',*,*,#180046,.T.); #233548=ORIENTED_EDGE('',*,*,#180045,.T.); #233549=ORIENTED_EDGE('',*,*,#180047,.F.); #233550=ORIENTED_EDGE('',*,*,#180048,.F.); #233551=ORIENTED_EDGE('',*,*,#180049,.T.); #233552=ORIENTED_EDGE('',*,*,#180048,.T.); #233553=ORIENTED_EDGE('',*,*,#180050,.F.); #233554=ORIENTED_EDGE('',*,*,#180051,.F.); #233555=ORIENTED_EDGE('',*,*,#180052,.T.); #233556=ORIENTED_EDGE('',*,*,#180051,.T.); #233557=ORIENTED_EDGE('',*,*,#180053,.F.); #233558=ORIENTED_EDGE('',*,*,#180054,.F.); #233559=ORIENTED_EDGE('',*,*,#180055,.T.); #233560=ORIENTED_EDGE('',*,*,#180054,.T.); #233561=ORIENTED_EDGE('',*,*,#180056,.F.); #233562=ORIENTED_EDGE('',*,*,#180057,.F.); #233563=ORIENTED_EDGE('',*,*,#180058,.T.); #233564=ORIENTED_EDGE('',*,*,#180057,.T.); #233565=ORIENTED_EDGE('',*,*,#180059,.F.); #233566=ORIENTED_EDGE('',*,*,#180060,.F.); #233567=ORIENTED_EDGE('',*,*,#180061,.T.); #233568=ORIENTED_EDGE('',*,*,#180060,.T.); #233569=ORIENTED_EDGE('',*,*,#180062,.F.); #233570=ORIENTED_EDGE('',*,*,#180063,.F.); #233571=ORIENTED_EDGE('',*,*,#180064,.T.); #233572=ORIENTED_EDGE('',*,*,#180063,.T.); #233573=ORIENTED_EDGE('',*,*,#180065,.F.); #233574=ORIENTED_EDGE('',*,*,#180066,.F.); #233575=ORIENTED_EDGE('',*,*,#180067,.T.); #233576=ORIENTED_EDGE('',*,*,#180066,.T.); #233577=ORIENTED_EDGE('',*,*,#180068,.F.); #233578=ORIENTED_EDGE('',*,*,#180069,.F.); #233579=ORIENTED_EDGE('',*,*,#180070,.T.); #233580=ORIENTED_EDGE('',*,*,#180069,.T.); #233581=ORIENTED_EDGE('',*,*,#180071,.F.); #233582=ORIENTED_EDGE('',*,*,#180072,.F.); #233583=ORIENTED_EDGE('',*,*,#180073,.T.); #233584=ORIENTED_EDGE('',*,*,#180072,.T.); #233585=ORIENTED_EDGE('',*,*,#180074,.F.); #233586=ORIENTED_EDGE('',*,*,#180075,.F.); #233587=ORIENTED_EDGE('',*,*,#180076,.T.); #233588=ORIENTED_EDGE('',*,*,#180075,.T.); #233589=ORIENTED_EDGE('',*,*,#180077,.F.); #233590=ORIENTED_EDGE('',*,*,#180078,.F.); #233591=ORIENTED_EDGE('',*,*,#180079,.T.); #233592=ORIENTED_EDGE('',*,*,#180078,.T.); #233593=ORIENTED_EDGE('',*,*,#180080,.F.); #233594=ORIENTED_EDGE('',*,*,#180081,.F.); #233595=ORIENTED_EDGE('',*,*,#180082,.T.); #233596=ORIENTED_EDGE('',*,*,#180081,.T.); #233597=ORIENTED_EDGE('',*,*,#180083,.F.); #233598=ORIENTED_EDGE('',*,*,#180084,.F.); #233599=ORIENTED_EDGE('',*,*,#180085,.T.); #233600=ORIENTED_EDGE('',*,*,#180084,.T.); #233601=ORIENTED_EDGE('',*,*,#180086,.F.); #233602=ORIENTED_EDGE('',*,*,#180087,.F.); #233603=ORIENTED_EDGE('',*,*,#180088,.T.); #233604=ORIENTED_EDGE('',*,*,#180087,.T.); #233605=ORIENTED_EDGE('',*,*,#180089,.F.); #233606=ORIENTED_EDGE('',*,*,#180090,.F.); #233607=ORIENTED_EDGE('',*,*,#180091,.T.); #233608=ORIENTED_EDGE('',*,*,#180090,.T.); #233609=ORIENTED_EDGE('',*,*,#180092,.F.); #233610=ORIENTED_EDGE('',*,*,#180093,.F.); #233611=ORIENTED_EDGE('',*,*,#180094,.T.); #233612=ORIENTED_EDGE('',*,*,#180093,.T.); #233613=ORIENTED_EDGE('',*,*,#180095,.F.); #233614=ORIENTED_EDGE('',*,*,#180096,.F.); #233615=ORIENTED_EDGE('',*,*,#180097,.T.); #233616=ORIENTED_EDGE('',*,*,#180096,.T.); #233617=ORIENTED_EDGE('',*,*,#180098,.F.); #233618=ORIENTED_EDGE('',*,*,#180099,.F.); #233619=ORIENTED_EDGE('',*,*,#180100,.T.); #233620=ORIENTED_EDGE('',*,*,#180099,.T.); #233621=ORIENTED_EDGE('',*,*,#180101,.F.); #233622=ORIENTED_EDGE('',*,*,#180102,.F.); #233623=ORIENTED_EDGE('',*,*,#180103,.T.); #233624=ORIENTED_EDGE('',*,*,#180102,.T.); #233625=ORIENTED_EDGE('',*,*,#180104,.F.); #233626=ORIENTED_EDGE('',*,*,#180105,.F.); #233627=ORIENTED_EDGE('',*,*,#180106,.T.); #233628=ORIENTED_EDGE('',*,*,#180105,.T.); #233629=ORIENTED_EDGE('',*,*,#180107,.F.); #233630=ORIENTED_EDGE('',*,*,#180108,.F.); #233631=ORIENTED_EDGE('',*,*,#180109,.T.); #233632=ORIENTED_EDGE('',*,*,#180108,.T.); #233633=ORIENTED_EDGE('',*,*,#180110,.F.); #233634=ORIENTED_EDGE('',*,*,#180111,.F.); #233635=ORIENTED_EDGE('',*,*,#180112,.T.); #233636=ORIENTED_EDGE('',*,*,#180111,.T.); #233637=ORIENTED_EDGE('',*,*,#180113,.F.); #233638=ORIENTED_EDGE('',*,*,#180114,.F.); #233639=ORIENTED_EDGE('',*,*,#180115,.T.); #233640=ORIENTED_EDGE('',*,*,#180114,.T.); #233641=ORIENTED_EDGE('',*,*,#180116,.F.); #233642=ORIENTED_EDGE('',*,*,#180117,.F.); #233643=ORIENTED_EDGE('',*,*,#180118,.T.); #233644=ORIENTED_EDGE('',*,*,#180117,.T.); #233645=ORIENTED_EDGE('',*,*,#180119,.F.); #233646=ORIENTED_EDGE('',*,*,#180120,.F.); #233647=ORIENTED_EDGE('',*,*,#180121,.T.); #233648=ORIENTED_EDGE('',*,*,#180120,.T.); #233649=ORIENTED_EDGE('',*,*,#180122,.F.); #233650=ORIENTED_EDGE('',*,*,#180123,.F.); #233651=ORIENTED_EDGE('',*,*,#180124,.T.); #233652=ORIENTED_EDGE('',*,*,#180123,.T.); #233653=ORIENTED_EDGE('',*,*,#180125,.F.); #233654=ORIENTED_EDGE('',*,*,#180126,.F.); #233655=ORIENTED_EDGE('',*,*,#180127,.T.); #233656=ORIENTED_EDGE('',*,*,#180126,.T.); #233657=ORIENTED_EDGE('',*,*,#180128,.F.); #233658=ORIENTED_EDGE('',*,*,#180129,.F.); #233659=ORIENTED_EDGE('',*,*,#180130,.T.); #233660=ORIENTED_EDGE('',*,*,#180129,.T.); #233661=ORIENTED_EDGE('',*,*,#180131,.F.); #233662=ORIENTED_EDGE('',*,*,#180132,.F.); #233663=ORIENTED_EDGE('',*,*,#180133,.T.); #233664=ORIENTED_EDGE('',*,*,#180132,.T.); #233665=ORIENTED_EDGE('',*,*,#180134,.F.); #233666=ORIENTED_EDGE('',*,*,#180135,.F.); #233667=ORIENTED_EDGE('',*,*,#180136,.T.); #233668=ORIENTED_EDGE('',*,*,#180135,.T.); #233669=ORIENTED_EDGE('',*,*,#180137,.F.); #233670=ORIENTED_EDGE('',*,*,#180138,.F.); #233671=ORIENTED_EDGE('',*,*,#180139,.T.); #233672=ORIENTED_EDGE('',*,*,#180138,.T.); #233673=ORIENTED_EDGE('',*,*,#180140,.F.); #233674=ORIENTED_EDGE('',*,*,#180141,.F.); #233675=ORIENTED_EDGE('',*,*,#180142,.T.); #233676=ORIENTED_EDGE('',*,*,#180141,.T.); #233677=ORIENTED_EDGE('',*,*,#180143,.F.); #233678=ORIENTED_EDGE('',*,*,#180144,.F.); #233679=ORIENTED_EDGE('',*,*,#180145,.T.); #233680=ORIENTED_EDGE('',*,*,#180144,.T.); #233681=ORIENTED_EDGE('',*,*,#180146,.F.); #233682=ORIENTED_EDGE('',*,*,#180147,.F.); #233683=ORIENTED_EDGE('',*,*,#180148,.T.); #233684=ORIENTED_EDGE('',*,*,#180147,.T.); #233685=ORIENTED_EDGE('',*,*,#180149,.F.); #233686=ORIENTED_EDGE('',*,*,#180150,.F.); #233687=ORIENTED_EDGE('',*,*,#180151,.T.); #233688=ORIENTED_EDGE('',*,*,#180150,.T.); #233689=ORIENTED_EDGE('',*,*,#180152,.F.); #233690=ORIENTED_EDGE('',*,*,#180153,.F.); #233691=ORIENTED_EDGE('',*,*,#180154,.T.); #233692=ORIENTED_EDGE('',*,*,#180153,.T.); #233693=ORIENTED_EDGE('',*,*,#180155,.F.); #233694=ORIENTED_EDGE('',*,*,#180156,.F.); #233695=ORIENTED_EDGE('',*,*,#180157,.T.); #233696=ORIENTED_EDGE('',*,*,#180156,.T.); #233697=ORIENTED_EDGE('',*,*,#180158,.F.); #233698=ORIENTED_EDGE('',*,*,#180159,.F.); #233699=ORIENTED_EDGE('',*,*,#180160,.T.); #233700=ORIENTED_EDGE('',*,*,#180159,.T.); #233701=ORIENTED_EDGE('',*,*,#180161,.F.); #233702=ORIENTED_EDGE('',*,*,#180162,.F.); #233703=ORIENTED_EDGE('',*,*,#180163,.T.); #233704=ORIENTED_EDGE('',*,*,#180162,.T.); #233705=ORIENTED_EDGE('',*,*,#180164,.F.); #233706=ORIENTED_EDGE('',*,*,#180165,.F.); #233707=ORIENTED_EDGE('',*,*,#180166,.T.); #233708=ORIENTED_EDGE('',*,*,#180165,.T.); #233709=ORIENTED_EDGE('',*,*,#180167,.F.); #233710=ORIENTED_EDGE('',*,*,#180168,.F.); #233711=ORIENTED_EDGE('',*,*,#180169,.T.); #233712=ORIENTED_EDGE('',*,*,#180168,.T.); #233713=ORIENTED_EDGE('',*,*,#180170,.F.); #233714=ORIENTED_EDGE('',*,*,#180171,.F.); #233715=ORIENTED_EDGE('',*,*,#180172,.T.); #233716=ORIENTED_EDGE('',*,*,#180171,.T.); #233717=ORIENTED_EDGE('',*,*,#180173,.F.); #233718=ORIENTED_EDGE('',*,*,#180174,.F.); #233719=ORIENTED_EDGE('',*,*,#180175,.T.); #233720=ORIENTED_EDGE('',*,*,#180174,.T.); #233721=ORIENTED_EDGE('',*,*,#180176,.F.); #233722=ORIENTED_EDGE('',*,*,#180177,.F.); #233723=ORIENTED_EDGE('',*,*,#180178,.T.); #233724=ORIENTED_EDGE('',*,*,#180177,.T.); #233725=ORIENTED_EDGE('',*,*,#180179,.F.); #233726=ORIENTED_EDGE('',*,*,#180180,.F.); #233727=ORIENTED_EDGE('',*,*,#180181,.T.); #233728=ORIENTED_EDGE('',*,*,#180180,.T.); #233729=ORIENTED_EDGE('',*,*,#180182,.F.); #233730=ORIENTED_EDGE('',*,*,#180183,.F.); #233731=ORIENTED_EDGE('',*,*,#180184,.T.); #233732=ORIENTED_EDGE('',*,*,#180183,.T.); #233733=ORIENTED_EDGE('',*,*,#180185,.F.); #233734=ORIENTED_EDGE('',*,*,#180186,.F.); #233735=ORIENTED_EDGE('',*,*,#180187,.T.); #233736=ORIENTED_EDGE('',*,*,#180186,.T.); #233737=ORIENTED_EDGE('',*,*,#180188,.F.); #233738=ORIENTED_EDGE('',*,*,#180189,.F.); #233739=ORIENTED_EDGE('',*,*,#180190,.T.); #233740=ORIENTED_EDGE('',*,*,#180189,.T.); #233741=ORIENTED_EDGE('',*,*,#180191,.F.); #233742=ORIENTED_EDGE('',*,*,#180192,.F.); #233743=ORIENTED_EDGE('',*,*,#180193,.T.); #233744=ORIENTED_EDGE('',*,*,#180192,.T.); #233745=ORIENTED_EDGE('',*,*,#180194,.F.); #233746=ORIENTED_EDGE('',*,*,#180195,.F.); #233747=ORIENTED_EDGE('',*,*,#180196,.T.); #233748=ORIENTED_EDGE('',*,*,#180195,.T.); #233749=ORIENTED_EDGE('',*,*,#180197,.F.); #233750=ORIENTED_EDGE('',*,*,#180198,.F.); #233751=ORIENTED_EDGE('',*,*,#180199,.T.); #233752=ORIENTED_EDGE('',*,*,#180198,.T.); #233753=ORIENTED_EDGE('',*,*,#180200,.F.); #233754=ORIENTED_EDGE('',*,*,#180201,.F.); #233755=ORIENTED_EDGE('',*,*,#180202,.T.); #233756=ORIENTED_EDGE('',*,*,#180201,.T.); #233757=ORIENTED_EDGE('',*,*,#180203,.F.); #233758=ORIENTED_EDGE('',*,*,#180204,.F.); #233759=ORIENTED_EDGE('',*,*,#180205,.T.); #233760=ORIENTED_EDGE('',*,*,#180204,.T.); #233761=ORIENTED_EDGE('',*,*,#180206,.F.); #233762=ORIENTED_EDGE('',*,*,#180207,.F.); #233763=ORIENTED_EDGE('',*,*,#180208,.T.); #233764=ORIENTED_EDGE('',*,*,#180207,.T.); #233765=ORIENTED_EDGE('',*,*,#180209,.F.); #233766=ORIENTED_EDGE('',*,*,#180210,.F.); #233767=ORIENTED_EDGE('',*,*,#180211,.T.); #233768=ORIENTED_EDGE('',*,*,#180210,.T.); #233769=ORIENTED_EDGE('',*,*,#180212,.F.); #233770=ORIENTED_EDGE('',*,*,#180213,.F.); #233771=ORIENTED_EDGE('',*,*,#180214,.T.); #233772=ORIENTED_EDGE('',*,*,#180213,.T.); #233773=ORIENTED_EDGE('',*,*,#180215,.F.); #233774=ORIENTED_EDGE('',*,*,#180216,.F.); #233775=ORIENTED_EDGE('',*,*,#180217,.T.); #233776=ORIENTED_EDGE('',*,*,#180216,.T.); #233777=ORIENTED_EDGE('',*,*,#180218,.F.); #233778=ORIENTED_EDGE('',*,*,#180219,.F.); #233779=ORIENTED_EDGE('',*,*,#180220,.T.); #233780=ORIENTED_EDGE('',*,*,#180219,.T.); #233781=ORIENTED_EDGE('',*,*,#180221,.F.); #233782=ORIENTED_EDGE('',*,*,#180222,.F.); #233783=ORIENTED_EDGE('',*,*,#180223,.T.); #233784=ORIENTED_EDGE('',*,*,#180222,.T.); #233785=ORIENTED_EDGE('',*,*,#180224,.F.); #233786=ORIENTED_EDGE('',*,*,#180225,.F.); #233787=ORIENTED_EDGE('',*,*,#180226,.T.); #233788=ORIENTED_EDGE('',*,*,#180225,.T.); #233789=ORIENTED_EDGE('',*,*,#180227,.F.); #233790=ORIENTED_EDGE('',*,*,#180228,.F.); #233791=ORIENTED_EDGE('',*,*,#180229,.T.); #233792=ORIENTED_EDGE('',*,*,#180228,.T.); #233793=ORIENTED_EDGE('',*,*,#180230,.F.); #233794=ORIENTED_EDGE('',*,*,#180231,.F.); #233795=ORIENTED_EDGE('',*,*,#180232,.T.); #233796=ORIENTED_EDGE('',*,*,#180231,.T.); #233797=ORIENTED_EDGE('',*,*,#180233,.F.); #233798=ORIENTED_EDGE('',*,*,#180234,.F.); #233799=ORIENTED_EDGE('',*,*,#180235,.T.); #233800=ORIENTED_EDGE('',*,*,#180234,.T.); #233801=ORIENTED_EDGE('',*,*,#180236,.F.); #233802=ORIENTED_EDGE('',*,*,#180237,.F.); #233803=ORIENTED_EDGE('',*,*,#180238,.T.); #233804=ORIENTED_EDGE('',*,*,#180237,.T.); #233805=ORIENTED_EDGE('',*,*,#180239,.F.); #233806=ORIENTED_EDGE('',*,*,#180240,.F.); #233807=ORIENTED_EDGE('',*,*,#180241,.T.); #233808=ORIENTED_EDGE('',*,*,#180240,.T.); #233809=ORIENTED_EDGE('',*,*,#180242,.F.); #233810=ORIENTED_EDGE('',*,*,#180243,.F.); #233811=ORIENTED_EDGE('',*,*,#180244,.T.); #233812=ORIENTED_EDGE('',*,*,#180243,.T.); #233813=ORIENTED_EDGE('',*,*,#180245,.F.); #233814=ORIENTED_EDGE('',*,*,#180246,.F.); #233815=ORIENTED_EDGE('',*,*,#180247,.T.); #233816=ORIENTED_EDGE('',*,*,#180246,.T.); #233817=ORIENTED_EDGE('',*,*,#180248,.F.); #233818=ORIENTED_EDGE('',*,*,#180249,.F.); #233819=ORIENTED_EDGE('',*,*,#180250,.T.); #233820=ORIENTED_EDGE('',*,*,#180249,.T.); #233821=ORIENTED_EDGE('',*,*,#180251,.F.); #233822=ORIENTED_EDGE('',*,*,#180252,.F.); #233823=ORIENTED_EDGE('',*,*,#180253,.T.); #233824=ORIENTED_EDGE('',*,*,#180252,.T.); #233825=ORIENTED_EDGE('',*,*,#180254,.F.); #233826=ORIENTED_EDGE('',*,*,#180255,.F.); #233827=ORIENTED_EDGE('',*,*,#180256,.T.); #233828=ORIENTED_EDGE('',*,*,#180255,.T.); #233829=ORIENTED_EDGE('',*,*,#180257,.F.); #233830=ORIENTED_EDGE('',*,*,#180258,.F.); #233831=ORIENTED_EDGE('',*,*,#180259,.T.); #233832=ORIENTED_EDGE('',*,*,#180258,.T.); #233833=ORIENTED_EDGE('',*,*,#180260,.F.); #233834=ORIENTED_EDGE('',*,*,#180261,.F.); #233835=ORIENTED_EDGE('',*,*,#180262,.T.); #233836=ORIENTED_EDGE('',*,*,#180261,.T.); #233837=ORIENTED_EDGE('',*,*,#180263,.F.); #233838=ORIENTED_EDGE('',*,*,#180264,.F.); #233839=ORIENTED_EDGE('',*,*,#180265,.T.); #233840=ORIENTED_EDGE('',*,*,#180264,.T.); #233841=ORIENTED_EDGE('',*,*,#180266,.F.); #233842=ORIENTED_EDGE('',*,*,#180267,.F.); #233843=ORIENTED_EDGE('',*,*,#180268,.T.); #233844=ORIENTED_EDGE('',*,*,#180267,.T.); #233845=ORIENTED_EDGE('',*,*,#180269,.F.); #233846=ORIENTED_EDGE('',*,*,#180270,.F.); #233847=ORIENTED_EDGE('',*,*,#180271,.T.); #233848=ORIENTED_EDGE('',*,*,#180270,.T.); #233849=ORIENTED_EDGE('',*,*,#180272,.F.); #233850=ORIENTED_EDGE('',*,*,#180273,.F.); #233851=ORIENTED_EDGE('',*,*,#180274,.T.); #233852=ORIENTED_EDGE('',*,*,#180273,.T.); #233853=ORIENTED_EDGE('',*,*,#180275,.F.); #233854=ORIENTED_EDGE('',*,*,#180276,.F.); #233855=ORIENTED_EDGE('',*,*,#180277,.T.); #233856=ORIENTED_EDGE('',*,*,#180276,.T.); #233857=ORIENTED_EDGE('',*,*,#180278,.F.); #233858=ORIENTED_EDGE('',*,*,#180279,.F.); #233859=ORIENTED_EDGE('',*,*,#180280,.T.); #233860=ORIENTED_EDGE('',*,*,#180279,.T.); #233861=ORIENTED_EDGE('',*,*,#180281,.F.); #233862=ORIENTED_EDGE('',*,*,#180282,.F.); #233863=ORIENTED_EDGE('',*,*,#180283,.T.); #233864=ORIENTED_EDGE('',*,*,#180282,.T.); #233865=ORIENTED_EDGE('',*,*,#180284,.F.); #233866=ORIENTED_EDGE('',*,*,#180285,.F.); #233867=ORIENTED_EDGE('',*,*,#180286,.T.); #233868=ORIENTED_EDGE('',*,*,#180285,.T.); #233869=ORIENTED_EDGE('',*,*,#180287,.F.); #233870=ORIENTED_EDGE('',*,*,#180288,.F.); #233871=ORIENTED_EDGE('',*,*,#180289,.T.); #233872=ORIENTED_EDGE('',*,*,#180288,.T.); #233873=ORIENTED_EDGE('',*,*,#180290,.F.); #233874=ORIENTED_EDGE('',*,*,#180291,.F.); #233875=ORIENTED_EDGE('',*,*,#180292,.T.); #233876=ORIENTED_EDGE('',*,*,#180291,.T.); #233877=ORIENTED_EDGE('',*,*,#180293,.F.); #233878=ORIENTED_EDGE('',*,*,#180294,.F.); #233879=ORIENTED_EDGE('',*,*,#180295,.T.); #233880=ORIENTED_EDGE('',*,*,#180294,.T.); #233881=ORIENTED_EDGE('',*,*,#180296,.F.); #233882=ORIENTED_EDGE('',*,*,#180297,.F.); #233883=ORIENTED_EDGE('',*,*,#180298,.T.); #233884=ORIENTED_EDGE('',*,*,#180297,.T.); #233885=ORIENTED_EDGE('',*,*,#180299,.F.); #233886=ORIENTED_EDGE('',*,*,#180300,.F.); #233887=ORIENTED_EDGE('',*,*,#180301,.T.); #233888=ORIENTED_EDGE('',*,*,#180300,.T.); #233889=ORIENTED_EDGE('',*,*,#180302,.F.); #233890=ORIENTED_EDGE('',*,*,#180303,.F.); #233891=ORIENTED_EDGE('',*,*,#180304,.T.); #233892=ORIENTED_EDGE('',*,*,#180303,.T.); #233893=ORIENTED_EDGE('',*,*,#180305,.F.); #233894=ORIENTED_EDGE('',*,*,#180306,.F.); #233895=ORIENTED_EDGE('',*,*,#180307,.T.); #233896=ORIENTED_EDGE('',*,*,#180306,.T.); #233897=ORIENTED_EDGE('',*,*,#180308,.F.); #233898=ORIENTED_EDGE('',*,*,#180309,.F.); #233899=ORIENTED_EDGE('',*,*,#180310,.T.); #233900=ORIENTED_EDGE('',*,*,#180309,.T.); #233901=ORIENTED_EDGE('',*,*,#180311,.F.); #233902=ORIENTED_EDGE('',*,*,#180312,.F.); #233903=ORIENTED_EDGE('',*,*,#180313,.T.); #233904=ORIENTED_EDGE('',*,*,#180312,.T.); #233905=ORIENTED_EDGE('',*,*,#180314,.F.); #233906=ORIENTED_EDGE('',*,*,#180315,.F.); #233907=ORIENTED_EDGE('',*,*,#180316,.T.); #233908=ORIENTED_EDGE('',*,*,#180315,.T.); #233909=ORIENTED_EDGE('',*,*,#180317,.F.); #233910=ORIENTED_EDGE('',*,*,#180318,.F.); #233911=ORIENTED_EDGE('',*,*,#180319,.T.); #233912=ORIENTED_EDGE('',*,*,#180318,.T.); #233913=ORIENTED_EDGE('',*,*,#180320,.F.); #233914=ORIENTED_EDGE('',*,*,#180321,.F.); #233915=ORIENTED_EDGE('',*,*,#180322,.T.); #233916=ORIENTED_EDGE('',*,*,#180321,.T.); #233917=ORIENTED_EDGE('',*,*,#180323,.F.); #233918=ORIENTED_EDGE('',*,*,#180324,.F.); #233919=ORIENTED_EDGE('',*,*,#180325,.T.); #233920=ORIENTED_EDGE('',*,*,#180324,.T.); #233921=ORIENTED_EDGE('',*,*,#180326,.F.); #233922=ORIENTED_EDGE('',*,*,#180327,.F.); #233923=ORIENTED_EDGE('',*,*,#180328,.T.); #233924=ORIENTED_EDGE('',*,*,#180327,.T.); #233925=ORIENTED_EDGE('',*,*,#180329,.F.); #233926=ORIENTED_EDGE('',*,*,#180330,.F.); #233927=ORIENTED_EDGE('',*,*,#180331,.T.); #233928=ORIENTED_EDGE('',*,*,#180330,.T.); #233929=ORIENTED_EDGE('',*,*,#180332,.F.); #233930=ORIENTED_EDGE('',*,*,#180333,.F.); #233931=ORIENTED_EDGE('',*,*,#180334,.T.); #233932=ORIENTED_EDGE('',*,*,#180333,.T.); #233933=ORIENTED_EDGE('',*,*,#180335,.F.); #233934=ORIENTED_EDGE('',*,*,#180336,.F.); #233935=ORIENTED_EDGE('',*,*,#180337,.T.); #233936=ORIENTED_EDGE('',*,*,#180336,.T.); #233937=ORIENTED_EDGE('',*,*,#180338,.F.); #233938=ORIENTED_EDGE('',*,*,#180339,.F.); #233939=ORIENTED_EDGE('',*,*,#180340,.T.); #233940=ORIENTED_EDGE('',*,*,#180339,.T.); #233941=ORIENTED_EDGE('',*,*,#180341,.F.); #233942=ORIENTED_EDGE('',*,*,#180342,.F.); #233943=ORIENTED_EDGE('',*,*,#180343,.T.); #233944=ORIENTED_EDGE('',*,*,#180342,.T.); #233945=ORIENTED_EDGE('',*,*,#180344,.F.); #233946=ORIENTED_EDGE('',*,*,#180345,.F.); #233947=ORIENTED_EDGE('',*,*,#180346,.T.); #233948=ORIENTED_EDGE('',*,*,#180345,.T.); #233949=ORIENTED_EDGE('',*,*,#180347,.F.); #233950=ORIENTED_EDGE('',*,*,#180348,.F.); #233951=ORIENTED_EDGE('',*,*,#180349,.T.); #233952=ORIENTED_EDGE('',*,*,#180348,.T.); #233953=ORIENTED_EDGE('',*,*,#180350,.F.); #233954=ORIENTED_EDGE('',*,*,#180351,.F.); #233955=ORIENTED_EDGE('',*,*,#180352,.T.); #233956=ORIENTED_EDGE('',*,*,#180351,.T.); #233957=ORIENTED_EDGE('',*,*,#180353,.F.); #233958=ORIENTED_EDGE('',*,*,#180354,.F.); #233959=ORIENTED_EDGE('',*,*,#180355,.T.); #233960=ORIENTED_EDGE('',*,*,#180354,.T.); #233961=ORIENTED_EDGE('',*,*,#180356,.F.); #233962=ORIENTED_EDGE('',*,*,#180357,.F.); #233963=ORIENTED_EDGE('',*,*,#180358,.T.); #233964=ORIENTED_EDGE('',*,*,#180357,.T.); #233965=ORIENTED_EDGE('',*,*,#180359,.F.); #233966=ORIENTED_EDGE('',*,*,#180360,.F.); #233967=ORIENTED_EDGE('',*,*,#180361,.T.); #233968=ORIENTED_EDGE('',*,*,#180360,.T.); #233969=ORIENTED_EDGE('',*,*,#180362,.F.); #233970=ORIENTED_EDGE('',*,*,#180363,.F.); #233971=ORIENTED_EDGE('',*,*,#180364,.T.); #233972=ORIENTED_EDGE('',*,*,#180363,.T.); #233973=ORIENTED_EDGE('',*,*,#180365,.F.); #233974=ORIENTED_EDGE('',*,*,#180366,.F.); #233975=ORIENTED_EDGE('',*,*,#180367,.T.); #233976=ORIENTED_EDGE('',*,*,#180366,.T.); #233977=ORIENTED_EDGE('',*,*,#180368,.F.); #233978=ORIENTED_EDGE('',*,*,#180369,.F.); #233979=ORIENTED_EDGE('',*,*,#180370,.T.); #233980=ORIENTED_EDGE('',*,*,#180369,.T.); #233981=ORIENTED_EDGE('',*,*,#180371,.F.); #233982=ORIENTED_EDGE('',*,*,#180372,.F.); #233983=ORIENTED_EDGE('',*,*,#180373,.T.); #233984=ORIENTED_EDGE('',*,*,#180372,.T.); #233985=ORIENTED_EDGE('',*,*,#180374,.F.); #233986=ORIENTED_EDGE('',*,*,#180375,.F.); #233987=ORIENTED_EDGE('',*,*,#180376,.T.); #233988=ORIENTED_EDGE('',*,*,#180375,.T.); #233989=ORIENTED_EDGE('',*,*,#180377,.F.); #233990=ORIENTED_EDGE('',*,*,#180378,.F.); #233991=ORIENTED_EDGE('',*,*,#180379,.T.); #233992=ORIENTED_EDGE('',*,*,#180378,.T.); #233993=ORIENTED_EDGE('',*,*,#180380,.F.); #233994=ORIENTED_EDGE('',*,*,#180381,.F.); #233995=ORIENTED_EDGE('',*,*,#180382,.T.); #233996=ORIENTED_EDGE('',*,*,#180381,.T.); #233997=ORIENTED_EDGE('',*,*,#180383,.F.); #233998=ORIENTED_EDGE('',*,*,#180384,.F.); #233999=ORIENTED_EDGE('',*,*,#180385,.T.); #234000=ORIENTED_EDGE('',*,*,#180384,.T.); #234001=ORIENTED_EDGE('',*,*,#180386,.F.); #234002=ORIENTED_EDGE('',*,*,#180387,.F.); #234003=ORIENTED_EDGE('',*,*,#180388,.T.); #234004=ORIENTED_EDGE('',*,*,#180387,.T.); #234005=ORIENTED_EDGE('',*,*,#180389,.F.); #234006=ORIENTED_EDGE('',*,*,#180390,.F.); #234007=ORIENTED_EDGE('',*,*,#180391,.T.); #234008=ORIENTED_EDGE('',*,*,#180390,.T.); #234009=ORIENTED_EDGE('',*,*,#180392,.F.); #234010=ORIENTED_EDGE('',*,*,#180393,.F.); #234011=ORIENTED_EDGE('',*,*,#180394,.T.); #234012=ORIENTED_EDGE('',*,*,#180393,.T.); #234013=ORIENTED_EDGE('',*,*,#180395,.F.); #234014=ORIENTED_EDGE('',*,*,#180396,.F.); #234015=ORIENTED_EDGE('',*,*,#180397,.T.); #234016=ORIENTED_EDGE('',*,*,#180396,.T.); #234017=ORIENTED_EDGE('',*,*,#180398,.F.); #234018=ORIENTED_EDGE('',*,*,#180399,.F.); #234019=ORIENTED_EDGE('',*,*,#180400,.T.); #234020=ORIENTED_EDGE('',*,*,#180399,.T.); #234021=ORIENTED_EDGE('',*,*,#180401,.F.); #234022=ORIENTED_EDGE('',*,*,#180402,.F.); #234023=ORIENTED_EDGE('',*,*,#180403,.T.); #234024=ORIENTED_EDGE('',*,*,#180402,.T.); #234025=ORIENTED_EDGE('',*,*,#180404,.F.); #234026=ORIENTED_EDGE('',*,*,#180405,.F.); #234027=ORIENTED_EDGE('',*,*,#180406,.T.); #234028=ORIENTED_EDGE('',*,*,#180405,.T.); #234029=ORIENTED_EDGE('',*,*,#180407,.F.); #234030=ORIENTED_EDGE('',*,*,#180408,.F.); #234031=ORIENTED_EDGE('',*,*,#180409,.T.); #234032=ORIENTED_EDGE('',*,*,#180408,.T.); #234033=ORIENTED_EDGE('',*,*,#180410,.F.); #234034=ORIENTED_EDGE('',*,*,#180411,.F.); #234035=ORIENTED_EDGE('',*,*,#180412,.T.); #234036=ORIENTED_EDGE('',*,*,#180411,.T.); #234037=ORIENTED_EDGE('',*,*,#180413,.F.); #234038=ORIENTED_EDGE('',*,*,#180414,.F.); #234039=ORIENTED_EDGE('',*,*,#180415,.T.); #234040=ORIENTED_EDGE('',*,*,#180414,.T.); #234041=ORIENTED_EDGE('',*,*,#180416,.F.); #234042=ORIENTED_EDGE('',*,*,#180417,.F.); #234043=ORIENTED_EDGE('',*,*,#180418,.T.); #234044=ORIENTED_EDGE('',*,*,#180417,.T.); #234045=ORIENTED_EDGE('',*,*,#180419,.F.); #234046=ORIENTED_EDGE('',*,*,#180420,.F.); #234047=ORIENTED_EDGE('',*,*,#180421,.T.); #234048=ORIENTED_EDGE('',*,*,#180420,.T.); #234049=ORIENTED_EDGE('',*,*,#180422,.F.); #234050=ORIENTED_EDGE('',*,*,#180423,.F.); #234051=ORIENTED_EDGE('',*,*,#180424,.T.); #234052=ORIENTED_EDGE('',*,*,#180423,.T.); #234053=ORIENTED_EDGE('',*,*,#180425,.F.); #234054=ORIENTED_EDGE('',*,*,#180426,.F.); #234055=ORIENTED_EDGE('',*,*,#180427,.T.); #234056=ORIENTED_EDGE('',*,*,#180426,.T.); #234057=ORIENTED_EDGE('',*,*,#180428,.F.); #234058=ORIENTED_EDGE('',*,*,#180429,.F.); #234059=ORIENTED_EDGE('',*,*,#180430,.T.); #234060=ORIENTED_EDGE('',*,*,#180429,.T.); #234061=ORIENTED_EDGE('',*,*,#180431,.F.); #234062=ORIENTED_EDGE('',*,*,#180432,.F.); #234063=ORIENTED_EDGE('',*,*,#180433,.T.); #234064=ORIENTED_EDGE('',*,*,#180432,.T.); #234065=ORIENTED_EDGE('',*,*,#180434,.F.); #234066=ORIENTED_EDGE('',*,*,#180435,.F.); #234067=ORIENTED_EDGE('',*,*,#180436,.T.); #234068=ORIENTED_EDGE('',*,*,#180435,.T.); #234069=ORIENTED_EDGE('',*,*,#180437,.F.); #234070=ORIENTED_EDGE('',*,*,#180438,.F.); #234071=ORIENTED_EDGE('',*,*,#180439,.T.); #234072=ORIENTED_EDGE('',*,*,#180438,.T.); #234073=ORIENTED_EDGE('',*,*,#180440,.F.); #234074=ORIENTED_EDGE('',*,*,#180441,.F.); #234075=ORIENTED_EDGE('',*,*,#180442,.T.); #234076=ORIENTED_EDGE('',*,*,#180441,.T.); #234077=ORIENTED_EDGE('',*,*,#180443,.F.); #234078=ORIENTED_EDGE('',*,*,#180444,.F.); #234079=ORIENTED_EDGE('',*,*,#180445,.T.); #234080=ORIENTED_EDGE('',*,*,#180444,.T.); #234081=ORIENTED_EDGE('',*,*,#180446,.F.); #234082=ORIENTED_EDGE('',*,*,#180447,.F.); #234083=ORIENTED_EDGE('',*,*,#180448,.T.); #234084=ORIENTED_EDGE('',*,*,#180447,.T.); #234085=ORIENTED_EDGE('',*,*,#180449,.F.); #234086=ORIENTED_EDGE('',*,*,#180450,.F.); #234087=ORIENTED_EDGE('',*,*,#180451,.T.); #234088=ORIENTED_EDGE('',*,*,#180450,.T.); #234089=ORIENTED_EDGE('',*,*,#180452,.F.); #234090=ORIENTED_EDGE('',*,*,#180453,.F.); #234091=ORIENTED_EDGE('',*,*,#180454,.T.); #234092=ORIENTED_EDGE('',*,*,#180453,.T.); #234093=ORIENTED_EDGE('',*,*,#180455,.F.); #234094=ORIENTED_EDGE('',*,*,#180456,.F.); #234095=ORIENTED_EDGE('',*,*,#180457,.T.); #234096=ORIENTED_EDGE('',*,*,#180456,.T.); #234097=ORIENTED_EDGE('',*,*,#180458,.F.); #234098=ORIENTED_EDGE('',*,*,#180459,.F.); #234099=ORIENTED_EDGE('',*,*,#180460,.T.); #234100=ORIENTED_EDGE('',*,*,#180459,.T.); #234101=ORIENTED_EDGE('',*,*,#180461,.F.); #234102=ORIENTED_EDGE('',*,*,#180462,.F.); #234103=ORIENTED_EDGE('',*,*,#180463,.T.); #234104=ORIENTED_EDGE('',*,*,#180462,.T.); #234105=ORIENTED_EDGE('',*,*,#180464,.F.); #234106=ORIENTED_EDGE('',*,*,#180465,.F.); #234107=ORIENTED_EDGE('',*,*,#180466,.T.); #234108=ORIENTED_EDGE('',*,*,#180465,.T.); #234109=ORIENTED_EDGE('',*,*,#180467,.F.); #234110=ORIENTED_EDGE('',*,*,#180468,.F.); #234111=ORIENTED_EDGE('',*,*,#180469,.T.); #234112=ORIENTED_EDGE('',*,*,#180468,.T.); #234113=ORIENTED_EDGE('',*,*,#180470,.F.); #234114=ORIENTED_EDGE('',*,*,#180471,.F.); #234115=ORIENTED_EDGE('',*,*,#180472,.T.); #234116=ORIENTED_EDGE('',*,*,#180471,.T.); #234117=ORIENTED_EDGE('',*,*,#180473,.F.); #234118=ORIENTED_EDGE('',*,*,#180474,.F.); #234119=ORIENTED_EDGE('',*,*,#180475,.T.); #234120=ORIENTED_EDGE('',*,*,#180474,.T.); #234121=ORIENTED_EDGE('',*,*,#180476,.F.); #234122=ORIENTED_EDGE('',*,*,#180477,.F.); #234123=ORIENTED_EDGE('',*,*,#180478,.T.); #234124=ORIENTED_EDGE('',*,*,#180477,.T.); #234125=ORIENTED_EDGE('',*,*,#180479,.F.); #234126=ORIENTED_EDGE('',*,*,#180480,.F.); #234127=ORIENTED_EDGE('',*,*,#180481,.T.); #234128=ORIENTED_EDGE('',*,*,#180480,.T.); #234129=ORIENTED_EDGE('',*,*,#180482,.F.); #234130=ORIENTED_EDGE('',*,*,#180483,.F.); #234131=ORIENTED_EDGE('',*,*,#180484,.T.); #234132=ORIENTED_EDGE('',*,*,#180483,.T.); #234133=ORIENTED_EDGE('',*,*,#180485,.F.); #234134=ORIENTED_EDGE('',*,*,#180486,.F.); #234135=ORIENTED_EDGE('',*,*,#180487,.T.); #234136=ORIENTED_EDGE('',*,*,#180486,.T.); #234137=ORIENTED_EDGE('',*,*,#180488,.F.); #234138=ORIENTED_EDGE('',*,*,#180489,.F.); #234139=ORIENTED_EDGE('',*,*,#180490,.T.); #234140=ORIENTED_EDGE('',*,*,#180489,.T.); #234141=ORIENTED_EDGE('',*,*,#180491,.F.); #234142=ORIENTED_EDGE('',*,*,#180492,.F.); #234143=ORIENTED_EDGE('',*,*,#180493,.T.); #234144=ORIENTED_EDGE('',*,*,#180492,.T.); #234145=ORIENTED_EDGE('',*,*,#180494,.F.); #234146=ORIENTED_EDGE('',*,*,#180495,.F.); #234147=ORIENTED_EDGE('',*,*,#180496,.T.); #234148=ORIENTED_EDGE('',*,*,#180495,.T.); #234149=ORIENTED_EDGE('',*,*,#180497,.F.); #234150=ORIENTED_EDGE('',*,*,#180498,.F.); #234151=ORIENTED_EDGE('',*,*,#180499,.T.); #234152=ORIENTED_EDGE('',*,*,#180498,.T.); #234153=ORIENTED_EDGE('',*,*,#180500,.F.); #234154=ORIENTED_EDGE('',*,*,#180501,.F.); #234155=ORIENTED_EDGE('',*,*,#180502,.T.); #234156=ORIENTED_EDGE('',*,*,#180501,.T.); #234157=ORIENTED_EDGE('',*,*,#180503,.F.); #234158=ORIENTED_EDGE('',*,*,#180504,.F.); #234159=ORIENTED_EDGE('',*,*,#180505,.T.); #234160=ORIENTED_EDGE('',*,*,#180504,.T.); #234161=ORIENTED_EDGE('',*,*,#180506,.F.); #234162=ORIENTED_EDGE('',*,*,#180507,.F.); #234163=ORIENTED_EDGE('',*,*,#180508,.T.); #234164=ORIENTED_EDGE('',*,*,#180507,.T.); #234165=ORIENTED_EDGE('',*,*,#180509,.F.); #234166=ORIENTED_EDGE('',*,*,#180510,.F.); #234167=ORIENTED_EDGE('',*,*,#180511,.T.); #234168=ORIENTED_EDGE('',*,*,#180510,.T.); #234169=ORIENTED_EDGE('',*,*,#180512,.F.); #234170=ORIENTED_EDGE('',*,*,#180513,.F.); #234171=ORIENTED_EDGE('',*,*,#180514,.T.); #234172=ORIENTED_EDGE('',*,*,#180513,.T.); #234173=ORIENTED_EDGE('',*,*,#180515,.F.); #234174=ORIENTED_EDGE('',*,*,#180516,.F.); #234175=ORIENTED_EDGE('',*,*,#180517,.T.); #234176=ORIENTED_EDGE('',*,*,#180516,.T.); #234177=ORIENTED_EDGE('',*,*,#180518,.F.); #234178=ORIENTED_EDGE('',*,*,#180519,.F.); #234179=ORIENTED_EDGE('',*,*,#180520,.T.); #234180=ORIENTED_EDGE('',*,*,#180519,.T.); #234181=ORIENTED_EDGE('',*,*,#180521,.F.); #234182=ORIENTED_EDGE('',*,*,#180522,.F.); #234183=ORIENTED_EDGE('',*,*,#180523,.T.); #234184=ORIENTED_EDGE('',*,*,#180522,.T.); #234185=ORIENTED_EDGE('',*,*,#180524,.F.); #234186=ORIENTED_EDGE('',*,*,#180525,.F.); #234187=ORIENTED_EDGE('',*,*,#180526,.T.); #234188=ORIENTED_EDGE('',*,*,#180525,.T.); #234189=ORIENTED_EDGE('',*,*,#180527,.F.); #234190=ORIENTED_EDGE('',*,*,#180528,.F.); #234191=ORIENTED_EDGE('',*,*,#180529,.T.); #234192=ORIENTED_EDGE('',*,*,#180528,.T.); #234193=ORIENTED_EDGE('',*,*,#180530,.F.); #234194=ORIENTED_EDGE('',*,*,#180531,.F.); #234195=ORIENTED_EDGE('',*,*,#180532,.T.); #234196=ORIENTED_EDGE('',*,*,#180531,.T.); #234197=ORIENTED_EDGE('',*,*,#180533,.F.); #234198=ORIENTED_EDGE('',*,*,#180534,.F.); #234199=ORIENTED_EDGE('',*,*,#180535,.T.); #234200=ORIENTED_EDGE('',*,*,#180534,.T.); #234201=ORIENTED_EDGE('',*,*,#180536,.F.); #234202=ORIENTED_EDGE('',*,*,#180537,.F.); #234203=ORIENTED_EDGE('',*,*,#180538,.T.); #234204=ORIENTED_EDGE('',*,*,#180537,.T.); #234205=ORIENTED_EDGE('',*,*,#180539,.F.); #234206=ORIENTED_EDGE('',*,*,#180540,.F.); #234207=ORIENTED_EDGE('',*,*,#180541,.T.); #234208=ORIENTED_EDGE('',*,*,#180540,.T.); #234209=ORIENTED_EDGE('',*,*,#180542,.F.); #234210=ORIENTED_EDGE('',*,*,#180543,.F.); #234211=ORIENTED_EDGE('',*,*,#180544,.T.); #234212=ORIENTED_EDGE('',*,*,#180543,.T.); #234213=ORIENTED_EDGE('',*,*,#180545,.F.); #234214=ORIENTED_EDGE('',*,*,#180546,.F.); #234215=ORIENTED_EDGE('',*,*,#180547,.T.); #234216=ORIENTED_EDGE('',*,*,#180546,.T.); #234217=ORIENTED_EDGE('',*,*,#180548,.F.); #234218=ORIENTED_EDGE('',*,*,#180549,.F.); #234219=ORIENTED_EDGE('',*,*,#180550,.T.); #234220=ORIENTED_EDGE('',*,*,#180549,.T.); #234221=ORIENTED_EDGE('',*,*,#180551,.F.); #234222=ORIENTED_EDGE('',*,*,#180552,.F.); #234223=ORIENTED_EDGE('',*,*,#180553,.T.); #234224=ORIENTED_EDGE('',*,*,#180552,.T.); #234225=ORIENTED_EDGE('',*,*,#180554,.F.); #234226=ORIENTED_EDGE('',*,*,#180555,.F.); #234227=ORIENTED_EDGE('',*,*,#180556,.T.); #234228=ORIENTED_EDGE('',*,*,#180555,.T.); #234229=ORIENTED_EDGE('',*,*,#180557,.F.); #234230=ORIENTED_EDGE('',*,*,#180558,.F.); #234231=ORIENTED_EDGE('',*,*,#180559,.T.); #234232=ORIENTED_EDGE('',*,*,#180558,.T.); #234233=ORIENTED_EDGE('',*,*,#180560,.F.); #234234=ORIENTED_EDGE('',*,*,#180561,.F.); #234235=ORIENTED_EDGE('',*,*,#180562,.T.); #234236=ORIENTED_EDGE('',*,*,#180561,.T.); #234237=ORIENTED_EDGE('',*,*,#180563,.F.); #234238=ORIENTED_EDGE('',*,*,#180564,.F.); #234239=ORIENTED_EDGE('',*,*,#180565,.T.); #234240=ORIENTED_EDGE('',*,*,#180564,.T.); #234241=ORIENTED_EDGE('',*,*,#180566,.F.); #234242=ORIENTED_EDGE('',*,*,#180567,.F.); #234243=ORIENTED_EDGE('',*,*,#180568,.T.); #234244=ORIENTED_EDGE('',*,*,#180567,.T.); #234245=ORIENTED_EDGE('',*,*,#180569,.F.); #234246=ORIENTED_EDGE('',*,*,#180570,.F.); #234247=ORIENTED_EDGE('',*,*,#180571,.T.); #234248=ORIENTED_EDGE('',*,*,#180570,.T.); #234249=ORIENTED_EDGE('',*,*,#180572,.F.); #234250=ORIENTED_EDGE('',*,*,#180573,.F.); #234251=ORIENTED_EDGE('',*,*,#180574,.T.); #234252=ORIENTED_EDGE('',*,*,#180573,.T.); #234253=ORIENTED_EDGE('',*,*,#180575,.F.); #234254=ORIENTED_EDGE('',*,*,#180576,.F.); #234255=ORIENTED_EDGE('',*,*,#180577,.T.); #234256=ORIENTED_EDGE('',*,*,#180576,.T.); #234257=ORIENTED_EDGE('',*,*,#180578,.F.); #234258=ORIENTED_EDGE('',*,*,#180579,.F.); #234259=ORIENTED_EDGE('',*,*,#180580,.T.); #234260=ORIENTED_EDGE('',*,*,#180579,.T.); #234261=ORIENTED_EDGE('',*,*,#180581,.F.); #234262=ORIENTED_EDGE('',*,*,#180582,.F.); #234263=ORIENTED_EDGE('',*,*,#180583,.T.); #234264=ORIENTED_EDGE('',*,*,#180582,.T.); #234265=ORIENTED_EDGE('',*,*,#180584,.F.); #234266=ORIENTED_EDGE('',*,*,#180585,.F.); #234267=ORIENTED_EDGE('',*,*,#180586,.T.); #234268=ORIENTED_EDGE('',*,*,#180585,.T.); #234269=ORIENTED_EDGE('',*,*,#180587,.F.); #234270=ORIENTED_EDGE('',*,*,#180588,.F.); #234271=ORIENTED_EDGE('',*,*,#180589,.T.); #234272=ORIENTED_EDGE('',*,*,#180588,.T.); #234273=ORIENTED_EDGE('',*,*,#180590,.F.); #234274=ORIENTED_EDGE('',*,*,#180591,.F.); #234275=ORIENTED_EDGE('',*,*,#180592,.T.); #234276=ORIENTED_EDGE('',*,*,#180591,.T.); #234277=ORIENTED_EDGE('',*,*,#180593,.F.); #234278=ORIENTED_EDGE('',*,*,#180594,.F.); #234279=ORIENTED_EDGE('',*,*,#180595,.T.); #234280=ORIENTED_EDGE('',*,*,#180594,.T.); #234281=ORIENTED_EDGE('',*,*,#180596,.F.); #234282=ORIENTED_EDGE('',*,*,#180597,.F.); #234283=ORIENTED_EDGE('',*,*,#180598,.T.); #234284=ORIENTED_EDGE('',*,*,#180597,.T.); #234285=ORIENTED_EDGE('',*,*,#180599,.F.); #234286=ORIENTED_EDGE('',*,*,#180600,.F.); #234287=ORIENTED_EDGE('',*,*,#180601,.T.); #234288=ORIENTED_EDGE('',*,*,#180600,.T.); #234289=ORIENTED_EDGE('',*,*,#180602,.F.); #234290=ORIENTED_EDGE('',*,*,#180603,.F.); #234291=ORIENTED_EDGE('',*,*,#180604,.T.); #234292=ORIENTED_EDGE('',*,*,#180603,.T.); #234293=ORIENTED_EDGE('',*,*,#180605,.F.); #234294=ORIENTED_EDGE('',*,*,#180606,.F.); #234295=ORIENTED_EDGE('',*,*,#180607,.T.); #234296=ORIENTED_EDGE('',*,*,#180606,.T.); #234297=ORIENTED_EDGE('',*,*,#180608,.F.); #234298=ORIENTED_EDGE('',*,*,#180609,.F.); #234299=ORIENTED_EDGE('',*,*,#180610,.T.); #234300=ORIENTED_EDGE('',*,*,#180609,.T.); #234301=ORIENTED_EDGE('',*,*,#180611,.F.); #234302=ORIENTED_EDGE('',*,*,#180612,.F.); #234303=ORIENTED_EDGE('',*,*,#180613,.T.); #234304=ORIENTED_EDGE('',*,*,#180612,.T.); #234305=ORIENTED_EDGE('',*,*,#180614,.F.); #234306=ORIENTED_EDGE('',*,*,#180615,.F.); #234307=ORIENTED_EDGE('',*,*,#180616,.T.); #234308=ORIENTED_EDGE('',*,*,#180615,.T.); #234309=ORIENTED_EDGE('',*,*,#180617,.F.); #234310=ORIENTED_EDGE('',*,*,#180618,.F.); #234311=ORIENTED_EDGE('',*,*,#180619,.T.); #234312=ORIENTED_EDGE('',*,*,#180618,.T.); #234313=ORIENTED_EDGE('',*,*,#180620,.F.); #234314=ORIENTED_EDGE('',*,*,#180621,.F.); #234315=ORIENTED_EDGE('',*,*,#180622,.T.); #234316=ORIENTED_EDGE('',*,*,#180621,.T.); #234317=ORIENTED_EDGE('',*,*,#180623,.F.); #234318=ORIENTED_EDGE('',*,*,#180624,.F.); #234319=ORIENTED_EDGE('',*,*,#180625,.T.); #234320=ORIENTED_EDGE('',*,*,#180624,.T.); #234321=ORIENTED_EDGE('',*,*,#180626,.F.); #234322=ORIENTED_EDGE('',*,*,#180627,.F.); #234323=ORIENTED_EDGE('',*,*,#180628,.T.); #234324=ORIENTED_EDGE('',*,*,#180627,.T.); #234325=ORIENTED_EDGE('',*,*,#180629,.F.); #234326=ORIENTED_EDGE('',*,*,#180630,.F.); #234327=ORIENTED_EDGE('',*,*,#180631,.T.); #234328=ORIENTED_EDGE('',*,*,#180630,.T.); #234329=ORIENTED_EDGE('',*,*,#180632,.F.); #234330=ORIENTED_EDGE('',*,*,#180633,.F.); #234331=ORIENTED_EDGE('',*,*,#180634,.T.); #234332=ORIENTED_EDGE('',*,*,#180633,.T.); #234333=ORIENTED_EDGE('',*,*,#180635,.F.); #234334=ORIENTED_EDGE('',*,*,#180636,.F.); #234335=ORIENTED_EDGE('',*,*,#180637,.T.); #234336=ORIENTED_EDGE('',*,*,#180636,.T.); #234337=ORIENTED_EDGE('',*,*,#180638,.F.); #234338=ORIENTED_EDGE('',*,*,#180639,.F.); #234339=ORIENTED_EDGE('',*,*,#180640,.T.); #234340=ORIENTED_EDGE('',*,*,#180639,.T.); #234341=ORIENTED_EDGE('',*,*,#180641,.F.); #234342=ORIENTED_EDGE('',*,*,#180642,.F.); #234343=ORIENTED_EDGE('',*,*,#180643,.T.); #234344=ORIENTED_EDGE('',*,*,#180642,.T.); #234345=ORIENTED_EDGE('',*,*,#180644,.F.); #234346=ORIENTED_EDGE('',*,*,#180645,.F.); #234347=ORIENTED_EDGE('',*,*,#180646,.T.); #234348=ORIENTED_EDGE('',*,*,#180645,.T.); #234349=ORIENTED_EDGE('',*,*,#180647,.F.); #234350=ORIENTED_EDGE('',*,*,#180648,.F.); #234351=ORIENTED_EDGE('',*,*,#180649,.T.); #234352=ORIENTED_EDGE('',*,*,#180648,.T.); #234353=ORIENTED_EDGE('',*,*,#180650,.F.); #234354=ORIENTED_EDGE('',*,*,#180651,.F.); #234355=ORIENTED_EDGE('',*,*,#180652,.T.); #234356=ORIENTED_EDGE('',*,*,#180651,.T.); #234357=ORIENTED_EDGE('',*,*,#180653,.F.); #234358=ORIENTED_EDGE('',*,*,#180654,.F.); #234359=ORIENTED_EDGE('',*,*,#180655,.T.); #234360=ORIENTED_EDGE('',*,*,#180654,.T.); #234361=ORIENTED_EDGE('',*,*,#180656,.F.); #234362=ORIENTED_EDGE('',*,*,#180657,.F.); #234363=ORIENTED_EDGE('',*,*,#180658,.T.); #234364=ORIENTED_EDGE('',*,*,#180657,.T.); #234365=ORIENTED_EDGE('',*,*,#180659,.F.); #234366=ORIENTED_EDGE('',*,*,#180660,.F.); #234367=ORIENTED_EDGE('',*,*,#180661,.T.); #234368=ORIENTED_EDGE('',*,*,#180660,.T.); #234369=ORIENTED_EDGE('',*,*,#180662,.F.); #234370=ORIENTED_EDGE('',*,*,#180663,.F.); #234371=ORIENTED_EDGE('',*,*,#180664,.T.); #234372=ORIENTED_EDGE('',*,*,#180663,.T.); #234373=ORIENTED_EDGE('',*,*,#180665,.F.); #234374=ORIENTED_EDGE('',*,*,#180666,.F.); #234375=ORIENTED_EDGE('',*,*,#180667,.T.); #234376=ORIENTED_EDGE('',*,*,#180666,.T.); #234377=ORIENTED_EDGE('',*,*,#180668,.F.); #234378=ORIENTED_EDGE('',*,*,#180669,.F.); #234379=ORIENTED_EDGE('',*,*,#180670,.T.); #234380=ORIENTED_EDGE('',*,*,#180669,.T.); #234381=ORIENTED_EDGE('',*,*,#180671,.F.); #234382=ORIENTED_EDGE('',*,*,#180672,.F.); #234383=ORIENTED_EDGE('',*,*,#180673,.T.); #234384=ORIENTED_EDGE('',*,*,#180672,.T.); #234385=ORIENTED_EDGE('',*,*,#180674,.F.); #234386=ORIENTED_EDGE('',*,*,#180675,.F.); #234387=ORIENTED_EDGE('',*,*,#180676,.T.); #234388=ORIENTED_EDGE('',*,*,#180675,.T.); #234389=ORIENTED_EDGE('',*,*,#180677,.F.); #234390=ORIENTED_EDGE('',*,*,#180678,.F.); #234391=ORIENTED_EDGE('',*,*,#180679,.T.); #234392=ORIENTED_EDGE('',*,*,#180678,.T.); #234393=ORIENTED_EDGE('',*,*,#180680,.F.); #234394=ORIENTED_EDGE('',*,*,#180681,.F.); #234395=ORIENTED_EDGE('',*,*,#180682,.T.); #234396=ORIENTED_EDGE('',*,*,#180681,.T.); #234397=ORIENTED_EDGE('',*,*,#180683,.F.); #234398=ORIENTED_EDGE('',*,*,#180684,.F.); #234399=ORIENTED_EDGE('',*,*,#180685,.T.); #234400=ORIENTED_EDGE('',*,*,#180684,.T.); #234401=ORIENTED_EDGE('',*,*,#180686,.F.); #234402=ORIENTED_EDGE('',*,*,#180687,.F.); #234403=ORIENTED_EDGE('',*,*,#180688,.T.); #234404=ORIENTED_EDGE('',*,*,#180687,.T.); #234405=ORIENTED_EDGE('',*,*,#180689,.F.); #234406=ORIENTED_EDGE('',*,*,#180690,.F.); #234407=ORIENTED_EDGE('',*,*,#180691,.T.); #234408=ORIENTED_EDGE('',*,*,#180690,.T.); #234409=ORIENTED_EDGE('',*,*,#180692,.F.); #234410=ORIENTED_EDGE('',*,*,#180693,.F.); #234411=ORIENTED_EDGE('',*,*,#180694,.T.); #234412=ORIENTED_EDGE('',*,*,#180693,.T.); #234413=ORIENTED_EDGE('',*,*,#180695,.F.); #234414=ORIENTED_EDGE('',*,*,#180696,.F.); #234415=ORIENTED_EDGE('',*,*,#180697,.T.); #234416=ORIENTED_EDGE('',*,*,#180696,.T.); #234417=ORIENTED_EDGE('',*,*,#180698,.F.); #234418=ORIENTED_EDGE('',*,*,#180699,.F.); #234419=ORIENTED_EDGE('',*,*,#180700,.T.); #234420=ORIENTED_EDGE('',*,*,#180699,.T.); #234421=ORIENTED_EDGE('',*,*,#180701,.F.); #234422=ORIENTED_EDGE('',*,*,#180702,.F.); #234423=ORIENTED_EDGE('',*,*,#180703,.T.); #234424=ORIENTED_EDGE('',*,*,#180702,.T.); #234425=ORIENTED_EDGE('',*,*,#180704,.F.); #234426=ORIENTED_EDGE('',*,*,#180705,.F.); #234427=ORIENTED_EDGE('',*,*,#180706,.T.); #234428=ORIENTED_EDGE('',*,*,#180705,.T.); #234429=ORIENTED_EDGE('',*,*,#180707,.F.); #234430=ORIENTED_EDGE('',*,*,#180708,.F.); #234431=ORIENTED_EDGE('',*,*,#180709,.T.); #234432=ORIENTED_EDGE('',*,*,#180708,.T.); #234433=ORIENTED_EDGE('',*,*,#180710,.F.); #234434=ORIENTED_EDGE('',*,*,#180711,.F.); #234435=ORIENTED_EDGE('',*,*,#180712,.T.); #234436=ORIENTED_EDGE('',*,*,#180711,.T.); #234437=ORIENTED_EDGE('',*,*,#180713,.F.); #234438=ORIENTED_EDGE('',*,*,#180714,.F.); #234439=ORIENTED_EDGE('',*,*,#180715,.T.); #234440=ORIENTED_EDGE('',*,*,#180714,.T.); #234441=ORIENTED_EDGE('',*,*,#180716,.F.); #234442=ORIENTED_EDGE('',*,*,#180717,.F.); #234443=ORIENTED_EDGE('',*,*,#180718,.T.); #234444=ORIENTED_EDGE('',*,*,#180717,.T.); #234445=ORIENTED_EDGE('',*,*,#180719,.F.); #234446=ORIENTED_EDGE('',*,*,#180720,.F.); #234447=ORIENTED_EDGE('',*,*,#180721,.T.); #234448=ORIENTED_EDGE('',*,*,#180720,.T.); #234449=ORIENTED_EDGE('',*,*,#180722,.F.); #234450=ORIENTED_EDGE('',*,*,#180723,.F.); #234451=ORIENTED_EDGE('',*,*,#180724,.T.); #234452=ORIENTED_EDGE('',*,*,#180723,.T.); #234453=ORIENTED_EDGE('',*,*,#180725,.F.); #234454=ORIENTED_EDGE('',*,*,#180726,.F.); #234455=ORIENTED_EDGE('',*,*,#180727,.T.); #234456=ORIENTED_EDGE('',*,*,#180726,.T.); #234457=ORIENTED_EDGE('',*,*,#180728,.F.); #234458=ORIENTED_EDGE('',*,*,#180729,.F.); #234459=ORIENTED_EDGE('',*,*,#180730,.T.); #234460=ORIENTED_EDGE('',*,*,#180729,.T.); #234461=ORIENTED_EDGE('',*,*,#180731,.F.); #234462=ORIENTED_EDGE('',*,*,#180732,.F.); #234463=ORIENTED_EDGE('',*,*,#180733,.T.); #234464=ORIENTED_EDGE('',*,*,#180732,.T.); #234465=ORIENTED_EDGE('',*,*,#180734,.F.); #234466=ORIENTED_EDGE('',*,*,#179002,.F.); #234467=ORIENTED_EDGE('',*,*,#180735,.T.); #234468=ORIENTED_EDGE('',*,*,#180736,.T.); #234469=ORIENTED_EDGE('',*,*,#180737,.F.); #234470=ORIENTED_EDGE('',*,*,#180738,.F.); #234471=ORIENTED_EDGE('',*,*,#180739,.T.); #234472=ORIENTED_EDGE('',*,*,#180738,.T.); #234473=ORIENTED_EDGE('',*,*,#180740,.F.); #234474=ORIENTED_EDGE('',*,*,#180741,.F.); #234475=ORIENTED_EDGE('',*,*,#180742,.T.); #234476=ORIENTED_EDGE('',*,*,#180741,.T.); #234477=ORIENTED_EDGE('',*,*,#180743,.F.); #234478=ORIENTED_EDGE('',*,*,#180744,.F.); #234479=ORIENTED_EDGE('',*,*,#180745,.T.); #234480=ORIENTED_EDGE('',*,*,#180744,.T.); #234481=ORIENTED_EDGE('',*,*,#180746,.F.); #234482=ORIENTED_EDGE('',*,*,#180747,.F.); #234483=ORIENTED_EDGE('',*,*,#180748,.T.); #234484=ORIENTED_EDGE('',*,*,#180747,.T.); #234485=ORIENTED_EDGE('',*,*,#180749,.F.); #234486=ORIENTED_EDGE('',*,*,#180750,.F.); #234487=ORIENTED_EDGE('',*,*,#180751,.T.); #234488=ORIENTED_EDGE('',*,*,#180750,.T.); #234489=ORIENTED_EDGE('',*,*,#180752,.F.); #234490=ORIENTED_EDGE('',*,*,#180753,.F.); #234491=ORIENTED_EDGE('',*,*,#180754,.T.); #234492=ORIENTED_EDGE('',*,*,#180753,.T.); #234493=ORIENTED_EDGE('',*,*,#180755,.F.); #234494=ORIENTED_EDGE('',*,*,#180756,.F.); #234495=ORIENTED_EDGE('',*,*,#180757,.T.); #234496=ORIENTED_EDGE('',*,*,#180756,.T.); #234497=ORIENTED_EDGE('',*,*,#180758,.F.); #234498=ORIENTED_EDGE('',*,*,#180759,.F.); #234499=ORIENTED_EDGE('',*,*,#180760,.T.); #234500=ORIENTED_EDGE('',*,*,#180759,.T.); #234501=ORIENTED_EDGE('',*,*,#180761,.F.); #234502=ORIENTED_EDGE('',*,*,#180762,.F.); #234503=ORIENTED_EDGE('',*,*,#180763,.T.); #234504=ORIENTED_EDGE('',*,*,#180762,.T.); #234505=ORIENTED_EDGE('',*,*,#180764,.F.); #234506=ORIENTED_EDGE('',*,*,#180765,.F.); #234507=ORIENTED_EDGE('',*,*,#180766,.T.); #234508=ORIENTED_EDGE('',*,*,#180765,.T.); #234509=ORIENTED_EDGE('',*,*,#180767,.F.); #234510=ORIENTED_EDGE('',*,*,#180768,.F.); #234511=ORIENTED_EDGE('',*,*,#180769,.T.); #234512=ORIENTED_EDGE('',*,*,#180768,.T.); #234513=ORIENTED_EDGE('',*,*,#180770,.F.); #234514=ORIENTED_EDGE('',*,*,#180771,.F.); #234515=ORIENTED_EDGE('',*,*,#180772,.T.); #234516=ORIENTED_EDGE('',*,*,#180771,.T.); #234517=ORIENTED_EDGE('',*,*,#180773,.F.); #234518=ORIENTED_EDGE('',*,*,#180774,.F.); #234519=ORIENTED_EDGE('',*,*,#180775,.T.); #234520=ORIENTED_EDGE('',*,*,#180774,.T.); #234521=ORIENTED_EDGE('',*,*,#180776,.F.); #234522=ORIENTED_EDGE('',*,*,#180777,.F.); #234523=ORIENTED_EDGE('',*,*,#180778,.T.); #234524=ORIENTED_EDGE('',*,*,#180777,.T.); #234525=ORIENTED_EDGE('',*,*,#180779,.F.); #234526=ORIENTED_EDGE('',*,*,#180780,.F.); #234527=ORIENTED_EDGE('',*,*,#180781,.T.); #234528=ORIENTED_EDGE('',*,*,#180780,.T.); #234529=ORIENTED_EDGE('',*,*,#180782,.F.); #234530=ORIENTED_EDGE('',*,*,#180783,.F.); #234531=ORIENTED_EDGE('',*,*,#180784,.T.); #234532=ORIENTED_EDGE('',*,*,#180783,.T.); #234533=ORIENTED_EDGE('',*,*,#180785,.F.); #234534=ORIENTED_EDGE('',*,*,#180786,.F.); #234535=ORIENTED_EDGE('',*,*,#180787,.T.); #234536=ORIENTED_EDGE('',*,*,#180786,.T.); #234537=ORIENTED_EDGE('',*,*,#180788,.F.); #234538=ORIENTED_EDGE('',*,*,#180789,.F.); #234539=ORIENTED_EDGE('',*,*,#180790,.T.); #234540=ORIENTED_EDGE('',*,*,#180789,.T.); #234541=ORIENTED_EDGE('',*,*,#180791,.F.); #234542=ORIENTED_EDGE('',*,*,#180792,.F.); #234543=ORIENTED_EDGE('',*,*,#180793,.T.); #234544=ORIENTED_EDGE('',*,*,#180792,.T.); #234545=ORIENTED_EDGE('',*,*,#180794,.F.); #234546=ORIENTED_EDGE('',*,*,#180795,.F.); #234547=ORIENTED_EDGE('',*,*,#180796,.T.); #234548=ORIENTED_EDGE('',*,*,#180795,.T.); #234549=ORIENTED_EDGE('',*,*,#180797,.F.); #234550=ORIENTED_EDGE('',*,*,#180798,.F.); #234551=ORIENTED_EDGE('',*,*,#180799,.T.); #234552=ORIENTED_EDGE('',*,*,#180798,.T.); #234553=ORIENTED_EDGE('',*,*,#180800,.F.); #234554=ORIENTED_EDGE('',*,*,#180801,.F.); #234555=ORIENTED_EDGE('',*,*,#180802,.T.); #234556=ORIENTED_EDGE('',*,*,#180801,.T.); #234557=ORIENTED_EDGE('',*,*,#180803,.F.); #234558=ORIENTED_EDGE('',*,*,#180804,.F.); #234559=ORIENTED_EDGE('',*,*,#180805,.T.); #234560=ORIENTED_EDGE('',*,*,#180804,.T.); #234561=ORIENTED_EDGE('',*,*,#180806,.F.); #234562=ORIENTED_EDGE('',*,*,#180807,.F.); #234563=ORIENTED_EDGE('',*,*,#180808,.T.); #234564=ORIENTED_EDGE('',*,*,#180807,.T.); #234565=ORIENTED_EDGE('',*,*,#180809,.F.); #234566=ORIENTED_EDGE('',*,*,#180810,.F.); #234567=ORIENTED_EDGE('',*,*,#180811,.T.); #234568=ORIENTED_EDGE('',*,*,#180810,.T.); #234569=ORIENTED_EDGE('',*,*,#180812,.F.); #234570=ORIENTED_EDGE('',*,*,#180813,.F.); #234571=ORIENTED_EDGE('',*,*,#180814,.T.); #234572=ORIENTED_EDGE('',*,*,#180813,.T.); #234573=ORIENTED_EDGE('',*,*,#180815,.F.); #234574=ORIENTED_EDGE('',*,*,#180816,.F.); #234575=ORIENTED_EDGE('',*,*,#180817,.T.); #234576=ORIENTED_EDGE('',*,*,#180816,.T.); #234577=ORIENTED_EDGE('',*,*,#180818,.F.); #234578=ORIENTED_EDGE('',*,*,#180819,.F.); #234579=ORIENTED_EDGE('',*,*,#180820,.T.); #234580=ORIENTED_EDGE('',*,*,#180819,.T.); #234581=ORIENTED_EDGE('',*,*,#180821,.F.); #234582=ORIENTED_EDGE('',*,*,#180822,.F.); #234583=ORIENTED_EDGE('',*,*,#180823,.T.); #234584=ORIENTED_EDGE('',*,*,#180822,.T.); #234585=ORIENTED_EDGE('',*,*,#180824,.F.); #234586=ORIENTED_EDGE('',*,*,#180825,.F.); #234587=ORIENTED_EDGE('',*,*,#180826,.T.); #234588=ORIENTED_EDGE('',*,*,#180825,.T.); #234589=ORIENTED_EDGE('',*,*,#180827,.F.); #234590=ORIENTED_EDGE('',*,*,#180828,.F.); #234591=ORIENTED_EDGE('',*,*,#180829,.T.); #234592=ORIENTED_EDGE('',*,*,#180828,.T.); #234593=ORIENTED_EDGE('',*,*,#180830,.F.); #234594=ORIENTED_EDGE('',*,*,#180831,.F.); #234595=ORIENTED_EDGE('',*,*,#180832,.T.); #234596=ORIENTED_EDGE('',*,*,#180831,.T.); #234597=ORIENTED_EDGE('',*,*,#180833,.F.); #234598=ORIENTED_EDGE('',*,*,#180834,.F.); #234599=ORIENTED_EDGE('',*,*,#180835,.T.); #234600=ORIENTED_EDGE('',*,*,#180834,.T.); #234601=ORIENTED_EDGE('',*,*,#180836,.F.); #234602=ORIENTED_EDGE('',*,*,#180837,.F.); #234603=ORIENTED_EDGE('',*,*,#180838,.T.); #234604=ORIENTED_EDGE('',*,*,#180837,.T.); #234605=ORIENTED_EDGE('',*,*,#180839,.F.); #234606=ORIENTED_EDGE('',*,*,#180840,.F.); #234607=ORIENTED_EDGE('',*,*,#180841,.T.); #234608=ORIENTED_EDGE('',*,*,#180840,.T.); #234609=ORIENTED_EDGE('',*,*,#180842,.F.); #234610=ORIENTED_EDGE('',*,*,#180843,.F.); #234611=ORIENTED_EDGE('',*,*,#180844,.T.); #234612=ORIENTED_EDGE('',*,*,#180843,.T.); #234613=ORIENTED_EDGE('',*,*,#180845,.F.); #234614=ORIENTED_EDGE('',*,*,#180846,.F.); #234615=ORIENTED_EDGE('',*,*,#180847,.T.); #234616=ORIENTED_EDGE('',*,*,#180846,.T.); #234617=ORIENTED_EDGE('',*,*,#180848,.F.); #234618=ORIENTED_EDGE('',*,*,#180849,.F.); #234619=ORIENTED_EDGE('',*,*,#180850,.T.); #234620=ORIENTED_EDGE('',*,*,#180849,.T.); #234621=ORIENTED_EDGE('',*,*,#180851,.F.); #234622=ORIENTED_EDGE('',*,*,#180852,.F.); #234623=ORIENTED_EDGE('',*,*,#180853,.T.); #234624=ORIENTED_EDGE('',*,*,#180852,.T.); #234625=ORIENTED_EDGE('',*,*,#180854,.F.); #234626=ORIENTED_EDGE('',*,*,#180855,.F.); #234627=ORIENTED_EDGE('',*,*,#180856,.T.); #234628=ORIENTED_EDGE('',*,*,#180855,.T.); #234629=ORIENTED_EDGE('',*,*,#180857,.F.); #234630=ORIENTED_EDGE('',*,*,#180858,.F.); #234631=ORIENTED_EDGE('',*,*,#180859,.T.); #234632=ORIENTED_EDGE('',*,*,#180858,.T.); #234633=ORIENTED_EDGE('',*,*,#180860,.F.); #234634=ORIENTED_EDGE('',*,*,#180861,.F.); #234635=ORIENTED_EDGE('',*,*,#180862,.T.); #234636=ORIENTED_EDGE('',*,*,#180861,.T.); #234637=ORIENTED_EDGE('',*,*,#180863,.F.); #234638=ORIENTED_EDGE('',*,*,#180864,.F.); #234639=ORIENTED_EDGE('',*,*,#180865,.T.); #234640=ORIENTED_EDGE('',*,*,#180864,.T.); #234641=ORIENTED_EDGE('',*,*,#180866,.F.); #234642=ORIENTED_EDGE('',*,*,#180867,.F.); #234643=ORIENTED_EDGE('',*,*,#180868,.T.); #234644=ORIENTED_EDGE('',*,*,#180867,.T.); #234645=ORIENTED_EDGE('',*,*,#180869,.F.); #234646=ORIENTED_EDGE('',*,*,#180870,.F.); #234647=ORIENTED_EDGE('',*,*,#180871,.T.); #234648=ORIENTED_EDGE('',*,*,#180870,.T.); #234649=ORIENTED_EDGE('',*,*,#180872,.F.); #234650=ORIENTED_EDGE('',*,*,#180873,.F.); #234651=ORIENTED_EDGE('',*,*,#180874,.T.); #234652=ORIENTED_EDGE('',*,*,#180873,.T.); #234653=ORIENTED_EDGE('',*,*,#180875,.F.); #234654=ORIENTED_EDGE('',*,*,#180876,.F.); #234655=ORIENTED_EDGE('',*,*,#180877,.T.); #234656=ORIENTED_EDGE('',*,*,#180876,.T.); #234657=ORIENTED_EDGE('',*,*,#180878,.F.); #234658=ORIENTED_EDGE('',*,*,#180879,.F.); #234659=ORIENTED_EDGE('',*,*,#180880,.T.); #234660=ORIENTED_EDGE('',*,*,#180879,.T.); #234661=ORIENTED_EDGE('',*,*,#180881,.F.); #234662=ORIENTED_EDGE('',*,*,#180882,.F.); #234663=ORIENTED_EDGE('',*,*,#180883,.T.); #234664=ORIENTED_EDGE('',*,*,#180882,.T.); #234665=ORIENTED_EDGE('',*,*,#180884,.F.); #234666=ORIENTED_EDGE('',*,*,#180885,.F.); #234667=ORIENTED_EDGE('',*,*,#180886,.T.); #234668=ORIENTED_EDGE('',*,*,#180885,.T.); #234669=ORIENTED_EDGE('',*,*,#180887,.F.); #234670=ORIENTED_EDGE('',*,*,#180888,.F.); #234671=ORIENTED_EDGE('',*,*,#180889,.T.); #234672=ORIENTED_EDGE('',*,*,#180888,.T.); #234673=ORIENTED_EDGE('',*,*,#180890,.F.); #234674=ORIENTED_EDGE('',*,*,#180891,.F.); #234675=ORIENTED_EDGE('',*,*,#180892,.T.); #234676=ORIENTED_EDGE('',*,*,#180891,.T.); #234677=ORIENTED_EDGE('',*,*,#180893,.F.); #234678=ORIENTED_EDGE('',*,*,#180894,.F.); #234679=ORIENTED_EDGE('',*,*,#180895,.T.); #234680=ORIENTED_EDGE('',*,*,#180894,.T.); #234681=ORIENTED_EDGE('',*,*,#180896,.F.); #234682=ORIENTED_EDGE('',*,*,#180897,.F.); #234683=ORIENTED_EDGE('',*,*,#180898,.T.); #234684=ORIENTED_EDGE('',*,*,#180897,.T.); #234685=ORIENTED_EDGE('',*,*,#180899,.F.); #234686=ORIENTED_EDGE('',*,*,#180900,.F.); #234687=ORIENTED_EDGE('',*,*,#180901,.T.); #234688=ORIENTED_EDGE('',*,*,#180900,.T.); #234689=ORIENTED_EDGE('',*,*,#180902,.F.); #234690=ORIENTED_EDGE('',*,*,#180903,.F.); #234691=ORIENTED_EDGE('',*,*,#180904,.T.); #234692=ORIENTED_EDGE('',*,*,#180903,.T.); #234693=ORIENTED_EDGE('',*,*,#180905,.F.); #234694=ORIENTED_EDGE('',*,*,#180906,.F.); #234695=ORIENTED_EDGE('',*,*,#180907,.T.); #234696=ORIENTED_EDGE('',*,*,#180906,.T.); #234697=ORIENTED_EDGE('',*,*,#180908,.F.); #234698=ORIENTED_EDGE('',*,*,#180909,.F.); #234699=ORIENTED_EDGE('',*,*,#180910,.T.); #234700=ORIENTED_EDGE('',*,*,#180909,.T.); #234701=ORIENTED_EDGE('',*,*,#180911,.F.); #234702=ORIENTED_EDGE('',*,*,#180912,.F.); #234703=ORIENTED_EDGE('',*,*,#180913,.T.); #234704=ORIENTED_EDGE('',*,*,#180912,.T.); #234705=ORIENTED_EDGE('',*,*,#180914,.F.); #234706=ORIENTED_EDGE('',*,*,#180915,.F.); #234707=ORIENTED_EDGE('',*,*,#180916,.T.); #234708=ORIENTED_EDGE('',*,*,#180915,.T.); #234709=ORIENTED_EDGE('',*,*,#180917,.F.); #234710=ORIENTED_EDGE('',*,*,#180918,.F.); #234711=ORIENTED_EDGE('',*,*,#180919,.T.); #234712=ORIENTED_EDGE('',*,*,#180918,.T.); #234713=ORIENTED_EDGE('',*,*,#180920,.F.); #234714=ORIENTED_EDGE('',*,*,#180921,.F.); #234715=ORIENTED_EDGE('',*,*,#180922,.T.); #234716=ORIENTED_EDGE('',*,*,#180921,.T.); #234717=ORIENTED_EDGE('',*,*,#180923,.F.); #234718=ORIENTED_EDGE('',*,*,#180924,.F.); #234719=ORIENTED_EDGE('',*,*,#180925,.T.); #234720=ORIENTED_EDGE('',*,*,#180924,.T.); #234721=ORIENTED_EDGE('',*,*,#180926,.F.); #234722=ORIENTED_EDGE('',*,*,#180927,.F.); #234723=ORIENTED_EDGE('',*,*,#180928,.T.); #234724=ORIENTED_EDGE('',*,*,#180927,.T.); #234725=ORIENTED_EDGE('',*,*,#180929,.F.); #234726=ORIENTED_EDGE('',*,*,#180930,.F.); #234727=ORIENTED_EDGE('',*,*,#180931,.T.); #234728=ORIENTED_EDGE('',*,*,#180930,.T.); #234729=ORIENTED_EDGE('',*,*,#180932,.F.); #234730=ORIENTED_EDGE('',*,*,#180933,.F.); #234731=ORIENTED_EDGE('',*,*,#180934,.T.); #234732=ORIENTED_EDGE('',*,*,#180933,.T.); #234733=ORIENTED_EDGE('',*,*,#180935,.F.); #234734=ORIENTED_EDGE('',*,*,#180936,.F.); #234735=ORIENTED_EDGE('',*,*,#180937,.T.); #234736=ORIENTED_EDGE('',*,*,#180936,.T.); #234737=ORIENTED_EDGE('',*,*,#180938,.F.); #234738=ORIENTED_EDGE('',*,*,#180939,.F.); #234739=ORIENTED_EDGE('',*,*,#180940,.T.); #234740=ORIENTED_EDGE('',*,*,#180939,.T.); #234741=ORIENTED_EDGE('',*,*,#180941,.F.); #234742=ORIENTED_EDGE('',*,*,#180942,.F.); #234743=ORIENTED_EDGE('',*,*,#180943,.T.); #234744=ORIENTED_EDGE('',*,*,#180942,.T.); #234745=ORIENTED_EDGE('',*,*,#180944,.F.); #234746=ORIENTED_EDGE('',*,*,#180945,.F.); #234747=ORIENTED_EDGE('',*,*,#180946,.T.); #234748=ORIENTED_EDGE('',*,*,#180945,.T.); #234749=ORIENTED_EDGE('',*,*,#180947,.F.); #234750=ORIENTED_EDGE('',*,*,#180948,.F.); #234751=ORIENTED_EDGE('',*,*,#180949,.T.); #234752=ORIENTED_EDGE('',*,*,#180948,.T.); #234753=ORIENTED_EDGE('',*,*,#180950,.F.); #234754=ORIENTED_EDGE('',*,*,#180951,.F.); #234755=ORIENTED_EDGE('',*,*,#180952,.T.); #234756=ORIENTED_EDGE('',*,*,#180951,.T.); #234757=ORIENTED_EDGE('',*,*,#180953,.F.); #234758=ORIENTED_EDGE('',*,*,#180954,.F.); #234759=ORIENTED_EDGE('',*,*,#180955,.T.); #234760=ORIENTED_EDGE('',*,*,#180954,.T.); #234761=ORIENTED_EDGE('',*,*,#180956,.F.); #234762=ORIENTED_EDGE('',*,*,#180957,.F.); #234763=ORIENTED_EDGE('',*,*,#180958,.T.); #234764=ORIENTED_EDGE('',*,*,#180957,.T.); #234765=ORIENTED_EDGE('',*,*,#180959,.F.); #234766=ORIENTED_EDGE('',*,*,#180960,.F.); #234767=ORIENTED_EDGE('',*,*,#180961,.T.); #234768=ORIENTED_EDGE('',*,*,#180960,.T.); #234769=ORIENTED_EDGE('',*,*,#180962,.F.); #234770=ORIENTED_EDGE('',*,*,#180963,.F.); #234771=ORIENTED_EDGE('',*,*,#180964,.T.); #234772=ORIENTED_EDGE('',*,*,#180963,.T.); #234773=ORIENTED_EDGE('',*,*,#180965,.F.); #234774=ORIENTED_EDGE('',*,*,#180966,.F.); #234775=ORIENTED_EDGE('',*,*,#180967,.T.); #234776=ORIENTED_EDGE('',*,*,#180966,.T.); #234777=ORIENTED_EDGE('',*,*,#180968,.F.); #234778=ORIENTED_EDGE('',*,*,#180969,.F.); #234779=ORIENTED_EDGE('',*,*,#180970,.T.); #234780=ORIENTED_EDGE('',*,*,#180969,.T.); #234781=ORIENTED_EDGE('',*,*,#180971,.F.); #234782=ORIENTED_EDGE('',*,*,#180972,.F.); #234783=ORIENTED_EDGE('',*,*,#180973,.T.); #234784=ORIENTED_EDGE('',*,*,#180972,.T.); #234785=ORIENTED_EDGE('',*,*,#180974,.F.); #234786=ORIENTED_EDGE('',*,*,#180975,.F.); #234787=ORIENTED_EDGE('',*,*,#180976,.T.); #234788=ORIENTED_EDGE('',*,*,#180975,.T.); #234789=ORIENTED_EDGE('',*,*,#180977,.F.); #234790=ORIENTED_EDGE('',*,*,#180978,.F.); #234791=ORIENTED_EDGE('',*,*,#180979,.T.); #234792=ORIENTED_EDGE('',*,*,#180978,.T.); #234793=ORIENTED_EDGE('',*,*,#180980,.F.); #234794=ORIENTED_EDGE('',*,*,#180981,.F.); #234795=ORIENTED_EDGE('',*,*,#180982,.T.); #234796=ORIENTED_EDGE('',*,*,#180981,.T.); #234797=ORIENTED_EDGE('',*,*,#180983,.F.); #234798=ORIENTED_EDGE('',*,*,#180984,.F.); #234799=ORIENTED_EDGE('',*,*,#180985,.T.); #234800=ORIENTED_EDGE('',*,*,#180984,.T.); #234801=ORIENTED_EDGE('',*,*,#180986,.F.); #234802=ORIENTED_EDGE('',*,*,#180987,.F.); #234803=ORIENTED_EDGE('',*,*,#180988,.T.); #234804=ORIENTED_EDGE('',*,*,#180987,.T.); #234805=ORIENTED_EDGE('',*,*,#180989,.F.); #234806=ORIENTED_EDGE('',*,*,#180990,.F.); #234807=ORIENTED_EDGE('',*,*,#180991,.T.); #234808=ORIENTED_EDGE('',*,*,#180990,.T.); #234809=ORIENTED_EDGE('',*,*,#180992,.F.); #234810=ORIENTED_EDGE('',*,*,#180993,.F.); #234811=ORIENTED_EDGE('',*,*,#180994,.T.); #234812=ORIENTED_EDGE('',*,*,#180993,.T.); #234813=ORIENTED_EDGE('',*,*,#180995,.F.); #234814=ORIENTED_EDGE('',*,*,#180996,.F.); #234815=ORIENTED_EDGE('',*,*,#180997,.T.); #234816=ORIENTED_EDGE('',*,*,#180996,.T.); #234817=ORIENTED_EDGE('',*,*,#180998,.F.); #234818=ORIENTED_EDGE('',*,*,#180999,.F.); #234819=ORIENTED_EDGE('',*,*,#181000,.T.); #234820=ORIENTED_EDGE('',*,*,#180999,.T.); #234821=ORIENTED_EDGE('',*,*,#181001,.F.); #234822=ORIENTED_EDGE('',*,*,#181002,.F.); #234823=ORIENTED_EDGE('',*,*,#181003,.T.); #234824=ORIENTED_EDGE('',*,*,#181002,.T.); #234825=ORIENTED_EDGE('',*,*,#181004,.F.); #234826=ORIENTED_EDGE('',*,*,#181005,.F.); #234827=ORIENTED_EDGE('',*,*,#181006,.T.); #234828=ORIENTED_EDGE('',*,*,#181005,.T.); #234829=ORIENTED_EDGE('',*,*,#181007,.F.); #234830=ORIENTED_EDGE('',*,*,#181008,.F.); #234831=ORIENTED_EDGE('',*,*,#181009,.T.); #234832=ORIENTED_EDGE('',*,*,#181008,.T.); #234833=ORIENTED_EDGE('',*,*,#181010,.F.); #234834=ORIENTED_EDGE('',*,*,#181011,.F.); #234835=ORIENTED_EDGE('',*,*,#181012,.T.); #234836=ORIENTED_EDGE('',*,*,#181011,.T.); #234837=ORIENTED_EDGE('',*,*,#181013,.F.); #234838=ORIENTED_EDGE('',*,*,#181014,.F.); #234839=ORIENTED_EDGE('',*,*,#181015,.T.); #234840=ORIENTED_EDGE('',*,*,#181014,.T.); #234841=ORIENTED_EDGE('',*,*,#181016,.F.); #234842=ORIENTED_EDGE('',*,*,#181017,.F.); #234843=ORIENTED_EDGE('',*,*,#181018,.T.); #234844=ORIENTED_EDGE('',*,*,#181017,.T.); #234845=ORIENTED_EDGE('',*,*,#181019,.F.); #234846=ORIENTED_EDGE('',*,*,#181020,.F.); #234847=ORIENTED_EDGE('',*,*,#181021,.T.); #234848=ORIENTED_EDGE('',*,*,#181020,.T.); #234849=ORIENTED_EDGE('',*,*,#181022,.F.); #234850=ORIENTED_EDGE('',*,*,#181023,.F.); #234851=ORIENTED_EDGE('',*,*,#181024,.T.); #234852=ORIENTED_EDGE('',*,*,#181023,.T.); #234853=ORIENTED_EDGE('',*,*,#181025,.F.); #234854=ORIENTED_EDGE('',*,*,#181026,.F.); #234855=ORIENTED_EDGE('',*,*,#181027,.T.); #234856=ORIENTED_EDGE('',*,*,#181026,.T.); #234857=ORIENTED_EDGE('',*,*,#181028,.F.); #234858=ORIENTED_EDGE('',*,*,#181029,.F.); #234859=ORIENTED_EDGE('',*,*,#181030,.T.); #234860=ORIENTED_EDGE('',*,*,#181029,.T.); #234861=ORIENTED_EDGE('',*,*,#181031,.F.); #234862=ORIENTED_EDGE('',*,*,#181032,.F.); #234863=ORIENTED_EDGE('',*,*,#181033,.T.); #234864=ORIENTED_EDGE('',*,*,#181032,.T.); #234865=ORIENTED_EDGE('',*,*,#181034,.F.); #234866=ORIENTED_EDGE('',*,*,#181035,.F.); #234867=ORIENTED_EDGE('',*,*,#181036,.T.); #234868=ORIENTED_EDGE('',*,*,#181035,.T.); #234869=ORIENTED_EDGE('',*,*,#181037,.F.); #234870=ORIENTED_EDGE('',*,*,#181038,.F.); #234871=ORIENTED_EDGE('',*,*,#181039,.T.); #234872=ORIENTED_EDGE('',*,*,#181038,.T.); #234873=ORIENTED_EDGE('',*,*,#181040,.F.); #234874=ORIENTED_EDGE('',*,*,#181041,.F.); #234875=ORIENTED_EDGE('',*,*,#181042,.T.); #234876=ORIENTED_EDGE('',*,*,#181041,.T.); #234877=ORIENTED_EDGE('',*,*,#181043,.F.); #234878=ORIENTED_EDGE('',*,*,#181044,.F.); #234879=ORIENTED_EDGE('',*,*,#181045,.T.); #234880=ORIENTED_EDGE('',*,*,#181044,.T.); #234881=ORIENTED_EDGE('',*,*,#181046,.F.); #234882=ORIENTED_EDGE('',*,*,#181047,.F.); #234883=ORIENTED_EDGE('',*,*,#181048,.T.); #234884=ORIENTED_EDGE('',*,*,#181047,.T.); #234885=ORIENTED_EDGE('',*,*,#181049,.F.); #234886=ORIENTED_EDGE('',*,*,#181050,.F.); #234887=ORIENTED_EDGE('',*,*,#181051,.T.); #234888=ORIENTED_EDGE('',*,*,#181050,.T.); #234889=ORIENTED_EDGE('',*,*,#181052,.F.); #234890=ORIENTED_EDGE('',*,*,#181053,.F.); #234891=ORIENTED_EDGE('',*,*,#181054,.T.); #234892=ORIENTED_EDGE('',*,*,#181053,.T.); #234893=ORIENTED_EDGE('',*,*,#181055,.F.); #234894=ORIENTED_EDGE('',*,*,#181056,.F.); #234895=ORIENTED_EDGE('',*,*,#181057,.T.); #234896=ORIENTED_EDGE('',*,*,#181056,.T.); #234897=ORIENTED_EDGE('',*,*,#181058,.F.); #234898=ORIENTED_EDGE('',*,*,#181059,.F.); #234899=ORIENTED_EDGE('',*,*,#181060,.T.); #234900=ORIENTED_EDGE('',*,*,#181059,.T.); #234901=ORIENTED_EDGE('',*,*,#181061,.F.); #234902=ORIENTED_EDGE('',*,*,#181062,.F.); #234903=ORIENTED_EDGE('',*,*,#181063,.T.); #234904=ORIENTED_EDGE('',*,*,#181062,.T.); #234905=ORIENTED_EDGE('',*,*,#181064,.F.); #234906=ORIENTED_EDGE('',*,*,#181065,.F.); #234907=ORIENTED_EDGE('',*,*,#181066,.T.); #234908=ORIENTED_EDGE('',*,*,#181065,.T.); #234909=ORIENTED_EDGE('',*,*,#181067,.F.); #234910=ORIENTED_EDGE('',*,*,#181068,.F.); #234911=ORIENTED_EDGE('',*,*,#181069,.T.); #234912=ORIENTED_EDGE('',*,*,#181068,.T.); #234913=ORIENTED_EDGE('',*,*,#181070,.F.); #234914=ORIENTED_EDGE('',*,*,#181071,.F.); #234915=ORIENTED_EDGE('',*,*,#181072,.T.); #234916=ORIENTED_EDGE('',*,*,#181071,.T.); #234917=ORIENTED_EDGE('',*,*,#181073,.F.); #234918=ORIENTED_EDGE('',*,*,#181074,.F.); #234919=ORIENTED_EDGE('',*,*,#181075,.T.); #234920=ORIENTED_EDGE('',*,*,#181074,.T.); #234921=ORIENTED_EDGE('',*,*,#181076,.F.); #234922=ORIENTED_EDGE('',*,*,#181077,.F.); #234923=ORIENTED_EDGE('',*,*,#181078,.T.); #234924=ORIENTED_EDGE('',*,*,#181077,.T.); #234925=ORIENTED_EDGE('',*,*,#181079,.F.); #234926=ORIENTED_EDGE('',*,*,#181080,.F.); #234927=ORIENTED_EDGE('',*,*,#181081,.T.); #234928=ORIENTED_EDGE('',*,*,#181080,.T.); #234929=ORIENTED_EDGE('',*,*,#181082,.F.); #234930=ORIENTED_EDGE('',*,*,#181083,.F.); #234931=ORIENTED_EDGE('',*,*,#181084,.T.); #234932=ORIENTED_EDGE('',*,*,#181083,.T.); #234933=ORIENTED_EDGE('',*,*,#181085,.F.); #234934=ORIENTED_EDGE('',*,*,#181086,.F.); #234935=ORIENTED_EDGE('',*,*,#181087,.T.); #234936=ORIENTED_EDGE('',*,*,#181086,.T.); #234937=ORIENTED_EDGE('',*,*,#181088,.F.); #234938=ORIENTED_EDGE('',*,*,#181089,.F.); #234939=ORIENTED_EDGE('',*,*,#181090,.T.); #234940=ORIENTED_EDGE('',*,*,#181089,.T.); #234941=ORIENTED_EDGE('',*,*,#181091,.F.); #234942=ORIENTED_EDGE('',*,*,#180736,.F.); #234943=ORIENTED_EDGE('',*,*,#181092,.T.); #234944=ORIENTED_EDGE('',*,*,#181093,.T.); #234945=ORIENTED_EDGE('',*,*,#181094,.F.); #234946=ORIENTED_EDGE('',*,*,#181095,.F.); #234947=ORIENTED_EDGE('',*,*,#181096,.T.); #234948=ORIENTED_EDGE('',*,*,#181095,.T.); #234949=ORIENTED_EDGE('',*,*,#181097,.F.); #234950=ORIENTED_EDGE('',*,*,#181098,.F.); #234951=ORIENTED_EDGE('',*,*,#181099,.T.); #234952=ORIENTED_EDGE('',*,*,#181098,.T.); #234953=ORIENTED_EDGE('',*,*,#181100,.F.); #234954=ORIENTED_EDGE('',*,*,#181101,.F.); #234955=ORIENTED_EDGE('',*,*,#181102,.T.); #234956=ORIENTED_EDGE('',*,*,#181101,.T.); #234957=ORIENTED_EDGE('',*,*,#181103,.F.); #234958=ORIENTED_EDGE('',*,*,#181104,.F.); #234959=ORIENTED_EDGE('',*,*,#181105,.T.); #234960=ORIENTED_EDGE('',*,*,#181104,.T.); #234961=ORIENTED_EDGE('',*,*,#181106,.F.); #234962=ORIENTED_EDGE('',*,*,#181107,.F.); #234963=ORIENTED_EDGE('',*,*,#181108,.T.); #234964=ORIENTED_EDGE('',*,*,#181107,.T.); #234965=ORIENTED_EDGE('',*,*,#181109,.F.); #234966=ORIENTED_EDGE('',*,*,#181110,.F.); #234967=ORIENTED_EDGE('',*,*,#181111,.T.); #234968=ORIENTED_EDGE('',*,*,#181110,.T.); #234969=ORIENTED_EDGE('',*,*,#181112,.F.); #234970=ORIENTED_EDGE('',*,*,#181113,.F.); #234971=ORIENTED_EDGE('',*,*,#181114,.T.); #234972=ORIENTED_EDGE('',*,*,#181113,.T.); #234973=ORIENTED_EDGE('',*,*,#181115,.F.); #234974=ORIENTED_EDGE('',*,*,#181093,.F.); #234975=ORIENTED_EDGE('',*,*,#181116,.T.); #234976=ORIENTED_EDGE('',*,*,#181117,.T.); #234977=ORIENTED_EDGE('',*,*,#181118,.F.); #234978=ORIENTED_EDGE('',*,*,#181119,.F.); #234979=ORIENTED_EDGE('',*,*,#181120,.T.); #234980=ORIENTED_EDGE('',*,*,#181119,.T.); #234981=ORIENTED_EDGE('',*,*,#181121,.F.); #234982=ORIENTED_EDGE('',*,*,#181122,.F.); #234983=ORIENTED_EDGE('',*,*,#181123,.T.); #234984=ORIENTED_EDGE('',*,*,#181122,.T.); #234985=ORIENTED_EDGE('',*,*,#181124,.F.); #234986=ORIENTED_EDGE('',*,*,#181125,.F.); #234987=ORIENTED_EDGE('',*,*,#181126,.T.); #234988=ORIENTED_EDGE('',*,*,#181125,.T.); #234989=ORIENTED_EDGE('',*,*,#181127,.F.); #234990=ORIENTED_EDGE('',*,*,#181128,.F.); #234991=ORIENTED_EDGE('',*,*,#181129,.T.); #234992=ORIENTED_EDGE('',*,*,#181128,.T.); #234993=ORIENTED_EDGE('',*,*,#181130,.F.); #234994=ORIENTED_EDGE('',*,*,#181131,.F.); #234995=ORIENTED_EDGE('',*,*,#181132,.T.); #234996=ORIENTED_EDGE('',*,*,#181131,.T.); #234997=ORIENTED_EDGE('',*,*,#181133,.F.); #234998=ORIENTED_EDGE('',*,*,#181134,.F.); #234999=ORIENTED_EDGE('',*,*,#181135,.T.); #235000=ORIENTED_EDGE('',*,*,#181134,.T.); #235001=ORIENTED_EDGE('',*,*,#181136,.F.); #235002=ORIENTED_EDGE('',*,*,#181137,.F.); #235003=ORIENTED_EDGE('',*,*,#181138,.T.); #235004=ORIENTED_EDGE('',*,*,#181137,.T.); #235005=ORIENTED_EDGE('',*,*,#181139,.F.); #235006=ORIENTED_EDGE('',*,*,#181140,.F.); #235007=ORIENTED_EDGE('',*,*,#181141,.T.); #235008=ORIENTED_EDGE('',*,*,#181140,.T.); #235009=ORIENTED_EDGE('',*,*,#181142,.F.); #235010=ORIENTED_EDGE('',*,*,#181143,.F.); #235011=ORIENTED_EDGE('',*,*,#181144,.T.); #235012=ORIENTED_EDGE('',*,*,#181143,.T.); #235013=ORIENTED_EDGE('',*,*,#181145,.F.); #235014=ORIENTED_EDGE('',*,*,#181146,.F.); #235015=ORIENTED_EDGE('',*,*,#181147,.T.); #235016=ORIENTED_EDGE('',*,*,#181146,.T.); #235017=ORIENTED_EDGE('',*,*,#181148,.F.); #235018=ORIENTED_EDGE('',*,*,#181149,.F.); #235019=ORIENTED_EDGE('',*,*,#181150,.T.); #235020=ORIENTED_EDGE('',*,*,#181149,.T.); #235021=ORIENTED_EDGE('',*,*,#181151,.F.); #235022=ORIENTED_EDGE('',*,*,#181152,.F.); #235023=ORIENTED_EDGE('',*,*,#181153,.T.); #235024=ORIENTED_EDGE('',*,*,#181152,.T.); #235025=ORIENTED_EDGE('',*,*,#181154,.F.); #235026=ORIENTED_EDGE('',*,*,#181155,.F.); #235027=ORIENTED_EDGE('',*,*,#181156,.T.); #235028=ORIENTED_EDGE('',*,*,#181155,.T.); #235029=ORIENTED_EDGE('',*,*,#181157,.F.); #235030=ORIENTED_EDGE('',*,*,#181158,.F.); #235031=ORIENTED_EDGE('',*,*,#181159,.T.); #235032=ORIENTED_EDGE('',*,*,#181158,.T.); #235033=ORIENTED_EDGE('',*,*,#181160,.F.); #235034=ORIENTED_EDGE('',*,*,#181161,.F.); #235035=ORIENTED_EDGE('',*,*,#181162,.T.); #235036=ORIENTED_EDGE('',*,*,#181161,.T.); #235037=ORIENTED_EDGE('',*,*,#181163,.F.); #235038=ORIENTED_EDGE('',*,*,#181164,.F.); #235039=ORIENTED_EDGE('',*,*,#181165,.T.); #235040=ORIENTED_EDGE('',*,*,#181164,.T.); #235041=ORIENTED_EDGE('',*,*,#181166,.F.); #235042=ORIENTED_EDGE('',*,*,#181167,.F.); #235043=ORIENTED_EDGE('',*,*,#181168,.T.); #235044=ORIENTED_EDGE('',*,*,#181167,.T.); #235045=ORIENTED_EDGE('',*,*,#181169,.F.); #235046=ORIENTED_EDGE('',*,*,#181170,.F.); #235047=ORIENTED_EDGE('',*,*,#181171,.T.); #235048=ORIENTED_EDGE('',*,*,#181170,.T.); #235049=ORIENTED_EDGE('',*,*,#181172,.F.); #235050=ORIENTED_EDGE('',*,*,#181173,.F.); #235051=ORIENTED_EDGE('',*,*,#181174,.T.); #235052=ORIENTED_EDGE('',*,*,#181173,.T.); #235053=ORIENTED_EDGE('',*,*,#181175,.F.); #235054=ORIENTED_EDGE('',*,*,#181176,.F.); #235055=ORIENTED_EDGE('',*,*,#181177,.T.); #235056=ORIENTED_EDGE('',*,*,#181176,.T.); #235057=ORIENTED_EDGE('',*,*,#181178,.F.); #235058=ORIENTED_EDGE('',*,*,#181179,.F.); #235059=ORIENTED_EDGE('',*,*,#181180,.T.); #235060=ORIENTED_EDGE('',*,*,#181179,.T.); #235061=ORIENTED_EDGE('',*,*,#181181,.F.); #235062=ORIENTED_EDGE('',*,*,#181182,.F.); #235063=ORIENTED_EDGE('',*,*,#181183,.T.); #235064=ORIENTED_EDGE('',*,*,#181182,.T.); #235065=ORIENTED_EDGE('',*,*,#181184,.F.); #235066=ORIENTED_EDGE('',*,*,#181185,.F.); #235067=ORIENTED_EDGE('',*,*,#181186,.T.); #235068=ORIENTED_EDGE('',*,*,#181185,.T.); #235069=ORIENTED_EDGE('',*,*,#181187,.F.); #235070=ORIENTED_EDGE('',*,*,#181188,.F.); #235071=ORIENTED_EDGE('',*,*,#181189,.T.); #235072=ORIENTED_EDGE('',*,*,#181188,.T.); #235073=ORIENTED_EDGE('',*,*,#181190,.F.); #235074=ORIENTED_EDGE('',*,*,#181191,.F.); #235075=ORIENTED_EDGE('',*,*,#181192,.T.); #235076=ORIENTED_EDGE('',*,*,#181191,.T.); #235077=ORIENTED_EDGE('',*,*,#181193,.F.); #235078=ORIENTED_EDGE('',*,*,#181194,.F.); #235079=ORIENTED_EDGE('',*,*,#181195,.T.); #235080=ORIENTED_EDGE('',*,*,#181194,.T.); #235081=ORIENTED_EDGE('',*,*,#181196,.F.); #235082=ORIENTED_EDGE('',*,*,#181197,.F.); #235083=ORIENTED_EDGE('',*,*,#181198,.T.); #235084=ORIENTED_EDGE('',*,*,#181197,.T.); #235085=ORIENTED_EDGE('',*,*,#181199,.F.); #235086=ORIENTED_EDGE('',*,*,#181200,.F.); #235087=ORIENTED_EDGE('',*,*,#181201,.T.); #235088=ORIENTED_EDGE('',*,*,#181200,.T.); #235089=ORIENTED_EDGE('',*,*,#181202,.F.); #235090=ORIENTED_EDGE('',*,*,#181203,.F.); #235091=ORIENTED_EDGE('',*,*,#181204,.T.); #235092=ORIENTED_EDGE('',*,*,#181203,.T.); #235093=ORIENTED_EDGE('',*,*,#181205,.F.); #235094=ORIENTED_EDGE('',*,*,#181206,.F.); #235095=ORIENTED_EDGE('',*,*,#181207,.T.); #235096=ORIENTED_EDGE('',*,*,#181206,.T.); #235097=ORIENTED_EDGE('',*,*,#181208,.F.); #235098=ORIENTED_EDGE('',*,*,#181209,.F.); #235099=ORIENTED_EDGE('',*,*,#181210,.T.); #235100=ORIENTED_EDGE('',*,*,#181209,.T.); #235101=ORIENTED_EDGE('',*,*,#181211,.F.); #235102=ORIENTED_EDGE('',*,*,#181212,.F.); #235103=ORIENTED_EDGE('',*,*,#181213,.T.); #235104=ORIENTED_EDGE('',*,*,#181212,.T.); #235105=ORIENTED_EDGE('',*,*,#181214,.F.); #235106=ORIENTED_EDGE('',*,*,#181215,.F.); #235107=ORIENTED_EDGE('',*,*,#181216,.T.); #235108=ORIENTED_EDGE('',*,*,#181215,.T.); #235109=ORIENTED_EDGE('',*,*,#181217,.F.); #235110=ORIENTED_EDGE('',*,*,#181218,.F.); #235111=ORIENTED_EDGE('',*,*,#181219,.T.); #235112=ORIENTED_EDGE('',*,*,#181218,.T.); #235113=ORIENTED_EDGE('',*,*,#181220,.F.); #235114=ORIENTED_EDGE('',*,*,#181221,.F.); #235115=ORIENTED_EDGE('',*,*,#181222,.T.); #235116=ORIENTED_EDGE('',*,*,#181221,.T.); #235117=ORIENTED_EDGE('',*,*,#181223,.F.); #235118=ORIENTED_EDGE('',*,*,#181224,.F.); #235119=ORIENTED_EDGE('',*,*,#181225,.T.); #235120=ORIENTED_EDGE('',*,*,#181224,.T.); #235121=ORIENTED_EDGE('',*,*,#181226,.F.); #235122=ORIENTED_EDGE('',*,*,#181227,.F.); #235123=ORIENTED_EDGE('',*,*,#181228,.T.); #235124=ORIENTED_EDGE('',*,*,#181227,.T.); #235125=ORIENTED_EDGE('',*,*,#181229,.F.); #235126=ORIENTED_EDGE('',*,*,#181230,.F.); #235127=ORIENTED_EDGE('',*,*,#181231,.T.); #235128=ORIENTED_EDGE('',*,*,#181230,.T.); #235129=ORIENTED_EDGE('',*,*,#181232,.F.); #235130=ORIENTED_EDGE('',*,*,#181233,.F.); #235131=ORIENTED_EDGE('',*,*,#181234,.T.); #235132=ORIENTED_EDGE('',*,*,#181233,.T.); #235133=ORIENTED_EDGE('',*,*,#181235,.F.); #235134=ORIENTED_EDGE('',*,*,#181236,.F.); #235135=ORIENTED_EDGE('',*,*,#181237,.T.); #235136=ORIENTED_EDGE('',*,*,#181236,.T.); #235137=ORIENTED_EDGE('',*,*,#181238,.F.); #235138=ORIENTED_EDGE('',*,*,#181239,.F.); #235139=ORIENTED_EDGE('',*,*,#181240,.T.); #235140=ORIENTED_EDGE('',*,*,#181239,.T.); #235141=ORIENTED_EDGE('',*,*,#181241,.F.); #235142=ORIENTED_EDGE('',*,*,#181242,.F.); #235143=ORIENTED_EDGE('',*,*,#181243,.T.); #235144=ORIENTED_EDGE('',*,*,#181242,.T.); #235145=ORIENTED_EDGE('',*,*,#181244,.F.); #235146=ORIENTED_EDGE('',*,*,#181245,.F.); #235147=ORIENTED_EDGE('',*,*,#181246,.T.); #235148=ORIENTED_EDGE('',*,*,#181245,.T.); #235149=ORIENTED_EDGE('',*,*,#181247,.F.); #235150=ORIENTED_EDGE('',*,*,#181248,.F.); #235151=ORIENTED_EDGE('',*,*,#181249,.T.); #235152=ORIENTED_EDGE('',*,*,#181248,.T.); #235153=ORIENTED_EDGE('',*,*,#181250,.F.); #235154=ORIENTED_EDGE('',*,*,#181251,.F.); #235155=ORIENTED_EDGE('',*,*,#181252,.T.); #235156=ORIENTED_EDGE('',*,*,#181251,.T.); #235157=ORIENTED_EDGE('',*,*,#181253,.F.); #235158=ORIENTED_EDGE('',*,*,#181254,.F.); #235159=ORIENTED_EDGE('',*,*,#181255,.T.); #235160=ORIENTED_EDGE('',*,*,#181254,.T.); #235161=ORIENTED_EDGE('',*,*,#181256,.F.); #235162=ORIENTED_EDGE('',*,*,#181257,.F.); #235163=ORIENTED_EDGE('',*,*,#181258,.T.); #235164=ORIENTED_EDGE('',*,*,#181257,.T.); #235165=ORIENTED_EDGE('',*,*,#181259,.F.); #235166=ORIENTED_EDGE('',*,*,#181260,.F.); #235167=ORIENTED_EDGE('',*,*,#181261,.T.); #235168=ORIENTED_EDGE('',*,*,#181260,.T.); #235169=ORIENTED_EDGE('',*,*,#181262,.F.); #235170=ORIENTED_EDGE('',*,*,#181263,.F.); #235171=ORIENTED_EDGE('',*,*,#181264,.T.); #235172=ORIENTED_EDGE('',*,*,#181263,.T.); #235173=ORIENTED_EDGE('',*,*,#181265,.F.); #235174=ORIENTED_EDGE('',*,*,#181266,.F.); #235175=ORIENTED_EDGE('',*,*,#181267,.T.); #235176=ORIENTED_EDGE('',*,*,#181266,.T.); #235177=ORIENTED_EDGE('',*,*,#181268,.F.); #235178=ORIENTED_EDGE('',*,*,#181269,.F.); #235179=ORIENTED_EDGE('',*,*,#181270,.T.); #235180=ORIENTED_EDGE('',*,*,#181269,.T.); #235181=ORIENTED_EDGE('',*,*,#181271,.F.); #235182=ORIENTED_EDGE('',*,*,#181272,.F.); #235183=ORIENTED_EDGE('',*,*,#181273,.T.); #235184=ORIENTED_EDGE('',*,*,#181272,.T.); #235185=ORIENTED_EDGE('',*,*,#181274,.F.); #235186=ORIENTED_EDGE('',*,*,#181275,.F.); #235187=ORIENTED_EDGE('',*,*,#181276,.T.); #235188=ORIENTED_EDGE('',*,*,#181275,.T.); #235189=ORIENTED_EDGE('',*,*,#181277,.F.); #235190=ORIENTED_EDGE('',*,*,#181278,.F.); #235191=ORIENTED_EDGE('',*,*,#181279,.T.); #235192=ORIENTED_EDGE('',*,*,#181278,.T.); #235193=ORIENTED_EDGE('',*,*,#181280,.F.); #235194=ORIENTED_EDGE('',*,*,#181281,.F.); #235195=ORIENTED_EDGE('',*,*,#181282,.T.); #235196=ORIENTED_EDGE('',*,*,#181281,.T.); #235197=ORIENTED_EDGE('',*,*,#181283,.F.); #235198=ORIENTED_EDGE('',*,*,#181284,.F.); #235199=ORIENTED_EDGE('',*,*,#181285,.T.); #235200=ORIENTED_EDGE('',*,*,#181284,.T.); #235201=ORIENTED_EDGE('',*,*,#181286,.F.); #235202=ORIENTED_EDGE('',*,*,#181287,.F.); #235203=ORIENTED_EDGE('',*,*,#181288,.T.); #235204=ORIENTED_EDGE('',*,*,#181287,.T.); #235205=ORIENTED_EDGE('',*,*,#181289,.F.); #235206=ORIENTED_EDGE('',*,*,#181290,.F.); #235207=ORIENTED_EDGE('',*,*,#181291,.T.); #235208=ORIENTED_EDGE('',*,*,#181290,.T.); #235209=ORIENTED_EDGE('',*,*,#181292,.F.); #235210=ORIENTED_EDGE('',*,*,#181293,.F.); #235211=ORIENTED_EDGE('',*,*,#181294,.T.); #235212=ORIENTED_EDGE('',*,*,#181293,.T.); #235213=ORIENTED_EDGE('',*,*,#181295,.F.); #235214=ORIENTED_EDGE('',*,*,#181296,.F.); #235215=ORIENTED_EDGE('',*,*,#181297,.T.); #235216=ORIENTED_EDGE('',*,*,#181296,.T.); #235217=ORIENTED_EDGE('',*,*,#181298,.F.); #235218=ORIENTED_EDGE('',*,*,#181299,.F.); #235219=ORIENTED_EDGE('',*,*,#181300,.T.); #235220=ORIENTED_EDGE('',*,*,#181299,.T.); #235221=ORIENTED_EDGE('',*,*,#181301,.F.); #235222=ORIENTED_EDGE('',*,*,#181302,.F.); #235223=ORIENTED_EDGE('',*,*,#181303,.T.); #235224=ORIENTED_EDGE('',*,*,#181302,.T.); #235225=ORIENTED_EDGE('',*,*,#181304,.F.); #235226=ORIENTED_EDGE('',*,*,#181305,.F.); #235227=ORIENTED_EDGE('',*,*,#181306,.T.); #235228=ORIENTED_EDGE('',*,*,#181305,.T.); #235229=ORIENTED_EDGE('',*,*,#181307,.F.); #235230=ORIENTED_EDGE('',*,*,#181308,.F.); #235231=ORIENTED_EDGE('',*,*,#181309,.T.); #235232=ORIENTED_EDGE('',*,*,#181308,.T.); #235233=ORIENTED_EDGE('',*,*,#181310,.F.); #235234=ORIENTED_EDGE('',*,*,#181311,.F.); #235235=ORIENTED_EDGE('',*,*,#181312,.T.); #235236=ORIENTED_EDGE('',*,*,#181311,.T.); #235237=ORIENTED_EDGE('',*,*,#181313,.F.); #235238=ORIENTED_EDGE('',*,*,#181314,.F.); #235239=ORIENTED_EDGE('',*,*,#181315,.T.); #235240=ORIENTED_EDGE('',*,*,#181314,.T.); #235241=ORIENTED_EDGE('',*,*,#181316,.F.); #235242=ORIENTED_EDGE('',*,*,#181317,.F.); #235243=ORIENTED_EDGE('',*,*,#181318,.T.); #235244=ORIENTED_EDGE('',*,*,#181317,.T.); #235245=ORIENTED_EDGE('',*,*,#181319,.F.); #235246=ORIENTED_EDGE('',*,*,#181320,.F.); #235247=ORIENTED_EDGE('',*,*,#181321,.T.); #235248=ORIENTED_EDGE('',*,*,#181320,.T.); #235249=ORIENTED_EDGE('',*,*,#181322,.F.); #235250=ORIENTED_EDGE('',*,*,#181323,.F.); #235251=ORIENTED_EDGE('',*,*,#181324,.T.); #235252=ORIENTED_EDGE('',*,*,#181323,.T.); #235253=ORIENTED_EDGE('',*,*,#181325,.F.); #235254=ORIENTED_EDGE('',*,*,#181326,.F.); #235255=ORIENTED_EDGE('',*,*,#181327,.T.); #235256=ORIENTED_EDGE('',*,*,#181326,.T.); #235257=ORIENTED_EDGE('',*,*,#181328,.F.); #235258=ORIENTED_EDGE('',*,*,#181329,.F.); #235259=ORIENTED_EDGE('',*,*,#181330,.T.); #235260=ORIENTED_EDGE('',*,*,#181329,.T.); #235261=ORIENTED_EDGE('',*,*,#181331,.F.); #235262=ORIENTED_EDGE('',*,*,#181332,.F.); #235263=ORIENTED_EDGE('',*,*,#181333,.T.); #235264=ORIENTED_EDGE('',*,*,#181332,.T.); #235265=ORIENTED_EDGE('',*,*,#181334,.F.); #235266=ORIENTED_EDGE('',*,*,#181335,.F.); #235267=ORIENTED_EDGE('',*,*,#181336,.T.); #235268=ORIENTED_EDGE('',*,*,#181335,.T.); #235269=ORIENTED_EDGE('',*,*,#181337,.F.); #235270=ORIENTED_EDGE('',*,*,#181338,.F.); #235271=ORIENTED_EDGE('',*,*,#181339,.T.); #235272=ORIENTED_EDGE('',*,*,#181338,.T.); #235273=ORIENTED_EDGE('',*,*,#181340,.F.); #235274=ORIENTED_EDGE('',*,*,#181341,.F.); #235275=ORIENTED_EDGE('',*,*,#181342,.T.); #235276=ORIENTED_EDGE('',*,*,#181341,.T.); #235277=ORIENTED_EDGE('',*,*,#181343,.F.); #235278=ORIENTED_EDGE('',*,*,#181344,.F.); #235279=ORIENTED_EDGE('',*,*,#181345,.T.); #235280=ORIENTED_EDGE('',*,*,#181344,.T.); #235281=ORIENTED_EDGE('',*,*,#181346,.F.); #235282=ORIENTED_EDGE('',*,*,#181347,.F.); #235283=ORIENTED_EDGE('',*,*,#181348,.T.); #235284=ORIENTED_EDGE('',*,*,#181347,.T.); #235285=ORIENTED_EDGE('',*,*,#181349,.F.); #235286=ORIENTED_EDGE('',*,*,#181350,.F.); #235287=ORIENTED_EDGE('',*,*,#181351,.T.); #235288=ORIENTED_EDGE('',*,*,#181350,.T.); #235289=ORIENTED_EDGE('',*,*,#181352,.F.); #235290=ORIENTED_EDGE('',*,*,#181353,.F.); #235291=ORIENTED_EDGE('',*,*,#181354,.T.); #235292=ORIENTED_EDGE('',*,*,#181353,.T.); #235293=ORIENTED_EDGE('',*,*,#181355,.F.); #235294=ORIENTED_EDGE('',*,*,#181356,.F.); #235295=ORIENTED_EDGE('',*,*,#181357,.T.); #235296=ORIENTED_EDGE('',*,*,#181356,.T.); #235297=ORIENTED_EDGE('',*,*,#181358,.F.); #235298=ORIENTED_EDGE('',*,*,#181359,.F.); #235299=ORIENTED_EDGE('',*,*,#181360,.T.); #235300=ORIENTED_EDGE('',*,*,#181359,.T.); #235301=ORIENTED_EDGE('',*,*,#181361,.F.); #235302=ORIENTED_EDGE('',*,*,#181362,.F.); #235303=ORIENTED_EDGE('',*,*,#181363,.T.); #235304=ORIENTED_EDGE('',*,*,#181362,.T.); #235305=ORIENTED_EDGE('',*,*,#181364,.F.); #235306=ORIENTED_EDGE('',*,*,#181365,.F.); #235307=ORIENTED_EDGE('',*,*,#181366,.T.); #235308=ORIENTED_EDGE('',*,*,#181365,.T.); #235309=ORIENTED_EDGE('',*,*,#181367,.F.); #235310=ORIENTED_EDGE('',*,*,#181368,.F.); #235311=ORIENTED_EDGE('',*,*,#181369,.T.); #235312=ORIENTED_EDGE('',*,*,#181368,.T.); #235313=ORIENTED_EDGE('',*,*,#181370,.F.); #235314=ORIENTED_EDGE('',*,*,#181371,.F.); #235315=ORIENTED_EDGE('',*,*,#181372,.T.); #235316=ORIENTED_EDGE('',*,*,#181371,.T.); #235317=ORIENTED_EDGE('',*,*,#181373,.F.); #235318=ORIENTED_EDGE('',*,*,#181374,.F.); #235319=ORIENTED_EDGE('',*,*,#181375,.T.); #235320=ORIENTED_EDGE('',*,*,#181374,.T.); #235321=ORIENTED_EDGE('',*,*,#181376,.F.); #235322=ORIENTED_EDGE('',*,*,#181377,.F.); #235323=ORIENTED_EDGE('',*,*,#181378,.T.); #235324=ORIENTED_EDGE('',*,*,#181377,.T.); #235325=ORIENTED_EDGE('',*,*,#181379,.F.); #235326=ORIENTED_EDGE('',*,*,#181380,.F.); #235327=ORIENTED_EDGE('',*,*,#181381,.T.); #235328=ORIENTED_EDGE('',*,*,#181380,.T.); #235329=ORIENTED_EDGE('',*,*,#181382,.F.); #235330=ORIENTED_EDGE('',*,*,#181383,.F.); #235331=ORIENTED_EDGE('',*,*,#181384,.T.); #235332=ORIENTED_EDGE('',*,*,#181383,.T.); #235333=ORIENTED_EDGE('',*,*,#181385,.F.); #235334=ORIENTED_EDGE('',*,*,#181386,.F.); #235335=ORIENTED_EDGE('',*,*,#181387,.T.); #235336=ORIENTED_EDGE('',*,*,#181386,.T.); #235337=ORIENTED_EDGE('',*,*,#181388,.F.); #235338=ORIENTED_EDGE('',*,*,#181389,.F.); #235339=ORIENTED_EDGE('',*,*,#181390,.T.); #235340=ORIENTED_EDGE('',*,*,#181389,.T.); #235341=ORIENTED_EDGE('',*,*,#181391,.F.); #235342=ORIENTED_EDGE('',*,*,#181392,.F.); #235343=ORIENTED_EDGE('',*,*,#181393,.T.); #235344=ORIENTED_EDGE('',*,*,#181392,.T.); #235345=ORIENTED_EDGE('',*,*,#181394,.F.); #235346=ORIENTED_EDGE('',*,*,#181395,.F.); #235347=ORIENTED_EDGE('',*,*,#181396,.T.); #235348=ORIENTED_EDGE('',*,*,#181395,.T.); #235349=ORIENTED_EDGE('',*,*,#181397,.F.); #235350=ORIENTED_EDGE('',*,*,#181398,.F.); #235351=ORIENTED_EDGE('',*,*,#181399,.T.); #235352=ORIENTED_EDGE('',*,*,#181398,.T.); #235353=ORIENTED_EDGE('',*,*,#181400,.F.); #235354=ORIENTED_EDGE('',*,*,#181401,.F.); #235355=ORIENTED_EDGE('',*,*,#181402,.T.); #235356=ORIENTED_EDGE('',*,*,#181401,.T.); #235357=ORIENTED_EDGE('',*,*,#181403,.F.); #235358=ORIENTED_EDGE('',*,*,#181404,.F.); #235359=ORIENTED_EDGE('',*,*,#181405,.T.); #235360=ORIENTED_EDGE('',*,*,#181404,.T.); #235361=ORIENTED_EDGE('',*,*,#181406,.F.); #235362=ORIENTED_EDGE('',*,*,#181407,.F.); #235363=ORIENTED_EDGE('',*,*,#181408,.T.); #235364=ORIENTED_EDGE('',*,*,#181407,.T.); #235365=ORIENTED_EDGE('',*,*,#181409,.F.); #235366=ORIENTED_EDGE('',*,*,#181410,.F.); #235367=ORIENTED_EDGE('',*,*,#181411,.T.); #235368=ORIENTED_EDGE('',*,*,#181410,.T.); #235369=ORIENTED_EDGE('',*,*,#181412,.F.); #235370=ORIENTED_EDGE('',*,*,#181413,.F.); #235371=ORIENTED_EDGE('',*,*,#181414,.T.); #235372=ORIENTED_EDGE('',*,*,#181413,.T.); #235373=ORIENTED_EDGE('',*,*,#181415,.F.); #235374=ORIENTED_EDGE('',*,*,#181416,.F.); #235375=ORIENTED_EDGE('',*,*,#181417,.T.); #235376=ORIENTED_EDGE('',*,*,#181416,.T.); #235377=ORIENTED_EDGE('',*,*,#181418,.F.); #235378=ORIENTED_EDGE('',*,*,#181419,.F.); #235379=ORIENTED_EDGE('',*,*,#181420,.T.); #235380=ORIENTED_EDGE('',*,*,#181419,.T.); #235381=ORIENTED_EDGE('',*,*,#181421,.F.); #235382=ORIENTED_EDGE('',*,*,#181422,.F.); #235383=ORIENTED_EDGE('',*,*,#181423,.T.); #235384=ORIENTED_EDGE('',*,*,#181422,.T.); #235385=ORIENTED_EDGE('',*,*,#181424,.F.); #235386=ORIENTED_EDGE('',*,*,#181425,.F.); #235387=ORIENTED_EDGE('',*,*,#181426,.T.); #235388=ORIENTED_EDGE('',*,*,#181425,.T.); #235389=ORIENTED_EDGE('',*,*,#181427,.F.); #235390=ORIENTED_EDGE('',*,*,#181428,.F.); #235391=ORIENTED_EDGE('',*,*,#181429,.T.); #235392=ORIENTED_EDGE('',*,*,#181428,.T.); #235393=ORIENTED_EDGE('',*,*,#181430,.F.); #235394=ORIENTED_EDGE('',*,*,#181431,.F.); #235395=ORIENTED_EDGE('',*,*,#181432,.T.); #235396=ORIENTED_EDGE('',*,*,#181431,.T.); #235397=ORIENTED_EDGE('',*,*,#181433,.F.); #235398=ORIENTED_EDGE('',*,*,#181434,.F.); #235399=ORIENTED_EDGE('',*,*,#181435,.T.); #235400=ORIENTED_EDGE('',*,*,#181434,.T.); #235401=ORIENTED_EDGE('',*,*,#181436,.F.); #235402=ORIENTED_EDGE('',*,*,#181437,.F.); #235403=ORIENTED_EDGE('',*,*,#181438,.T.); #235404=ORIENTED_EDGE('',*,*,#181437,.T.); #235405=ORIENTED_EDGE('',*,*,#181439,.F.); #235406=ORIENTED_EDGE('',*,*,#181440,.F.); #235407=ORIENTED_EDGE('',*,*,#181441,.T.); #235408=ORIENTED_EDGE('',*,*,#181440,.T.); #235409=ORIENTED_EDGE('',*,*,#181442,.F.); #235410=ORIENTED_EDGE('',*,*,#181443,.F.); #235411=ORIENTED_EDGE('',*,*,#181444,.T.); #235412=ORIENTED_EDGE('',*,*,#181443,.T.); #235413=ORIENTED_EDGE('',*,*,#181445,.F.); #235414=ORIENTED_EDGE('',*,*,#181446,.F.); #235415=ORIENTED_EDGE('',*,*,#181447,.T.); #235416=ORIENTED_EDGE('',*,*,#181446,.T.); #235417=ORIENTED_EDGE('',*,*,#181448,.F.); #235418=ORIENTED_EDGE('',*,*,#181449,.F.); #235419=ORIENTED_EDGE('',*,*,#181450,.T.); #235420=ORIENTED_EDGE('',*,*,#181449,.T.); #235421=ORIENTED_EDGE('',*,*,#181451,.F.); #235422=ORIENTED_EDGE('',*,*,#181452,.F.); #235423=ORIENTED_EDGE('',*,*,#181453,.T.); #235424=ORIENTED_EDGE('',*,*,#181452,.T.); #235425=ORIENTED_EDGE('',*,*,#181454,.F.); #235426=ORIENTED_EDGE('',*,*,#181455,.F.); #235427=ORIENTED_EDGE('',*,*,#181456,.T.); #235428=ORIENTED_EDGE('',*,*,#181455,.T.); #235429=ORIENTED_EDGE('',*,*,#181457,.F.); #235430=ORIENTED_EDGE('',*,*,#181458,.F.); #235431=ORIENTED_EDGE('',*,*,#181459,.T.); #235432=ORIENTED_EDGE('',*,*,#181458,.T.); #235433=ORIENTED_EDGE('',*,*,#181460,.F.); #235434=ORIENTED_EDGE('',*,*,#181461,.F.); #235435=ORIENTED_EDGE('',*,*,#181462,.T.); #235436=ORIENTED_EDGE('',*,*,#181461,.T.); #235437=ORIENTED_EDGE('',*,*,#181463,.F.); #235438=ORIENTED_EDGE('',*,*,#181464,.F.); #235439=ORIENTED_EDGE('',*,*,#181465,.T.); #235440=ORIENTED_EDGE('',*,*,#181464,.T.); #235441=ORIENTED_EDGE('',*,*,#181466,.F.); #235442=ORIENTED_EDGE('',*,*,#181467,.F.); #235443=ORIENTED_EDGE('',*,*,#181468,.T.); #235444=ORIENTED_EDGE('',*,*,#181467,.T.); #235445=ORIENTED_EDGE('',*,*,#181469,.F.); #235446=ORIENTED_EDGE('',*,*,#181470,.F.); #235447=ORIENTED_EDGE('',*,*,#181471,.T.); #235448=ORIENTED_EDGE('',*,*,#181470,.T.); #235449=ORIENTED_EDGE('',*,*,#181472,.F.); #235450=ORIENTED_EDGE('',*,*,#181473,.F.); #235451=ORIENTED_EDGE('',*,*,#181474,.T.); #235452=ORIENTED_EDGE('',*,*,#181473,.T.); #235453=ORIENTED_EDGE('',*,*,#181475,.F.); #235454=ORIENTED_EDGE('',*,*,#181117,.F.); #235455=ORIENTED_EDGE('',*,*,#181475,.T.); #235456=ORIENTED_EDGE('',*,*,#181472,.T.); #235457=ORIENTED_EDGE('',*,*,#181469,.T.); #235458=ORIENTED_EDGE('',*,*,#181466,.T.); #235459=ORIENTED_EDGE('',*,*,#181463,.T.); #235460=ORIENTED_EDGE('',*,*,#181460,.T.); #235461=ORIENTED_EDGE('',*,*,#181457,.T.); #235462=ORIENTED_EDGE('',*,*,#181454,.T.); #235463=ORIENTED_EDGE('',*,*,#181451,.T.); #235464=ORIENTED_EDGE('',*,*,#181448,.T.); #235465=ORIENTED_EDGE('',*,*,#181445,.T.); #235466=ORIENTED_EDGE('',*,*,#181442,.T.); #235467=ORIENTED_EDGE('',*,*,#181439,.T.); #235468=ORIENTED_EDGE('',*,*,#181436,.T.); #235469=ORIENTED_EDGE('',*,*,#181433,.T.); #235470=ORIENTED_EDGE('',*,*,#181430,.T.); #235471=ORIENTED_EDGE('',*,*,#181427,.T.); #235472=ORIENTED_EDGE('',*,*,#181424,.T.); #235473=ORIENTED_EDGE('',*,*,#181421,.T.); #235474=ORIENTED_EDGE('',*,*,#181418,.T.); #235475=ORIENTED_EDGE('',*,*,#181415,.T.); #235476=ORIENTED_EDGE('',*,*,#181412,.T.); #235477=ORIENTED_EDGE('',*,*,#181409,.T.); #235478=ORIENTED_EDGE('',*,*,#181406,.T.); #235479=ORIENTED_EDGE('',*,*,#181403,.T.); #235480=ORIENTED_EDGE('',*,*,#181400,.T.); #235481=ORIENTED_EDGE('',*,*,#181397,.T.); #235482=ORIENTED_EDGE('',*,*,#181394,.T.); #235483=ORIENTED_EDGE('',*,*,#181391,.T.); #235484=ORIENTED_EDGE('',*,*,#181388,.T.); #235485=ORIENTED_EDGE('',*,*,#181385,.T.); #235486=ORIENTED_EDGE('',*,*,#181382,.T.); #235487=ORIENTED_EDGE('',*,*,#181379,.T.); #235488=ORIENTED_EDGE('',*,*,#181376,.T.); #235489=ORIENTED_EDGE('',*,*,#181373,.T.); #235490=ORIENTED_EDGE('',*,*,#181370,.T.); #235491=ORIENTED_EDGE('',*,*,#181367,.T.); #235492=ORIENTED_EDGE('',*,*,#181364,.T.); #235493=ORIENTED_EDGE('',*,*,#181361,.T.); #235494=ORIENTED_EDGE('',*,*,#181358,.T.); #235495=ORIENTED_EDGE('',*,*,#181355,.T.); #235496=ORIENTED_EDGE('',*,*,#181352,.T.); #235497=ORIENTED_EDGE('',*,*,#181349,.T.); #235498=ORIENTED_EDGE('',*,*,#181346,.T.); #235499=ORIENTED_EDGE('',*,*,#181343,.T.); #235500=ORIENTED_EDGE('',*,*,#181340,.T.); #235501=ORIENTED_EDGE('',*,*,#181337,.T.); #235502=ORIENTED_EDGE('',*,*,#181334,.T.); #235503=ORIENTED_EDGE('',*,*,#181331,.T.); #235504=ORIENTED_EDGE('',*,*,#181328,.T.); #235505=ORIENTED_EDGE('',*,*,#181325,.T.); #235506=ORIENTED_EDGE('',*,*,#181322,.T.); #235507=ORIENTED_EDGE('',*,*,#181319,.T.); #235508=ORIENTED_EDGE('',*,*,#181316,.T.); #235509=ORIENTED_EDGE('',*,*,#181313,.T.); #235510=ORIENTED_EDGE('',*,*,#181310,.T.); #235511=ORIENTED_EDGE('',*,*,#181307,.T.); #235512=ORIENTED_EDGE('',*,*,#181304,.T.); #235513=ORIENTED_EDGE('',*,*,#181301,.T.); #235514=ORIENTED_EDGE('',*,*,#181298,.T.); #235515=ORIENTED_EDGE('',*,*,#181295,.T.); #235516=ORIENTED_EDGE('',*,*,#181292,.T.); #235517=ORIENTED_EDGE('',*,*,#181289,.T.); #235518=ORIENTED_EDGE('',*,*,#181286,.T.); #235519=ORIENTED_EDGE('',*,*,#181283,.T.); #235520=ORIENTED_EDGE('',*,*,#181280,.T.); #235521=ORIENTED_EDGE('',*,*,#181277,.T.); #235522=ORIENTED_EDGE('',*,*,#181274,.T.); #235523=ORIENTED_EDGE('',*,*,#181271,.T.); #235524=ORIENTED_EDGE('',*,*,#181268,.T.); #235525=ORIENTED_EDGE('',*,*,#181265,.T.); #235526=ORIENTED_EDGE('',*,*,#181262,.T.); #235527=ORIENTED_EDGE('',*,*,#181259,.T.); #235528=ORIENTED_EDGE('',*,*,#181256,.T.); #235529=ORIENTED_EDGE('',*,*,#181253,.T.); #235530=ORIENTED_EDGE('',*,*,#181250,.T.); #235531=ORIENTED_EDGE('',*,*,#181247,.T.); #235532=ORIENTED_EDGE('',*,*,#181244,.T.); #235533=ORIENTED_EDGE('',*,*,#181241,.T.); #235534=ORIENTED_EDGE('',*,*,#181238,.T.); #235535=ORIENTED_EDGE('',*,*,#181235,.T.); #235536=ORIENTED_EDGE('',*,*,#181232,.T.); #235537=ORIENTED_EDGE('',*,*,#181229,.T.); #235538=ORIENTED_EDGE('',*,*,#181226,.T.); #235539=ORIENTED_EDGE('',*,*,#181223,.T.); #235540=ORIENTED_EDGE('',*,*,#181220,.T.); #235541=ORIENTED_EDGE('',*,*,#181217,.T.); #235542=ORIENTED_EDGE('',*,*,#181214,.T.); #235543=ORIENTED_EDGE('',*,*,#181211,.T.); #235544=ORIENTED_EDGE('',*,*,#181208,.T.); #235545=ORIENTED_EDGE('',*,*,#181205,.T.); #235546=ORIENTED_EDGE('',*,*,#181202,.T.); #235547=ORIENTED_EDGE('',*,*,#181199,.T.); #235548=ORIENTED_EDGE('',*,*,#181196,.T.); #235549=ORIENTED_EDGE('',*,*,#181193,.T.); #235550=ORIENTED_EDGE('',*,*,#181190,.T.); #235551=ORIENTED_EDGE('',*,*,#181187,.T.); #235552=ORIENTED_EDGE('',*,*,#181184,.T.); #235553=ORIENTED_EDGE('',*,*,#181181,.T.); #235554=ORIENTED_EDGE('',*,*,#181178,.T.); #235555=ORIENTED_EDGE('',*,*,#181175,.T.); #235556=ORIENTED_EDGE('',*,*,#181172,.T.); #235557=ORIENTED_EDGE('',*,*,#181169,.T.); #235558=ORIENTED_EDGE('',*,*,#181166,.T.); #235559=ORIENTED_EDGE('',*,*,#181163,.T.); #235560=ORIENTED_EDGE('',*,*,#181160,.T.); #235561=ORIENTED_EDGE('',*,*,#181157,.T.); #235562=ORIENTED_EDGE('',*,*,#181154,.T.); #235563=ORIENTED_EDGE('',*,*,#181151,.T.); #235564=ORIENTED_EDGE('',*,*,#181148,.T.); #235565=ORIENTED_EDGE('',*,*,#181145,.T.); #235566=ORIENTED_EDGE('',*,*,#181142,.T.); #235567=ORIENTED_EDGE('',*,*,#181139,.T.); #235568=ORIENTED_EDGE('',*,*,#181136,.T.); #235569=ORIENTED_EDGE('',*,*,#181133,.T.); #235570=ORIENTED_EDGE('',*,*,#181130,.T.); #235571=ORIENTED_EDGE('',*,*,#181127,.T.); #235572=ORIENTED_EDGE('',*,*,#181124,.T.); #235573=ORIENTED_EDGE('',*,*,#181121,.T.); #235574=ORIENTED_EDGE('',*,*,#181118,.T.); #235575=ORIENTED_EDGE('',*,*,#178445,.T.); #235576=ORIENTED_EDGE('',*,*,#178448,.T.); #235577=ORIENTED_EDGE('',*,*,#178451,.T.); #235578=ORIENTED_EDGE('',*,*,#178454,.T.); #235579=ORIENTED_EDGE('',*,*,#178457,.T.); #235580=ORIENTED_EDGE('',*,*,#178460,.T.); #235581=ORIENTED_EDGE('',*,*,#178463,.T.); #235582=ORIENTED_EDGE('',*,*,#178466,.T.); #235583=ORIENTED_EDGE('',*,*,#178469,.T.); #235584=ORIENTED_EDGE('',*,*,#178472,.T.); #235585=ORIENTED_EDGE('',*,*,#178475,.T.); #235586=ORIENTED_EDGE('',*,*,#178478,.T.); #235587=ORIENTED_EDGE('',*,*,#178481,.T.); #235588=ORIENTED_EDGE('',*,*,#178484,.T.); #235589=ORIENTED_EDGE('',*,*,#178487,.T.); #235590=ORIENTED_EDGE('',*,*,#178490,.T.); #235591=ORIENTED_EDGE('',*,*,#178493,.T.); #235592=ORIENTED_EDGE('',*,*,#178496,.T.); #235593=ORIENTED_EDGE('',*,*,#178499,.T.); #235594=ORIENTED_EDGE('',*,*,#178502,.T.); #235595=ORIENTED_EDGE('',*,*,#178505,.T.); #235596=ORIENTED_EDGE('',*,*,#178508,.T.); #235597=ORIENTED_EDGE('',*,*,#178511,.T.); #235598=ORIENTED_EDGE('',*,*,#178514,.T.); #235599=ORIENTED_EDGE('',*,*,#178517,.T.); #235600=ORIENTED_EDGE('',*,*,#178520,.T.); #235601=ORIENTED_EDGE('',*,*,#178523,.T.); #235602=ORIENTED_EDGE('',*,*,#178526,.T.); #235603=ORIENTED_EDGE('',*,*,#178529,.T.); #235604=ORIENTED_EDGE('',*,*,#178532,.T.); #235605=ORIENTED_EDGE('',*,*,#178535,.T.); #235606=ORIENTED_EDGE('',*,*,#178538,.T.); #235607=ORIENTED_EDGE('',*,*,#178541,.T.); #235608=ORIENTED_EDGE('',*,*,#178544,.T.); #235609=ORIENTED_EDGE('',*,*,#178547,.T.); #235610=ORIENTED_EDGE('',*,*,#178555,.T.); #235611=ORIENTED_EDGE('',*,*,#178558,.T.); #235612=ORIENTED_EDGE('',*,*,#178548,.T.); #235613=ORIENTED_EDGE('',*,*,#178552,.T.); #235614=ORIENTED_EDGE('',*,*,#178562,.T.); #235615=ORIENTED_EDGE('',*,*,#178565,.T.); #235616=ORIENTED_EDGE('',*,*,#178568,.T.); #235617=ORIENTED_EDGE('',*,*,#178571,.T.); #235618=ORIENTED_EDGE('',*,*,#178579,.T.); #235619=ORIENTED_EDGE('',*,*,#178582,.T.); #235620=ORIENTED_EDGE('',*,*,#178572,.T.); #235621=ORIENTED_EDGE('',*,*,#178576,.T.); #235622=ORIENTED_EDGE('',*,*,#178591,.T.); #235623=ORIENTED_EDGE('',*,*,#178594,.T.); #235624=ORIENTED_EDGE('',*,*,#178584,.T.); #235625=ORIENTED_EDGE('',*,*,#178588,.T.); #235626=ORIENTED_EDGE('',*,*,#178603,.T.); #235627=ORIENTED_EDGE('',*,*,#178606,.T.); #235628=ORIENTED_EDGE('',*,*,#178596,.T.); #235629=ORIENTED_EDGE('',*,*,#178600,.T.); #235630=ORIENTED_EDGE('',*,*,#178610,.T.); #235631=ORIENTED_EDGE('',*,*,#178613,.T.); #235632=ORIENTED_EDGE('',*,*,#178616,.T.); #235633=ORIENTED_EDGE('',*,*,#178619,.T.); #235634=ORIENTED_EDGE('',*,*,#178622,.T.); #235635=ORIENTED_EDGE('',*,*,#178625,.T.); #235636=ORIENTED_EDGE('',*,*,#178628,.T.); #235637=ORIENTED_EDGE('',*,*,#178631,.T.); #235638=ORIENTED_EDGE('',*,*,#178634,.T.); #235639=ORIENTED_EDGE('',*,*,#178637,.T.); #235640=ORIENTED_EDGE('',*,*,#178640,.T.); #235641=ORIENTED_EDGE('',*,*,#178643,.T.); #235642=ORIENTED_EDGE('',*,*,#178646,.T.); #235643=ORIENTED_EDGE('',*,*,#178649,.T.); #235644=ORIENTED_EDGE('',*,*,#178652,.T.); #235645=ORIENTED_EDGE('',*,*,#178655,.T.); #235646=ORIENTED_EDGE('',*,*,#178658,.T.); #235647=ORIENTED_EDGE('',*,*,#178661,.T.); #235648=ORIENTED_EDGE('',*,*,#178664,.T.); #235649=ORIENTED_EDGE('',*,*,#181115,.T.); #235650=ORIENTED_EDGE('',*,*,#181112,.T.); #235651=ORIENTED_EDGE('',*,*,#181109,.T.); #235652=ORIENTED_EDGE('',*,*,#181106,.T.); #235653=ORIENTED_EDGE('',*,*,#181103,.T.); #235654=ORIENTED_EDGE('',*,*,#181100,.T.); #235655=ORIENTED_EDGE('',*,*,#181097,.T.); #235656=ORIENTED_EDGE('',*,*,#181094,.T.); #235657=ORIENTED_EDGE('',*,*,#181091,.T.); #235658=ORIENTED_EDGE('',*,*,#181088,.T.); #235659=ORIENTED_EDGE('',*,*,#181085,.T.); #235660=ORIENTED_EDGE('',*,*,#181082,.T.); #235661=ORIENTED_EDGE('',*,*,#181079,.T.); #235662=ORIENTED_EDGE('',*,*,#181076,.T.); #235663=ORIENTED_EDGE('',*,*,#181073,.T.); #235664=ORIENTED_EDGE('',*,*,#181070,.T.); #235665=ORIENTED_EDGE('',*,*,#181067,.T.); #235666=ORIENTED_EDGE('',*,*,#181064,.T.); #235667=ORIENTED_EDGE('',*,*,#181061,.T.); #235668=ORIENTED_EDGE('',*,*,#181058,.T.); #235669=ORIENTED_EDGE('',*,*,#181055,.T.); #235670=ORIENTED_EDGE('',*,*,#181052,.T.); #235671=ORIENTED_EDGE('',*,*,#181049,.T.); #235672=ORIENTED_EDGE('',*,*,#181046,.T.); #235673=ORIENTED_EDGE('',*,*,#181043,.T.); #235674=ORIENTED_EDGE('',*,*,#181040,.T.); #235675=ORIENTED_EDGE('',*,*,#181037,.T.); #235676=ORIENTED_EDGE('',*,*,#181034,.T.); #235677=ORIENTED_EDGE('',*,*,#181031,.T.); #235678=ORIENTED_EDGE('',*,*,#181028,.T.); #235679=ORIENTED_EDGE('',*,*,#181025,.T.); #235680=ORIENTED_EDGE('',*,*,#181022,.T.); #235681=ORIENTED_EDGE('',*,*,#181019,.T.); #235682=ORIENTED_EDGE('',*,*,#181016,.T.); #235683=ORIENTED_EDGE('',*,*,#181013,.T.); #235684=ORIENTED_EDGE('',*,*,#181010,.T.); #235685=ORIENTED_EDGE('',*,*,#181007,.T.); #235686=ORIENTED_EDGE('',*,*,#181004,.T.); #235687=ORIENTED_EDGE('',*,*,#181001,.T.); #235688=ORIENTED_EDGE('',*,*,#180998,.T.); #235689=ORIENTED_EDGE('',*,*,#180995,.T.); #235690=ORIENTED_EDGE('',*,*,#180992,.T.); #235691=ORIENTED_EDGE('',*,*,#180989,.T.); #235692=ORIENTED_EDGE('',*,*,#180986,.T.); #235693=ORIENTED_EDGE('',*,*,#180983,.T.); #235694=ORIENTED_EDGE('',*,*,#180980,.T.); #235695=ORIENTED_EDGE('',*,*,#180977,.T.); #235696=ORIENTED_EDGE('',*,*,#180974,.T.); #235697=ORIENTED_EDGE('',*,*,#180971,.T.); #235698=ORIENTED_EDGE('',*,*,#180968,.T.); #235699=ORIENTED_EDGE('',*,*,#180965,.T.); #235700=ORIENTED_EDGE('',*,*,#180962,.T.); #235701=ORIENTED_EDGE('',*,*,#180959,.T.); #235702=ORIENTED_EDGE('',*,*,#180956,.T.); #235703=ORIENTED_EDGE('',*,*,#180953,.T.); #235704=ORIENTED_EDGE('',*,*,#180950,.T.); #235705=ORIENTED_EDGE('',*,*,#180947,.T.); #235706=ORIENTED_EDGE('',*,*,#180944,.T.); #235707=ORIENTED_EDGE('',*,*,#180941,.T.); #235708=ORIENTED_EDGE('',*,*,#180938,.T.); #235709=ORIENTED_EDGE('',*,*,#180935,.T.); #235710=ORIENTED_EDGE('',*,*,#180932,.T.); #235711=ORIENTED_EDGE('',*,*,#180929,.T.); #235712=ORIENTED_EDGE('',*,*,#180926,.T.); #235713=ORIENTED_EDGE('',*,*,#180923,.T.); #235714=ORIENTED_EDGE('',*,*,#180920,.T.); #235715=ORIENTED_EDGE('',*,*,#180917,.T.); #235716=ORIENTED_EDGE('',*,*,#180914,.T.); #235717=ORIENTED_EDGE('',*,*,#180911,.T.); #235718=ORIENTED_EDGE('',*,*,#180908,.T.); #235719=ORIENTED_EDGE('',*,*,#180905,.T.); #235720=ORIENTED_EDGE('',*,*,#180902,.T.); #235721=ORIENTED_EDGE('',*,*,#180899,.T.); #235722=ORIENTED_EDGE('',*,*,#180896,.T.); #235723=ORIENTED_EDGE('',*,*,#180893,.T.); #235724=ORIENTED_EDGE('',*,*,#180890,.T.); #235725=ORIENTED_EDGE('',*,*,#180887,.T.); #235726=ORIENTED_EDGE('',*,*,#180884,.T.); #235727=ORIENTED_EDGE('',*,*,#180881,.T.); #235728=ORIENTED_EDGE('',*,*,#180878,.T.); #235729=ORIENTED_EDGE('',*,*,#180875,.T.); #235730=ORIENTED_EDGE('',*,*,#180872,.T.); #235731=ORIENTED_EDGE('',*,*,#180869,.T.); #235732=ORIENTED_EDGE('',*,*,#180866,.T.); #235733=ORIENTED_EDGE('',*,*,#180863,.T.); #235734=ORIENTED_EDGE('',*,*,#180860,.T.); #235735=ORIENTED_EDGE('',*,*,#180857,.T.); #235736=ORIENTED_EDGE('',*,*,#180854,.T.); #235737=ORIENTED_EDGE('',*,*,#180851,.T.); #235738=ORIENTED_EDGE('',*,*,#180848,.T.); #235739=ORIENTED_EDGE('',*,*,#180845,.T.); #235740=ORIENTED_EDGE('',*,*,#180842,.T.); #235741=ORIENTED_EDGE('',*,*,#180839,.T.); #235742=ORIENTED_EDGE('',*,*,#180836,.T.); #235743=ORIENTED_EDGE('',*,*,#180833,.T.); #235744=ORIENTED_EDGE('',*,*,#180830,.T.); #235745=ORIENTED_EDGE('',*,*,#180827,.T.); #235746=ORIENTED_EDGE('',*,*,#180824,.T.); #235747=ORIENTED_EDGE('',*,*,#180821,.T.); #235748=ORIENTED_EDGE('',*,*,#180818,.T.); #235749=ORIENTED_EDGE('',*,*,#180815,.T.); #235750=ORIENTED_EDGE('',*,*,#180812,.T.); #235751=ORIENTED_EDGE('',*,*,#180809,.T.); #235752=ORIENTED_EDGE('',*,*,#180806,.T.); #235753=ORIENTED_EDGE('',*,*,#180803,.T.); #235754=ORIENTED_EDGE('',*,*,#180800,.T.); #235755=ORIENTED_EDGE('',*,*,#180797,.T.); #235756=ORIENTED_EDGE('',*,*,#180794,.T.); #235757=ORIENTED_EDGE('',*,*,#180791,.T.); #235758=ORIENTED_EDGE('',*,*,#180788,.T.); #235759=ORIENTED_EDGE('',*,*,#180785,.T.); #235760=ORIENTED_EDGE('',*,*,#180782,.T.); #235761=ORIENTED_EDGE('',*,*,#180779,.T.); #235762=ORIENTED_EDGE('',*,*,#180776,.T.); #235763=ORIENTED_EDGE('',*,*,#180773,.T.); #235764=ORIENTED_EDGE('',*,*,#180770,.T.); #235765=ORIENTED_EDGE('',*,*,#180767,.T.); #235766=ORIENTED_EDGE('',*,*,#180764,.T.); #235767=ORIENTED_EDGE('',*,*,#180761,.T.); #235768=ORIENTED_EDGE('',*,*,#180758,.T.); #235769=ORIENTED_EDGE('',*,*,#180755,.T.); #235770=ORIENTED_EDGE('',*,*,#180752,.T.); #235771=ORIENTED_EDGE('',*,*,#180749,.T.); #235772=ORIENTED_EDGE('',*,*,#180746,.T.); #235773=ORIENTED_EDGE('',*,*,#180743,.T.); #235774=ORIENTED_EDGE('',*,*,#180740,.T.); #235775=ORIENTED_EDGE('',*,*,#180737,.T.); #235776=ORIENTED_EDGE('',*,*,#180734,.T.); #235777=ORIENTED_EDGE('',*,*,#180731,.T.); #235778=ORIENTED_EDGE('',*,*,#180728,.T.); #235779=ORIENTED_EDGE('',*,*,#180725,.T.); #235780=ORIENTED_EDGE('',*,*,#180722,.T.); #235781=ORIENTED_EDGE('',*,*,#180719,.T.); #235782=ORIENTED_EDGE('',*,*,#180716,.T.); #235783=ORIENTED_EDGE('',*,*,#180713,.T.); #235784=ORIENTED_EDGE('',*,*,#180710,.T.); #235785=ORIENTED_EDGE('',*,*,#180707,.T.); #235786=ORIENTED_EDGE('',*,*,#180704,.T.); #235787=ORIENTED_EDGE('',*,*,#180701,.T.); #235788=ORIENTED_EDGE('',*,*,#180698,.T.); #235789=ORIENTED_EDGE('',*,*,#180695,.T.); #235790=ORIENTED_EDGE('',*,*,#180692,.T.); #235791=ORIENTED_EDGE('',*,*,#180689,.T.); #235792=ORIENTED_EDGE('',*,*,#180686,.T.); #235793=ORIENTED_EDGE('',*,*,#180683,.T.); #235794=ORIENTED_EDGE('',*,*,#180680,.T.); #235795=ORIENTED_EDGE('',*,*,#180677,.T.); #235796=ORIENTED_EDGE('',*,*,#180674,.T.); #235797=ORIENTED_EDGE('',*,*,#180671,.T.); #235798=ORIENTED_EDGE('',*,*,#180668,.T.); #235799=ORIENTED_EDGE('',*,*,#180665,.T.); #235800=ORIENTED_EDGE('',*,*,#180662,.T.); #235801=ORIENTED_EDGE('',*,*,#180659,.T.); #235802=ORIENTED_EDGE('',*,*,#180656,.T.); #235803=ORIENTED_EDGE('',*,*,#180653,.T.); #235804=ORIENTED_EDGE('',*,*,#180650,.T.); #235805=ORIENTED_EDGE('',*,*,#180647,.T.); #235806=ORIENTED_EDGE('',*,*,#180644,.T.); #235807=ORIENTED_EDGE('',*,*,#180641,.T.); #235808=ORIENTED_EDGE('',*,*,#180638,.T.); #235809=ORIENTED_EDGE('',*,*,#180635,.T.); #235810=ORIENTED_EDGE('',*,*,#180632,.T.); #235811=ORIENTED_EDGE('',*,*,#180629,.T.); #235812=ORIENTED_EDGE('',*,*,#180626,.T.); #235813=ORIENTED_EDGE('',*,*,#180623,.T.); #235814=ORIENTED_EDGE('',*,*,#180620,.T.); #235815=ORIENTED_EDGE('',*,*,#180617,.T.); #235816=ORIENTED_EDGE('',*,*,#180614,.T.); #235817=ORIENTED_EDGE('',*,*,#180611,.T.); #235818=ORIENTED_EDGE('',*,*,#180608,.T.); #235819=ORIENTED_EDGE('',*,*,#180605,.T.); #235820=ORIENTED_EDGE('',*,*,#180602,.T.); #235821=ORIENTED_EDGE('',*,*,#180599,.T.); #235822=ORIENTED_EDGE('',*,*,#180596,.T.); #235823=ORIENTED_EDGE('',*,*,#180593,.T.); #235824=ORIENTED_EDGE('',*,*,#180590,.T.); #235825=ORIENTED_EDGE('',*,*,#180587,.T.); #235826=ORIENTED_EDGE('',*,*,#180584,.T.); #235827=ORIENTED_EDGE('',*,*,#180581,.T.); #235828=ORIENTED_EDGE('',*,*,#180578,.T.); #235829=ORIENTED_EDGE('',*,*,#180575,.T.); #235830=ORIENTED_EDGE('',*,*,#180572,.T.); #235831=ORIENTED_EDGE('',*,*,#180569,.T.); #235832=ORIENTED_EDGE('',*,*,#180566,.T.); #235833=ORIENTED_EDGE('',*,*,#180563,.T.); #235834=ORIENTED_EDGE('',*,*,#180560,.T.); #235835=ORIENTED_EDGE('',*,*,#180557,.T.); #235836=ORIENTED_EDGE('',*,*,#180554,.T.); #235837=ORIENTED_EDGE('',*,*,#180551,.T.); #235838=ORIENTED_EDGE('',*,*,#180548,.T.); #235839=ORIENTED_EDGE('',*,*,#180545,.T.); #235840=ORIENTED_EDGE('',*,*,#180542,.T.); #235841=ORIENTED_EDGE('',*,*,#180539,.T.); #235842=ORIENTED_EDGE('',*,*,#180536,.T.); #235843=ORIENTED_EDGE('',*,*,#180533,.T.); #235844=ORIENTED_EDGE('',*,*,#180530,.T.); #235845=ORIENTED_EDGE('',*,*,#180527,.T.); #235846=ORIENTED_EDGE('',*,*,#180524,.T.); #235847=ORIENTED_EDGE('',*,*,#180521,.T.); #235848=ORIENTED_EDGE('',*,*,#180518,.T.); #235849=ORIENTED_EDGE('',*,*,#180515,.T.); #235850=ORIENTED_EDGE('',*,*,#180512,.T.); #235851=ORIENTED_EDGE('',*,*,#180509,.T.); #235852=ORIENTED_EDGE('',*,*,#180506,.T.); #235853=ORIENTED_EDGE('',*,*,#180503,.T.); #235854=ORIENTED_EDGE('',*,*,#180500,.T.); #235855=ORIENTED_EDGE('',*,*,#180497,.T.); #235856=ORIENTED_EDGE('',*,*,#180494,.T.); #235857=ORIENTED_EDGE('',*,*,#180491,.T.); #235858=ORIENTED_EDGE('',*,*,#180488,.T.); #235859=ORIENTED_EDGE('',*,*,#180485,.T.); #235860=ORIENTED_EDGE('',*,*,#180482,.T.); #235861=ORIENTED_EDGE('',*,*,#180479,.T.); #235862=ORIENTED_EDGE('',*,*,#180476,.T.); #235863=ORIENTED_EDGE('',*,*,#180473,.T.); #235864=ORIENTED_EDGE('',*,*,#180470,.T.); #235865=ORIENTED_EDGE('',*,*,#180467,.T.); #235866=ORIENTED_EDGE('',*,*,#180464,.T.); #235867=ORIENTED_EDGE('',*,*,#180461,.T.); #235868=ORIENTED_EDGE('',*,*,#180458,.T.); #235869=ORIENTED_EDGE('',*,*,#180455,.T.); #235870=ORIENTED_EDGE('',*,*,#180452,.T.); #235871=ORIENTED_EDGE('',*,*,#180449,.T.); #235872=ORIENTED_EDGE('',*,*,#180446,.T.); #235873=ORIENTED_EDGE('',*,*,#180443,.T.); #235874=ORIENTED_EDGE('',*,*,#180440,.T.); #235875=ORIENTED_EDGE('',*,*,#180437,.T.); #235876=ORIENTED_EDGE('',*,*,#180434,.T.); #235877=ORIENTED_EDGE('',*,*,#180431,.T.); #235878=ORIENTED_EDGE('',*,*,#180428,.T.); #235879=ORIENTED_EDGE('',*,*,#180425,.T.); #235880=ORIENTED_EDGE('',*,*,#180422,.T.); #235881=ORIENTED_EDGE('',*,*,#180419,.T.); #235882=ORIENTED_EDGE('',*,*,#180416,.T.); #235883=ORIENTED_EDGE('',*,*,#180413,.T.); #235884=ORIENTED_EDGE('',*,*,#180410,.T.); #235885=ORIENTED_EDGE('',*,*,#180407,.T.); #235886=ORIENTED_EDGE('',*,*,#180404,.T.); #235887=ORIENTED_EDGE('',*,*,#180401,.T.); #235888=ORIENTED_EDGE('',*,*,#180398,.T.); #235889=ORIENTED_EDGE('',*,*,#180395,.T.); #235890=ORIENTED_EDGE('',*,*,#180392,.T.); #235891=ORIENTED_EDGE('',*,*,#180389,.T.); #235892=ORIENTED_EDGE('',*,*,#180386,.T.); #235893=ORIENTED_EDGE('',*,*,#180383,.T.); #235894=ORIENTED_EDGE('',*,*,#180380,.T.); #235895=ORIENTED_EDGE('',*,*,#180377,.T.); #235896=ORIENTED_EDGE('',*,*,#180374,.T.); #235897=ORIENTED_EDGE('',*,*,#180371,.T.); #235898=ORIENTED_EDGE('',*,*,#180368,.T.); #235899=ORIENTED_EDGE('',*,*,#180365,.T.); #235900=ORIENTED_EDGE('',*,*,#180362,.T.); #235901=ORIENTED_EDGE('',*,*,#180359,.T.); #235902=ORIENTED_EDGE('',*,*,#180356,.T.); #235903=ORIENTED_EDGE('',*,*,#180353,.T.); #235904=ORIENTED_EDGE('',*,*,#180350,.T.); #235905=ORIENTED_EDGE('',*,*,#180347,.T.); #235906=ORIENTED_EDGE('',*,*,#180344,.T.); #235907=ORIENTED_EDGE('',*,*,#180341,.T.); #235908=ORIENTED_EDGE('',*,*,#180338,.T.); #235909=ORIENTED_EDGE('',*,*,#180335,.T.); #235910=ORIENTED_EDGE('',*,*,#180332,.T.); #235911=ORIENTED_EDGE('',*,*,#180329,.T.); #235912=ORIENTED_EDGE('',*,*,#180326,.T.); #235913=ORIENTED_EDGE('',*,*,#180323,.T.); #235914=ORIENTED_EDGE('',*,*,#180320,.T.); #235915=ORIENTED_EDGE('',*,*,#180317,.T.); #235916=ORIENTED_EDGE('',*,*,#180314,.T.); #235917=ORIENTED_EDGE('',*,*,#180311,.T.); #235918=ORIENTED_EDGE('',*,*,#180308,.T.); #235919=ORIENTED_EDGE('',*,*,#180305,.T.); #235920=ORIENTED_EDGE('',*,*,#180302,.T.); #235921=ORIENTED_EDGE('',*,*,#180299,.T.); #235922=ORIENTED_EDGE('',*,*,#180296,.T.); #235923=ORIENTED_EDGE('',*,*,#180293,.T.); #235924=ORIENTED_EDGE('',*,*,#180290,.T.); #235925=ORIENTED_EDGE('',*,*,#180287,.T.); #235926=ORIENTED_EDGE('',*,*,#180284,.T.); #235927=ORIENTED_EDGE('',*,*,#180281,.T.); #235928=ORIENTED_EDGE('',*,*,#180278,.T.); #235929=ORIENTED_EDGE('',*,*,#180275,.T.); #235930=ORIENTED_EDGE('',*,*,#180272,.T.); #235931=ORIENTED_EDGE('',*,*,#180269,.T.); #235932=ORIENTED_EDGE('',*,*,#180266,.T.); #235933=ORIENTED_EDGE('',*,*,#180263,.T.); #235934=ORIENTED_EDGE('',*,*,#180260,.T.); #235935=ORIENTED_EDGE('',*,*,#180257,.T.); #235936=ORIENTED_EDGE('',*,*,#180254,.T.); #235937=ORIENTED_EDGE('',*,*,#180251,.T.); #235938=ORIENTED_EDGE('',*,*,#180248,.T.); #235939=ORIENTED_EDGE('',*,*,#180245,.T.); #235940=ORIENTED_EDGE('',*,*,#180242,.T.); #235941=ORIENTED_EDGE('',*,*,#180239,.T.); #235942=ORIENTED_EDGE('',*,*,#180236,.T.); #235943=ORIENTED_EDGE('',*,*,#180233,.T.); #235944=ORIENTED_EDGE('',*,*,#180230,.T.); #235945=ORIENTED_EDGE('',*,*,#180227,.T.); #235946=ORIENTED_EDGE('',*,*,#180224,.T.); #235947=ORIENTED_EDGE('',*,*,#180221,.T.); #235948=ORIENTED_EDGE('',*,*,#180218,.T.); #235949=ORIENTED_EDGE('',*,*,#180215,.T.); #235950=ORIENTED_EDGE('',*,*,#180212,.T.); #235951=ORIENTED_EDGE('',*,*,#180209,.T.); #235952=ORIENTED_EDGE('',*,*,#180206,.T.); #235953=ORIENTED_EDGE('',*,*,#180203,.T.); #235954=ORIENTED_EDGE('',*,*,#180200,.T.); #235955=ORIENTED_EDGE('',*,*,#180197,.T.); #235956=ORIENTED_EDGE('',*,*,#180194,.T.); #235957=ORIENTED_EDGE('',*,*,#180191,.T.); #235958=ORIENTED_EDGE('',*,*,#180188,.T.); #235959=ORIENTED_EDGE('',*,*,#180185,.T.); #235960=ORIENTED_EDGE('',*,*,#180182,.T.); #235961=ORIENTED_EDGE('',*,*,#180179,.T.); #235962=ORIENTED_EDGE('',*,*,#180176,.T.); #235963=ORIENTED_EDGE('',*,*,#180173,.T.); #235964=ORIENTED_EDGE('',*,*,#180170,.T.); #235965=ORIENTED_EDGE('',*,*,#180167,.T.); #235966=ORIENTED_EDGE('',*,*,#180164,.T.); #235967=ORIENTED_EDGE('',*,*,#180161,.T.); #235968=ORIENTED_EDGE('',*,*,#180158,.T.); #235969=ORIENTED_EDGE('',*,*,#180155,.T.); #235970=ORIENTED_EDGE('',*,*,#180152,.T.); #235971=ORIENTED_EDGE('',*,*,#180149,.T.); #235972=ORIENTED_EDGE('',*,*,#180146,.T.); #235973=ORIENTED_EDGE('',*,*,#180143,.T.); #235974=ORIENTED_EDGE('',*,*,#180140,.T.); #235975=ORIENTED_EDGE('',*,*,#180137,.T.); #235976=ORIENTED_EDGE('',*,*,#180134,.T.); #235977=ORIENTED_EDGE('',*,*,#180131,.T.); #235978=ORIENTED_EDGE('',*,*,#180128,.T.); #235979=ORIENTED_EDGE('',*,*,#180125,.T.); #235980=ORIENTED_EDGE('',*,*,#180122,.T.); #235981=ORIENTED_EDGE('',*,*,#180119,.T.); #235982=ORIENTED_EDGE('',*,*,#180116,.T.); #235983=ORIENTED_EDGE('',*,*,#180113,.T.); #235984=ORIENTED_EDGE('',*,*,#180110,.T.); #235985=ORIENTED_EDGE('',*,*,#180107,.T.); #235986=ORIENTED_EDGE('',*,*,#180104,.T.); #235987=ORIENTED_EDGE('',*,*,#180101,.T.); #235988=ORIENTED_EDGE('',*,*,#180098,.T.); #235989=ORIENTED_EDGE('',*,*,#180095,.T.); #235990=ORIENTED_EDGE('',*,*,#180092,.T.); #235991=ORIENTED_EDGE('',*,*,#180089,.T.); #235992=ORIENTED_EDGE('',*,*,#180086,.T.); #235993=ORIENTED_EDGE('',*,*,#180083,.T.); #235994=ORIENTED_EDGE('',*,*,#180080,.T.); #235995=ORIENTED_EDGE('',*,*,#180077,.T.); #235996=ORIENTED_EDGE('',*,*,#180074,.T.); #235997=ORIENTED_EDGE('',*,*,#180071,.T.); #235998=ORIENTED_EDGE('',*,*,#180068,.T.); #235999=ORIENTED_EDGE('',*,*,#180065,.T.); #236000=ORIENTED_EDGE('',*,*,#180062,.T.); #236001=ORIENTED_EDGE('',*,*,#180059,.T.); #236002=ORIENTED_EDGE('',*,*,#180056,.T.); #236003=ORIENTED_EDGE('',*,*,#180053,.T.); #236004=ORIENTED_EDGE('',*,*,#180050,.T.); #236005=ORIENTED_EDGE('',*,*,#180047,.T.); #236006=ORIENTED_EDGE('',*,*,#180044,.T.); #236007=ORIENTED_EDGE('',*,*,#180041,.T.); #236008=ORIENTED_EDGE('',*,*,#180038,.T.); #236009=ORIENTED_EDGE('',*,*,#180035,.T.); #236010=ORIENTED_EDGE('',*,*,#180032,.T.); #236011=ORIENTED_EDGE('',*,*,#180029,.T.); #236012=ORIENTED_EDGE('',*,*,#180026,.T.); #236013=ORIENTED_EDGE('',*,*,#180023,.T.); #236014=ORIENTED_EDGE('',*,*,#180020,.T.); #236015=ORIENTED_EDGE('',*,*,#180017,.T.); #236016=ORIENTED_EDGE('',*,*,#180014,.T.); #236017=ORIENTED_EDGE('',*,*,#180011,.T.); #236018=ORIENTED_EDGE('',*,*,#180008,.T.); #236019=ORIENTED_EDGE('',*,*,#180005,.T.); #236020=ORIENTED_EDGE('',*,*,#180002,.T.); #236021=ORIENTED_EDGE('',*,*,#179999,.T.); #236022=ORIENTED_EDGE('',*,*,#179996,.T.); #236023=ORIENTED_EDGE('',*,*,#179993,.T.); #236024=ORIENTED_EDGE('',*,*,#179990,.T.); #236025=ORIENTED_EDGE('',*,*,#179987,.T.); #236026=ORIENTED_EDGE('',*,*,#179984,.T.); #236027=ORIENTED_EDGE('',*,*,#179981,.T.); #236028=ORIENTED_EDGE('',*,*,#179978,.T.); #236029=ORIENTED_EDGE('',*,*,#179975,.T.); #236030=ORIENTED_EDGE('',*,*,#179972,.T.); #236031=ORIENTED_EDGE('',*,*,#179969,.T.); #236032=ORIENTED_EDGE('',*,*,#179966,.T.); #236033=ORIENTED_EDGE('',*,*,#179963,.T.); #236034=ORIENTED_EDGE('',*,*,#179960,.T.); #236035=ORIENTED_EDGE('',*,*,#179957,.T.); #236036=ORIENTED_EDGE('',*,*,#179954,.T.); #236037=ORIENTED_EDGE('',*,*,#179951,.T.); #236038=ORIENTED_EDGE('',*,*,#179948,.T.); #236039=ORIENTED_EDGE('',*,*,#179945,.T.); #236040=ORIENTED_EDGE('',*,*,#179942,.T.); #236041=ORIENTED_EDGE('',*,*,#179939,.T.); #236042=ORIENTED_EDGE('',*,*,#179936,.T.); #236043=ORIENTED_EDGE('',*,*,#179933,.T.); #236044=ORIENTED_EDGE('',*,*,#179930,.T.); #236045=ORIENTED_EDGE('',*,*,#179927,.T.); #236046=ORIENTED_EDGE('',*,*,#179924,.T.); #236047=ORIENTED_EDGE('',*,*,#179921,.T.); #236048=ORIENTED_EDGE('',*,*,#179918,.T.); #236049=ORIENTED_EDGE('',*,*,#179915,.T.); #236050=ORIENTED_EDGE('',*,*,#179912,.T.); #236051=ORIENTED_EDGE('',*,*,#179909,.T.); #236052=ORIENTED_EDGE('',*,*,#179906,.T.); #236053=ORIENTED_EDGE('',*,*,#179903,.T.); #236054=ORIENTED_EDGE('',*,*,#179900,.T.); #236055=ORIENTED_EDGE('',*,*,#179897,.T.); #236056=ORIENTED_EDGE('',*,*,#179894,.T.); #236057=ORIENTED_EDGE('',*,*,#179891,.T.); #236058=ORIENTED_EDGE('',*,*,#179888,.T.); #236059=ORIENTED_EDGE('',*,*,#179885,.T.); #236060=ORIENTED_EDGE('',*,*,#179882,.T.); #236061=ORIENTED_EDGE('',*,*,#179879,.T.); #236062=ORIENTED_EDGE('',*,*,#179876,.T.); #236063=ORIENTED_EDGE('',*,*,#179873,.T.); #236064=ORIENTED_EDGE('',*,*,#179870,.T.); #236065=ORIENTED_EDGE('',*,*,#179867,.T.); #236066=ORIENTED_EDGE('',*,*,#179864,.T.); #236067=ORIENTED_EDGE('',*,*,#179861,.T.); #236068=ORIENTED_EDGE('',*,*,#179858,.T.); #236069=ORIENTED_EDGE('',*,*,#179855,.T.); #236070=ORIENTED_EDGE('',*,*,#179852,.T.); #236071=ORIENTED_EDGE('',*,*,#179849,.T.); #236072=ORIENTED_EDGE('',*,*,#179846,.T.); #236073=ORIENTED_EDGE('',*,*,#179843,.T.); #236074=ORIENTED_EDGE('',*,*,#179840,.T.); #236075=ORIENTED_EDGE('',*,*,#179837,.T.); #236076=ORIENTED_EDGE('',*,*,#179834,.T.); #236077=ORIENTED_EDGE('',*,*,#179831,.T.); #236078=ORIENTED_EDGE('',*,*,#179828,.T.); #236079=ORIENTED_EDGE('',*,*,#179825,.T.); #236080=ORIENTED_EDGE('',*,*,#179822,.T.); #236081=ORIENTED_EDGE('',*,*,#179819,.T.); #236082=ORIENTED_EDGE('',*,*,#179816,.T.); #236083=ORIENTED_EDGE('',*,*,#179813,.T.); #236084=ORIENTED_EDGE('',*,*,#179810,.T.); #236085=ORIENTED_EDGE('',*,*,#179807,.T.); #236086=ORIENTED_EDGE('',*,*,#179804,.T.); #236087=ORIENTED_EDGE('',*,*,#179801,.T.); #236088=ORIENTED_EDGE('',*,*,#179798,.T.); #236089=ORIENTED_EDGE('',*,*,#179795,.T.); #236090=ORIENTED_EDGE('',*,*,#179792,.T.); #236091=ORIENTED_EDGE('',*,*,#179789,.T.); #236092=ORIENTED_EDGE('',*,*,#179786,.T.); #236093=ORIENTED_EDGE('',*,*,#179783,.T.); #236094=ORIENTED_EDGE('',*,*,#179780,.T.); #236095=ORIENTED_EDGE('',*,*,#179777,.T.); #236096=ORIENTED_EDGE('',*,*,#179774,.T.); #236097=ORIENTED_EDGE('',*,*,#179771,.T.); #236098=ORIENTED_EDGE('',*,*,#179768,.T.); #236099=ORIENTED_EDGE('',*,*,#179765,.T.); #236100=ORIENTED_EDGE('',*,*,#179762,.T.); #236101=ORIENTED_EDGE('',*,*,#179759,.T.); #236102=ORIENTED_EDGE('',*,*,#179756,.T.); #236103=ORIENTED_EDGE('',*,*,#179753,.T.); #236104=ORIENTED_EDGE('',*,*,#179750,.T.); #236105=ORIENTED_EDGE('',*,*,#179747,.T.); #236106=ORIENTED_EDGE('',*,*,#179744,.T.); #236107=ORIENTED_EDGE('',*,*,#179741,.T.); #236108=ORIENTED_EDGE('',*,*,#179738,.T.); #236109=ORIENTED_EDGE('',*,*,#179735,.T.); #236110=ORIENTED_EDGE('',*,*,#179732,.T.); #236111=ORIENTED_EDGE('',*,*,#179729,.T.); #236112=ORIENTED_EDGE('',*,*,#179726,.T.); #236113=ORIENTED_EDGE('',*,*,#179723,.T.); #236114=ORIENTED_EDGE('',*,*,#179720,.T.); #236115=ORIENTED_EDGE('',*,*,#179717,.T.); #236116=ORIENTED_EDGE('',*,*,#179714,.T.); #236117=ORIENTED_EDGE('',*,*,#179711,.T.); #236118=ORIENTED_EDGE('',*,*,#179708,.T.); #236119=ORIENTED_EDGE('',*,*,#179705,.T.); #236120=ORIENTED_EDGE('',*,*,#179702,.T.); #236121=ORIENTED_EDGE('',*,*,#179699,.T.); #236122=ORIENTED_EDGE('',*,*,#179696,.T.); #236123=ORIENTED_EDGE('',*,*,#179693,.T.); #236124=ORIENTED_EDGE('',*,*,#179690,.T.); #236125=ORIENTED_EDGE('',*,*,#179687,.T.); #236126=ORIENTED_EDGE('',*,*,#179684,.T.); #236127=ORIENTED_EDGE('',*,*,#179681,.T.); #236128=ORIENTED_EDGE('',*,*,#179678,.T.); #236129=ORIENTED_EDGE('',*,*,#179675,.T.); #236130=ORIENTED_EDGE('',*,*,#179672,.T.); #236131=ORIENTED_EDGE('',*,*,#179669,.T.); #236132=ORIENTED_EDGE('',*,*,#179666,.T.); #236133=ORIENTED_EDGE('',*,*,#179663,.T.); #236134=ORIENTED_EDGE('',*,*,#179660,.T.); #236135=ORIENTED_EDGE('',*,*,#179657,.T.); #236136=ORIENTED_EDGE('',*,*,#179654,.T.); #236137=ORIENTED_EDGE('',*,*,#179651,.T.); #236138=ORIENTED_EDGE('',*,*,#179648,.T.); #236139=ORIENTED_EDGE('',*,*,#179645,.T.); #236140=ORIENTED_EDGE('',*,*,#179642,.T.); #236141=ORIENTED_EDGE('',*,*,#179639,.T.); #236142=ORIENTED_EDGE('',*,*,#179636,.T.); #236143=ORIENTED_EDGE('',*,*,#179633,.T.); #236144=ORIENTED_EDGE('',*,*,#179630,.T.); #236145=ORIENTED_EDGE('',*,*,#179627,.T.); #236146=ORIENTED_EDGE('',*,*,#179624,.T.); #236147=ORIENTED_EDGE('',*,*,#179621,.T.); #236148=ORIENTED_EDGE('',*,*,#179618,.T.); #236149=ORIENTED_EDGE('',*,*,#179615,.T.); #236150=ORIENTED_EDGE('',*,*,#179612,.T.); #236151=ORIENTED_EDGE('',*,*,#179609,.T.); #236152=ORIENTED_EDGE('',*,*,#179606,.T.); #236153=ORIENTED_EDGE('',*,*,#179603,.T.); #236154=ORIENTED_EDGE('',*,*,#179600,.T.); #236155=ORIENTED_EDGE('',*,*,#179597,.T.); #236156=ORIENTED_EDGE('',*,*,#179594,.T.); #236157=ORIENTED_EDGE('',*,*,#179591,.T.); #236158=ORIENTED_EDGE('',*,*,#179588,.T.); #236159=ORIENTED_EDGE('',*,*,#179585,.T.); #236160=ORIENTED_EDGE('',*,*,#179582,.T.); #236161=ORIENTED_EDGE('',*,*,#179579,.T.); #236162=ORIENTED_EDGE('',*,*,#179576,.T.); #236163=ORIENTED_EDGE('',*,*,#179573,.T.); #236164=ORIENTED_EDGE('',*,*,#179570,.T.); #236165=ORIENTED_EDGE('',*,*,#179567,.T.); #236166=ORIENTED_EDGE('',*,*,#179564,.T.); #236167=ORIENTED_EDGE('',*,*,#179561,.T.); #236168=ORIENTED_EDGE('',*,*,#179558,.T.); #236169=ORIENTED_EDGE('',*,*,#179555,.T.); #236170=ORIENTED_EDGE('',*,*,#179552,.T.); #236171=ORIENTED_EDGE('',*,*,#179549,.T.); #236172=ORIENTED_EDGE('',*,*,#179546,.T.); #236173=ORIENTED_EDGE('',*,*,#179543,.T.); #236174=ORIENTED_EDGE('',*,*,#179540,.T.); #236175=ORIENTED_EDGE('',*,*,#179537,.T.); #236176=ORIENTED_EDGE('',*,*,#179534,.T.); #236177=ORIENTED_EDGE('',*,*,#179531,.T.); #236178=ORIENTED_EDGE('',*,*,#179528,.T.); #236179=ORIENTED_EDGE('',*,*,#179525,.T.); #236180=ORIENTED_EDGE('',*,*,#179522,.T.); #236181=ORIENTED_EDGE('',*,*,#179519,.T.); #236182=ORIENTED_EDGE('',*,*,#179516,.T.); #236183=ORIENTED_EDGE('',*,*,#179513,.T.); #236184=ORIENTED_EDGE('',*,*,#179510,.T.); #236185=ORIENTED_EDGE('',*,*,#179507,.T.); #236186=ORIENTED_EDGE('',*,*,#179504,.T.); #236187=ORIENTED_EDGE('',*,*,#179501,.T.); #236188=ORIENTED_EDGE('',*,*,#179498,.T.); #236189=ORIENTED_EDGE('',*,*,#179495,.T.); #236190=ORIENTED_EDGE('',*,*,#179492,.T.); #236191=ORIENTED_EDGE('',*,*,#179489,.T.); #236192=ORIENTED_EDGE('',*,*,#179486,.T.); #236193=ORIENTED_EDGE('',*,*,#179483,.T.); #236194=ORIENTED_EDGE('',*,*,#179480,.T.); #236195=ORIENTED_EDGE('',*,*,#179477,.T.); #236196=ORIENTED_EDGE('',*,*,#179474,.T.); #236197=ORIENTED_EDGE('',*,*,#179471,.T.); #236198=ORIENTED_EDGE('',*,*,#179468,.T.); #236199=ORIENTED_EDGE('',*,*,#179465,.T.); #236200=ORIENTED_EDGE('',*,*,#179462,.T.); #236201=ORIENTED_EDGE('',*,*,#179459,.T.); #236202=ORIENTED_EDGE('',*,*,#179456,.T.); #236203=ORIENTED_EDGE('',*,*,#179453,.T.); #236204=ORIENTED_EDGE('',*,*,#179450,.T.); #236205=ORIENTED_EDGE('',*,*,#179447,.T.); #236206=ORIENTED_EDGE('',*,*,#179444,.T.); #236207=ORIENTED_EDGE('',*,*,#179441,.T.); #236208=ORIENTED_EDGE('',*,*,#179438,.T.); #236209=ORIENTED_EDGE('',*,*,#179435,.T.); #236210=ORIENTED_EDGE('',*,*,#179432,.T.); #236211=ORIENTED_EDGE('',*,*,#179429,.T.); #236212=ORIENTED_EDGE('',*,*,#179426,.T.); #236213=ORIENTED_EDGE('',*,*,#179423,.T.); #236214=ORIENTED_EDGE('',*,*,#179420,.T.); #236215=ORIENTED_EDGE('',*,*,#179417,.T.); #236216=ORIENTED_EDGE('',*,*,#179414,.T.); #236217=ORIENTED_EDGE('',*,*,#179411,.T.); #236218=ORIENTED_EDGE('',*,*,#179408,.T.); #236219=ORIENTED_EDGE('',*,*,#179405,.T.); #236220=ORIENTED_EDGE('',*,*,#179402,.T.); #236221=ORIENTED_EDGE('',*,*,#179399,.T.); #236222=ORIENTED_EDGE('',*,*,#179396,.T.); #236223=ORIENTED_EDGE('',*,*,#179393,.T.); #236224=ORIENTED_EDGE('',*,*,#179390,.T.); #236225=ORIENTED_EDGE('',*,*,#179387,.T.); #236226=ORIENTED_EDGE('',*,*,#179384,.T.); #236227=ORIENTED_EDGE('',*,*,#179381,.T.); #236228=ORIENTED_EDGE('',*,*,#179378,.T.); #236229=ORIENTED_EDGE('',*,*,#179375,.T.); #236230=ORIENTED_EDGE('',*,*,#179372,.T.); #236231=ORIENTED_EDGE('',*,*,#179369,.T.); #236232=ORIENTED_EDGE('',*,*,#179366,.T.); #236233=ORIENTED_EDGE('',*,*,#179363,.T.); #236234=ORIENTED_EDGE('',*,*,#179360,.T.); #236235=ORIENTED_EDGE('',*,*,#179357,.T.); #236236=ORIENTED_EDGE('',*,*,#179354,.T.); #236237=ORIENTED_EDGE('',*,*,#179351,.T.); #236238=ORIENTED_EDGE('',*,*,#179348,.T.); #236239=ORIENTED_EDGE('',*,*,#179345,.T.); #236240=ORIENTED_EDGE('',*,*,#179342,.T.); #236241=ORIENTED_EDGE('',*,*,#179339,.T.); #236242=ORIENTED_EDGE('',*,*,#179336,.T.); #236243=ORIENTED_EDGE('',*,*,#179333,.T.); #236244=ORIENTED_EDGE('',*,*,#179330,.T.); #236245=ORIENTED_EDGE('',*,*,#179327,.T.); #236246=ORIENTED_EDGE('',*,*,#179324,.T.); #236247=ORIENTED_EDGE('',*,*,#179321,.T.); #236248=ORIENTED_EDGE('',*,*,#179318,.T.); #236249=ORIENTED_EDGE('',*,*,#179315,.T.); #236250=ORIENTED_EDGE('',*,*,#179312,.T.); #236251=ORIENTED_EDGE('',*,*,#179309,.T.); #236252=ORIENTED_EDGE('',*,*,#179306,.T.); #236253=ORIENTED_EDGE('',*,*,#179303,.T.); #236254=ORIENTED_EDGE('',*,*,#179300,.T.); #236255=ORIENTED_EDGE('',*,*,#179297,.T.); #236256=ORIENTED_EDGE('',*,*,#179294,.T.); #236257=ORIENTED_EDGE('',*,*,#179291,.T.); #236258=ORIENTED_EDGE('',*,*,#179288,.T.); #236259=ORIENTED_EDGE('',*,*,#179285,.T.); #236260=ORIENTED_EDGE('',*,*,#179282,.T.); #236261=ORIENTED_EDGE('',*,*,#179279,.T.); #236262=ORIENTED_EDGE('',*,*,#179276,.T.); #236263=ORIENTED_EDGE('',*,*,#179273,.T.); #236264=ORIENTED_EDGE('',*,*,#179270,.T.); #236265=ORIENTED_EDGE('',*,*,#179267,.T.); #236266=ORIENTED_EDGE('',*,*,#179264,.T.); #236267=ORIENTED_EDGE('',*,*,#179261,.T.); #236268=ORIENTED_EDGE('',*,*,#179258,.T.); #236269=ORIENTED_EDGE('',*,*,#179255,.T.); #236270=ORIENTED_EDGE('',*,*,#179252,.T.); #236271=ORIENTED_EDGE('',*,*,#179249,.T.); #236272=ORIENTED_EDGE('',*,*,#179246,.T.); #236273=ORIENTED_EDGE('',*,*,#179243,.T.); #236274=ORIENTED_EDGE('',*,*,#179240,.T.); #236275=ORIENTED_EDGE('',*,*,#179237,.T.); #236276=ORIENTED_EDGE('',*,*,#179234,.T.); #236277=ORIENTED_EDGE('',*,*,#179231,.T.); #236278=ORIENTED_EDGE('',*,*,#179228,.T.); #236279=ORIENTED_EDGE('',*,*,#179225,.T.); #236280=ORIENTED_EDGE('',*,*,#179222,.T.); #236281=ORIENTED_EDGE('',*,*,#179219,.T.); #236282=ORIENTED_EDGE('',*,*,#179216,.T.); #236283=ORIENTED_EDGE('',*,*,#179213,.T.); #236284=ORIENTED_EDGE('',*,*,#179210,.T.); #236285=ORIENTED_EDGE('',*,*,#179207,.T.); #236286=ORIENTED_EDGE('',*,*,#179204,.T.); #236287=ORIENTED_EDGE('',*,*,#179201,.T.); #236288=ORIENTED_EDGE('',*,*,#179198,.T.); #236289=ORIENTED_EDGE('',*,*,#179195,.T.); #236290=ORIENTED_EDGE('',*,*,#179192,.T.); #236291=ORIENTED_EDGE('',*,*,#179189,.T.); #236292=ORIENTED_EDGE('',*,*,#179186,.T.); #236293=ORIENTED_EDGE('',*,*,#179183,.T.); #236294=ORIENTED_EDGE('',*,*,#179180,.T.); #236295=ORIENTED_EDGE('',*,*,#179177,.T.); #236296=ORIENTED_EDGE('',*,*,#179174,.T.); #236297=ORIENTED_EDGE('',*,*,#179171,.T.); #236298=ORIENTED_EDGE('',*,*,#179168,.T.); #236299=ORIENTED_EDGE('',*,*,#179165,.T.); #236300=ORIENTED_EDGE('',*,*,#179162,.T.); #236301=ORIENTED_EDGE('',*,*,#179159,.T.); #236302=ORIENTED_EDGE('',*,*,#179156,.T.); #236303=ORIENTED_EDGE('',*,*,#179153,.T.); #236304=ORIENTED_EDGE('',*,*,#179150,.T.); #236305=ORIENTED_EDGE('',*,*,#179147,.T.); #236306=ORIENTED_EDGE('',*,*,#179144,.T.); #236307=ORIENTED_EDGE('',*,*,#179141,.T.); #236308=ORIENTED_EDGE('',*,*,#179138,.T.); #236309=ORIENTED_EDGE('',*,*,#179135,.T.); #236310=ORIENTED_EDGE('',*,*,#179132,.T.); #236311=ORIENTED_EDGE('',*,*,#179129,.T.); #236312=ORIENTED_EDGE('',*,*,#179126,.T.); #236313=ORIENTED_EDGE('',*,*,#179123,.T.); #236314=ORIENTED_EDGE('',*,*,#179120,.T.); #236315=ORIENTED_EDGE('',*,*,#179117,.T.); #236316=ORIENTED_EDGE('',*,*,#179114,.T.); #236317=ORIENTED_EDGE('',*,*,#179111,.T.); #236318=ORIENTED_EDGE('',*,*,#179108,.T.); #236319=ORIENTED_EDGE('',*,*,#179105,.T.); #236320=ORIENTED_EDGE('',*,*,#179102,.T.); #236321=ORIENTED_EDGE('',*,*,#179099,.T.); #236322=ORIENTED_EDGE('',*,*,#179096,.T.); #236323=ORIENTED_EDGE('',*,*,#179093,.T.); #236324=ORIENTED_EDGE('',*,*,#179090,.T.); #236325=ORIENTED_EDGE('',*,*,#179087,.T.); #236326=ORIENTED_EDGE('',*,*,#179084,.T.); #236327=ORIENTED_EDGE('',*,*,#179081,.T.); #236328=ORIENTED_EDGE('',*,*,#179078,.T.); #236329=ORIENTED_EDGE('',*,*,#179075,.T.); #236330=ORIENTED_EDGE('',*,*,#179072,.T.); #236331=ORIENTED_EDGE('',*,*,#179069,.T.); #236332=ORIENTED_EDGE('',*,*,#179066,.T.); #236333=ORIENTED_EDGE('',*,*,#179063,.T.); #236334=ORIENTED_EDGE('',*,*,#179060,.T.); #236335=ORIENTED_EDGE('',*,*,#179057,.T.); #236336=ORIENTED_EDGE('',*,*,#179054,.T.); #236337=ORIENTED_EDGE('',*,*,#179051,.T.); #236338=ORIENTED_EDGE('',*,*,#179048,.T.); #236339=ORIENTED_EDGE('',*,*,#179045,.T.); #236340=ORIENTED_EDGE('',*,*,#179042,.T.); #236341=ORIENTED_EDGE('',*,*,#179039,.T.); #236342=ORIENTED_EDGE('',*,*,#179036,.T.); #236343=ORIENTED_EDGE('',*,*,#179033,.T.); #236344=ORIENTED_EDGE('',*,*,#179030,.T.); #236345=ORIENTED_EDGE('',*,*,#179027,.T.); #236346=ORIENTED_EDGE('',*,*,#179024,.T.); #236347=ORIENTED_EDGE('',*,*,#179021,.T.); #236348=ORIENTED_EDGE('',*,*,#179018,.T.); #236349=ORIENTED_EDGE('',*,*,#179015,.T.); #236350=ORIENTED_EDGE('',*,*,#179012,.T.); #236351=ORIENTED_EDGE('',*,*,#179009,.T.); #236352=ORIENTED_EDGE('',*,*,#179006,.T.); #236353=ORIENTED_EDGE('',*,*,#179003,.T.); #236354=ORIENTED_EDGE('',*,*,#179000,.T.); #236355=ORIENTED_EDGE('',*,*,#178997,.T.); #236356=ORIENTED_EDGE('',*,*,#178994,.T.); #236357=ORIENTED_EDGE('',*,*,#178991,.T.); #236358=ORIENTED_EDGE('',*,*,#178988,.T.); #236359=ORIENTED_EDGE('',*,*,#178985,.T.); #236360=ORIENTED_EDGE('',*,*,#178982,.T.); #236361=ORIENTED_EDGE('',*,*,#178979,.T.); #236362=ORIENTED_EDGE('',*,*,#178976,.T.); #236363=ORIENTED_EDGE('',*,*,#178973,.T.); #236364=ORIENTED_EDGE('',*,*,#178970,.T.); #236365=ORIENTED_EDGE('',*,*,#178967,.T.); #236366=ORIENTED_EDGE('',*,*,#178964,.T.); #236367=ORIENTED_EDGE('',*,*,#178961,.T.); #236368=ORIENTED_EDGE('',*,*,#178958,.T.); #236369=ORIENTED_EDGE('',*,*,#178955,.T.); #236370=ORIENTED_EDGE('',*,*,#178952,.T.); #236371=ORIENTED_EDGE('',*,*,#178949,.T.); #236372=ORIENTED_EDGE('',*,*,#178946,.T.); #236373=ORIENTED_EDGE('',*,*,#178943,.T.); #236374=ORIENTED_EDGE('',*,*,#178940,.T.); #236375=ORIENTED_EDGE('',*,*,#178937,.T.); #236376=ORIENTED_EDGE('',*,*,#178934,.T.); #236377=ORIENTED_EDGE('',*,*,#178931,.T.); #236378=ORIENTED_EDGE('',*,*,#178928,.T.); #236379=ORIENTED_EDGE('',*,*,#178925,.T.); #236380=ORIENTED_EDGE('',*,*,#178922,.T.); #236381=ORIENTED_EDGE('',*,*,#178919,.T.); #236382=ORIENTED_EDGE('',*,*,#178916,.T.); #236383=ORIENTED_EDGE('',*,*,#178913,.T.); #236384=ORIENTED_EDGE('',*,*,#178910,.T.); #236385=ORIENTED_EDGE('',*,*,#178907,.T.); #236386=ORIENTED_EDGE('',*,*,#178904,.T.); #236387=ORIENTED_EDGE('',*,*,#178901,.T.); #236388=ORIENTED_EDGE('',*,*,#178898,.T.); #236389=ORIENTED_EDGE('',*,*,#178895,.T.); #236390=ORIENTED_EDGE('',*,*,#178892,.T.); #236391=ORIENTED_EDGE('',*,*,#178889,.T.); #236392=ORIENTED_EDGE('',*,*,#178886,.T.); #236393=ORIENTED_EDGE('',*,*,#178883,.T.); #236394=ORIENTED_EDGE('',*,*,#178880,.T.); #236395=ORIENTED_EDGE('',*,*,#178877,.T.); #236396=ORIENTED_EDGE('',*,*,#178874,.T.); #236397=ORIENTED_EDGE('',*,*,#178871,.T.); #236398=ORIENTED_EDGE('',*,*,#178868,.T.); #236399=ORIENTED_EDGE('',*,*,#178865,.T.); #236400=ORIENTED_EDGE('',*,*,#178862,.T.); #236401=ORIENTED_EDGE('',*,*,#178859,.T.); #236402=ORIENTED_EDGE('',*,*,#178856,.T.); #236403=ORIENTED_EDGE('',*,*,#178853,.T.); #236404=ORIENTED_EDGE('',*,*,#178850,.T.); #236405=ORIENTED_EDGE('',*,*,#178847,.T.); #236406=ORIENTED_EDGE('',*,*,#178844,.T.); #236407=ORIENTED_EDGE('',*,*,#178841,.T.); #236408=ORIENTED_EDGE('',*,*,#178838,.T.); #236409=ORIENTED_EDGE('',*,*,#178835,.T.); #236410=ORIENTED_EDGE('',*,*,#178832,.T.); #236411=ORIENTED_EDGE('',*,*,#178829,.T.); #236412=ORIENTED_EDGE('',*,*,#178826,.T.); #236413=ORIENTED_EDGE('',*,*,#178823,.T.); #236414=ORIENTED_EDGE('',*,*,#178820,.T.); #236415=ORIENTED_EDGE('',*,*,#178817,.T.); #236416=ORIENTED_EDGE('',*,*,#178814,.T.); #236417=ORIENTED_EDGE('',*,*,#178811,.T.); #236418=ORIENTED_EDGE('',*,*,#178808,.T.); #236419=ORIENTED_EDGE('',*,*,#178805,.T.); #236420=ORIENTED_EDGE('',*,*,#178802,.T.); #236421=ORIENTED_EDGE('',*,*,#178799,.T.); #236422=ORIENTED_EDGE('',*,*,#178796,.T.); #236423=ORIENTED_EDGE('',*,*,#178793,.T.); #236424=ORIENTED_EDGE('',*,*,#178790,.T.); #236425=ORIENTED_EDGE('',*,*,#178787,.T.); #236426=ORIENTED_EDGE('',*,*,#178784,.T.); #236427=ORIENTED_EDGE('',*,*,#178781,.T.); #236428=ORIENTED_EDGE('',*,*,#178778,.T.); #236429=ORIENTED_EDGE('',*,*,#178775,.T.); #236430=ORIENTED_EDGE('',*,*,#178772,.T.); #236431=ORIENTED_EDGE('',*,*,#178769,.T.); #236432=ORIENTED_EDGE('',*,*,#178766,.T.); #236433=ORIENTED_EDGE('',*,*,#178763,.T.); #236434=ORIENTED_EDGE('',*,*,#178760,.T.); #236435=ORIENTED_EDGE('',*,*,#178757,.T.); #236436=ORIENTED_EDGE('',*,*,#178754,.T.); #236437=ORIENTED_EDGE('',*,*,#178751,.T.); #236438=ORIENTED_EDGE('',*,*,#178748,.T.); #236439=ORIENTED_EDGE('',*,*,#178745,.T.); #236440=ORIENTED_EDGE('',*,*,#178742,.T.); #236441=ORIENTED_EDGE('',*,*,#178739,.T.); #236442=ORIENTED_EDGE('',*,*,#178736,.T.); #236443=ORIENTED_EDGE('',*,*,#178733,.T.); #236444=ORIENTED_EDGE('',*,*,#178730,.T.); #236445=ORIENTED_EDGE('',*,*,#178727,.T.); #236446=ORIENTED_EDGE('',*,*,#178724,.T.); #236447=ORIENTED_EDGE('',*,*,#178721,.T.); #236448=ORIENTED_EDGE('',*,*,#178718,.T.); #236449=ORIENTED_EDGE('',*,*,#178715,.T.); #236450=ORIENTED_EDGE('',*,*,#178712,.T.); #236451=ORIENTED_EDGE('',*,*,#178709,.T.); #236452=ORIENTED_EDGE('',*,*,#178706,.T.); #236453=ORIENTED_EDGE('',*,*,#178703,.T.); #236454=ORIENTED_EDGE('',*,*,#178700,.T.); #236455=ORIENTED_EDGE('',*,*,#178697,.T.); #236456=ORIENTED_EDGE('',*,*,#178694,.T.); #236457=ORIENTED_EDGE('',*,*,#178691,.T.); #236458=ORIENTED_EDGE('',*,*,#178688,.T.); #236459=ORIENTED_EDGE('',*,*,#178685,.T.); #236460=ORIENTED_EDGE('',*,*,#178682,.T.); #236461=ORIENTED_EDGE('',*,*,#178679,.T.); #236462=ORIENTED_EDGE('',*,*,#178676,.T.); #236463=ORIENTED_EDGE('',*,*,#178673,.T.); #236464=ORIENTED_EDGE('',*,*,#178670,.T.); #236465=ORIENTED_EDGE('',*,*,#178667,.T.); #236466=ORIENTED_EDGE('',*,*,#181474,.F.); #236467=ORIENTED_EDGE('',*,*,#181116,.F.); #236468=ORIENTED_EDGE('',*,*,#181120,.F.); #236469=ORIENTED_EDGE('',*,*,#181123,.F.); #236470=ORIENTED_EDGE('',*,*,#181126,.F.); #236471=ORIENTED_EDGE('',*,*,#181129,.F.); #236472=ORIENTED_EDGE('',*,*,#181132,.F.); #236473=ORIENTED_EDGE('',*,*,#181135,.F.); #236474=ORIENTED_EDGE('',*,*,#181138,.F.); #236475=ORIENTED_EDGE('',*,*,#181141,.F.); #236476=ORIENTED_EDGE('',*,*,#181144,.F.); #236477=ORIENTED_EDGE('',*,*,#181147,.F.); #236478=ORIENTED_EDGE('',*,*,#181150,.F.); #236479=ORIENTED_EDGE('',*,*,#181153,.F.); #236480=ORIENTED_EDGE('',*,*,#181156,.F.); #236481=ORIENTED_EDGE('',*,*,#181159,.F.); #236482=ORIENTED_EDGE('',*,*,#181162,.F.); #236483=ORIENTED_EDGE('',*,*,#181165,.F.); #236484=ORIENTED_EDGE('',*,*,#181168,.F.); #236485=ORIENTED_EDGE('',*,*,#181171,.F.); #236486=ORIENTED_EDGE('',*,*,#181174,.F.); #236487=ORIENTED_EDGE('',*,*,#181177,.F.); #236488=ORIENTED_EDGE('',*,*,#181180,.F.); #236489=ORIENTED_EDGE('',*,*,#181183,.F.); #236490=ORIENTED_EDGE('',*,*,#181186,.F.); #236491=ORIENTED_EDGE('',*,*,#181189,.F.); #236492=ORIENTED_EDGE('',*,*,#181192,.F.); #236493=ORIENTED_EDGE('',*,*,#181195,.F.); #236494=ORIENTED_EDGE('',*,*,#181198,.F.); #236495=ORIENTED_EDGE('',*,*,#181201,.F.); #236496=ORIENTED_EDGE('',*,*,#181204,.F.); #236497=ORIENTED_EDGE('',*,*,#181207,.F.); #236498=ORIENTED_EDGE('',*,*,#181210,.F.); #236499=ORIENTED_EDGE('',*,*,#181213,.F.); #236500=ORIENTED_EDGE('',*,*,#181216,.F.); #236501=ORIENTED_EDGE('',*,*,#181219,.F.); #236502=ORIENTED_EDGE('',*,*,#181222,.F.); #236503=ORIENTED_EDGE('',*,*,#181225,.F.); #236504=ORIENTED_EDGE('',*,*,#181228,.F.); #236505=ORIENTED_EDGE('',*,*,#181231,.F.); #236506=ORIENTED_EDGE('',*,*,#181234,.F.); #236507=ORIENTED_EDGE('',*,*,#181237,.F.); #236508=ORIENTED_EDGE('',*,*,#181240,.F.); #236509=ORIENTED_EDGE('',*,*,#181243,.F.); #236510=ORIENTED_EDGE('',*,*,#181246,.F.); #236511=ORIENTED_EDGE('',*,*,#181249,.F.); #236512=ORIENTED_EDGE('',*,*,#181252,.F.); #236513=ORIENTED_EDGE('',*,*,#181255,.F.); #236514=ORIENTED_EDGE('',*,*,#181258,.F.); #236515=ORIENTED_EDGE('',*,*,#181261,.F.); #236516=ORIENTED_EDGE('',*,*,#181264,.F.); #236517=ORIENTED_EDGE('',*,*,#181267,.F.); #236518=ORIENTED_EDGE('',*,*,#181270,.F.); #236519=ORIENTED_EDGE('',*,*,#181273,.F.); #236520=ORIENTED_EDGE('',*,*,#181276,.F.); #236521=ORIENTED_EDGE('',*,*,#181279,.F.); #236522=ORIENTED_EDGE('',*,*,#181282,.F.); #236523=ORIENTED_EDGE('',*,*,#181285,.F.); #236524=ORIENTED_EDGE('',*,*,#181288,.F.); #236525=ORIENTED_EDGE('',*,*,#181291,.F.); #236526=ORIENTED_EDGE('',*,*,#181294,.F.); #236527=ORIENTED_EDGE('',*,*,#181297,.F.); #236528=ORIENTED_EDGE('',*,*,#181300,.F.); #236529=ORIENTED_EDGE('',*,*,#181303,.F.); #236530=ORIENTED_EDGE('',*,*,#181306,.F.); #236531=ORIENTED_EDGE('',*,*,#181309,.F.); #236532=ORIENTED_EDGE('',*,*,#181312,.F.); #236533=ORIENTED_EDGE('',*,*,#181315,.F.); #236534=ORIENTED_EDGE('',*,*,#181318,.F.); #236535=ORIENTED_EDGE('',*,*,#181321,.F.); #236536=ORIENTED_EDGE('',*,*,#181324,.F.); #236537=ORIENTED_EDGE('',*,*,#181327,.F.); #236538=ORIENTED_EDGE('',*,*,#181330,.F.); #236539=ORIENTED_EDGE('',*,*,#181333,.F.); #236540=ORIENTED_EDGE('',*,*,#181336,.F.); #236541=ORIENTED_EDGE('',*,*,#181339,.F.); #236542=ORIENTED_EDGE('',*,*,#181342,.F.); #236543=ORIENTED_EDGE('',*,*,#181345,.F.); #236544=ORIENTED_EDGE('',*,*,#181348,.F.); #236545=ORIENTED_EDGE('',*,*,#181351,.F.); #236546=ORIENTED_EDGE('',*,*,#181354,.F.); #236547=ORIENTED_EDGE('',*,*,#181357,.F.); #236548=ORIENTED_EDGE('',*,*,#181360,.F.); #236549=ORIENTED_EDGE('',*,*,#181363,.F.); #236550=ORIENTED_EDGE('',*,*,#181366,.F.); #236551=ORIENTED_EDGE('',*,*,#181369,.F.); #236552=ORIENTED_EDGE('',*,*,#181372,.F.); #236553=ORIENTED_EDGE('',*,*,#181375,.F.); #236554=ORIENTED_EDGE('',*,*,#181378,.F.); #236555=ORIENTED_EDGE('',*,*,#181381,.F.); #236556=ORIENTED_EDGE('',*,*,#181384,.F.); #236557=ORIENTED_EDGE('',*,*,#181387,.F.); #236558=ORIENTED_EDGE('',*,*,#181390,.F.); #236559=ORIENTED_EDGE('',*,*,#181393,.F.); #236560=ORIENTED_EDGE('',*,*,#181396,.F.); #236561=ORIENTED_EDGE('',*,*,#181399,.F.); #236562=ORIENTED_EDGE('',*,*,#181402,.F.); #236563=ORIENTED_EDGE('',*,*,#181405,.F.); #236564=ORIENTED_EDGE('',*,*,#181408,.F.); #236565=ORIENTED_EDGE('',*,*,#181411,.F.); #236566=ORIENTED_EDGE('',*,*,#181414,.F.); #236567=ORIENTED_EDGE('',*,*,#181417,.F.); #236568=ORIENTED_EDGE('',*,*,#181420,.F.); #236569=ORIENTED_EDGE('',*,*,#181423,.F.); #236570=ORIENTED_EDGE('',*,*,#181426,.F.); #236571=ORIENTED_EDGE('',*,*,#181429,.F.); #236572=ORIENTED_EDGE('',*,*,#181432,.F.); #236573=ORIENTED_EDGE('',*,*,#181435,.F.); #236574=ORIENTED_EDGE('',*,*,#181438,.F.); #236575=ORIENTED_EDGE('',*,*,#181441,.F.); #236576=ORIENTED_EDGE('',*,*,#181444,.F.); #236577=ORIENTED_EDGE('',*,*,#181447,.F.); #236578=ORIENTED_EDGE('',*,*,#181450,.F.); #236579=ORIENTED_EDGE('',*,*,#181453,.F.); #236580=ORIENTED_EDGE('',*,*,#181456,.F.); #236581=ORIENTED_EDGE('',*,*,#181459,.F.); #236582=ORIENTED_EDGE('',*,*,#181462,.F.); #236583=ORIENTED_EDGE('',*,*,#181465,.F.); #236584=ORIENTED_EDGE('',*,*,#181468,.F.); #236585=ORIENTED_EDGE('',*,*,#181471,.F.); #236586=ORIENTED_EDGE('',*,*,#178443,.T.); #236587=ORIENTED_EDGE('',*,*,#178446,.T.); #236588=ORIENTED_EDGE('',*,*,#178449,.T.); #236589=ORIENTED_EDGE('',*,*,#178452,.T.); #236590=ORIENTED_EDGE('',*,*,#178455,.T.); #236591=ORIENTED_EDGE('',*,*,#178458,.T.); #236592=ORIENTED_EDGE('',*,*,#178461,.T.); #236593=ORIENTED_EDGE('',*,*,#178464,.T.); #236594=ORIENTED_EDGE('',*,*,#178467,.T.); #236595=ORIENTED_EDGE('',*,*,#178470,.T.); #236596=ORIENTED_EDGE('',*,*,#178473,.T.); #236597=ORIENTED_EDGE('',*,*,#178476,.T.); #236598=ORIENTED_EDGE('',*,*,#178479,.T.); #236599=ORIENTED_EDGE('',*,*,#178482,.T.); #236600=ORIENTED_EDGE('',*,*,#178485,.T.); #236601=ORIENTED_EDGE('',*,*,#178488,.T.); #236602=ORIENTED_EDGE('',*,*,#178491,.T.); #236603=ORIENTED_EDGE('',*,*,#178494,.T.); #236604=ORIENTED_EDGE('',*,*,#178497,.T.); #236605=ORIENTED_EDGE('',*,*,#178500,.T.); #236606=ORIENTED_EDGE('',*,*,#178503,.T.); #236607=ORIENTED_EDGE('',*,*,#178506,.T.); #236608=ORIENTED_EDGE('',*,*,#178509,.T.); #236609=ORIENTED_EDGE('',*,*,#178512,.T.); #236610=ORIENTED_EDGE('',*,*,#178515,.T.); #236611=ORIENTED_EDGE('',*,*,#178518,.T.); #236612=ORIENTED_EDGE('',*,*,#178521,.T.); #236613=ORIENTED_EDGE('',*,*,#178524,.T.); #236614=ORIENTED_EDGE('',*,*,#178527,.T.); #236615=ORIENTED_EDGE('',*,*,#178530,.T.); #236616=ORIENTED_EDGE('',*,*,#178533,.T.); #236617=ORIENTED_EDGE('',*,*,#178536,.T.); #236618=ORIENTED_EDGE('',*,*,#178539,.T.); #236619=ORIENTED_EDGE('',*,*,#178542,.T.); #236620=ORIENTED_EDGE('',*,*,#178545,.T.); #236621=ORIENTED_EDGE('',*,*,#178556,.T.); #236622=ORIENTED_EDGE('',*,*,#178553,.T.); #236623=ORIENTED_EDGE('',*,*,#178550,.T.); #236624=ORIENTED_EDGE('',*,*,#178559,.T.); #236625=ORIENTED_EDGE('',*,*,#178560,.T.); #236626=ORIENTED_EDGE('',*,*,#178563,.T.); #236627=ORIENTED_EDGE('',*,*,#178566,.T.); #236628=ORIENTED_EDGE('',*,*,#178569,.T.); #236629=ORIENTED_EDGE('',*,*,#178580,.T.); #236630=ORIENTED_EDGE('',*,*,#178577,.T.); #236631=ORIENTED_EDGE('',*,*,#178574,.T.); #236632=ORIENTED_EDGE('',*,*,#178583,.T.); #236633=ORIENTED_EDGE('',*,*,#178592,.T.); #236634=ORIENTED_EDGE('',*,*,#178589,.T.); #236635=ORIENTED_EDGE('',*,*,#178586,.T.); #236636=ORIENTED_EDGE('',*,*,#178595,.T.); #236637=ORIENTED_EDGE('',*,*,#178604,.T.); #236638=ORIENTED_EDGE('',*,*,#178601,.T.); #236639=ORIENTED_EDGE('',*,*,#178598,.T.); #236640=ORIENTED_EDGE('',*,*,#178607,.T.); #236641=ORIENTED_EDGE('',*,*,#178608,.T.); #236642=ORIENTED_EDGE('',*,*,#178611,.T.); #236643=ORIENTED_EDGE('',*,*,#178614,.T.); #236644=ORIENTED_EDGE('',*,*,#178617,.T.); #236645=ORIENTED_EDGE('',*,*,#178620,.T.); #236646=ORIENTED_EDGE('',*,*,#178623,.T.); #236647=ORIENTED_EDGE('',*,*,#178626,.T.); #236648=ORIENTED_EDGE('',*,*,#178629,.T.); #236649=ORIENTED_EDGE('',*,*,#178632,.T.); #236650=ORIENTED_EDGE('',*,*,#178635,.T.); #236651=ORIENTED_EDGE('',*,*,#178638,.T.); #236652=ORIENTED_EDGE('',*,*,#178641,.T.); #236653=ORIENTED_EDGE('',*,*,#178644,.T.); #236654=ORIENTED_EDGE('',*,*,#178647,.T.); #236655=ORIENTED_EDGE('',*,*,#178650,.T.); #236656=ORIENTED_EDGE('',*,*,#178653,.T.); #236657=ORIENTED_EDGE('',*,*,#178656,.T.); #236658=ORIENTED_EDGE('',*,*,#178659,.T.); #236659=ORIENTED_EDGE('',*,*,#178662,.T.); #236660=ORIENTED_EDGE('',*,*,#181114,.F.); #236661=ORIENTED_EDGE('',*,*,#181092,.F.); #236662=ORIENTED_EDGE('',*,*,#181096,.F.); #236663=ORIENTED_EDGE('',*,*,#181099,.F.); #236664=ORIENTED_EDGE('',*,*,#181102,.F.); #236665=ORIENTED_EDGE('',*,*,#181105,.F.); #236666=ORIENTED_EDGE('',*,*,#181108,.F.); #236667=ORIENTED_EDGE('',*,*,#181111,.F.); #236668=ORIENTED_EDGE('',*,*,#181090,.F.); #236669=ORIENTED_EDGE('',*,*,#180735,.F.); #236670=ORIENTED_EDGE('',*,*,#180739,.F.); #236671=ORIENTED_EDGE('',*,*,#180742,.F.); #236672=ORIENTED_EDGE('',*,*,#180745,.F.); #236673=ORIENTED_EDGE('',*,*,#180748,.F.); #236674=ORIENTED_EDGE('',*,*,#180751,.F.); #236675=ORIENTED_EDGE('',*,*,#180754,.F.); #236676=ORIENTED_EDGE('',*,*,#180757,.F.); #236677=ORIENTED_EDGE('',*,*,#180760,.F.); #236678=ORIENTED_EDGE('',*,*,#180763,.F.); #236679=ORIENTED_EDGE('',*,*,#180766,.F.); #236680=ORIENTED_EDGE('',*,*,#180769,.F.); #236681=ORIENTED_EDGE('',*,*,#180772,.F.); #236682=ORIENTED_EDGE('',*,*,#180775,.F.); #236683=ORIENTED_EDGE('',*,*,#180778,.F.); #236684=ORIENTED_EDGE('',*,*,#180781,.F.); #236685=ORIENTED_EDGE('',*,*,#180784,.F.); #236686=ORIENTED_EDGE('',*,*,#180787,.F.); #236687=ORIENTED_EDGE('',*,*,#180790,.F.); #236688=ORIENTED_EDGE('',*,*,#180793,.F.); #236689=ORIENTED_EDGE('',*,*,#180796,.F.); #236690=ORIENTED_EDGE('',*,*,#180799,.F.); #236691=ORIENTED_EDGE('',*,*,#180802,.F.); #236692=ORIENTED_EDGE('',*,*,#180805,.F.); #236693=ORIENTED_EDGE('',*,*,#180808,.F.); #236694=ORIENTED_EDGE('',*,*,#180811,.F.); #236695=ORIENTED_EDGE('',*,*,#180814,.F.); #236696=ORIENTED_EDGE('',*,*,#180817,.F.); #236697=ORIENTED_EDGE('',*,*,#180820,.F.); #236698=ORIENTED_EDGE('',*,*,#180823,.F.); #236699=ORIENTED_EDGE('',*,*,#180826,.F.); #236700=ORIENTED_EDGE('',*,*,#180829,.F.); #236701=ORIENTED_EDGE('',*,*,#180832,.F.); #236702=ORIENTED_EDGE('',*,*,#180835,.F.); #236703=ORIENTED_EDGE('',*,*,#180838,.F.); #236704=ORIENTED_EDGE('',*,*,#180841,.F.); #236705=ORIENTED_EDGE('',*,*,#180844,.F.); #236706=ORIENTED_EDGE('',*,*,#180847,.F.); #236707=ORIENTED_EDGE('',*,*,#180850,.F.); #236708=ORIENTED_EDGE('',*,*,#180853,.F.); #236709=ORIENTED_EDGE('',*,*,#180856,.F.); #236710=ORIENTED_EDGE('',*,*,#180859,.F.); #236711=ORIENTED_EDGE('',*,*,#180862,.F.); #236712=ORIENTED_EDGE('',*,*,#180865,.F.); #236713=ORIENTED_EDGE('',*,*,#180868,.F.); #236714=ORIENTED_EDGE('',*,*,#180871,.F.); #236715=ORIENTED_EDGE('',*,*,#180874,.F.); #236716=ORIENTED_EDGE('',*,*,#180877,.F.); #236717=ORIENTED_EDGE('',*,*,#180880,.F.); #236718=ORIENTED_EDGE('',*,*,#180883,.F.); #236719=ORIENTED_EDGE('',*,*,#180886,.F.); #236720=ORIENTED_EDGE('',*,*,#180889,.F.); #236721=ORIENTED_EDGE('',*,*,#180892,.F.); #236722=ORIENTED_EDGE('',*,*,#180895,.F.); #236723=ORIENTED_EDGE('',*,*,#180898,.F.); #236724=ORIENTED_EDGE('',*,*,#180901,.F.); #236725=ORIENTED_EDGE('',*,*,#180904,.F.); #236726=ORIENTED_EDGE('',*,*,#180907,.F.); #236727=ORIENTED_EDGE('',*,*,#180910,.F.); #236728=ORIENTED_EDGE('',*,*,#180913,.F.); #236729=ORIENTED_EDGE('',*,*,#180916,.F.); #236730=ORIENTED_EDGE('',*,*,#180919,.F.); #236731=ORIENTED_EDGE('',*,*,#180922,.F.); #236732=ORIENTED_EDGE('',*,*,#180925,.F.); #236733=ORIENTED_EDGE('',*,*,#180928,.F.); #236734=ORIENTED_EDGE('',*,*,#180931,.F.); #236735=ORIENTED_EDGE('',*,*,#180934,.F.); #236736=ORIENTED_EDGE('',*,*,#180937,.F.); #236737=ORIENTED_EDGE('',*,*,#180940,.F.); #236738=ORIENTED_EDGE('',*,*,#180943,.F.); #236739=ORIENTED_EDGE('',*,*,#180946,.F.); #236740=ORIENTED_EDGE('',*,*,#180949,.F.); #236741=ORIENTED_EDGE('',*,*,#180952,.F.); #236742=ORIENTED_EDGE('',*,*,#180955,.F.); #236743=ORIENTED_EDGE('',*,*,#180958,.F.); #236744=ORIENTED_EDGE('',*,*,#180961,.F.); #236745=ORIENTED_EDGE('',*,*,#180964,.F.); #236746=ORIENTED_EDGE('',*,*,#180967,.F.); #236747=ORIENTED_EDGE('',*,*,#180970,.F.); #236748=ORIENTED_EDGE('',*,*,#180973,.F.); #236749=ORIENTED_EDGE('',*,*,#180976,.F.); #236750=ORIENTED_EDGE('',*,*,#180979,.F.); #236751=ORIENTED_EDGE('',*,*,#180982,.F.); #236752=ORIENTED_EDGE('',*,*,#180985,.F.); #236753=ORIENTED_EDGE('',*,*,#180988,.F.); #236754=ORIENTED_EDGE('',*,*,#180991,.F.); #236755=ORIENTED_EDGE('',*,*,#180994,.F.); #236756=ORIENTED_EDGE('',*,*,#180997,.F.); #236757=ORIENTED_EDGE('',*,*,#181000,.F.); #236758=ORIENTED_EDGE('',*,*,#181003,.F.); #236759=ORIENTED_EDGE('',*,*,#181006,.F.); #236760=ORIENTED_EDGE('',*,*,#181009,.F.); #236761=ORIENTED_EDGE('',*,*,#181012,.F.); #236762=ORIENTED_EDGE('',*,*,#181015,.F.); #236763=ORIENTED_EDGE('',*,*,#181018,.F.); #236764=ORIENTED_EDGE('',*,*,#181021,.F.); #236765=ORIENTED_EDGE('',*,*,#181024,.F.); #236766=ORIENTED_EDGE('',*,*,#181027,.F.); #236767=ORIENTED_EDGE('',*,*,#181030,.F.); #236768=ORIENTED_EDGE('',*,*,#181033,.F.); #236769=ORIENTED_EDGE('',*,*,#181036,.F.); #236770=ORIENTED_EDGE('',*,*,#181039,.F.); #236771=ORIENTED_EDGE('',*,*,#181042,.F.); #236772=ORIENTED_EDGE('',*,*,#181045,.F.); #236773=ORIENTED_EDGE('',*,*,#181048,.F.); #236774=ORIENTED_EDGE('',*,*,#181051,.F.); #236775=ORIENTED_EDGE('',*,*,#181054,.F.); #236776=ORIENTED_EDGE('',*,*,#181057,.F.); #236777=ORIENTED_EDGE('',*,*,#181060,.F.); #236778=ORIENTED_EDGE('',*,*,#181063,.F.); #236779=ORIENTED_EDGE('',*,*,#181066,.F.); #236780=ORIENTED_EDGE('',*,*,#181069,.F.); #236781=ORIENTED_EDGE('',*,*,#181072,.F.); #236782=ORIENTED_EDGE('',*,*,#181075,.F.); #236783=ORIENTED_EDGE('',*,*,#181078,.F.); #236784=ORIENTED_EDGE('',*,*,#181081,.F.); #236785=ORIENTED_EDGE('',*,*,#181084,.F.); #236786=ORIENTED_EDGE('',*,*,#181087,.F.); #236787=ORIENTED_EDGE('',*,*,#180733,.F.); #236788=ORIENTED_EDGE('',*,*,#179001,.F.); #236789=ORIENTED_EDGE('',*,*,#179005,.F.); #236790=ORIENTED_EDGE('',*,*,#179008,.F.); #236791=ORIENTED_EDGE('',*,*,#179011,.F.); #236792=ORIENTED_EDGE('',*,*,#179014,.F.); #236793=ORIENTED_EDGE('',*,*,#179017,.F.); #236794=ORIENTED_EDGE('',*,*,#179020,.F.); #236795=ORIENTED_EDGE('',*,*,#179023,.F.); #236796=ORIENTED_EDGE('',*,*,#179026,.F.); #236797=ORIENTED_EDGE('',*,*,#179029,.F.); #236798=ORIENTED_EDGE('',*,*,#179032,.F.); #236799=ORIENTED_EDGE('',*,*,#179035,.F.); #236800=ORIENTED_EDGE('',*,*,#179038,.F.); #236801=ORIENTED_EDGE('',*,*,#179041,.F.); #236802=ORIENTED_EDGE('',*,*,#179044,.F.); #236803=ORIENTED_EDGE('',*,*,#179047,.F.); #236804=ORIENTED_EDGE('',*,*,#179050,.F.); #236805=ORIENTED_EDGE('',*,*,#179053,.F.); #236806=ORIENTED_EDGE('',*,*,#179056,.F.); #236807=ORIENTED_EDGE('',*,*,#179059,.F.); #236808=ORIENTED_EDGE('',*,*,#179062,.F.); #236809=ORIENTED_EDGE('',*,*,#179065,.F.); #236810=ORIENTED_EDGE('',*,*,#179068,.F.); #236811=ORIENTED_EDGE('',*,*,#179071,.F.); #236812=ORIENTED_EDGE('',*,*,#179074,.F.); #236813=ORIENTED_EDGE('',*,*,#179077,.F.); #236814=ORIENTED_EDGE('',*,*,#179080,.F.); #236815=ORIENTED_EDGE('',*,*,#179083,.F.); #236816=ORIENTED_EDGE('',*,*,#179086,.F.); #236817=ORIENTED_EDGE('',*,*,#179089,.F.); #236818=ORIENTED_EDGE('',*,*,#179092,.F.); #236819=ORIENTED_EDGE('',*,*,#179095,.F.); #236820=ORIENTED_EDGE('',*,*,#179098,.F.); #236821=ORIENTED_EDGE('',*,*,#179101,.F.); #236822=ORIENTED_EDGE('',*,*,#179104,.F.); #236823=ORIENTED_EDGE('',*,*,#179107,.F.); #236824=ORIENTED_EDGE('',*,*,#179110,.F.); #236825=ORIENTED_EDGE('',*,*,#179113,.F.); #236826=ORIENTED_EDGE('',*,*,#179116,.F.); #236827=ORIENTED_EDGE('',*,*,#179119,.F.); #236828=ORIENTED_EDGE('',*,*,#179122,.F.); #236829=ORIENTED_EDGE('',*,*,#179125,.F.); #236830=ORIENTED_EDGE('',*,*,#179128,.F.); #236831=ORIENTED_EDGE('',*,*,#179131,.F.); #236832=ORIENTED_EDGE('',*,*,#179134,.F.); #236833=ORIENTED_EDGE('',*,*,#179137,.F.); #236834=ORIENTED_EDGE('',*,*,#179140,.F.); #236835=ORIENTED_EDGE('',*,*,#179143,.F.); #236836=ORIENTED_EDGE('',*,*,#179146,.F.); #236837=ORIENTED_EDGE('',*,*,#179149,.F.); #236838=ORIENTED_EDGE('',*,*,#179152,.F.); #236839=ORIENTED_EDGE('',*,*,#179155,.F.); #236840=ORIENTED_EDGE('',*,*,#179158,.F.); #236841=ORIENTED_EDGE('',*,*,#179161,.F.); #236842=ORIENTED_EDGE('',*,*,#179164,.F.); #236843=ORIENTED_EDGE('',*,*,#179167,.F.); #236844=ORIENTED_EDGE('',*,*,#179170,.F.); #236845=ORIENTED_EDGE('',*,*,#179173,.F.); #236846=ORIENTED_EDGE('',*,*,#179176,.F.); #236847=ORIENTED_EDGE('',*,*,#179179,.F.); #236848=ORIENTED_EDGE('',*,*,#179182,.F.); #236849=ORIENTED_EDGE('',*,*,#179185,.F.); #236850=ORIENTED_EDGE('',*,*,#179188,.F.); #236851=ORIENTED_EDGE('',*,*,#179191,.F.); #236852=ORIENTED_EDGE('',*,*,#179194,.F.); #236853=ORIENTED_EDGE('',*,*,#179197,.F.); #236854=ORIENTED_EDGE('',*,*,#179200,.F.); #236855=ORIENTED_EDGE('',*,*,#179203,.F.); #236856=ORIENTED_EDGE('',*,*,#179206,.F.); #236857=ORIENTED_EDGE('',*,*,#179209,.F.); #236858=ORIENTED_EDGE('',*,*,#179212,.F.); #236859=ORIENTED_EDGE('',*,*,#179215,.F.); #236860=ORIENTED_EDGE('',*,*,#179218,.F.); #236861=ORIENTED_EDGE('',*,*,#179221,.F.); #236862=ORIENTED_EDGE('',*,*,#179224,.F.); #236863=ORIENTED_EDGE('',*,*,#179227,.F.); #236864=ORIENTED_EDGE('',*,*,#179230,.F.); #236865=ORIENTED_EDGE('',*,*,#179233,.F.); #236866=ORIENTED_EDGE('',*,*,#179236,.F.); #236867=ORIENTED_EDGE('',*,*,#179239,.F.); #236868=ORIENTED_EDGE('',*,*,#179242,.F.); #236869=ORIENTED_EDGE('',*,*,#179245,.F.); #236870=ORIENTED_EDGE('',*,*,#179248,.F.); #236871=ORIENTED_EDGE('',*,*,#179251,.F.); #236872=ORIENTED_EDGE('',*,*,#179254,.F.); #236873=ORIENTED_EDGE('',*,*,#179257,.F.); #236874=ORIENTED_EDGE('',*,*,#179260,.F.); #236875=ORIENTED_EDGE('',*,*,#179263,.F.); #236876=ORIENTED_EDGE('',*,*,#179266,.F.); #236877=ORIENTED_EDGE('',*,*,#179269,.F.); #236878=ORIENTED_EDGE('',*,*,#179272,.F.); #236879=ORIENTED_EDGE('',*,*,#179275,.F.); #236880=ORIENTED_EDGE('',*,*,#179278,.F.); #236881=ORIENTED_EDGE('',*,*,#179281,.F.); #236882=ORIENTED_EDGE('',*,*,#179284,.F.); #236883=ORIENTED_EDGE('',*,*,#179287,.F.); #236884=ORIENTED_EDGE('',*,*,#179290,.F.); #236885=ORIENTED_EDGE('',*,*,#179293,.F.); #236886=ORIENTED_EDGE('',*,*,#179296,.F.); #236887=ORIENTED_EDGE('',*,*,#179299,.F.); #236888=ORIENTED_EDGE('',*,*,#179302,.F.); #236889=ORIENTED_EDGE('',*,*,#179305,.F.); #236890=ORIENTED_EDGE('',*,*,#179308,.F.); #236891=ORIENTED_EDGE('',*,*,#179311,.F.); #236892=ORIENTED_EDGE('',*,*,#179314,.F.); #236893=ORIENTED_EDGE('',*,*,#179317,.F.); #236894=ORIENTED_EDGE('',*,*,#179320,.F.); #236895=ORIENTED_EDGE('',*,*,#179323,.F.); #236896=ORIENTED_EDGE('',*,*,#179326,.F.); #236897=ORIENTED_EDGE('',*,*,#179329,.F.); #236898=ORIENTED_EDGE('',*,*,#179332,.F.); #236899=ORIENTED_EDGE('',*,*,#179335,.F.); #236900=ORIENTED_EDGE('',*,*,#179338,.F.); #236901=ORIENTED_EDGE('',*,*,#179341,.F.); #236902=ORIENTED_EDGE('',*,*,#179344,.F.); #236903=ORIENTED_EDGE('',*,*,#179347,.F.); #236904=ORIENTED_EDGE('',*,*,#179350,.F.); #236905=ORIENTED_EDGE('',*,*,#179353,.F.); #236906=ORIENTED_EDGE('',*,*,#179356,.F.); #236907=ORIENTED_EDGE('',*,*,#179359,.F.); #236908=ORIENTED_EDGE('',*,*,#179362,.F.); #236909=ORIENTED_EDGE('',*,*,#179365,.F.); #236910=ORIENTED_EDGE('',*,*,#179368,.F.); #236911=ORIENTED_EDGE('',*,*,#179371,.F.); #236912=ORIENTED_EDGE('',*,*,#179374,.F.); #236913=ORIENTED_EDGE('',*,*,#179377,.F.); #236914=ORIENTED_EDGE('',*,*,#179380,.F.); #236915=ORIENTED_EDGE('',*,*,#179383,.F.); #236916=ORIENTED_EDGE('',*,*,#179386,.F.); #236917=ORIENTED_EDGE('',*,*,#179389,.F.); #236918=ORIENTED_EDGE('',*,*,#179392,.F.); #236919=ORIENTED_EDGE('',*,*,#179395,.F.); #236920=ORIENTED_EDGE('',*,*,#179398,.F.); #236921=ORIENTED_EDGE('',*,*,#179401,.F.); #236922=ORIENTED_EDGE('',*,*,#179404,.F.); #236923=ORIENTED_EDGE('',*,*,#179407,.F.); #236924=ORIENTED_EDGE('',*,*,#179410,.F.); #236925=ORIENTED_EDGE('',*,*,#179413,.F.); #236926=ORIENTED_EDGE('',*,*,#179416,.F.); #236927=ORIENTED_EDGE('',*,*,#179419,.F.); #236928=ORIENTED_EDGE('',*,*,#179422,.F.); #236929=ORIENTED_EDGE('',*,*,#179425,.F.); #236930=ORIENTED_EDGE('',*,*,#179428,.F.); #236931=ORIENTED_EDGE('',*,*,#179431,.F.); #236932=ORIENTED_EDGE('',*,*,#179434,.F.); #236933=ORIENTED_EDGE('',*,*,#179437,.F.); #236934=ORIENTED_EDGE('',*,*,#179440,.F.); #236935=ORIENTED_EDGE('',*,*,#179443,.F.); #236936=ORIENTED_EDGE('',*,*,#179446,.F.); #236937=ORIENTED_EDGE('',*,*,#179449,.F.); #236938=ORIENTED_EDGE('',*,*,#179452,.F.); #236939=ORIENTED_EDGE('',*,*,#179455,.F.); #236940=ORIENTED_EDGE('',*,*,#179458,.F.); #236941=ORIENTED_EDGE('',*,*,#179461,.F.); #236942=ORIENTED_EDGE('',*,*,#179464,.F.); #236943=ORIENTED_EDGE('',*,*,#179467,.F.); #236944=ORIENTED_EDGE('',*,*,#179470,.F.); #236945=ORIENTED_EDGE('',*,*,#179473,.F.); #236946=ORIENTED_EDGE('',*,*,#179476,.F.); #236947=ORIENTED_EDGE('',*,*,#179479,.F.); #236948=ORIENTED_EDGE('',*,*,#179482,.F.); #236949=ORIENTED_EDGE('',*,*,#179485,.F.); #236950=ORIENTED_EDGE('',*,*,#179488,.F.); #236951=ORIENTED_EDGE('',*,*,#179491,.F.); #236952=ORIENTED_EDGE('',*,*,#179494,.F.); #236953=ORIENTED_EDGE('',*,*,#179497,.F.); #236954=ORIENTED_EDGE('',*,*,#179500,.F.); #236955=ORIENTED_EDGE('',*,*,#179503,.F.); #236956=ORIENTED_EDGE('',*,*,#179506,.F.); #236957=ORIENTED_EDGE('',*,*,#179509,.F.); #236958=ORIENTED_EDGE('',*,*,#179512,.F.); #236959=ORIENTED_EDGE('',*,*,#179515,.F.); #236960=ORIENTED_EDGE('',*,*,#179518,.F.); #236961=ORIENTED_EDGE('',*,*,#179521,.F.); #236962=ORIENTED_EDGE('',*,*,#179524,.F.); #236963=ORIENTED_EDGE('',*,*,#179527,.F.); #236964=ORIENTED_EDGE('',*,*,#179530,.F.); #236965=ORIENTED_EDGE('',*,*,#179533,.F.); #236966=ORIENTED_EDGE('',*,*,#179536,.F.); #236967=ORIENTED_EDGE('',*,*,#179539,.F.); #236968=ORIENTED_EDGE('',*,*,#179542,.F.); #236969=ORIENTED_EDGE('',*,*,#179545,.F.); #236970=ORIENTED_EDGE('',*,*,#179548,.F.); #236971=ORIENTED_EDGE('',*,*,#179551,.F.); #236972=ORIENTED_EDGE('',*,*,#179554,.F.); #236973=ORIENTED_EDGE('',*,*,#179557,.F.); #236974=ORIENTED_EDGE('',*,*,#179560,.F.); #236975=ORIENTED_EDGE('',*,*,#179563,.F.); #236976=ORIENTED_EDGE('',*,*,#179566,.F.); #236977=ORIENTED_EDGE('',*,*,#179569,.F.); #236978=ORIENTED_EDGE('',*,*,#179572,.F.); #236979=ORIENTED_EDGE('',*,*,#179575,.F.); #236980=ORIENTED_EDGE('',*,*,#179578,.F.); #236981=ORIENTED_EDGE('',*,*,#179581,.F.); #236982=ORIENTED_EDGE('',*,*,#179584,.F.); #236983=ORIENTED_EDGE('',*,*,#179587,.F.); #236984=ORIENTED_EDGE('',*,*,#179590,.F.); #236985=ORIENTED_EDGE('',*,*,#179593,.F.); #236986=ORIENTED_EDGE('',*,*,#179596,.F.); #236987=ORIENTED_EDGE('',*,*,#179599,.F.); #236988=ORIENTED_EDGE('',*,*,#179602,.F.); #236989=ORIENTED_EDGE('',*,*,#179605,.F.); #236990=ORIENTED_EDGE('',*,*,#179608,.F.); #236991=ORIENTED_EDGE('',*,*,#179611,.F.); #236992=ORIENTED_EDGE('',*,*,#179614,.F.); #236993=ORIENTED_EDGE('',*,*,#179617,.F.); #236994=ORIENTED_EDGE('',*,*,#179620,.F.); #236995=ORIENTED_EDGE('',*,*,#179623,.F.); #236996=ORIENTED_EDGE('',*,*,#179626,.F.); #236997=ORIENTED_EDGE('',*,*,#179629,.F.); #236998=ORIENTED_EDGE('',*,*,#179632,.F.); #236999=ORIENTED_EDGE('',*,*,#179635,.F.); #237000=ORIENTED_EDGE('',*,*,#179638,.F.); #237001=ORIENTED_EDGE('',*,*,#179641,.F.); #237002=ORIENTED_EDGE('',*,*,#179644,.F.); #237003=ORIENTED_EDGE('',*,*,#179647,.F.); #237004=ORIENTED_EDGE('',*,*,#179650,.F.); #237005=ORIENTED_EDGE('',*,*,#179653,.F.); #237006=ORIENTED_EDGE('',*,*,#179656,.F.); #237007=ORIENTED_EDGE('',*,*,#179659,.F.); #237008=ORIENTED_EDGE('',*,*,#179662,.F.); #237009=ORIENTED_EDGE('',*,*,#179665,.F.); #237010=ORIENTED_EDGE('',*,*,#179668,.F.); #237011=ORIENTED_EDGE('',*,*,#179671,.F.); #237012=ORIENTED_EDGE('',*,*,#179674,.F.); #237013=ORIENTED_EDGE('',*,*,#179677,.F.); #237014=ORIENTED_EDGE('',*,*,#179680,.F.); #237015=ORIENTED_EDGE('',*,*,#179683,.F.); #237016=ORIENTED_EDGE('',*,*,#179686,.F.); #237017=ORIENTED_EDGE('',*,*,#179689,.F.); #237018=ORIENTED_EDGE('',*,*,#179692,.F.); #237019=ORIENTED_EDGE('',*,*,#179695,.F.); #237020=ORIENTED_EDGE('',*,*,#179698,.F.); #237021=ORIENTED_EDGE('',*,*,#179701,.F.); #237022=ORIENTED_EDGE('',*,*,#179704,.F.); #237023=ORIENTED_EDGE('',*,*,#179707,.F.); #237024=ORIENTED_EDGE('',*,*,#179710,.F.); #237025=ORIENTED_EDGE('',*,*,#179713,.F.); #237026=ORIENTED_EDGE('',*,*,#179716,.F.); #237027=ORIENTED_EDGE('',*,*,#179719,.F.); #237028=ORIENTED_EDGE('',*,*,#179722,.F.); #237029=ORIENTED_EDGE('',*,*,#179725,.F.); #237030=ORIENTED_EDGE('',*,*,#179728,.F.); #237031=ORIENTED_EDGE('',*,*,#179731,.F.); #237032=ORIENTED_EDGE('',*,*,#179734,.F.); #237033=ORIENTED_EDGE('',*,*,#179737,.F.); #237034=ORIENTED_EDGE('',*,*,#179740,.F.); #237035=ORIENTED_EDGE('',*,*,#179743,.F.); #237036=ORIENTED_EDGE('',*,*,#179746,.F.); #237037=ORIENTED_EDGE('',*,*,#179749,.F.); #237038=ORIENTED_EDGE('',*,*,#179752,.F.); #237039=ORIENTED_EDGE('',*,*,#179755,.F.); #237040=ORIENTED_EDGE('',*,*,#179758,.F.); #237041=ORIENTED_EDGE('',*,*,#179761,.F.); #237042=ORIENTED_EDGE('',*,*,#179764,.F.); #237043=ORIENTED_EDGE('',*,*,#179767,.F.); #237044=ORIENTED_EDGE('',*,*,#179770,.F.); #237045=ORIENTED_EDGE('',*,*,#179773,.F.); #237046=ORIENTED_EDGE('',*,*,#179776,.F.); #237047=ORIENTED_EDGE('',*,*,#179779,.F.); #237048=ORIENTED_EDGE('',*,*,#179782,.F.); #237049=ORIENTED_EDGE('',*,*,#179785,.F.); #237050=ORIENTED_EDGE('',*,*,#179788,.F.); #237051=ORIENTED_EDGE('',*,*,#179791,.F.); #237052=ORIENTED_EDGE('',*,*,#179794,.F.); #237053=ORIENTED_EDGE('',*,*,#179797,.F.); #237054=ORIENTED_EDGE('',*,*,#179800,.F.); #237055=ORIENTED_EDGE('',*,*,#179803,.F.); #237056=ORIENTED_EDGE('',*,*,#179806,.F.); #237057=ORIENTED_EDGE('',*,*,#179809,.F.); #237058=ORIENTED_EDGE('',*,*,#179812,.F.); #237059=ORIENTED_EDGE('',*,*,#179815,.F.); #237060=ORIENTED_EDGE('',*,*,#179818,.F.); #237061=ORIENTED_EDGE('',*,*,#179821,.F.); #237062=ORIENTED_EDGE('',*,*,#179824,.F.); #237063=ORIENTED_EDGE('',*,*,#179827,.F.); #237064=ORIENTED_EDGE('',*,*,#179830,.F.); #237065=ORIENTED_EDGE('',*,*,#179833,.F.); #237066=ORIENTED_EDGE('',*,*,#179836,.F.); #237067=ORIENTED_EDGE('',*,*,#179839,.F.); #237068=ORIENTED_EDGE('',*,*,#179842,.F.); #237069=ORIENTED_EDGE('',*,*,#179845,.F.); #237070=ORIENTED_EDGE('',*,*,#179848,.F.); #237071=ORIENTED_EDGE('',*,*,#179851,.F.); #237072=ORIENTED_EDGE('',*,*,#179854,.F.); #237073=ORIENTED_EDGE('',*,*,#179857,.F.); #237074=ORIENTED_EDGE('',*,*,#179860,.F.); #237075=ORIENTED_EDGE('',*,*,#179863,.F.); #237076=ORIENTED_EDGE('',*,*,#179866,.F.); #237077=ORIENTED_EDGE('',*,*,#179869,.F.); #237078=ORIENTED_EDGE('',*,*,#179872,.F.); #237079=ORIENTED_EDGE('',*,*,#179875,.F.); #237080=ORIENTED_EDGE('',*,*,#179878,.F.); #237081=ORIENTED_EDGE('',*,*,#179881,.F.); #237082=ORIENTED_EDGE('',*,*,#179884,.F.); #237083=ORIENTED_EDGE('',*,*,#179887,.F.); #237084=ORIENTED_EDGE('',*,*,#179890,.F.); #237085=ORIENTED_EDGE('',*,*,#179893,.F.); #237086=ORIENTED_EDGE('',*,*,#179896,.F.); #237087=ORIENTED_EDGE('',*,*,#179899,.F.); #237088=ORIENTED_EDGE('',*,*,#179902,.F.); #237089=ORIENTED_EDGE('',*,*,#179905,.F.); #237090=ORIENTED_EDGE('',*,*,#179908,.F.); #237091=ORIENTED_EDGE('',*,*,#179911,.F.); #237092=ORIENTED_EDGE('',*,*,#179914,.F.); #237093=ORIENTED_EDGE('',*,*,#179917,.F.); #237094=ORIENTED_EDGE('',*,*,#179920,.F.); #237095=ORIENTED_EDGE('',*,*,#179923,.F.); #237096=ORIENTED_EDGE('',*,*,#179926,.F.); #237097=ORIENTED_EDGE('',*,*,#179929,.F.); #237098=ORIENTED_EDGE('',*,*,#179932,.F.); #237099=ORIENTED_EDGE('',*,*,#179935,.F.); #237100=ORIENTED_EDGE('',*,*,#179938,.F.); #237101=ORIENTED_EDGE('',*,*,#179941,.F.); #237102=ORIENTED_EDGE('',*,*,#179944,.F.); #237103=ORIENTED_EDGE('',*,*,#179947,.F.); #237104=ORIENTED_EDGE('',*,*,#179950,.F.); #237105=ORIENTED_EDGE('',*,*,#179953,.F.); #237106=ORIENTED_EDGE('',*,*,#179956,.F.); #237107=ORIENTED_EDGE('',*,*,#179959,.F.); #237108=ORIENTED_EDGE('',*,*,#179962,.F.); #237109=ORIENTED_EDGE('',*,*,#179965,.F.); #237110=ORIENTED_EDGE('',*,*,#179968,.F.); #237111=ORIENTED_EDGE('',*,*,#179971,.F.); #237112=ORIENTED_EDGE('',*,*,#179974,.F.); #237113=ORIENTED_EDGE('',*,*,#179977,.F.); #237114=ORIENTED_EDGE('',*,*,#179980,.F.); #237115=ORIENTED_EDGE('',*,*,#179983,.F.); #237116=ORIENTED_EDGE('',*,*,#179986,.F.); #237117=ORIENTED_EDGE('',*,*,#179989,.F.); #237118=ORIENTED_EDGE('',*,*,#179992,.F.); #237119=ORIENTED_EDGE('',*,*,#179995,.F.); #237120=ORIENTED_EDGE('',*,*,#179998,.F.); #237121=ORIENTED_EDGE('',*,*,#180001,.F.); #237122=ORIENTED_EDGE('',*,*,#180004,.F.); #237123=ORIENTED_EDGE('',*,*,#180007,.F.); #237124=ORIENTED_EDGE('',*,*,#180010,.F.); #237125=ORIENTED_EDGE('',*,*,#180013,.F.); #237126=ORIENTED_EDGE('',*,*,#180016,.F.); #237127=ORIENTED_EDGE('',*,*,#180019,.F.); #237128=ORIENTED_EDGE('',*,*,#180022,.F.); #237129=ORIENTED_EDGE('',*,*,#180025,.F.); #237130=ORIENTED_EDGE('',*,*,#180028,.F.); #237131=ORIENTED_EDGE('',*,*,#180031,.F.); #237132=ORIENTED_EDGE('',*,*,#180034,.F.); #237133=ORIENTED_EDGE('',*,*,#180037,.F.); #237134=ORIENTED_EDGE('',*,*,#180040,.F.); #237135=ORIENTED_EDGE('',*,*,#180043,.F.); #237136=ORIENTED_EDGE('',*,*,#180046,.F.); #237137=ORIENTED_EDGE('',*,*,#180049,.F.); #237138=ORIENTED_EDGE('',*,*,#180052,.F.); #237139=ORIENTED_EDGE('',*,*,#180055,.F.); #237140=ORIENTED_EDGE('',*,*,#180058,.F.); #237141=ORIENTED_EDGE('',*,*,#180061,.F.); #237142=ORIENTED_EDGE('',*,*,#180064,.F.); #237143=ORIENTED_EDGE('',*,*,#180067,.F.); #237144=ORIENTED_EDGE('',*,*,#180070,.F.); #237145=ORIENTED_EDGE('',*,*,#180073,.F.); #237146=ORIENTED_EDGE('',*,*,#180076,.F.); #237147=ORIENTED_EDGE('',*,*,#180079,.F.); #237148=ORIENTED_EDGE('',*,*,#180082,.F.); #237149=ORIENTED_EDGE('',*,*,#180085,.F.); #237150=ORIENTED_EDGE('',*,*,#180088,.F.); #237151=ORIENTED_EDGE('',*,*,#180091,.F.); #237152=ORIENTED_EDGE('',*,*,#180094,.F.); #237153=ORIENTED_EDGE('',*,*,#180097,.F.); #237154=ORIENTED_EDGE('',*,*,#180100,.F.); #237155=ORIENTED_EDGE('',*,*,#180103,.F.); #237156=ORIENTED_EDGE('',*,*,#180106,.F.); #237157=ORIENTED_EDGE('',*,*,#180109,.F.); #237158=ORIENTED_EDGE('',*,*,#180112,.F.); #237159=ORIENTED_EDGE('',*,*,#180115,.F.); #237160=ORIENTED_EDGE('',*,*,#180118,.F.); #237161=ORIENTED_EDGE('',*,*,#180121,.F.); #237162=ORIENTED_EDGE('',*,*,#180124,.F.); #237163=ORIENTED_EDGE('',*,*,#180127,.F.); #237164=ORIENTED_EDGE('',*,*,#180130,.F.); #237165=ORIENTED_EDGE('',*,*,#180133,.F.); #237166=ORIENTED_EDGE('',*,*,#180136,.F.); #237167=ORIENTED_EDGE('',*,*,#180139,.F.); #237168=ORIENTED_EDGE('',*,*,#180142,.F.); #237169=ORIENTED_EDGE('',*,*,#180145,.F.); #237170=ORIENTED_EDGE('',*,*,#180148,.F.); #237171=ORIENTED_EDGE('',*,*,#180151,.F.); #237172=ORIENTED_EDGE('',*,*,#180154,.F.); #237173=ORIENTED_EDGE('',*,*,#180157,.F.); #237174=ORIENTED_EDGE('',*,*,#180160,.F.); #237175=ORIENTED_EDGE('',*,*,#180163,.F.); #237176=ORIENTED_EDGE('',*,*,#180166,.F.); #237177=ORIENTED_EDGE('',*,*,#180169,.F.); #237178=ORIENTED_EDGE('',*,*,#180172,.F.); #237179=ORIENTED_EDGE('',*,*,#180175,.F.); #237180=ORIENTED_EDGE('',*,*,#180178,.F.); #237181=ORIENTED_EDGE('',*,*,#180181,.F.); #237182=ORIENTED_EDGE('',*,*,#180184,.F.); #237183=ORIENTED_EDGE('',*,*,#180187,.F.); #237184=ORIENTED_EDGE('',*,*,#180190,.F.); #237185=ORIENTED_EDGE('',*,*,#180193,.F.); #237186=ORIENTED_EDGE('',*,*,#180196,.F.); #237187=ORIENTED_EDGE('',*,*,#180199,.F.); #237188=ORIENTED_EDGE('',*,*,#180202,.F.); #237189=ORIENTED_EDGE('',*,*,#180205,.F.); #237190=ORIENTED_EDGE('',*,*,#180208,.F.); #237191=ORIENTED_EDGE('',*,*,#180211,.F.); #237192=ORIENTED_EDGE('',*,*,#180214,.F.); #237193=ORIENTED_EDGE('',*,*,#180217,.F.); #237194=ORIENTED_EDGE('',*,*,#180220,.F.); #237195=ORIENTED_EDGE('',*,*,#180223,.F.); #237196=ORIENTED_EDGE('',*,*,#180226,.F.); #237197=ORIENTED_EDGE('',*,*,#180229,.F.); #237198=ORIENTED_EDGE('',*,*,#180232,.F.); #237199=ORIENTED_EDGE('',*,*,#180235,.F.); #237200=ORIENTED_EDGE('',*,*,#180238,.F.); #237201=ORIENTED_EDGE('',*,*,#180241,.F.); #237202=ORIENTED_EDGE('',*,*,#180244,.F.); #237203=ORIENTED_EDGE('',*,*,#180247,.F.); #237204=ORIENTED_EDGE('',*,*,#180250,.F.); #237205=ORIENTED_EDGE('',*,*,#180253,.F.); #237206=ORIENTED_EDGE('',*,*,#180256,.F.); #237207=ORIENTED_EDGE('',*,*,#180259,.F.); #237208=ORIENTED_EDGE('',*,*,#180262,.F.); #237209=ORIENTED_EDGE('',*,*,#180265,.F.); #237210=ORIENTED_EDGE('',*,*,#180268,.F.); #237211=ORIENTED_EDGE('',*,*,#180271,.F.); #237212=ORIENTED_EDGE('',*,*,#180274,.F.); #237213=ORIENTED_EDGE('',*,*,#180277,.F.); #237214=ORIENTED_EDGE('',*,*,#180280,.F.); #237215=ORIENTED_EDGE('',*,*,#180283,.F.); #237216=ORIENTED_EDGE('',*,*,#180286,.F.); #237217=ORIENTED_EDGE('',*,*,#180289,.F.); #237218=ORIENTED_EDGE('',*,*,#180292,.F.); #237219=ORIENTED_EDGE('',*,*,#180295,.F.); #237220=ORIENTED_EDGE('',*,*,#180298,.F.); #237221=ORIENTED_EDGE('',*,*,#180301,.F.); #237222=ORIENTED_EDGE('',*,*,#180304,.F.); #237223=ORIENTED_EDGE('',*,*,#180307,.F.); #237224=ORIENTED_EDGE('',*,*,#180310,.F.); #237225=ORIENTED_EDGE('',*,*,#180313,.F.); #237226=ORIENTED_EDGE('',*,*,#180316,.F.); #237227=ORIENTED_EDGE('',*,*,#180319,.F.); #237228=ORIENTED_EDGE('',*,*,#180322,.F.); #237229=ORIENTED_EDGE('',*,*,#180325,.F.); #237230=ORIENTED_EDGE('',*,*,#180328,.F.); #237231=ORIENTED_EDGE('',*,*,#180331,.F.); #237232=ORIENTED_EDGE('',*,*,#180334,.F.); #237233=ORIENTED_EDGE('',*,*,#180337,.F.); #237234=ORIENTED_EDGE('',*,*,#180340,.F.); #237235=ORIENTED_EDGE('',*,*,#180343,.F.); #237236=ORIENTED_EDGE('',*,*,#180346,.F.); #237237=ORIENTED_EDGE('',*,*,#180349,.F.); #237238=ORIENTED_EDGE('',*,*,#180352,.F.); #237239=ORIENTED_EDGE('',*,*,#180355,.F.); #237240=ORIENTED_EDGE('',*,*,#180358,.F.); #237241=ORIENTED_EDGE('',*,*,#180361,.F.); #237242=ORIENTED_EDGE('',*,*,#180364,.F.); #237243=ORIENTED_EDGE('',*,*,#180367,.F.); #237244=ORIENTED_EDGE('',*,*,#180370,.F.); #237245=ORIENTED_EDGE('',*,*,#180373,.F.); #237246=ORIENTED_EDGE('',*,*,#180376,.F.); #237247=ORIENTED_EDGE('',*,*,#180379,.F.); #237248=ORIENTED_EDGE('',*,*,#180382,.F.); #237249=ORIENTED_EDGE('',*,*,#180385,.F.); #237250=ORIENTED_EDGE('',*,*,#180388,.F.); #237251=ORIENTED_EDGE('',*,*,#180391,.F.); #237252=ORIENTED_EDGE('',*,*,#180394,.F.); #237253=ORIENTED_EDGE('',*,*,#180397,.F.); #237254=ORIENTED_EDGE('',*,*,#180400,.F.); #237255=ORIENTED_EDGE('',*,*,#180403,.F.); #237256=ORIENTED_EDGE('',*,*,#180406,.F.); #237257=ORIENTED_EDGE('',*,*,#180409,.F.); #237258=ORIENTED_EDGE('',*,*,#180412,.F.); #237259=ORIENTED_EDGE('',*,*,#180415,.F.); #237260=ORIENTED_EDGE('',*,*,#180418,.F.); #237261=ORIENTED_EDGE('',*,*,#180421,.F.); #237262=ORIENTED_EDGE('',*,*,#180424,.F.); #237263=ORIENTED_EDGE('',*,*,#180427,.F.); #237264=ORIENTED_EDGE('',*,*,#180430,.F.); #237265=ORIENTED_EDGE('',*,*,#180433,.F.); #237266=ORIENTED_EDGE('',*,*,#180436,.F.); #237267=ORIENTED_EDGE('',*,*,#180439,.F.); #237268=ORIENTED_EDGE('',*,*,#180442,.F.); #237269=ORIENTED_EDGE('',*,*,#180445,.F.); #237270=ORIENTED_EDGE('',*,*,#180448,.F.); #237271=ORIENTED_EDGE('',*,*,#180451,.F.); #237272=ORIENTED_EDGE('',*,*,#180454,.F.); #237273=ORIENTED_EDGE('',*,*,#180457,.F.); #237274=ORIENTED_EDGE('',*,*,#180460,.F.); #237275=ORIENTED_EDGE('',*,*,#180463,.F.); #237276=ORIENTED_EDGE('',*,*,#180466,.F.); #237277=ORIENTED_EDGE('',*,*,#180469,.F.); #237278=ORIENTED_EDGE('',*,*,#180472,.F.); #237279=ORIENTED_EDGE('',*,*,#180475,.F.); #237280=ORIENTED_EDGE('',*,*,#180478,.F.); #237281=ORIENTED_EDGE('',*,*,#180481,.F.); #237282=ORIENTED_EDGE('',*,*,#180484,.F.); #237283=ORIENTED_EDGE('',*,*,#180487,.F.); #237284=ORIENTED_EDGE('',*,*,#180490,.F.); #237285=ORIENTED_EDGE('',*,*,#180493,.F.); #237286=ORIENTED_EDGE('',*,*,#180496,.F.); #237287=ORIENTED_EDGE('',*,*,#180499,.F.); #237288=ORIENTED_EDGE('',*,*,#180502,.F.); #237289=ORIENTED_EDGE('',*,*,#180505,.F.); #237290=ORIENTED_EDGE('',*,*,#180508,.F.); #237291=ORIENTED_EDGE('',*,*,#180511,.F.); #237292=ORIENTED_EDGE('',*,*,#180514,.F.); #237293=ORIENTED_EDGE('',*,*,#180517,.F.); #237294=ORIENTED_EDGE('',*,*,#180520,.F.); #237295=ORIENTED_EDGE('',*,*,#180523,.F.); #237296=ORIENTED_EDGE('',*,*,#180526,.F.); #237297=ORIENTED_EDGE('',*,*,#180529,.F.); #237298=ORIENTED_EDGE('',*,*,#180532,.F.); #237299=ORIENTED_EDGE('',*,*,#180535,.F.); #237300=ORIENTED_EDGE('',*,*,#180538,.F.); #237301=ORIENTED_EDGE('',*,*,#180541,.F.); #237302=ORIENTED_EDGE('',*,*,#180544,.F.); #237303=ORIENTED_EDGE('',*,*,#180547,.F.); #237304=ORIENTED_EDGE('',*,*,#180550,.F.); #237305=ORIENTED_EDGE('',*,*,#180553,.F.); #237306=ORIENTED_EDGE('',*,*,#180556,.F.); #237307=ORIENTED_EDGE('',*,*,#180559,.F.); #237308=ORIENTED_EDGE('',*,*,#180562,.F.); #237309=ORIENTED_EDGE('',*,*,#180565,.F.); #237310=ORIENTED_EDGE('',*,*,#180568,.F.); #237311=ORIENTED_EDGE('',*,*,#180571,.F.); #237312=ORIENTED_EDGE('',*,*,#180574,.F.); #237313=ORIENTED_EDGE('',*,*,#180577,.F.); #237314=ORIENTED_EDGE('',*,*,#180580,.F.); #237315=ORIENTED_EDGE('',*,*,#180583,.F.); #237316=ORIENTED_EDGE('',*,*,#180586,.F.); #237317=ORIENTED_EDGE('',*,*,#180589,.F.); #237318=ORIENTED_EDGE('',*,*,#180592,.F.); #237319=ORIENTED_EDGE('',*,*,#180595,.F.); #237320=ORIENTED_EDGE('',*,*,#180598,.F.); #237321=ORIENTED_EDGE('',*,*,#180601,.F.); #237322=ORIENTED_EDGE('',*,*,#180604,.F.); #237323=ORIENTED_EDGE('',*,*,#180607,.F.); #237324=ORIENTED_EDGE('',*,*,#180610,.F.); #237325=ORIENTED_EDGE('',*,*,#180613,.F.); #237326=ORIENTED_EDGE('',*,*,#180616,.F.); #237327=ORIENTED_EDGE('',*,*,#180619,.F.); #237328=ORIENTED_EDGE('',*,*,#180622,.F.); #237329=ORIENTED_EDGE('',*,*,#180625,.F.); #237330=ORIENTED_EDGE('',*,*,#180628,.F.); #237331=ORIENTED_EDGE('',*,*,#180631,.F.); #237332=ORIENTED_EDGE('',*,*,#180634,.F.); #237333=ORIENTED_EDGE('',*,*,#180637,.F.); #237334=ORIENTED_EDGE('',*,*,#180640,.F.); #237335=ORIENTED_EDGE('',*,*,#180643,.F.); #237336=ORIENTED_EDGE('',*,*,#180646,.F.); #237337=ORIENTED_EDGE('',*,*,#180649,.F.); #237338=ORIENTED_EDGE('',*,*,#180652,.F.); #237339=ORIENTED_EDGE('',*,*,#180655,.F.); #237340=ORIENTED_EDGE('',*,*,#180658,.F.); #237341=ORIENTED_EDGE('',*,*,#180661,.F.); #237342=ORIENTED_EDGE('',*,*,#180664,.F.); #237343=ORIENTED_EDGE('',*,*,#180667,.F.); #237344=ORIENTED_EDGE('',*,*,#180670,.F.); #237345=ORIENTED_EDGE('',*,*,#180673,.F.); #237346=ORIENTED_EDGE('',*,*,#180676,.F.); #237347=ORIENTED_EDGE('',*,*,#180679,.F.); #237348=ORIENTED_EDGE('',*,*,#180682,.F.); #237349=ORIENTED_EDGE('',*,*,#180685,.F.); #237350=ORIENTED_EDGE('',*,*,#180688,.F.); #237351=ORIENTED_EDGE('',*,*,#180691,.F.); #237352=ORIENTED_EDGE('',*,*,#180694,.F.); #237353=ORIENTED_EDGE('',*,*,#180697,.F.); #237354=ORIENTED_EDGE('',*,*,#180700,.F.); #237355=ORIENTED_EDGE('',*,*,#180703,.F.); #237356=ORIENTED_EDGE('',*,*,#180706,.F.); #237357=ORIENTED_EDGE('',*,*,#180709,.F.); #237358=ORIENTED_EDGE('',*,*,#180712,.F.); #237359=ORIENTED_EDGE('',*,*,#180715,.F.); #237360=ORIENTED_EDGE('',*,*,#180718,.F.); #237361=ORIENTED_EDGE('',*,*,#180721,.F.); #237362=ORIENTED_EDGE('',*,*,#180724,.F.); #237363=ORIENTED_EDGE('',*,*,#180727,.F.); #237364=ORIENTED_EDGE('',*,*,#180730,.F.); #237365=ORIENTED_EDGE('',*,*,#178999,.F.); #237366=ORIENTED_EDGE('',*,*,#178893,.F.); #237367=ORIENTED_EDGE('',*,*,#178897,.F.); #237368=ORIENTED_EDGE('',*,*,#178900,.F.); #237369=ORIENTED_EDGE('',*,*,#178903,.F.); #237370=ORIENTED_EDGE('',*,*,#178906,.F.); #237371=ORIENTED_EDGE('',*,*,#178909,.F.); #237372=ORIENTED_EDGE('',*,*,#178912,.F.); #237373=ORIENTED_EDGE('',*,*,#178915,.F.); #237374=ORIENTED_EDGE('',*,*,#178918,.F.); #237375=ORIENTED_EDGE('',*,*,#178921,.F.); #237376=ORIENTED_EDGE('',*,*,#178924,.F.); #237377=ORIENTED_EDGE('',*,*,#178927,.F.); #237378=ORIENTED_EDGE('',*,*,#178930,.F.); #237379=ORIENTED_EDGE('',*,*,#178933,.F.); #237380=ORIENTED_EDGE('',*,*,#178936,.F.); #237381=ORIENTED_EDGE('',*,*,#178939,.F.); #237382=ORIENTED_EDGE('',*,*,#178942,.F.); #237383=ORIENTED_EDGE('',*,*,#178945,.F.); #237384=ORIENTED_EDGE('',*,*,#178948,.F.); #237385=ORIENTED_EDGE('',*,*,#178951,.F.); #237386=ORIENTED_EDGE('',*,*,#178954,.F.); #237387=ORIENTED_EDGE('',*,*,#178957,.F.); #237388=ORIENTED_EDGE('',*,*,#178960,.F.); #237389=ORIENTED_EDGE('',*,*,#178963,.F.); #237390=ORIENTED_EDGE('',*,*,#178966,.F.); #237391=ORIENTED_EDGE('',*,*,#178969,.F.); #237392=ORIENTED_EDGE('',*,*,#178972,.F.); #237393=ORIENTED_EDGE('',*,*,#178975,.F.); #237394=ORIENTED_EDGE('',*,*,#178978,.F.); #237395=ORIENTED_EDGE('',*,*,#178981,.F.); #237396=ORIENTED_EDGE('',*,*,#178984,.F.); #237397=ORIENTED_EDGE('',*,*,#178987,.F.); #237398=ORIENTED_EDGE('',*,*,#178990,.F.); #237399=ORIENTED_EDGE('',*,*,#178993,.F.); #237400=ORIENTED_EDGE('',*,*,#178996,.F.); #237401=ORIENTED_EDGE('',*,*,#178891,.F.); #237402=ORIENTED_EDGE('',*,*,#178785,.F.); #237403=ORIENTED_EDGE('',*,*,#178789,.F.); #237404=ORIENTED_EDGE('',*,*,#178792,.F.); #237405=ORIENTED_EDGE('',*,*,#178795,.F.); #237406=ORIENTED_EDGE('',*,*,#178798,.F.); #237407=ORIENTED_EDGE('',*,*,#178801,.F.); #237408=ORIENTED_EDGE('',*,*,#178804,.F.); #237409=ORIENTED_EDGE('',*,*,#178807,.F.); #237410=ORIENTED_EDGE('',*,*,#178810,.F.); #237411=ORIENTED_EDGE('',*,*,#178813,.F.); #237412=ORIENTED_EDGE('',*,*,#178816,.F.); #237413=ORIENTED_EDGE('',*,*,#178819,.F.); #237414=ORIENTED_EDGE('',*,*,#178822,.F.); #237415=ORIENTED_EDGE('',*,*,#178825,.F.); #237416=ORIENTED_EDGE('',*,*,#178828,.F.); #237417=ORIENTED_EDGE('',*,*,#178831,.F.); #237418=ORIENTED_EDGE('',*,*,#178834,.F.); #237419=ORIENTED_EDGE('',*,*,#178837,.F.); #237420=ORIENTED_EDGE('',*,*,#178840,.F.); #237421=ORIENTED_EDGE('',*,*,#178843,.F.); #237422=ORIENTED_EDGE('',*,*,#178846,.F.); #237423=ORIENTED_EDGE('',*,*,#178849,.F.); #237424=ORIENTED_EDGE('',*,*,#178852,.F.); #237425=ORIENTED_EDGE('',*,*,#178855,.F.); #237426=ORIENTED_EDGE('',*,*,#178858,.F.); #237427=ORIENTED_EDGE('',*,*,#178861,.F.); #237428=ORIENTED_EDGE('',*,*,#178864,.F.); #237429=ORIENTED_EDGE('',*,*,#178867,.F.); #237430=ORIENTED_EDGE('',*,*,#178870,.F.); #237431=ORIENTED_EDGE('',*,*,#178873,.F.); #237432=ORIENTED_EDGE('',*,*,#178876,.F.); #237433=ORIENTED_EDGE('',*,*,#178879,.F.); #237434=ORIENTED_EDGE('',*,*,#178882,.F.); #237435=ORIENTED_EDGE('',*,*,#178885,.F.); #237436=ORIENTED_EDGE('',*,*,#178888,.F.); #237437=ORIENTED_EDGE('',*,*,#178783,.F.); #237438=ORIENTED_EDGE('',*,*,#178737,.F.); #237439=ORIENTED_EDGE('',*,*,#178741,.F.); #237440=ORIENTED_EDGE('',*,*,#178744,.F.); #237441=ORIENTED_EDGE('',*,*,#178747,.F.); #237442=ORIENTED_EDGE('',*,*,#178750,.F.); #237443=ORIENTED_EDGE('',*,*,#178753,.F.); #237444=ORIENTED_EDGE('',*,*,#178756,.F.); #237445=ORIENTED_EDGE('',*,*,#178759,.F.); #237446=ORIENTED_EDGE('',*,*,#178762,.F.); #237447=ORIENTED_EDGE('',*,*,#178765,.F.); #237448=ORIENTED_EDGE('',*,*,#178768,.F.); #237449=ORIENTED_EDGE('',*,*,#178771,.F.); #237450=ORIENTED_EDGE('',*,*,#178774,.F.); #237451=ORIENTED_EDGE('',*,*,#178777,.F.); #237452=ORIENTED_EDGE('',*,*,#178780,.F.); #237453=ORIENTED_EDGE('',*,*,#178735,.F.); #237454=ORIENTED_EDGE('',*,*,#178689,.F.); #237455=ORIENTED_EDGE('',*,*,#178693,.F.); #237456=ORIENTED_EDGE('',*,*,#178696,.F.); #237457=ORIENTED_EDGE('',*,*,#178699,.F.); #237458=ORIENTED_EDGE('',*,*,#178702,.F.); #237459=ORIENTED_EDGE('',*,*,#178705,.F.); #237460=ORIENTED_EDGE('',*,*,#178708,.F.); #237461=ORIENTED_EDGE('',*,*,#178711,.F.); #237462=ORIENTED_EDGE('',*,*,#178714,.F.); #237463=ORIENTED_EDGE('',*,*,#178717,.F.); #237464=ORIENTED_EDGE('',*,*,#178720,.F.); #237465=ORIENTED_EDGE('',*,*,#178723,.F.); #237466=ORIENTED_EDGE('',*,*,#178726,.F.); #237467=ORIENTED_EDGE('',*,*,#178729,.F.); #237468=ORIENTED_EDGE('',*,*,#178732,.F.); #237469=ORIENTED_EDGE('',*,*,#178687,.F.); #237470=ORIENTED_EDGE('',*,*,#178665,.F.); #237471=ORIENTED_EDGE('',*,*,#178669,.F.); #237472=ORIENTED_EDGE('',*,*,#178672,.F.); #237473=ORIENTED_EDGE('',*,*,#178675,.F.); #237474=ORIENTED_EDGE('',*,*,#178678,.F.); #237475=ORIENTED_EDGE('',*,*,#178681,.F.); #237476=ORIENTED_EDGE('',*,*,#178684,.F.); #237477=ORIENTED_EDGE('',*,*,#181476,.T.); #237478=ORIENTED_EDGE('',*,*,#181477,.T.); #237479=ORIENTED_EDGE('',*,*,#181478,.F.); #237480=ORIENTED_EDGE('',*,*,#181479,.F.); #237481=ORIENTED_EDGE('',*,*,#181480,.T.); #237482=ORIENTED_EDGE('',*,*,#181479,.T.); #237483=ORIENTED_EDGE('',*,*,#181481,.F.); #237484=ORIENTED_EDGE('',*,*,#181482,.F.); #237485=ORIENTED_EDGE('',*,*,#181483,.T.); #237486=ORIENTED_EDGE('',*,*,#181482,.T.); #237487=ORIENTED_EDGE('',*,*,#181484,.F.); #237488=ORIENTED_EDGE('',*,*,#181485,.F.); #237489=ORIENTED_EDGE('',*,*,#181486,.T.); #237490=ORIENTED_EDGE('',*,*,#181485,.T.); #237491=ORIENTED_EDGE('',*,*,#181487,.F.); #237492=ORIENTED_EDGE('',*,*,#181488,.F.); #237493=ORIENTED_EDGE('',*,*,#181489,.T.); #237494=ORIENTED_EDGE('',*,*,#181488,.T.); #237495=ORIENTED_EDGE('',*,*,#181490,.F.); #237496=ORIENTED_EDGE('',*,*,#181491,.F.); #237497=ORIENTED_EDGE('',*,*,#181492,.T.); #237498=ORIENTED_EDGE('',*,*,#181491,.T.); #237499=ORIENTED_EDGE('',*,*,#181493,.F.); #237500=ORIENTED_EDGE('',*,*,#181494,.F.); #237501=ORIENTED_EDGE('',*,*,#181495,.T.); #237502=ORIENTED_EDGE('',*,*,#181494,.T.); #237503=ORIENTED_EDGE('',*,*,#181496,.F.); #237504=ORIENTED_EDGE('',*,*,#181497,.F.); #237505=ORIENTED_EDGE('',*,*,#181498,.T.); #237506=ORIENTED_EDGE('',*,*,#181497,.T.); #237507=ORIENTED_EDGE('',*,*,#181499,.F.); #237508=ORIENTED_EDGE('',*,*,#181500,.F.); #237509=ORIENTED_EDGE('',*,*,#181501,.T.); #237510=ORIENTED_EDGE('',*,*,#181500,.T.); #237511=ORIENTED_EDGE('',*,*,#181502,.F.); #237512=ORIENTED_EDGE('',*,*,#181503,.F.); #237513=ORIENTED_EDGE('',*,*,#181504,.T.); #237514=ORIENTED_EDGE('',*,*,#181503,.T.); #237515=ORIENTED_EDGE('',*,*,#181505,.F.); #237516=ORIENTED_EDGE('',*,*,#181506,.F.); #237517=ORIENTED_EDGE('',*,*,#181507,.T.); #237518=ORIENTED_EDGE('',*,*,#181506,.T.); #237519=ORIENTED_EDGE('',*,*,#181508,.F.); #237520=ORIENTED_EDGE('',*,*,#181509,.F.); #237521=ORIENTED_EDGE('',*,*,#181510,.T.); #237522=ORIENTED_EDGE('',*,*,#181509,.T.); #237523=ORIENTED_EDGE('',*,*,#181511,.F.); #237524=ORIENTED_EDGE('',*,*,#181512,.F.); #237525=ORIENTED_EDGE('',*,*,#181513,.T.); #237526=ORIENTED_EDGE('',*,*,#181512,.T.); #237527=ORIENTED_EDGE('',*,*,#181514,.F.); #237528=ORIENTED_EDGE('',*,*,#181515,.F.); #237529=ORIENTED_EDGE('',*,*,#181516,.T.); #237530=ORIENTED_EDGE('',*,*,#181515,.T.); #237531=ORIENTED_EDGE('',*,*,#181517,.F.); #237532=ORIENTED_EDGE('',*,*,#181518,.F.); #237533=ORIENTED_EDGE('',*,*,#181519,.T.); #237534=ORIENTED_EDGE('',*,*,#181518,.T.); #237535=ORIENTED_EDGE('',*,*,#181520,.F.); #237536=ORIENTED_EDGE('',*,*,#181521,.F.); #237537=ORIENTED_EDGE('',*,*,#181522,.T.); #237538=ORIENTED_EDGE('',*,*,#181521,.T.); #237539=ORIENTED_EDGE('',*,*,#181523,.F.); #237540=ORIENTED_EDGE('',*,*,#181524,.F.); #237541=ORIENTED_EDGE('',*,*,#181525,.T.); #237542=ORIENTED_EDGE('',*,*,#181524,.T.); #237543=ORIENTED_EDGE('',*,*,#181526,.F.); #237544=ORIENTED_EDGE('',*,*,#181527,.F.); #237545=ORIENTED_EDGE('',*,*,#181528,.T.); #237546=ORIENTED_EDGE('',*,*,#181527,.T.); #237547=ORIENTED_EDGE('',*,*,#181529,.F.); #237548=ORIENTED_EDGE('',*,*,#181530,.F.); #237549=ORIENTED_EDGE('',*,*,#181531,.T.); #237550=ORIENTED_EDGE('',*,*,#181530,.T.); #237551=ORIENTED_EDGE('',*,*,#181532,.F.); #237552=ORIENTED_EDGE('',*,*,#181533,.F.); #237553=ORIENTED_EDGE('',*,*,#181534,.T.); #237554=ORIENTED_EDGE('',*,*,#181533,.T.); #237555=ORIENTED_EDGE('',*,*,#181535,.F.); #237556=ORIENTED_EDGE('',*,*,#181536,.F.); #237557=ORIENTED_EDGE('',*,*,#181537,.T.); #237558=ORIENTED_EDGE('',*,*,#181536,.T.); #237559=ORIENTED_EDGE('',*,*,#181538,.F.); #237560=ORIENTED_EDGE('',*,*,#181477,.F.); #237561=ORIENTED_EDGE('',*,*,#181538,.T.); #237562=ORIENTED_EDGE('',*,*,#181535,.T.); #237563=ORIENTED_EDGE('',*,*,#181532,.T.); #237564=ORIENTED_EDGE('',*,*,#181529,.T.); #237565=ORIENTED_EDGE('',*,*,#181526,.T.); #237566=ORIENTED_EDGE('',*,*,#181523,.T.); #237567=ORIENTED_EDGE('',*,*,#181520,.T.); #237568=ORIENTED_EDGE('',*,*,#181517,.T.); #237569=ORIENTED_EDGE('',*,*,#181514,.T.); #237570=ORIENTED_EDGE('',*,*,#181511,.T.); #237571=ORIENTED_EDGE('',*,*,#181508,.T.); #237572=ORIENTED_EDGE('',*,*,#181505,.T.); #237573=ORIENTED_EDGE('',*,*,#181502,.T.); #237574=ORIENTED_EDGE('',*,*,#181499,.T.); #237575=ORIENTED_EDGE('',*,*,#181496,.T.); #237576=ORIENTED_EDGE('',*,*,#181493,.T.); #237577=ORIENTED_EDGE('',*,*,#181490,.T.); #237578=ORIENTED_EDGE('',*,*,#181487,.T.); #237579=ORIENTED_EDGE('',*,*,#181484,.T.); #237580=ORIENTED_EDGE('',*,*,#181481,.T.); #237581=ORIENTED_EDGE('',*,*,#181478,.T.); #237582=ORIENTED_EDGE('',*,*,#181537,.F.); #237583=ORIENTED_EDGE('',*,*,#181476,.F.); #237584=ORIENTED_EDGE('',*,*,#181480,.F.); #237585=ORIENTED_EDGE('',*,*,#181483,.F.); #237586=ORIENTED_EDGE('',*,*,#181486,.F.); #237587=ORIENTED_EDGE('',*,*,#181489,.F.); #237588=ORIENTED_EDGE('',*,*,#181492,.F.); #237589=ORIENTED_EDGE('',*,*,#181495,.F.); #237590=ORIENTED_EDGE('',*,*,#181498,.F.); #237591=ORIENTED_EDGE('',*,*,#181501,.F.); #237592=ORIENTED_EDGE('',*,*,#181504,.F.); #237593=ORIENTED_EDGE('',*,*,#181507,.F.); #237594=ORIENTED_EDGE('',*,*,#181510,.F.); #237595=ORIENTED_EDGE('',*,*,#181513,.F.); #237596=ORIENTED_EDGE('',*,*,#181516,.F.); #237597=ORIENTED_EDGE('',*,*,#181519,.F.); #237598=ORIENTED_EDGE('',*,*,#181522,.F.); #237599=ORIENTED_EDGE('',*,*,#181525,.F.); #237600=ORIENTED_EDGE('',*,*,#181528,.F.); #237601=ORIENTED_EDGE('',*,*,#181531,.F.); #237602=ORIENTED_EDGE('',*,*,#181534,.F.); #237603=ORIENTED_EDGE('',*,*,#181539,.T.); #237604=ORIENTED_EDGE('',*,*,#181540,.T.); #237605=ORIENTED_EDGE('',*,*,#181541,.F.); #237606=ORIENTED_EDGE('',*,*,#181542,.F.); #237607=ORIENTED_EDGE('',*,*,#181543,.T.); #237608=ORIENTED_EDGE('',*,*,#181542,.T.); #237609=ORIENTED_EDGE('',*,*,#181544,.F.); #237610=ORIENTED_EDGE('',*,*,#181545,.F.); #237611=ORIENTED_EDGE('',*,*,#181546,.T.); #237612=ORIENTED_EDGE('',*,*,#181545,.T.); #237613=ORIENTED_EDGE('',*,*,#181547,.F.); #237614=ORIENTED_EDGE('',*,*,#181548,.F.); #237615=ORIENTED_EDGE('',*,*,#181549,.T.); #237616=ORIENTED_EDGE('',*,*,#181548,.T.); #237617=ORIENTED_EDGE('',*,*,#181550,.F.); #237618=ORIENTED_EDGE('',*,*,#181551,.F.); #237619=ORIENTED_EDGE('',*,*,#181552,.T.); #237620=ORIENTED_EDGE('',*,*,#181551,.T.); #237621=ORIENTED_EDGE('',*,*,#181553,.F.); #237622=ORIENTED_EDGE('',*,*,#181554,.F.); #237623=ORIENTED_EDGE('',*,*,#181555,.T.); #237624=ORIENTED_EDGE('',*,*,#181554,.T.); #237625=ORIENTED_EDGE('',*,*,#181556,.F.); #237626=ORIENTED_EDGE('',*,*,#181557,.F.); #237627=ORIENTED_EDGE('',*,*,#181558,.T.); #237628=ORIENTED_EDGE('',*,*,#181557,.T.); #237629=ORIENTED_EDGE('',*,*,#181559,.F.); #237630=ORIENTED_EDGE('',*,*,#181560,.F.); #237631=ORIENTED_EDGE('',*,*,#181561,.T.); #237632=ORIENTED_EDGE('',*,*,#181560,.T.); #237633=ORIENTED_EDGE('',*,*,#181562,.F.); #237634=ORIENTED_EDGE('',*,*,#181563,.F.); #237635=ORIENTED_EDGE('',*,*,#181564,.T.); #237636=ORIENTED_EDGE('',*,*,#181563,.T.); #237637=ORIENTED_EDGE('',*,*,#181565,.F.); #237638=ORIENTED_EDGE('',*,*,#181566,.F.); #237639=ORIENTED_EDGE('',*,*,#181567,.T.); #237640=ORIENTED_EDGE('',*,*,#181566,.T.); #237641=ORIENTED_EDGE('',*,*,#181568,.F.); #237642=ORIENTED_EDGE('',*,*,#181569,.F.); #237643=ORIENTED_EDGE('',*,*,#181570,.T.); #237644=ORIENTED_EDGE('',*,*,#181569,.T.); #237645=ORIENTED_EDGE('',*,*,#181571,.F.); #237646=ORIENTED_EDGE('',*,*,#181572,.F.); #237647=ORIENTED_EDGE('',*,*,#181573,.T.); #237648=ORIENTED_EDGE('',*,*,#181572,.T.); #237649=ORIENTED_EDGE('',*,*,#181574,.F.); #237650=ORIENTED_EDGE('',*,*,#181575,.F.); #237651=ORIENTED_EDGE('',*,*,#181576,.T.); #237652=ORIENTED_EDGE('',*,*,#181575,.T.); #237653=ORIENTED_EDGE('',*,*,#181577,.F.); #237654=ORIENTED_EDGE('',*,*,#181578,.F.); #237655=ORIENTED_EDGE('',*,*,#181579,.T.); #237656=ORIENTED_EDGE('',*,*,#181578,.T.); #237657=ORIENTED_EDGE('',*,*,#181580,.F.); #237658=ORIENTED_EDGE('',*,*,#181581,.F.); #237659=ORIENTED_EDGE('',*,*,#181582,.T.); #237660=ORIENTED_EDGE('',*,*,#181581,.T.); #237661=ORIENTED_EDGE('',*,*,#181583,.F.); #237662=ORIENTED_EDGE('',*,*,#181584,.F.); #237663=ORIENTED_EDGE('',*,*,#181585,.T.); #237664=ORIENTED_EDGE('',*,*,#181584,.T.); #237665=ORIENTED_EDGE('',*,*,#181586,.F.); #237666=ORIENTED_EDGE('',*,*,#181587,.F.); #237667=ORIENTED_EDGE('',*,*,#181588,.T.); #237668=ORIENTED_EDGE('',*,*,#181587,.T.); #237669=ORIENTED_EDGE('',*,*,#181589,.F.); #237670=ORIENTED_EDGE('',*,*,#181590,.F.); #237671=ORIENTED_EDGE('',*,*,#181591,.T.); #237672=ORIENTED_EDGE('',*,*,#181590,.T.); #237673=ORIENTED_EDGE('',*,*,#181592,.F.); #237674=ORIENTED_EDGE('',*,*,#181593,.F.); #237675=ORIENTED_EDGE('',*,*,#181594,.T.); #237676=ORIENTED_EDGE('',*,*,#181593,.T.); #237677=ORIENTED_EDGE('',*,*,#181595,.F.); #237678=ORIENTED_EDGE('',*,*,#181596,.F.); #237679=ORIENTED_EDGE('',*,*,#181597,.T.); #237680=ORIENTED_EDGE('',*,*,#181596,.T.); #237681=ORIENTED_EDGE('',*,*,#181598,.F.); #237682=ORIENTED_EDGE('',*,*,#181599,.F.); #237683=ORIENTED_EDGE('',*,*,#181600,.T.); #237684=ORIENTED_EDGE('',*,*,#181599,.T.); #237685=ORIENTED_EDGE('',*,*,#181601,.F.); #237686=ORIENTED_EDGE('',*,*,#181602,.F.); #237687=ORIENTED_EDGE('',*,*,#181603,.T.); #237688=ORIENTED_EDGE('',*,*,#181602,.T.); #237689=ORIENTED_EDGE('',*,*,#181604,.F.); #237690=ORIENTED_EDGE('',*,*,#181605,.F.); #237691=ORIENTED_EDGE('',*,*,#181606,.T.); #237692=ORIENTED_EDGE('',*,*,#181605,.T.); #237693=ORIENTED_EDGE('',*,*,#181607,.F.); #237694=ORIENTED_EDGE('',*,*,#181608,.F.); #237695=ORIENTED_EDGE('',*,*,#181609,.T.); #237696=ORIENTED_EDGE('',*,*,#181608,.T.); #237697=ORIENTED_EDGE('',*,*,#181610,.F.); #237698=ORIENTED_EDGE('',*,*,#181611,.F.); #237699=ORIENTED_EDGE('',*,*,#181612,.T.); #237700=ORIENTED_EDGE('',*,*,#181611,.T.); #237701=ORIENTED_EDGE('',*,*,#181613,.F.); #237702=ORIENTED_EDGE('',*,*,#181614,.F.); #237703=ORIENTED_EDGE('',*,*,#181615,.T.); #237704=ORIENTED_EDGE('',*,*,#181614,.T.); #237705=ORIENTED_EDGE('',*,*,#181616,.F.); #237706=ORIENTED_EDGE('',*,*,#181540,.F.); #237707=ORIENTED_EDGE('',*,*,#181616,.T.); #237708=ORIENTED_EDGE('',*,*,#181613,.T.); #237709=ORIENTED_EDGE('',*,*,#181610,.T.); #237710=ORIENTED_EDGE('',*,*,#181607,.T.); #237711=ORIENTED_EDGE('',*,*,#181604,.T.); #237712=ORIENTED_EDGE('',*,*,#181601,.T.); #237713=ORIENTED_EDGE('',*,*,#181598,.T.); #237714=ORIENTED_EDGE('',*,*,#181595,.T.); #237715=ORIENTED_EDGE('',*,*,#181592,.T.); #237716=ORIENTED_EDGE('',*,*,#181589,.T.); #237717=ORIENTED_EDGE('',*,*,#181586,.T.); #237718=ORIENTED_EDGE('',*,*,#181583,.T.); #237719=ORIENTED_EDGE('',*,*,#181580,.T.); #237720=ORIENTED_EDGE('',*,*,#181577,.T.); #237721=ORIENTED_EDGE('',*,*,#181574,.T.); #237722=ORIENTED_EDGE('',*,*,#181571,.T.); #237723=ORIENTED_EDGE('',*,*,#181568,.T.); #237724=ORIENTED_EDGE('',*,*,#181565,.T.); #237725=ORIENTED_EDGE('',*,*,#181562,.T.); #237726=ORIENTED_EDGE('',*,*,#181559,.T.); #237727=ORIENTED_EDGE('',*,*,#181556,.T.); #237728=ORIENTED_EDGE('',*,*,#181553,.T.); #237729=ORIENTED_EDGE('',*,*,#181550,.T.); #237730=ORIENTED_EDGE('',*,*,#181547,.T.); #237731=ORIENTED_EDGE('',*,*,#181544,.T.); #237732=ORIENTED_EDGE('',*,*,#181541,.T.); #237733=ORIENTED_EDGE('',*,*,#181615,.F.); #237734=ORIENTED_EDGE('',*,*,#181539,.F.); #237735=ORIENTED_EDGE('',*,*,#181543,.F.); #237736=ORIENTED_EDGE('',*,*,#181546,.F.); #237737=ORIENTED_EDGE('',*,*,#181549,.F.); #237738=ORIENTED_EDGE('',*,*,#181552,.F.); #237739=ORIENTED_EDGE('',*,*,#181555,.F.); #237740=ORIENTED_EDGE('',*,*,#181558,.F.); #237741=ORIENTED_EDGE('',*,*,#181561,.F.); #237742=ORIENTED_EDGE('',*,*,#181564,.F.); #237743=ORIENTED_EDGE('',*,*,#181567,.F.); #237744=ORIENTED_EDGE('',*,*,#181570,.F.); #237745=ORIENTED_EDGE('',*,*,#181573,.F.); #237746=ORIENTED_EDGE('',*,*,#181576,.F.); #237747=ORIENTED_EDGE('',*,*,#181579,.F.); #237748=ORIENTED_EDGE('',*,*,#181582,.F.); #237749=ORIENTED_EDGE('',*,*,#181585,.F.); #237750=ORIENTED_EDGE('',*,*,#181588,.F.); #237751=ORIENTED_EDGE('',*,*,#181591,.F.); #237752=ORIENTED_EDGE('',*,*,#181594,.F.); #237753=ORIENTED_EDGE('',*,*,#181597,.F.); #237754=ORIENTED_EDGE('',*,*,#181600,.F.); #237755=ORIENTED_EDGE('',*,*,#181603,.F.); #237756=ORIENTED_EDGE('',*,*,#181606,.F.); #237757=ORIENTED_EDGE('',*,*,#181609,.F.); #237758=ORIENTED_EDGE('',*,*,#181612,.F.); #237759=ORIENTED_EDGE('',*,*,#181617,.T.); #237760=ORIENTED_EDGE('',*,*,#181618,.T.); #237761=ORIENTED_EDGE('',*,*,#181619,.F.); #237762=ORIENTED_EDGE('',*,*,#181620,.F.); #237763=ORIENTED_EDGE('',*,*,#181621,.T.); #237764=ORIENTED_EDGE('',*,*,#181620,.T.); #237765=ORIENTED_EDGE('',*,*,#181622,.F.); #237766=ORIENTED_EDGE('',*,*,#181623,.F.); #237767=ORIENTED_EDGE('',*,*,#181624,.T.); #237768=ORIENTED_EDGE('',*,*,#181623,.T.); #237769=ORIENTED_EDGE('',*,*,#181625,.F.); #237770=ORIENTED_EDGE('',*,*,#181626,.F.); #237771=ORIENTED_EDGE('',*,*,#181627,.T.); #237772=ORIENTED_EDGE('',*,*,#181626,.T.); #237773=ORIENTED_EDGE('',*,*,#181628,.F.); #237774=ORIENTED_EDGE('',*,*,#181629,.F.); #237775=ORIENTED_EDGE('',*,*,#181630,.T.); #237776=ORIENTED_EDGE('',*,*,#181629,.T.); #237777=ORIENTED_EDGE('',*,*,#181631,.F.); #237778=ORIENTED_EDGE('',*,*,#181632,.F.); #237779=ORIENTED_EDGE('',*,*,#181633,.T.); #237780=ORIENTED_EDGE('',*,*,#181632,.T.); #237781=ORIENTED_EDGE('',*,*,#181634,.F.); #237782=ORIENTED_EDGE('',*,*,#181635,.F.); #237783=ORIENTED_EDGE('',*,*,#181636,.T.); #237784=ORIENTED_EDGE('',*,*,#181635,.T.); #237785=ORIENTED_EDGE('',*,*,#181637,.F.); #237786=ORIENTED_EDGE('',*,*,#181638,.F.); #237787=ORIENTED_EDGE('',*,*,#181639,.T.); #237788=ORIENTED_EDGE('',*,*,#181638,.T.); #237789=ORIENTED_EDGE('',*,*,#181640,.F.); #237790=ORIENTED_EDGE('',*,*,#181641,.F.); #237791=ORIENTED_EDGE('',*,*,#181642,.T.); #237792=ORIENTED_EDGE('',*,*,#181641,.T.); #237793=ORIENTED_EDGE('',*,*,#181643,.F.); #237794=ORIENTED_EDGE('',*,*,#181644,.F.); #237795=ORIENTED_EDGE('',*,*,#181645,.T.); #237796=ORIENTED_EDGE('',*,*,#181644,.T.); #237797=ORIENTED_EDGE('',*,*,#181646,.F.); #237798=ORIENTED_EDGE('',*,*,#181647,.F.); #237799=ORIENTED_EDGE('',*,*,#181648,.T.); #237800=ORIENTED_EDGE('',*,*,#181647,.T.); #237801=ORIENTED_EDGE('',*,*,#181649,.F.); #237802=ORIENTED_EDGE('',*,*,#181650,.F.); #237803=ORIENTED_EDGE('',*,*,#181651,.T.); #237804=ORIENTED_EDGE('',*,*,#181650,.T.); #237805=ORIENTED_EDGE('',*,*,#181652,.F.); #237806=ORIENTED_EDGE('',*,*,#181653,.F.); #237807=ORIENTED_EDGE('',*,*,#181654,.T.); #237808=ORIENTED_EDGE('',*,*,#181653,.T.); #237809=ORIENTED_EDGE('',*,*,#181655,.F.); #237810=ORIENTED_EDGE('',*,*,#181656,.F.); #237811=ORIENTED_EDGE('',*,*,#181657,.T.); #237812=ORIENTED_EDGE('',*,*,#181656,.T.); #237813=ORIENTED_EDGE('',*,*,#181658,.F.); #237814=ORIENTED_EDGE('',*,*,#181659,.F.); #237815=ORIENTED_EDGE('',*,*,#181660,.T.); #237816=ORIENTED_EDGE('',*,*,#181659,.T.); #237817=ORIENTED_EDGE('',*,*,#181661,.F.); #237818=ORIENTED_EDGE('',*,*,#181662,.F.); #237819=ORIENTED_EDGE('',*,*,#181663,.T.); #237820=ORIENTED_EDGE('',*,*,#181662,.T.); #237821=ORIENTED_EDGE('',*,*,#181664,.F.); #237822=ORIENTED_EDGE('',*,*,#181665,.F.); #237823=ORIENTED_EDGE('',*,*,#181666,.T.); #237824=ORIENTED_EDGE('',*,*,#181665,.T.); #237825=ORIENTED_EDGE('',*,*,#181667,.F.); #237826=ORIENTED_EDGE('',*,*,#181668,.F.); #237827=ORIENTED_EDGE('',*,*,#181669,.T.); #237828=ORIENTED_EDGE('',*,*,#181668,.T.); #237829=ORIENTED_EDGE('',*,*,#181670,.F.); #237830=ORIENTED_EDGE('',*,*,#181671,.F.); #237831=ORIENTED_EDGE('',*,*,#181672,.T.); #237832=ORIENTED_EDGE('',*,*,#181671,.T.); #237833=ORIENTED_EDGE('',*,*,#181673,.F.); #237834=ORIENTED_EDGE('',*,*,#181674,.F.); #237835=ORIENTED_EDGE('',*,*,#181675,.T.); #237836=ORIENTED_EDGE('',*,*,#181674,.T.); #237837=ORIENTED_EDGE('',*,*,#181676,.F.); #237838=ORIENTED_EDGE('',*,*,#181618,.F.); #237839=ORIENTED_EDGE('',*,*,#181676,.T.); #237840=ORIENTED_EDGE('',*,*,#181673,.T.); #237841=ORIENTED_EDGE('',*,*,#181670,.T.); #237842=ORIENTED_EDGE('',*,*,#181667,.T.); #237843=ORIENTED_EDGE('',*,*,#181664,.T.); #237844=ORIENTED_EDGE('',*,*,#181661,.T.); #237845=ORIENTED_EDGE('',*,*,#181658,.T.); #237846=ORIENTED_EDGE('',*,*,#181655,.T.); #237847=ORIENTED_EDGE('',*,*,#181652,.T.); #237848=ORIENTED_EDGE('',*,*,#181649,.T.); #237849=ORIENTED_EDGE('',*,*,#181646,.T.); #237850=ORIENTED_EDGE('',*,*,#181643,.T.); #237851=ORIENTED_EDGE('',*,*,#181640,.T.); #237852=ORIENTED_EDGE('',*,*,#181637,.T.); #237853=ORIENTED_EDGE('',*,*,#181634,.T.); #237854=ORIENTED_EDGE('',*,*,#181631,.T.); #237855=ORIENTED_EDGE('',*,*,#181628,.T.); #237856=ORIENTED_EDGE('',*,*,#181625,.T.); #237857=ORIENTED_EDGE('',*,*,#181622,.T.); #237858=ORIENTED_EDGE('',*,*,#181619,.T.); #237859=ORIENTED_EDGE('',*,*,#181675,.F.); #237860=ORIENTED_EDGE('',*,*,#181617,.F.); #237861=ORIENTED_EDGE('',*,*,#181621,.F.); #237862=ORIENTED_EDGE('',*,*,#181624,.F.); #237863=ORIENTED_EDGE('',*,*,#181627,.F.); #237864=ORIENTED_EDGE('',*,*,#181630,.F.); #237865=ORIENTED_EDGE('',*,*,#181633,.F.); #237866=ORIENTED_EDGE('',*,*,#181636,.F.); #237867=ORIENTED_EDGE('',*,*,#181639,.F.); #237868=ORIENTED_EDGE('',*,*,#181642,.F.); #237869=ORIENTED_EDGE('',*,*,#181645,.F.); #237870=ORIENTED_EDGE('',*,*,#181648,.F.); #237871=ORIENTED_EDGE('',*,*,#181651,.F.); #237872=ORIENTED_EDGE('',*,*,#181654,.F.); #237873=ORIENTED_EDGE('',*,*,#181657,.F.); #237874=ORIENTED_EDGE('',*,*,#181660,.F.); #237875=ORIENTED_EDGE('',*,*,#181663,.F.); #237876=ORIENTED_EDGE('',*,*,#181666,.F.); #237877=ORIENTED_EDGE('',*,*,#181669,.F.); #237878=ORIENTED_EDGE('',*,*,#181672,.F.); #237879=ORIENTED_EDGE('',*,*,#181677,.T.); #237880=ORIENTED_EDGE('',*,*,#181678,.T.); #237881=ORIENTED_EDGE('',*,*,#181679,.F.); #237882=ORIENTED_EDGE('',*,*,#181680,.F.); #237883=ORIENTED_EDGE('',*,*,#181681,.T.); #237884=ORIENTED_EDGE('',*,*,#181680,.T.); #237885=ORIENTED_EDGE('',*,*,#181682,.F.); #237886=ORIENTED_EDGE('',*,*,#181683,.F.); #237887=ORIENTED_EDGE('',*,*,#181684,.T.); #237888=ORIENTED_EDGE('',*,*,#181683,.T.); #237889=ORIENTED_EDGE('',*,*,#181685,.F.); #237890=ORIENTED_EDGE('',*,*,#181686,.F.); #237891=ORIENTED_EDGE('',*,*,#181687,.T.); #237892=ORIENTED_EDGE('',*,*,#181686,.T.); #237893=ORIENTED_EDGE('',*,*,#181688,.F.); #237894=ORIENTED_EDGE('',*,*,#181689,.F.); #237895=ORIENTED_EDGE('',*,*,#181690,.T.); #237896=ORIENTED_EDGE('',*,*,#181689,.T.); #237897=ORIENTED_EDGE('',*,*,#181691,.F.); #237898=ORIENTED_EDGE('',*,*,#181692,.F.); #237899=ORIENTED_EDGE('',*,*,#181693,.T.); #237900=ORIENTED_EDGE('',*,*,#181692,.T.); #237901=ORIENTED_EDGE('',*,*,#181694,.F.); #237902=ORIENTED_EDGE('',*,*,#181695,.F.); #237903=ORIENTED_EDGE('',*,*,#181696,.T.); #237904=ORIENTED_EDGE('',*,*,#181695,.T.); #237905=ORIENTED_EDGE('',*,*,#181697,.F.); #237906=ORIENTED_EDGE('',*,*,#181698,.F.); #237907=ORIENTED_EDGE('',*,*,#181699,.T.); #237908=ORIENTED_EDGE('',*,*,#181698,.T.); #237909=ORIENTED_EDGE('',*,*,#181700,.F.); #237910=ORIENTED_EDGE('',*,*,#181701,.F.); #237911=ORIENTED_EDGE('',*,*,#181702,.T.); #237912=ORIENTED_EDGE('',*,*,#181701,.T.); #237913=ORIENTED_EDGE('',*,*,#181703,.F.); #237914=ORIENTED_EDGE('',*,*,#181704,.F.); #237915=ORIENTED_EDGE('',*,*,#181705,.T.); #237916=ORIENTED_EDGE('',*,*,#181704,.T.); #237917=ORIENTED_EDGE('',*,*,#181706,.F.); #237918=ORIENTED_EDGE('',*,*,#181707,.F.); #237919=ORIENTED_EDGE('',*,*,#181708,.T.); #237920=ORIENTED_EDGE('',*,*,#181707,.T.); #237921=ORIENTED_EDGE('',*,*,#181709,.F.); #237922=ORIENTED_EDGE('',*,*,#181710,.F.); #237923=ORIENTED_EDGE('',*,*,#181711,.T.); #237924=ORIENTED_EDGE('',*,*,#181710,.T.); #237925=ORIENTED_EDGE('',*,*,#181712,.F.); #237926=ORIENTED_EDGE('',*,*,#181713,.F.); #237927=ORIENTED_EDGE('',*,*,#181714,.T.); #237928=ORIENTED_EDGE('',*,*,#181713,.T.); #237929=ORIENTED_EDGE('',*,*,#181715,.F.); #237930=ORIENTED_EDGE('',*,*,#181716,.F.); #237931=ORIENTED_EDGE('',*,*,#181717,.T.); #237932=ORIENTED_EDGE('',*,*,#181716,.T.); #237933=ORIENTED_EDGE('',*,*,#181718,.F.); #237934=ORIENTED_EDGE('',*,*,#181719,.F.); #237935=ORIENTED_EDGE('',*,*,#181720,.T.); #237936=ORIENTED_EDGE('',*,*,#181719,.T.); #237937=ORIENTED_EDGE('',*,*,#181721,.F.); #237938=ORIENTED_EDGE('',*,*,#181722,.F.); #237939=ORIENTED_EDGE('',*,*,#181723,.T.); #237940=ORIENTED_EDGE('',*,*,#181722,.T.); #237941=ORIENTED_EDGE('',*,*,#181724,.F.); #237942=ORIENTED_EDGE('',*,*,#181725,.F.); #237943=ORIENTED_EDGE('',*,*,#181726,.T.); #237944=ORIENTED_EDGE('',*,*,#181725,.T.); #237945=ORIENTED_EDGE('',*,*,#181727,.F.); #237946=ORIENTED_EDGE('',*,*,#181728,.F.); #237947=ORIENTED_EDGE('',*,*,#181729,.T.); #237948=ORIENTED_EDGE('',*,*,#181728,.T.); #237949=ORIENTED_EDGE('',*,*,#181730,.F.); #237950=ORIENTED_EDGE('',*,*,#181731,.F.); #237951=ORIENTED_EDGE('',*,*,#181732,.T.); #237952=ORIENTED_EDGE('',*,*,#181731,.T.); #237953=ORIENTED_EDGE('',*,*,#181733,.F.); #237954=ORIENTED_EDGE('',*,*,#181734,.F.); #237955=ORIENTED_EDGE('',*,*,#181735,.T.); #237956=ORIENTED_EDGE('',*,*,#181734,.T.); #237957=ORIENTED_EDGE('',*,*,#181736,.F.); #237958=ORIENTED_EDGE('',*,*,#181737,.F.); #237959=ORIENTED_EDGE('',*,*,#181738,.T.); #237960=ORIENTED_EDGE('',*,*,#181737,.T.); #237961=ORIENTED_EDGE('',*,*,#181739,.F.); #237962=ORIENTED_EDGE('',*,*,#181740,.F.); #237963=ORIENTED_EDGE('',*,*,#181741,.T.); #237964=ORIENTED_EDGE('',*,*,#181740,.T.); #237965=ORIENTED_EDGE('',*,*,#181742,.F.); #237966=ORIENTED_EDGE('',*,*,#181743,.F.); #237967=ORIENTED_EDGE('',*,*,#181744,.T.); #237968=ORIENTED_EDGE('',*,*,#181743,.T.); #237969=ORIENTED_EDGE('',*,*,#181745,.F.); #237970=ORIENTED_EDGE('',*,*,#181678,.F.); #237971=ORIENTED_EDGE('',*,*,#181745,.T.); #237972=ORIENTED_EDGE('',*,*,#181742,.T.); #237973=ORIENTED_EDGE('',*,*,#181739,.T.); #237974=ORIENTED_EDGE('',*,*,#181736,.T.); #237975=ORIENTED_EDGE('',*,*,#181733,.T.); #237976=ORIENTED_EDGE('',*,*,#181730,.T.); #237977=ORIENTED_EDGE('',*,*,#181727,.T.); #237978=ORIENTED_EDGE('',*,*,#181724,.T.); #237979=ORIENTED_EDGE('',*,*,#181721,.T.); #237980=ORIENTED_EDGE('',*,*,#181718,.T.); #237981=ORIENTED_EDGE('',*,*,#181715,.T.); #237982=ORIENTED_EDGE('',*,*,#181712,.T.); #237983=ORIENTED_EDGE('',*,*,#181709,.T.); #237984=ORIENTED_EDGE('',*,*,#181706,.T.); #237985=ORIENTED_EDGE('',*,*,#181703,.T.); #237986=ORIENTED_EDGE('',*,*,#181700,.T.); #237987=ORIENTED_EDGE('',*,*,#181697,.T.); #237988=ORIENTED_EDGE('',*,*,#181694,.T.); #237989=ORIENTED_EDGE('',*,*,#181691,.T.); #237990=ORIENTED_EDGE('',*,*,#181688,.T.); #237991=ORIENTED_EDGE('',*,*,#181685,.T.); #237992=ORIENTED_EDGE('',*,*,#181682,.T.); #237993=ORIENTED_EDGE('',*,*,#181679,.T.); #237994=ORIENTED_EDGE('',*,*,#181744,.F.); #237995=ORIENTED_EDGE('',*,*,#181677,.F.); #237996=ORIENTED_EDGE('',*,*,#181681,.F.); #237997=ORIENTED_EDGE('',*,*,#181684,.F.); #237998=ORIENTED_EDGE('',*,*,#181687,.F.); #237999=ORIENTED_EDGE('',*,*,#181690,.F.); #238000=ORIENTED_EDGE('',*,*,#181693,.F.); #238001=ORIENTED_EDGE('',*,*,#181696,.F.); #238002=ORIENTED_EDGE('',*,*,#181699,.F.); #238003=ORIENTED_EDGE('',*,*,#181702,.F.); #238004=ORIENTED_EDGE('',*,*,#181705,.F.); #238005=ORIENTED_EDGE('',*,*,#181708,.F.); #238006=ORIENTED_EDGE('',*,*,#181711,.F.); #238007=ORIENTED_EDGE('',*,*,#181714,.F.); #238008=ORIENTED_EDGE('',*,*,#181717,.F.); #238009=ORIENTED_EDGE('',*,*,#181720,.F.); #238010=ORIENTED_EDGE('',*,*,#181723,.F.); #238011=ORIENTED_EDGE('',*,*,#181726,.F.); #238012=ORIENTED_EDGE('',*,*,#181729,.F.); #238013=ORIENTED_EDGE('',*,*,#181732,.F.); #238014=ORIENTED_EDGE('',*,*,#181735,.F.); #238015=ORIENTED_EDGE('',*,*,#181738,.F.); #238016=ORIENTED_EDGE('',*,*,#181741,.F.); #238017=ORIENTED_EDGE('',*,*,#181746,.T.); #238018=ORIENTED_EDGE('',*,*,#181747,.T.); #238019=ORIENTED_EDGE('',*,*,#181748,.F.); #238020=ORIENTED_EDGE('',*,*,#181749,.F.); #238021=ORIENTED_EDGE('',*,*,#181750,.T.); #238022=ORIENTED_EDGE('',*,*,#181749,.T.); #238023=ORIENTED_EDGE('',*,*,#181751,.F.); #238024=ORIENTED_EDGE('',*,*,#181752,.F.); #238025=ORIENTED_EDGE('',*,*,#181753,.T.); #238026=ORIENTED_EDGE('',*,*,#181752,.T.); #238027=ORIENTED_EDGE('',*,*,#181754,.F.); #238028=ORIENTED_EDGE('',*,*,#181755,.F.); #238029=ORIENTED_EDGE('',*,*,#181756,.T.); #238030=ORIENTED_EDGE('',*,*,#181755,.T.); #238031=ORIENTED_EDGE('',*,*,#181757,.F.); #238032=ORIENTED_EDGE('',*,*,#181758,.F.); #238033=ORIENTED_EDGE('',*,*,#181759,.T.); #238034=ORIENTED_EDGE('',*,*,#181758,.T.); #238035=ORIENTED_EDGE('',*,*,#181760,.F.); #238036=ORIENTED_EDGE('',*,*,#181761,.F.); #238037=ORIENTED_EDGE('',*,*,#181762,.T.); #238038=ORIENTED_EDGE('',*,*,#181761,.T.); #238039=ORIENTED_EDGE('',*,*,#181763,.F.); #238040=ORIENTED_EDGE('',*,*,#181764,.F.); #238041=ORIENTED_EDGE('',*,*,#181765,.T.); #238042=ORIENTED_EDGE('',*,*,#181764,.T.); #238043=ORIENTED_EDGE('',*,*,#181766,.F.); #238044=ORIENTED_EDGE('',*,*,#181767,.F.); #238045=ORIENTED_EDGE('',*,*,#181768,.T.); #238046=ORIENTED_EDGE('',*,*,#181767,.T.); #238047=ORIENTED_EDGE('',*,*,#181769,.F.); #238048=ORIENTED_EDGE('',*,*,#181770,.F.); #238049=ORIENTED_EDGE('',*,*,#181771,.T.); #238050=ORIENTED_EDGE('',*,*,#181770,.T.); #238051=ORIENTED_EDGE('',*,*,#181772,.F.); #238052=ORIENTED_EDGE('',*,*,#181773,.F.); #238053=ORIENTED_EDGE('',*,*,#181774,.T.); #238054=ORIENTED_EDGE('',*,*,#181773,.T.); #238055=ORIENTED_EDGE('',*,*,#181775,.F.); #238056=ORIENTED_EDGE('',*,*,#181776,.F.); #238057=ORIENTED_EDGE('',*,*,#181777,.T.); #238058=ORIENTED_EDGE('',*,*,#181776,.T.); #238059=ORIENTED_EDGE('',*,*,#181778,.F.); #238060=ORIENTED_EDGE('',*,*,#181779,.F.); #238061=ORIENTED_EDGE('',*,*,#181780,.T.); #238062=ORIENTED_EDGE('',*,*,#181779,.T.); #238063=ORIENTED_EDGE('',*,*,#181781,.F.); #238064=ORIENTED_EDGE('',*,*,#181782,.F.); #238065=ORIENTED_EDGE('',*,*,#181783,.T.); #238066=ORIENTED_EDGE('',*,*,#181782,.T.); #238067=ORIENTED_EDGE('',*,*,#181784,.F.); #238068=ORIENTED_EDGE('',*,*,#181785,.F.); #238069=ORIENTED_EDGE('',*,*,#181786,.T.); #238070=ORIENTED_EDGE('',*,*,#181785,.T.); #238071=ORIENTED_EDGE('',*,*,#181787,.F.); #238072=ORIENTED_EDGE('',*,*,#181788,.F.); #238073=ORIENTED_EDGE('',*,*,#181789,.T.); #238074=ORIENTED_EDGE('',*,*,#181788,.T.); #238075=ORIENTED_EDGE('',*,*,#181790,.F.); #238076=ORIENTED_EDGE('',*,*,#181791,.F.); #238077=ORIENTED_EDGE('',*,*,#181792,.T.); #238078=ORIENTED_EDGE('',*,*,#181791,.T.); #238079=ORIENTED_EDGE('',*,*,#181793,.F.); #238080=ORIENTED_EDGE('',*,*,#181794,.F.); #238081=ORIENTED_EDGE('',*,*,#181795,.T.); #238082=ORIENTED_EDGE('',*,*,#181794,.T.); #238083=ORIENTED_EDGE('',*,*,#181796,.F.); #238084=ORIENTED_EDGE('',*,*,#181797,.F.); #238085=ORIENTED_EDGE('',*,*,#181798,.T.); #238086=ORIENTED_EDGE('',*,*,#181797,.T.); #238087=ORIENTED_EDGE('',*,*,#181799,.F.); #238088=ORIENTED_EDGE('',*,*,#181800,.F.); #238089=ORIENTED_EDGE('',*,*,#181801,.T.); #238090=ORIENTED_EDGE('',*,*,#181800,.T.); #238091=ORIENTED_EDGE('',*,*,#181802,.F.); #238092=ORIENTED_EDGE('',*,*,#181803,.F.); #238093=ORIENTED_EDGE('',*,*,#181804,.T.); #238094=ORIENTED_EDGE('',*,*,#181803,.T.); #238095=ORIENTED_EDGE('',*,*,#181805,.F.); #238096=ORIENTED_EDGE('',*,*,#181806,.F.); #238097=ORIENTED_EDGE('',*,*,#181807,.T.); #238098=ORIENTED_EDGE('',*,*,#181806,.T.); #238099=ORIENTED_EDGE('',*,*,#181808,.F.); #238100=ORIENTED_EDGE('',*,*,#181747,.F.); #238101=ORIENTED_EDGE('',*,*,#181808,.T.); #238102=ORIENTED_EDGE('',*,*,#181805,.T.); #238103=ORIENTED_EDGE('',*,*,#181802,.T.); #238104=ORIENTED_EDGE('',*,*,#181799,.T.); #238105=ORIENTED_EDGE('',*,*,#181796,.T.); #238106=ORIENTED_EDGE('',*,*,#181793,.T.); #238107=ORIENTED_EDGE('',*,*,#181790,.T.); #238108=ORIENTED_EDGE('',*,*,#181787,.T.); #238109=ORIENTED_EDGE('',*,*,#181784,.T.); #238110=ORIENTED_EDGE('',*,*,#181781,.T.); #238111=ORIENTED_EDGE('',*,*,#181778,.T.); #238112=ORIENTED_EDGE('',*,*,#181775,.T.); #238113=ORIENTED_EDGE('',*,*,#181772,.T.); #238114=ORIENTED_EDGE('',*,*,#181769,.T.); #238115=ORIENTED_EDGE('',*,*,#181766,.T.); #238116=ORIENTED_EDGE('',*,*,#181763,.T.); #238117=ORIENTED_EDGE('',*,*,#181760,.T.); #238118=ORIENTED_EDGE('',*,*,#181757,.T.); #238119=ORIENTED_EDGE('',*,*,#181754,.T.); #238120=ORIENTED_EDGE('',*,*,#181751,.T.); #238121=ORIENTED_EDGE('',*,*,#181748,.T.); #238122=ORIENTED_EDGE('',*,*,#181807,.F.); #238123=ORIENTED_EDGE('',*,*,#181746,.F.); #238124=ORIENTED_EDGE('',*,*,#181750,.F.); #238125=ORIENTED_EDGE('',*,*,#181753,.F.); #238126=ORIENTED_EDGE('',*,*,#181756,.F.); #238127=ORIENTED_EDGE('',*,*,#181759,.F.); #238128=ORIENTED_EDGE('',*,*,#181762,.F.); #238129=ORIENTED_EDGE('',*,*,#181765,.F.); #238130=ORIENTED_EDGE('',*,*,#181768,.F.); #238131=ORIENTED_EDGE('',*,*,#181771,.F.); #238132=ORIENTED_EDGE('',*,*,#181774,.F.); #238133=ORIENTED_EDGE('',*,*,#181777,.F.); #238134=ORIENTED_EDGE('',*,*,#181780,.F.); #238135=ORIENTED_EDGE('',*,*,#181783,.F.); #238136=ORIENTED_EDGE('',*,*,#181786,.F.); #238137=ORIENTED_EDGE('',*,*,#181789,.F.); #238138=ORIENTED_EDGE('',*,*,#181792,.F.); #238139=ORIENTED_EDGE('',*,*,#181795,.F.); #238140=ORIENTED_EDGE('',*,*,#181798,.F.); #238141=ORIENTED_EDGE('',*,*,#181801,.F.); #238142=ORIENTED_EDGE('',*,*,#181804,.F.); #238143=ORIENTED_EDGE('',*,*,#181809,.T.); #238144=ORIENTED_EDGE('',*,*,#181810,.T.); #238145=ORIENTED_EDGE('',*,*,#181811,.F.); #238146=ORIENTED_EDGE('',*,*,#181812,.F.); #238147=ORIENTED_EDGE('',*,*,#181813,.T.); #238148=ORIENTED_EDGE('',*,*,#181812,.T.); #238149=ORIENTED_EDGE('',*,*,#181814,.F.); #238150=ORIENTED_EDGE('',*,*,#181815,.F.); #238151=ORIENTED_EDGE('',*,*,#181816,.T.); #238152=ORIENTED_EDGE('',*,*,#181815,.T.); #238153=ORIENTED_EDGE('',*,*,#181817,.F.); #238154=ORIENTED_EDGE('',*,*,#181818,.F.); #238155=ORIENTED_EDGE('',*,*,#181819,.T.); #238156=ORIENTED_EDGE('',*,*,#181818,.T.); #238157=ORIENTED_EDGE('',*,*,#181820,.F.); #238158=ORIENTED_EDGE('',*,*,#181821,.F.); #238159=ORIENTED_EDGE('',*,*,#181822,.T.); #238160=ORIENTED_EDGE('',*,*,#181821,.T.); #238161=ORIENTED_EDGE('',*,*,#181823,.F.); #238162=ORIENTED_EDGE('',*,*,#181824,.F.); #238163=ORIENTED_EDGE('',*,*,#181825,.T.); #238164=ORIENTED_EDGE('',*,*,#181824,.T.); #238165=ORIENTED_EDGE('',*,*,#181826,.F.); #238166=ORIENTED_EDGE('',*,*,#181827,.F.); #238167=ORIENTED_EDGE('',*,*,#181828,.T.); #238168=ORIENTED_EDGE('',*,*,#181827,.T.); #238169=ORIENTED_EDGE('',*,*,#181829,.F.); #238170=ORIENTED_EDGE('',*,*,#181830,.F.); #238171=ORIENTED_EDGE('',*,*,#181831,.T.); #238172=ORIENTED_EDGE('',*,*,#181830,.T.); #238173=ORIENTED_EDGE('',*,*,#181832,.F.); #238174=ORIENTED_EDGE('',*,*,#181833,.F.); #238175=ORIENTED_EDGE('',*,*,#181834,.T.); #238176=ORIENTED_EDGE('',*,*,#181833,.T.); #238177=ORIENTED_EDGE('',*,*,#181835,.F.); #238178=ORIENTED_EDGE('',*,*,#181836,.F.); #238179=ORIENTED_EDGE('',*,*,#181837,.T.); #238180=ORIENTED_EDGE('',*,*,#181836,.T.); #238181=ORIENTED_EDGE('',*,*,#181838,.F.); #238182=ORIENTED_EDGE('',*,*,#181839,.F.); #238183=ORIENTED_EDGE('',*,*,#181840,.T.); #238184=ORIENTED_EDGE('',*,*,#181839,.T.); #238185=ORIENTED_EDGE('',*,*,#181841,.F.); #238186=ORIENTED_EDGE('',*,*,#181842,.F.); #238187=ORIENTED_EDGE('',*,*,#181843,.T.); #238188=ORIENTED_EDGE('',*,*,#181842,.T.); #238189=ORIENTED_EDGE('',*,*,#181844,.F.); #238190=ORIENTED_EDGE('',*,*,#181845,.F.); #238191=ORIENTED_EDGE('',*,*,#181846,.T.); #238192=ORIENTED_EDGE('',*,*,#181845,.T.); #238193=ORIENTED_EDGE('',*,*,#181847,.F.); #238194=ORIENTED_EDGE('',*,*,#181848,.F.); #238195=ORIENTED_EDGE('',*,*,#181849,.T.); #238196=ORIENTED_EDGE('',*,*,#181848,.T.); #238197=ORIENTED_EDGE('',*,*,#181850,.F.); #238198=ORIENTED_EDGE('',*,*,#181851,.F.); #238199=ORIENTED_EDGE('',*,*,#181852,.T.); #238200=ORIENTED_EDGE('',*,*,#181851,.T.); #238201=ORIENTED_EDGE('',*,*,#181853,.F.); #238202=ORIENTED_EDGE('',*,*,#181854,.F.); #238203=ORIENTED_EDGE('',*,*,#181855,.T.); #238204=ORIENTED_EDGE('',*,*,#181854,.T.); #238205=ORIENTED_EDGE('',*,*,#181856,.F.); #238206=ORIENTED_EDGE('',*,*,#181857,.F.); #238207=ORIENTED_EDGE('',*,*,#181858,.T.); #238208=ORIENTED_EDGE('',*,*,#181857,.T.); #238209=ORIENTED_EDGE('',*,*,#181859,.F.); #238210=ORIENTED_EDGE('',*,*,#181860,.F.); #238211=ORIENTED_EDGE('',*,*,#181861,.T.); #238212=ORIENTED_EDGE('',*,*,#181860,.T.); #238213=ORIENTED_EDGE('',*,*,#181862,.F.); #238214=ORIENTED_EDGE('',*,*,#181863,.F.); #238215=ORIENTED_EDGE('',*,*,#181864,.T.); #238216=ORIENTED_EDGE('',*,*,#181863,.T.); #238217=ORIENTED_EDGE('',*,*,#181865,.F.); #238218=ORIENTED_EDGE('',*,*,#181866,.F.); #238219=ORIENTED_EDGE('',*,*,#181867,.T.); #238220=ORIENTED_EDGE('',*,*,#181866,.T.); #238221=ORIENTED_EDGE('',*,*,#181868,.F.); #238222=ORIENTED_EDGE('',*,*,#181869,.F.); #238223=ORIENTED_EDGE('',*,*,#181870,.T.); #238224=ORIENTED_EDGE('',*,*,#181869,.T.); #238225=ORIENTED_EDGE('',*,*,#181871,.F.); #238226=ORIENTED_EDGE('',*,*,#181872,.F.); #238227=ORIENTED_EDGE('',*,*,#181873,.T.); #238228=ORIENTED_EDGE('',*,*,#181872,.T.); #238229=ORIENTED_EDGE('',*,*,#181874,.F.); #238230=ORIENTED_EDGE('',*,*,#181875,.F.); #238231=ORIENTED_EDGE('',*,*,#181876,.T.); #238232=ORIENTED_EDGE('',*,*,#181875,.T.); #238233=ORIENTED_EDGE('',*,*,#181877,.F.); #238234=ORIENTED_EDGE('',*,*,#181878,.F.); #238235=ORIENTED_EDGE('',*,*,#181879,.T.); #238236=ORIENTED_EDGE('',*,*,#181878,.T.); #238237=ORIENTED_EDGE('',*,*,#181880,.F.); #238238=ORIENTED_EDGE('',*,*,#181881,.F.); #238239=ORIENTED_EDGE('',*,*,#181882,.T.); #238240=ORIENTED_EDGE('',*,*,#181881,.T.); #238241=ORIENTED_EDGE('',*,*,#181883,.F.); #238242=ORIENTED_EDGE('',*,*,#181884,.F.); #238243=ORIENTED_EDGE('',*,*,#181885,.T.); #238244=ORIENTED_EDGE('',*,*,#181884,.T.); #238245=ORIENTED_EDGE('',*,*,#181886,.F.); #238246=ORIENTED_EDGE('',*,*,#181887,.F.); #238247=ORIENTED_EDGE('',*,*,#181888,.T.); #238248=ORIENTED_EDGE('',*,*,#181887,.T.); #238249=ORIENTED_EDGE('',*,*,#181889,.F.); #238250=ORIENTED_EDGE('',*,*,#181890,.F.); #238251=ORIENTED_EDGE('',*,*,#181891,.T.); #238252=ORIENTED_EDGE('',*,*,#181890,.T.); #238253=ORIENTED_EDGE('',*,*,#181892,.F.); #238254=ORIENTED_EDGE('',*,*,#181893,.F.); #238255=ORIENTED_EDGE('',*,*,#181894,.T.); #238256=ORIENTED_EDGE('',*,*,#181893,.T.); #238257=ORIENTED_EDGE('',*,*,#181895,.F.); #238258=ORIENTED_EDGE('',*,*,#181896,.F.); #238259=ORIENTED_EDGE('',*,*,#181897,.T.); #238260=ORIENTED_EDGE('',*,*,#181896,.T.); #238261=ORIENTED_EDGE('',*,*,#181898,.F.); #238262=ORIENTED_EDGE('',*,*,#181810,.F.); #238263=ORIENTED_EDGE('',*,*,#181898,.T.); #238264=ORIENTED_EDGE('',*,*,#181895,.T.); #238265=ORIENTED_EDGE('',*,*,#181892,.T.); #238266=ORIENTED_EDGE('',*,*,#181889,.T.); #238267=ORIENTED_EDGE('',*,*,#181886,.T.); #238268=ORIENTED_EDGE('',*,*,#181883,.T.); #238269=ORIENTED_EDGE('',*,*,#181880,.T.); #238270=ORIENTED_EDGE('',*,*,#181877,.T.); #238271=ORIENTED_EDGE('',*,*,#181874,.T.); #238272=ORIENTED_EDGE('',*,*,#181871,.T.); #238273=ORIENTED_EDGE('',*,*,#181868,.T.); #238274=ORIENTED_EDGE('',*,*,#181865,.T.); #238275=ORIENTED_EDGE('',*,*,#181862,.T.); #238276=ORIENTED_EDGE('',*,*,#181859,.T.); #238277=ORIENTED_EDGE('',*,*,#181856,.T.); #238278=ORIENTED_EDGE('',*,*,#181853,.T.); #238279=ORIENTED_EDGE('',*,*,#181850,.T.); #238280=ORIENTED_EDGE('',*,*,#181847,.T.); #238281=ORIENTED_EDGE('',*,*,#181844,.T.); #238282=ORIENTED_EDGE('',*,*,#181841,.T.); #238283=ORIENTED_EDGE('',*,*,#181838,.T.); #238284=ORIENTED_EDGE('',*,*,#181835,.T.); #238285=ORIENTED_EDGE('',*,*,#181832,.T.); #238286=ORIENTED_EDGE('',*,*,#181829,.T.); #238287=ORIENTED_EDGE('',*,*,#181826,.T.); #238288=ORIENTED_EDGE('',*,*,#181823,.T.); #238289=ORIENTED_EDGE('',*,*,#181820,.T.); #238290=ORIENTED_EDGE('',*,*,#181817,.T.); #238291=ORIENTED_EDGE('',*,*,#181814,.T.); #238292=ORIENTED_EDGE('',*,*,#181811,.T.); #238293=ORIENTED_EDGE('',*,*,#181897,.F.); #238294=ORIENTED_EDGE('',*,*,#181809,.F.); #238295=ORIENTED_EDGE('',*,*,#181813,.F.); #238296=ORIENTED_EDGE('',*,*,#181816,.F.); #238297=ORIENTED_EDGE('',*,*,#181819,.F.); #238298=ORIENTED_EDGE('',*,*,#181822,.F.); #238299=ORIENTED_EDGE('',*,*,#181825,.F.); #238300=ORIENTED_EDGE('',*,*,#181828,.F.); #238301=ORIENTED_EDGE('',*,*,#181831,.F.); #238302=ORIENTED_EDGE('',*,*,#181834,.F.); #238303=ORIENTED_EDGE('',*,*,#181837,.F.); #238304=ORIENTED_EDGE('',*,*,#181840,.F.); #238305=ORIENTED_EDGE('',*,*,#181843,.F.); #238306=ORIENTED_EDGE('',*,*,#181846,.F.); #238307=ORIENTED_EDGE('',*,*,#181849,.F.); #238308=ORIENTED_EDGE('',*,*,#181852,.F.); #238309=ORIENTED_EDGE('',*,*,#181855,.F.); #238310=ORIENTED_EDGE('',*,*,#181858,.F.); #238311=ORIENTED_EDGE('',*,*,#181861,.F.); #238312=ORIENTED_EDGE('',*,*,#181864,.F.); #238313=ORIENTED_EDGE('',*,*,#181867,.F.); #238314=ORIENTED_EDGE('',*,*,#181870,.F.); #238315=ORIENTED_EDGE('',*,*,#181873,.F.); #238316=ORIENTED_EDGE('',*,*,#181876,.F.); #238317=ORIENTED_EDGE('',*,*,#181879,.F.); #238318=ORIENTED_EDGE('',*,*,#181882,.F.); #238319=ORIENTED_EDGE('',*,*,#181885,.F.); #238320=ORIENTED_EDGE('',*,*,#181888,.F.); #238321=ORIENTED_EDGE('',*,*,#181891,.F.); #238322=ORIENTED_EDGE('',*,*,#181894,.F.); #238323=ORIENTED_EDGE('',*,*,#181899,.T.); #238324=ORIENTED_EDGE('',*,*,#181900,.T.); #238325=ORIENTED_EDGE('',*,*,#181901,.F.); #238326=ORIENTED_EDGE('',*,*,#181902,.F.); #238327=ORIENTED_EDGE('',*,*,#181903,.T.); #238328=ORIENTED_EDGE('',*,*,#181902,.T.); #238329=ORIENTED_EDGE('',*,*,#181904,.F.); #238330=ORIENTED_EDGE('',*,*,#181905,.F.); #238331=ORIENTED_EDGE('',*,*,#181906,.T.); #238332=ORIENTED_EDGE('',*,*,#181905,.T.); #238333=ORIENTED_EDGE('',*,*,#181907,.F.); #238334=ORIENTED_EDGE('',*,*,#181908,.F.); #238335=ORIENTED_EDGE('',*,*,#181909,.T.); #238336=ORIENTED_EDGE('',*,*,#181908,.T.); #238337=ORIENTED_EDGE('',*,*,#181910,.F.); #238338=ORIENTED_EDGE('',*,*,#181911,.F.); #238339=ORIENTED_EDGE('',*,*,#181912,.T.); #238340=ORIENTED_EDGE('',*,*,#181911,.T.); #238341=ORIENTED_EDGE('',*,*,#181913,.F.); #238342=ORIENTED_EDGE('',*,*,#181914,.F.); #238343=ORIENTED_EDGE('',*,*,#181915,.T.); #238344=ORIENTED_EDGE('',*,*,#181914,.T.); #238345=ORIENTED_EDGE('',*,*,#181916,.F.); #238346=ORIENTED_EDGE('',*,*,#181917,.F.); #238347=ORIENTED_EDGE('',*,*,#181918,.T.); #238348=ORIENTED_EDGE('',*,*,#181917,.T.); #238349=ORIENTED_EDGE('',*,*,#181919,.F.); #238350=ORIENTED_EDGE('',*,*,#181920,.F.); #238351=ORIENTED_EDGE('',*,*,#181921,.T.); #238352=ORIENTED_EDGE('',*,*,#181920,.T.); #238353=ORIENTED_EDGE('',*,*,#181922,.F.); #238354=ORIENTED_EDGE('',*,*,#181923,.F.); #238355=ORIENTED_EDGE('',*,*,#181924,.T.); #238356=ORIENTED_EDGE('',*,*,#181923,.T.); #238357=ORIENTED_EDGE('',*,*,#181925,.F.); #238358=ORIENTED_EDGE('',*,*,#181926,.F.); #238359=ORIENTED_EDGE('',*,*,#181927,.T.); #238360=ORIENTED_EDGE('',*,*,#181926,.T.); #238361=ORIENTED_EDGE('',*,*,#181928,.F.); #238362=ORIENTED_EDGE('',*,*,#181929,.F.); #238363=ORIENTED_EDGE('',*,*,#181930,.T.); #238364=ORIENTED_EDGE('',*,*,#181929,.T.); #238365=ORIENTED_EDGE('',*,*,#181931,.F.); #238366=ORIENTED_EDGE('',*,*,#181932,.F.); #238367=ORIENTED_EDGE('',*,*,#181933,.T.); #238368=ORIENTED_EDGE('',*,*,#181932,.T.); #238369=ORIENTED_EDGE('',*,*,#181934,.F.); #238370=ORIENTED_EDGE('',*,*,#181935,.F.); #238371=ORIENTED_EDGE('',*,*,#181936,.T.); #238372=ORIENTED_EDGE('',*,*,#181935,.T.); #238373=ORIENTED_EDGE('',*,*,#181937,.F.); #238374=ORIENTED_EDGE('',*,*,#181938,.F.); #238375=ORIENTED_EDGE('',*,*,#181939,.T.); #238376=ORIENTED_EDGE('',*,*,#181938,.T.); #238377=ORIENTED_EDGE('',*,*,#181940,.F.); #238378=ORIENTED_EDGE('',*,*,#181941,.F.); #238379=ORIENTED_EDGE('',*,*,#181942,.T.); #238380=ORIENTED_EDGE('',*,*,#181941,.T.); #238381=ORIENTED_EDGE('',*,*,#181943,.F.); #238382=ORIENTED_EDGE('',*,*,#181944,.F.); #238383=ORIENTED_EDGE('',*,*,#181945,.T.); #238384=ORIENTED_EDGE('',*,*,#181944,.T.); #238385=ORIENTED_EDGE('',*,*,#181946,.F.); #238386=ORIENTED_EDGE('',*,*,#181947,.F.); #238387=ORIENTED_EDGE('',*,*,#181948,.T.); #238388=ORIENTED_EDGE('',*,*,#181947,.T.); #238389=ORIENTED_EDGE('',*,*,#181949,.F.); #238390=ORIENTED_EDGE('',*,*,#181950,.F.); #238391=ORIENTED_EDGE('',*,*,#181951,.T.); #238392=ORIENTED_EDGE('',*,*,#181950,.T.); #238393=ORIENTED_EDGE('',*,*,#181952,.F.); #238394=ORIENTED_EDGE('',*,*,#181953,.F.); #238395=ORIENTED_EDGE('',*,*,#181954,.T.); #238396=ORIENTED_EDGE('',*,*,#181953,.T.); #238397=ORIENTED_EDGE('',*,*,#181955,.F.); #238398=ORIENTED_EDGE('',*,*,#181956,.F.); #238399=ORIENTED_EDGE('',*,*,#181957,.T.); #238400=ORIENTED_EDGE('',*,*,#181956,.T.); #238401=ORIENTED_EDGE('',*,*,#181958,.F.); #238402=ORIENTED_EDGE('',*,*,#181900,.F.); #238403=ORIENTED_EDGE('',*,*,#181958,.T.); #238404=ORIENTED_EDGE('',*,*,#181955,.T.); #238405=ORIENTED_EDGE('',*,*,#181952,.T.); #238406=ORIENTED_EDGE('',*,*,#181949,.T.); #238407=ORIENTED_EDGE('',*,*,#181946,.T.); #238408=ORIENTED_EDGE('',*,*,#181943,.T.); #238409=ORIENTED_EDGE('',*,*,#181940,.T.); #238410=ORIENTED_EDGE('',*,*,#181937,.T.); #238411=ORIENTED_EDGE('',*,*,#181934,.T.); #238412=ORIENTED_EDGE('',*,*,#181931,.T.); #238413=ORIENTED_EDGE('',*,*,#181928,.T.); #238414=ORIENTED_EDGE('',*,*,#181925,.T.); #238415=ORIENTED_EDGE('',*,*,#181922,.T.); #238416=ORIENTED_EDGE('',*,*,#181919,.T.); #238417=ORIENTED_EDGE('',*,*,#181916,.T.); #238418=ORIENTED_EDGE('',*,*,#181913,.T.); #238419=ORIENTED_EDGE('',*,*,#181910,.T.); #238420=ORIENTED_EDGE('',*,*,#181907,.T.); #238421=ORIENTED_EDGE('',*,*,#181904,.T.); #238422=ORIENTED_EDGE('',*,*,#181901,.T.); #238423=ORIENTED_EDGE('',*,*,#181957,.F.); #238424=ORIENTED_EDGE('',*,*,#181899,.F.); #238425=ORIENTED_EDGE('',*,*,#181903,.F.); #238426=ORIENTED_EDGE('',*,*,#181906,.F.); #238427=ORIENTED_EDGE('',*,*,#181909,.F.); #238428=ORIENTED_EDGE('',*,*,#181912,.F.); #238429=ORIENTED_EDGE('',*,*,#181915,.F.); #238430=ORIENTED_EDGE('',*,*,#181918,.F.); #238431=ORIENTED_EDGE('',*,*,#181921,.F.); #238432=ORIENTED_EDGE('',*,*,#181924,.F.); #238433=ORIENTED_EDGE('',*,*,#181927,.F.); #238434=ORIENTED_EDGE('',*,*,#181930,.F.); #238435=ORIENTED_EDGE('',*,*,#181933,.F.); #238436=ORIENTED_EDGE('',*,*,#181936,.F.); #238437=ORIENTED_EDGE('',*,*,#181939,.F.); #238438=ORIENTED_EDGE('',*,*,#181942,.F.); #238439=ORIENTED_EDGE('',*,*,#181945,.F.); #238440=ORIENTED_EDGE('',*,*,#181948,.F.); #238441=ORIENTED_EDGE('',*,*,#181951,.F.); #238442=ORIENTED_EDGE('',*,*,#181954,.F.); #238443=ORIENTED_EDGE('',*,*,#181959,.T.); #238444=ORIENTED_EDGE('',*,*,#181960,.T.); #238445=ORIENTED_EDGE('',*,*,#181961,.F.); #238446=ORIENTED_EDGE('',*,*,#181962,.F.); #238447=ORIENTED_EDGE('',*,*,#181963,.T.); #238448=ORIENTED_EDGE('',*,*,#181962,.T.); #238449=ORIENTED_EDGE('',*,*,#181964,.F.); #238450=ORIENTED_EDGE('',*,*,#181965,.F.); #238451=ORIENTED_EDGE('',*,*,#181966,.T.); #238452=ORIENTED_EDGE('',*,*,#181965,.T.); #238453=ORIENTED_EDGE('',*,*,#181967,.F.); #238454=ORIENTED_EDGE('',*,*,#181968,.F.); #238455=ORIENTED_EDGE('',*,*,#181969,.T.); #238456=ORIENTED_EDGE('',*,*,#181968,.T.); #238457=ORIENTED_EDGE('',*,*,#181970,.F.); #238458=ORIENTED_EDGE('',*,*,#181971,.F.); #238459=ORIENTED_EDGE('',*,*,#181972,.T.); #238460=ORIENTED_EDGE('',*,*,#181971,.T.); #238461=ORIENTED_EDGE('',*,*,#181973,.F.); #238462=ORIENTED_EDGE('',*,*,#181974,.F.); #238463=ORIENTED_EDGE('',*,*,#181975,.T.); #238464=ORIENTED_EDGE('',*,*,#181974,.T.); #238465=ORIENTED_EDGE('',*,*,#181976,.F.); #238466=ORIENTED_EDGE('',*,*,#181977,.F.); #238467=ORIENTED_EDGE('',*,*,#181978,.T.); #238468=ORIENTED_EDGE('',*,*,#181977,.T.); #238469=ORIENTED_EDGE('',*,*,#181979,.F.); #238470=ORIENTED_EDGE('',*,*,#181980,.F.); #238471=ORIENTED_EDGE('',*,*,#181981,.T.); #238472=ORIENTED_EDGE('',*,*,#181980,.T.); #238473=ORIENTED_EDGE('',*,*,#181982,.F.); #238474=ORIENTED_EDGE('',*,*,#181983,.F.); #238475=ORIENTED_EDGE('',*,*,#181984,.T.); #238476=ORIENTED_EDGE('',*,*,#181983,.T.); #238477=ORIENTED_EDGE('',*,*,#181985,.F.); #238478=ORIENTED_EDGE('',*,*,#181986,.F.); #238479=ORIENTED_EDGE('',*,*,#181987,.T.); #238480=ORIENTED_EDGE('',*,*,#181986,.T.); #238481=ORIENTED_EDGE('',*,*,#181988,.F.); #238482=ORIENTED_EDGE('',*,*,#181989,.F.); #238483=ORIENTED_EDGE('',*,*,#181990,.T.); #238484=ORIENTED_EDGE('',*,*,#181989,.T.); #238485=ORIENTED_EDGE('',*,*,#181991,.F.); #238486=ORIENTED_EDGE('',*,*,#181992,.F.); #238487=ORIENTED_EDGE('',*,*,#181993,.T.); #238488=ORIENTED_EDGE('',*,*,#181992,.T.); #238489=ORIENTED_EDGE('',*,*,#181994,.F.); #238490=ORIENTED_EDGE('',*,*,#181995,.F.); #238491=ORIENTED_EDGE('',*,*,#181996,.T.); #238492=ORIENTED_EDGE('',*,*,#181995,.T.); #238493=ORIENTED_EDGE('',*,*,#181997,.F.); #238494=ORIENTED_EDGE('',*,*,#181998,.F.); #238495=ORIENTED_EDGE('',*,*,#181999,.T.); #238496=ORIENTED_EDGE('',*,*,#181998,.T.); #238497=ORIENTED_EDGE('',*,*,#182000,.F.); #238498=ORIENTED_EDGE('',*,*,#182001,.F.); #238499=ORIENTED_EDGE('',*,*,#182002,.T.); #238500=ORIENTED_EDGE('',*,*,#182001,.T.); #238501=ORIENTED_EDGE('',*,*,#182003,.F.); #238502=ORIENTED_EDGE('',*,*,#182004,.F.); #238503=ORIENTED_EDGE('',*,*,#182005,.T.); #238504=ORIENTED_EDGE('',*,*,#182004,.T.); #238505=ORIENTED_EDGE('',*,*,#182006,.F.); #238506=ORIENTED_EDGE('',*,*,#182007,.F.); #238507=ORIENTED_EDGE('',*,*,#182008,.T.); #238508=ORIENTED_EDGE('',*,*,#182007,.T.); #238509=ORIENTED_EDGE('',*,*,#182009,.F.); #238510=ORIENTED_EDGE('',*,*,#182010,.F.); #238511=ORIENTED_EDGE('',*,*,#182011,.T.); #238512=ORIENTED_EDGE('',*,*,#182010,.T.); #238513=ORIENTED_EDGE('',*,*,#182012,.F.); #238514=ORIENTED_EDGE('',*,*,#182013,.F.); #238515=ORIENTED_EDGE('',*,*,#182014,.T.); #238516=ORIENTED_EDGE('',*,*,#182013,.T.); #238517=ORIENTED_EDGE('',*,*,#182015,.F.); #238518=ORIENTED_EDGE('',*,*,#182016,.F.); #238519=ORIENTED_EDGE('',*,*,#182017,.T.); #238520=ORIENTED_EDGE('',*,*,#182016,.T.); #238521=ORIENTED_EDGE('',*,*,#182018,.F.); #238522=ORIENTED_EDGE('',*,*,#182019,.F.); #238523=ORIENTED_EDGE('',*,*,#182020,.T.); #238524=ORIENTED_EDGE('',*,*,#182019,.T.); #238525=ORIENTED_EDGE('',*,*,#182021,.F.); #238526=ORIENTED_EDGE('',*,*,#182022,.F.); #238527=ORIENTED_EDGE('',*,*,#182023,.T.); #238528=ORIENTED_EDGE('',*,*,#182022,.T.); #238529=ORIENTED_EDGE('',*,*,#182024,.F.); #238530=ORIENTED_EDGE('',*,*,#182025,.F.); #238531=ORIENTED_EDGE('',*,*,#182026,.T.); #238532=ORIENTED_EDGE('',*,*,#182025,.T.); #238533=ORIENTED_EDGE('',*,*,#182027,.F.); #238534=ORIENTED_EDGE('',*,*,#182028,.F.); #238535=ORIENTED_EDGE('',*,*,#182029,.T.); #238536=ORIENTED_EDGE('',*,*,#182028,.T.); #238537=ORIENTED_EDGE('',*,*,#182030,.F.); #238538=ORIENTED_EDGE('',*,*,#182031,.F.); #238539=ORIENTED_EDGE('',*,*,#182032,.T.); #238540=ORIENTED_EDGE('',*,*,#182031,.T.); #238541=ORIENTED_EDGE('',*,*,#182033,.F.); #238542=ORIENTED_EDGE('',*,*,#182034,.F.); #238543=ORIENTED_EDGE('',*,*,#182035,.T.); #238544=ORIENTED_EDGE('',*,*,#182034,.T.); #238545=ORIENTED_EDGE('',*,*,#182036,.F.); #238546=ORIENTED_EDGE('',*,*,#182037,.F.); #238547=ORIENTED_EDGE('',*,*,#182038,.T.); #238548=ORIENTED_EDGE('',*,*,#182037,.T.); #238549=ORIENTED_EDGE('',*,*,#182039,.F.); #238550=ORIENTED_EDGE('',*,*,#182040,.F.); #238551=ORIENTED_EDGE('',*,*,#182041,.T.); #238552=ORIENTED_EDGE('',*,*,#182040,.T.); #238553=ORIENTED_EDGE('',*,*,#182042,.F.); #238554=ORIENTED_EDGE('',*,*,#182043,.F.); #238555=ORIENTED_EDGE('',*,*,#182044,.T.); #238556=ORIENTED_EDGE('',*,*,#182043,.T.); #238557=ORIENTED_EDGE('',*,*,#182045,.F.); #238558=ORIENTED_EDGE('',*,*,#182046,.F.); #238559=ORIENTED_EDGE('',*,*,#182047,.T.); #238560=ORIENTED_EDGE('',*,*,#182046,.T.); #238561=ORIENTED_EDGE('',*,*,#182048,.F.); #238562=ORIENTED_EDGE('',*,*,#182049,.F.); #238563=ORIENTED_EDGE('',*,*,#182050,.T.); #238564=ORIENTED_EDGE('',*,*,#182049,.T.); #238565=ORIENTED_EDGE('',*,*,#182051,.F.); #238566=ORIENTED_EDGE('',*,*,#182052,.F.); #238567=ORIENTED_EDGE('',*,*,#182053,.T.); #238568=ORIENTED_EDGE('',*,*,#182052,.T.); #238569=ORIENTED_EDGE('',*,*,#182054,.F.); #238570=ORIENTED_EDGE('',*,*,#182055,.F.); #238571=ORIENTED_EDGE('',*,*,#182056,.T.); #238572=ORIENTED_EDGE('',*,*,#182055,.T.); #238573=ORIENTED_EDGE('',*,*,#182057,.F.); #238574=ORIENTED_EDGE('',*,*,#181960,.F.); #238575=ORIENTED_EDGE('',*,*,#182057,.T.); #238576=ORIENTED_EDGE('',*,*,#182054,.T.); #238577=ORIENTED_EDGE('',*,*,#182051,.T.); #238578=ORIENTED_EDGE('',*,*,#182048,.T.); #238579=ORIENTED_EDGE('',*,*,#182045,.T.); #238580=ORIENTED_EDGE('',*,*,#182042,.T.); #238581=ORIENTED_EDGE('',*,*,#182039,.T.); #238582=ORIENTED_EDGE('',*,*,#182036,.T.); #238583=ORIENTED_EDGE('',*,*,#182033,.T.); #238584=ORIENTED_EDGE('',*,*,#182030,.T.); #238585=ORIENTED_EDGE('',*,*,#182027,.T.); #238586=ORIENTED_EDGE('',*,*,#182024,.T.); #238587=ORIENTED_EDGE('',*,*,#182021,.T.); #238588=ORIENTED_EDGE('',*,*,#182018,.T.); #238589=ORIENTED_EDGE('',*,*,#182015,.T.); #238590=ORIENTED_EDGE('',*,*,#182012,.T.); #238591=ORIENTED_EDGE('',*,*,#182009,.T.); #238592=ORIENTED_EDGE('',*,*,#182006,.T.); #238593=ORIENTED_EDGE('',*,*,#182003,.T.); #238594=ORIENTED_EDGE('',*,*,#182000,.T.); #238595=ORIENTED_EDGE('',*,*,#181997,.T.); #238596=ORIENTED_EDGE('',*,*,#181994,.T.); #238597=ORIENTED_EDGE('',*,*,#181991,.T.); #238598=ORIENTED_EDGE('',*,*,#181988,.T.); #238599=ORIENTED_EDGE('',*,*,#181985,.T.); #238600=ORIENTED_EDGE('',*,*,#181982,.T.); #238601=ORIENTED_EDGE('',*,*,#181979,.T.); #238602=ORIENTED_EDGE('',*,*,#181976,.T.); #238603=ORIENTED_EDGE('',*,*,#181973,.T.); #238604=ORIENTED_EDGE('',*,*,#181970,.T.); #238605=ORIENTED_EDGE('',*,*,#181967,.T.); #238606=ORIENTED_EDGE('',*,*,#181964,.T.); #238607=ORIENTED_EDGE('',*,*,#181961,.T.); #238608=ORIENTED_EDGE('',*,*,#182056,.F.); #238609=ORIENTED_EDGE('',*,*,#181959,.F.); #238610=ORIENTED_EDGE('',*,*,#181963,.F.); #238611=ORIENTED_EDGE('',*,*,#181966,.F.); #238612=ORIENTED_EDGE('',*,*,#181969,.F.); #238613=ORIENTED_EDGE('',*,*,#181972,.F.); #238614=ORIENTED_EDGE('',*,*,#181975,.F.); #238615=ORIENTED_EDGE('',*,*,#181978,.F.); #238616=ORIENTED_EDGE('',*,*,#181981,.F.); #238617=ORIENTED_EDGE('',*,*,#181984,.F.); #238618=ORIENTED_EDGE('',*,*,#181987,.F.); #238619=ORIENTED_EDGE('',*,*,#181990,.F.); #238620=ORIENTED_EDGE('',*,*,#181993,.F.); #238621=ORIENTED_EDGE('',*,*,#181996,.F.); #238622=ORIENTED_EDGE('',*,*,#181999,.F.); #238623=ORIENTED_EDGE('',*,*,#182002,.F.); #238624=ORIENTED_EDGE('',*,*,#182005,.F.); #238625=ORIENTED_EDGE('',*,*,#182008,.F.); #238626=ORIENTED_EDGE('',*,*,#182011,.F.); #238627=ORIENTED_EDGE('',*,*,#182014,.F.); #238628=ORIENTED_EDGE('',*,*,#182017,.F.); #238629=ORIENTED_EDGE('',*,*,#182020,.F.); #238630=ORIENTED_EDGE('',*,*,#182023,.F.); #238631=ORIENTED_EDGE('',*,*,#182026,.F.); #238632=ORIENTED_EDGE('',*,*,#182029,.F.); #238633=ORIENTED_EDGE('',*,*,#182032,.F.); #238634=ORIENTED_EDGE('',*,*,#182035,.F.); #238635=ORIENTED_EDGE('',*,*,#182038,.F.); #238636=ORIENTED_EDGE('',*,*,#182041,.F.); #238637=ORIENTED_EDGE('',*,*,#182044,.F.); #238638=ORIENTED_EDGE('',*,*,#182047,.F.); #238639=ORIENTED_EDGE('',*,*,#182050,.F.); #238640=ORIENTED_EDGE('',*,*,#182053,.F.); #238641=ORIENTED_EDGE('',*,*,#182058,.F.); #238642=ORIENTED_EDGE('',*,*,#182059,.T.); #238643=ORIENTED_EDGE('',*,*,#182060,.F.); #238644=ORIENTED_EDGE('',*,*,#182059,.F.); #238645=ORIENTED_EDGE('',*,*,#182061,.F.); #238646=ORIENTED_EDGE('',*,*,#182062,.T.); #238647=ORIENTED_EDGE('',*,*,#182063,.F.); #238648=ORIENTED_EDGE('',*,*,#182062,.F.); #238649=ORIENTED_EDGE('',*,*,#182064,.F.); #238650=ORIENTED_EDGE('',*,*,#182065,.T.); #238651=ORIENTED_EDGE('',*,*,#182066,.F.); #238652=ORIENTED_EDGE('',*,*,#182065,.F.); #238653=ORIENTED_EDGE('',*,*,#182067,.T.); #238654=ORIENTED_EDGE('',*,*,#182068,.T.); #238655=ORIENTED_EDGE('',*,*,#182069,.F.); #238656=ORIENTED_EDGE('',*,*,#182070,.F.); #238657=ORIENTED_EDGE('',*,*,#182071,.T.); #238658=ORIENTED_EDGE('',*,*,#182070,.T.); #238659=ORIENTED_EDGE('',*,*,#182072,.F.); #238660=ORIENTED_EDGE('',*,*,#182073,.F.); #238661=ORIENTED_EDGE('',*,*,#182074,.T.); #238662=ORIENTED_EDGE('',*,*,#182073,.T.); #238663=ORIENTED_EDGE('',*,*,#182075,.F.); #238664=ORIENTED_EDGE('',*,*,#182076,.F.); #238665=ORIENTED_EDGE('',*,*,#182077,.T.); #238666=ORIENTED_EDGE('',*,*,#182076,.T.); #238667=ORIENTED_EDGE('',*,*,#182078,.F.); #238668=ORIENTED_EDGE('',*,*,#182079,.F.); #238669=ORIENTED_EDGE('',*,*,#182080,.T.); #238670=ORIENTED_EDGE('',*,*,#182079,.T.); #238671=ORIENTED_EDGE('',*,*,#182081,.F.); #238672=ORIENTED_EDGE('',*,*,#182082,.F.); #238673=ORIENTED_EDGE('',*,*,#182083,.T.); #238674=ORIENTED_EDGE('',*,*,#182082,.T.); #238675=ORIENTED_EDGE('',*,*,#182084,.F.); #238676=ORIENTED_EDGE('',*,*,#182085,.F.); #238677=ORIENTED_EDGE('',*,*,#182086,.T.); #238678=ORIENTED_EDGE('',*,*,#182085,.T.); #238679=ORIENTED_EDGE('',*,*,#182087,.F.); #238680=ORIENTED_EDGE('',*,*,#182088,.F.); #238681=ORIENTED_EDGE('',*,*,#182089,.T.); #238682=ORIENTED_EDGE('',*,*,#182088,.T.); #238683=ORIENTED_EDGE('',*,*,#182090,.F.); #238684=ORIENTED_EDGE('',*,*,#182091,.F.); #238685=ORIENTED_EDGE('',*,*,#182092,.T.); #238686=ORIENTED_EDGE('',*,*,#182091,.T.); #238687=ORIENTED_EDGE('',*,*,#182093,.F.); #238688=ORIENTED_EDGE('',*,*,#182094,.F.); #238689=ORIENTED_EDGE('',*,*,#182095,.T.); #238690=ORIENTED_EDGE('',*,*,#182094,.T.); #238691=ORIENTED_EDGE('',*,*,#182096,.F.); #238692=ORIENTED_EDGE('',*,*,#182097,.F.); #238693=ORIENTED_EDGE('',*,*,#182098,.T.); #238694=ORIENTED_EDGE('',*,*,#182097,.T.); #238695=ORIENTED_EDGE('',*,*,#182099,.F.); #238696=ORIENTED_EDGE('',*,*,#182100,.F.); #238697=ORIENTED_EDGE('',*,*,#182101,.T.); #238698=ORIENTED_EDGE('',*,*,#182100,.T.); #238699=ORIENTED_EDGE('',*,*,#182102,.F.); #238700=ORIENTED_EDGE('',*,*,#182103,.F.); #238701=ORIENTED_EDGE('',*,*,#182104,.T.); #238702=ORIENTED_EDGE('',*,*,#182103,.T.); #238703=ORIENTED_EDGE('',*,*,#182105,.F.); #238704=ORIENTED_EDGE('',*,*,#182106,.F.); #238705=ORIENTED_EDGE('',*,*,#182107,.T.); #238706=ORIENTED_EDGE('',*,*,#182106,.T.); #238707=ORIENTED_EDGE('',*,*,#182108,.F.); #238708=ORIENTED_EDGE('',*,*,#182109,.F.); #238709=ORIENTED_EDGE('',*,*,#182110,.T.); #238710=ORIENTED_EDGE('',*,*,#182109,.T.); #238711=ORIENTED_EDGE('',*,*,#182111,.F.); #238712=ORIENTED_EDGE('',*,*,#182112,.F.); #238713=ORIENTED_EDGE('',*,*,#182113,.T.); #238714=ORIENTED_EDGE('',*,*,#182112,.T.); #238715=ORIENTED_EDGE('',*,*,#182114,.F.); #238716=ORIENTED_EDGE('',*,*,#182115,.F.); #238717=ORIENTED_EDGE('',*,*,#182116,.T.); #238718=ORIENTED_EDGE('',*,*,#182115,.T.); #238719=ORIENTED_EDGE('',*,*,#182117,.F.); #238720=ORIENTED_EDGE('',*,*,#182118,.F.); #238721=ORIENTED_EDGE('',*,*,#182119,.T.); #238722=ORIENTED_EDGE('',*,*,#182118,.T.); #238723=ORIENTED_EDGE('',*,*,#182120,.F.); #238724=ORIENTED_EDGE('',*,*,#182121,.F.); #238725=ORIENTED_EDGE('',*,*,#182122,.T.); #238726=ORIENTED_EDGE('',*,*,#182121,.T.); #238727=ORIENTED_EDGE('',*,*,#182123,.F.); #238728=ORIENTED_EDGE('',*,*,#182124,.F.); #238729=ORIENTED_EDGE('',*,*,#182125,.T.); #238730=ORIENTED_EDGE('',*,*,#182124,.T.); #238731=ORIENTED_EDGE('',*,*,#182126,.F.); #238732=ORIENTED_EDGE('',*,*,#182127,.F.); #238733=ORIENTED_EDGE('',*,*,#182128,.T.); #238734=ORIENTED_EDGE('',*,*,#182127,.T.); #238735=ORIENTED_EDGE('',*,*,#182129,.F.); #238736=ORIENTED_EDGE('',*,*,#182130,.F.); #238737=ORIENTED_EDGE('',*,*,#182131,.T.); #238738=ORIENTED_EDGE('',*,*,#182130,.T.); #238739=ORIENTED_EDGE('',*,*,#182132,.F.); #238740=ORIENTED_EDGE('',*,*,#182133,.F.); #238741=ORIENTED_EDGE('',*,*,#182134,.T.); #238742=ORIENTED_EDGE('',*,*,#182133,.T.); #238743=ORIENTED_EDGE('',*,*,#182135,.F.); #238744=ORIENTED_EDGE('',*,*,#182136,.F.); #238745=ORIENTED_EDGE('',*,*,#182137,.T.); #238746=ORIENTED_EDGE('',*,*,#182136,.T.); #238747=ORIENTED_EDGE('',*,*,#182138,.F.); #238748=ORIENTED_EDGE('',*,*,#182139,.F.); #238749=ORIENTED_EDGE('',*,*,#182140,.T.); #238750=ORIENTED_EDGE('',*,*,#182139,.T.); #238751=ORIENTED_EDGE('',*,*,#182141,.F.); #238752=ORIENTED_EDGE('',*,*,#182142,.F.); #238753=ORIENTED_EDGE('',*,*,#182143,.T.); #238754=ORIENTED_EDGE('',*,*,#182142,.T.); #238755=ORIENTED_EDGE('',*,*,#182144,.F.); #238756=ORIENTED_EDGE('',*,*,#182145,.F.); #238757=ORIENTED_EDGE('',*,*,#182146,.T.); #238758=ORIENTED_EDGE('',*,*,#182145,.T.); #238759=ORIENTED_EDGE('',*,*,#182147,.F.); #238760=ORIENTED_EDGE('',*,*,#182148,.F.); #238761=ORIENTED_EDGE('',*,*,#182149,.T.); #238762=ORIENTED_EDGE('',*,*,#182148,.T.); #238763=ORIENTED_EDGE('',*,*,#182150,.F.); #238764=ORIENTED_EDGE('',*,*,#182151,.F.); #238765=ORIENTED_EDGE('',*,*,#182152,.T.); #238766=ORIENTED_EDGE('',*,*,#182151,.T.); #238767=ORIENTED_EDGE('',*,*,#182153,.F.); #238768=ORIENTED_EDGE('',*,*,#182154,.F.); #238769=ORIENTED_EDGE('',*,*,#182155,.T.); #238770=ORIENTED_EDGE('',*,*,#182154,.T.); #238771=ORIENTED_EDGE('',*,*,#182156,.F.); #238772=ORIENTED_EDGE('',*,*,#182157,.F.); #238773=ORIENTED_EDGE('',*,*,#182158,.T.); #238774=ORIENTED_EDGE('',*,*,#182157,.T.); #238775=ORIENTED_EDGE('',*,*,#182159,.F.); #238776=ORIENTED_EDGE('',*,*,#182160,.F.); #238777=ORIENTED_EDGE('',*,*,#182161,.T.); #238778=ORIENTED_EDGE('',*,*,#182160,.T.); #238779=ORIENTED_EDGE('',*,*,#182162,.F.); #238780=ORIENTED_EDGE('',*,*,#182163,.F.); #238781=ORIENTED_EDGE('',*,*,#182164,.T.); #238782=ORIENTED_EDGE('',*,*,#182163,.T.); #238783=ORIENTED_EDGE('',*,*,#182165,.F.); #238784=ORIENTED_EDGE('',*,*,#182166,.F.); #238785=ORIENTED_EDGE('',*,*,#182167,.T.); #238786=ORIENTED_EDGE('',*,*,#182166,.T.); #238787=ORIENTED_EDGE('',*,*,#182168,.F.); #238788=ORIENTED_EDGE('',*,*,#182169,.F.); #238789=ORIENTED_EDGE('',*,*,#182170,.T.); #238790=ORIENTED_EDGE('',*,*,#182169,.T.); #238791=ORIENTED_EDGE('',*,*,#182171,.F.); #238792=ORIENTED_EDGE('',*,*,#182172,.F.); #238793=ORIENTED_EDGE('',*,*,#182173,.T.); #238794=ORIENTED_EDGE('',*,*,#182172,.T.); #238795=ORIENTED_EDGE('',*,*,#182174,.F.); #238796=ORIENTED_EDGE('',*,*,#182175,.F.); #238797=ORIENTED_EDGE('',*,*,#182176,.T.); #238798=ORIENTED_EDGE('',*,*,#182175,.T.); #238799=ORIENTED_EDGE('',*,*,#182177,.F.); #238800=ORIENTED_EDGE('',*,*,#182178,.F.); #238801=ORIENTED_EDGE('',*,*,#182179,.T.); #238802=ORIENTED_EDGE('',*,*,#182178,.T.); #238803=ORIENTED_EDGE('',*,*,#182180,.F.); #238804=ORIENTED_EDGE('',*,*,#182181,.F.); #238805=ORIENTED_EDGE('',*,*,#182182,.T.); #238806=ORIENTED_EDGE('',*,*,#182181,.T.); #238807=ORIENTED_EDGE('',*,*,#182183,.F.); #238808=ORIENTED_EDGE('',*,*,#182184,.F.); #238809=ORIENTED_EDGE('',*,*,#182185,.T.); #238810=ORIENTED_EDGE('',*,*,#182184,.T.); #238811=ORIENTED_EDGE('',*,*,#182186,.F.); #238812=ORIENTED_EDGE('',*,*,#182187,.F.); #238813=ORIENTED_EDGE('',*,*,#182188,.T.); #238814=ORIENTED_EDGE('',*,*,#182187,.T.); #238815=ORIENTED_EDGE('',*,*,#182189,.F.); #238816=ORIENTED_EDGE('',*,*,#182190,.F.); #238817=ORIENTED_EDGE('',*,*,#182191,.T.); #238818=ORIENTED_EDGE('',*,*,#182190,.T.); #238819=ORIENTED_EDGE('',*,*,#182192,.F.); #238820=ORIENTED_EDGE('',*,*,#182193,.F.); #238821=ORIENTED_EDGE('',*,*,#182194,.T.); #238822=ORIENTED_EDGE('',*,*,#182193,.T.); #238823=ORIENTED_EDGE('',*,*,#182195,.F.); #238824=ORIENTED_EDGE('',*,*,#182196,.F.); #238825=ORIENTED_EDGE('',*,*,#182197,.T.); #238826=ORIENTED_EDGE('',*,*,#182196,.T.); #238827=ORIENTED_EDGE('',*,*,#182198,.F.); #238828=ORIENTED_EDGE('',*,*,#182199,.F.); #238829=ORIENTED_EDGE('',*,*,#182200,.T.); #238830=ORIENTED_EDGE('',*,*,#182199,.T.); #238831=ORIENTED_EDGE('',*,*,#182201,.F.); #238832=ORIENTED_EDGE('',*,*,#182202,.F.); #238833=ORIENTED_EDGE('',*,*,#182203,.T.); #238834=ORIENTED_EDGE('',*,*,#182202,.T.); #238835=ORIENTED_EDGE('',*,*,#182204,.F.); #238836=ORIENTED_EDGE('',*,*,#182205,.F.); #238837=ORIENTED_EDGE('',*,*,#182206,.T.); #238838=ORIENTED_EDGE('',*,*,#182205,.T.); #238839=ORIENTED_EDGE('',*,*,#182207,.F.); #238840=ORIENTED_EDGE('',*,*,#182208,.F.); #238841=ORIENTED_EDGE('',*,*,#182209,.T.); #238842=ORIENTED_EDGE('',*,*,#182208,.T.); #238843=ORIENTED_EDGE('',*,*,#182210,.F.); #238844=ORIENTED_EDGE('',*,*,#182211,.F.); #238845=ORIENTED_EDGE('',*,*,#182212,.T.); #238846=ORIENTED_EDGE('',*,*,#182211,.T.); #238847=ORIENTED_EDGE('',*,*,#182213,.F.); #238848=ORIENTED_EDGE('',*,*,#182214,.F.); #238849=ORIENTED_EDGE('',*,*,#182215,.T.); #238850=ORIENTED_EDGE('',*,*,#182214,.T.); #238851=ORIENTED_EDGE('',*,*,#182216,.F.); #238852=ORIENTED_EDGE('',*,*,#182217,.F.); #238853=ORIENTED_EDGE('',*,*,#182218,.T.); #238854=ORIENTED_EDGE('',*,*,#182217,.T.); #238855=ORIENTED_EDGE('',*,*,#182219,.F.); #238856=ORIENTED_EDGE('',*,*,#182220,.F.); #238857=ORIENTED_EDGE('',*,*,#182221,.T.); #238858=ORIENTED_EDGE('',*,*,#182220,.T.); #238859=ORIENTED_EDGE('',*,*,#182222,.F.); #238860=ORIENTED_EDGE('',*,*,#182223,.F.); #238861=ORIENTED_EDGE('',*,*,#182224,.T.); #238862=ORIENTED_EDGE('',*,*,#182223,.T.); #238863=ORIENTED_EDGE('',*,*,#182225,.F.); #238864=ORIENTED_EDGE('',*,*,#182226,.F.); #238865=ORIENTED_EDGE('',*,*,#182227,.T.); #238866=ORIENTED_EDGE('',*,*,#182226,.T.); #238867=ORIENTED_EDGE('',*,*,#182228,.F.); #238868=ORIENTED_EDGE('',*,*,#182229,.F.); #238869=ORIENTED_EDGE('',*,*,#182230,.T.); #238870=ORIENTED_EDGE('',*,*,#182229,.T.); #238871=ORIENTED_EDGE('',*,*,#182231,.F.); #238872=ORIENTED_EDGE('',*,*,#182232,.F.); #238873=ORIENTED_EDGE('',*,*,#182233,.T.); #238874=ORIENTED_EDGE('',*,*,#182232,.T.); #238875=ORIENTED_EDGE('',*,*,#182234,.F.); #238876=ORIENTED_EDGE('',*,*,#182235,.F.); #238877=ORIENTED_EDGE('',*,*,#182236,.T.); #238878=ORIENTED_EDGE('',*,*,#182235,.T.); #238879=ORIENTED_EDGE('',*,*,#182237,.F.); #238880=ORIENTED_EDGE('',*,*,#182238,.F.); #238881=ORIENTED_EDGE('',*,*,#182239,.T.); #238882=ORIENTED_EDGE('',*,*,#182238,.T.); #238883=ORIENTED_EDGE('',*,*,#182240,.F.); #238884=ORIENTED_EDGE('',*,*,#182241,.F.); #238885=ORIENTED_EDGE('',*,*,#182242,.T.); #238886=ORIENTED_EDGE('',*,*,#182241,.T.); #238887=ORIENTED_EDGE('',*,*,#182243,.F.); #238888=ORIENTED_EDGE('',*,*,#182244,.F.); #238889=ORIENTED_EDGE('',*,*,#182245,.T.); #238890=ORIENTED_EDGE('',*,*,#182244,.T.); #238891=ORIENTED_EDGE('',*,*,#182246,.F.); #238892=ORIENTED_EDGE('',*,*,#182247,.F.); #238893=ORIENTED_EDGE('',*,*,#182248,.T.); #238894=ORIENTED_EDGE('',*,*,#182247,.T.); #238895=ORIENTED_EDGE('',*,*,#182249,.F.); #238896=ORIENTED_EDGE('',*,*,#182250,.F.); #238897=ORIENTED_EDGE('',*,*,#182251,.T.); #238898=ORIENTED_EDGE('',*,*,#182250,.T.); #238899=ORIENTED_EDGE('',*,*,#182252,.F.); #238900=ORIENTED_EDGE('',*,*,#182253,.F.); #238901=ORIENTED_EDGE('',*,*,#182254,.T.); #238902=ORIENTED_EDGE('',*,*,#182253,.T.); #238903=ORIENTED_EDGE('',*,*,#182255,.F.); #238904=ORIENTED_EDGE('',*,*,#182256,.F.); #238905=ORIENTED_EDGE('',*,*,#182257,.T.); #238906=ORIENTED_EDGE('',*,*,#182256,.T.); #238907=ORIENTED_EDGE('',*,*,#182258,.F.); #238908=ORIENTED_EDGE('',*,*,#182259,.F.); #238909=ORIENTED_EDGE('',*,*,#182260,.T.); #238910=ORIENTED_EDGE('',*,*,#182259,.T.); #238911=ORIENTED_EDGE('',*,*,#182261,.F.); #238912=ORIENTED_EDGE('',*,*,#182262,.F.); #238913=ORIENTED_EDGE('',*,*,#182263,.T.); #238914=ORIENTED_EDGE('',*,*,#182262,.T.); #238915=ORIENTED_EDGE('',*,*,#182264,.F.); #238916=ORIENTED_EDGE('',*,*,#182265,.F.); #238917=ORIENTED_EDGE('',*,*,#182266,.T.); #238918=ORIENTED_EDGE('',*,*,#182265,.T.); #238919=ORIENTED_EDGE('',*,*,#182267,.F.); #238920=ORIENTED_EDGE('',*,*,#182268,.F.); #238921=ORIENTED_EDGE('',*,*,#182269,.T.); #238922=ORIENTED_EDGE('',*,*,#182268,.T.); #238923=ORIENTED_EDGE('',*,*,#182270,.F.); #238924=ORIENTED_EDGE('',*,*,#182271,.F.); #238925=ORIENTED_EDGE('',*,*,#182272,.T.); #238926=ORIENTED_EDGE('',*,*,#182271,.T.); #238927=ORIENTED_EDGE('',*,*,#182273,.F.); #238928=ORIENTED_EDGE('',*,*,#182274,.F.); #238929=ORIENTED_EDGE('',*,*,#182275,.T.); #238930=ORIENTED_EDGE('',*,*,#182274,.T.); #238931=ORIENTED_EDGE('',*,*,#182276,.F.); #238932=ORIENTED_EDGE('',*,*,#182277,.F.); #238933=ORIENTED_EDGE('',*,*,#182278,.T.); #238934=ORIENTED_EDGE('',*,*,#182277,.T.); #238935=ORIENTED_EDGE('',*,*,#182279,.F.); #238936=ORIENTED_EDGE('',*,*,#182280,.F.); #238937=ORIENTED_EDGE('',*,*,#182281,.T.); #238938=ORIENTED_EDGE('',*,*,#182280,.T.); #238939=ORIENTED_EDGE('',*,*,#182282,.F.); #238940=ORIENTED_EDGE('',*,*,#182283,.F.); #238941=ORIENTED_EDGE('',*,*,#182284,.T.); #238942=ORIENTED_EDGE('',*,*,#182283,.T.); #238943=ORIENTED_EDGE('',*,*,#182285,.F.); #238944=ORIENTED_EDGE('',*,*,#182286,.F.); #238945=ORIENTED_EDGE('',*,*,#182287,.T.); #238946=ORIENTED_EDGE('',*,*,#182286,.T.); #238947=ORIENTED_EDGE('',*,*,#182288,.F.); #238948=ORIENTED_EDGE('',*,*,#182289,.F.); #238949=ORIENTED_EDGE('',*,*,#182290,.T.); #238950=ORIENTED_EDGE('',*,*,#182289,.T.); #238951=ORIENTED_EDGE('',*,*,#182291,.F.); #238952=ORIENTED_EDGE('',*,*,#182292,.F.); #238953=ORIENTED_EDGE('',*,*,#182293,.T.); #238954=ORIENTED_EDGE('',*,*,#182292,.T.); #238955=ORIENTED_EDGE('',*,*,#182294,.F.); #238956=ORIENTED_EDGE('',*,*,#182295,.F.); #238957=ORIENTED_EDGE('',*,*,#182296,.T.); #238958=ORIENTED_EDGE('',*,*,#182295,.T.); #238959=ORIENTED_EDGE('',*,*,#182297,.F.); #238960=ORIENTED_EDGE('',*,*,#182298,.F.); #238961=ORIENTED_EDGE('',*,*,#182299,.T.); #238962=ORIENTED_EDGE('',*,*,#182298,.T.); #238963=ORIENTED_EDGE('',*,*,#182300,.F.); #238964=ORIENTED_EDGE('',*,*,#182301,.F.); #238965=ORIENTED_EDGE('',*,*,#182302,.T.); #238966=ORIENTED_EDGE('',*,*,#182301,.T.); #238967=ORIENTED_EDGE('',*,*,#182303,.F.); #238968=ORIENTED_EDGE('',*,*,#182304,.F.); #238969=ORIENTED_EDGE('',*,*,#182305,.T.); #238970=ORIENTED_EDGE('',*,*,#182304,.T.); #238971=ORIENTED_EDGE('',*,*,#182306,.F.); #238972=ORIENTED_EDGE('',*,*,#182307,.F.); #238973=ORIENTED_EDGE('',*,*,#182308,.T.); #238974=ORIENTED_EDGE('',*,*,#182307,.T.); #238975=ORIENTED_EDGE('',*,*,#182309,.F.); #238976=ORIENTED_EDGE('',*,*,#182310,.F.); #238977=ORIENTED_EDGE('',*,*,#182311,.T.); #238978=ORIENTED_EDGE('',*,*,#182310,.T.); #238979=ORIENTED_EDGE('',*,*,#182312,.F.); #238980=ORIENTED_EDGE('',*,*,#182313,.F.); #238981=ORIENTED_EDGE('',*,*,#182314,.T.); #238982=ORIENTED_EDGE('',*,*,#182313,.T.); #238983=ORIENTED_EDGE('',*,*,#182315,.F.); #238984=ORIENTED_EDGE('',*,*,#182316,.F.); #238985=ORIENTED_EDGE('',*,*,#182317,.T.); #238986=ORIENTED_EDGE('',*,*,#182316,.T.); #238987=ORIENTED_EDGE('',*,*,#182318,.F.); #238988=ORIENTED_EDGE('',*,*,#182319,.F.); #238989=ORIENTED_EDGE('',*,*,#182320,.T.); #238990=ORIENTED_EDGE('',*,*,#182319,.T.); #238991=ORIENTED_EDGE('',*,*,#182321,.F.); #238992=ORIENTED_EDGE('',*,*,#182322,.F.); #238993=ORIENTED_EDGE('',*,*,#182323,.T.); #238994=ORIENTED_EDGE('',*,*,#182322,.T.); #238995=ORIENTED_EDGE('',*,*,#182324,.F.); #238996=ORIENTED_EDGE('',*,*,#182325,.F.); #238997=ORIENTED_EDGE('',*,*,#182326,.T.); #238998=ORIENTED_EDGE('',*,*,#182325,.T.); #238999=ORIENTED_EDGE('',*,*,#182327,.F.); #239000=ORIENTED_EDGE('',*,*,#182068,.F.); #239001=ORIENTED_EDGE('',*,*,#182327,.T.); #239002=ORIENTED_EDGE('',*,*,#182324,.T.); #239003=ORIENTED_EDGE('',*,*,#182321,.T.); #239004=ORIENTED_EDGE('',*,*,#182318,.T.); #239005=ORIENTED_EDGE('',*,*,#182315,.T.); #239006=ORIENTED_EDGE('',*,*,#182312,.T.); #239007=ORIENTED_EDGE('',*,*,#182309,.T.); #239008=ORIENTED_EDGE('',*,*,#182306,.T.); #239009=ORIENTED_EDGE('',*,*,#182303,.T.); #239010=ORIENTED_EDGE('',*,*,#182300,.T.); #239011=ORIENTED_EDGE('',*,*,#182297,.T.); #239012=ORIENTED_EDGE('',*,*,#182294,.T.); #239013=ORIENTED_EDGE('',*,*,#182291,.T.); #239014=ORIENTED_EDGE('',*,*,#182288,.T.); #239015=ORIENTED_EDGE('',*,*,#182285,.T.); #239016=ORIENTED_EDGE('',*,*,#182282,.T.); #239017=ORIENTED_EDGE('',*,*,#182279,.T.); #239018=ORIENTED_EDGE('',*,*,#182276,.T.); #239019=ORIENTED_EDGE('',*,*,#182273,.T.); #239020=ORIENTED_EDGE('',*,*,#182270,.T.); #239021=ORIENTED_EDGE('',*,*,#182267,.T.); #239022=ORIENTED_EDGE('',*,*,#182264,.T.); #239023=ORIENTED_EDGE('',*,*,#182261,.T.); #239024=ORIENTED_EDGE('',*,*,#182258,.T.); #239025=ORIENTED_EDGE('',*,*,#182255,.T.); #239026=ORIENTED_EDGE('',*,*,#182252,.T.); #239027=ORIENTED_EDGE('',*,*,#182249,.T.); #239028=ORIENTED_EDGE('',*,*,#182246,.T.); #239029=ORIENTED_EDGE('',*,*,#182243,.T.); #239030=ORIENTED_EDGE('',*,*,#182240,.T.); #239031=ORIENTED_EDGE('',*,*,#182237,.T.); #239032=ORIENTED_EDGE('',*,*,#182234,.T.); #239033=ORIENTED_EDGE('',*,*,#182231,.T.); #239034=ORIENTED_EDGE('',*,*,#182228,.T.); #239035=ORIENTED_EDGE('',*,*,#182225,.T.); #239036=ORIENTED_EDGE('',*,*,#182222,.T.); #239037=ORIENTED_EDGE('',*,*,#182219,.T.); #239038=ORIENTED_EDGE('',*,*,#182216,.T.); #239039=ORIENTED_EDGE('',*,*,#182213,.T.); #239040=ORIENTED_EDGE('',*,*,#182210,.T.); #239041=ORIENTED_EDGE('',*,*,#182207,.T.); #239042=ORIENTED_EDGE('',*,*,#182204,.T.); #239043=ORIENTED_EDGE('',*,*,#182201,.T.); #239044=ORIENTED_EDGE('',*,*,#182198,.T.); #239045=ORIENTED_EDGE('',*,*,#182195,.T.); #239046=ORIENTED_EDGE('',*,*,#182192,.T.); #239047=ORIENTED_EDGE('',*,*,#182189,.T.); #239048=ORIENTED_EDGE('',*,*,#182186,.T.); #239049=ORIENTED_EDGE('',*,*,#182183,.T.); #239050=ORIENTED_EDGE('',*,*,#182180,.T.); #239051=ORIENTED_EDGE('',*,*,#182177,.T.); #239052=ORIENTED_EDGE('',*,*,#182174,.T.); #239053=ORIENTED_EDGE('',*,*,#182171,.T.); #239054=ORIENTED_EDGE('',*,*,#182168,.T.); #239055=ORIENTED_EDGE('',*,*,#182165,.T.); #239056=ORIENTED_EDGE('',*,*,#182162,.T.); #239057=ORIENTED_EDGE('',*,*,#182159,.T.); #239058=ORIENTED_EDGE('',*,*,#182156,.T.); #239059=ORIENTED_EDGE('',*,*,#182153,.T.); #239060=ORIENTED_EDGE('',*,*,#182150,.T.); #239061=ORIENTED_EDGE('',*,*,#182147,.T.); #239062=ORIENTED_EDGE('',*,*,#182144,.T.); #239063=ORIENTED_EDGE('',*,*,#182141,.T.); #239064=ORIENTED_EDGE('',*,*,#182138,.T.); #239065=ORIENTED_EDGE('',*,*,#182135,.T.); #239066=ORIENTED_EDGE('',*,*,#182132,.T.); #239067=ORIENTED_EDGE('',*,*,#182129,.T.); #239068=ORIENTED_EDGE('',*,*,#182126,.T.); #239069=ORIENTED_EDGE('',*,*,#182123,.T.); #239070=ORIENTED_EDGE('',*,*,#182120,.T.); #239071=ORIENTED_EDGE('',*,*,#182117,.T.); #239072=ORIENTED_EDGE('',*,*,#182114,.T.); #239073=ORIENTED_EDGE('',*,*,#182111,.T.); #239074=ORIENTED_EDGE('',*,*,#182108,.T.); #239075=ORIENTED_EDGE('',*,*,#182105,.T.); #239076=ORIENTED_EDGE('',*,*,#182102,.T.); #239077=ORIENTED_EDGE('',*,*,#182099,.T.); #239078=ORIENTED_EDGE('',*,*,#182096,.T.); #239079=ORIENTED_EDGE('',*,*,#182093,.T.); #239080=ORIENTED_EDGE('',*,*,#182090,.T.); #239081=ORIENTED_EDGE('',*,*,#182087,.T.); #239082=ORIENTED_EDGE('',*,*,#182084,.T.); #239083=ORIENTED_EDGE('',*,*,#182081,.T.); #239084=ORIENTED_EDGE('',*,*,#182078,.T.); #239085=ORIENTED_EDGE('',*,*,#182075,.T.); #239086=ORIENTED_EDGE('',*,*,#182072,.T.); #239087=ORIENTED_EDGE('',*,*,#182069,.T.); #239088=ORIENTED_EDGE('',*,*,#182060,.T.); #239089=ORIENTED_EDGE('',*,*,#182063,.T.); #239090=ORIENTED_EDGE('',*,*,#182066,.T.); #239091=ORIENTED_EDGE('',*,*,#182326,.F.); #239092=ORIENTED_EDGE('',*,*,#182067,.F.); #239093=ORIENTED_EDGE('',*,*,#182071,.F.); #239094=ORIENTED_EDGE('',*,*,#182074,.F.); #239095=ORIENTED_EDGE('',*,*,#182077,.F.); #239096=ORIENTED_EDGE('',*,*,#182080,.F.); #239097=ORIENTED_EDGE('',*,*,#182083,.F.); #239098=ORIENTED_EDGE('',*,*,#182086,.F.); #239099=ORIENTED_EDGE('',*,*,#182089,.F.); #239100=ORIENTED_EDGE('',*,*,#182092,.F.); #239101=ORIENTED_EDGE('',*,*,#182095,.F.); #239102=ORIENTED_EDGE('',*,*,#182098,.F.); #239103=ORIENTED_EDGE('',*,*,#182101,.F.); #239104=ORIENTED_EDGE('',*,*,#182104,.F.); #239105=ORIENTED_EDGE('',*,*,#182107,.F.); #239106=ORIENTED_EDGE('',*,*,#182110,.F.); #239107=ORIENTED_EDGE('',*,*,#182113,.F.); #239108=ORIENTED_EDGE('',*,*,#182116,.F.); #239109=ORIENTED_EDGE('',*,*,#182119,.F.); #239110=ORIENTED_EDGE('',*,*,#182122,.F.); #239111=ORIENTED_EDGE('',*,*,#182125,.F.); #239112=ORIENTED_EDGE('',*,*,#182128,.F.); #239113=ORIENTED_EDGE('',*,*,#182131,.F.); #239114=ORIENTED_EDGE('',*,*,#182134,.F.); #239115=ORIENTED_EDGE('',*,*,#182137,.F.); #239116=ORIENTED_EDGE('',*,*,#182140,.F.); #239117=ORIENTED_EDGE('',*,*,#182143,.F.); #239118=ORIENTED_EDGE('',*,*,#182146,.F.); #239119=ORIENTED_EDGE('',*,*,#182149,.F.); #239120=ORIENTED_EDGE('',*,*,#182152,.F.); #239121=ORIENTED_EDGE('',*,*,#182155,.F.); #239122=ORIENTED_EDGE('',*,*,#182158,.F.); #239123=ORIENTED_EDGE('',*,*,#182161,.F.); #239124=ORIENTED_EDGE('',*,*,#182164,.F.); #239125=ORIENTED_EDGE('',*,*,#182167,.F.); #239126=ORIENTED_EDGE('',*,*,#182170,.F.); #239127=ORIENTED_EDGE('',*,*,#182173,.F.); #239128=ORIENTED_EDGE('',*,*,#182176,.F.); #239129=ORIENTED_EDGE('',*,*,#182179,.F.); #239130=ORIENTED_EDGE('',*,*,#182182,.F.); #239131=ORIENTED_EDGE('',*,*,#182185,.F.); #239132=ORIENTED_EDGE('',*,*,#182188,.F.); #239133=ORIENTED_EDGE('',*,*,#182191,.F.); #239134=ORIENTED_EDGE('',*,*,#182194,.F.); #239135=ORIENTED_EDGE('',*,*,#182197,.F.); #239136=ORIENTED_EDGE('',*,*,#182200,.F.); #239137=ORIENTED_EDGE('',*,*,#182203,.F.); #239138=ORIENTED_EDGE('',*,*,#182206,.F.); #239139=ORIENTED_EDGE('',*,*,#182209,.F.); #239140=ORIENTED_EDGE('',*,*,#182212,.F.); #239141=ORIENTED_EDGE('',*,*,#182215,.F.); #239142=ORIENTED_EDGE('',*,*,#182218,.F.); #239143=ORIENTED_EDGE('',*,*,#182221,.F.); #239144=ORIENTED_EDGE('',*,*,#182224,.F.); #239145=ORIENTED_EDGE('',*,*,#182227,.F.); #239146=ORIENTED_EDGE('',*,*,#182230,.F.); #239147=ORIENTED_EDGE('',*,*,#182233,.F.); #239148=ORIENTED_EDGE('',*,*,#182236,.F.); #239149=ORIENTED_EDGE('',*,*,#182239,.F.); #239150=ORIENTED_EDGE('',*,*,#182242,.F.); #239151=ORIENTED_EDGE('',*,*,#182245,.F.); #239152=ORIENTED_EDGE('',*,*,#182248,.F.); #239153=ORIENTED_EDGE('',*,*,#182251,.F.); #239154=ORIENTED_EDGE('',*,*,#182254,.F.); #239155=ORIENTED_EDGE('',*,*,#182257,.F.); #239156=ORIENTED_EDGE('',*,*,#182260,.F.); #239157=ORIENTED_EDGE('',*,*,#182263,.F.); #239158=ORIENTED_EDGE('',*,*,#182266,.F.); #239159=ORIENTED_EDGE('',*,*,#182269,.F.); #239160=ORIENTED_EDGE('',*,*,#182272,.F.); #239161=ORIENTED_EDGE('',*,*,#182275,.F.); #239162=ORIENTED_EDGE('',*,*,#182278,.F.); #239163=ORIENTED_EDGE('',*,*,#182281,.F.); #239164=ORIENTED_EDGE('',*,*,#182284,.F.); #239165=ORIENTED_EDGE('',*,*,#182287,.F.); #239166=ORIENTED_EDGE('',*,*,#182290,.F.); #239167=ORIENTED_EDGE('',*,*,#182293,.F.); #239168=ORIENTED_EDGE('',*,*,#182296,.F.); #239169=ORIENTED_EDGE('',*,*,#182299,.F.); #239170=ORIENTED_EDGE('',*,*,#182302,.F.); #239171=ORIENTED_EDGE('',*,*,#182305,.F.); #239172=ORIENTED_EDGE('',*,*,#182308,.F.); #239173=ORIENTED_EDGE('',*,*,#182311,.F.); #239174=ORIENTED_EDGE('',*,*,#182314,.F.); #239175=ORIENTED_EDGE('',*,*,#182317,.F.); #239176=ORIENTED_EDGE('',*,*,#182320,.F.); #239177=ORIENTED_EDGE('',*,*,#182323,.F.); #239178=ORIENTED_EDGE('',*,*,#182058,.T.); #239179=ORIENTED_EDGE('',*,*,#182061,.T.); #239180=ORIENTED_EDGE('',*,*,#182064,.T.); #239181=ORIENTED_EDGE('',*,*,#182328,.T.); #239182=ORIENTED_EDGE('',*,*,#182329,.T.); #239183=ORIENTED_EDGE('',*,*,#182330,.F.); #239184=ORIENTED_EDGE('',*,*,#182331,.F.); #239185=ORIENTED_EDGE('',*,*,#182332,.T.); #239186=ORIENTED_EDGE('',*,*,#182331,.T.); #239187=ORIENTED_EDGE('',*,*,#182333,.F.); #239188=ORIENTED_EDGE('',*,*,#182334,.F.); #239189=ORIENTED_EDGE('',*,*,#182335,.T.); #239190=ORIENTED_EDGE('',*,*,#182334,.T.); #239191=ORIENTED_EDGE('',*,*,#182336,.F.); #239192=ORIENTED_EDGE('',*,*,#182337,.F.); #239193=ORIENTED_EDGE('',*,*,#182338,.T.); #239194=ORIENTED_EDGE('',*,*,#182337,.T.); #239195=ORIENTED_EDGE('',*,*,#182339,.F.); #239196=ORIENTED_EDGE('',*,*,#182340,.F.); #239197=ORIENTED_EDGE('',*,*,#182341,.T.); #239198=ORIENTED_EDGE('',*,*,#182340,.T.); #239199=ORIENTED_EDGE('',*,*,#182342,.F.); #239200=ORIENTED_EDGE('',*,*,#182343,.F.); #239201=ORIENTED_EDGE('',*,*,#182344,.T.); #239202=ORIENTED_EDGE('',*,*,#182343,.T.); #239203=ORIENTED_EDGE('',*,*,#182345,.F.); #239204=ORIENTED_EDGE('',*,*,#182346,.F.); #239205=ORIENTED_EDGE('',*,*,#182347,.T.); #239206=ORIENTED_EDGE('',*,*,#182346,.T.); #239207=ORIENTED_EDGE('',*,*,#182348,.F.); #239208=ORIENTED_EDGE('',*,*,#182349,.F.); #239209=ORIENTED_EDGE('',*,*,#182350,.T.); #239210=ORIENTED_EDGE('',*,*,#182349,.T.); #239211=ORIENTED_EDGE('',*,*,#182351,.F.); #239212=ORIENTED_EDGE('',*,*,#182352,.F.); #239213=ORIENTED_EDGE('',*,*,#182353,.T.); #239214=ORIENTED_EDGE('',*,*,#182352,.T.); #239215=ORIENTED_EDGE('',*,*,#182354,.F.); #239216=ORIENTED_EDGE('',*,*,#182355,.F.); #239217=ORIENTED_EDGE('',*,*,#182356,.T.); #239218=ORIENTED_EDGE('',*,*,#182355,.T.); #239219=ORIENTED_EDGE('',*,*,#182357,.F.); #239220=ORIENTED_EDGE('',*,*,#182358,.F.); #239221=ORIENTED_EDGE('',*,*,#182359,.T.); #239222=ORIENTED_EDGE('',*,*,#182358,.T.); #239223=ORIENTED_EDGE('',*,*,#182360,.F.); #239224=ORIENTED_EDGE('',*,*,#182361,.F.); #239225=ORIENTED_EDGE('',*,*,#182362,.T.); #239226=ORIENTED_EDGE('',*,*,#182361,.T.); #239227=ORIENTED_EDGE('',*,*,#182363,.F.); #239228=ORIENTED_EDGE('',*,*,#182364,.F.); #239229=ORIENTED_EDGE('',*,*,#182365,.T.); #239230=ORIENTED_EDGE('',*,*,#182364,.T.); #239231=ORIENTED_EDGE('',*,*,#182366,.F.); #239232=ORIENTED_EDGE('',*,*,#182367,.F.); #239233=ORIENTED_EDGE('',*,*,#182368,.T.); #239234=ORIENTED_EDGE('',*,*,#182367,.T.); #239235=ORIENTED_EDGE('',*,*,#182369,.F.); #239236=ORIENTED_EDGE('',*,*,#182370,.F.); #239237=ORIENTED_EDGE('',*,*,#182371,.T.); #239238=ORIENTED_EDGE('',*,*,#182370,.T.); #239239=ORIENTED_EDGE('',*,*,#182372,.F.); #239240=ORIENTED_EDGE('',*,*,#182373,.F.); #239241=ORIENTED_EDGE('',*,*,#182374,.T.); #239242=ORIENTED_EDGE('',*,*,#182373,.T.); #239243=ORIENTED_EDGE('',*,*,#182375,.F.); #239244=ORIENTED_EDGE('',*,*,#182376,.F.); #239245=ORIENTED_EDGE('',*,*,#182377,.T.); #239246=ORIENTED_EDGE('',*,*,#182376,.T.); #239247=ORIENTED_EDGE('',*,*,#182378,.F.); #239248=ORIENTED_EDGE('',*,*,#182379,.F.); #239249=ORIENTED_EDGE('',*,*,#182380,.T.); #239250=ORIENTED_EDGE('',*,*,#182379,.T.); #239251=ORIENTED_EDGE('',*,*,#182381,.F.); #239252=ORIENTED_EDGE('',*,*,#182382,.F.); #239253=ORIENTED_EDGE('',*,*,#182383,.T.); #239254=ORIENTED_EDGE('',*,*,#182382,.T.); #239255=ORIENTED_EDGE('',*,*,#182384,.F.); #239256=ORIENTED_EDGE('',*,*,#182385,.F.); #239257=ORIENTED_EDGE('',*,*,#182386,.T.); #239258=ORIENTED_EDGE('',*,*,#182385,.T.); #239259=ORIENTED_EDGE('',*,*,#182387,.F.); #239260=ORIENTED_EDGE('',*,*,#182388,.F.); #239261=ORIENTED_EDGE('',*,*,#182389,.T.); #239262=ORIENTED_EDGE('',*,*,#182388,.T.); #239263=ORIENTED_EDGE('',*,*,#182390,.F.); #239264=ORIENTED_EDGE('',*,*,#182391,.F.); #239265=ORIENTED_EDGE('',*,*,#182392,.T.); #239266=ORIENTED_EDGE('',*,*,#182391,.T.); #239267=ORIENTED_EDGE('',*,*,#182393,.F.); #239268=ORIENTED_EDGE('',*,*,#182394,.F.); #239269=ORIENTED_EDGE('',*,*,#182395,.T.); #239270=ORIENTED_EDGE('',*,*,#182394,.T.); #239271=ORIENTED_EDGE('',*,*,#182396,.F.); #239272=ORIENTED_EDGE('',*,*,#182397,.F.); #239273=ORIENTED_EDGE('',*,*,#182398,.T.); #239274=ORIENTED_EDGE('',*,*,#182397,.T.); #239275=ORIENTED_EDGE('',*,*,#182399,.F.); #239276=ORIENTED_EDGE('',*,*,#182400,.F.); #239277=ORIENTED_EDGE('',*,*,#182401,.T.); #239278=ORIENTED_EDGE('',*,*,#182400,.T.); #239279=ORIENTED_EDGE('',*,*,#182402,.F.); #239280=ORIENTED_EDGE('',*,*,#182403,.F.); #239281=ORIENTED_EDGE('',*,*,#182404,.T.); #239282=ORIENTED_EDGE('',*,*,#182403,.T.); #239283=ORIENTED_EDGE('',*,*,#182405,.F.); #239284=ORIENTED_EDGE('',*,*,#182406,.F.); #239285=ORIENTED_EDGE('',*,*,#182407,.T.); #239286=ORIENTED_EDGE('',*,*,#182406,.T.); #239287=ORIENTED_EDGE('',*,*,#182408,.F.); #239288=ORIENTED_EDGE('',*,*,#182409,.F.); #239289=ORIENTED_EDGE('',*,*,#182410,.T.); #239290=ORIENTED_EDGE('',*,*,#182409,.T.); #239291=ORIENTED_EDGE('',*,*,#182411,.F.); #239292=ORIENTED_EDGE('',*,*,#182412,.F.); #239293=ORIENTED_EDGE('',*,*,#182413,.T.); #239294=ORIENTED_EDGE('',*,*,#182412,.T.); #239295=ORIENTED_EDGE('',*,*,#182414,.F.); #239296=ORIENTED_EDGE('',*,*,#182329,.F.); #239297=ORIENTED_EDGE('',*,*,#182414,.T.); #239298=ORIENTED_EDGE('',*,*,#182411,.T.); #239299=ORIENTED_EDGE('',*,*,#182408,.T.); #239300=ORIENTED_EDGE('',*,*,#182405,.T.); #239301=ORIENTED_EDGE('',*,*,#182402,.T.); #239302=ORIENTED_EDGE('',*,*,#182399,.T.); #239303=ORIENTED_EDGE('',*,*,#182396,.T.); #239304=ORIENTED_EDGE('',*,*,#182393,.T.); #239305=ORIENTED_EDGE('',*,*,#182390,.T.); #239306=ORIENTED_EDGE('',*,*,#182387,.T.); #239307=ORIENTED_EDGE('',*,*,#182384,.T.); #239308=ORIENTED_EDGE('',*,*,#182381,.T.); #239309=ORIENTED_EDGE('',*,*,#182378,.T.); #239310=ORIENTED_EDGE('',*,*,#182375,.T.); #239311=ORIENTED_EDGE('',*,*,#182372,.T.); #239312=ORIENTED_EDGE('',*,*,#182369,.T.); #239313=ORIENTED_EDGE('',*,*,#182366,.T.); #239314=ORIENTED_EDGE('',*,*,#182363,.T.); #239315=ORIENTED_EDGE('',*,*,#182360,.T.); #239316=ORIENTED_EDGE('',*,*,#182357,.T.); #239317=ORIENTED_EDGE('',*,*,#182354,.T.); #239318=ORIENTED_EDGE('',*,*,#182351,.T.); #239319=ORIENTED_EDGE('',*,*,#182348,.T.); #239320=ORIENTED_EDGE('',*,*,#182345,.T.); #239321=ORIENTED_EDGE('',*,*,#182342,.T.); #239322=ORIENTED_EDGE('',*,*,#182339,.T.); #239323=ORIENTED_EDGE('',*,*,#182336,.T.); #239324=ORIENTED_EDGE('',*,*,#182333,.T.); #239325=ORIENTED_EDGE('',*,*,#182330,.T.); #239326=ORIENTED_EDGE('',*,*,#182413,.F.); #239327=ORIENTED_EDGE('',*,*,#182328,.F.); #239328=ORIENTED_EDGE('',*,*,#182332,.F.); #239329=ORIENTED_EDGE('',*,*,#182335,.F.); #239330=ORIENTED_EDGE('',*,*,#182338,.F.); #239331=ORIENTED_EDGE('',*,*,#182341,.F.); #239332=ORIENTED_EDGE('',*,*,#182344,.F.); #239333=ORIENTED_EDGE('',*,*,#182347,.F.); #239334=ORIENTED_EDGE('',*,*,#182350,.F.); #239335=ORIENTED_EDGE('',*,*,#182353,.F.); #239336=ORIENTED_EDGE('',*,*,#182356,.F.); #239337=ORIENTED_EDGE('',*,*,#182359,.F.); #239338=ORIENTED_EDGE('',*,*,#182362,.F.); #239339=ORIENTED_EDGE('',*,*,#182365,.F.); #239340=ORIENTED_EDGE('',*,*,#182368,.F.); #239341=ORIENTED_EDGE('',*,*,#182371,.F.); #239342=ORIENTED_EDGE('',*,*,#182374,.F.); #239343=ORIENTED_EDGE('',*,*,#182377,.F.); #239344=ORIENTED_EDGE('',*,*,#182380,.F.); #239345=ORIENTED_EDGE('',*,*,#182383,.F.); #239346=ORIENTED_EDGE('',*,*,#182386,.F.); #239347=ORIENTED_EDGE('',*,*,#182389,.F.); #239348=ORIENTED_EDGE('',*,*,#182392,.F.); #239349=ORIENTED_EDGE('',*,*,#182395,.F.); #239350=ORIENTED_EDGE('',*,*,#182398,.F.); #239351=ORIENTED_EDGE('',*,*,#182401,.F.); #239352=ORIENTED_EDGE('',*,*,#182404,.F.); #239353=ORIENTED_EDGE('',*,*,#182407,.F.); #239354=ORIENTED_EDGE('',*,*,#182410,.F.); #239355=ORIENTED_EDGE('',*,*,#182415,.T.); #239356=ORIENTED_EDGE('',*,*,#182416,.T.); #239357=ORIENTED_EDGE('',*,*,#182417,.F.); #239358=ORIENTED_EDGE('',*,*,#182418,.F.); #239359=ORIENTED_EDGE('',*,*,#182419,.T.); #239360=ORIENTED_EDGE('',*,*,#182418,.T.); #239361=ORIENTED_EDGE('',*,*,#182420,.F.); #239362=ORIENTED_EDGE('',*,*,#182421,.F.); #239363=ORIENTED_EDGE('',*,*,#182422,.T.); #239364=ORIENTED_EDGE('',*,*,#182421,.T.); #239365=ORIENTED_EDGE('',*,*,#182423,.F.); #239366=ORIENTED_EDGE('',*,*,#182424,.F.); #239367=ORIENTED_EDGE('',*,*,#182425,.T.); #239368=ORIENTED_EDGE('',*,*,#182424,.T.); #239369=ORIENTED_EDGE('',*,*,#182426,.F.); #239370=ORIENTED_EDGE('',*,*,#182427,.F.); #239371=ORIENTED_EDGE('',*,*,#182428,.T.); #239372=ORIENTED_EDGE('',*,*,#182427,.T.); #239373=ORIENTED_EDGE('',*,*,#182429,.F.); #239374=ORIENTED_EDGE('',*,*,#182430,.F.); #239375=ORIENTED_EDGE('',*,*,#182431,.T.); #239376=ORIENTED_EDGE('',*,*,#182430,.T.); #239377=ORIENTED_EDGE('',*,*,#182432,.F.); #239378=ORIENTED_EDGE('',*,*,#182433,.F.); #239379=ORIENTED_EDGE('',*,*,#182434,.T.); #239380=ORIENTED_EDGE('',*,*,#182433,.T.); #239381=ORIENTED_EDGE('',*,*,#182435,.F.); #239382=ORIENTED_EDGE('',*,*,#182436,.F.); #239383=ORIENTED_EDGE('',*,*,#182437,.T.); #239384=ORIENTED_EDGE('',*,*,#182436,.T.); #239385=ORIENTED_EDGE('',*,*,#182438,.F.); #239386=ORIENTED_EDGE('',*,*,#182439,.F.); #239387=ORIENTED_EDGE('',*,*,#182440,.T.); #239388=ORIENTED_EDGE('',*,*,#182439,.T.); #239389=ORIENTED_EDGE('',*,*,#182441,.F.); #239390=ORIENTED_EDGE('',*,*,#182442,.F.); #239391=ORIENTED_EDGE('',*,*,#182443,.T.); #239392=ORIENTED_EDGE('',*,*,#182442,.T.); #239393=ORIENTED_EDGE('',*,*,#182444,.F.); #239394=ORIENTED_EDGE('',*,*,#182445,.F.); #239395=ORIENTED_EDGE('',*,*,#182446,.T.); #239396=ORIENTED_EDGE('',*,*,#182445,.T.); #239397=ORIENTED_EDGE('',*,*,#182447,.F.); #239398=ORIENTED_EDGE('',*,*,#182448,.F.); #239399=ORIENTED_EDGE('',*,*,#182449,.T.); #239400=ORIENTED_EDGE('',*,*,#182448,.T.); #239401=ORIENTED_EDGE('',*,*,#182450,.F.); #239402=ORIENTED_EDGE('',*,*,#182451,.F.); #239403=ORIENTED_EDGE('',*,*,#182452,.T.); #239404=ORIENTED_EDGE('',*,*,#182451,.T.); #239405=ORIENTED_EDGE('',*,*,#182453,.F.); #239406=ORIENTED_EDGE('',*,*,#182454,.F.); #239407=ORIENTED_EDGE('',*,*,#182455,.T.); #239408=ORIENTED_EDGE('',*,*,#182454,.T.); #239409=ORIENTED_EDGE('',*,*,#182456,.F.); #239410=ORIENTED_EDGE('',*,*,#182457,.F.); #239411=ORIENTED_EDGE('',*,*,#182458,.T.); #239412=ORIENTED_EDGE('',*,*,#182457,.T.); #239413=ORIENTED_EDGE('',*,*,#182459,.F.); #239414=ORIENTED_EDGE('',*,*,#182460,.F.); #239415=ORIENTED_EDGE('',*,*,#182461,.T.); #239416=ORIENTED_EDGE('',*,*,#182460,.T.); #239417=ORIENTED_EDGE('',*,*,#182462,.F.); #239418=ORIENTED_EDGE('',*,*,#182463,.F.); #239419=ORIENTED_EDGE('',*,*,#182464,.T.); #239420=ORIENTED_EDGE('',*,*,#182463,.T.); #239421=ORIENTED_EDGE('',*,*,#182465,.F.); #239422=ORIENTED_EDGE('',*,*,#182466,.F.); #239423=ORIENTED_EDGE('',*,*,#182467,.T.); #239424=ORIENTED_EDGE('',*,*,#182466,.T.); #239425=ORIENTED_EDGE('',*,*,#182468,.F.); #239426=ORIENTED_EDGE('',*,*,#182469,.F.); #239427=ORIENTED_EDGE('',*,*,#182470,.T.); #239428=ORIENTED_EDGE('',*,*,#182469,.T.); #239429=ORIENTED_EDGE('',*,*,#182471,.F.); #239430=ORIENTED_EDGE('',*,*,#182416,.F.); #239431=ORIENTED_EDGE('',*,*,#182471,.T.); #239432=ORIENTED_EDGE('',*,*,#182468,.T.); #239433=ORIENTED_EDGE('',*,*,#182465,.T.); #239434=ORIENTED_EDGE('',*,*,#182462,.T.); #239435=ORIENTED_EDGE('',*,*,#182459,.T.); #239436=ORIENTED_EDGE('',*,*,#182456,.T.); #239437=ORIENTED_EDGE('',*,*,#182453,.T.); #239438=ORIENTED_EDGE('',*,*,#182450,.T.); #239439=ORIENTED_EDGE('',*,*,#182447,.T.); #239440=ORIENTED_EDGE('',*,*,#182444,.T.); #239441=ORIENTED_EDGE('',*,*,#182441,.T.); #239442=ORIENTED_EDGE('',*,*,#182438,.T.); #239443=ORIENTED_EDGE('',*,*,#182435,.T.); #239444=ORIENTED_EDGE('',*,*,#182432,.T.); #239445=ORIENTED_EDGE('',*,*,#182429,.T.); #239446=ORIENTED_EDGE('',*,*,#182426,.T.); #239447=ORIENTED_EDGE('',*,*,#182423,.T.); #239448=ORIENTED_EDGE('',*,*,#182420,.T.); #239449=ORIENTED_EDGE('',*,*,#182417,.T.); #239450=ORIENTED_EDGE('',*,*,#182470,.F.); #239451=ORIENTED_EDGE('',*,*,#182415,.F.); #239452=ORIENTED_EDGE('',*,*,#182419,.F.); #239453=ORIENTED_EDGE('',*,*,#182422,.F.); #239454=ORIENTED_EDGE('',*,*,#182425,.F.); #239455=ORIENTED_EDGE('',*,*,#182428,.F.); #239456=ORIENTED_EDGE('',*,*,#182431,.F.); #239457=ORIENTED_EDGE('',*,*,#182434,.F.); #239458=ORIENTED_EDGE('',*,*,#182437,.F.); #239459=ORIENTED_EDGE('',*,*,#182440,.F.); #239460=ORIENTED_EDGE('',*,*,#182443,.F.); #239461=ORIENTED_EDGE('',*,*,#182446,.F.); #239462=ORIENTED_EDGE('',*,*,#182449,.F.); #239463=ORIENTED_EDGE('',*,*,#182452,.F.); #239464=ORIENTED_EDGE('',*,*,#182455,.F.); #239465=ORIENTED_EDGE('',*,*,#182458,.F.); #239466=ORIENTED_EDGE('',*,*,#182461,.F.); #239467=ORIENTED_EDGE('',*,*,#182464,.F.); #239468=ORIENTED_EDGE('',*,*,#182467,.F.); #239469=ORIENTED_EDGE('',*,*,#182472,.F.); #239470=ORIENTED_EDGE('',*,*,#182473,.T.); #239471=ORIENTED_EDGE('',*,*,#182474,.F.); #239472=ORIENTED_EDGE('',*,*,#182473,.F.); #239473=ORIENTED_EDGE('',*,*,#182475,.F.); #239474=ORIENTED_EDGE('',*,*,#182476,.T.); #239475=ORIENTED_EDGE('',*,*,#182477,.F.); #239476=ORIENTED_EDGE('',*,*,#182476,.F.); #239477=ORIENTED_EDGE('',*,*,#182478,.F.); #239478=ORIENTED_EDGE('',*,*,#182479,.T.); #239479=ORIENTED_EDGE('',*,*,#182480,.F.); #239480=ORIENTED_EDGE('',*,*,#182479,.F.); #239481=ORIENTED_EDGE('',*,*,#182481,.F.); #239482=ORIENTED_EDGE('',*,*,#182482,.T.); #239483=ORIENTED_EDGE('',*,*,#182483,.F.); #239484=ORIENTED_EDGE('',*,*,#182482,.F.); #239485=ORIENTED_EDGE('',*,*,#182484,.F.); #239486=ORIENTED_EDGE('',*,*,#182485,.T.); #239487=ORIENTED_EDGE('',*,*,#182486,.F.); #239488=ORIENTED_EDGE('',*,*,#182485,.F.); #239489=ORIENTED_EDGE('',*,*,#182487,.F.); #239490=ORIENTED_EDGE('',*,*,#182488,.T.); #239491=ORIENTED_EDGE('',*,*,#182489,.F.); #239492=ORIENTED_EDGE('',*,*,#182488,.F.); #239493=ORIENTED_EDGE('',*,*,#182490,.F.); #239494=ORIENTED_EDGE('',*,*,#182491,.T.); #239495=ORIENTED_EDGE('',*,*,#182492,.F.); #239496=ORIENTED_EDGE('',*,*,#182491,.F.); #239497=ORIENTED_EDGE('',*,*,#182493,.F.); #239498=ORIENTED_EDGE('',*,*,#182494,.T.); #239499=ORIENTED_EDGE('',*,*,#182495,.F.); #239500=ORIENTED_EDGE('',*,*,#182494,.F.); #239501=ORIENTED_EDGE('',*,*,#182496,.F.); #239502=ORIENTED_EDGE('',*,*,#182497,.T.); #239503=ORIENTED_EDGE('',*,*,#182498,.F.); #239504=ORIENTED_EDGE('',*,*,#182497,.F.); #239505=ORIENTED_EDGE('',*,*,#182499,.F.); #239506=ORIENTED_EDGE('',*,*,#182500,.T.); #239507=ORIENTED_EDGE('',*,*,#182501,.F.); #239508=ORIENTED_EDGE('',*,*,#182500,.F.); #239509=ORIENTED_EDGE('',*,*,#182502,.F.); #239510=ORIENTED_EDGE('',*,*,#182503,.T.); #239511=ORIENTED_EDGE('',*,*,#182504,.F.); #239512=ORIENTED_EDGE('',*,*,#182503,.F.); #239513=ORIENTED_EDGE('',*,*,#182505,.F.); #239514=ORIENTED_EDGE('',*,*,#182506,.T.); #239515=ORIENTED_EDGE('',*,*,#182507,.F.); #239516=ORIENTED_EDGE('',*,*,#182506,.F.); #239517=ORIENTED_EDGE('',*,*,#182508,.F.); #239518=ORIENTED_EDGE('',*,*,#182509,.T.); #239519=ORIENTED_EDGE('',*,*,#182510,.F.); #239520=ORIENTED_EDGE('',*,*,#182509,.F.); #239521=ORIENTED_EDGE('',*,*,#182511,.F.); #239522=ORIENTED_EDGE('',*,*,#182512,.T.); #239523=ORIENTED_EDGE('',*,*,#182513,.F.); #239524=ORIENTED_EDGE('',*,*,#182512,.F.); #239525=ORIENTED_EDGE('',*,*,#182514,.F.); #239526=ORIENTED_EDGE('',*,*,#182515,.T.); #239527=ORIENTED_EDGE('',*,*,#182516,.F.); #239528=ORIENTED_EDGE('',*,*,#182515,.F.); #239529=ORIENTED_EDGE('',*,*,#182517,.F.); #239530=ORIENTED_EDGE('',*,*,#182518,.T.); #239531=ORIENTED_EDGE('',*,*,#182519,.F.); #239532=ORIENTED_EDGE('',*,*,#182518,.F.); #239533=ORIENTED_EDGE('',*,*,#182520,.F.); #239534=ORIENTED_EDGE('',*,*,#182521,.T.); #239535=ORIENTED_EDGE('',*,*,#182522,.F.); #239536=ORIENTED_EDGE('',*,*,#182521,.F.); #239537=ORIENTED_EDGE('',*,*,#182523,.F.); #239538=ORIENTED_EDGE('',*,*,#182524,.T.); #239539=ORIENTED_EDGE('',*,*,#182525,.F.); #239540=ORIENTED_EDGE('',*,*,#182524,.F.); #239541=ORIENTED_EDGE('',*,*,#182526,.F.); #239542=ORIENTED_EDGE('',*,*,#182527,.T.); #239543=ORIENTED_EDGE('',*,*,#182528,.F.); #239544=ORIENTED_EDGE('',*,*,#182527,.F.); #239545=ORIENTED_EDGE('',*,*,#182529,.F.); #239546=ORIENTED_EDGE('',*,*,#182530,.T.); #239547=ORIENTED_EDGE('',*,*,#182531,.F.); #239548=ORIENTED_EDGE('',*,*,#182530,.F.); #239549=ORIENTED_EDGE('',*,*,#182532,.F.); #239550=ORIENTED_EDGE('',*,*,#182533,.T.); #239551=ORIENTED_EDGE('',*,*,#182534,.F.); #239552=ORIENTED_EDGE('',*,*,#182533,.F.); #239553=ORIENTED_EDGE('',*,*,#182535,.F.); #239554=ORIENTED_EDGE('',*,*,#182536,.T.); #239555=ORIENTED_EDGE('',*,*,#182537,.F.); #239556=ORIENTED_EDGE('',*,*,#182536,.F.); #239557=ORIENTED_EDGE('',*,*,#182538,.F.); #239558=ORIENTED_EDGE('',*,*,#182539,.T.); #239559=ORIENTED_EDGE('',*,*,#182540,.F.); #239560=ORIENTED_EDGE('',*,*,#182539,.F.); #239561=ORIENTED_EDGE('',*,*,#182541,.F.); #239562=ORIENTED_EDGE('',*,*,#182542,.T.); #239563=ORIENTED_EDGE('',*,*,#182543,.F.); #239564=ORIENTED_EDGE('',*,*,#182542,.F.); #239565=ORIENTED_EDGE('',*,*,#182544,.F.); #239566=ORIENTED_EDGE('',*,*,#182545,.T.); #239567=ORIENTED_EDGE('',*,*,#182546,.F.); #239568=ORIENTED_EDGE('',*,*,#182545,.F.); #239569=ORIENTED_EDGE('',*,*,#182547,.F.); #239570=ORIENTED_EDGE('',*,*,#182548,.T.); #239571=ORIENTED_EDGE('',*,*,#182549,.F.); #239572=ORIENTED_EDGE('',*,*,#182548,.F.); #239573=ORIENTED_EDGE('',*,*,#182550,.F.); #239574=ORIENTED_EDGE('',*,*,#182551,.T.); #239575=ORIENTED_EDGE('',*,*,#182552,.F.); #239576=ORIENTED_EDGE('',*,*,#182551,.F.); #239577=ORIENTED_EDGE('',*,*,#182553,.F.); #239578=ORIENTED_EDGE('',*,*,#182554,.T.); #239579=ORIENTED_EDGE('',*,*,#182555,.F.); #239580=ORIENTED_EDGE('',*,*,#182554,.F.); #239581=ORIENTED_EDGE('',*,*,#182556,.F.); #239582=ORIENTED_EDGE('',*,*,#182557,.T.); #239583=ORIENTED_EDGE('',*,*,#182558,.F.); #239584=ORIENTED_EDGE('',*,*,#182557,.F.); #239585=ORIENTED_EDGE('',*,*,#182559,.F.); #239586=ORIENTED_EDGE('',*,*,#182560,.T.); #239587=ORIENTED_EDGE('',*,*,#182561,.F.); #239588=ORIENTED_EDGE('',*,*,#182560,.F.); #239589=ORIENTED_EDGE('',*,*,#182562,.F.); #239590=ORIENTED_EDGE('',*,*,#182563,.T.); #239591=ORIENTED_EDGE('',*,*,#182564,.F.); #239592=ORIENTED_EDGE('',*,*,#182563,.F.); #239593=ORIENTED_EDGE('',*,*,#182565,.F.); #239594=ORIENTED_EDGE('',*,*,#182566,.T.); #239595=ORIENTED_EDGE('',*,*,#182567,.F.); #239596=ORIENTED_EDGE('',*,*,#182566,.F.); #239597=ORIENTED_EDGE('',*,*,#182568,.F.); #239598=ORIENTED_EDGE('',*,*,#182569,.T.); #239599=ORIENTED_EDGE('',*,*,#182570,.F.); #239600=ORIENTED_EDGE('',*,*,#182569,.F.); #239601=ORIENTED_EDGE('',*,*,#182571,.F.); #239602=ORIENTED_EDGE('',*,*,#182572,.T.); #239603=ORIENTED_EDGE('',*,*,#182573,.F.); #239604=ORIENTED_EDGE('',*,*,#182572,.F.); #239605=ORIENTED_EDGE('',*,*,#182574,.F.); #239606=ORIENTED_EDGE('',*,*,#182575,.T.); #239607=ORIENTED_EDGE('',*,*,#182576,.F.); #239608=ORIENTED_EDGE('',*,*,#182575,.F.); #239609=ORIENTED_EDGE('',*,*,#182577,.F.); #239610=ORIENTED_EDGE('',*,*,#182578,.T.); #239611=ORIENTED_EDGE('',*,*,#182579,.F.); #239612=ORIENTED_EDGE('',*,*,#182578,.F.); #239613=ORIENTED_EDGE('',*,*,#182580,.F.); #239614=ORIENTED_EDGE('',*,*,#182581,.T.); #239615=ORIENTED_EDGE('',*,*,#182582,.F.); #239616=ORIENTED_EDGE('',*,*,#182581,.F.); #239617=ORIENTED_EDGE('',*,*,#182583,.F.); #239618=ORIENTED_EDGE('',*,*,#182584,.T.); #239619=ORIENTED_EDGE('',*,*,#182585,.F.); #239620=ORIENTED_EDGE('',*,*,#182584,.F.); #239621=ORIENTED_EDGE('',*,*,#182586,.F.); #239622=ORIENTED_EDGE('',*,*,#182587,.T.); #239623=ORIENTED_EDGE('',*,*,#182588,.F.); #239624=ORIENTED_EDGE('',*,*,#182587,.F.); #239625=ORIENTED_EDGE('',*,*,#182589,.F.); #239626=ORIENTED_EDGE('',*,*,#182590,.T.); #239627=ORIENTED_EDGE('',*,*,#182591,.F.); #239628=ORIENTED_EDGE('',*,*,#182590,.F.); #239629=ORIENTED_EDGE('',*,*,#182592,.F.); #239630=ORIENTED_EDGE('',*,*,#182593,.T.); #239631=ORIENTED_EDGE('',*,*,#182594,.F.); #239632=ORIENTED_EDGE('',*,*,#182593,.F.); #239633=ORIENTED_EDGE('',*,*,#182595,.F.); #239634=ORIENTED_EDGE('',*,*,#182596,.T.); #239635=ORIENTED_EDGE('',*,*,#182597,.F.); #239636=ORIENTED_EDGE('',*,*,#182596,.F.); #239637=ORIENTED_EDGE('',*,*,#182598,.F.); #239638=ORIENTED_EDGE('',*,*,#182599,.T.); #239639=ORIENTED_EDGE('',*,*,#182600,.F.); #239640=ORIENTED_EDGE('',*,*,#182599,.F.); #239641=ORIENTED_EDGE('',*,*,#182601,.F.); #239642=ORIENTED_EDGE('',*,*,#182602,.T.); #239643=ORIENTED_EDGE('',*,*,#182603,.F.); #239644=ORIENTED_EDGE('',*,*,#182602,.F.); #239645=ORIENTED_EDGE('',*,*,#182604,.F.); #239646=ORIENTED_EDGE('',*,*,#182605,.T.); #239647=ORIENTED_EDGE('',*,*,#182606,.F.); #239648=ORIENTED_EDGE('',*,*,#182605,.F.); #239649=ORIENTED_EDGE('',*,*,#182607,.F.); #239650=ORIENTED_EDGE('',*,*,#182608,.T.); #239651=ORIENTED_EDGE('',*,*,#182609,.F.); #239652=ORIENTED_EDGE('',*,*,#182608,.F.); #239653=ORIENTED_EDGE('',*,*,#182610,.F.); #239654=ORIENTED_EDGE('',*,*,#182611,.T.); #239655=ORIENTED_EDGE('',*,*,#182612,.F.); #239656=ORIENTED_EDGE('',*,*,#182611,.F.); #239657=ORIENTED_EDGE('',*,*,#182613,.F.); #239658=ORIENTED_EDGE('',*,*,#182614,.T.); #239659=ORIENTED_EDGE('',*,*,#182615,.F.); #239660=ORIENTED_EDGE('',*,*,#182614,.F.); #239661=ORIENTED_EDGE('',*,*,#182616,.F.); #239662=ORIENTED_EDGE('',*,*,#182617,.T.); #239663=ORIENTED_EDGE('',*,*,#182618,.F.); #239664=ORIENTED_EDGE('',*,*,#182617,.F.); #239665=ORIENTED_EDGE('',*,*,#182619,.T.); #239666=ORIENTED_EDGE('',*,*,#182620,.T.); #239667=ORIENTED_EDGE('',*,*,#182621,.F.); #239668=ORIENTED_EDGE('',*,*,#182622,.F.); #239669=ORIENTED_EDGE('',*,*,#182623,.T.); #239670=ORIENTED_EDGE('',*,*,#182622,.T.); #239671=ORIENTED_EDGE('',*,*,#182624,.F.); #239672=ORIENTED_EDGE('',*,*,#182625,.F.); #239673=ORIENTED_EDGE('',*,*,#182626,.T.); #239674=ORIENTED_EDGE('',*,*,#182625,.T.); #239675=ORIENTED_EDGE('',*,*,#182627,.F.); #239676=ORIENTED_EDGE('',*,*,#182628,.F.); #239677=ORIENTED_EDGE('',*,*,#182629,.T.); #239678=ORIENTED_EDGE('',*,*,#182628,.T.); #239679=ORIENTED_EDGE('',*,*,#182630,.F.); #239680=ORIENTED_EDGE('',*,*,#182631,.F.); #239681=ORIENTED_EDGE('',*,*,#182632,.T.); #239682=ORIENTED_EDGE('',*,*,#182631,.T.); #239683=ORIENTED_EDGE('',*,*,#182633,.F.); #239684=ORIENTED_EDGE('',*,*,#182634,.F.); #239685=ORIENTED_EDGE('',*,*,#182635,.T.); #239686=ORIENTED_EDGE('',*,*,#182634,.T.); #239687=ORIENTED_EDGE('',*,*,#182636,.F.); #239688=ORIENTED_EDGE('',*,*,#182637,.F.); #239689=ORIENTED_EDGE('',*,*,#182638,.T.); #239690=ORIENTED_EDGE('',*,*,#182637,.T.); #239691=ORIENTED_EDGE('',*,*,#182639,.F.); #239692=ORIENTED_EDGE('',*,*,#182640,.F.); #239693=ORIENTED_EDGE('',*,*,#182641,.T.); #239694=ORIENTED_EDGE('',*,*,#182640,.T.); #239695=ORIENTED_EDGE('',*,*,#182642,.F.); #239696=ORIENTED_EDGE('',*,*,#182643,.F.); #239697=ORIENTED_EDGE('',*,*,#182644,.T.); #239698=ORIENTED_EDGE('',*,*,#182643,.T.); #239699=ORIENTED_EDGE('',*,*,#182645,.F.); #239700=ORIENTED_EDGE('',*,*,#182646,.F.); #239701=ORIENTED_EDGE('',*,*,#182647,.T.); #239702=ORIENTED_EDGE('',*,*,#182646,.T.); #239703=ORIENTED_EDGE('',*,*,#182648,.F.); #239704=ORIENTED_EDGE('',*,*,#182649,.F.); #239705=ORIENTED_EDGE('',*,*,#182650,.T.); #239706=ORIENTED_EDGE('',*,*,#182649,.T.); #239707=ORIENTED_EDGE('',*,*,#182651,.F.); #239708=ORIENTED_EDGE('',*,*,#182652,.F.); #239709=ORIENTED_EDGE('',*,*,#182653,.T.); #239710=ORIENTED_EDGE('',*,*,#182652,.T.); #239711=ORIENTED_EDGE('',*,*,#182654,.F.); #239712=ORIENTED_EDGE('',*,*,#182655,.F.); #239713=ORIENTED_EDGE('',*,*,#182656,.T.); #239714=ORIENTED_EDGE('',*,*,#182655,.T.); #239715=ORIENTED_EDGE('',*,*,#182657,.F.); #239716=ORIENTED_EDGE('',*,*,#182658,.F.); #239717=ORIENTED_EDGE('',*,*,#182659,.T.); #239718=ORIENTED_EDGE('',*,*,#182658,.T.); #239719=ORIENTED_EDGE('',*,*,#182660,.F.); #239720=ORIENTED_EDGE('',*,*,#182661,.F.); #239721=ORIENTED_EDGE('',*,*,#182662,.T.); #239722=ORIENTED_EDGE('',*,*,#182661,.T.); #239723=ORIENTED_EDGE('',*,*,#182663,.F.); #239724=ORIENTED_EDGE('',*,*,#182664,.F.); #239725=ORIENTED_EDGE('',*,*,#182665,.T.); #239726=ORIENTED_EDGE('',*,*,#182664,.T.); #239727=ORIENTED_EDGE('',*,*,#182666,.F.); #239728=ORIENTED_EDGE('',*,*,#182667,.F.); #239729=ORIENTED_EDGE('',*,*,#182668,.T.); #239730=ORIENTED_EDGE('',*,*,#182667,.T.); #239731=ORIENTED_EDGE('',*,*,#182669,.F.); #239732=ORIENTED_EDGE('',*,*,#182670,.F.); #239733=ORIENTED_EDGE('',*,*,#182671,.T.); #239734=ORIENTED_EDGE('',*,*,#182670,.T.); #239735=ORIENTED_EDGE('',*,*,#182672,.F.); #239736=ORIENTED_EDGE('',*,*,#182673,.F.); #239737=ORIENTED_EDGE('',*,*,#182674,.T.); #239738=ORIENTED_EDGE('',*,*,#182673,.T.); #239739=ORIENTED_EDGE('',*,*,#182675,.F.); #239740=ORIENTED_EDGE('',*,*,#182676,.F.); #239741=ORIENTED_EDGE('',*,*,#182677,.T.); #239742=ORIENTED_EDGE('',*,*,#182676,.T.); #239743=ORIENTED_EDGE('',*,*,#182678,.F.); #239744=ORIENTED_EDGE('',*,*,#182679,.F.); #239745=ORIENTED_EDGE('',*,*,#182680,.T.); #239746=ORIENTED_EDGE('',*,*,#182679,.T.); #239747=ORIENTED_EDGE('',*,*,#182681,.F.); #239748=ORIENTED_EDGE('',*,*,#182682,.F.); #239749=ORIENTED_EDGE('',*,*,#182683,.T.); #239750=ORIENTED_EDGE('',*,*,#182682,.T.); #239751=ORIENTED_EDGE('',*,*,#182684,.F.); #239752=ORIENTED_EDGE('',*,*,#182685,.F.); #239753=ORIENTED_EDGE('',*,*,#182686,.T.); #239754=ORIENTED_EDGE('',*,*,#182685,.T.); #239755=ORIENTED_EDGE('',*,*,#182687,.F.); #239756=ORIENTED_EDGE('',*,*,#182688,.F.); #239757=ORIENTED_EDGE('',*,*,#182689,.T.); #239758=ORIENTED_EDGE('',*,*,#182688,.T.); #239759=ORIENTED_EDGE('',*,*,#182690,.F.); #239760=ORIENTED_EDGE('',*,*,#182691,.F.); #239761=ORIENTED_EDGE('',*,*,#182692,.T.); #239762=ORIENTED_EDGE('',*,*,#182691,.T.); #239763=ORIENTED_EDGE('',*,*,#182693,.F.); #239764=ORIENTED_EDGE('',*,*,#182694,.F.); #239765=ORIENTED_EDGE('',*,*,#182695,.T.); #239766=ORIENTED_EDGE('',*,*,#182694,.T.); #239767=ORIENTED_EDGE('',*,*,#182696,.F.); #239768=ORIENTED_EDGE('',*,*,#182697,.F.); #239769=ORIENTED_EDGE('',*,*,#182698,.T.); #239770=ORIENTED_EDGE('',*,*,#182697,.T.); #239771=ORIENTED_EDGE('',*,*,#182699,.F.); #239772=ORIENTED_EDGE('',*,*,#182700,.F.); #239773=ORIENTED_EDGE('',*,*,#182701,.T.); #239774=ORIENTED_EDGE('',*,*,#182700,.T.); #239775=ORIENTED_EDGE('',*,*,#182702,.F.); #239776=ORIENTED_EDGE('',*,*,#182703,.F.); #239777=ORIENTED_EDGE('',*,*,#182704,.T.); #239778=ORIENTED_EDGE('',*,*,#182703,.T.); #239779=ORIENTED_EDGE('',*,*,#182705,.F.); #239780=ORIENTED_EDGE('',*,*,#182706,.F.); #239781=ORIENTED_EDGE('',*,*,#182707,.T.); #239782=ORIENTED_EDGE('',*,*,#182706,.T.); #239783=ORIENTED_EDGE('',*,*,#182708,.F.); #239784=ORIENTED_EDGE('',*,*,#182709,.F.); #239785=ORIENTED_EDGE('',*,*,#182710,.T.); #239786=ORIENTED_EDGE('',*,*,#182709,.T.); #239787=ORIENTED_EDGE('',*,*,#182711,.F.); #239788=ORIENTED_EDGE('',*,*,#182712,.F.); #239789=ORIENTED_EDGE('',*,*,#182713,.T.); #239790=ORIENTED_EDGE('',*,*,#182712,.T.); #239791=ORIENTED_EDGE('',*,*,#182714,.F.); #239792=ORIENTED_EDGE('',*,*,#182715,.F.); #239793=ORIENTED_EDGE('',*,*,#182716,.T.); #239794=ORIENTED_EDGE('',*,*,#182715,.T.); #239795=ORIENTED_EDGE('',*,*,#182717,.F.); #239796=ORIENTED_EDGE('',*,*,#182718,.F.); #239797=ORIENTED_EDGE('',*,*,#182719,.T.); #239798=ORIENTED_EDGE('',*,*,#182718,.T.); #239799=ORIENTED_EDGE('',*,*,#182720,.F.); #239800=ORIENTED_EDGE('',*,*,#182721,.F.); #239801=ORIENTED_EDGE('',*,*,#182722,.T.); #239802=ORIENTED_EDGE('',*,*,#182721,.T.); #239803=ORIENTED_EDGE('',*,*,#182723,.F.); #239804=ORIENTED_EDGE('',*,*,#182724,.F.); #239805=ORIENTED_EDGE('',*,*,#182725,.T.); #239806=ORIENTED_EDGE('',*,*,#182724,.T.); #239807=ORIENTED_EDGE('',*,*,#182726,.F.); #239808=ORIENTED_EDGE('',*,*,#182727,.F.); #239809=ORIENTED_EDGE('',*,*,#182728,.T.); #239810=ORIENTED_EDGE('',*,*,#182727,.T.); #239811=ORIENTED_EDGE('',*,*,#182729,.F.); #239812=ORIENTED_EDGE('',*,*,#182730,.F.); #239813=ORIENTED_EDGE('',*,*,#182731,.T.); #239814=ORIENTED_EDGE('',*,*,#182730,.T.); #239815=ORIENTED_EDGE('',*,*,#182732,.F.); #239816=ORIENTED_EDGE('',*,*,#182733,.F.); #239817=ORIENTED_EDGE('',*,*,#182734,.T.); #239818=ORIENTED_EDGE('',*,*,#182733,.T.); #239819=ORIENTED_EDGE('',*,*,#182735,.F.); #239820=ORIENTED_EDGE('',*,*,#182736,.F.); #239821=ORIENTED_EDGE('',*,*,#182737,.T.); #239822=ORIENTED_EDGE('',*,*,#182736,.T.); #239823=ORIENTED_EDGE('',*,*,#182738,.F.); #239824=ORIENTED_EDGE('',*,*,#182739,.F.); #239825=ORIENTED_EDGE('',*,*,#182740,.T.); #239826=ORIENTED_EDGE('',*,*,#182739,.T.); #239827=ORIENTED_EDGE('',*,*,#182741,.F.); #239828=ORIENTED_EDGE('',*,*,#182742,.F.); #239829=ORIENTED_EDGE('',*,*,#182743,.T.); #239830=ORIENTED_EDGE('',*,*,#182742,.T.); #239831=ORIENTED_EDGE('',*,*,#182744,.F.); #239832=ORIENTED_EDGE('',*,*,#182745,.F.); #239833=ORIENTED_EDGE('',*,*,#182746,.T.); #239834=ORIENTED_EDGE('',*,*,#182745,.T.); #239835=ORIENTED_EDGE('',*,*,#182747,.F.); #239836=ORIENTED_EDGE('',*,*,#182748,.F.); #239837=ORIENTED_EDGE('',*,*,#182749,.T.); #239838=ORIENTED_EDGE('',*,*,#182748,.T.); #239839=ORIENTED_EDGE('',*,*,#182750,.F.); #239840=ORIENTED_EDGE('',*,*,#182751,.F.); #239841=ORIENTED_EDGE('',*,*,#182752,.T.); #239842=ORIENTED_EDGE('',*,*,#182751,.T.); #239843=ORIENTED_EDGE('',*,*,#182753,.F.); #239844=ORIENTED_EDGE('',*,*,#182754,.F.); #239845=ORIENTED_EDGE('',*,*,#182755,.T.); #239846=ORIENTED_EDGE('',*,*,#182754,.T.); #239847=ORIENTED_EDGE('',*,*,#182756,.F.); #239848=ORIENTED_EDGE('',*,*,#182757,.F.); #239849=ORIENTED_EDGE('',*,*,#182758,.T.); #239850=ORIENTED_EDGE('',*,*,#182757,.T.); #239851=ORIENTED_EDGE('',*,*,#182759,.F.); #239852=ORIENTED_EDGE('',*,*,#182760,.F.); #239853=ORIENTED_EDGE('',*,*,#182761,.T.); #239854=ORIENTED_EDGE('',*,*,#182760,.T.); #239855=ORIENTED_EDGE('',*,*,#182762,.F.); #239856=ORIENTED_EDGE('',*,*,#182763,.F.); #239857=ORIENTED_EDGE('',*,*,#182764,.T.); #239858=ORIENTED_EDGE('',*,*,#182763,.T.); #239859=ORIENTED_EDGE('',*,*,#182765,.F.); #239860=ORIENTED_EDGE('',*,*,#182766,.F.); #239861=ORIENTED_EDGE('',*,*,#182767,.T.); #239862=ORIENTED_EDGE('',*,*,#182766,.T.); #239863=ORIENTED_EDGE('',*,*,#182768,.F.); #239864=ORIENTED_EDGE('',*,*,#182769,.F.); #239865=ORIENTED_EDGE('',*,*,#182770,.T.); #239866=ORIENTED_EDGE('',*,*,#182769,.T.); #239867=ORIENTED_EDGE('',*,*,#182771,.F.); #239868=ORIENTED_EDGE('',*,*,#182772,.F.); #239869=ORIENTED_EDGE('',*,*,#182773,.T.); #239870=ORIENTED_EDGE('',*,*,#182772,.T.); #239871=ORIENTED_EDGE('',*,*,#182774,.F.); #239872=ORIENTED_EDGE('',*,*,#182775,.F.); #239873=ORIENTED_EDGE('',*,*,#182776,.T.); #239874=ORIENTED_EDGE('',*,*,#182775,.T.); #239875=ORIENTED_EDGE('',*,*,#182777,.F.); #239876=ORIENTED_EDGE('',*,*,#182778,.F.); #239877=ORIENTED_EDGE('',*,*,#182779,.T.); #239878=ORIENTED_EDGE('',*,*,#182778,.T.); #239879=ORIENTED_EDGE('',*,*,#182780,.F.); #239880=ORIENTED_EDGE('',*,*,#182781,.F.); #239881=ORIENTED_EDGE('',*,*,#182782,.T.); #239882=ORIENTED_EDGE('',*,*,#182781,.T.); #239883=ORIENTED_EDGE('',*,*,#182783,.F.); #239884=ORIENTED_EDGE('',*,*,#182784,.F.); #239885=ORIENTED_EDGE('',*,*,#182785,.T.); #239886=ORIENTED_EDGE('',*,*,#182784,.T.); #239887=ORIENTED_EDGE('',*,*,#182786,.F.); #239888=ORIENTED_EDGE('',*,*,#182787,.F.); #239889=ORIENTED_EDGE('',*,*,#182788,.T.); #239890=ORIENTED_EDGE('',*,*,#182787,.T.); #239891=ORIENTED_EDGE('',*,*,#182789,.F.); #239892=ORIENTED_EDGE('',*,*,#182790,.F.); #239893=ORIENTED_EDGE('',*,*,#182791,.T.); #239894=ORIENTED_EDGE('',*,*,#182790,.T.); #239895=ORIENTED_EDGE('',*,*,#182792,.F.); #239896=ORIENTED_EDGE('',*,*,#182793,.F.); #239897=ORIENTED_EDGE('',*,*,#182794,.T.); #239898=ORIENTED_EDGE('',*,*,#182793,.T.); #239899=ORIENTED_EDGE('',*,*,#182795,.F.); #239900=ORIENTED_EDGE('',*,*,#182796,.F.); #239901=ORIENTED_EDGE('',*,*,#182797,.T.); #239902=ORIENTED_EDGE('',*,*,#182796,.T.); #239903=ORIENTED_EDGE('',*,*,#182798,.F.); #239904=ORIENTED_EDGE('',*,*,#182799,.F.); #239905=ORIENTED_EDGE('',*,*,#182800,.T.); #239906=ORIENTED_EDGE('',*,*,#182799,.T.); #239907=ORIENTED_EDGE('',*,*,#182801,.F.); #239908=ORIENTED_EDGE('',*,*,#182802,.F.); #239909=ORIENTED_EDGE('',*,*,#182803,.T.); #239910=ORIENTED_EDGE('',*,*,#182802,.T.); #239911=ORIENTED_EDGE('',*,*,#182804,.F.); #239912=ORIENTED_EDGE('',*,*,#182805,.F.); #239913=ORIENTED_EDGE('',*,*,#182806,.T.); #239914=ORIENTED_EDGE('',*,*,#182805,.T.); #239915=ORIENTED_EDGE('',*,*,#182807,.F.); #239916=ORIENTED_EDGE('',*,*,#182808,.F.); #239917=ORIENTED_EDGE('',*,*,#182809,.T.); #239918=ORIENTED_EDGE('',*,*,#182808,.T.); #239919=ORIENTED_EDGE('',*,*,#182810,.F.); #239920=ORIENTED_EDGE('',*,*,#182811,.F.); #239921=ORIENTED_EDGE('',*,*,#182812,.T.); #239922=ORIENTED_EDGE('',*,*,#182811,.T.); #239923=ORIENTED_EDGE('',*,*,#182813,.F.); #239924=ORIENTED_EDGE('',*,*,#182814,.F.); #239925=ORIENTED_EDGE('',*,*,#182815,.T.); #239926=ORIENTED_EDGE('',*,*,#182814,.T.); #239927=ORIENTED_EDGE('',*,*,#182816,.F.); #239928=ORIENTED_EDGE('',*,*,#182817,.F.); #239929=ORIENTED_EDGE('',*,*,#182818,.T.); #239930=ORIENTED_EDGE('',*,*,#182817,.T.); #239931=ORIENTED_EDGE('',*,*,#182819,.F.); #239932=ORIENTED_EDGE('',*,*,#182820,.F.); #239933=ORIENTED_EDGE('',*,*,#182821,.T.); #239934=ORIENTED_EDGE('',*,*,#182820,.T.); #239935=ORIENTED_EDGE('',*,*,#182822,.F.); #239936=ORIENTED_EDGE('',*,*,#182823,.F.); #239937=ORIENTED_EDGE('',*,*,#182824,.T.); #239938=ORIENTED_EDGE('',*,*,#182823,.T.); #239939=ORIENTED_EDGE('',*,*,#182825,.F.); #239940=ORIENTED_EDGE('',*,*,#182826,.F.); #239941=ORIENTED_EDGE('',*,*,#182827,.T.); #239942=ORIENTED_EDGE('',*,*,#182826,.T.); #239943=ORIENTED_EDGE('',*,*,#182828,.F.); #239944=ORIENTED_EDGE('',*,*,#182829,.F.); #239945=ORIENTED_EDGE('',*,*,#182830,.T.); #239946=ORIENTED_EDGE('',*,*,#182829,.T.); #239947=ORIENTED_EDGE('',*,*,#182831,.F.); #239948=ORIENTED_EDGE('',*,*,#182832,.F.); #239949=ORIENTED_EDGE('',*,*,#182833,.T.); #239950=ORIENTED_EDGE('',*,*,#182832,.T.); #239951=ORIENTED_EDGE('',*,*,#182834,.F.); #239952=ORIENTED_EDGE('',*,*,#182835,.F.); #239953=ORIENTED_EDGE('',*,*,#182836,.T.); #239954=ORIENTED_EDGE('',*,*,#182835,.T.); #239955=ORIENTED_EDGE('',*,*,#182837,.F.); #239956=ORIENTED_EDGE('',*,*,#182838,.F.); #239957=ORIENTED_EDGE('',*,*,#182839,.T.); #239958=ORIENTED_EDGE('',*,*,#182838,.T.); #239959=ORIENTED_EDGE('',*,*,#182840,.F.); #239960=ORIENTED_EDGE('',*,*,#182841,.F.); #239961=ORIENTED_EDGE('',*,*,#182842,.T.); #239962=ORIENTED_EDGE('',*,*,#182841,.T.); #239963=ORIENTED_EDGE('',*,*,#182843,.F.); #239964=ORIENTED_EDGE('',*,*,#182844,.F.); #239965=ORIENTED_EDGE('',*,*,#182845,.T.); #239966=ORIENTED_EDGE('',*,*,#182844,.T.); #239967=ORIENTED_EDGE('',*,*,#182846,.F.); #239968=ORIENTED_EDGE('',*,*,#182847,.F.); #239969=ORIENTED_EDGE('',*,*,#182848,.T.); #239970=ORIENTED_EDGE('',*,*,#182847,.T.); #239971=ORIENTED_EDGE('',*,*,#182849,.F.); #239972=ORIENTED_EDGE('',*,*,#182850,.F.); #239973=ORIENTED_EDGE('',*,*,#182851,.T.); #239974=ORIENTED_EDGE('',*,*,#182850,.T.); #239975=ORIENTED_EDGE('',*,*,#182852,.F.); #239976=ORIENTED_EDGE('',*,*,#182853,.F.); #239977=ORIENTED_EDGE('',*,*,#182854,.T.); #239978=ORIENTED_EDGE('',*,*,#182853,.T.); #239979=ORIENTED_EDGE('',*,*,#182855,.F.); #239980=ORIENTED_EDGE('',*,*,#182856,.F.); #239981=ORIENTED_EDGE('',*,*,#182857,.T.); #239982=ORIENTED_EDGE('',*,*,#182856,.T.); #239983=ORIENTED_EDGE('',*,*,#182858,.F.); #239984=ORIENTED_EDGE('',*,*,#182859,.F.); #239985=ORIENTED_EDGE('',*,*,#182860,.T.); #239986=ORIENTED_EDGE('',*,*,#182859,.T.); #239987=ORIENTED_EDGE('',*,*,#182861,.F.); #239988=ORIENTED_EDGE('',*,*,#182862,.F.); #239989=ORIENTED_EDGE('',*,*,#182863,.T.); #239990=ORIENTED_EDGE('',*,*,#182862,.T.); #239991=ORIENTED_EDGE('',*,*,#182864,.F.); #239992=ORIENTED_EDGE('',*,*,#182865,.F.); #239993=ORIENTED_EDGE('',*,*,#182866,.T.); #239994=ORIENTED_EDGE('',*,*,#182865,.T.); #239995=ORIENTED_EDGE('',*,*,#182867,.F.); #239996=ORIENTED_EDGE('',*,*,#182868,.F.); #239997=ORIENTED_EDGE('',*,*,#182869,.T.); #239998=ORIENTED_EDGE('',*,*,#182868,.T.); #239999=ORIENTED_EDGE('',*,*,#182870,.F.); #240000=ORIENTED_EDGE('',*,*,#182871,.F.); #240001=ORIENTED_EDGE('',*,*,#182872,.T.); #240002=ORIENTED_EDGE('',*,*,#182871,.T.); #240003=ORIENTED_EDGE('',*,*,#182873,.F.); #240004=ORIENTED_EDGE('',*,*,#182874,.F.); #240005=ORIENTED_EDGE('',*,*,#182875,.T.); #240006=ORIENTED_EDGE('',*,*,#182874,.T.); #240007=ORIENTED_EDGE('',*,*,#182876,.F.); #240008=ORIENTED_EDGE('',*,*,#182877,.F.); #240009=ORIENTED_EDGE('',*,*,#182878,.T.); #240010=ORIENTED_EDGE('',*,*,#182877,.T.); #240011=ORIENTED_EDGE('',*,*,#182879,.F.); #240012=ORIENTED_EDGE('',*,*,#182880,.F.); #240013=ORIENTED_EDGE('',*,*,#182881,.T.); #240014=ORIENTED_EDGE('',*,*,#182880,.T.); #240015=ORIENTED_EDGE('',*,*,#182882,.F.); #240016=ORIENTED_EDGE('',*,*,#182883,.F.); #240017=ORIENTED_EDGE('',*,*,#182884,.T.); #240018=ORIENTED_EDGE('',*,*,#182883,.T.); #240019=ORIENTED_EDGE('',*,*,#182885,.F.); #240020=ORIENTED_EDGE('',*,*,#182886,.F.); #240021=ORIENTED_EDGE('',*,*,#182887,.T.); #240022=ORIENTED_EDGE('',*,*,#182886,.T.); #240023=ORIENTED_EDGE('',*,*,#182888,.F.); #240024=ORIENTED_EDGE('',*,*,#182889,.F.); #240025=ORIENTED_EDGE('',*,*,#182890,.T.); #240026=ORIENTED_EDGE('',*,*,#182889,.T.); #240027=ORIENTED_EDGE('',*,*,#182891,.F.); #240028=ORIENTED_EDGE('',*,*,#182892,.F.); #240029=ORIENTED_EDGE('',*,*,#182893,.T.); #240030=ORIENTED_EDGE('',*,*,#182892,.T.); #240031=ORIENTED_EDGE('',*,*,#182894,.F.); #240032=ORIENTED_EDGE('',*,*,#182895,.F.); #240033=ORIENTED_EDGE('',*,*,#182896,.T.); #240034=ORIENTED_EDGE('',*,*,#182895,.T.); #240035=ORIENTED_EDGE('',*,*,#182897,.F.); #240036=ORIENTED_EDGE('',*,*,#182898,.F.); #240037=ORIENTED_EDGE('',*,*,#182899,.T.); #240038=ORIENTED_EDGE('',*,*,#182898,.T.); #240039=ORIENTED_EDGE('',*,*,#182900,.F.); #240040=ORIENTED_EDGE('',*,*,#182901,.F.); #240041=ORIENTED_EDGE('',*,*,#182902,.T.); #240042=ORIENTED_EDGE('',*,*,#182901,.T.); #240043=ORIENTED_EDGE('',*,*,#182903,.F.); #240044=ORIENTED_EDGE('',*,*,#182904,.F.); #240045=ORIENTED_EDGE('',*,*,#182905,.T.); #240046=ORIENTED_EDGE('',*,*,#182904,.T.); #240047=ORIENTED_EDGE('',*,*,#182906,.F.); #240048=ORIENTED_EDGE('',*,*,#182907,.F.); #240049=ORIENTED_EDGE('',*,*,#182908,.T.); #240050=ORIENTED_EDGE('',*,*,#182907,.T.); #240051=ORIENTED_EDGE('',*,*,#182909,.F.); #240052=ORIENTED_EDGE('',*,*,#182910,.F.); #240053=ORIENTED_EDGE('',*,*,#182911,.T.); #240054=ORIENTED_EDGE('',*,*,#182910,.T.); #240055=ORIENTED_EDGE('',*,*,#182912,.F.); #240056=ORIENTED_EDGE('',*,*,#182913,.F.); #240057=ORIENTED_EDGE('',*,*,#182914,.T.); #240058=ORIENTED_EDGE('',*,*,#182913,.T.); #240059=ORIENTED_EDGE('',*,*,#182915,.F.); #240060=ORIENTED_EDGE('',*,*,#182916,.F.); #240061=ORIENTED_EDGE('',*,*,#182917,.T.); #240062=ORIENTED_EDGE('',*,*,#182916,.T.); #240063=ORIENTED_EDGE('',*,*,#182918,.F.); #240064=ORIENTED_EDGE('',*,*,#182919,.F.); #240065=ORIENTED_EDGE('',*,*,#182920,.T.); #240066=ORIENTED_EDGE('',*,*,#182919,.T.); #240067=ORIENTED_EDGE('',*,*,#182921,.F.); #240068=ORIENTED_EDGE('',*,*,#182922,.F.); #240069=ORIENTED_EDGE('',*,*,#182923,.T.); #240070=ORIENTED_EDGE('',*,*,#182922,.T.); #240071=ORIENTED_EDGE('',*,*,#182924,.F.); #240072=ORIENTED_EDGE('',*,*,#182925,.F.); #240073=ORIENTED_EDGE('',*,*,#182926,.T.); #240074=ORIENTED_EDGE('',*,*,#182925,.T.); #240075=ORIENTED_EDGE('',*,*,#182927,.F.); #240076=ORIENTED_EDGE('',*,*,#182928,.F.); #240077=ORIENTED_EDGE('',*,*,#182929,.T.); #240078=ORIENTED_EDGE('',*,*,#182928,.T.); #240079=ORIENTED_EDGE('',*,*,#182930,.F.); #240080=ORIENTED_EDGE('',*,*,#182931,.F.); #240081=ORIENTED_EDGE('',*,*,#182932,.T.); #240082=ORIENTED_EDGE('',*,*,#182931,.T.); #240083=ORIENTED_EDGE('',*,*,#182933,.F.); #240084=ORIENTED_EDGE('',*,*,#182934,.F.); #240085=ORIENTED_EDGE('',*,*,#182935,.T.); #240086=ORIENTED_EDGE('',*,*,#182934,.T.); #240087=ORIENTED_EDGE('',*,*,#182936,.F.); #240088=ORIENTED_EDGE('',*,*,#182937,.F.); #240089=ORIENTED_EDGE('',*,*,#182938,.T.); #240090=ORIENTED_EDGE('',*,*,#182937,.T.); #240091=ORIENTED_EDGE('',*,*,#182939,.F.); #240092=ORIENTED_EDGE('',*,*,#182940,.F.); #240093=ORIENTED_EDGE('',*,*,#182941,.T.); #240094=ORIENTED_EDGE('',*,*,#182940,.T.); #240095=ORIENTED_EDGE('',*,*,#182942,.F.); #240096=ORIENTED_EDGE('',*,*,#182943,.F.); #240097=ORIENTED_EDGE('',*,*,#182944,.T.); #240098=ORIENTED_EDGE('',*,*,#182943,.T.); #240099=ORIENTED_EDGE('',*,*,#182945,.F.); #240100=ORIENTED_EDGE('',*,*,#182946,.F.); #240101=ORIENTED_EDGE('',*,*,#182947,.T.); #240102=ORIENTED_EDGE('',*,*,#182946,.T.); #240103=ORIENTED_EDGE('',*,*,#182948,.F.); #240104=ORIENTED_EDGE('',*,*,#182949,.F.); #240105=ORIENTED_EDGE('',*,*,#182950,.T.); #240106=ORIENTED_EDGE('',*,*,#182949,.T.); #240107=ORIENTED_EDGE('',*,*,#182951,.F.); #240108=ORIENTED_EDGE('',*,*,#182952,.F.); #240109=ORIENTED_EDGE('',*,*,#182953,.T.); #240110=ORIENTED_EDGE('',*,*,#182952,.T.); #240111=ORIENTED_EDGE('',*,*,#182954,.F.); #240112=ORIENTED_EDGE('',*,*,#182955,.F.); #240113=ORIENTED_EDGE('',*,*,#182956,.T.); #240114=ORIENTED_EDGE('',*,*,#182955,.T.); #240115=ORIENTED_EDGE('',*,*,#182957,.F.); #240116=ORIENTED_EDGE('',*,*,#182958,.F.); #240117=ORIENTED_EDGE('',*,*,#182959,.T.); #240118=ORIENTED_EDGE('',*,*,#182958,.T.); #240119=ORIENTED_EDGE('',*,*,#182960,.F.); #240120=ORIENTED_EDGE('',*,*,#182961,.F.); #240121=ORIENTED_EDGE('',*,*,#182962,.T.); #240122=ORIENTED_EDGE('',*,*,#182961,.T.); #240123=ORIENTED_EDGE('',*,*,#182963,.F.); #240124=ORIENTED_EDGE('',*,*,#182964,.F.); #240125=ORIENTED_EDGE('',*,*,#182965,.T.); #240126=ORIENTED_EDGE('',*,*,#182964,.T.); #240127=ORIENTED_EDGE('',*,*,#182966,.F.); #240128=ORIENTED_EDGE('',*,*,#182967,.F.); #240129=ORIENTED_EDGE('',*,*,#182968,.T.); #240130=ORIENTED_EDGE('',*,*,#182967,.T.); #240131=ORIENTED_EDGE('',*,*,#182969,.F.); #240132=ORIENTED_EDGE('',*,*,#182970,.F.); #240133=ORIENTED_EDGE('',*,*,#182971,.T.); #240134=ORIENTED_EDGE('',*,*,#182970,.T.); #240135=ORIENTED_EDGE('',*,*,#182972,.F.); #240136=ORIENTED_EDGE('',*,*,#182973,.F.); #240137=ORIENTED_EDGE('',*,*,#182974,.T.); #240138=ORIENTED_EDGE('',*,*,#182973,.T.); #240139=ORIENTED_EDGE('',*,*,#182975,.F.); #240140=ORIENTED_EDGE('',*,*,#182976,.F.); #240141=ORIENTED_EDGE('',*,*,#182977,.T.); #240142=ORIENTED_EDGE('',*,*,#182976,.T.); #240143=ORIENTED_EDGE('',*,*,#182978,.F.); #240144=ORIENTED_EDGE('',*,*,#182979,.F.); #240145=ORIENTED_EDGE('',*,*,#182980,.T.); #240146=ORIENTED_EDGE('',*,*,#182979,.T.); #240147=ORIENTED_EDGE('',*,*,#182981,.F.); #240148=ORIENTED_EDGE('',*,*,#182982,.F.); #240149=ORIENTED_EDGE('',*,*,#182983,.T.); #240150=ORIENTED_EDGE('',*,*,#182982,.T.); #240151=ORIENTED_EDGE('',*,*,#182984,.F.); #240152=ORIENTED_EDGE('',*,*,#182985,.F.); #240153=ORIENTED_EDGE('',*,*,#182986,.T.); #240154=ORIENTED_EDGE('',*,*,#182985,.T.); #240155=ORIENTED_EDGE('',*,*,#182987,.F.); #240156=ORIENTED_EDGE('',*,*,#182988,.F.); #240157=ORIENTED_EDGE('',*,*,#182989,.T.); #240158=ORIENTED_EDGE('',*,*,#182988,.T.); #240159=ORIENTED_EDGE('',*,*,#182990,.F.); #240160=ORIENTED_EDGE('',*,*,#182991,.F.); #240161=ORIENTED_EDGE('',*,*,#182992,.T.); #240162=ORIENTED_EDGE('',*,*,#182991,.T.); #240163=ORIENTED_EDGE('',*,*,#182993,.F.); #240164=ORIENTED_EDGE('',*,*,#182994,.F.); #240165=ORIENTED_EDGE('',*,*,#182995,.T.); #240166=ORIENTED_EDGE('',*,*,#182994,.T.); #240167=ORIENTED_EDGE('',*,*,#182996,.F.); #240168=ORIENTED_EDGE('',*,*,#182997,.F.); #240169=ORIENTED_EDGE('',*,*,#182998,.T.); #240170=ORIENTED_EDGE('',*,*,#182997,.T.); #240171=ORIENTED_EDGE('',*,*,#182999,.F.); #240172=ORIENTED_EDGE('',*,*,#183000,.F.); #240173=ORIENTED_EDGE('',*,*,#183001,.T.); #240174=ORIENTED_EDGE('',*,*,#183000,.T.); #240175=ORIENTED_EDGE('',*,*,#183002,.F.); #240176=ORIENTED_EDGE('',*,*,#183003,.F.); #240177=ORIENTED_EDGE('',*,*,#183004,.T.); #240178=ORIENTED_EDGE('',*,*,#183003,.T.); #240179=ORIENTED_EDGE('',*,*,#183005,.F.); #240180=ORIENTED_EDGE('',*,*,#183006,.F.); #240181=ORIENTED_EDGE('',*,*,#183007,.T.); #240182=ORIENTED_EDGE('',*,*,#183006,.T.); #240183=ORIENTED_EDGE('',*,*,#183008,.F.); #240184=ORIENTED_EDGE('',*,*,#183009,.F.); #240185=ORIENTED_EDGE('',*,*,#183010,.T.); #240186=ORIENTED_EDGE('',*,*,#183009,.T.); #240187=ORIENTED_EDGE('',*,*,#183011,.F.); #240188=ORIENTED_EDGE('',*,*,#183012,.F.); #240189=ORIENTED_EDGE('',*,*,#183013,.T.); #240190=ORIENTED_EDGE('',*,*,#183012,.T.); #240191=ORIENTED_EDGE('',*,*,#183014,.F.); #240192=ORIENTED_EDGE('',*,*,#183015,.F.); #240193=ORIENTED_EDGE('',*,*,#183016,.T.); #240194=ORIENTED_EDGE('',*,*,#183015,.T.); #240195=ORIENTED_EDGE('',*,*,#183017,.F.); #240196=ORIENTED_EDGE('',*,*,#183018,.F.); #240197=ORIENTED_EDGE('',*,*,#183019,.T.); #240198=ORIENTED_EDGE('',*,*,#183018,.T.); #240199=ORIENTED_EDGE('',*,*,#183020,.F.); #240200=ORIENTED_EDGE('',*,*,#183021,.F.); #240201=ORIENTED_EDGE('',*,*,#183022,.T.); #240202=ORIENTED_EDGE('',*,*,#183021,.T.); #240203=ORIENTED_EDGE('',*,*,#183023,.F.); #240204=ORIENTED_EDGE('',*,*,#183024,.F.); #240205=ORIENTED_EDGE('',*,*,#183025,.T.); #240206=ORIENTED_EDGE('',*,*,#183024,.T.); #240207=ORIENTED_EDGE('',*,*,#183026,.F.); #240208=ORIENTED_EDGE('',*,*,#183027,.F.); #240209=ORIENTED_EDGE('',*,*,#183028,.T.); #240210=ORIENTED_EDGE('',*,*,#183027,.T.); #240211=ORIENTED_EDGE('',*,*,#183029,.F.); #240212=ORIENTED_EDGE('',*,*,#183030,.F.); #240213=ORIENTED_EDGE('',*,*,#183031,.T.); #240214=ORIENTED_EDGE('',*,*,#183030,.T.); #240215=ORIENTED_EDGE('',*,*,#183032,.F.); #240216=ORIENTED_EDGE('',*,*,#183033,.F.); #240217=ORIENTED_EDGE('',*,*,#183034,.T.); #240218=ORIENTED_EDGE('',*,*,#183033,.T.); #240219=ORIENTED_EDGE('',*,*,#183035,.F.); #240220=ORIENTED_EDGE('',*,*,#183036,.F.); #240221=ORIENTED_EDGE('',*,*,#183037,.T.); #240222=ORIENTED_EDGE('',*,*,#183036,.T.); #240223=ORIENTED_EDGE('',*,*,#183038,.F.); #240224=ORIENTED_EDGE('',*,*,#183039,.F.); #240225=ORIENTED_EDGE('',*,*,#183040,.T.); #240226=ORIENTED_EDGE('',*,*,#183039,.T.); #240227=ORIENTED_EDGE('',*,*,#183041,.F.); #240228=ORIENTED_EDGE('',*,*,#183042,.F.); #240229=ORIENTED_EDGE('',*,*,#183043,.T.); #240230=ORIENTED_EDGE('',*,*,#183042,.T.); #240231=ORIENTED_EDGE('',*,*,#183044,.F.); #240232=ORIENTED_EDGE('',*,*,#183045,.F.); #240233=ORIENTED_EDGE('',*,*,#183046,.T.); #240234=ORIENTED_EDGE('',*,*,#183045,.T.); #240235=ORIENTED_EDGE('',*,*,#183047,.F.); #240236=ORIENTED_EDGE('',*,*,#183048,.F.); #240237=ORIENTED_EDGE('',*,*,#183049,.T.); #240238=ORIENTED_EDGE('',*,*,#183048,.T.); #240239=ORIENTED_EDGE('',*,*,#183050,.F.); #240240=ORIENTED_EDGE('',*,*,#183051,.F.); #240241=ORIENTED_EDGE('',*,*,#183052,.T.); #240242=ORIENTED_EDGE('',*,*,#183051,.T.); #240243=ORIENTED_EDGE('',*,*,#183053,.F.); #240244=ORIENTED_EDGE('',*,*,#183054,.F.); #240245=ORIENTED_EDGE('',*,*,#183055,.T.); #240246=ORIENTED_EDGE('',*,*,#183054,.T.); #240247=ORIENTED_EDGE('',*,*,#183056,.F.); #240248=ORIENTED_EDGE('',*,*,#183057,.F.); #240249=ORIENTED_EDGE('',*,*,#183058,.T.); #240250=ORIENTED_EDGE('',*,*,#183057,.T.); #240251=ORIENTED_EDGE('',*,*,#183059,.F.); #240252=ORIENTED_EDGE('',*,*,#183060,.F.); #240253=ORIENTED_EDGE('',*,*,#183061,.T.); #240254=ORIENTED_EDGE('',*,*,#183060,.T.); #240255=ORIENTED_EDGE('',*,*,#183062,.F.); #240256=ORIENTED_EDGE('',*,*,#183063,.F.); #240257=ORIENTED_EDGE('',*,*,#183064,.T.); #240258=ORIENTED_EDGE('',*,*,#183063,.T.); #240259=ORIENTED_EDGE('',*,*,#183065,.F.); #240260=ORIENTED_EDGE('',*,*,#183066,.F.); #240261=ORIENTED_EDGE('',*,*,#183067,.T.); #240262=ORIENTED_EDGE('',*,*,#183066,.T.); #240263=ORIENTED_EDGE('',*,*,#183068,.F.); #240264=ORIENTED_EDGE('',*,*,#183069,.F.); #240265=ORIENTED_EDGE('',*,*,#183070,.T.); #240266=ORIENTED_EDGE('',*,*,#183069,.T.); #240267=ORIENTED_EDGE('',*,*,#183071,.F.); #240268=ORIENTED_EDGE('',*,*,#183072,.F.); #240269=ORIENTED_EDGE('',*,*,#183073,.T.); #240270=ORIENTED_EDGE('',*,*,#183072,.T.); #240271=ORIENTED_EDGE('',*,*,#183074,.F.); #240272=ORIENTED_EDGE('',*,*,#183075,.F.); #240273=ORIENTED_EDGE('',*,*,#183076,.T.); #240274=ORIENTED_EDGE('',*,*,#183075,.T.); #240275=ORIENTED_EDGE('',*,*,#183077,.F.); #240276=ORIENTED_EDGE('',*,*,#183078,.F.); #240277=ORIENTED_EDGE('',*,*,#183079,.T.); #240278=ORIENTED_EDGE('',*,*,#183078,.T.); #240279=ORIENTED_EDGE('',*,*,#183080,.F.); #240280=ORIENTED_EDGE('',*,*,#183081,.F.); #240281=ORIENTED_EDGE('',*,*,#183082,.T.); #240282=ORIENTED_EDGE('',*,*,#183081,.T.); #240283=ORIENTED_EDGE('',*,*,#183083,.F.); #240284=ORIENTED_EDGE('',*,*,#183084,.F.); #240285=ORIENTED_EDGE('',*,*,#183085,.T.); #240286=ORIENTED_EDGE('',*,*,#183084,.T.); #240287=ORIENTED_EDGE('',*,*,#183086,.F.); #240288=ORIENTED_EDGE('',*,*,#183087,.F.); #240289=ORIENTED_EDGE('',*,*,#183088,.T.); #240290=ORIENTED_EDGE('',*,*,#183087,.T.); #240291=ORIENTED_EDGE('',*,*,#183089,.F.); #240292=ORIENTED_EDGE('',*,*,#183090,.F.); #240293=ORIENTED_EDGE('',*,*,#183091,.T.); #240294=ORIENTED_EDGE('',*,*,#183090,.T.); #240295=ORIENTED_EDGE('',*,*,#183092,.F.); #240296=ORIENTED_EDGE('',*,*,#183093,.F.); #240297=ORIENTED_EDGE('',*,*,#183094,.T.); #240298=ORIENTED_EDGE('',*,*,#183093,.T.); #240299=ORIENTED_EDGE('',*,*,#183095,.F.); #240300=ORIENTED_EDGE('',*,*,#183096,.F.); #240301=ORIENTED_EDGE('',*,*,#183097,.T.); #240302=ORIENTED_EDGE('',*,*,#183096,.T.); #240303=ORIENTED_EDGE('',*,*,#183098,.F.); #240304=ORIENTED_EDGE('',*,*,#183099,.F.); #240305=ORIENTED_EDGE('',*,*,#183100,.T.); #240306=ORIENTED_EDGE('',*,*,#183099,.T.); #240307=ORIENTED_EDGE('',*,*,#183101,.F.); #240308=ORIENTED_EDGE('',*,*,#183102,.F.); #240309=ORIENTED_EDGE('',*,*,#183103,.T.); #240310=ORIENTED_EDGE('',*,*,#183102,.T.); #240311=ORIENTED_EDGE('',*,*,#183104,.F.); #240312=ORIENTED_EDGE('',*,*,#183105,.F.); #240313=ORIENTED_EDGE('',*,*,#183106,.T.); #240314=ORIENTED_EDGE('',*,*,#183105,.T.); #240315=ORIENTED_EDGE('',*,*,#183107,.F.); #240316=ORIENTED_EDGE('',*,*,#183108,.F.); #240317=ORIENTED_EDGE('',*,*,#183109,.T.); #240318=ORIENTED_EDGE('',*,*,#183108,.T.); #240319=ORIENTED_EDGE('',*,*,#183110,.F.); #240320=ORIENTED_EDGE('',*,*,#183111,.F.); #240321=ORIENTED_EDGE('',*,*,#183112,.T.); #240322=ORIENTED_EDGE('',*,*,#183111,.T.); #240323=ORIENTED_EDGE('',*,*,#183113,.F.); #240324=ORIENTED_EDGE('',*,*,#183114,.F.); #240325=ORIENTED_EDGE('',*,*,#183115,.T.); #240326=ORIENTED_EDGE('',*,*,#183114,.T.); #240327=ORIENTED_EDGE('',*,*,#183116,.F.); #240328=ORIENTED_EDGE('',*,*,#183117,.F.); #240329=ORIENTED_EDGE('',*,*,#183118,.T.); #240330=ORIENTED_EDGE('',*,*,#183117,.T.); #240331=ORIENTED_EDGE('',*,*,#183119,.F.); #240332=ORIENTED_EDGE('',*,*,#183120,.F.); #240333=ORIENTED_EDGE('',*,*,#183121,.T.); #240334=ORIENTED_EDGE('',*,*,#183120,.T.); #240335=ORIENTED_EDGE('',*,*,#183122,.F.); #240336=ORIENTED_EDGE('',*,*,#183123,.F.); #240337=ORIENTED_EDGE('',*,*,#183124,.T.); #240338=ORIENTED_EDGE('',*,*,#183123,.T.); #240339=ORIENTED_EDGE('',*,*,#183125,.F.); #240340=ORIENTED_EDGE('',*,*,#183126,.F.); #240341=ORIENTED_EDGE('',*,*,#183127,.T.); #240342=ORIENTED_EDGE('',*,*,#183126,.T.); #240343=ORIENTED_EDGE('',*,*,#183128,.F.); #240344=ORIENTED_EDGE('',*,*,#183129,.F.); #240345=ORIENTED_EDGE('',*,*,#183130,.T.); #240346=ORIENTED_EDGE('',*,*,#183129,.T.); #240347=ORIENTED_EDGE('',*,*,#183131,.F.); #240348=ORIENTED_EDGE('',*,*,#183132,.F.); #240349=ORIENTED_EDGE('',*,*,#183133,.T.); #240350=ORIENTED_EDGE('',*,*,#183132,.T.); #240351=ORIENTED_EDGE('',*,*,#183134,.F.); #240352=ORIENTED_EDGE('',*,*,#183135,.F.); #240353=ORIENTED_EDGE('',*,*,#183136,.T.); #240354=ORIENTED_EDGE('',*,*,#183135,.T.); #240355=ORIENTED_EDGE('',*,*,#183137,.F.); #240356=ORIENTED_EDGE('',*,*,#183138,.F.); #240357=ORIENTED_EDGE('',*,*,#183139,.T.); #240358=ORIENTED_EDGE('',*,*,#183138,.T.); #240359=ORIENTED_EDGE('',*,*,#183140,.F.); #240360=ORIENTED_EDGE('',*,*,#183141,.F.); #240361=ORIENTED_EDGE('',*,*,#183142,.T.); #240362=ORIENTED_EDGE('',*,*,#183141,.T.); #240363=ORIENTED_EDGE('',*,*,#183143,.F.); #240364=ORIENTED_EDGE('',*,*,#183144,.F.); #240365=ORIENTED_EDGE('',*,*,#183145,.T.); #240366=ORIENTED_EDGE('',*,*,#183144,.T.); #240367=ORIENTED_EDGE('',*,*,#183146,.F.); #240368=ORIENTED_EDGE('',*,*,#183147,.F.); #240369=ORIENTED_EDGE('',*,*,#183148,.T.); #240370=ORIENTED_EDGE('',*,*,#183147,.T.); #240371=ORIENTED_EDGE('',*,*,#183149,.F.); #240372=ORIENTED_EDGE('',*,*,#183150,.F.); #240373=ORIENTED_EDGE('',*,*,#183151,.T.); #240374=ORIENTED_EDGE('',*,*,#183150,.T.); #240375=ORIENTED_EDGE('',*,*,#183152,.F.); #240376=ORIENTED_EDGE('',*,*,#183153,.F.); #240377=ORIENTED_EDGE('',*,*,#183154,.T.); #240378=ORIENTED_EDGE('',*,*,#183153,.T.); #240379=ORIENTED_EDGE('',*,*,#183155,.F.); #240380=ORIENTED_EDGE('',*,*,#183156,.F.); #240381=ORIENTED_EDGE('',*,*,#183157,.T.); #240382=ORIENTED_EDGE('',*,*,#183156,.T.); #240383=ORIENTED_EDGE('',*,*,#183158,.F.); #240384=ORIENTED_EDGE('',*,*,#183159,.F.); #240385=ORIENTED_EDGE('',*,*,#183160,.T.); #240386=ORIENTED_EDGE('',*,*,#183159,.T.); #240387=ORIENTED_EDGE('',*,*,#183161,.F.); #240388=ORIENTED_EDGE('',*,*,#183162,.F.); #240389=ORIENTED_EDGE('',*,*,#183163,.T.); #240390=ORIENTED_EDGE('',*,*,#183162,.T.); #240391=ORIENTED_EDGE('',*,*,#183164,.F.); #240392=ORIENTED_EDGE('',*,*,#183165,.F.); #240393=ORIENTED_EDGE('',*,*,#183166,.T.); #240394=ORIENTED_EDGE('',*,*,#183165,.T.); #240395=ORIENTED_EDGE('',*,*,#183167,.F.); #240396=ORIENTED_EDGE('',*,*,#183168,.F.); #240397=ORIENTED_EDGE('',*,*,#183169,.T.); #240398=ORIENTED_EDGE('',*,*,#183168,.T.); #240399=ORIENTED_EDGE('',*,*,#183170,.F.); #240400=ORIENTED_EDGE('',*,*,#183171,.F.); #240401=ORIENTED_EDGE('',*,*,#183172,.T.); #240402=ORIENTED_EDGE('',*,*,#183171,.T.); #240403=ORIENTED_EDGE('',*,*,#183173,.F.); #240404=ORIENTED_EDGE('',*,*,#183174,.F.); #240405=ORIENTED_EDGE('',*,*,#183175,.T.); #240406=ORIENTED_EDGE('',*,*,#183174,.T.); #240407=ORIENTED_EDGE('',*,*,#183176,.F.); #240408=ORIENTED_EDGE('',*,*,#183177,.F.); #240409=ORIENTED_EDGE('',*,*,#183178,.T.); #240410=ORIENTED_EDGE('',*,*,#183177,.T.); #240411=ORIENTED_EDGE('',*,*,#183179,.F.); #240412=ORIENTED_EDGE('',*,*,#183180,.F.); #240413=ORIENTED_EDGE('',*,*,#183181,.T.); #240414=ORIENTED_EDGE('',*,*,#183180,.T.); #240415=ORIENTED_EDGE('',*,*,#183182,.F.); #240416=ORIENTED_EDGE('',*,*,#183183,.F.); #240417=ORIENTED_EDGE('',*,*,#183184,.T.); #240418=ORIENTED_EDGE('',*,*,#183183,.T.); #240419=ORIENTED_EDGE('',*,*,#183185,.F.); #240420=ORIENTED_EDGE('',*,*,#183186,.F.); #240421=ORIENTED_EDGE('',*,*,#183187,.T.); #240422=ORIENTED_EDGE('',*,*,#183186,.T.); #240423=ORIENTED_EDGE('',*,*,#183188,.F.); #240424=ORIENTED_EDGE('',*,*,#183189,.F.); #240425=ORIENTED_EDGE('',*,*,#183190,.T.); #240426=ORIENTED_EDGE('',*,*,#183189,.T.); #240427=ORIENTED_EDGE('',*,*,#183191,.F.); #240428=ORIENTED_EDGE('',*,*,#183192,.F.); #240429=ORIENTED_EDGE('',*,*,#183193,.T.); #240430=ORIENTED_EDGE('',*,*,#183192,.T.); #240431=ORIENTED_EDGE('',*,*,#183194,.F.); #240432=ORIENTED_EDGE('',*,*,#183195,.F.); #240433=ORIENTED_EDGE('',*,*,#183196,.T.); #240434=ORIENTED_EDGE('',*,*,#183195,.T.); #240435=ORIENTED_EDGE('',*,*,#183197,.F.); #240436=ORIENTED_EDGE('',*,*,#183198,.F.); #240437=ORIENTED_EDGE('',*,*,#183199,.T.); #240438=ORIENTED_EDGE('',*,*,#183198,.T.); #240439=ORIENTED_EDGE('',*,*,#183200,.F.); #240440=ORIENTED_EDGE('',*,*,#183201,.F.); #240441=ORIENTED_EDGE('',*,*,#183202,.T.); #240442=ORIENTED_EDGE('',*,*,#183201,.T.); #240443=ORIENTED_EDGE('',*,*,#183203,.F.); #240444=ORIENTED_EDGE('',*,*,#183204,.F.); #240445=ORIENTED_EDGE('',*,*,#183205,.T.); #240446=ORIENTED_EDGE('',*,*,#183204,.T.); #240447=ORIENTED_EDGE('',*,*,#183206,.F.); #240448=ORIENTED_EDGE('',*,*,#183207,.F.); #240449=ORIENTED_EDGE('',*,*,#183208,.T.); #240450=ORIENTED_EDGE('',*,*,#183207,.T.); #240451=ORIENTED_EDGE('',*,*,#183209,.F.); #240452=ORIENTED_EDGE('',*,*,#183210,.F.); #240453=ORIENTED_EDGE('',*,*,#183211,.T.); #240454=ORIENTED_EDGE('',*,*,#183210,.T.); #240455=ORIENTED_EDGE('',*,*,#183212,.F.); #240456=ORIENTED_EDGE('',*,*,#183213,.F.); #240457=ORIENTED_EDGE('',*,*,#183214,.T.); #240458=ORIENTED_EDGE('',*,*,#183213,.T.); #240459=ORIENTED_EDGE('',*,*,#183215,.F.); #240460=ORIENTED_EDGE('',*,*,#183216,.F.); #240461=ORIENTED_EDGE('',*,*,#183217,.T.); #240462=ORIENTED_EDGE('',*,*,#183216,.T.); #240463=ORIENTED_EDGE('',*,*,#183218,.F.); #240464=ORIENTED_EDGE('',*,*,#183219,.F.); #240465=ORIENTED_EDGE('',*,*,#183220,.T.); #240466=ORIENTED_EDGE('',*,*,#183219,.T.); #240467=ORIENTED_EDGE('',*,*,#183221,.F.); #240468=ORIENTED_EDGE('',*,*,#183222,.F.); #240469=ORIENTED_EDGE('',*,*,#183223,.T.); #240470=ORIENTED_EDGE('',*,*,#183222,.T.); #240471=ORIENTED_EDGE('',*,*,#183224,.F.); #240472=ORIENTED_EDGE('',*,*,#183225,.F.); #240473=ORIENTED_EDGE('',*,*,#183226,.T.); #240474=ORIENTED_EDGE('',*,*,#183225,.T.); #240475=ORIENTED_EDGE('',*,*,#183227,.F.); #240476=ORIENTED_EDGE('',*,*,#183228,.F.); #240477=ORIENTED_EDGE('',*,*,#183229,.T.); #240478=ORIENTED_EDGE('',*,*,#183228,.T.); #240479=ORIENTED_EDGE('',*,*,#183230,.F.); #240480=ORIENTED_EDGE('',*,*,#183231,.F.); #240481=ORIENTED_EDGE('',*,*,#183232,.T.); #240482=ORIENTED_EDGE('',*,*,#183231,.T.); #240483=ORIENTED_EDGE('',*,*,#183233,.F.); #240484=ORIENTED_EDGE('',*,*,#183234,.F.); #240485=ORIENTED_EDGE('',*,*,#183235,.T.); #240486=ORIENTED_EDGE('',*,*,#183234,.T.); #240487=ORIENTED_EDGE('',*,*,#183236,.F.); #240488=ORIENTED_EDGE('',*,*,#183237,.F.); #240489=ORIENTED_EDGE('',*,*,#183238,.T.); #240490=ORIENTED_EDGE('',*,*,#183237,.T.); #240491=ORIENTED_EDGE('',*,*,#183239,.F.); #240492=ORIENTED_EDGE('',*,*,#183240,.F.); #240493=ORIENTED_EDGE('',*,*,#183241,.T.); #240494=ORIENTED_EDGE('',*,*,#183240,.T.); #240495=ORIENTED_EDGE('',*,*,#183242,.F.); #240496=ORIENTED_EDGE('',*,*,#183243,.F.); #240497=ORIENTED_EDGE('',*,*,#183244,.T.); #240498=ORIENTED_EDGE('',*,*,#183243,.T.); #240499=ORIENTED_EDGE('',*,*,#183245,.F.); #240500=ORIENTED_EDGE('',*,*,#183246,.F.); #240501=ORIENTED_EDGE('',*,*,#183247,.T.); #240502=ORIENTED_EDGE('',*,*,#183246,.T.); #240503=ORIENTED_EDGE('',*,*,#183248,.F.); #240504=ORIENTED_EDGE('',*,*,#183249,.F.); #240505=ORIENTED_EDGE('',*,*,#183250,.T.); #240506=ORIENTED_EDGE('',*,*,#183249,.T.); #240507=ORIENTED_EDGE('',*,*,#183251,.F.); #240508=ORIENTED_EDGE('',*,*,#183252,.F.); #240509=ORIENTED_EDGE('',*,*,#183253,.T.); #240510=ORIENTED_EDGE('',*,*,#183252,.T.); #240511=ORIENTED_EDGE('',*,*,#183254,.F.); #240512=ORIENTED_EDGE('',*,*,#183255,.F.); #240513=ORIENTED_EDGE('',*,*,#183256,.T.); #240514=ORIENTED_EDGE('',*,*,#183255,.T.); #240515=ORIENTED_EDGE('',*,*,#183257,.F.); #240516=ORIENTED_EDGE('',*,*,#183258,.F.); #240517=ORIENTED_EDGE('',*,*,#183259,.T.); #240518=ORIENTED_EDGE('',*,*,#183258,.T.); #240519=ORIENTED_EDGE('',*,*,#183260,.F.); #240520=ORIENTED_EDGE('',*,*,#183261,.F.); #240521=ORIENTED_EDGE('',*,*,#183262,.T.); #240522=ORIENTED_EDGE('',*,*,#183261,.T.); #240523=ORIENTED_EDGE('',*,*,#183263,.F.); #240524=ORIENTED_EDGE('',*,*,#183264,.F.); #240525=ORIENTED_EDGE('',*,*,#183265,.T.); #240526=ORIENTED_EDGE('',*,*,#183264,.T.); #240527=ORIENTED_EDGE('',*,*,#183266,.F.); #240528=ORIENTED_EDGE('',*,*,#183267,.F.); #240529=ORIENTED_EDGE('',*,*,#183268,.T.); #240530=ORIENTED_EDGE('',*,*,#183267,.T.); #240531=ORIENTED_EDGE('',*,*,#183269,.F.); #240532=ORIENTED_EDGE('',*,*,#183270,.F.); #240533=ORIENTED_EDGE('',*,*,#183271,.T.); #240534=ORIENTED_EDGE('',*,*,#183270,.T.); #240535=ORIENTED_EDGE('',*,*,#183272,.F.); #240536=ORIENTED_EDGE('',*,*,#183273,.F.); #240537=ORIENTED_EDGE('',*,*,#183274,.T.); #240538=ORIENTED_EDGE('',*,*,#183273,.T.); #240539=ORIENTED_EDGE('',*,*,#183275,.F.); #240540=ORIENTED_EDGE('',*,*,#183276,.F.); #240541=ORIENTED_EDGE('',*,*,#183277,.T.); #240542=ORIENTED_EDGE('',*,*,#183276,.T.); #240543=ORIENTED_EDGE('',*,*,#183278,.F.); #240544=ORIENTED_EDGE('',*,*,#183279,.F.); #240545=ORIENTED_EDGE('',*,*,#183280,.T.); #240546=ORIENTED_EDGE('',*,*,#183279,.T.); #240547=ORIENTED_EDGE('',*,*,#183281,.F.); #240548=ORIENTED_EDGE('',*,*,#183282,.F.); #240549=ORIENTED_EDGE('',*,*,#183283,.T.); #240550=ORIENTED_EDGE('',*,*,#183282,.T.); #240551=ORIENTED_EDGE('',*,*,#183284,.F.); #240552=ORIENTED_EDGE('',*,*,#183285,.F.); #240553=ORIENTED_EDGE('',*,*,#183286,.T.); #240554=ORIENTED_EDGE('',*,*,#183285,.T.); #240555=ORIENTED_EDGE('',*,*,#183287,.F.); #240556=ORIENTED_EDGE('',*,*,#183288,.F.); #240557=ORIENTED_EDGE('',*,*,#183289,.T.); #240558=ORIENTED_EDGE('',*,*,#183288,.T.); #240559=ORIENTED_EDGE('',*,*,#183290,.F.); #240560=ORIENTED_EDGE('',*,*,#183291,.F.); #240561=ORIENTED_EDGE('',*,*,#183292,.T.); #240562=ORIENTED_EDGE('',*,*,#183291,.T.); #240563=ORIENTED_EDGE('',*,*,#183293,.F.); #240564=ORIENTED_EDGE('',*,*,#183294,.F.); #240565=ORIENTED_EDGE('',*,*,#183295,.T.); #240566=ORIENTED_EDGE('',*,*,#183294,.T.); #240567=ORIENTED_EDGE('',*,*,#183296,.F.); #240568=ORIENTED_EDGE('',*,*,#183297,.F.); #240569=ORIENTED_EDGE('',*,*,#183298,.T.); #240570=ORIENTED_EDGE('',*,*,#183297,.T.); #240571=ORIENTED_EDGE('',*,*,#183299,.F.); #240572=ORIENTED_EDGE('',*,*,#183300,.F.); #240573=ORIENTED_EDGE('',*,*,#183301,.T.); #240574=ORIENTED_EDGE('',*,*,#183300,.T.); #240575=ORIENTED_EDGE('',*,*,#183302,.F.); #240576=ORIENTED_EDGE('',*,*,#183303,.F.); #240577=ORIENTED_EDGE('',*,*,#183304,.T.); #240578=ORIENTED_EDGE('',*,*,#183303,.T.); #240579=ORIENTED_EDGE('',*,*,#183305,.F.); #240580=ORIENTED_EDGE('',*,*,#183306,.F.); #240581=ORIENTED_EDGE('',*,*,#183307,.T.); #240582=ORIENTED_EDGE('',*,*,#183306,.T.); #240583=ORIENTED_EDGE('',*,*,#183308,.F.); #240584=ORIENTED_EDGE('',*,*,#183309,.F.); #240585=ORIENTED_EDGE('',*,*,#183310,.T.); #240586=ORIENTED_EDGE('',*,*,#183309,.T.); #240587=ORIENTED_EDGE('',*,*,#183311,.F.); #240588=ORIENTED_EDGE('',*,*,#183312,.F.); #240589=ORIENTED_EDGE('',*,*,#183313,.T.); #240590=ORIENTED_EDGE('',*,*,#183312,.T.); #240591=ORIENTED_EDGE('',*,*,#183314,.F.); #240592=ORIENTED_EDGE('',*,*,#183315,.F.); #240593=ORIENTED_EDGE('',*,*,#183316,.T.); #240594=ORIENTED_EDGE('',*,*,#183315,.T.); #240595=ORIENTED_EDGE('',*,*,#183317,.F.); #240596=ORIENTED_EDGE('',*,*,#183318,.F.); #240597=ORIENTED_EDGE('',*,*,#183319,.T.); #240598=ORIENTED_EDGE('',*,*,#183318,.T.); #240599=ORIENTED_EDGE('',*,*,#183320,.F.); #240600=ORIENTED_EDGE('',*,*,#183321,.F.); #240601=ORIENTED_EDGE('',*,*,#183322,.T.); #240602=ORIENTED_EDGE('',*,*,#183321,.T.); #240603=ORIENTED_EDGE('',*,*,#183323,.F.); #240604=ORIENTED_EDGE('',*,*,#183324,.F.); #240605=ORIENTED_EDGE('',*,*,#183325,.T.); #240606=ORIENTED_EDGE('',*,*,#183324,.T.); #240607=ORIENTED_EDGE('',*,*,#183326,.F.); #240608=ORIENTED_EDGE('',*,*,#183327,.F.); #240609=ORIENTED_EDGE('',*,*,#183328,.T.); #240610=ORIENTED_EDGE('',*,*,#183327,.T.); #240611=ORIENTED_EDGE('',*,*,#183329,.F.); #240612=ORIENTED_EDGE('',*,*,#183330,.F.); #240613=ORIENTED_EDGE('',*,*,#183331,.T.); #240614=ORIENTED_EDGE('',*,*,#183330,.T.); #240615=ORIENTED_EDGE('',*,*,#183332,.F.); #240616=ORIENTED_EDGE('',*,*,#183333,.F.); #240617=ORIENTED_EDGE('',*,*,#183334,.T.); #240618=ORIENTED_EDGE('',*,*,#183333,.T.); #240619=ORIENTED_EDGE('',*,*,#183335,.F.); #240620=ORIENTED_EDGE('',*,*,#183336,.F.); #240621=ORIENTED_EDGE('',*,*,#183337,.T.); #240622=ORIENTED_EDGE('',*,*,#183336,.T.); #240623=ORIENTED_EDGE('',*,*,#183338,.F.); #240624=ORIENTED_EDGE('',*,*,#183339,.F.); #240625=ORIENTED_EDGE('',*,*,#183340,.T.); #240626=ORIENTED_EDGE('',*,*,#183339,.T.); #240627=ORIENTED_EDGE('',*,*,#183341,.F.); #240628=ORIENTED_EDGE('',*,*,#183342,.F.); #240629=ORIENTED_EDGE('',*,*,#183343,.T.); #240630=ORIENTED_EDGE('',*,*,#183342,.T.); #240631=ORIENTED_EDGE('',*,*,#183344,.F.); #240632=ORIENTED_EDGE('',*,*,#183345,.F.); #240633=ORIENTED_EDGE('',*,*,#183346,.T.); #240634=ORIENTED_EDGE('',*,*,#183345,.T.); #240635=ORIENTED_EDGE('',*,*,#183347,.F.); #240636=ORIENTED_EDGE('',*,*,#183348,.F.); #240637=ORIENTED_EDGE('',*,*,#183349,.T.); #240638=ORIENTED_EDGE('',*,*,#183348,.T.); #240639=ORIENTED_EDGE('',*,*,#183350,.F.); #240640=ORIENTED_EDGE('',*,*,#183351,.F.); #240641=ORIENTED_EDGE('',*,*,#183352,.T.); #240642=ORIENTED_EDGE('',*,*,#183351,.T.); #240643=ORIENTED_EDGE('',*,*,#183353,.F.); #240644=ORIENTED_EDGE('',*,*,#183354,.F.); #240645=ORIENTED_EDGE('',*,*,#183355,.T.); #240646=ORIENTED_EDGE('',*,*,#183354,.T.); #240647=ORIENTED_EDGE('',*,*,#183356,.F.); #240648=ORIENTED_EDGE('',*,*,#183357,.F.); #240649=ORIENTED_EDGE('',*,*,#183358,.T.); #240650=ORIENTED_EDGE('',*,*,#183357,.T.); #240651=ORIENTED_EDGE('',*,*,#183359,.F.); #240652=ORIENTED_EDGE('',*,*,#183360,.F.); #240653=ORIENTED_EDGE('',*,*,#183361,.T.); #240654=ORIENTED_EDGE('',*,*,#183360,.T.); #240655=ORIENTED_EDGE('',*,*,#183362,.F.); #240656=ORIENTED_EDGE('',*,*,#183363,.F.); #240657=ORIENTED_EDGE('',*,*,#183364,.T.); #240658=ORIENTED_EDGE('',*,*,#183363,.T.); #240659=ORIENTED_EDGE('',*,*,#183365,.F.); #240660=ORIENTED_EDGE('',*,*,#183366,.F.); #240661=ORIENTED_EDGE('',*,*,#183367,.T.); #240662=ORIENTED_EDGE('',*,*,#183366,.T.); #240663=ORIENTED_EDGE('',*,*,#183368,.F.); #240664=ORIENTED_EDGE('',*,*,#183369,.F.); #240665=ORIENTED_EDGE('',*,*,#183370,.T.); #240666=ORIENTED_EDGE('',*,*,#183369,.T.); #240667=ORIENTED_EDGE('',*,*,#183371,.F.); #240668=ORIENTED_EDGE('',*,*,#183372,.F.); #240669=ORIENTED_EDGE('',*,*,#183373,.T.); #240670=ORIENTED_EDGE('',*,*,#183372,.T.); #240671=ORIENTED_EDGE('',*,*,#183374,.F.); #240672=ORIENTED_EDGE('',*,*,#183375,.F.); #240673=ORIENTED_EDGE('',*,*,#183376,.T.); #240674=ORIENTED_EDGE('',*,*,#183375,.T.); #240675=ORIENTED_EDGE('',*,*,#183377,.F.); #240676=ORIENTED_EDGE('',*,*,#183378,.F.); #240677=ORIENTED_EDGE('',*,*,#183379,.T.); #240678=ORIENTED_EDGE('',*,*,#183378,.T.); #240679=ORIENTED_EDGE('',*,*,#183380,.F.); #240680=ORIENTED_EDGE('',*,*,#183381,.F.); #240681=ORIENTED_EDGE('',*,*,#183382,.T.); #240682=ORIENTED_EDGE('',*,*,#183381,.T.); #240683=ORIENTED_EDGE('',*,*,#183383,.F.); #240684=ORIENTED_EDGE('',*,*,#183384,.F.); #240685=ORIENTED_EDGE('',*,*,#183385,.T.); #240686=ORIENTED_EDGE('',*,*,#183384,.T.); #240687=ORIENTED_EDGE('',*,*,#183386,.F.); #240688=ORIENTED_EDGE('',*,*,#183387,.F.); #240689=ORIENTED_EDGE('',*,*,#183388,.T.); #240690=ORIENTED_EDGE('',*,*,#183387,.T.); #240691=ORIENTED_EDGE('',*,*,#183389,.F.); #240692=ORIENTED_EDGE('',*,*,#183390,.F.); #240693=ORIENTED_EDGE('',*,*,#183391,.T.); #240694=ORIENTED_EDGE('',*,*,#183390,.T.); #240695=ORIENTED_EDGE('',*,*,#183392,.F.); #240696=ORIENTED_EDGE('',*,*,#183393,.F.); #240697=ORIENTED_EDGE('',*,*,#183394,.T.); #240698=ORIENTED_EDGE('',*,*,#183393,.T.); #240699=ORIENTED_EDGE('',*,*,#183395,.F.); #240700=ORIENTED_EDGE('',*,*,#183396,.F.); #240701=ORIENTED_EDGE('',*,*,#183397,.T.); #240702=ORIENTED_EDGE('',*,*,#183396,.T.); #240703=ORIENTED_EDGE('',*,*,#183398,.F.); #240704=ORIENTED_EDGE('',*,*,#183399,.F.); #240705=ORIENTED_EDGE('',*,*,#183400,.T.); #240706=ORIENTED_EDGE('',*,*,#183399,.T.); #240707=ORIENTED_EDGE('',*,*,#183401,.F.); #240708=ORIENTED_EDGE('',*,*,#183402,.F.); #240709=ORIENTED_EDGE('',*,*,#183403,.T.); #240710=ORIENTED_EDGE('',*,*,#183402,.T.); #240711=ORIENTED_EDGE('',*,*,#183404,.F.); #240712=ORIENTED_EDGE('',*,*,#183405,.F.); #240713=ORIENTED_EDGE('',*,*,#183406,.T.); #240714=ORIENTED_EDGE('',*,*,#183405,.T.); #240715=ORIENTED_EDGE('',*,*,#183407,.F.); #240716=ORIENTED_EDGE('',*,*,#183408,.F.); #240717=ORIENTED_EDGE('',*,*,#183409,.T.); #240718=ORIENTED_EDGE('',*,*,#183408,.T.); #240719=ORIENTED_EDGE('',*,*,#183410,.F.); #240720=ORIENTED_EDGE('',*,*,#183411,.F.); #240721=ORIENTED_EDGE('',*,*,#183412,.T.); #240722=ORIENTED_EDGE('',*,*,#183411,.T.); #240723=ORIENTED_EDGE('',*,*,#183413,.F.); #240724=ORIENTED_EDGE('',*,*,#183414,.F.); #240725=ORIENTED_EDGE('',*,*,#183415,.T.); #240726=ORIENTED_EDGE('',*,*,#183414,.T.); #240727=ORIENTED_EDGE('',*,*,#183416,.F.); #240728=ORIENTED_EDGE('',*,*,#183417,.F.); #240729=ORIENTED_EDGE('',*,*,#183418,.T.); #240730=ORIENTED_EDGE('',*,*,#183417,.T.); #240731=ORIENTED_EDGE('',*,*,#183419,.F.); #240732=ORIENTED_EDGE('',*,*,#183420,.F.); #240733=ORIENTED_EDGE('',*,*,#183421,.T.); #240734=ORIENTED_EDGE('',*,*,#183420,.T.); #240735=ORIENTED_EDGE('',*,*,#183422,.F.); #240736=ORIENTED_EDGE('',*,*,#183423,.F.); #240737=ORIENTED_EDGE('',*,*,#183424,.T.); #240738=ORIENTED_EDGE('',*,*,#183423,.T.); #240739=ORIENTED_EDGE('',*,*,#183425,.F.); #240740=ORIENTED_EDGE('',*,*,#183426,.F.); #240741=ORIENTED_EDGE('',*,*,#183427,.T.); #240742=ORIENTED_EDGE('',*,*,#183426,.T.); #240743=ORIENTED_EDGE('',*,*,#183428,.F.); #240744=ORIENTED_EDGE('',*,*,#183429,.F.); #240745=ORIENTED_EDGE('',*,*,#183430,.T.); #240746=ORIENTED_EDGE('',*,*,#183429,.T.); #240747=ORIENTED_EDGE('',*,*,#183431,.F.); #240748=ORIENTED_EDGE('',*,*,#183432,.F.); #240749=ORIENTED_EDGE('',*,*,#183433,.T.); #240750=ORIENTED_EDGE('',*,*,#183432,.T.); #240751=ORIENTED_EDGE('',*,*,#183434,.F.); #240752=ORIENTED_EDGE('',*,*,#183435,.F.); #240753=ORIENTED_EDGE('',*,*,#183436,.T.); #240754=ORIENTED_EDGE('',*,*,#183435,.T.); #240755=ORIENTED_EDGE('',*,*,#183437,.F.); #240756=ORIENTED_EDGE('',*,*,#183438,.F.); #240757=ORIENTED_EDGE('',*,*,#183439,.T.); #240758=ORIENTED_EDGE('',*,*,#183438,.T.); #240759=ORIENTED_EDGE('',*,*,#183440,.F.); #240760=ORIENTED_EDGE('',*,*,#183441,.F.); #240761=ORIENTED_EDGE('',*,*,#183442,.T.); #240762=ORIENTED_EDGE('',*,*,#183441,.T.); #240763=ORIENTED_EDGE('',*,*,#183443,.F.); #240764=ORIENTED_EDGE('',*,*,#183444,.F.); #240765=ORIENTED_EDGE('',*,*,#183445,.T.); #240766=ORIENTED_EDGE('',*,*,#183444,.T.); #240767=ORIENTED_EDGE('',*,*,#183446,.F.); #240768=ORIENTED_EDGE('',*,*,#183447,.F.); #240769=ORIENTED_EDGE('',*,*,#183448,.T.); #240770=ORIENTED_EDGE('',*,*,#183447,.T.); #240771=ORIENTED_EDGE('',*,*,#183449,.F.); #240772=ORIENTED_EDGE('',*,*,#183450,.F.); #240773=ORIENTED_EDGE('',*,*,#183451,.T.); #240774=ORIENTED_EDGE('',*,*,#183450,.T.); #240775=ORIENTED_EDGE('',*,*,#183452,.F.); #240776=ORIENTED_EDGE('',*,*,#183453,.F.); #240777=ORIENTED_EDGE('',*,*,#183454,.T.); #240778=ORIENTED_EDGE('',*,*,#183453,.T.); #240779=ORIENTED_EDGE('',*,*,#183455,.F.); #240780=ORIENTED_EDGE('',*,*,#183456,.F.); #240781=ORIENTED_EDGE('',*,*,#183457,.T.); #240782=ORIENTED_EDGE('',*,*,#183456,.T.); #240783=ORIENTED_EDGE('',*,*,#183458,.F.); #240784=ORIENTED_EDGE('',*,*,#183459,.F.); #240785=ORIENTED_EDGE('',*,*,#183460,.T.); #240786=ORIENTED_EDGE('',*,*,#183459,.T.); #240787=ORIENTED_EDGE('',*,*,#183461,.F.); #240788=ORIENTED_EDGE('',*,*,#183462,.F.); #240789=ORIENTED_EDGE('',*,*,#183463,.T.); #240790=ORIENTED_EDGE('',*,*,#183462,.T.); #240791=ORIENTED_EDGE('',*,*,#183464,.F.); #240792=ORIENTED_EDGE('',*,*,#183465,.F.); #240793=ORIENTED_EDGE('',*,*,#183466,.T.); #240794=ORIENTED_EDGE('',*,*,#183465,.T.); #240795=ORIENTED_EDGE('',*,*,#183467,.F.); #240796=ORIENTED_EDGE('',*,*,#183468,.F.); #240797=ORIENTED_EDGE('',*,*,#183469,.T.); #240798=ORIENTED_EDGE('',*,*,#183468,.T.); #240799=ORIENTED_EDGE('',*,*,#183470,.F.); #240800=ORIENTED_EDGE('',*,*,#183471,.F.); #240801=ORIENTED_EDGE('',*,*,#183472,.T.); #240802=ORIENTED_EDGE('',*,*,#183471,.T.); #240803=ORIENTED_EDGE('',*,*,#183473,.F.); #240804=ORIENTED_EDGE('',*,*,#183474,.F.); #240805=ORIENTED_EDGE('',*,*,#183475,.T.); #240806=ORIENTED_EDGE('',*,*,#183474,.T.); #240807=ORIENTED_EDGE('',*,*,#183476,.F.); #240808=ORIENTED_EDGE('',*,*,#183477,.F.); #240809=ORIENTED_EDGE('',*,*,#183478,.T.); #240810=ORIENTED_EDGE('',*,*,#183477,.T.); #240811=ORIENTED_EDGE('',*,*,#183479,.F.); #240812=ORIENTED_EDGE('',*,*,#183480,.F.); #240813=ORIENTED_EDGE('',*,*,#183481,.T.); #240814=ORIENTED_EDGE('',*,*,#183480,.T.); #240815=ORIENTED_EDGE('',*,*,#183482,.F.); #240816=ORIENTED_EDGE('',*,*,#183483,.F.); #240817=ORIENTED_EDGE('',*,*,#183484,.T.); #240818=ORIENTED_EDGE('',*,*,#183483,.T.); #240819=ORIENTED_EDGE('',*,*,#183485,.F.); #240820=ORIENTED_EDGE('',*,*,#183486,.F.); #240821=ORIENTED_EDGE('',*,*,#183487,.T.); #240822=ORIENTED_EDGE('',*,*,#183486,.T.); #240823=ORIENTED_EDGE('',*,*,#183488,.F.); #240824=ORIENTED_EDGE('',*,*,#183489,.F.); #240825=ORIENTED_EDGE('',*,*,#183490,.T.); #240826=ORIENTED_EDGE('',*,*,#183489,.T.); #240827=ORIENTED_EDGE('',*,*,#183491,.F.); #240828=ORIENTED_EDGE('',*,*,#183492,.F.); #240829=ORIENTED_EDGE('',*,*,#183493,.T.); #240830=ORIENTED_EDGE('',*,*,#183492,.T.); #240831=ORIENTED_EDGE('',*,*,#183494,.F.); #240832=ORIENTED_EDGE('',*,*,#183495,.F.); #240833=ORIENTED_EDGE('',*,*,#183496,.T.); #240834=ORIENTED_EDGE('',*,*,#183495,.T.); #240835=ORIENTED_EDGE('',*,*,#183497,.F.); #240836=ORIENTED_EDGE('',*,*,#183498,.F.); #240837=ORIENTED_EDGE('',*,*,#183499,.T.); #240838=ORIENTED_EDGE('',*,*,#183498,.T.); #240839=ORIENTED_EDGE('',*,*,#183500,.F.); #240840=ORIENTED_EDGE('',*,*,#183501,.F.); #240841=ORIENTED_EDGE('',*,*,#183502,.T.); #240842=ORIENTED_EDGE('',*,*,#183501,.T.); #240843=ORIENTED_EDGE('',*,*,#183503,.F.); #240844=ORIENTED_EDGE('',*,*,#183504,.F.); #240845=ORIENTED_EDGE('',*,*,#183505,.T.); #240846=ORIENTED_EDGE('',*,*,#183504,.T.); #240847=ORIENTED_EDGE('',*,*,#183506,.F.); #240848=ORIENTED_EDGE('',*,*,#182620,.F.); #240849=ORIENTED_EDGE('',*,*,#183506,.T.); #240850=ORIENTED_EDGE('',*,*,#183503,.T.); #240851=ORIENTED_EDGE('',*,*,#183500,.T.); #240852=ORIENTED_EDGE('',*,*,#183497,.T.); #240853=ORIENTED_EDGE('',*,*,#183494,.T.); #240854=ORIENTED_EDGE('',*,*,#183491,.T.); #240855=ORIENTED_EDGE('',*,*,#183488,.T.); #240856=ORIENTED_EDGE('',*,*,#183485,.T.); #240857=ORIENTED_EDGE('',*,*,#183482,.T.); #240858=ORIENTED_EDGE('',*,*,#183479,.T.); #240859=ORIENTED_EDGE('',*,*,#183476,.T.); #240860=ORIENTED_EDGE('',*,*,#183473,.T.); #240861=ORIENTED_EDGE('',*,*,#183470,.T.); #240862=ORIENTED_EDGE('',*,*,#183467,.T.); #240863=ORIENTED_EDGE('',*,*,#183464,.T.); #240864=ORIENTED_EDGE('',*,*,#183461,.T.); #240865=ORIENTED_EDGE('',*,*,#183458,.T.); #240866=ORIENTED_EDGE('',*,*,#183455,.T.); #240867=ORIENTED_EDGE('',*,*,#183452,.T.); #240868=ORIENTED_EDGE('',*,*,#183449,.T.); #240869=ORIENTED_EDGE('',*,*,#183446,.T.); #240870=ORIENTED_EDGE('',*,*,#183443,.T.); #240871=ORIENTED_EDGE('',*,*,#183440,.T.); #240872=ORIENTED_EDGE('',*,*,#183437,.T.); #240873=ORIENTED_EDGE('',*,*,#183434,.T.); #240874=ORIENTED_EDGE('',*,*,#183431,.T.); #240875=ORIENTED_EDGE('',*,*,#183428,.T.); #240876=ORIENTED_EDGE('',*,*,#183425,.T.); #240877=ORIENTED_EDGE('',*,*,#183422,.T.); #240878=ORIENTED_EDGE('',*,*,#183419,.T.); #240879=ORIENTED_EDGE('',*,*,#183416,.T.); #240880=ORIENTED_EDGE('',*,*,#183413,.T.); #240881=ORIENTED_EDGE('',*,*,#183410,.T.); #240882=ORIENTED_EDGE('',*,*,#183407,.T.); #240883=ORIENTED_EDGE('',*,*,#183404,.T.); #240884=ORIENTED_EDGE('',*,*,#183401,.T.); #240885=ORIENTED_EDGE('',*,*,#183398,.T.); #240886=ORIENTED_EDGE('',*,*,#183395,.T.); #240887=ORIENTED_EDGE('',*,*,#183392,.T.); #240888=ORIENTED_EDGE('',*,*,#183389,.T.); #240889=ORIENTED_EDGE('',*,*,#183386,.T.); #240890=ORIENTED_EDGE('',*,*,#183383,.T.); #240891=ORIENTED_EDGE('',*,*,#183380,.T.); #240892=ORIENTED_EDGE('',*,*,#183377,.T.); #240893=ORIENTED_EDGE('',*,*,#183374,.T.); #240894=ORIENTED_EDGE('',*,*,#183371,.T.); #240895=ORIENTED_EDGE('',*,*,#183368,.T.); #240896=ORIENTED_EDGE('',*,*,#183365,.T.); #240897=ORIENTED_EDGE('',*,*,#183362,.T.); #240898=ORIENTED_EDGE('',*,*,#183359,.T.); #240899=ORIENTED_EDGE('',*,*,#183356,.T.); #240900=ORIENTED_EDGE('',*,*,#183353,.T.); #240901=ORIENTED_EDGE('',*,*,#183350,.T.); #240902=ORIENTED_EDGE('',*,*,#183347,.T.); #240903=ORIENTED_EDGE('',*,*,#183344,.T.); #240904=ORIENTED_EDGE('',*,*,#183341,.T.); #240905=ORIENTED_EDGE('',*,*,#183338,.T.); #240906=ORIENTED_EDGE('',*,*,#183335,.T.); #240907=ORIENTED_EDGE('',*,*,#183332,.T.); #240908=ORIENTED_EDGE('',*,*,#183329,.T.); #240909=ORIENTED_EDGE('',*,*,#183326,.T.); #240910=ORIENTED_EDGE('',*,*,#183323,.T.); #240911=ORIENTED_EDGE('',*,*,#183320,.T.); #240912=ORIENTED_EDGE('',*,*,#183317,.T.); #240913=ORIENTED_EDGE('',*,*,#183314,.T.); #240914=ORIENTED_EDGE('',*,*,#183311,.T.); #240915=ORIENTED_EDGE('',*,*,#183308,.T.); #240916=ORIENTED_EDGE('',*,*,#183305,.T.); #240917=ORIENTED_EDGE('',*,*,#183302,.T.); #240918=ORIENTED_EDGE('',*,*,#183299,.T.); #240919=ORIENTED_EDGE('',*,*,#183296,.T.); #240920=ORIENTED_EDGE('',*,*,#183293,.T.); #240921=ORIENTED_EDGE('',*,*,#183290,.T.); #240922=ORIENTED_EDGE('',*,*,#183287,.T.); #240923=ORIENTED_EDGE('',*,*,#183284,.T.); #240924=ORIENTED_EDGE('',*,*,#183281,.T.); #240925=ORIENTED_EDGE('',*,*,#183278,.T.); #240926=ORIENTED_EDGE('',*,*,#183275,.T.); #240927=ORIENTED_EDGE('',*,*,#183272,.T.); #240928=ORIENTED_EDGE('',*,*,#183269,.T.); #240929=ORIENTED_EDGE('',*,*,#183266,.T.); #240930=ORIENTED_EDGE('',*,*,#183263,.T.); #240931=ORIENTED_EDGE('',*,*,#183260,.T.); #240932=ORIENTED_EDGE('',*,*,#183257,.T.); #240933=ORIENTED_EDGE('',*,*,#183254,.T.); #240934=ORIENTED_EDGE('',*,*,#183251,.T.); #240935=ORIENTED_EDGE('',*,*,#183248,.T.); #240936=ORIENTED_EDGE('',*,*,#183245,.T.); #240937=ORIENTED_EDGE('',*,*,#183242,.T.); #240938=ORIENTED_EDGE('',*,*,#183239,.T.); #240939=ORIENTED_EDGE('',*,*,#183236,.T.); #240940=ORIENTED_EDGE('',*,*,#183233,.T.); #240941=ORIENTED_EDGE('',*,*,#183230,.T.); #240942=ORIENTED_EDGE('',*,*,#183227,.T.); #240943=ORIENTED_EDGE('',*,*,#183224,.T.); #240944=ORIENTED_EDGE('',*,*,#183221,.T.); #240945=ORIENTED_EDGE('',*,*,#183218,.T.); #240946=ORIENTED_EDGE('',*,*,#183215,.T.); #240947=ORIENTED_EDGE('',*,*,#183212,.T.); #240948=ORIENTED_EDGE('',*,*,#183209,.T.); #240949=ORIENTED_EDGE('',*,*,#183206,.T.); #240950=ORIENTED_EDGE('',*,*,#183203,.T.); #240951=ORIENTED_EDGE('',*,*,#183200,.T.); #240952=ORIENTED_EDGE('',*,*,#183197,.T.); #240953=ORIENTED_EDGE('',*,*,#183194,.T.); #240954=ORIENTED_EDGE('',*,*,#183191,.T.); #240955=ORIENTED_EDGE('',*,*,#183188,.T.); #240956=ORIENTED_EDGE('',*,*,#183185,.T.); #240957=ORIENTED_EDGE('',*,*,#183182,.T.); #240958=ORIENTED_EDGE('',*,*,#183179,.T.); #240959=ORIENTED_EDGE('',*,*,#183176,.T.); #240960=ORIENTED_EDGE('',*,*,#183173,.T.); #240961=ORIENTED_EDGE('',*,*,#183170,.T.); #240962=ORIENTED_EDGE('',*,*,#183167,.T.); #240963=ORIENTED_EDGE('',*,*,#183164,.T.); #240964=ORIENTED_EDGE('',*,*,#183161,.T.); #240965=ORIENTED_EDGE('',*,*,#183158,.T.); #240966=ORIENTED_EDGE('',*,*,#183155,.T.); #240967=ORIENTED_EDGE('',*,*,#183152,.T.); #240968=ORIENTED_EDGE('',*,*,#183149,.T.); #240969=ORIENTED_EDGE('',*,*,#183146,.T.); #240970=ORIENTED_EDGE('',*,*,#183143,.T.); #240971=ORIENTED_EDGE('',*,*,#183140,.T.); #240972=ORIENTED_EDGE('',*,*,#183137,.T.); #240973=ORIENTED_EDGE('',*,*,#183134,.T.); #240974=ORIENTED_EDGE('',*,*,#183131,.T.); #240975=ORIENTED_EDGE('',*,*,#183128,.T.); #240976=ORIENTED_EDGE('',*,*,#183125,.T.); #240977=ORIENTED_EDGE('',*,*,#183122,.T.); #240978=ORIENTED_EDGE('',*,*,#183119,.T.); #240979=ORIENTED_EDGE('',*,*,#183116,.T.); #240980=ORIENTED_EDGE('',*,*,#183113,.T.); #240981=ORIENTED_EDGE('',*,*,#183110,.T.); #240982=ORIENTED_EDGE('',*,*,#183107,.T.); #240983=ORIENTED_EDGE('',*,*,#183104,.T.); #240984=ORIENTED_EDGE('',*,*,#183101,.T.); #240985=ORIENTED_EDGE('',*,*,#183098,.T.); #240986=ORIENTED_EDGE('',*,*,#183095,.T.); #240987=ORIENTED_EDGE('',*,*,#183092,.T.); #240988=ORIENTED_EDGE('',*,*,#183089,.T.); #240989=ORIENTED_EDGE('',*,*,#183086,.T.); #240990=ORIENTED_EDGE('',*,*,#183083,.T.); #240991=ORIENTED_EDGE('',*,*,#183080,.T.); #240992=ORIENTED_EDGE('',*,*,#183077,.T.); #240993=ORIENTED_EDGE('',*,*,#183074,.T.); #240994=ORIENTED_EDGE('',*,*,#183071,.T.); #240995=ORIENTED_EDGE('',*,*,#183068,.T.); #240996=ORIENTED_EDGE('',*,*,#183065,.T.); #240997=ORIENTED_EDGE('',*,*,#183062,.T.); #240998=ORIENTED_EDGE('',*,*,#183059,.T.); #240999=ORIENTED_EDGE('',*,*,#183056,.T.); #241000=ORIENTED_EDGE('',*,*,#183053,.T.); #241001=ORIENTED_EDGE('',*,*,#183050,.T.); #241002=ORIENTED_EDGE('',*,*,#183047,.T.); #241003=ORIENTED_EDGE('',*,*,#183044,.T.); #241004=ORIENTED_EDGE('',*,*,#183041,.T.); #241005=ORIENTED_EDGE('',*,*,#183038,.T.); #241006=ORIENTED_EDGE('',*,*,#183035,.T.); #241007=ORIENTED_EDGE('',*,*,#183032,.T.); #241008=ORIENTED_EDGE('',*,*,#183029,.T.); #241009=ORIENTED_EDGE('',*,*,#183026,.T.); #241010=ORIENTED_EDGE('',*,*,#183023,.T.); #241011=ORIENTED_EDGE('',*,*,#183020,.T.); #241012=ORIENTED_EDGE('',*,*,#183017,.T.); #241013=ORIENTED_EDGE('',*,*,#183014,.T.); #241014=ORIENTED_EDGE('',*,*,#183011,.T.); #241015=ORIENTED_EDGE('',*,*,#183008,.T.); #241016=ORIENTED_EDGE('',*,*,#183005,.T.); #241017=ORIENTED_EDGE('',*,*,#183002,.T.); #241018=ORIENTED_EDGE('',*,*,#182999,.T.); #241019=ORIENTED_EDGE('',*,*,#182996,.T.); #241020=ORIENTED_EDGE('',*,*,#182993,.T.); #241021=ORIENTED_EDGE('',*,*,#182990,.T.); #241022=ORIENTED_EDGE('',*,*,#182987,.T.); #241023=ORIENTED_EDGE('',*,*,#182984,.T.); #241024=ORIENTED_EDGE('',*,*,#182981,.T.); #241025=ORIENTED_EDGE('',*,*,#182978,.T.); #241026=ORIENTED_EDGE('',*,*,#182975,.T.); #241027=ORIENTED_EDGE('',*,*,#182972,.T.); #241028=ORIENTED_EDGE('',*,*,#182969,.T.); #241029=ORIENTED_EDGE('',*,*,#182966,.T.); #241030=ORIENTED_EDGE('',*,*,#182963,.T.); #241031=ORIENTED_EDGE('',*,*,#182960,.T.); #241032=ORIENTED_EDGE('',*,*,#182957,.T.); #241033=ORIENTED_EDGE('',*,*,#182954,.T.); #241034=ORIENTED_EDGE('',*,*,#182951,.T.); #241035=ORIENTED_EDGE('',*,*,#182948,.T.); #241036=ORIENTED_EDGE('',*,*,#182945,.T.); #241037=ORIENTED_EDGE('',*,*,#182942,.T.); #241038=ORIENTED_EDGE('',*,*,#182939,.T.); #241039=ORIENTED_EDGE('',*,*,#182936,.T.); #241040=ORIENTED_EDGE('',*,*,#182933,.T.); #241041=ORIENTED_EDGE('',*,*,#182930,.T.); #241042=ORIENTED_EDGE('',*,*,#182927,.T.); #241043=ORIENTED_EDGE('',*,*,#182924,.T.); #241044=ORIENTED_EDGE('',*,*,#182921,.T.); #241045=ORIENTED_EDGE('',*,*,#182918,.T.); #241046=ORIENTED_EDGE('',*,*,#182915,.T.); #241047=ORIENTED_EDGE('',*,*,#182912,.T.); #241048=ORIENTED_EDGE('',*,*,#182909,.T.); #241049=ORIENTED_EDGE('',*,*,#182906,.T.); #241050=ORIENTED_EDGE('',*,*,#182903,.T.); #241051=ORIENTED_EDGE('',*,*,#182900,.T.); #241052=ORIENTED_EDGE('',*,*,#182897,.T.); #241053=ORIENTED_EDGE('',*,*,#182894,.T.); #241054=ORIENTED_EDGE('',*,*,#182891,.T.); #241055=ORIENTED_EDGE('',*,*,#182888,.T.); #241056=ORIENTED_EDGE('',*,*,#182885,.T.); #241057=ORIENTED_EDGE('',*,*,#182882,.T.); #241058=ORIENTED_EDGE('',*,*,#182879,.T.); #241059=ORIENTED_EDGE('',*,*,#182876,.T.); #241060=ORIENTED_EDGE('',*,*,#182873,.T.); #241061=ORIENTED_EDGE('',*,*,#182870,.T.); #241062=ORIENTED_EDGE('',*,*,#182867,.T.); #241063=ORIENTED_EDGE('',*,*,#182864,.T.); #241064=ORIENTED_EDGE('',*,*,#182861,.T.); #241065=ORIENTED_EDGE('',*,*,#182858,.T.); #241066=ORIENTED_EDGE('',*,*,#182855,.T.); #241067=ORIENTED_EDGE('',*,*,#182852,.T.); #241068=ORIENTED_EDGE('',*,*,#182849,.T.); #241069=ORIENTED_EDGE('',*,*,#182846,.T.); #241070=ORIENTED_EDGE('',*,*,#182843,.T.); #241071=ORIENTED_EDGE('',*,*,#182840,.T.); #241072=ORIENTED_EDGE('',*,*,#182837,.T.); #241073=ORIENTED_EDGE('',*,*,#182834,.T.); #241074=ORIENTED_EDGE('',*,*,#182831,.T.); #241075=ORIENTED_EDGE('',*,*,#182828,.T.); #241076=ORIENTED_EDGE('',*,*,#182825,.T.); #241077=ORIENTED_EDGE('',*,*,#182822,.T.); #241078=ORIENTED_EDGE('',*,*,#182819,.T.); #241079=ORIENTED_EDGE('',*,*,#182816,.T.); #241080=ORIENTED_EDGE('',*,*,#182813,.T.); #241081=ORIENTED_EDGE('',*,*,#182810,.T.); #241082=ORIENTED_EDGE('',*,*,#182807,.T.); #241083=ORIENTED_EDGE('',*,*,#182804,.T.); #241084=ORIENTED_EDGE('',*,*,#182801,.T.); #241085=ORIENTED_EDGE('',*,*,#182798,.T.); #241086=ORIENTED_EDGE('',*,*,#182795,.T.); #241087=ORIENTED_EDGE('',*,*,#182792,.T.); #241088=ORIENTED_EDGE('',*,*,#182789,.T.); #241089=ORIENTED_EDGE('',*,*,#182786,.T.); #241090=ORIENTED_EDGE('',*,*,#182783,.T.); #241091=ORIENTED_EDGE('',*,*,#182780,.T.); #241092=ORIENTED_EDGE('',*,*,#182777,.T.); #241093=ORIENTED_EDGE('',*,*,#182774,.T.); #241094=ORIENTED_EDGE('',*,*,#182771,.T.); #241095=ORIENTED_EDGE('',*,*,#182768,.T.); #241096=ORIENTED_EDGE('',*,*,#182765,.T.); #241097=ORIENTED_EDGE('',*,*,#182762,.T.); #241098=ORIENTED_EDGE('',*,*,#182759,.T.); #241099=ORIENTED_EDGE('',*,*,#182756,.T.); #241100=ORIENTED_EDGE('',*,*,#182753,.T.); #241101=ORIENTED_EDGE('',*,*,#182750,.T.); #241102=ORIENTED_EDGE('',*,*,#182747,.T.); #241103=ORIENTED_EDGE('',*,*,#182744,.T.); #241104=ORIENTED_EDGE('',*,*,#182741,.T.); #241105=ORIENTED_EDGE('',*,*,#182738,.T.); #241106=ORIENTED_EDGE('',*,*,#182735,.T.); #241107=ORIENTED_EDGE('',*,*,#182732,.T.); #241108=ORIENTED_EDGE('',*,*,#182729,.T.); #241109=ORIENTED_EDGE('',*,*,#182726,.T.); #241110=ORIENTED_EDGE('',*,*,#182723,.T.); #241111=ORIENTED_EDGE('',*,*,#182720,.T.); #241112=ORIENTED_EDGE('',*,*,#182717,.T.); #241113=ORIENTED_EDGE('',*,*,#182714,.T.); #241114=ORIENTED_EDGE('',*,*,#182711,.T.); #241115=ORIENTED_EDGE('',*,*,#182708,.T.); #241116=ORIENTED_EDGE('',*,*,#182705,.T.); #241117=ORIENTED_EDGE('',*,*,#182702,.T.); #241118=ORIENTED_EDGE('',*,*,#182699,.T.); #241119=ORIENTED_EDGE('',*,*,#182696,.T.); #241120=ORIENTED_EDGE('',*,*,#182693,.T.); #241121=ORIENTED_EDGE('',*,*,#182690,.T.); #241122=ORIENTED_EDGE('',*,*,#182687,.T.); #241123=ORIENTED_EDGE('',*,*,#182684,.T.); #241124=ORIENTED_EDGE('',*,*,#182681,.T.); #241125=ORIENTED_EDGE('',*,*,#182678,.T.); #241126=ORIENTED_EDGE('',*,*,#182675,.T.); #241127=ORIENTED_EDGE('',*,*,#182672,.T.); #241128=ORIENTED_EDGE('',*,*,#182669,.T.); #241129=ORIENTED_EDGE('',*,*,#182666,.T.); #241130=ORIENTED_EDGE('',*,*,#182663,.T.); #241131=ORIENTED_EDGE('',*,*,#182660,.T.); #241132=ORIENTED_EDGE('',*,*,#182657,.T.); #241133=ORIENTED_EDGE('',*,*,#182654,.T.); #241134=ORIENTED_EDGE('',*,*,#182651,.T.); #241135=ORIENTED_EDGE('',*,*,#182648,.T.); #241136=ORIENTED_EDGE('',*,*,#182645,.T.); #241137=ORIENTED_EDGE('',*,*,#182642,.T.); #241138=ORIENTED_EDGE('',*,*,#182639,.T.); #241139=ORIENTED_EDGE('',*,*,#182636,.T.); #241140=ORIENTED_EDGE('',*,*,#182633,.T.); #241141=ORIENTED_EDGE('',*,*,#182630,.T.); #241142=ORIENTED_EDGE('',*,*,#182627,.T.); #241143=ORIENTED_EDGE('',*,*,#182624,.T.); #241144=ORIENTED_EDGE('',*,*,#182621,.T.); #241145=ORIENTED_EDGE('',*,*,#182474,.T.); #241146=ORIENTED_EDGE('',*,*,#182477,.T.); #241147=ORIENTED_EDGE('',*,*,#182480,.T.); #241148=ORIENTED_EDGE('',*,*,#182483,.T.); #241149=ORIENTED_EDGE('',*,*,#182486,.T.); #241150=ORIENTED_EDGE('',*,*,#182489,.T.); #241151=ORIENTED_EDGE('',*,*,#182492,.T.); #241152=ORIENTED_EDGE('',*,*,#182495,.T.); #241153=ORIENTED_EDGE('',*,*,#182498,.T.); #241154=ORIENTED_EDGE('',*,*,#182501,.T.); #241155=ORIENTED_EDGE('',*,*,#182504,.T.); #241156=ORIENTED_EDGE('',*,*,#182507,.T.); #241157=ORIENTED_EDGE('',*,*,#182510,.T.); #241158=ORIENTED_EDGE('',*,*,#182513,.T.); #241159=ORIENTED_EDGE('',*,*,#182516,.T.); #241160=ORIENTED_EDGE('',*,*,#182519,.T.); #241161=ORIENTED_EDGE('',*,*,#182522,.T.); #241162=ORIENTED_EDGE('',*,*,#182525,.T.); #241163=ORIENTED_EDGE('',*,*,#182528,.T.); #241164=ORIENTED_EDGE('',*,*,#182531,.T.); #241165=ORIENTED_EDGE('',*,*,#182534,.T.); #241166=ORIENTED_EDGE('',*,*,#182537,.T.); #241167=ORIENTED_EDGE('',*,*,#182540,.T.); #241168=ORIENTED_EDGE('',*,*,#182543,.T.); #241169=ORIENTED_EDGE('',*,*,#182546,.T.); #241170=ORIENTED_EDGE('',*,*,#182549,.T.); #241171=ORIENTED_EDGE('',*,*,#182552,.T.); #241172=ORIENTED_EDGE('',*,*,#182555,.T.); #241173=ORIENTED_EDGE('',*,*,#182558,.T.); #241174=ORIENTED_EDGE('',*,*,#182561,.T.); #241175=ORIENTED_EDGE('',*,*,#182564,.T.); #241176=ORIENTED_EDGE('',*,*,#182567,.T.); #241177=ORIENTED_EDGE('',*,*,#182570,.T.); #241178=ORIENTED_EDGE('',*,*,#182573,.T.); #241179=ORIENTED_EDGE('',*,*,#182576,.T.); #241180=ORIENTED_EDGE('',*,*,#182579,.T.); #241181=ORIENTED_EDGE('',*,*,#182582,.T.); #241182=ORIENTED_EDGE('',*,*,#182585,.T.); #241183=ORIENTED_EDGE('',*,*,#182588,.T.); #241184=ORIENTED_EDGE('',*,*,#182591,.T.); #241185=ORIENTED_EDGE('',*,*,#182594,.T.); #241186=ORIENTED_EDGE('',*,*,#182597,.T.); #241187=ORIENTED_EDGE('',*,*,#182600,.T.); #241188=ORIENTED_EDGE('',*,*,#182603,.T.); #241189=ORIENTED_EDGE('',*,*,#182606,.T.); #241190=ORIENTED_EDGE('',*,*,#182609,.T.); #241191=ORIENTED_EDGE('',*,*,#182612,.T.); #241192=ORIENTED_EDGE('',*,*,#182615,.T.); #241193=ORIENTED_EDGE('',*,*,#182618,.T.); #241194=ORIENTED_EDGE('',*,*,#183505,.F.); #241195=ORIENTED_EDGE('',*,*,#182619,.F.); #241196=ORIENTED_EDGE('',*,*,#182623,.F.); #241197=ORIENTED_EDGE('',*,*,#182626,.F.); #241198=ORIENTED_EDGE('',*,*,#182629,.F.); #241199=ORIENTED_EDGE('',*,*,#182632,.F.); #241200=ORIENTED_EDGE('',*,*,#182635,.F.); #241201=ORIENTED_EDGE('',*,*,#182638,.F.); #241202=ORIENTED_EDGE('',*,*,#182641,.F.); #241203=ORIENTED_EDGE('',*,*,#182644,.F.); #241204=ORIENTED_EDGE('',*,*,#182647,.F.); #241205=ORIENTED_EDGE('',*,*,#182650,.F.); #241206=ORIENTED_EDGE('',*,*,#182653,.F.); #241207=ORIENTED_EDGE('',*,*,#182656,.F.); #241208=ORIENTED_EDGE('',*,*,#182659,.F.); #241209=ORIENTED_EDGE('',*,*,#182662,.F.); #241210=ORIENTED_EDGE('',*,*,#182665,.F.); #241211=ORIENTED_EDGE('',*,*,#182668,.F.); #241212=ORIENTED_EDGE('',*,*,#182671,.F.); #241213=ORIENTED_EDGE('',*,*,#182674,.F.); #241214=ORIENTED_EDGE('',*,*,#182677,.F.); #241215=ORIENTED_EDGE('',*,*,#182680,.F.); #241216=ORIENTED_EDGE('',*,*,#182683,.F.); #241217=ORIENTED_EDGE('',*,*,#182686,.F.); #241218=ORIENTED_EDGE('',*,*,#182689,.F.); #241219=ORIENTED_EDGE('',*,*,#182692,.F.); #241220=ORIENTED_EDGE('',*,*,#182695,.F.); #241221=ORIENTED_EDGE('',*,*,#182698,.F.); #241222=ORIENTED_EDGE('',*,*,#182701,.F.); #241223=ORIENTED_EDGE('',*,*,#182704,.F.); #241224=ORIENTED_EDGE('',*,*,#182707,.F.); #241225=ORIENTED_EDGE('',*,*,#182710,.F.); #241226=ORIENTED_EDGE('',*,*,#182713,.F.); #241227=ORIENTED_EDGE('',*,*,#182716,.F.); #241228=ORIENTED_EDGE('',*,*,#182719,.F.); #241229=ORIENTED_EDGE('',*,*,#182722,.F.); #241230=ORIENTED_EDGE('',*,*,#182725,.F.); #241231=ORIENTED_EDGE('',*,*,#182728,.F.); #241232=ORIENTED_EDGE('',*,*,#182731,.F.); #241233=ORIENTED_EDGE('',*,*,#182734,.F.); #241234=ORIENTED_EDGE('',*,*,#182737,.F.); #241235=ORIENTED_EDGE('',*,*,#182740,.F.); #241236=ORIENTED_EDGE('',*,*,#182743,.F.); #241237=ORIENTED_EDGE('',*,*,#182746,.F.); #241238=ORIENTED_EDGE('',*,*,#182749,.F.); #241239=ORIENTED_EDGE('',*,*,#182752,.F.); #241240=ORIENTED_EDGE('',*,*,#182755,.F.); #241241=ORIENTED_EDGE('',*,*,#182758,.F.); #241242=ORIENTED_EDGE('',*,*,#182761,.F.); #241243=ORIENTED_EDGE('',*,*,#182764,.F.); #241244=ORIENTED_EDGE('',*,*,#182767,.F.); #241245=ORIENTED_EDGE('',*,*,#182770,.F.); #241246=ORIENTED_EDGE('',*,*,#182773,.F.); #241247=ORIENTED_EDGE('',*,*,#182776,.F.); #241248=ORIENTED_EDGE('',*,*,#182779,.F.); #241249=ORIENTED_EDGE('',*,*,#182782,.F.); #241250=ORIENTED_EDGE('',*,*,#182785,.F.); #241251=ORIENTED_EDGE('',*,*,#182788,.F.); #241252=ORIENTED_EDGE('',*,*,#182791,.F.); #241253=ORIENTED_EDGE('',*,*,#182794,.F.); #241254=ORIENTED_EDGE('',*,*,#182797,.F.); #241255=ORIENTED_EDGE('',*,*,#182800,.F.); #241256=ORIENTED_EDGE('',*,*,#182803,.F.); #241257=ORIENTED_EDGE('',*,*,#182806,.F.); #241258=ORIENTED_EDGE('',*,*,#182809,.F.); #241259=ORIENTED_EDGE('',*,*,#182812,.F.); #241260=ORIENTED_EDGE('',*,*,#182815,.F.); #241261=ORIENTED_EDGE('',*,*,#182818,.F.); #241262=ORIENTED_EDGE('',*,*,#182821,.F.); #241263=ORIENTED_EDGE('',*,*,#182824,.F.); #241264=ORIENTED_EDGE('',*,*,#182827,.F.); #241265=ORIENTED_EDGE('',*,*,#182830,.F.); #241266=ORIENTED_EDGE('',*,*,#182833,.F.); #241267=ORIENTED_EDGE('',*,*,#182836,.F.); #241268=ORIENTED_EDGE('',*,*,#182839,.F.); #241269=ORIENTED_EDGE('',*,*,#182842,.F.); #241270=ORIENTED_EDGE('',*,*,#182845,.F.); #241271=ORIENTED_EDGE('',*,*,#182848,.F.); #241272=ORIENTED_EDGE('',*,*,#182851,.F.); #241273=ORIENTED_EDGE('',*,*,#182854,.F.); #241274=ORIENTED_EDGE('',*,*,#182857,.F.); #241275=ORIENTED_EDGE('',*,*,#182860,.F.); #241276=ORIENTED_EDGE('',*,*,#182863,.F.); #241277=ORIENTED_EDGE('',*,*,#182866,.F.); #241278=ORIENTED_EDGE('',*,*,#182869,.F.); #241279=ORIENTED_EDGE('',*,*,#182872,.F.); #241280=ORIENTED_EDGE('',*,*,#182875,.F.); #241281=ORIENTED_EDGE('',*,*,#182878,.F.); #241282=ORIENTED_EDGE('',*,*,#182881,.F.); #241283=ORIENTED_EDGE('',*,*,#182884,.F.); #241284=ORIENTED_EDGE('',*,*,#182887,.F.); #241285=ORIENTED_EDGE('',*,*,#182890,.F.); #241286=ORIENTED_EDGE('',*,*,#182893,.F.); #241287=ORIENTED_EDGE('',*,*,#182896,.F.); #241288=ORIENTED_EDGE('',*,*,#182899,.F.); #241289=ORIENTED_EDGE('',*,*,#182902,.F.); #241290=ORIENTED_EDGE('',*,*,#182905,.F.); #241291=ORIENTED_EDGE('',*,*,#182908,.F.); #241292=ORIENTED_EDGE('',*,*,#182911,.F.); #241293=ORIENTED_EDGE('',*,*,#182914,.F.); #241294=ORIENTED_EDGE('',*,*,#182917,.F.); #241295=ORIENTED_EDGE('',*,*,#182920,.F.); #241296=ORIENTED_EDGE('',*,*,#182923,.F.); #241297=ORIENTED_EDGE('',*,*,#182926,.F.); #241298=ORIENTED_EDGE('',*,*,#182929,.F.); #241299=ORIENTED_EDGE('',*,*,#182932,.F.); #241300=ORIENTED_EDGE('',*,*,#182935,.F.); #241301=ORIENTED_EDGE('',*,*,#182938,.F.); #241302=ORIENTED_EDGE('',*,*,#182941,.F.); #241303=ORIENTED_EDGE('',*,*,#182944,.F.); #241304=ORIENTED_EDGE('',*,*,#182947,.F.); #241305=ORIENTED_EDGE('',*,*,#182950,.F.); #241306=ORIENTED_EDGE('',*,*,#182953,.F.); #241307=ORIENTED_EDGE('',*,*,#182956,.F.); #241308=ORIENTED_EDGE('',*,*,#182959,.F.); #241309=ORIENTED_EDGE('',*,*,#182962,.F.); #241310=ORIENTED_EDGE('',*,*,#182965,.F.); #241311=ORIENTED_EDGE('',*,*,#182968,.F.); #241312=ORIENTED_EDGE('',*,*,#182971,.F.); #241313=ORIENTED_EDGE('',*,*,#182974,.F.); #241314=ORIENTED_EDGE('',*,*,#182977,.F.); #241315=ORIENTED_EDGE('',*,*,#182980,.F.); #241316=ORIENTED_EDGE('',*,*,#182983,.F.); #241317=ORIENTED_EDGE('',*,*,#182986,.F.); #241318=ORIENTED_EDGE('',*,*,#182989,.F.); #241319=ORIENTED_EDGE('',*,*,#182992,.F.); #241320=ORIENTED_EDGE('',*,*,#182995,.F.); #241321=ORIENTED_EDGE('',*,*,#182998,.F.); #241322=ORIENTED_EDGE('',*,*,#183001,.F.); #241323=ORIENTED_EDGE('',*,*,#183004,.F.); #241324=ORIENTED_EDGE('',*,*,#183007,.F.); #241325=ORIENTED_EDGE('',*,*,#183010,.F.); #241326=ORIENTED_EDGE('',*,*,#183013,.F.); #241327=ORIENTED_EDGE('',*,*,#183016,.F.); #241328=ORIENTED_EDGE('',*,*,#183019,.F.); #241329=ORIENTED_EDGE('',*,*,#183022,.F.); #241330=ORIENTED_EDGE('',*,*,#183025,.F.); #241331=ORIENTED_EDGE('',*,*,#183028,.F.); #241332=ORIENTED_EDGE('',*,*,#183031,.F.); #241333=ORIENTED_EDGE('',*,*,#183034,.F.); #241334=ORIENTED_EDGE('',*,*,#183037,.F.); #241335=ORIENTED_EDGE('',*,*,#183040,.F.); #241336=ORIENTED_EDGE('',*,*,#183043,.F.); #241337=ORIENTED_EDGE('',*,*,#183046,.F.); #241338=ORIENTED_EDGE('',*,*,#183049,.F.); #241339=ORIENTED_EDGE('',*,*,#183052,.F.); #241340=ORIENTED_EDGE('',*,*,#183055,.F.); #241341=ORIENTED_EDGE('',*,*,#183058,.F.); #241342=ORIENTED_EDGE('',*,*,#183061,.F.); #241343=ORIENTED_EDGE('',*,*,#183064,.F.); #241344=ORIENTED_EDGE('',*,*,#183067,.F.); #241345=ORIENTED_EDGE('',*,*,#183070,.F.); #241346=ORIENTED_EDGE('',*,*,#183073,.F.); #241347=ORIENTED_EDGE('',*,*,#183076,.F.); #241348=ORIENTED_EDGE('',*,*,#183079,.F.); #241349=ORIENTED_EDGE('',*,*,#183082,.F.); #241350=ORIENTED_EDGE('',*,*,#183085,.F.); #241351=ORIENTED_EDGE('',*,*,#183088,.F.); #241352=ORIENTED_EDGE('',*,*,#183091,.F.); #241353=ORIENTED_EDGE('',*,*,#183094,.F.); #241354=ORIENTED_EDGE('',*,*,#183097,.F.); #241355=ORIENTED_EDGE('',*,*,#183100,.F.); #241356=ORIENTED_EDGE('',*,*,#183103,.F.); #241357=ORIENTED_EDGE('',*,*,#183106,.F.); #241358=ORIENTED_EDGE('',*,*,#183109,.F.); #241359=ORIENTED_EDGE('',*,*,#183112,.F.); #241360=ORIENTED_EDGE('',*,*,#183115,.F.); #241361=ORIENTED_EDGE('',*,*,#183118,.F.); #241362=ORIENTED_EDGE('',*,*,#183121,.F.); #241363=ORIENTED_EDGE('',*,*,#183124,.F.); #241364=ORIENTED_EDGE('',*,*,#183127,.F.); #241365=ORIENTED_EDGE('',*,*,#183130,.F.); #241366=ORIENTED_EDGE('',*,*,#183133,.F.); #241367=ORIENTED_EDGE('',*,*,#183136,.F.); #241368=ORIENTED_EDGE('',*,*,#183139,.F.); #241369=ORIENTED_EDGE('',*,*,#183142,.F.); #241370=ORIENTED_EDGE('',*,*,#183145,.F.); #241371=ORIENTED_EDGE('',*,*,#183148,.F.); #241372=ORIENTED_EDGE('',*,*,#183151,.F.); #241373=ORIENTED_EDGE('',*,*,#183154,.F.); #241374=ORIENTED_EDGE('',*,*,#183157,.F.); #241375=ORIENTED_EDGE('',*,*,#183160,.F.); #241376=ORIENTED_EDGE('',*,*,#183163,.F.); #241377=ORIENTED_EDGE('',*,*,#183166,.F.); #241378=ORIENTED_EDGE('',*,*,#183169,.F.); #241379=ORIENTED_EDGE('',*,*,#183172,.F.); #241380=ORIENTED_EDGE('',*,*,#183175,.F.); #241381=ORIENTED_EDGE('',*,*,#183178,.F.); #241382=ORIENTED_EDGE('',*,*,#183181,.F.); #241383=ORIENTED_EDGE('',*,*,#183184,.F.); #241384=ORIENTED_EDGE('',*,*,#183187,.F.); #241385=ORIENTED_EDGE('',*,*,#183190,.F.); #241386=ORIENTED_EDGE('',*,*,#183193,.F.); #241387=ORIENTED_EDGE('',*,*,#183196,.F.); #241388=ORIENTED_EDGE('',*,*,#183199,.F.); #241389=ORIENTED_EDGE('',*,*,#183202,.F.); #241390=ORIENTED_EDGE('',*,*,#183205,.F.); #241391=ORIENTED_EDGE('',*,*,#183208,.F.); #241392=ORIENTED_EDGE('',*,*,#183211,.F.); #241393=ORIENTED_EDGE('',*,*,#183214,.F.); #241394=ORIENTED_EDGE('',*,*,#183217,.F.); #241395=ORIENTED_EDGE('',*,*,#183220,.F.); #241396=ORIENTED_EDGE('',*,*,#183223,.F.); #241397=ORIENTED_EDGE('',*,*,#183226,.F.); #241398=ORIENTED_EDGE('',*,*,#183229,.F.); #241399=ORIENTED_EDGE('',*,*,#183232,.F.); #241400=ORIENTED_EDGE('',*,*,#183235,.F.); #241401=ORIENTED_EDGE('',*,*,#183238,.F.); #241402=ORIENTED_EDGE('',*,*,#183241,.F.); #241403=ORIENTED_EDGE('',*,*,#183244,.F.); #241404=ORIENTED_EDGE('',*,*,#183247,.F.); #241405=ORIENTED_EDGE('',*,*,#183250,.F.); #241406=ORIENTED_EDGE('',*,*,#183253,.F.); #241407=ORIENTED_EDGE('',*,*,#183256,.F.); #241408=ORIENTED_EDGE('',*,*,#183259,.F.); #241409=ORIENTED_EDGE('',*,*,#183262,.F.); #241410=ORIENTED_EDGE('',*,*,#183265,.F.); #241411=ORIENTED_EDGE('',*,*,#183268,.F.); #241412=ORIENTED_EDGE('',*,*,#183271,.F.); #241413=ORIENTED_EDGE('',*,*,#183274,.F.); #241414=ORIENTED_EDGE('',*,*,#183277,.F.); #241415=ORIENTED_EDGE('',*,*,#183280,.F.); #241416=ORIENTED_EDGE('',*,*,#183283,.F.); #241417=ORIENTED_EDGE('',*,*,#183286,.F.); #241418=ORIENTED_EDGE('',*,*,#183289,.F.); #241419=ORIENTED_EDGE('',*,*,#183292,.F.); #241420=ORIENTED_EDGE('',*,*,#183295,.F.); #241421=ORIENTED_EDGE('',*,*,#183298,.F.); #241422=ORIENTED_EDGE('',*,*,#183301,.F.); #241423=ORIENTED_EDGE('',*,*,#183304,.F.); #241424=ORIENTED_EDGE('',*,*,#183307,.F.); #241425=ORIENTED_EDGE('',*,*,#183310,.F.); #241426=ORIENTED_EDGE('',*,*,#183313,.F.); #241427=ORIENTED_EDGE('',*,*,#183316,.F.); #241428=ORIENTED_EDGE('',*,*,#183319,.F.); #241429=ORIENTED_EDGE('',*,*,#183322,.F.); #241430=ORIENTED_EDGE('',*,*,#183325,.F.); #241431=ORIENTED_EDGE('',*,*,#183328,.F.); #241432=ORIENTED_EDGE('',*,*,#183331,.F.); #241433=ORIENTED_EDGE('',*,*,#183334,.F.); #241434=ORIENTED_EDGE('',*,*,#183337,.F.); #241435=ORIENTED_EDGE('',*,*,#183340,.F.); #241436=ORIENTED_EDGE('',*,*,#183343,.F.); #241437=ORIENTED_EDGE('',*,*,#183346,.F.); #241438=ORIENTED_EDGE('',*,*,#183349,.F.); #241439=ORIENTED_EDGE('',*,*,#183352,.F.); #241440=ORIENTED_EDGE('',*,*,#183355,.F.); #241441=ORIENTED_EDGE('',*,*,#183358,.F.); #241442=ORIENTED_EDGE('',*,*,#183361,.F.); #241443=ORIENTED_EDGE('',*,*,#183364,.F.); #241444=ORIENTED_EDGE('',*,*,#183367,.F.); #241445=ORIENTED_EDGE('',*,*,#183370,.F.); #241446=ORIENTED_EDGE('',*,*,#183373,.F.); #241447=ORIENTED_EDGE('',*,*,#183376,.F.); #241448=ORIENTED_EDGE('',*,*,#183379,.F.); #241449=ORIENTED_EDGE('',*,*,#183382,.F.); #241450=ORIENTED_EDGE('',*,*,#183385,.F.); #241451=ORIENTED_EDGE('',*,*,#183388,.F.); #241452=ORIENTED_EDGE('',*,*,#183391,.F.); #241453=ORIENTED_EDGE('',*,*,#183394,.F.); #241454=ORIENTED_EDGE('',*,*,#183397,.F.); #241455=ORIENTED_EDGE('',*,*,#183400,.F.); #241456=ORIENTED_EDGE('',*,*,#183403,.F.); #241457=ORIENTED_EDGE('',*,*,#183406,.F.); #241458=ORIENTED_EDGE('',*,*,#183409,.F.); #241459=ORIENTED_EDGE('',*,*,#183412,.F.); #241460=ORIENTED_EDGE('',*,*,#183415,.F.); #241461=ORIENTED_EDGE('',*,*,#183418,.F.); #241462=ORIENTED_EDGE('',*,*,#183421,.F.); #241463=ORIENTED_EDGE('',*,*,#183424,.F.); #241464=ORIENTED_EDGE('',*,*,#183427,.F.); #241465=ORIENTED_EDGE('',*,*,#183430,.F.); #241466=ORIENTED_EDGE('',*,*,#183433,.F.); #241467=ORIENTED_EDGE('',*,*,#183436,.F.); #241468=ORIENTED_EDGE('',*,*,#183439,.F.); #241469=ORIENTED_EDGE('',*,*,#183442,.F.); #241470=ORIENTED_EDGE('',*,*,#183445,.F.); #241471=ORIENTED_EDGE('',*,*,#183448,.F.); #241472=ORIENTED_EDGE('',*,*,#183451,.F.); #241473=ORIENTED_EDGE('',*,*,#183454,.F.); #241474=ORIENTED_EDGE('',*,*,#183457,.F.); #241475=ORIENTED_EDGE('',*,*,#183460,.F.); #241476=ORIENTED_EDGE('',*,*,#183463,.F.); #241477=ORIENTED_EDGE('',*,*,#183466,.F.); #241478=ORIENTED_EDGE('',*,*,#183469,.F.); #241479=ORIENTED_EDGE('',*,*,#183472,.F.); #241480=ORIENTED_EDGE('',*,*,#183475,.F.); #241481=ORIENTED_EDGE('',*,*,#183478,.F.); #241482=ORIENTED_EDGE('',*,*,#183481,.F.); #241483=ORIENTED_EDGE('',*,*,#183484,.F.); #241484=ORIENTED_EDGE('',*,*,#183487,.F.); #241485=ORIENTED_EDGE('',*,*,#183490,.F.); #241486=ORIENTED_EDGE('',*,*,#183493,.F.); #241487=ORIENTED_EDGE('',*,*,#183496,.F.); #241488=ORIENTED_EDGE('',*,*,#183499,.F.); #241489=ORIENTED_EDGE('',*,*,#183502,.F.); #241490=ORIENTED_EDGE('',*,*,#182472,.T.); #241491=ORIENTED_EDGE('',*,*,#182475,.T.); #241492=ORIENTED_EDGE('',*,*,#182478,.T.); #241493=ORIENTED_EDGE('',*,*,#182481,.T.); #241494=ORIENTED_EDGE('',*,*,#182484,.T.); #241495=ORIENTED_EDGE('',*,*,#182487,.T.); #241496=ORIENTED_EDGE('',*,*,#182490,.T.); #241497=ORIENTED_EDGE('',*,*,#182493,.T.); #241498=ORIENTED_EDGE('',*,*,#182496,.T.); #241499=ORIENTED_EDGE('',*,*,#182499,.T.); #241500=ORIENTED_EDGE('',*,*,#182502,.T.); #241501=ORIENTED_EDGE('',*,*,#182505,.T.); #241502=ORIENTED_EDGE('',*,*,#182508,.T.); #241503=ORIENTED_EDGE('',*,*,#182511,.T.); #241504=ORIENTED_EDGE('',*,*,#182514,.T.); #241505=ORIENTED_EDGE('',*,*,#182517,.T.); #241506=ORIENTED_EDGE('',*,*,#182520,.T.); #241507=ORIENTED_EDGE('',*,*,#182523,.T.); #241508=ORIENTED_EDGE('',*,*,#182526,.T.); #241509=ORIENTED_EDGE('',*,*,#182529,.T.); #241510=ORIENTED_EDGE('',*,*,#182532,.T.); #241511=ORIENTED_EDGE('',*,*,#182535,.T.); #241512=ORIENTED_EDGE('',*,*,#182538,.T.); #241513=ORIENTED_EDGE('',*,*,#182541,.T.); #241514=ORIENTED_EDGE('',*,*,#182544,.T.); #241515=ORIENTED_EDGE('',*,*,#182547,.T.); #241516=ORIENTED_EDGE('',*,*,#182550,.T.); #241517=ORIENTED_EDGE('',*,*,#182553,.T.); #241518=ORIENTED_EDGE('',*,*,#182556,.T.); #241519=ORIENTED_EDGE('',*,*,#182559,.T.); #241520=ORIENTED_EDGE('',*,*,#182562,.T.); #241521=ORIENTED_EDGE('',*,*,#182565,.T.); #241522=ORIENTED_EDGE('',*,*,#182568,.T.); #241523=ORIENTED_EDGE('',*,*,#182571,.T.); #241524=ORIENTED_EDGE('',*,*,#182574,.T.); #241525=ORIENTED_EDGE('',*,*,#182577,.T.); #241526=ORIENTED_EDGE('',*,*,#182580,.T.); #241527=ORIENTED_EDGE('',*,*,#182583,.T.); #241528=ORIENTED_EDGE('',*,*,#182586,.T.); #241529=ORIENTED_EDGE('',*,*,#182589,.T.); #241530=ORIENTED_EDGE('',*,*,#182592,.T.); #241531=ORIENTED_EDGE('',*,*,#182595,.T.); #241532=ORIENTED_EDGE('',*,*,#182598,.T.); #241533=ORIENTED_EDGE('',*,*,#182601,.T.); #241534=ORIENTED_EDGE('',*,*,#182604,.T.); #241535=ORIENTED_EDGE('',*,*,#182607,.T.); #241536=ORIENTED_EDGE('',*,*,#182610,.T.); #241537=ORIENTED_EDGE('',*,*,#182613,.T.); #241538=ORIENTED_EDGE('',*,*,#182616,.T.); #241539=ORIENTED_EDGE('',*,*,#183507,.T.); #241540=ORIENTED_EDGE('',*,*,#183508,.T.); #241541=ORIENTED_EDGE('',*,*,#183509,.F.); #241542=ORIENTED_EDGE('',*,*,#183510,.F.); #241543=ORIENTED_EDGE('',*,*,#183511,.T.); #241544=ORIENTED_EDGE('',*,*,#183510,.T.); #241545=ORIENTED_EDGE('',*,*,#183512,.F.); #241546=ORIENTED_EDGE('',*,*,#183513,.F.); #241547=ORIENTED_EDGE('',*,*,#183514,.T.); #241548=ORIENTED_EDGE('',*,*,#183513,.T.); #241549=ORIENTED_EDGE('',*,*,#183515,.F.); #241550=ORIENTED_EDGE('',*,*,#183516,.F.); #241551=ORIENTED_EDGE('',*,*,#183517,.T.); #241552=ORIENTED_EDGE('',*,*,#183516,.T.); #241553=ORIENTED_EDGE('',*,*,#183518,.F.); #241554=ORIENTED_EDGE('',*,*,#183519,.F.); #241555=ORIENTED_EDGE('',*,*,#183520,.T.); #241556=ORIENTED_EDGE('',*,*,#183519,.T.); #241557=ORIENTED_EDGE('',*,*,#183521,.F.); #241558=ORIENTED_EDGE('',*,*,#183522,.F.); #241559=ORIENTED_EDGE('',*,*,#183523,.T.); #241560=ORIENTED_EDGE('',*,*,#183522,.T.); #241561=ORIENTED_EDGE('',*,*,#183524,.F.); #241562=ORIENTED_EDGE('',*,*,#183525,.F.); #241563=ORIENTED_EDGE('',*,*,#183526,.T.); #241564=ORIENTED_EDGE('',*,*,#183525,.T.); #241565=ORIENTED_EDGE('',*,*,#183527,.F.); #241566=ORIENTED_EDGE('',*,*,#183528,.F.); #241567=ORIENTED_EDGE('',*,*,#183529,.T.); #241568=ORIENTED_EDGE('',*,*,#183528,.T.); #241569=ORIENTED_EDGE('',*,*,#183530,.F.); #241570=ORIENTED_EDGE('',*,*,#183531,.F.); #241571=ORIENTED_EDGE('',*,*,#183532,.T.); #241572=ORIENTED_EDGE('',*,*,#183531,.T.); #241573=ORIENTED_EDGE('',*,*,#183533,.F.); #241574=ORIENTED_EDGE('',*,*,#183534,.F.); #241575=ORIENTED_EDGE('',*,*,#183535,.T.); #241576=ORIENTED_EDGE('',*,*,#183534,.T.); #241577=ORIENTED_EDGE('',*,*,#183536,.F.); #241578=ORIENTED_EDGE('',*,*,#183537,.F.); #241579=ORIENTED_EDGE('',*,*,#183538,.T.); #241580=ORIENTED_EDGE('',*,*,#183537,.T.); #241581=ORIENTED_EDGE('',*,*,#183539,.F.); #241582=ORIENTED_EDGE('',*,*,#183540,.F.); #241583=ORIENTED_EDGE('',*,*,#183541,.T.); #241584=ORIENTED_EDGE('',*,*,#183540,.T.); #241585=ORIENTED_EDGE('',*,*,#183542,.F.); #241586=ORIENTED_EDGE('',*,*,#183543,.F.); #241587=ORIENTED_EDGE('',*,*,#183544,.T.); #241588=ORIENTED_EDGE('',*,*,#183543,.T.); #241589=ORIENTED_EDGE('',*,*,#183545,.F.); #241590=ORIENTED_EDGE('',*,*,#183546,.F.); #241591=ORIENTED_EDGE('',*,*,#183547,.T.); #241592=ORIENTED_EDGE('',*,*,#183546,.T.); #241593=ORIENTED_EDGE('',*,*,#183548,.F.); #241594=ORIENTED_EDGE('',*,*,#183549,.F.); #241595=ORIENTED_EDGE('',*,*,#183550,.T.); #241596=ORIENTED_EDGE('',*,*,#183549,.T.); #241597=ORIENTED_EDGE('',*,*,#183551,.F.); #241598=ORIENTED_EDGE('',*,*,#183552,.F.); #241599=ORIENTED_EDGE('',*,*,#183553,.T.); #241600=ORIENTED_EDGE('',*,*,#183552,.T.); #241601=ORIENTED_EDGE('',*,*,#183554,.F.); #241602=ORIENTED_EDGE('',*,*,#183555,.F.); #241603=ORIENTED_EDGE('',*,*,#183556,.T.); #241604=ORIENTED_EDGE('',*,*,#183555,.T.); #241605=ORIENTED_EDGE('',*,*,#183557,.F.); #241606=ORIENTED_EDGE('',*,*,#183558,.F.); #241607=ORIENTED_EDGE('',*,*,#183559,.T.); #241608=ORIENTED_EDGE('',*,*,#183558,.T.); #241609=ORIENTED_EDGE('',*,*,#183560,.F.); #241610=ORIENTED_EDGE('',*,*,#183561,.F.); #241611=ORIENTED_EDGE('',*,*,#183562,.T.); #241612=ORIENTED_EDGE('',*,*,#183561,.T.); #241613=ORIENTED_EDGE('',*,*,#183563,.F.); #241614=ORIENTED_EDGE('',*,*,#183564,.F.); #241615=ORIENTED_EDGE('',*,*,#183565,.T.); #241616=ORIENTED_EDGE('',*,*,#183564,.T.); #241617=ORIENTED_EDGE('',*,*,#183566,.F.); #241618=ORIENTED_EDGE('',*,*,#183567,.F.); #241619=ORIENTED_EDGE('',*,*,#183568,.T.); #241620=ORIENTED_EDGE('',*,*,#183567,.T.); #241621=ORIENTED_EDGE('',*,*,#183569,.F.); #241622=ORIENTED_EDGE('',*,*,#183508,.F.); #241623=ORIENTED_EDGE('',*,*,#183569,.T.); #241624=ORIENTED_EDGE('',*,*,#183566,.T.); #241625=ORIENTED_EDGE('',*,*,#183563,.T.); #241626=ORIENTED_EDGE('',*,*,#183560,.T.); #241627=ORIENTED_EDGE('',*,*,#183557,.T.); #241628=ORIENTED_EDGE('',*,*,#183554,.T.); #241629=ORIENTED_EDGE('',*,*,#183551,.T.); #241630=ORIENTED_EDGE('',*,*,#183548,.T.); #241631=ORIENTED_EDGE('',*,*,#183545,.T.); #241632=ORIENTED_EDGE('',*,*,#183542,.T.); #241633=ORIENTED_EDGE('',*,*,#183539,.T.); #241634=ORIENTED_EDGE('',*,*,#183536,.T.); #241635=ORIENTED_EDGE('',*,*,#183533,.T.); #241636=ORIENTED_EDGE('',*,*,#183530,.T.); #241637=ORIENTED_EDGE('',*,*,#183527,.T.); #241638=ORIENTED_EDGE('',*,*,#183524,.T.); #241639=ORIENTED_EDGE('',*,*,#183521,.T.); #241640=ORIENTED_EDGE('',*,*,#183518,.T.); #241641=ORIENTED_EDGE('',*,*,#183515,.T.); #241642=ORIENTED_EDGE('',*,*,#183512,.T.); #241643=ORIENTED_EDGE('',*,*,#183509,.T.); #241644=ORIENTED_EDGE('',*,*,#183568,.F.); #241645=ORIENTED_EDGE('',*,*,#183507,.F.); #241646=ORIENTED_EDGE('',*,*,#183511,.F.); #241647=ORIENTED_EDGE('',*,*,#183514,.F.); #241648=ORIENTED_EDGE('',*,*,#183517,.F.); #241649=ORIENTED_EDGE('',*,*,#183520,.F.); #241650=ORIENTED_EDGE('',*,*,#183523,.F.); #241651=ORIENTED_EDGE('',*,*,#183526,.F.); #241652=ORIENTED_EDGE('',*,*,#183529,.F.); #241653=ORIENTED_EDGE('',*,*,#183532,.F.); #241654=ORIENTED_EDGE('',*,*,#183535,.F.); #241655=ORIENTED_EDGE('',*,*,#183538,.F.); #241656=ORIENTED_EDGE('',*,*,#183541,.F.); #241657=ORIENTED_EDGE('',*,*,#183544,.F.); #241658=ORIENTED_EDGE('',*,*,#183547,.F.); #241659=ORIENTED_EDGE('',*,*,#183550,.F.); #241660=ORIENTED_EDGE('',*,*,#183553,.F.); #241661=ORIENTED_EDGE('',*,*,#183556,.F.); #241662=ORIENTED_EDGE('',*,*,#183559,.F.); #241663=ORIENTED_EDGE('',*,*,#183562,.F.); #241664=ORIENTED_EDGE('',*,*,#183565,.F.); #241665=ORIENTED_EDGE('',*,*,#183570,.T.); #241666=ORIENTED_EDGE('',*,*,#183571,.T.); #241667=ORIENTED_EDGE('',*,*,#183572,.F.); #241668=ORIENTED_EDGE('',*,*,#183573,.F.); #241669=ORIENTED_EDGE('',*,*,#183574,.T.); #241670=ORIENTED_EDGE('',*,*,#183573,.T.); #241671=ORIENTED_EDGE('',*,*,#183575,.F.); #241672=ORIENTED_EDGE('',*,*,#183576,.F.); #241673=ORIENTED_EDGE('',*,*,#183577,.T.); #241674=ORIENTED_EDGE('',*,*,#183576,.T.); #241675=ORIENTED_EDGE('',*,*,#183578,.F.); #241676=ORIENTED_EDGE('',*,*,#183579,.F.); #241677=ORIENTED_EDGE('',*,*,#183580,.T.); #241678=ORIENTED_EDGE('',*,*,#183579,.T.); #241679=ORIENTED_EDGE('',*,*,#183581,.F.); #241680=ORIENTED_EDGE('',*,*,#183582,.F.); #241681=ORIENTED_EDGE('',*,*,#183583,.T.); #241682=ORIENTED_EDGE('',*,*,#183582,.T.); #241683=ORIENTED_EDGE('',*,*,#183584,.F.); #241684=ORIENTED_EDGE('',*,*,#183585,.F.); #241685=ORIENTED_EDGE('',*,*,#183586,.T.); #241686=ORIENTED_EDGE('',*,*,#183585,.T.); #241687=ORIENTED_EDGE('',*,*,#183587,.F.); #241688=ORIENTED_EDGE('',*,*,#183588,.F.); #241689=ORIENTED_EDGE('',*,*,#183589,.T.); #241690=ORIENTED_EDGE('',*,*,#183588,.T.); #241691=ORIENTED_EDGE('',*,*,#183590,.F.); #241692=ORIENTED_EDGE('',*,*,#183591,.F.); #241693=ORIENTED_EDGE('',*,*,#183592,.T.); #241694=ORIENTED_EDGE('',*,*,#183591,.T.); #241695=ORIENTED_EDGE('',*,*,#183593,.F.); #241696=ORIENTED_EDGE('',*,*,#183594,.F.); #241697=ORIENTED_EDGE('',*,*,#183595,.T.); #241698=ORIENTED_EDGE('',*,*,#183594,.T.); #241699=ORIENTED_EDGE('',*,*,#183596,.F.); #241700=ORIENTED_EDGE('',*,*,#183597,.F.); #241701=ORIENTED_EDGE('',*,*,#183598,.T.); #241702=ORIENTED_EDGE('',*,*,#183597,.T.); #241703=ORIENTED_EDGE('',*,*,#183599,.F.); #241704=ORIENTED_EDGE('',*,*,#183600,.F.); #241705=ORIENTED_EDGE('',*,*,#183601,.T.); #241706=ORIENTED_EDGE('',*,*,#183600,.T.); #241707=ORIENTED_EDGE('',*,*,#183602,.F.); #241708=ORIENTED_EDGE('',*,*,#183603,.F.); #241709=ORIENTED_EDGE('',*,*,#183604,.T.); #241710=ORIENTED_EDGE('',*,*,#183603,.T.); #241711=ORIENTED_EDGE('',*,*,#183605,.F.); #241712=ORIENTED_EDGE('',*,*,#183606,.F.); #241713=ORIENTED_EDGE('',*,*,#183607,.T.); #241714=ORIENTED_EDGE('',*,*,#183606,.T.); #241715=ORIENTED_EDGE('',*,*,#183608,.F.); #241716=ORIENTED_EDGE('',*,*,#183609,.F.); #241717=ORIENTED_EDGE('',*,*,#183610,.T.); #241718=ORIENTED_EDGE('',*,*,#183609,.T.); #241719=ORIENTED_EDGE('',*,*,#183611,.F.); #241720=ORIENTED_EDGE('',*,*,#183612,.F.); #241721=ORIENTED_EDGE('',*,*,#183613,.T.); #241722=ORIENTED_EDGE('',*,*,#183612,.T.); #241723=ORIENTED_EDGE('',*,*,#183614,.F.); #241724=ORIENTED_EDGE('',*,*,#183615,.F.); #241725=ORIENTED_EDGE('',*,*,#183616,.T.); #241726=ORIENTED_EDGE('',*,*,#183615,.T.); #241727=ORIENTED_EDGE('',*,*,#183617,.F.); #241728=ORIENTED_EDGE('',*,*,#183618,.F.); #241729=ORIENTED_EDGE('',*,*,#183619,.T.); #241730=ORIENTED_EDGE('',*,*,#183618,.T.); #241731=ORIENTED_EDGE('',*,*,#183620,.F.); #241732=ORIENTED_EDGE('',*,*,#183621,.F.); #241733=ORIENTED_EDGE('',*,*,#183622,.T.); #241734=ORIENTED_EDGE('',*,*,#183621,.T.); #241735=ORIENTED_EDGE('',*,*,#183623,.F.); #241736=ORIENTED_EDGE('',*,*,#183624,.F.); #241737=ORIENTED_EDGE('',*,*,#183625,.T.); #241738=ORIENTED_EDGE('',*,*,#183624,.T.); #241739=ORIENTED_EDGE('',*,*,#183626,.F.); #241740=ORIENTED_EDGE('',*,*,#183627,.F.); #241741=ORIENTED_EDGE('',*,*,#183628,.T.); #241742=ORIENTED_EDGE('',*,*,#183627,.T.); #241743=ORIENTED_EDGE('',*,*,#183629,.F.); #241744=ORIENTED_EDGE('',*,*,#183630,.F.); #241745=ORIENTED_EDGE('',*,*,#183631,.T.); #241746=ORIENTED_EDGE('',*,*,#183630,.T.); #241747=ORIENTED_EDGE('',*,*,#183632,.F.); #241748=ORIENTED_EDGE('',*,*,#183571,.F.); #241749=ORIENTED_EDGE('',*,*,#183632,.T.); #241750=ORIENTED_EDGE('',*,*,#183629,.T.); #241751=ORIENTED_EDGE('',*,*,#183626,.T.); #241752=ORIENTED_EDGE('',*,*,#183623,.T.); #241753=ORIENTED_EDGE('',*,*,#183620,.T.); #241754=ORIENTED_EDGE('',*,*,#183617,.T.); #241755=ORIENTED_EDGE('',*,*,#183614,.T.); #241756=ORIENTED_EDGE('',*,*,#183611,.T.); #241757=ORIENTED_EDGE('',*,*,#183608,.T.); #241758=ORIENTED_EDGE('',*,*,#183605,.T.); #241759=ORIENTED_EDGE('',*,*,#183602,.T.); #241760=ORIENTED_EDGE('',*,*,#183599,.T.); #241761=ORIENTED_EDGE('',*,*,#183596,.T.); #241762=ORIENTED_EDGE('',*,*,#183593,.T.); #241763=ORIENTED_EDGE('',*,*,#183590,.T.); #241764=ORIENTED_EDGE('',*,*,#183587,.T.); #241765=ORIENTED_EDGE('',*,*,#183584,.T.); #241766=ORIENTED_EDGE('',*,*,#183581,.T.); #241767=ORIENTED_EDGE('',*,*,#183578,.T.); #241768=ORIENTED_EDGE('',*,*,#183575,.T.); #241769=ORIENTED_EDGE('',*,*,#183572,.T.); #241770=ORIENTED_EDGE('',*,*,#183631,.F.); #241771=ORIENTED_EDGE('',*,*,#183570,.F.); #241772=ORIENTED_EDGE('',*,*,#183574,.F.); #241773=ORIENTED_EDGE('',*,*,#183577,.F.); #241774=ORIENTED_EDGE('',*,*,#183580,.F.); #241775=ORIENTED_EDGE('',*,*,#183583,.F.); #241776=ORIENTED_EDGE('',*,*,#183586,.F.); #241777=ORIENTED_EDGE('',*,*,#183589,.F.); #241778=ORIENTED_EDGE('',*,*,#183592,.F.); #241779=ORIENTED_EDGE('',*,*,#183595,.F.); #241780=ORIENTED_EDGE('',*,*,#183598,.F.); #241781=ORIENTED_EDGE('',*,*,#183601,.F.); #241782=ORIENTED_EDGE('',*,*,#183604,.F.); #241783=ORIENTED_EDGE('',*,*,#183607,.F.); #241784=ORIENTED_EDGE('',*,*,#183610,.F.); #241785=ORIENTED_EDGE('',*,*,#183613,.F.); #241786=ORIENTED_EDGE('',*,*,#183616,.F.); #241787=ORIENTED_EDGE('',*,*,#183619,.F.); #241788=ORIENTED_EDGE('',*,*,#183622,.F.); #241789=ORIENTED_EDGE('',*,*,#183625,.F.); #241790=ORIENTED_EDGE('',*,*,#183628,.F.); #241791=ORIENTED_EDGE('',*,*,#183633,.T.); #241792=ORIENTED_EDGE('',*,*,#183634,.T.); #241793=ORIENTED_EDGE('',*,*,#183635,.F.); #241794=ORIENTED_EDGE('',*,*,#183636,.F.); #241795=ORIENTED_EDGE('',*,*,#183637,.T.); #241796=ORIENTED_EDGE('',*,*,#183636,.T.); #241797=ORIENTED_EDGE('',*,*,#183638,.F.); #241798=ORIENTED_EDGE('',*,*,#183639,.F.); #241799=ORIENTED_EDGE('',*,*,#183640,.T.); #241800=ORIENTED_EDGE('',*,*,#183639,.T.); #241801=ORIENTED_EDGE('',*,*,#183641,.F.); #241802=ORIENTED_EDGE('',*,*,#183642,.F.); #241803=ORIENTED_EDGE('',*,*,#183643,.T.); #241804=ORIENTED_EDGE('',*,*,#183642,.T.); #241805=ORIENTED_EDGE('',*,*,#183644,.F.); #241806=ORIENTED_EDGE('',*,*,#183645,.F.); #241807=ORIENTED_EDGE('',*,*,#183646,.T.); #241808=ORIENTED_EDGE('',*,*,#183645,.T.); #241809=ORIENTED_EDGE('',*,*,#183647,.F.); #241810=ORIENTED_EDGE('',*,*,#183648,.F.); #241811=ORIENTED_EDGE('',*,*,#183649,.T.); #241812=ORIENTED_EDGE('',*,*,#183648,.T.); #241813=ORIENTED_EDGE('',*,*,#183650,.F.); #241814=ORIENTED_EDGE('',*,*,#183651,.F.); #241815=ORIENTED_EDGE('',*,*,#183652,.T.); #241816=ORIENTED_EDGE('',*,*,#183651,.T.); #241817=ORIENTED_EDGE('',*,*,#183653,.F.); #241818=ORIENTED_EDGE('',*,*,#183654,.F.); #241819=ORIENTED_EDGE('',*,*,#183655,.T.); #241820=ORIENTED_EDGE('',*,*,#183654,.T.); #241821=ORIENTED_EDGE('',*,*,#183656,.F.); #241822=ORIENTED_EDGE('',*,*,#183657,.F.); #241823=ORIENTED_EDGE('',*,*,#183658,.T.); #241824=ORIENTED_EDGE('',*,*,#183657,.T.); #241825=ORIENTED_EDGE('',*,*,#183659,.F.); #241826=ORIENTED_EDGE('',*,*,#183660,.F.); #241827=ORIENTED_EDGE('',*,*,#183661,.T.); #241828=ORIENTED_EDGE('',*,*,#183660,.T.); #241829=ORIENTED_EDGE('',*,*,#183662,.F.); #241830=ORIENTED_EDGE('',*,*,#183663,.F.); #241831=ORIENTED_EDGE('',*,*,#183664,.T.); #241832=ORIENTED_EDGE('',*,*,#183663,.T.); #241833=ORIENTED_EDGE('',*,*,#183665,.F.); #241834=ORIENTED_EDGE('',*,*,#183666,.F.); #241835=ORIENTED_EDGE('',*,*,#183667,.T.); #241836=ORIENTED_EDGE('',*,*,#183666,.T.); #241837=ORIENTED_EDGE('',*,*,#183668,.F.); #241838=ORIENTED_EDGE('',*,*,#183669,.F.); #241839=ORIENTED_EDGE('',*,*,#183670,.T.); #241840=ORIENTED_EDGE('',*,*,#183669,.T.); #241841=ORIENTED_EDGE('',*,*,#183671,.F.); #241842=ORIENTED_EDGE('',*,*,#183672,.F.); #241843=ORIENTED_EDGE('',*,*,#183673,.T.); #241844=ORIENTED_EDGE('',*,*,#183672,.T.); #241845=ORIENTED_EDGE('',*,*,#183674,.F.); #241846=ORIENTED_EDGE('',*,*,#183675,.F.); #241847=ORIENTED_EDGE('',*,*,#183676,.T.); #241848=ORIENTED_EDGE('',*,*,#183675,.T.); #241849=ORIENTED_EDGE('',*,*,#183677,.F.); #241850=ORIENTED_EDGE('',*,*,#183678,.F.); #241851=ORIENTED_EDGE('',*,*,#183679,.T.); #241852=ORIENTED_EDGE('',*,*,#183678,.T.); #241853=ORIENTED_EDGE('',*,*,#183680,.F.); #241854=ORIENTED_EDGE('',*,*,#183681,.F.); #241855=ORIENTED_EDGE('',*,*,#183682,.T.); #241856=ORIENTED_EDGE('',*,*,#183681,.T.); #241857=ORIENTED_EDGE('',*,*,#183683,.F.); #241858=ORIENTED_EDGE('',*,*,#183684,.F.); #241859=ORIENTED_EDGE('',*,*,#183685,.T.); #241860=ORIENTED_EDGE('',*,*,#183684,.T.); #241861=ORIENTED_EDGE('',*,*,#183686,.F.); #241862=ORIENTED_EDGE('',*,*,#183687,.F.); #241863=ORIENTED_EDGE('',*,*,#183688,.T.); #241864=ORIENTED_EDGE('',*,*,#183687,.T.); #241865=ORIENTED_EDGE('',*,*,#183689,.F.); #241866=ORIENTED_EDGE('',*,*,#183634,.F.); #241867=ORIENTED_EDGE('',*,*,#183689,.T.); #241868=ORIENTED_EDGE('',*,*,#183686,.T.); #241869=ORIENTED_EDGE('',*,*,#183683,.T.); #241870=ORIENTED_EDGE('',*,*,#183680,.T.); #241871=ORIENTED_EDGE('',*,*,#183677,.T.); #241872=ORIENTED_EDGE('',*,*,#183674,.T.); #241873=ORIENTED_EDGE('',*,*,#183671,.T.); #241874=ORIENTED_EDGE('',*,*,#183668,.T.); #241875=ORIENTED_EDGE('',*,*,#183665,.T.); #241876=ORIENTED_EDGE('',*,*,#183662,.T.); #241877=ORIENTED_EDGE('',*,*,#183659,.T.); #241878=ORIENTED_EDGE('',*,*,#183656,.T.); #241879=ORIENTED_EDGE('',*,*,#183653,.T.); #241880=ORIENTED_EDGE('',*,*,#183650,.T.); #241881=ORIENTED_EDGE('',*,*,#183647,.T.); #241882=ORIENTED_EDGE('',*,*,#183644,.T.); #241883=ORIENTED_EDGE('',*,*,#183641,.T.); #241884=ORIENTED_EDGE('',*,*,#183638,.T.); #241885=ORIENTED_EDGE('',*,*,#183635,.T.); #241886=ORIENTED_EDGE('',*,*,#183688,.F.); #241887=ORIENTED_EDGE('',*,*,#183633,.F.); #241888=ORIENTED_EDGE('',*,*,#183637,.F.); #241889=ORIENTED_EDGE('',*,*,#183640,.F.); #241890=ORIENTED_EDGE('',*,*,#183643,.F.); #241891=ORIENTED_EDGE('',*,*,#183646,.F.); #241892=ORIENTED_EDGE('',*,*,#183649,.F.); #241893=ORIENTED_EDGE('',*,*,#183652,.F.); #241894=ORIENTED_EDGE('',*,*,#183655,.F.); #241895=ORIENTED_EDGE('',*,*,#183658,.F.); #241896=ORIENTED_EDGE('',*,*,#183661,.F.); #241897=ORIENTED_EDGE('',*,*,#183664,.F.); #241898=ORIENTED_EDGE('',*,*,#183667,.F.); #241899=ORIENTED_EDGE('',*,*,#183670,.F.); #241900=ORIENTED_EDGE('',*,*,#183673,.F.); #241901=ORIENTED_EDGE('',*,*,#183676,.F.); #241902=ORIENTED_EDGE('',*,*,#183679,.F.); #241903=ORIENTED_EDGE('',*,*,#183682,.F.); #241904=ORIENTED_EDGE('',*,*,#183685,.F.); #241905=ORIENTED_EDGE('',*,*,#183690,.T.); #241906=ORIENTED_EDGE('',*,*,#183691,.T.); #241907=ORIENTED_EDGE('',*,*,#183692,.F.); #241908=ORIENTED_EDGE('',*,*,#183693,.F.); #241909=ORIENTED_EDGE('',*,*,#183694,.T.); #241910=ORIENTED_EDGE('',*,*,#183693,.T.); #241911=ORIENTED_EDGE('',*,*,#183695,.F.); #241912=ORIENTED_EDGE('',*,*,#183696,.F.); #241913=ORIENTED_EDGE('',*,*,#183697,.T.); #241914=ORIENTED_EDGE('',*,*,#183696,.T.); #241915=ORIENTED_EDGE('',*,*,#183698,.F.); #241916=ORIENTED_EDGE('',*,*,#183699,.F.); #241917=ORIENTED_EDGE('',*,*,#183700,.T.); #241918=ORIENTED_EDGE('',*,*,#183699,.T.); #241919=ORIENTED_EDGE('',*,*,#183701,.F.); #241920=ORIENTED_EDGE('',*,*,#183702,.F.); #241921=ORIENTED_EDGE('',*,*,#183703,.T.); #241922=ORIENTED_EDGE('',*,*,#183702,.T.); #241923=ORIENTED_EDGE('',*,*,#183704,.F.); #241924=ORIENTED_EDGE('',*,*,#183705,.F.); #241925=ORIENTED_EDGE('',*,*,#183706,.T.); #241926=ORIENTED_EDGE('',*,*,#183705,.T.); #241927=ORIENTED_EDGE('',*,*,#183707,.F.); #241928=ORIENTED_EDGE('',*,*,#183708,.F.); #241929=ORIENTED_EDGE('',*,*,#183709,.T.); #241930=ORIENTED_EDGE('',*,*,#183708,.T.); #241931=ORIENTED_EDGE('',*,*,#183710,.F.); #241932=ORIENTED_EDGE('',*,*,#183711,.F.); #241933=ORIENTED_EDGE('',*,*,#183712,.T.); #241934=ORIENTED_EDGE('',*,*,#183711,.T.); #241935=ORIENTED_EDGE('',*,*,#183713,.F.); #241936=ORIENTED_EDGE('',*,*,#183714,.F.); #241937=ORIENTED_EDGE('',*,*,#183715,.T.); #241938=ORIENTED_EDGE('',*,*,#183714,.T.); #241939=ORIENTED_EDGE('',*,*,#183716,.F.); #241940=ORIENTED_EDGE('',*,*,#183717,.F.); #241941=ORIENTED_EDGE('',*,*,#183718,.T.); #241942=ORIENTED_EDGE('',*,*,#183717,.T.); #241943=ORIENTED_EDGE('',*,*,#183719,.F.); #241944=ORIENTED_EDGE('',*,*,#183720,.F.); #241945=ORIENTED_EDGE('',*,*,#183721,.T.); #241946=ORIENTED_EDGE('',*,*,#183720,.T.); #241947=ORIENTED_EDGE('',*,*,#183722,.F.); #241948=ORIENTED_EDGE('',*,*,#183723,.F.); #241949=ORIENTED_EDGE('',*,*,#183724,.T.); #241950=ORIENTED_EDGE('',*,*,#183723,.T.); #241951=ORIENTED_EDGE('',*,*,#183725,.F.); #241952=ORIENTED_EDGE('',*,*,#183726,.F.); #241953=ORIENTED_EDGE('',*,*,#183727,.T.); #241954=ORIENTED_EDGE('',*,*,#183726,.T.); #241955=ORIENTED_EDGE('',*,*,#183728,.F.); #241956=ORIENTED_EDGE('',*,*,#183729,.F.); #241957=ORIENTED_EDGE('',*,*,#183730,.T.); #241958=ORIENTED_EDGE('',*,*,#183729,.T.); #241959=ORIENTED_EDGE('',*,*,#183731,.F.); #241960=ORIENTED_EDGE('',*,*,#183732,.F.); #241961=ORIENTED_EDGE('',*,*,#183733,.T.); #241962=ORIENTED_EDGE('',*,*,#183732,.T.); #241963=ORIENTED_EDGE('',*,*,#183734,.F.); #241964=ORIENTED_EDGE('',*,*,#183735,.F.); #241965=ORIENTED_EDGE('',*,*,#183736,.T.); #241966=ORIENTED_EDGE('',*,*,#183735,.T.); #241967=ORIENTED_EDGE('',*,*,#183737,.F.); #241968=ORIENTED_EDGE('',*,*,#183738,.F.); #241969=ORIENTED_EDGE('',*,*,#183739,.T.); #241970=ORIENTED_EDGE('',*,*,#183738,.T.); #241971=ORIENTED_EDGE('',*,*,#183740,.F.); #241972=ORIENTED_EDGE('',*,*,#183741,.F.); #241973=ORIENTED_EDGE('',*,*,#183742,.T.); #241974=ORIENTED_EDGE('',*,*,#183741,.T.); #241975=ORIENTED_EDGE('',*,*,#183743,.F.); #241976=ORIENTED_EDGE('',*,*,#183744,.F.); #241977=ORIENTED_EDGE('',*,*,#183745,.T.); #241978=ORIENTED_EDGE('',*,*,#183744,.T.); #241979=ORIENTED_EDGE('',*,*,#183746,.F.); #241980=ORIENTED_EDGE('',*,*,#183691,.F.); #241981=ORIENTED_EDGE('',*,*,#183746,.T.); #241982=ORIENTED_EDGE('',*,*,#183743,.T.); #241983=ORIENTED_EDGE('',*,*,#183740,.T.); #241984=ORIENTED_EDGE('',*,*,#183737,.T.); #241985=ORIENTED_EDGE('',*,*,#183734,.T.); #241986=ORIENTED_EDGE('',*,*,#183731,.T.); #241987=ORIENTED_EDGE('',*,*,#183728,.T.); #241988=ORIENTED_EDGE('',*,*,#183725,.T.); #241989=ORIENTED_EDGE('',*,*,#183722,.T.); #241990=ORIENTED_EDGE('',*,*,#183719,.T.); #241991=ORIENTED_EDGE('',*,*,#183716,.T.); #241992=ORIENTED_EDGE('',*,*,#183713,.T.); #241993=ORIENTED_EDGE('',*,*,#183710,.T.); #241994=ORIENTED_EDGE('',*,*,#183707,.T.); #241995=ORIENTED_EDGE('',*,*,#183704,.T.); #241996=ORIENTED_EDGE('',*,*,#183701,.T.); #241997=ORIENTED_EDGE('',*,*,#183698,.T.); #241998=ORIENTED_EDGE('',*,*,#183695,.T.); #241999=ORIENTED_EDGE('',*,*,#183692,.T.); #242000=ORIENTED_EDGE('',*,*,#183745,.F.); #242001=ORIENTED_EDGE('',*,*,#183690,.F.); #242002=ORIENTED_EDGE('',*,*,#183694,.F.); #242003=ORIENTED_EDGE('',*,*,#183697,.F.); #242004=ORIENTED_EDGE('',*,*,#183700,.F.); #242005=ORIENTED_EDGE('',*,*,#183703,.F.); #242006=ORIENTED_EDGE('',*,*,#183706,.F.); #242007=ORIENTED_EDGE('',*,*,#183709,.F.); #242008=ORIENTED_EDGE('',*,*,#183712,.F.); #242009=ORIENTED_EDGE('',*,*,#183715,.F.); #242010=ORIENTED_EDGE('',*,*,#183718,.F.); #242011=ORIENTED_EDGE('',*,*,#183721,.F.); #242012=ORIENTED_EDGE('',*,*,#183724,.F.); #242013=ORIENTED_EDGE('',*,*,#183727,.F.); #242014=ORIENTED_EDGE('',*,*,#183730,.F.); #242015=ORIENTED_EDGE('',*,*,#183733,.F.); #242016=ORIENTED_EDGE('',*,*,#183736,.F.); #242017=ORIENTED_EDGE('',*,*,#183739,.F.); #242018=ORIENTED_EDGE('',*,*,#183742,.F.); #242019=ORIENTED_EDGE('',*,*,#183747,.T.); #242020=ORIENTED_EDGE('',*,*,#183748,.T.); #242021=ORIENTED_EDGE('',*,*,#183749,.F.); #242022=ORIENTED_EDGE('',*,*,#183750,.F.); #242023=ORIENTED_EDGE('',*,*,#183751,.T.); #242024=ORIENTED_EDGE('',*,*,#183750,.T.); #242025=ORIENTED_EDGE('',*,*,#183752,.F.); #242026=ORIENTED_EDGE('',*,*,#183753,.F.); #242027=ORIENTED_EDGE('',*,*,#183754,.T.); #242028=ORIENTED_EDGE('',*,*,#183753,.T.); #242029=ORIENTED_EDGE('',*,*,#183755,.F.); #242030=ORIENTED_EDGE('',*,*,#183756,.F.); #242031=ORIENTED_EDGE('',*,*,#183757,.T.); #242032=ORIENTED_EDGE('',*,*,#183756,.T.); #242033=ORIENTED_EDGE('',*,*,#183758,.F.); #242034=ORIENTED_EDGE('',*,*,#183759,.F.); #242035=ORIENTED_EDGE('',*,*,#183760,.T.); #242036=ORIENTED_EDGE('',*,*,#183759,.T.); #242037=ORIENTED_EDGE('',*,*,#183761,.F.); #242038=ORIENTED_EDGE('',*,*,#183762,.F.); #242039=ORIENTED_EDGE('',*,*,#183763,.T.); #242040=ORIENTED_EDGE('',*,*,#183762,.T.); #242041=ORIENTED_EDGE('',*,*,#183764,.F.); #242042=ORIENTED_EDGE('',*,*,#183765,.F.); #242043=ORIENTED_EDGE('',*,*,#183766,.T.); #242044=ORIENTED_EDGE('',*,*,#183765,.T.); #242045=ORIENTED_EDGE('',*,*,#183767,.F.); #242046=ORIENTED_EDGE('',*,*,#183768,.F.); #242047=ORIENTED_EDGE('',*,*,#183769,.T.); #242048=ORIENTED_EDGE('',*,*,#183768,.T.); #242049=ORIENTED_EDGE('',*,*,#183770,.F.); #242050=ORIENTED_EDGE('',*,*,#183771,.F.); #242051=ORIENTED_EDGE('',*,*,#183772,.T.); #242052=ORIENTED_EDGE('',*,*,#183771,.T.); #242053=ORIENTED_EDGE('',*,*,#183773,.F.); #242054=ORIENTED_EDGE('',*,*,#183774,.F.); #242055=ORIENTED_EDGE('',*,*,#183775,.T.); #242056=ORIENTED_EDGE('',*,*,#183774,.T.); #242057=ORIENTED_EDGE('',*,*,#183776,.F.); #242058=ORIENTED_EDGE('',*,*,#183777,.F.); #242059=ORIENTED_EDGE('',*,*,#183778,.T.); #242060=ORIENTED_EDGE('',*,*,#183777,.T.); #242061=ORIENTED_EDGE('',*,*,#183779,.F.); #242062=ORIENTED_EDGE('',*,*,#183780,.F.); #242063=ORIENTED_EDGE('',*,*,#183781,.T.); #242064=ORIENTED_EDGE('',*,*,#183780,.T.); #242065=ORIENTED_EDGE('',*,*,#183782,.F.); #242066=ORIENTED_EDGE('',*,*,#183783,.F.); #242067=ORIENTED_EDGE('',*,*,#183784,.T.); #242068=ORIENTED_EDGE('',*,*,#183783,.T.); #242069=ORIENTED_EDGE('',*,*,#183785,.F.); #242070=ORIENTED_EDGE('',*,*,#183786,.F.); #242071=ORIENTED_EDGE('',*,*,#183787,.T.); #242072=ORIENTED_EDGE('',*,*,#183786,.T.); #242073=ORIENTED_EDGE('',*,*,#183788,.F.); #242074=ORIENTED_EDGE('',*,*,#183789,.F.); #242075=ORIENTED_EDGE('',*,*,#183790,.T.); #242076=ORIENTED_EDGE('',*,*,#183789,.T.); #242077=ORIENTED_EDGE('',*,*,#183791,.F.); #242078=ORIENTED_EDGE('',*,*,#183792,.F.); #242079=ORIENTED_EDGE('',*,*,#183793,.T.); #242080=ORIENTED_EDGE('',*,*,#183792,.T.); #242081=ORIENTED_EDGE('',*,*,#183794,.F.); #242082=ORIENTED_EDGE('',*,*,#183795,.F.); #242083=ORIENTED_EDGE('',*,*,#183796,.T.); #242084=ORIENTED_EDGE('',*,*,#183795,.T.); #242085=ORIENTED_EDGE('',*,*,#183797,.F.); #242086=ORIENTED_EDGE('',*,*,#183798,.F.); #242087=ORIENTED_EDGE('',*,*,#183799,.T.); #242088=ORIENTED_EDGE('',*,*,#183798,.T.); #242089=ORIENTED_EDGE('',*,*,#183800,.F.); #242090=ORIENTED_EDGE('',*,*,#183801,.F.); #242091=ORIENTED_EDGE('',*,*,#183802,.T.); #242092=ORIENTED_EDGE('',*,*,#183801,.T.); #242093=ORIENTED_EDGE('',*,*,#183803,.F.); #242094=ORIENTED_EDGE('',*,*,#183748,.F.); #242095=ORIENTED_EDGE('',*,*,#183803,.T.); #242096=ORIENTED_EDGE('',*,*,#183800,.T.); #242097=ORIENTED_EDGE('',*,*,#183797,.T.); #242098=ORIENTED_EDGE('',*,*,#183794,.T.); #242099=ORIENTED_EDGE('',*,*,#183791,.T.); #242100=ORIENTED_EDGE('',*,*,#183788,.T.); #242101=ORIENTED_EDGE('',*,*,#183785,.T.); #242102=ORIENTED_EDGE('',*,*,#183782,.T.); #242103=ORIENTED_EDGE('',*,*,#183779,.T.); #242104=ORIENTED_EDGE('',*,*,#183776,.T.); #242105=ORIENTED_EDGE('',*,*,#183773,.T.); #242106=ORIENTED_EDGE('',*,*,#183770,.T.); #242107=ORIENTED_EDGE('',*,*,#183767,.T.); #242108=ORIENTED_EDGE('',*,*,#183764,.T.); #242109=ORIENTED_EDGE('',*,*,#183761,.T.); #242110=ORIENTED_EDGE('',*,*,#183758,.T.); #242111=ORIENTED_EDGE('',*,*,#183755,.T.); #242112=ORIENTED_EDGE('',*,*,#183752,.T.); #242113=ORIENTED_EDGE('',*,*,#183749,.T.); #242114=ORIENTED_EDGE('',*,*,#183802,.F.); #242115=ORIENTED_EDGE('',*,*,#183747,.F.); #242116=ORIENTED_EDGE('',*,*,#183751,.F.); #242117=ORIENTED_EDGE('',*,*,#183754,.F.); #242118=ORIENTED_EDGE('',*,*,#183757,.F.); #242119=ORIENTED_EDGE('',*,*,#183760,.F.); #242120=ORIENTED_EDGE('',*,*,#183763,.F.); #242121=ORIENTED_EDGE('',*,*,#183766,.F.); #242122=ORIENTED_EDGE('',*,*,#183769,.F.); #242123=ORIENTED_EDGE('',*,*,#183772,.F.); #242124=ORIENTED_EDGE('',*,*,#183775,.F.); #242125=ORIENTED_EDGE('',*,*,#183778,.F.); #242126=ORIENTED_EDGE('',*,*,#183781,.F.); #242127=ORIENTED_EDGE('',*,*,#183784,.F.); #242128=ORIENTED_EDGE('',*,*,#183787,.F.); #242129=ORIENTED_EDGE('',*,*,#183790,.F.); #242130=ORIENTED_EDGE('',*,*,#183793,.F.); #242131=ORIENTED_EDGE('',*,*,#183796,.F.); #242132=ORIENTED_EDGE('',*,*,#183799,.F.); #242133=ORIENTED_EDGE('',*,*,#183804,.T.); #242134=ORIENTED_EDGE('',*,*,#183805,.T.); #242135=ORIENTED_EDGE('',*,*,#183806,.F.); #242136=ORIENTED_EDGE('',*,*,#183807,.F.); #242137=ORIENTED_EDGE('',*,*,#183808,.T.); #242138=ORIENTED_EDGE('',*,*,#183807,.T.); #242139=ORIENTED_EDGE('',*,*,#183809,.F.); #242140=ORIENTED_EDGE('',*,*,#183810,.F.); #242141=ORIENTED_EDGE('',*,*,#183811,.T.); #242142=ORIENTED_EDGE('',*,*,#183810,.T.); #242143=ORIENTED_EDGE('',*,*,#183812,.F.); #242144=ORIENTED_EDGE('',*,*,#183813,.F.); #242145=ORIENTED_EDGE('',*,*,#183814,.T.); #242146=ORIENTED_EDGE('',*,*,#183813,.T.); #242147=ORIENTED_EDGE('',*,*,#183815,.F.); #242148=ORIENTED_EDGE('',*,*,#183816,.F.); #242149=ORIENTED_EDGE('',*,*,#183817,.T.); #242150=ORIENTED_EDGE('',*,*,#183816,.T.); #242151=ORIENTED_EDGE('',*,*,#183818,.F.); #242152=ORIENTED_EDGE('',*,*,#183819,.F.); #242153=ORIENTED_EDGE('',*,*,#183820,.T.); #242154=ORIENTED_EDGE('',*,*,#183819,.T.); #242155=ORIENTED_EDGE('',*,*,#183821,.F.); #242156=ORIENTED_EDGE('',*,*,#183822,.F.); #242157=ORIENTED_EDGE('',*,*,#183823,.T.); #242158=ORIENTED_EDGE('',*,*,#183822,.T.); #242159=ORIENTED_EDGE('',*,*,#183824,.F.); #242160=ORIENTED_EDGE('',*,*,#183825,.F.); #242161=ORIENTED_EDGE('',*,*,#183826,.T.); #242162=ORIENTED_EDGE('',*,*,#183825,.T.); #242163=ORIENTED_EDGE('',*,*,#183827,.F.); #242164=ORIENTED_EDGE('',*,*,#183828,.F.); #242165=ORIENTED_EDGE('',*,*,#183829,.T.); #242166=ORIENTED_EDGE('',*,*,#183828,.T.); #242167=ORIENTED_EDGE('',*,*,#183830,.F.); #242168=ORIENTED_EDGE('',*,*,#183831,.F.); #242169=ORIENTED_EDGE('',*,*,#183832,.T.); #242170=ORIENTED_EDGE('',*,*,#183831,.T.); #242171=ORIENTED_EDGE('',*,*,#183833,.F.); #242172=ORIENTED_EDGE('',*,*,#183834,.F.); #242173=ORIENTED_EDGE('',*,*,#183835,.T.); #242174=ORIENTED_EDGE('',*,*,#183834,.T.); #242175=ORIENTED_EDGE('',*,*,#183836,.F.); #242176=ORIENTED_EDGE('',*,*,#183837,.F.); #242177=ORIENTED_EDGE('',*,*,#183838,.T.); #242178=ORIENTED_EDGE('',*,*,#183837,.T.); #242179=ORIENTED_EDGE('',*,*,#183839,.F.); #242180=ORIENTED_EDGE('',*,*,#183840,.F.); #242181=ORIENTED_EDGE('',*,*,#183841,.T.); #242182=ORIENTED_EDGE('',*,*,#183840,.T.); #242183=ORIENTED_EDGE('',*,*,#183842,.F.); #242184=ORIENTED_EDGE('',*,*,#183843,.F.); #242185=ORIENTED_EDGE('',*,*,#183844,.T.); #242186=ORIENTED_EDGE('',*,*,#183843,.T.); #242187=ORIENTED_EDGE('',*,*,#183845,.F.); #242188=ORIENTED_EDGE('',*,*,#183846,.F.); #242189=ORIENTED_EDGE('',*,*,#183847,.T.); #242190=ORIENTED_EDGE('',*,*,#183846,.T.); #242191=ORIENTED_EDGE('',*,*,#183848,.F.); #242192=ORIENTED_EDGE('',*,*,#183849,.F.); #242193=ORIENTED_EDGE('',*,*,#183850,.T.); #242194=ORIENTED_EDGE('',*,*,#183849,.T.); #242195=ORIENTED_EDGE('',*,*,#183851,.F.); #242196=ORIENTED_EDGE('',*,*,#183852,.F.); #242197=ORIENTED_EDGE('',*,*,#183853,.T.); #242198=ORIENTED_EDGE('',*,*,#183852,.T.); #242199=ORIENTED_EDGE('',*,*,#183854,.F.); #242200=ORIENTED_EDGE('',*,*,#183855,.F.); #242201=ORIENTED_EDGE('',*,*,#183856,.T.); #242202=ORIENTED_EDGE('',*,*,#183855,.T.); #242203=ORIENTED_EDGE('',*,*,#183857,.F.); #242204=ORIENTED_EDGE('',*,*,#183858,.F.); #242205=ORIENTED_EDGE('',*,*,#183859,.T.); #242206=ORIENTED_EDGE('',*,*,#183858,.T.); #242207=ORIENTED_EDGE('',*,*,#183860,.F.); #242208=ORIENTED_EDGE('',*,*,#183861,.F.); #242209=ORIENTED_EDGE('',*,*,#183862,.T.); #242210=ORIENTED_EDGE('',*,*,#183861,.T.); #242211=ORIENTED_EDGE('',*,*,#183863,.F.); #242212=ORIENTED_EDGE('',*,*,#183864,.F.); #242213=ORIENTED_EDGE('',*,*,#183865,.T.); #242214=ORIENTED_EDGE('',*,*,#183864,.T.); #242215=ORIENTED_EDGE('',*,*,#183866,.F.); #242216=ORIENTED_EDGE('',*,*,#183867,.F.); #242217=ORIENTED_EDGE('',*,*,#183868,.T.); #242218=ORIENTED_EDGE('',*,*,#183867,.T.); #242219=ORIENTED_EDGE('',*,*,#183869,.F.); #242220=ORIENTED_EDGE('',*,*,#183870,.F.); #242221=ORIENTED_EDGE('',*,*,#183871,.T.); #242222=ORIENTED_EDGE('',*,*,#183870,.T.); #242223=ORIENTED_EDGE('',*,*,#183872,.F.); #242224=ORIENTED_EDGE('',*,*,#183873,.F.); #242225=ORIENTED_EDGE('',*,*,#183874,.T.); #242226=ORIENTED_EDGE('',*,*,#183873,.T.); #242227=ORIENTED_EDGE('',*,*,#183875,.F.); #242228=ORIENTED_EDGE('',*,*,#183876,.F.); #242229=ORIENTED_EDGE('',*,*,#183877,.T.); #242230=ORIENTED_EDGE('',*,*,#183876,.T.); #242231=ORIENTED_EDGE('',*,*,#183878,.F.); #242232=ORIENTED_EDGE('',*,*,#183879,.F.); #242233=ORIENTED_EDGE('',*,*,#183880,.T.); #242234=ORIENTED_EDGE('',*,*,#183879,.T.); #242235=ORIENTED_EDGE('',*,*,#183881,.F.); #242236=ORIENTED_EDGE('',*,*,#183882,.F.); #242237=ORIENTED_EDGE('',*,*,#183883,.T.); #242238=ORIENTED_EDGE('',*,*,#183882,.T.); #242239=ORIENTED_EDGE('',*,*,#183884,.F.); #242240=ORIENTED_EDGE('',*,*,#183885,.F.); #242241=ORIENTED_EDGE('',*,*,#183886,.T.); #242242=ORIENTED_EDGE('',*,*,#183885,.T.); #242243=ORIENTED_EDGE('',*,*,#183887,.F.); #242244=ORIENTED_EDGE('',*,*,#183888,.F.); #242245=ORIENTED_EDGE('',*,*,#183889,.T.); #242246=ORIENTED_EDGE('',*,*,#183888,.T.); #242247=ORIENTED_EDGE('',*,*,#183890,.F.); #242248=ORIENTED_EDGE('',*,*,#183891,.F.); #242249=ORIENTED_EDGE('',*,*,#183892,.T.); #242250=ORIENTED_EDGE('',*,*,#183891,.T.); #242251=ORIENTED_EDGE('',*,*,#183893,.F.); #242252=ORIENTED_EDGE('',*,*,#183894,.F.); #242253=ORIENTED_EDGE('',*,*,#183895,.T.); #242254=ORIENTED_EDGE('',*,*,#183894,.T.); #242255=ORIENTED_EDGE('',*,*,#183896,.F.); #242256=ORIENTED_EDGE('',*,*,#183897,.F.); #242257=ORIENTED_EDGE('',*,*,#183898,.T.); #242258=ORIENTED_EDGE('',*,*,#183897,.T.); #242259=ORIENTED_EDGE('',*,*,#183899,.F.); #242260=ORIENTED_EDGE('',*,*,#183900,.F.); #242261=ORIENTED_EDGE('',*,*,#183901,.T.); #242262=ORIENTED_EDGE('',*,*,#183900,.T.); #242263=ORIENTED_EDGE('',*,*,#183902,.F.); #242264=ORIENTED_EDGE('',*,*,#183903,.F.); #242265=ORIENTED_EDGE('',*,*,#183904,.T.); #242266=ORIENTED_EDGE('',*,*,#183903,.T.); #242267=ORIENTED_EDGE('',*,*,#183905,.F.); #242268=ORIENTED_EDGE('',*,*,#183906,.F.); #242269=ORIENTED_EDGE('',*,*,#183907,.T.); #242270=ORIENTED_EDGE('',*,*,#183906,.T.); #242271=ORIENTED_EDGE('',*,*,#183908,.F.); #242272=ORIENTED_EDGE('',*,*,#183909,.F.); #242273=ORIENTED_EDGE('',*,*,#183910,.T.); #242274=ORIENTED_EDGE('',*,*,#183909,.T.); #242275=ORIENTED_EDGE('',*,*,#183911,.F.); #242276=ORIENTED_EDGE('',*,*,#183912,.F.); #242277=ORIENTED_EDGE('',*,*,#183913,.T.); #242278=ORIENTED_EDGE('',*,*,#183912,.T.); #242279=ORIENTED_EDGE('',*,*,#183914,.F.); #242280=ORIENTED_EDGE('',*,*,#183805,.F.); #242281=ORIENTED_EDGE('',*,*,#183914,.T.); #242282=ORIENTED_EDGE('',*,*,#183911,.T.); #242283=ORIENTED_EDGE('',*,*,#183908,.T.); #242284=ORIENTED_EDGE('',*,*,#183905,.T.); #242285=ORIENTED_EDGE('',*,*,#183902,.T.); #242286=ORIENTED_EDGE('',*,*,#183899,.T.); #242287=ORIENTED_EDGE('',*,*,#183896,.T.); #242288=ORIENTED_EDGE('',*,*,#183893,.T.); #242289=ORIENTED_EDGE('',*,*,#183890,.T.); #242290=ORIENTED_EDGE('',*,*,#183887,.T.); #242291=ORIENTED_EDGE('',*,*,#183884,.T.); #242292=ORIENTED_EDGE('',*,*,#183881,.T.); #242293=ORIENTED_EDGE('',*,*,#183878,.T.); #242294=ORIENTED_EDGE('',*,*,#183875,.T.); #242295=ORIENTED_EDGE('',*,*,#183872,.T.); #242296=ORIENTED_EDGE('',*,*,#183869,.T.); #242297=ORIENTED_EDGE('',*,*,#183866,.T.); #242298=ORIENTED_EDGE('',*,*,#183863,.T.); #242299=ORIENTED_EDGE('',*,*,#183860,.T.); #242300=ORIENTED_EDGE('',*,*,#183857,.T.); #242301=ORIENTED_EDGE('',*,*,#183854,.T.); #242302=ORIENTED_EDGE('',*,*,#183851,.T.); #242303=ORIENTED_EDGE('',*,*,#183848,.T.); #242304=ORIENTED_EDGE('',*,*,#183845,.T.); #242305=ORIENTED_EDGE('',*,*,#183842,.T.); #242306=ORIENTED_EDGE('',*,*,#183839,.T.); #242307=ORIENTED_EDGE('',*,*,#183836,.T.); #242308=ORIENTED_EDGE('',*,*,#183833,.T.); #242309=ORIENTED_EDGE('',*,*,#183830,.T.); #242310=ORIENTED_EDGE('',*,*,#183827,.T.); #242311=ORIENTED_EDGE('',*,*,#183824,.T.); #242312=ORIENTED_EDGE('',*,*,#183821,.T.); #242313=ORIENTED_EDGE('',*,*,#183818,.T.); #242314=ORIENTED_EDGE('',*,*,#183815,.T.); #242315=ORIENTED_EDGE('',*,*,#183812,.T.); #242316=ORIENTED_EDGE('',*,*,#183809,.T.); #242317=ORIENTED_EDGE('',*,*,#183806,.T.); #242318=ORIENTED_EDGE('',*,*,#183913,.F.); #242319=ORIENTED_EDGE('',*,*,#183804,.F.); #242320=ORIENTED_EDGE('',*,*,#183808,.F.); #242321=ORIENTED_EDGE('',*,*,#183811,.F.); #242322=ORIENTED_EDGE('',*,*,#183814,.F.); #242323=ORIENTED_EDGE('',*,*,#183817,.F.); #242324=ORIENTED_EDGE('',*,*,#183820,.F.); #242325=ORIENTED_EDGE('',*,*,#183823,.F.); #242326=ORIENTED_EDGE('',*,*,#183826,.F.); #242327=ORIENTED_EDGE('',*,*,#183829,.F.); #242328=ORIENTED_EDGE('',*,*,#183832,.F.); #242329=ORIENTED_EDGE('',*,*,#183835,.F.); #242330=ORIENTED_EDGE('',*,*,#183838,.F.); #242331=ORIENTED_EDGE('',*,*,#183841,.F.); #242332=ORIENTED_EDGE('',*,*,#183844,.F.); #242333=ORIENTED_EDGE('',*,*,#183847,.F.); #242334=ORIENTED_EDGE('',*,*,#183850,.F.); #242335=ORIENTED_EDGE('',*,*,#183853,.F.); #242336=ORIENTED_EDGE('',*,*,#183856,.F.); #242337=ORIENTED_EDGE('',*,*,#183859,.F.); #242338=ORIENTED_EDGE('',*,*,#183862,.F.); #242339=ORIENTED_EDGE('',*,*,#183865,.F.); #242340=ORIENTED_EDGE('',*,*,#183868,.F.); #242341=ORIENTED_EDGE('',*,*,#183871,.F.); #242342=ORIENTED_EDGE('',*,*,#183874,.F.); #242343=ORIENTED_EDGE('',*,*,#183877,.F.); #242344=ORIENTED_EDGE('',*,*,#183880,.F.); #242345=ORIENTED_EDGE('',*,*,#183883,.F.); #242346=ORIENTED_EDGE('',*,*,#183886,.F.); #242347=ORIENTED_EDGE('',*,*,#183889,.F.); #242348=ORIENTED_EDGE('',*,*,#183892,.F.); #242349=ORIENTED_EDGE('',*,*,#183895,.F.); #242350=ORIENTED_EDGE('',*,*,#183898,.F.); #242351=ORIENTED_EDGE('',*,*,#183901,.F.); #242352=ORIENTED_EDGE('',*,*,#183904,.F.); #242353=ORIENTED_EDGE('',*,*,#183907,.F.); #242354=ORIENTED_EDGE('',*,*,#183910,.F.); #242355=ORIENTED_EDGE('',*,*,#183915,.T.); #242356=ORIENTED_EDGE('',*,*,#183916,.T.); #242357=ORIENTED_EDGE('',*,*,#183917,.F.); #242358=ORIENTED_EDGE('',*,*,#183918,.F.); #242359=ORIENTED_EDGE('',*,*,#183919,.T.); #242360=ORIENTED_EDGE('',*,*,#183918,.T.); #242361=ORIENTED_EDGE('',*,*,#183920,.F.); #242362=ORIENTED_EDGE('',*,*,#183921,.F.); #242363=ORIENTED_EDGE('',*,*,#183922,.T.); #242364=ORIENTED_EDGE('',*,*,#183921,.T.); #242365=ORIENTED_EDGE('',*,*,#183923,.F.); #242366=ORIENTED_EDGE('',*,*,#183924,.F.); #242367=ORIENTED_EDGE('',*,*,#183925,.T.); #242368=ORIENTED_EDGE('',*,*,#183924,.T.); #242369=ORIENTED_EDGE('',*,*,#183926,.F.); #242370=ORIENTED_EDGE('',*,*,#183927,.F.); #242371=ORIENTED_EDGE('',*,*,#183928,.T.); #242372=ORIENTED_EDGE('',*,*,#183927,.T.); #242373=ORIENTED_EDGE('',*,*,#183929,.F.); #242374=ORIENTED_EDGE('',*,*,#183930,.F.); #242375=ORIENTED_EDGE('',*,*,#183931,.T.); #242376=ORIENTED_EDGE('',*,*,#183930,.T.); #242377=ORIENTED_EDGE('',*,*,#183932,.F.); #242378=ORIENTED_EDGE('',*,*,#183933,.F.); #242379=ORIENTED_EDGE('',*,*,#183934,.T.); #242380=ORIENTED_EDGE('',*,*,#183933,.T.); #242381=ORIENTED_EDGE('',*,*,#183935,.F.); #242382=ORIENTED_EDGE('',*,*,#183936,.F.); #242383=ORIENTED_EDGE('',*,*,#183937,.T.); #242384=ORIENTED_EDGE('',*,*,#183936,.T.); #242385=ORIENTED_EDGE('',*,*,#183938,.F.); #242386=ORIENTED_EDGE('',*,*,#183939,.F.); #242387=ORIENTED_EDGE('',*,*,#183940,.T.); #242388=ORIENTED_EDGE('',*,*,#183939,.T.); #242389=ORIENTED_EDGE('',*,*,#183941,.F.); #242390=ORIENTED_EDGE('',*,*,#183942,.F.); #242391=ORIENTED_EDGE('',*,*,#183943,.T.); #242392=ORIENTED_EDGE('',*,*,#183942,.T.); #242393=ORIENTED_EDGE('',*,*,#183944,.F.); #242394=ORIENTED_EDGE('',*,*,#183945,.F.); #242395=ORIENTED_EDGE('',*,*,#183946,.T.); #242396=ORIENTED_EDGE('',*,*,#183945,.T.); #242397=ORIENTED_EDGE('',*,*,#183947,.F.); #242398=ORIENTED_EDGE('',*,*,#183948,.F.); #242399=ORIENTED_EDGE('',*,*,#183949,.T.); #242400=ORIENTED_EDGE('',*,*,#183948,.T.); #242401=ORIENTED_EDGE('',*,*,#183950,.F.); #242402=ORIENTED_EDGE('',*,*,#183951,.F.); #242403=ORIENTED_EDGE('',*,*,#183952,.T.); #242404=ORIENTED_EDGE('',*,*,#183951,.T.); #242405=ORIENTED_EDGE('',*,*,#183953,.F.); #242406=ORIENTED_EDGE('',*,*,#183954,.F.); #242407=ORIENTED_EDGE('',*,*,#183955,.T.); #242408=ORIENTED_EDGE('',*,*,#183954,.T.); #242409=ORIENTED_EDGE('',*,*,#183956,.F.); #242410=ORIENTED_EDGE('',*,*,#183957,.F.); #242411=ORIENTED_EDGE('',*,*,#183958,.T.); #242412=ORIENTED_EDGE('',*,*,#183957,.T.); #242413=ORIENTED_EDGE('',*,*,#183959,.F.); #242414=ORIENTED_EDGE('',*,*,#183960,.F.); #242415=ORIENTED_EDGE('',*,*,#183961,.T.); #242416=ORIENTED_EDGE('',*,*,#183960,.T.); #242417=ORIENTED_EDGE('',*,*,#183962,.F.); #242418=ORIENTED_EDGE('',*,*,#183963,.F.); #242419=ORIENTED_EDGE('',*,*,#183964,.T.); #242420=ORIENTED_EDGE('',*,*,#183963,.T.); #242421=ORIENTED_EDGE('',*,*,#183965,.F.); #242422=ORIENTED_EDGE('',*,*,#183966,.F.); #242423=ORIENTED_EDGE('',*,*,#183967,.T.); #242424=ORIENTED_EDGE('',*,*,#183966,.T.); #242425=ORIENTED_EDGE('',*,*,#183968,.F.); #242426=ORIENTED_EDGE('',*,*,#183969,.F.); #242427=ORIENTED_EDGE('',*,*,#183970,.T.); #242428=ORIENTED_EDGE('',*,*,#183969,.T.); #242429=ORIENTED_EDGE('',*,*,#183971,.F.); #242430=ORIENTED_EDGE('',*,*,#183972,.F.); #242431=ORIENTED_EDGE('',*,*,#183973,.T.); #242432=ORIENTED_EDGE('',*,*,#183972,.T.); #242433=ORIENTED_EDGE('',*,*,#183974,.F.); #242434=ORIENTED_EDGE('',*,*,#183916,.F.); #242435=ORIENTED_EDGE('',*,*,#183974,.T.); #242436=ORIENTED_EDGE('',*,*,#183971,.T.); #242437=ORIENTED_EDGE('',*,*,#183968,.T.); #242438=ORIENTED_EDGE('',*,*,#183965,.T.); #242439=ORIENTED_EDGE('',*,*,#183962,.T.); #242440=ORIENTED_EDGE('',*,*,#183959,.T.); #242441=ORIENTED_EDGE('',*,*,#183956,.T.); #242442=ORIENTED_EDGE('',*,*,#183953,.T.); #242443=ORIENTED_EDGE('',*,*,#183950,.T.); #242444=ORIENTED_EDGE('',*,*,#183947,.T.); #242445=ORIENTED_EDGE('',*,*,#183944,.T.); #242446=ORIENTED_EDGE('',*,*,#183941,.T.); #242447=ORIENTED_EDGE('',*,*,#183938,.T.); #242448=ORIENTED_EDGE('',*,*,#183935,.T.); #242449=ORIENTED_EDGE('',*,*,#183932,.T.); #242450=ORIENTED_EDGE('',*,*,#183929,.T.); #242451=ORIENTED_EDGE('',*,*,#183926,.T.); #242452=ORIENTED_EDGE('',*,*,#183923,.T.); #242453=ORIENTED_EDGE('',*,*,#183920,.T.); #242454=ORIENTED_EDGE('',*,*,#183917,.T.); #242455=ORIENTED_EDGE('',*,*,#183973,.F.); #242456=ORIENTED_EDGE('',*,*,#183915,.F.); #242457=ORIENTED_EDGE('',*,*,#183919,.F.); #242458=ORIENTED_EDGE('',*,*,#183922,.F.); #242459=ORIENTED_EDGE('',*,*,#183925,.F.); #242460=ORIENTED_EDGE('',*,*,#183928,.F.); #242461=ORIENTED_EDGE('',*,*,#183931,.F.); #242462=ORIENTED_EDGE('',*,*,#183934,.F.); #242463=ORIENTED_EDGE('',*,*,#183937,.F.); #242464=ORIENTED_EDGE('',*,*,#183940,.F.); #242465=ORIENTED_EDGE('',*,*,#183943,.F.); #242466=ORIENTED_EDGE('',*,*,#183946,.F.); #242467=ORIENTED_EDGE('',*,*,#183949,.F.); #242468=ORIENTED_EDGE('',*,*,#183952,.F.); #242469=ORIENTED_EDGE('',*,*,#183955,.F.); #242470=ORIENTED_EDGE('',*,*,#183958,.F.); #242471=ORIENTED_EDGE('',*,*,#183961,.F.); #242472=ORIENTED_EDGE('',*,*,#183964,.F.); #242473=ORIENTED_EDGE('',*,*,#183967,.F.); #242474=ORIENTED_EDGE('',*,*,#183970,.F.); #242475=ORIENTED_EDGE('',*,*,#183975,.T.); #242476=ORIENTED_EDGE('',*,*,#183976,.T.); #242477=ORIENTED_EDGE('',*,*,#183977,.F.); #242478=ORIENTED_EDGE('',*,*,#183978,.F.); #242479=ORIENTED_EDGE('',*,*,#183979,.T.); #242480=ORIENTED_EDGE('',*,*,#183978,.T.); #242481=ORIENTED_EDGE('',*,*,#183980,.F.); #242482=ORIENTED_EDGE('',*,*,#183981,.F.); #242483=ORIENTED_EDGE('',*,*,#183982,.T.); #242484=ORIENTED_EDGE('',*,*,#183981,.T.); #242485=ORIENTED_EDGE('',*,*,#183983,.F.); #242486=ORIENTED_EDGE('',*,*,#183984,.F.); #242487=ORIENTED_EDGE('',*,*,#183985,.T.); #242488=ORIENTED_EDGE('',*,*,#183984,.T.); #242489=ORIENTED_EDGE('',*,*,#183986,.F.); #242490=ORIENTED_EDGE('',*,*,#183987,.F.); #242491=ORIENTED_EDGE('',*,*,#183988,.T.); #242492=ORIENTED_EDGE('',*,*,#183987,.T.); #242493=ORIENTED_EDGE('',*,*,#183989,.F.); #242494=ORIENTED_EDGE('',*,*,#183990,.F.); #242495=ORIENTED_EDGE('',*,*,#183991,.T.); #242496=ORIENTED_EDGE('',*,*,#183990,.T.); #242497=ORIENTED_EDGE('',*,*,#183992,.F.); #242498=ORIENTED_EDGE('',*,*,#183993,.F.); #242499=ORIENTED_EDGE('',*,*,#183994,.T.); #242500=ORIENTED_EDGE('',*,*,#183993,.T.); #242501=ORIENTED_EDGE('',*,*,#183995,.F.); #242502=ORIENTED_EDGE('',*,*,#183996,.F.); #242503=ORIENTED_EDGE('',*,*,#183997,.T.); #242504=ORIENTED_EDGE('',*,*,#183996,.T.); #242505=ORIENTED_EDGE('',*,*,#183998,.F.); #242506=ORIENTED_EDGE('',*,*,#183999,.F.); #242507=ORIENTED_EDGE('',*,*,#184000,.T.); #242508=ORIENTED_EDGE('',*,*,#183999,.T.); #242509=ORIENTED_EDGE('',*,*,#184001,.F.); #242510=ORIENTED_EDGE('',*,*,#184002,.F.); #242511=ORIENTED_EDGE('',*,*,#184003,.T.); #242512=ORIENTED_EDGE('',*,*,#184002,.T.); #242513=ORIENTED_EDGE('',*,*,#184004,.F.); #242514=ORIENTED_EDGE('',*,*,#184005,.F.); #242515=ORIENTED_EDGE('',*,*,#184006,.T.); #242516=ORIENTED_EDGE('',*,*,#184005,.T.); #242517=ORIENTED_EDGE('',*,*,#184007,.F.); #242518=ORIENTED_EDGE('',*,*,#184008,.F.); #242519=ORIENTED_EDGE('',*,*,#184009,.T.); #242520=ORIENTED_EDGE('',*,*,#184008,.T.); #242521=ORIENTED_EDGE('',*,*,#184010,.F.); #242522=ORIENTED_EDGE('',*,*,#184011,.F.); #242523=ORIENTED_EDGE('',*,*,#184012,.T.); #242524=ORIENTED_EDGE('',*,*,#184011,.T.); #242525=ORIENTED_EDGE('',*,*,#184013,.F.); #242526=ORIENTED_EDGE('',*,*,#184014,.F.); #242527=ORIENTED_EDGE('',*,*,#184015,.T.); #242528=ORIENTED_EDGE('',*,*,#184014,.T.); #242529=ORIENTED_EDGE('',*,*,#184016,.F.); #242530=ORIENTED_EDGE('',*,*,#184017,.F.); #242531=ORIENTED_EDGE('',*,*,#184018,.T.); #242532=ORIENTED_EDGE('',*,*,#184017,.T.); #242533=ORIENTED_EDGE('',*,*,#184019,.F.); #242534=ORIENTED_EDGE('',*,*,#184020,.F.); #242535=ORIENTED_EDGE('',*,*,#184021,.T.); #242536=ORIENTED_EDGE('',*,*,#184020,.T.); #242537=ORIENTED_EDGE('',*,*,#184022,.F.); #242538=ORIENTED_EDGE('',*,*,#184023,.F.); #242539=ORIENTED_EDGE('',*,*,#184024,.T.); #242540=ORIENTED_EDGE('',*,*,#184023,.T.); #242541=ORIENTED_EDGE('',*,*,#184025,.F.); #242542=ORIENTED_EDGE('',*,*,#184026,.F.); #242543=ORIENTED_EDGE('',*,*,#184027,.T.); #242544=ORIENTED_EDGE('',*,*,#184026,.T.); #242545=ORIENTED_EDGE('',*,*,#184028,.F.); #242546=ORIENTED_EDGE('',*,*,#184029,.F.); #242547=ORIENTED_EDGE('',*,*,#184030,.T.); #242548=ORIENTED_EDGE('',*,*,#184029,.T.); #242549=ORIENTED_EDGE('',*,*,#184031,.F.); #242550=ORIENTED_EDGE('',*,*,#184032,.F.); #242551=ORIENTED_EDGE('',*,*,#184033,.T.); #242552=ORIENTED_EDGE('',*,*,#184032,.T.); #242553=ORIENTED_EDGE('',*,*,#184034,.F.); #242554=ORIENTED_EDGE('',*,*,#184035,.F.); #242555=ORIENTED_EDGE('',*,*,#184036,.T.); #242556=ORIENTED_EDGE('',*,*,#184035,.T.); #242557=ORIENTED_EDGE('',*,*,#184037,.F.); #242558=ORIENTED_EDGE('',*,*,#184038,.F.); #242559=ORIENTED_EDGE('',*,*,#184039,.T.); #242560=ORIENTED_EDGE('',*,*,#184038,.T.); #242561=ORIENTED_EDGE('',*,*,#184040,.F.); #242562=ORIENTED_EDGE('',*,*,#184041,.F.); #242563=ORIENTED_EDGE('',*,*,#184042,.T.); #242564=ORIENTED_EDGE('',*,*,#184041,.T.); #242565=ORIENTED_EDGE('',*,*,#184043,.F.); #242566=ORIENTED_EDGE('',*,*,#184044,.F.); #242567=ORIENTED_EDGE('',*,*,#184045,.T.); #242568=ORIENTED_EDGE('',*,*,#184044,.T.); #242569=ORIENTED_EDGE('',*,*,#184046,.F.); #242570=ORIENTED_EDGE('',*,*,#184047,.F.); #242571=ORIENTED_EDGE('',*,*,#184048,.T.); #242572=ORIENTED_EDGE('',*,*,#184047,.T.); #242573=ORIENTED_EDGE('',*,*,#184049,.F.); #242574=ORIENTED_EDGE('',*,*,#184050,.F.); #242575=ORIENTED_EDGE('',*,*,#184051,.T.); #242576=ORIENTED_EDGE('',*,*,#184050,.T.); #242577=ORIENTED_EDGE('',*,*,#184052,.F.); #242578=ORIENTED_EDGE('',*,*,#184053,.F.); #242579=ORIENTED_EDGE('',*,*,#184054,.T.); #242580=ORIENTED_EDGE('',*,*,#184053,.T.); #242581=ORIENTED_EDGE('',*,*,#184055,.F.); #242582=ORIENTED_EDGE('',*,*,#184056,.F.); #242583=ORIENTED_EDGE('',*,*,#184057,.T.); #242584=ORIENTED_EDGE('',*,*,#184056,.T.); #242585=ORIENTED_EDGE('',*,*,#184058,.F.); #242586=ORIENTED_EDGE('',*,*,#184059,.F.); #242587=ORIENTED_EDGE('',*,*,#184060,.T.); #242588=ORIENTED_EDGE('',*,*,#184059,.T.); #242589=ORIENTED_EDGE('',*,*,#184061,.F.); #242590=ORIENTED_EDGE('',*,*,#184062,.F.); #242591=ORIENTED_EDGE('',*,*,#184063,.T.); #242592=ORIENTED_EDGE('',*,*,#184062,.T.); #242593=ORIENTED_EDGE('',*,*,#184064,.F.); #242594=ORIENTED_EDGE('',*,*,#184065,.F.); #242595=ORIENTED_EDGE('',*,*,#184066,.T.); #242596=ORIENTED_EDGE('',*,*,#184065,.T.); #242597=ORIENTED_EDGE('',*,*,#184067,.F.); #242598=ORIENTED_EDGE('',*,*,#184068,.F.); #242599=ORIENTED_EDGE('',*,*,#184069,.T.); #242600=ORIENTED_EDGE('',*,*,#184068,.T.); #242601=ORIENTED_EDGE('',*,*,#184070,.F.); #242602=ORIENTED_EDGE('',*,*,#184071,.F.); #242603=ORIENTED_EDGE('',*,*,#184072,.T.); #242604=ORIENTED_EDGE('',*,*,#184071,.T.); #242605=ORIENTED_EDGE('',*,*,#184073,.F.); #242606=ORIENTED_EDGE('',*,*,#184074,.F.); #242607=ORIENTED_EDGE('',*,*,#184075,.T.); #242608=ORIENTED_EDGE('',*,*,#184074,.T.); #242609=ORIENTED_EDGE('',*,*,#184076,.F.); #242610=ORIENTED_EDGE('',*,*,#184077,.F.); #242611=ORIENTED_EDGE('',*,*,#184078,.T.); #242612=ORIENTED_EDGE('',*,*,#184077,.T.); #242613=ORIENTED_EDGE('',*,*,#184079,.F.); #242614=ORIENTED_EDGE('',*,*,#184080,.F.); #242615=ORIENTED_EDGE('',*,*,#184081,.T.); #242616=ORIENTED_EDGE('',*,*,#184080,.T.); #242617=ORIENTED_EDGE('',*,*,#184082,.F.); #242618=ORIENTED_EDGE('',*,*,#184083,.F.); #242619=ORIENTED_EDGE('',*,*,#184084,.T.); #242620=ORIENTED_EDGE('',*,*,#184083,.T.); #242621=ORIENTED_EDGE('',*,*,#184085,.F.); #242622=ORIENTED_EDGE('',*,*,#184086,.F.); #242623=ORIENTED_EDGE('',*,*,#184087,.T.); #242624=ORIENTED_EDGE('',*,*,#184086,.T.); #242625=ORIENTED_EDGE('',*,*,#184088,.F.); #242626=ORIENTED_EDGE('',*,*,#184089,.F.); #242627=ORIENTED_EDGE('',*,*,#184090,.T.); #242628=ORIENTED_EDGE('',*,*,#184089,.T.); #242629=ORIENTED_EDGE('',*,*,#184091,.F.); #242630=ORIENTED_EDGE('',*,*,#184092,.F.); #242631=ORIENTED_EDGE('',*,*,#184093,.T.); #242632=ORIENTED_EDGE('',*,*,#184092,.T.); #242633=ORIENTED_EDGE('',*,*,#184094,.F.); #242634=ORIENTED_EDGE('',*,*,#184095,.F.); #242635=ORIENTED_EDGE('',*,*,#184096,.T.); #242636=ORIENTED_EDGE('',*,*,#184095,.T.); #242637=ORIENTED_EDGE('',*,*,#184097,.F.); #242638=ORIENTED_EDGE('',*,*,#184098,.F.); #242639=ORIENTED_EDGE('',*,*,#184099,.T.); #242640=ORIENTED_EDGE('',*,*,#184098,.T.); #242641=ORIENTED_EDGE('',*,*,#184100,.F.); #242642=ORIENTED_EDGE('',*,*,#184101,.F.); #242643=ORIENTED_EDGE('',*,*,#184102,.T.); #242644=ORIENTED_EDGE('',*,*,#184101,.T.); #242645=ORIENTED_EDGE('',*,*,#184103,.F.); #242646=ORIENTED_EDGE('',*,*,#184104,.F.); #242647=ORIENTED_EDGE('',*,*,#184105,.T.); #242648=ORIENTED_EDGE('',*,*,#184104,.T.); #242649=ORIENTED_EDGE('',*,*,#184106,.F.); #242650=ORIENTED_EDGE('',*,*,#184107,.F.); #242651=ORIENTED_EDGE('',*,*,#184108,.T.); #242652=ORIENTED_EDGE('',*,*,#184107,.T.); #242653=ORIENTED_EDGE('',*,*,#184109,.F.); #242654=ORIENTED_EDGE('',*,*,#184110,.F.); #242655=ORIENTED_EDGE('',*,*,#184111,.T.); #242656=ORIENTED_EDGE('',*,*,#184110,.T.); #242657=ORIENTED_EDGE('',*,*,#184112,.F.); #242658=ORIENTED_EDGE('',*,*,#184113,.F.); #242659=ORIENTED_EDGE('',*,*,#184114,.T.); #242660=ORIENTED_EDGE('',*,*,#184113,.T.); #242661=ORIENTED_EDGE('',*,*,#184115,.F.); #242662=ORIENTED_EDGE('',*,*,#184116,.F.); #242663=ORIENTED_EDGE('',*,*,#184117,.T.); #242664=ORIENTED_EDGE('',*,*,#184116,.T.); #242665=ORIENTED_EDGE('',*,*,#184118,.F.); #242666=ORIENTED_EDGE('',*,*,#183976,.F.); #242667=ORIENTED_EDGE('',*,*,#184118,.T.); #242668=ORIENTED_EDGE('',*,*,#184115,.T.); #242669=ORIENTED_EDGE('',*,*,#184112,.T.); #242670=ORIENTED_EDGE('',*,*,#184109,.T.); #242671=ORIENTED_EDGE('',*,*,#184106,.T.); #242672=ORIENTED_EDGE('',*,*,#184103,.T.); #242673=ORIENTED_EDGE('',*,*,#184100,.T.); #242674=ORIENTED_EDGE('',*,*,#184097,.T.); #242675=ORIENTED_EDGE('',*,*,#184094,.T.); #242676=ORIENTED_EDGE('',*,*,#184091,.T.); #242677=ORIENTED_EDGE('',*,*,#184088,.T.); #242678=ORIENTED_EDGE('',*,*,#184085,.T.); #242679=ORIENTED_EDGE('',*,*,#184082,.T.); #242680=ORIENTED_EDGE('',*,*,#184079,.T.); #242681=ORIENTED_EDGE('',*,*,#184076,.T.); #242682=ORIENTED_EDGE('',*,*,#184073,.T.); #242683=ORIENTED_EDGE('',*,*,#184070,.T.); #242684=ORIENTED_EDGE('',*,*,#184067,.T.); #242685=ORIENTED_EDGE('',*,*,#184064,.T.); #242686=ORIENTED_EDGE('',*,*,#184061,.T.); #242687=ORIENTED_EDGE('',*,*,#184058,.T.); #242688=ORIENTED_EDGE('',*,*,#184055,.T.); #242689=ORIENTED_EDGE('',*,*,#184052,.T.); #242690=ORIENTED_EDGE('',*,*,#184049,.T.); #242691=ORIENTED_EDGE('',*,*,#184046,.T.); #242692=ORIENTED_EDGE('',*,*,#184043,.T.); #242693=ORIENTED_EDGE('',*,*,#184040,.T.); #242694=ORIENTED_EDGE('',*,*,#184037,.T.); #242695=ORIENTED_EDGE('',*,*,#184034,.T.); #242696=ORIENTED_EDGE('',*,*,#184031,.T.); #242697=ORIENTED_EDGE('',*,*,#184028,.T.); #242698=ORIENTED_EDGE('',*,*,#184025,.T.); #242699=ORIENTED_EDGE('',*,*,#184022,.T.); #242700=ORIENTED_EDGE('',*,*,#184019,.T.); #242701=ORIENTED_EDGE('',*,*,#184016,.T.); #242702=ORIENTED_EDGE('',*,*,#184013,.T.); #242703=ORIENTED_EDGE('',*,*,#184010,.T.); #242704=ORIENTED_EDGE('',*,*,#184007,.T.); #242705=ORIENTED_EDGE('',*,*,#184004,.T.); #242706=ORIENTED_EDGE('',*,*,#184001,.T.); #242707=ORIENTED_EDGE('',*,*,#183998,.T.); #242708=ORIENTED_EDGE('',*,*,#183995,.T.); #242709=ORIENTED_EDGE('',*,*,#183992,.T.); #242710=ORIENTED_EDGE('',*,*,#183989,.T.); #242711=ORIENTED_EDGE('',*,*,#183986,.T.); #242712=ORIENTED_EDGE('',*,*,#183983,.T.); #242713=ORIENTED_EDGE('',*,*,#183980,.T.); #242714=ORIENTED_EDGE('',*,*,#183977,.T.); #242715=ORIENTED_EDGE('',*,*,#184117,.F.); #242716=ORIENTED_EDGE('',*,*,#183975,.F.); #242717=ORIENTED_EDGE('',*,*,#183979,.F.); #242718=ORIENTED_EDGE('',*,*,#183982,.F.); #242719=ORIENTED_EDGE('',*,*,#183985,.F.); #242720=ORIENTED_EDGE('',*,*,#183988,.F.); #242721=ORIENTED_EDGE('',*,*,#183991,.F.); #242722=ORIENTED_EDGE('',*,*,#183994,.F.); #242723=ORIENTED_EDGE('',*,*,#183997,.F.); #242724=ORIENTED_EDGE('',*,*,#184000,.F.); #242725=ORIENTED_EDGE('',*,*,#184003,.F.); #242726=ORIENTED_EDGE('',*,*,#184006,.F.); #242727=ORIENTED_EDGE('',*,*,#184009,.F.); #242728=ORIENTED_EDGE('',*,*,#184012,.F.); #242729=ORIENTED_EDGE('',*,*,#184015,.F.); #242730=ORIENTED_EDGE('',*,*,#184018,.F.); #242731=ORIENTED_EDGE('',*,*,#184021,.F.); #242732=ORIENTED_EDGE('',*,*,#184024,.F.); #242733=ORIENTED_EDGE('',*,*,#184027,.F.); #242734=ORIENTED_EDGE('',*,*,#184030,.F.); #242735=ORIENTED_EDGE('',*,*,#184033,.F.); #242736=ORIENTED_EDGE('',*,*,#184036,.F.); #242737=ORIENTED_EDGE('',*,*,#184039,.F.); #242738=ORIENTED_EDGE('',*,*,#184042,.F.); #242739=ORIENTED_EDGE('',*,*,#184045,.F.); #242740=ORIENTED_EDGE('',*,*,#184048,.F.); #242741=ORIENTED_EDGE('',*,*,#184051,.F.); #242742=ORIENTED_EDGE('',*,*,#184054,.F.); #242743=ORIENTED_EDGE('',*,*,#184057,.F.); #242744=ORIENTED_EDGE('',*,*,#184060,.F.); #242745=ORIENTED_EDGE('',*,*,#184063,.F.); #242746=ORIENTED_EDGE('',*,*,#184066,.F.); #242747=ORIENTED_EDGE('',*,*,#184069,.F.); #242748=ORIENTED_EDGE('',*,*,#184072,.F.); #242749=ORIENTED_EDGE('',*,*,#184075,.F.); #242750=ORIENTED_EDGE('',*,*,#184078,.F.); #242751=ORIENTED_EDGE('',*,*,#184081,.F.); #242752=ORIENTED_EDGE('',*,*,#184084,.F.); #242753=ORIENTED_EDGE('',*,*,#184087,.F.); #242754=ORIENTED_EDGE('',*,*,#184090,.F.); #242755=ORIENTED_EDGE('',*,*,#184093,.F.); #242756=ORIENTED_EDGE('',*,*,#184096,.F.); #242757=ORIENTED_EDGE('',*,*,#184099,.F.); #242758=ORIENTED_EDGE('',*,*,#184102,.F.); #242759=ORIENTED_EDGE('',*,*,#184105,.F.); #242760=ORIENTED_EDGE('',*,*,#184108,.F.); #242761=ORIENTED_EDGE('',*,*,#184111,.F.); #242762=ORIENTED_EDGE('',*,*,#184114,.F.); #242763=ORIENTED_EDGE('',*,*,#184119,.T.); #242764=ORIENTED_EDGE('',*,*,#184120,.T.); #242765=ORIENTED_EDGE('',*,*,#184121,.F.); #242766=ORIENTED_EDGE('',*,*,#184122,.F.); #242767=ORIENTED_EDGE('',*,*,#184123,.T.); #242768=ORIENTED_EDGE('',*,*,#184122,.T.); #242769=ORIENTED_EDGE('',*,*,#184124,.F.); #242770=ORIENTED_EDGE('',*,*,#184125,.F.); #242771=ORIENTED_EDGE('',*,*,#184126,.T.); #242772=ORIENTED_EDGE('',*,*,#184125,.T.); #242773=ORIENTED_EDGE('',*,*,#184127,.F.); #242774=ORIENTED_EDGE('',*,*,#184128,.F.); #242775=ORIENTED_EDGE('',*,*,#184129,.T.); #242776=ORIENTED_EDGE('',*,*,#184128,.T.); #242777=ORIENTED_EDGE('',*,*,#184130,.F.); #242778=ORIENTED_EDGE('',*,*,#184131,.F.); #242779=ORIENTED_EDGE('',*,*,#184132,.T.); #242780=ORIENTED_EDGE('',*,*,#184131,.T.); #242781=ORIENTED_EDGE('',*,*,#184133,.F.); #242782=ORIENTED_EDGE('',*,*,#184134,.F.); #242783=ORIENTED_EDGE('',*,*,#184135,.T.); #242784=ORIENTED_EDGE('',*,*,#184134,.T.); #242785=ORIENTED_EDGE('',*,*,#184136,.F.); #242786=ORIENTED_EDGE('',*,*,#184137,.F.); #242787=ORIENTED_EDGE('',*,*,#184138,.T.); #242788=ORIENTED_EDGE('',*,*,#184137,.T.); #242789=ORIENTED_EDGE('',*,*,#184139,.F.); #242790=ORIENTED_EDGE('',*,*,#184140,.F.); #242791=ORIENTED_EDGE('',*,*,#184141,.T.); #242792=ORIENTED_EDGE('',*,*,#184140,.T.); #242793=ORIENTED_EDGE('',*,*,#184142,.F.); #242794=ORIENTED_EDGE('',*,*,#184143,.F.); #242795=ORIENTED_EDGE('',*,*,#184144,.T.); #242796=ORIENTED_EDGE('',*,*,#184143,.T.); #242797=ORIENTED_EDGE('',*,*,#184145,.F.); #242798=ORIENTED_EDGE('',*,*,#184146,.F.); #242799=ORIENTED_EDGE('',*,*,#184147,.T.); #242800=ORIENTED_EDGE('',*,*,#184146,.T.); #242801=ORIENTED_EDGE('',*,*,#184148,.F.); #242802=ORIENTED_EDGE('',*,*,#184149,.F.); #242803=ORIENTED_EDGE('',*,*,#184150,.T.); #242804=ORIENTED_EDGE('',*,*,#184149,.T.); #242805=ORIENTED_EDGE('',*,*,#184151,.F.); #242806=ORIENTED_EDGE('',*,*,#184152,.F.); #242807=ORIENTED_EDGE('',*,*,#184153,.T.); #242808=ORIENTED_EDGE('',*,*,#184152,.T.); #242809=ORIENTED_EDGE('',*,*,#184154,.F.); #242810=ORIENTED_EDGE('',*,*,#184155,.F.); #242811=ORIENTED_EDGE('',*,*,#184156,.T.); #242812=ORIENTED_EDGE('',*,*,#184155,.T.); #242813=ORIENTED_EDGE('',*,*,#184157,.F.); #242814=ORIENTED_EDGE('',*,*,#184158,.F.); #242815=ORIENTED_EDGE('',*,*,#184159,.T.); #242816=ORIENTED_EDGE('',*,*,#184158,.T.); #242817=ORIENTED_EDGE('',*,*,#184160,.F.); #242818=ORIENTED_EDGE('',*,*,#184161,.F.); #242819=ORIENTED_EDGE('',*,*,#184162,.T.); #242820=ORIENTED_EDGE('',*,*,#184161,.T.); #242821=ORIENTED_EDGE('',*,*,#184163,.F.); #242822=ORIENTED_EDGE('',*,*,#184164,.F.); #242823=ORIENTED_EDGE('',*,*,#184165,.T.); #242824=ORIENTED_EDGE('',*,*,#184164,.T.); #242825=ORIENTED_EDGE('',*,*,#184166,.F.); #242826=ORIENTED_EDGE('',*,*,#184167,.F.); #242827=ORIENTED_EDGE('',*,*,#184168,.T.); #242828=ORIENTED_EDGE('',*,*,#184167,.T.); #242829=ORIENTED_EDGE('',*,*,#184169,.F.); #242830=ORIENTED_EDGE('',*,*,#184170,.F.); #242831=ORIENTED_EDGE('',*,*,#184171,.T.); #242832=ORIENTED_EDGE('',*,*,#184170,.T.); #242833=ORIENTED_EDGE('',*,*,#184172,.F.); #242834=ORIENTED_EDGE('',*,*,#184173,.F.); #242835=ORIENTED_EDGE('',*,*,#184174,.T.); #242836=ORIENTED_EDGE('',*,*,#184173,.T.); #242837=ORIENTED_EDGE('',*,*,#184175,.F.); #242838=ORIENTED_EDGE('',*,*,#184176,.F.); #242839=ORIENTED_EDGE('',*,*,#184177,.T.); #242840=ORIENTED_EDGE('',*,*,#184176,.T.); #242841=ORIENTED_EDGE('',*,*,#184178,.F.); #242842=ORIENTED_EDGE('',*,*,#184120,.F.); #242843=ORIENTED_EDGE('',*,*,#184178,.T.); #242844=ORIENTED_EDGE('',*,*,#184175,.T.); #242845=ORIENTED_EDGE('',*,*,#184172,.T.); #242846=ORIENTED_EDGE('',*,*,#184169,.T.); #242847=ORIENTED_EDGE('',*,*,#184166,.T.); #242848=ORIENTED_EDGE('',*,*,#184163,.T.); #242849=ORIENTED_EDGE('',*,*,#184160,.T.); #242850=ORIENTED_EDGE('',*,*,#184157,.T.); #242851=ORIENTED_EDGE('',*,*,#184154,.T.); #242852=ORIENTED_EDGE('',*,*,#184151,.T.); #242853=ORIENTED_EDGE('',*,*,#184148,.T.); #242854=ORIENTED_EDGE('',*,*,#184145,.T.); #242855=ORIENTED_EDGE('',*,*,#184142,.T.); #242856=ORIENTED_EDGE('',*,*,#184139,.T.); #242857=ORIENTED_EDGE('',*,*,#184136,.T.); #242858=ORIENTED_EDGE('',*,*,#184133,.T.); #242859=ORIENTED_EDGE('',*,*,#184130,.T.); #242860=ORIENTED_EDGE('',*,*,#184127,.T.); #242861=ORIENTED_EDGE('',*,*,#184124,.T.); #242862=ORIENTED_EDGE('',*,*,#184121,.T.); #242863=ORIENTED_EDGE('',*,*,#184177,.F.); #242864=ORIENTED_EDGE('',*,*,#184119,.F.); #242865=ORIENTED_EDGE('',*,*,#184123,.F.); #242866=ORIENTED_EDGE('',*,*,#184126,.F.); #242867=ORIENTED_EDGE('',*,*,#184129,.F.); #242868=ORIENTED_EDGE('',*,*,#184132,.F.); #242869=ORIENTED_EDGE('',*,*,#184135,.F.); #242870=ORIENTED_EDGE('',*,*,#184138,.F.); #242871=ORIENTED_EDGE('',*,*,#184141,.F.); #242872=ORIENTED_EDGE('',*,*,#184144,.F.); #242873=ORIENTED_EDGE('',*,*,#184147,.F.); #242874=ORIENTED_EDGE('',*,*,#184150,.F.); #242875=ORIENTED_EDGE('',*,*,#184153,.F.); #242876=ORIENTED_EDGE('',*,*,#184156,.F.); #242877=ORIENTED_EDGE('',*,*,#184159,.F.); #242878=ORIENTED_EDGE('',*,*,#184162,.F.); #242879=ORIENTED_EDGE('',*,*,#184165,.F.); #242880=ORIENTED_EDGE('',*,*,#184168,.F.); #242881=ORIENTED_EDGE('',*,*,#184171,.F.); #242882=ORIENTED_EDGE('',*,*,#184174,.F.); #242883=ORIENTED_EDGE('',*,*,#184179,.T.); #242884=ORIENTED_EDGE('',*,*,#184180,.T.); #242885=ORIENTED_EDGE('',*,*,#184181,.F.); #242886=ORIENTED_EDGE('',*,*,#184182,.F.); #242887=ORIENTED_EDGE('',*,*,#184183,.T.); #242888=ORIENTED_EDGE('',*,*,#184182,.T.); #242889=ORIENTED_EDGE('',*,*,#184184,.F.); #242890=ORIENTED_EDGE('',*,*,#184185,.F.); #242891=ORIENTED_EDGE('',*,*,#184186,.T.); #242892=ORIENTED_EDGE('',*,*,#184185,.T.); #242893=ORIENTED_EDGE('',*,*,#184187,.F.); #242894=ORIENTED_EDGE('',*,*,#184188,.F.); #242895=ORIENTED_EDGE('',*,*,#184189,.T.); #242896=ORIENTED_EDGE('',*,*,#184188,.T.); #242897=ORIENTED_EDGE('',*,*,#184190,.F.); #242898=ORIENTED_EDGE('',*,*,#184191,.F.); #242899=ORIENTED_EDGE('',*,*,#184192,.T.); #242900=ORIENTED_EDGE('',*,*,#184191,.T.); #242901=ORIENTED_EDGE('',*,*,#184193,.F.); #242902=ORIENTED_EDGE('',*,*,#184194,.F.); #242903=ORIENTED_EDGE('',*,*,#184195,.T.); #242904=ORIENTED_EDGE('',*,*,#184194,.T.); #242905=ORIENTED_EDGE('',*,*,#184196,.F.); #242906=ORIENTED_EDGE('',*,*,#184197,.F.); #242907=ORIENTED_EDGE('',*,*,#184198,.T.); #242908=ORIENTED_EDGE('',*,*,#184197,.T.); #242909=ORIENTED_EDGE('',*,*,#184199,.F.); #242910=ORIENTED_EDGE('',*,*,#184200,.F.); #242911=ORIENTED_EDGE('',*,*,#184201,.T.); #242912=ORIENTED_EDGE('',*,*,#184200,.T.); #242913=ORIENTED_EDGE('',*,*,#184202,.F.); #242914=ORIENTED_EDGE('',*,*,#184203,.F.); #242915=ORIENTED_EDGE('',*,*,#184204,.T.); #242916=ORIENTED_EDGE('',*,*,#184203,.T.); #242917=ORIENTED_EDGE('',*,*,#184205,.F.); #242918=ORIENTED_EDGE('',*,*,#184206,.F.); #242919=ORIENTED_EDGE('',*,*,#184207,.T.); #242920=ORIENTED_EDGE('',*,*,#184206,.T.); #242921=ORIENTED_EDGE('',*,*,#184208,.F.); #242922=ORIENTED_EDGE('',*,*,#184209,.F.); #242923=ORIENTED_EDGE('',*,*,#184210,.T.); #242924=ORIENTED_EDGE('',*,*,#184209,.T.); #242925=ORIENTED_EDGE('',*,*,#184211,.F.); #242926=ORIENTED_EDGE('',*,*,#184212,.F.); #242927=ORIENTED_EDGE('',*,*,#184213,.T.); #242928=ORIENTED_EDGE('',*,*,#184212,.T.); #242929=ORIENTED_EDGE('',*,*,#184214,.F.); #242930=ORIENTED_EDGE('',*,*,#184215,.F.); #242931=ORIENTED_EDGE('',*,*,#184216,.T.); #242932=ORIENTED_EDGE('',*,*,#184215,.T.); #242933=ORIENTED_EDGE('',*,*,#184217,.F.); #242934=ORIENTED_EDGE('',*,*,#184218,.F.); #242935=ORIENTED_EDGE('',*,*,#184219,.T.); #242936=ORIENTED_EDGE('',*,*,#184218,.T.); #242937=ORIENTED_EDGE('',*,*,#184220,.F.); #242938=ORIENTED_EDGE('',*,*,#184221,.F.); #242939=ORIENTED_EDGE('',*,*,#184222,.T.); #242940=ORIENTED_EDGE('',*,*,#184221,.T.); #242941=ORIENTED_EDGE('',*,*,#184223,.F.); #242942=ORIENTED_EDGE('',*,*,#184224,.F.); #242943=ORIENTED_EDGE('',*,*,#184225,.T.); #242944=ORIENTED_EDGE('',*,*,#184224,.T.); #242945=ORIENTED_EDGE('',*,*,#184226,.F.); #242946=ORIENTED_EDGE('',*,*,#184227,.F.); #242947=ORIENTED_EDGE('',*,*,#184228,.T.); #242948=ORIENTED_EDGE('',*,*,#184227,.T.); #242949=ORIENTED_EDGE('',*,*,#184229,.F.); #242950=ORIENTED_EDGE('',*,*,#184230,.F.); #242951=ORIENTED_EDGE('',*,*,#184231,.T.); #242952=ORIENTED_EDGE('',*,*,#184230,.T.); #242953=ORIENTED_EDGE('',*,*,#184232,.F.); #242954=ORIENTED_EDGE('',*,*,#184233,.F.); #242955=ORIENTED_EDGE('',*,*,#184234,.T.); #242956=ORIENTED_EDGE('',*,*,#184233,.T.); #242957=ORIENTED_EDGE('',*,*,#184235,.F.); #242958=ORIENTED_EDGE('',*,*,#184236,.F.); #242959=ORIENTED_EDGE('',*,*,#184237,.T.); #242960=ORIENTED_EDGE('',*,*,#184236,.T.); #242961=ORIENTED_EDGE('',*,*,#184238,.F.); #242962=ORIENTED_EDGE('',*,*,#184239,.F.); #242963=ORIENTED_EDGE('',*,*,#184240,.T.); #242964=ORIENTED_EDGE('',*,*,#184239,.T.); #242965=ORIENTED_EDGE('',*,*,#184241,.F.); #242966=ORIENTED_EDGE('',*,*,#184242,.F.); #242967=ORIENTED_EDGE('',*,*,#184243,.T.); #242968=ORIENTED_EDGE('',*,*,#184242,.T.); #242969=ORIENTED_EDGE('',*,*,#184244,.F.); #242970=ORIENTED_EDGE('',*,*,#184245,.F.); #242971=ORIENTED_EDGE('',*,*,#184246,.T.); #242972=ORIENTED_EDGE('',*,*,#184245,.T.); #242973=ORIENTED_EDGE('',*,*,#184247,.F.); #242974=ORIENTED_EDGE('',*,*,#184248,.F.); #242975=ORIENTED_EDGE('',*,*,#184249,.T.); #242976=ORIENTED_EDGE('',*,*,#184248,.T.); #242977=ORIENTED_EDGE('',*,*,#184250,.F.); #242978=ORIENTED_EDGE('',*,*,#184251,.F.); #242979=ORIENTED_EDGE('',*,*,#184252,.T.); #242980=ORIENTED_EDGE('',*,*,#184251,.T.); #242981=ORIENTED_EDGE('',*,*,#184253,.F.); #242982=ORIENTED_EDGE('',*,*,#184254,.F.); #242983=ORIENTED_EDGE('',*,*,#184255,.T.); #242984=ORIENTED_EDGE('',*,*,#184254,.T.); #242985=ORIENTED_EDGE('',*,*,#184256,.F.); #242986=ORIENTED_EDGE('',*,*,#184257,.F.); #242987=ORIENTED_EDGE('',*,*,#184258,.T.); #242988=ORIENTED_EDGE('',*,*,#184257,.T.); #242989=ORIENTED_EDGE('',*,*,#184259,.F.); #242990=ORIENTED_EDGE('',*,*,#184260,.F.); #242991=ORIENTED_EDGE('',*,*,#184261,.T.); #242992=ORIENTED_EDGE('',*,*,#184260,.T.); #242993=ORIENTED_EDGE('',*,*,#184262,.F.); #242994=ORIENTED_EDGE('',*,*,#184263,.F.); #242995=ORIENTED_EDGE('',*,*,#184264,.T.); #242996=ORIENTED_EDGE('',*,*,#184263,.T.); #242997=ORIENTED_EDGE('',*,*,#184265,.F.); #242998=ORIENTED_EDGE('',*,*,#184266,.F.); #242999=ORIENTED_EDGE('',*,*,#184267,.T.); #243000=ORIENTED_EDGE('',*,*,#184266,.T.); #243001=ORIENTED_EDGE('',*,*,#184268,.F.); #243002=ORIENTED_EDGE('',*,*,#184269,.F.); #243003=ORIENTED_EDGE('',*,*,#184270,.T.); #243004=ORIENTED_EDGE('',*,*,#184269,.T.); #243005=ORIENTED_EDGE('',*,*,#184271,.F.); #243006=ORIENTED_EDGE('',*,*,#184180,.F.); #243007=ORIENTED_EDGE('',*,*,#184271,.T.); #243008=ORIENTED_EDGE('',*,*,#184268,.T.); #243009=ORIENTED_EDGE('',*,*,#184265,.T.); #243010=ORIENTED_EDGE('',*,*,#184262,.T.); #243011=ORIENTED_EDGE('',*,*,#184259,.T.); #243012=ORIENTED_EDGE('',*,*,#184256,.T.); #243013=ORIENTED_EDGE('',*,*,#184253,.T.); #243014=ORIENTED_EDGE('',*,*,#184250,.T.); #243015=ORIENTED_EDGE('',*,*,#184247,.T.); #243016=ORIENTED_EDGE('',*,*,#184244,.T.); #243017=ORIENTED_EDGE('',*,*,#184241,.T.); #243018=ORIENTED_EDGE('',*,*,#184238,.T.); #243019=ORIENTED_EDGE('',*,*,#184235,.T.); #243020=ORIENTED_EDGE('',*,*,#184232,.T.); #243021=ORIENTED_EDGE('',*,*,#184229,.T.); #243022=ORIENTED_EDGE('',*,*,#184226,.T.); #243023=ORIENTED_EDGE('',*,*,#184223,.T.); #243024=ORIENTED_EDGE('',*,*,#184220,.T.); #243025=ORIENTED_EDGE('',*,*,#184217,.T.); #243026=ORIENTED_EDGE('',*,*,#184214,.T.); #243027=ORIENTED_EDGE('',*,*,#184211,.T.); #243028=ORIENTED_EDGE('',*,*,#184208,.T.); #243029=ORIENTED_EDGE('',*,*,#184205,.T.); #243030=ORIENTED_EDGE('',*,*,#184202,.T.); #243031=ORIENTED_EDGE('',*,*,#184199,.T.); #243032=ORIENTED_EDGE('',*,*,#184196,.T.); #243033=ORIENTED_EDGE('',*,*,#184193,.T.); #243034=ORIENTED_EDGE('',*,*,#184190,.T.); #243035=ORIENTED_EDGE('',*,*,#184187,.T.); #243036=ORIENTED_EDGE('',*,*,#184184,.T.); #243037=ORIENTED_EDGE('',*,*,#184181,.T.); #243038=ORIENTED_EDGE('',*,*,#184270,.F.); #243039=ORIENTED_EDGE('',*,*,#184179,.F.); #243040=ORIENTED_EDGE('',*,*,#184183,.F.); #243041=ORIENTED_EDGE('',*,*,#184186,.F.); #243042=ORIENTED_EDGE('',*,*,#184189,.F.); #243043=ORIENTED_EDGE('',*,*,#184192,.F.); #243044=ORIENTED_EDGE('',*,*,#184195,.F.); #243045=ORIENTED_EDGE('',*,*,#184198,.F.); #243046=ORIENTED_EDGE('',*,*,#184201,.F.); #243047=ORIENTED_EDGE('',*,*,#184204,.F.); #243048=ORIENTED_EDGE('',*,*,#184207,.F.); #243049=ORIENTED_EDGE('',*,*,#184210,.F.); #243050=ORIENTED_EDGE('',*,*,#184213,.F.); #243051=ORIENTED_EDGE('',*,*,#184216,.F.); #243052=ORIENTED_EDGE('',*,*,#184219,.F.); #243053=ORIENTED_EDGE('',*,*,#184222,.F.); #243054=ORIENTED_EDGE('',*,*,#184225,.F.); #243055=ORIENTED_EDGE('',*,*,#184228,.F.); #243056=ORIENTED_EDGE('',*,*,#184231,.F.); #243057=ORIENTED_EDGE('',*,*,#184234,.F.); #243058=ORIENTED_EDGE('',*,*,#184237,.F.); #243059=ORIENTED_EDGE('',*,*,#184240,.F.); #243060=ORIENTED_EDGE('',*,*,#184243,.F.); #243061=ORIENTED_EDGE('',*,*,#184246,.F.); #243062=ORIENTED_EDGE('',*,*,#184249,.F.); #243063=ORIENTED_EDGE('',*,*,#184252,.F.); #243064=ORIENTED_EDGE('',*,*,#184255,.F.); #243065=ORIENTED_EDGE('',*,*,#184258,.F.); #243066=ORIENTED_EDGE('',*,*,#184261,.F.); #243067=ORIENTED_EDGE('',*,*,#184264,.F.); #243068=ORIENTED_EDGE('',*,*,#184267,.F.); #243069=ORIENTED_EDGE('',*,*,#184272,.T.); #243070=ORIENTED_EDGE('',*,*,#184273,.T.); #243071=ORIENTED_EDGE('',*,*,#184274,.F.); #243072=ORIENTED_EDGE('',*,*,#184275,.F.); #243073=ORIENTED_EDGE('',*,*,#184276,.T.); #243074=ORIENTED_EDGE('',*,*,#184275,.T.); #243075=ORIENTED_EDGE('',*,*,#184277,.F.); #243076=ORIENTED_EDGE('',*,*,#184278,.F.); #243077=ORIENTED_EDGE('',*,*,#184279,.T.); #243078=ORIENTED_EDGE('',*,*,#184278,.T.); #243079=ORIENTED_EDGE('',*,*,#184280,.F.); #243080=ORIENTED_EDGE('',*,*,#184281,.F.); #243081=ORIENTED_EDGE('',*,*,#184282,.T.); #243082=ORIENTED_EDGE('',*,*,#184281,.T.); #243083=ORIENTED_EDGE('',*,*,#184283,.F.); #243084=ORIENTED_EDGE('',*,*,#184284,.F.); #243085=ORIENTED_EDGE('',*,*,#184285,.T.); #243086=ORIENTED_EDGE('',*,*,#184284,.T.); #243087=ORIENTED_EDGE('',*,*,#184286,.F.); #243088=ORIENTED_EDGE('',*,*,#184287,.F.); #243089=ORIENTED_EDGE('',*,*,#184288,.T.); #243090=ORIENTED_EDGE('',*,*,#184287,.T.); #243091=ORIENTED_EDGE('',*,*,#184289,.F.); #243092=ORIENTED_EDGE('',*,*,#184290,.F.); #243093=ORIENTED_EDGE('',*,*,#184291,.T.); #243094=ORIENTED_EDGE('',*,*,#184290,.T.); #243095=ORIENTED_EDGE('',*,*,#184292,.F.); #243096=ORIENTED_EDGE('',*,*,#184293,.F.); #243097=ORIENTED_EDGE('',*,*,#184294,.T.); #243098=ORIENTED_EDGE('',*,*,#184293,.T.); #243099=ORIENTED_EDGE('',*,*,#184295,.F.); #243100=ORIENTED_EDGE('',*,*,#184296,.F.); #243101=ORIENTED_EDGE('',*,*,#184297,.T.); #243102=ORIENTED_EDGE('',*,*,#184296,.T.); #243103=ORIENTED_EDGE('',*,*,#184298,.F.); #243104=ORIENTED_EDGE('',*,*,#184299,.F.); #243105=ORIENTED_EDGE('',*,*,#184300,.T.); #243106=ORIENTED_EDGE('',*,*,#184299,.T.); #243107=ORIENTED_EDGE('',*,*,#184301,.F.); #243108=ORIENTED_EDGE('',*,*,#184302,.F.); #243109=ORIENTED_EDGE('',*,*,#184303,.T.); #243110=ORIENTED_EDGE('',*,*,#184302,.T.); #243111=ORIENTED_EDGE('',*,*,#184304,.F.); #243112=ORIENTED_EDGE('',*,*,#184305,.F.); #243113=ORIENTED_EDGE('',*,*,#184306,.T.); #243114=ORIENTED_EDGE('',*,*,#184305,.T.); #243115=ORIENTED_EDGE('',*,*,#184307,.F.); #243116=ORIENTED_EDGE('',*,*,#184308,.F.); #243117=ORIENTED_EDGE('',*,*,#184309,.T.); #243118=ORIENTED_EDGE('',*,*,#184308,.T.); #243119=ORIENTED_EDGE('',*,*,#184310,.F.); #243120=ORIENTED_EDGE('',*,*,#184311,.F.); #243121=ORIENTED_EDGE('',*,*,#184312,.T.); #243122=ORIENTED_EDGE('',*,*,#184311,.T.); #243123=ORIENTED_EDGE('',*,*,#184313,.F.); #243124=ORIENTED_EDGE('',*,*,#184314,.F.); #243125=ORIENTED_EDGE('',*,*,#184315,.T.); #243126=ORIENTED_EDGE('',*,*,#184314,.T.); #243127=ORIENTED_EDGE('',*,*,#184316,.F.); #243128=ORIENTED_EDGE('',*,*,#184317,.F.); #243129=ORIENTED_EDGE('',*,*,#184318,.T.); #243130=ORIENTED_EDGE('',*,*,#184317,.T.); #243131=ORIENTED_EDGE('',*,*,#184319,.F.); #243132=ORIENTED_EDGE('',*,*,#184320,.F.); #243133=ORIENTED_EDGE('',*,*,#184321,.T.); #243134=ORIENTED_EDGE('',*,*,#184320,.T.); #243135=ORIENTED_EDGE('',*,*,#184322,.F.); #243136=ORIENTED_EDGE('',*,*,#184323,.F.); #243137=ORIENTED_EDGE('',*,*,#184324,.T.); #243138=ORIENTED_EDGE('',*,*,#184323,.T.); #243139=ORIENTED_EDGE('',*,*,#184325,.F.); #243140=ORIENTED_EDGE('',*,*,#184326,.F.); #243141=ORIENTED_EDGE('',*,*,#184327,.T.); #243142=ORIENTED_EDGE('',*,*,#184326,.T.); #243143=ORIENTED_EDGE('',*,*,#184328,.F.); #243144=ORIENTED_EDGE('',*,*,#184329,.F.); #243145=ORIENTED_EDGE('',*,*,#184330,.T.); #243146=ORIENTED_EDGE('',*,*,#184329,.T.); #243147=ORIENTED_EDGE('',*,*,#184331,.F.); #243148=ORIENTED_EDGE('',*,*,#184273,.F.); #243149=ORIENTED_EDGE('',*,*,#184331,.T.); #243150=ORIENTED_EDGE('',*,*,#184328,.T.); #243151=ORIENTED_EDGE('',*,*,#184325,.T.); #243152=ORIENTED_EDGE('',*,*,#184322,.T.); #243153=ORIENTED_EDGE('',*,*,#184319,.T.); #243154=ORIENTED_EDGE('',*,*,#184316,.T.); #243155=ORIENTED_EDGE('',*,*,#184313,.T.); #243156=ORIENTED_EDGE('',*,*,#184310,.T.); #243157=ORIENTED_EDGE('',*,*,#184307,.T.); #243158=ORIENTED_EDGE('',*,*,#184304,.T.); #243159=ORIENTED_EDGE('',*,*,#184301,.T.); #243160=ORIENTED_EDGE('',*,*,#184298,.T.); #243161=ORIENTED_EDGE('',*,*,#184295,.T.); #243162=ORIENTED_EDGE('',*,*,#184292,.T.); #243163=ORIENTED_EDGE('',*,*,#184289,.T.); #243164=ORIENTED_EDGE('',*,*,#184286,.T.); #243165=ORIENTED_EDGE('',*,*,#184283,.T.); #243166=ORIENTED_EDGE('',*,*,#184280,.T.); #243167=ORIENTED_EDGE('',*,*,#184277,.T.); #243168=ORIENTED_EDGE('',*,*,#184274,.T.); #243169=ORIENTED_EDGE('',*,*,#184330,.F.); #243170=ORIENTED_EDGE('',*,*,#184272,.F.); #243171=ORIENTED_EDGE('',*,*,#184276,.F.); #243172=ORIENTED_EDGE('',*,*,#184279,.F.); #243173=ORIENTED_EDGE('',*,*,#184282,.F.); #243174=ORIENTED_EDGE('',*,*,#184285,.F.); #243175=ORIENTED_EDGE('',*,*,#184288,.F.); #243176=ORIENTED_EDGE('',*,*,#184291,.F.); #243177=ORIENTED_EDGE('',*,*,#184294,.F.); #243178=ORIENTED_EDGE('',*,*,#184297,.F.); #243179=ORIENTED_EDGE('',*,*,#184300,.F.); #243180=ORIENTED_EDGE('',*,*,#184303,.F.); #243181=ORIENTED_EDGE('',*,*,#184306,.F.); #243182=ORIENTED_EDGE('',*,*,#184309,.F.); #243183=ORIENTED_EDGE('',*,*,#184312,.F.); #243184=ORIENTED_EDGE('',*,*,#184315,.F.); #243185=ORIENTED_EDGE('',*,*,#184318,.F.); #243186=ORIENTED_EDGE('',*,*,#184321,.F.); #243187=ORIENTED_EDGE('',*,*,#184324,.F.); #243188=ORIENTED_EDGE('',*,*,#184327,.F.); #243189=ORIENTED_EDGE('',*,*,#184332,.T.); #243190=ORIENTED_EDGE('',*,*,#184333,.T.); #243191=ORIENTED_EDGE('',*,*,#184334,.F.); #243192=ORIENTED_EDGE('',*,*,#184335,.F.); #243193=ORIENTED_EDGE('',*,*,#184336,.T.); #243194=ORIENTED_EDGE('',*,*,#184335,.T.); #243195=ORIENTED_EDGE('',*,*,#184337,.F.); #243196=ORIENTED_EDGE('',*,*,#184338,.F.); #243197=ORIENTED_EDGE('',*,*,#184339,.T.); #243198=ORIENTED_EDGE('',*,*,#184338,.T.); #243199=ORIENTED_EDGE('',*,*,#184340,.F.); #243200=ORIENTED_EDGE('',*,*,#184341,.F.); #243201=ORIENTED_EDGE('',*,*,#184342,.T.); #243202=ORIENTED_EDGE('',*,*,#184341,.T.); #243203=ORIENTED_EDGE('',*,*,#184343,.F.); #243204=ORIENTED_EDGE('',*,*,#184344,.F.); #243205=ORIENTED_EDGE('',*,*,#184345,.T.); #243206=ORIENTED_EDGE('',*,*,#184344,.T.); #243207=ORIENTED_EDGE('',*,*,#184346,.F.); #243208=ORIENTED_EDGE('',*,*,#184347,.F.); #243209=ORIENTED_EDGE('',*,*,#184348,.T.); #243210=ORIENTED_EDGE('',*,*,#184347,.T.); #243211=ORIENTED_EDGE('',*,*,#184349,.F.); #243212=ORIENTED_EDGE('',*,*,#184350,.F.); #243213=ORIENTED_EDGE('',*,*,#184351,.T.); #243214=ORIENTED_EDGE('',*,*,#184350,.T.); #243215=ORIENTED_EDGE('',*,*,#184352,.F.); #243216=ORIENTED_EDGE('',*,*,#184353,.F.); #243217=ORIENTED_EDGE('',*,*,#184354,.T.); #243218=ORIENTED_EDGE('',*,*,#184353,.T.); #243219=ORIENTED_EDGE('',*,*,#184355,.F.); #243220=ORIENTED_EDGE('',*,*,#184356,.F.); #243221=ORIENTED_EDGE('',*,*,#184357,.T.); #243222=ORIENTED_EDGE('',*,*,#184356,.T.); #243223=ORIENTED_EDGE('',*,*,#184358,.F.); #243224=ORIENTED_EDGE('',*,*,#184359,.F.); #243225=ORIENTED_EDGE('',*,*,#184360,.T.); #243226=ORIENTED_EDGE('',*,*,#184359,.T.); #243227=ORIENTED_EDGE('',*,*,#184361,.F.); #243228=ORIENTED_EDGE('',*,*,#184362,.F.); #243229=ORIENTED_EDGE('',*,*,#184363,.T.); #243230=ORIENTED_EDGE('',*,*,#184362,.T.); #243231=ORIENTED_EDGE('',*,*,#184364,.F.); #243232=ORIENTED_EDGE('',*,*,#184365,.F.); #243233=ORIENTED_EDGE('',*,*,#184366,.T.); #243234=ORIENTED_EDGE('',*,*,#184365,.T.); #243235=ORIENTED_EDGE('',*,*,#184367,.F.); #243236=ORIENTED_EDGE('',*,*,#184368,.F.); #243237=ORIENTED_EDGE('',*,*,#184369,.T.); #243238=ORIENTED_EDGE('',*,*,#184368,.T.); #243239=ORIENTED_EDGE('',*,*,#184370,.F.); #243240=ORIENTED_EDGE('',*,*,#184371,.F.); #243241=ORIENTED_EDGE('',*,*,#184372,.T.); #243242=ORIENTED_EDGE('',*,*,#184371,.T.); #243243=ORIENTED_EDGE('',*,*,#184373,.F.); #243244=ORIENTED_EDGE('',*,*,#184374,.F.); #243245=ORIENTED_EDGE('',*,*,#184375,.T.); #243246=ORIENTED_EDGE('',*,*,#184374,.T.); #243247=ORIENTED_EDGE('',*,*,#184376,.F.); #243248=ORIENTED_EDGE('',*,*,#184377,.F.); #243249=ORIENTED_EDGE('',*,*,#184378,.T.); #243250=ORIENTED_EDGE('',*,*,#184377,.T.); #243251=ORIENTED_EDGE('',*,*,#184379,.F.); #243252=ORIENTED_EDGE('',*,*,#184380,.F.); #243253=ORIENTED_EDGE('',*,*,#184381,.T.); #243254=ORIENTED_EDGE('',*,*,#184380,.T.); #243255=ORIENTED_EDGE('',*,*,#184382,.F.); #243256=ORIENTED_EDGE('',*,*,#184383,.F.); #243257=ORIENTED_EDGE('',*,*,#184384,.T.); #243258=ORIENTED_EDGE('',*,*,#184383,.T.); #243259=ORIENTED_EDGE('',*,*,#184385,.F.); #243260=ORIENTED_EDGE('',*,*,#184386,.F.); #243261=ORIENTED_EDGE('',*,*,#184387,.T.); #243262=ORIENTED_EDGE('',*,*,#184386,.T.); #243263=ORIENTED_EDGE('',*,*,#184388,.F.); #243264=ORIENTED_EDGE('',*,*,#184389,.F.); #243265=ORIENTED_EDGE('',*,*,#184390,.T.); #243266=ORIENTED_EDGE('',*,*,#184389,.T.); #243267=ORIENTED_EDGE('',*,*,#184391,.F.); #243268=ORIENTED_EDGE('',*,*,#184392,.F.); #243269=ORIENTED_EDGE('',*,*,#184393,.T.); #243270=ORIENTED_EDGE('',*,*,#184392,.T.); #243271=ORIENTED_EDGE('',*,*,#184394,.F.); #243272=ORIENTED_EDGE('',*,*,#184395,.F.); #243273=ORIENTED_EDGE('',*,*,#184396,.T.); #243274=ORIENTED_EDGE('',*,*,#184395,.T.); #243275=ORIENTED_EDGE('',*,*,#184397,.F.); #243276=ORIENTED_EDGE('',*,*,#184398,.F.); #243277=ORIENTED_EDGE('',*,*,#184399,.T.); #243278=ORIENTED_EDGE('',*,*,#184398,.T.); #243279=ORIENTED_EDGE('',*,*,#184400,.F.); #243280=ORIENTED_EDGE('',*,*,#184401,.F.); #243281=ORIENTED_EDGE('',*,*,#184402,.T.); #243282=ORIENTED_EDGE('',*,*,#184401,.T.); #243283=ORIENTED_EDGE('',*,*,#184403,.F.); #243284=ORIENTED_EDGE('',*,*,#184404,.F.); #243285=ORIENTED_EDGE('',*,*,#184405,.T.); #243286=ORIENTED_EDGE('',*,*,#184404,.T.); #243287=ORIENTED_EDGE('',*,*,#184406,.F.); #243288=ORIENTED_EDGE('',*,*,#184407,.F.); #243289=ORIENTED_EDGE('',*,*,#184408,.T.); #243290=ORIENTED_EDGE('',*,*,#184407,.T.); #243291=ORIENTED_EDGE('',*,*,#184409,.F.); #243292=ORIENTED_EDGE('',*,*,#184410,.F.); #243293=ORIENTED_EDGE('',*,*,#184411,.T.); #243294=ORIENTED_EDGE('',*,*,#184410,.T.); #243295=ORIENTED_EDGE('',*,*,#184412,.F.); #243296=ORIENTED_EDGE('',*,*,#184413,.F.); #243297=ORIENTED_EDGE('',*,*,#184414,.T.); #243298=ORIENTED_EDGE('',*,*,#184413,.T.); #243299=ORIENTED_EDGE('',*,*,#184415,.F.); #243300=ORIENTED_EDGE('',*,*,#184416,.F.); #243301=ORIENTED_EDGE('',*,*,#184417,.T.); #243302=ORIENTED_EDGE('',*,*,#184416,.T.); #243303=ORIENTED_EDGE('',*,*,#184418,.F.); #243304=ORIENTED_EDGE('',*,*,#184419,.F.); #243305=ORIENTED_EDGE('',*,*,#184420,.T.); #243306=ORIENTED_EDGE('',*,*,#184419,.T.); #243307=ORIENTED_EDGE('',*,*,#184421,.F.); #243308=ORIENTED_EDGE('',*,*,#184422,.F.); #243309=ORIENTED_EDGE('',*,*,#184423,.T.); #243310=ORIENTED_EDGE('',*,*,#184422,.T.); #243311=ORIENTED_EDGE('',*,*,#184424,.F.); #243312=ORIENTED_EDGE('',*,*,#184333,.F.); #243313=ORIENTED_EDGE('',*,*,#184424,.T.); #243314=ORIENTED_EDGE('',*,*,#184421,.T.); #243315=ORIENTED_EDGE('',*,*,#184418,.T.); #243316=ORIENTED_EDGE('',*,*,#184415,.T.); #243317=ORIENTED_EDGE('',*,*,#184412,.T.); #243318=ORIENTED_EDGE('',*,*,#184409,.T.); #243319=ORIENTED_EDGE('',*,*,#184406,.T.); #243320=ORIENTED_EDGE('',*,*,#184403,.T.); #243321=ORIENTED_EDGE('',*,*,#184400,.T.); #243322=ORIENTED_EDGE('',*,*,#184397,.T.); #243323=ORIENTED_EDGE('',*,*,#184394,.T.); #243324=ORIENTED_EDGE('',*,*,#184391,.T.); #243325=ORIENTED_EDGE('',*,*,#184388,.T.); #243326=ORIENTED_EDGE('',*,*,#184385,.T.); #243327=ORIENTED_EDGE('',*,*,#184382,.T.); #243328=ORIENTED_EDGE('',*,*,#184379,.T.); #243329=ORIENTED_EDGE('',*,*,#184376,.T.); #243330=ORIENTED_EDGE('',*,*,#184373,.T.); #243331=ORIENTED_EDGE('',*,*,#184370,.T.); #243332=ORIENTED_EDGE('',*,*,#184367,.T.); #243333=ORIENTED_EDGE('',*,*,#184364,.T.); #243334=ORIENTED_EDGE('',*,*,#184361,.T.); #243335=ORIENTED_EDGE('',*,*,#184358,.T.); #243336=ORIENTED_EDGE('',*,*,#184355,.T.); #243337=ORIENTED_EDGE('',*,*,#184352,.T.); #243338=ORIENTED_EDGE('',*,*,#184349,.T.); #243339=ORIENTED_EDGE('',*,*,#184346,.T.); #243340=ORIENTED_EDGE('',*,*,#184343,.T.); #243341=ORIENTED_EDGE('',*,*,#184340,.T.); #243342=ORIENTED_EDGE('',*,*,#184337,.T.); #243343=ORIENTED_EDGE('',*,*,#184334,.T.); #243344=ORIENTED_EDGE('',*,*,#184423,.F.); #243345=ORIENTED_EDGE('',*,*,#184332,.F.); #243346=ORIENTED_EDGE('',*,*,#184336,.F.); #243347=ORIENTED_EDGE('',*,*,#184339,.F.); #243348=ORIENTED_EDGE('',*,*,#184342,.F.); #243349=ORIENTED_EDGE('',*,*,#184345,.F.); #243350=ORIENTED_EDGE('',*,*,#184348,.F.); #243351=ORIENTED_EDGE('',*,*,#184351,.F.); #243352=ORIENTED_EDGE('',*,*,#184354,.F.); #243353=ORIENTED_EDGE('',*,*,#184357,.F.); #243354=ORIENTED_EDGE('',*,*,#184360,.F.); #243355=ORIENTED_EDGE('',*,*,#184363,.F.); #243356=ORIENTED_EDGE('',*,*,#184366,.F.); #243357=ORIENTED_EDGE('',*,*,#184369,.F.); #243358=ORIENTED_EDGE('',*,*,#184372,.F.); #243359=ORIENTED_EDGE('',*,*,#184375,.F.); #243360=ORIENTED_EDGE('',*,*,#184378,.F.); #243361=ORIENTED_EDGE('',*,*,#184381,.F.); #243362=ORIENTED_EDGE('',*,*,#184384,.F.); #243363=ORIENTED_EDGE('',*,*,#184387,.F.); #243364=ORIENTED_EDGE('',*,*,#184390,.F.); #243365=ORIENTED_EDGE('',*,*,#184393,.F.); #243366=ORIENTED_EDGE('',*,*,#184396,.F.); #243367=ORIENTED_EDGE('',*,*,#184399,.F.); #243368=ORIENTED_EDGE('',*,*,#184402,.F.); #243369=ORIENTED_EDGE('',*,*,#184405,.F.); #243370=ORIENTED_EDGE('',*,*,#184408,.F.); #243371=ORIENTED_EDGE('',*,*,#184411,.F.); #243372=ORIENTED_EDGE('',*,*,#184414,.F.); #243373=ORIENTED_EDGE('',*,*,#184417,.F.); #243374=ORIENTED_EDGE('',*,*,#184420,.F.); #243375=ORIENTED_EDGE('',*,*,#184425,.T.); #243376=ORIENTED_EDGE('',*,*,#184426,.T.); #243377=ORIENTED_EDGE('',*,*,#184427,.F.); #243378=ORIENTED_EDGE('',*,*,#184428,.F.); #243379=ORIENTED_EDGE('',*,*,#184429,.T.); #243380=ORIENTED_EDGE('',*,*,#184428,.T.); #243381=ORIENTED_EDGE('',*,*,#184430,.F.); #243382=ORIENTED_EDGE('',*,*,#184431,.F.); #243383=ORIENTED_EDGE('',*,*,#184432,.T.); #243384=ORIENTED_EDGE('',*,*,#184431,.T.); #243385=ORIENTED_EDGE('',*,*,#184433,.F.); #243386=ORIENTED_EDGE('',*,*,#184434,.F.); #243387=ORIENTED_EDGE('',*,*,#184435,.T.); #243388=ORIENTED_EDGE('',*,*,#184434,.T.); #243389=ORIENTED_EDGE('',*,*,#184436,.F.); #243390=ORIENTED_EDGE('',*,*,#184437,.F.); #243391=ORIENTED_EDGE('',*,*,#184438,.T.); #243392=ORIENTED_EDGE('',*,*,#184437,.T.); #243393=ORIENTED_EDGE('',*,*,#184439,.F.); #243394=ORIENTED_EDGE('',*,*,#184440,.F.); #243395=ORIENTED_EDGE('',*,*,#184441,.T.); #243396=ORIENTED_EDGE('',*,*,#184440,.T.); #243397=ORIENTED_EDGE('',*,*,#184442,.F.); #243398=ORIENTED_EDGE('',*,*,#184443,.F.); #243399=ORIENTED_EDGE('',*,*,#184444,.T.); #243400=ORIENTED_EDGE('',*,*,#184443,.T.); #243401=ORIENTED_EDGE('',*,*,#184445,.F.); #243402=ORIENTED_EDGE('',*,*,#184446,.F.); #243403=ORIENTED_EDGE('',*,*,#184447,.T.); #243404=ORIENTED_EDGE('',*,*,#184446,.T.); #243405=ORIENTED_EDGE('',*,*,#184448,.F.); #243406=ORIENTED_EDGE('',*,*,#184449,.F.); #243407=ORIENTED_EDGE('',*,*,#184450,.T.); #243408=ORIENTED_EDGE('',*,*,#184449,.T.); #243409=ORIENTED_EDGE('',*,*,#184451,.F.); #243410=ORIENTED_EDGE('',*,*,#184452,.F.); #243411=ORIENTED_EDGE('',*,*,#184453,.T.); #243412=ORIENTED_EDGE('',*,*,#184452,.T.); #243413=ORIENTED_EDGE('',*,*,#184454,.F.); #243414=ORIENTED_EDGE('',*,*,#184455,.F.); #243415=ORIENTED_EDGE('',*,*,#184456,.T.); #243416=ORIENTED_EDGE('',*,*,#184455,.T.); #243417=ORIENTED_EDGE('',*,*,#184457,.F.); #243418=ORIENTED_EDGE('',*,*,#184458,.F.); #243419=ORIENTED_EDGE('',*,*,#184459,.T.); #243420=ORIENTED_EDGE('',*,*,#184458,.T.); #243421=ORIENTED_EDGE('',*,*,#184460,.F.); #243422=ORIENTED_EDGE('',*,*,#184461,.F.); #243423=ORIENTED_EDGE('',*,*,#184462,.T.); #243424=ORIENTED_EDGE('',*,*,#184461,.T.); #243425=ORIENTED_EDGE('',*,*,#184463,.F.); #243426=ORIENTED_EDGE('',*,*,#184464,.F.); #243427=ORIENTED_EDGE('',*,*,#184465,.T.); #243428=ORIENTED_EDGE('',*,*,#184464,.T.); #243429=ORIENTED_EDGE('',*,*,#184466,.F.); #243430=ORIENTED_EDGE('',*,*,#184467,.F.); #243431=ORIENTED_EDGE('',*,*,#184468,.T.); #243432=ORIENTED_EDGE('',*,*,#184467,.T.); #243433=ORIENTED_EDGE('',*,*,#184469,.F.); #243434=ORIENTED_EDGE('',*,*,#184470,.F.); #243435=ORIENTED_EDGE('',*,*,#184471,.T.); #243436=ORIENTED_EDGE('',*,*,#184470,.T.); #243437=ORIENTED_EDGE('',*,*,#184472,.F.); #243438=ORIENTED_EDGE('',*,*,#184473,.F.); #243439=ORIENTED_EDGE('',*,*,#184474,.T.); #243440=ORIENTED_EDGE('',*,*,#184473,.T.); #243441=ORIENTED_EDGE('',*,*,#184475,.F.); #243442=ORIENTED_EDGE('',*,*,#184476,.F.); #243443=ORIENTED_EDGE('',*,*,#184477,.T.); #243444=ORIENTED_EDGE('',*,*,#184476,.T.); #243445=ORIENTED_EDGE('',*,*,#184478,.F.); #243446=ORIENTED_EDGE('',*,*,#184479,.F.); #243447=ORIENTED_EDGE('',*,*,#184480,.T.); #243448=ORIENTED_EDGE('',*,*,#184479,.T.); #243449=ORIENTED_EDGE('',*,*,#184481,.F.); #243450=ORIENTED_EDGE('',*,*,#184482,.F.); #243451=ORIENTED_EDGE('',*,*,#184483,.T.); #243452=ORIENTED_EDGE('',*,*,#184482,.T.); #243453=ORIENTED_EDGE('',*,*,#184484,.F.); #243454=ORIENTED_EDGE('',*,*,#184485,.F.); #243455=ORIENTED_EDGE('',*,*,#184486,.T.); #243456=ORIENTED_EDGE('',*,*,#184485,.T.); #243457=ORIENTED_EDGE('',*,*,#184487,.F.); #243458=ORIENTED_EDGE('',*,*,#184426,.F.); #243459=ORIENTED_EDGE('',*,*,#184487,.T.); #243460=ORIENTED_EDGE('',*,*,#184484,.T.); #243461=ORIENTED_EDGE('',*,*,#184481,.T.); #243462=ORIENTED_EDGE('',*,*,#184478,.T.); #243463=ORIENTED_EDGE('',*,*,#184475,.T.); #243464=ORIENTED_EDGE('',*,*,#184472,.T.); #243465=ORIENTED_EDGE('',*,*,#184469,.T.); #243466=ORIENTED_EDGE('',*,*,#184466,.T.); #243467=ORIENTED_EDGE('',*,*,#184463,.T.); #243468=ORIENTED_EDGE('',*,*,#184460,.T.); #243469=ORIENTED_EDGE('',*,*,#184457,.T.); #243470=ORIENTED_EDGE('',*,*,#184454,.T.); #243471=ORIENTED_EDGE('',*,*,#184451,.T.); #243472=ORIENTED_EDGE('',*,*,#184448,.T.); #243473=ORIENTED_EDGE('',*,*,#184445,.T.); #243474=ORIENTED_EDGE('',*,*,#184442,.T.); #243475=ORIENTED_EDGE('',*,*,#184439,.T.); #243476=ORIENTED_EDGE('',*,*,#184436,.T.); #243477=ORIENTED_EDGE('',*,*,#184433,.T.); #243478=ORIENTED_EDGE('',*,*,#184430,.T.); #243479=ORIENTED_EDGE('',*,*,#184427,.T.); #243480=ORIENTED_EDGE('',*,*,#184486,.F.); #243481=ORIENTED_EDGE('',*,*,#184425,.F.); #243482=ORIENTED_EDGE('',*,*,#184429,.F.); #243483=ORIENTED_EDGE('',*,*,#184432,.F.); #243484=ORIENTED_EDGE('',*,*,#184435,.F.); #243485=ORIENTED_EDGE('',*,*,#184438,.F.); #243486=ORIENTED_EDGE('',*,*,#184441,.F.); #243487=ORIENTED_EDGE('',*,*,#184444,.F.); #243488=ORIENTED_EDGE('',*,*,#184447,.F.); #243489=ORIENTED_EDGE('',*,*,#184450,.F.); #243490=ORIENTED_EDGE('',*,*,#184453,.F.); #243491=ORIENTED_EDGE('',*,*,#184456,.F.); #243492=ORIENTED_EDGE('',*,*,#184459,.F.); #243493=ORIENTED_EDGE('',*,*,#184462,.F.); #243494=ORIENTED_EDGE('',*,*,#184465,.F.); #243495=ORIENTED_EDGE('',*,*,#184468,.F.); #243496=ORIENTED_EDGE('',*,*,#184471,.F.); #243497=ORIENTED_EDGE('',*,*,#184474,.F.); #243498=ORIENTED_EDGE('',*,*,#184477,.F.); #243499=ORIENTED_EDGE('',*,*,#184480,.F.); #243500=ORIENTED_EDGE('',*,*,#184483,.F.); #243501=ORIENTED_EDGE('',*,*,#184488,.T.); #243502=ORIENTED_EDGE('',*,*,#184489,.T.); #243503=ORIENTED_EDGE('',*,*,#184490,.F.); #243504=ORIENTED_EDGE('',*,*,#184491,.F.); #243505=ORIENTED_EDGE('',*,*,#184492,.T.); #243506=ORIENTED_EDGE('',*,*,#184491,.T.); #243507=ORIENTED_EDGE('',*,*,#184493,.F.); #243508=ORIENTED_EDGE('',*,*,#184494,.F.); #243509=ORIENTED_EDGE('',*,*,#184495,.T.); #243510=ORIENTED_EDGE('',*,*,#184494,.T.); #243511=ORIENTED_EDGE('',*,*,#184496,.F.); #243512=ORIENTED_EDGE('',*,*,#184497,.F.); #243513=ORIENTED_EDGE('',*,*,#184498,.T.); #243514=ORIENTED_EDGE('',*,*,#184497,.T.); #243515=ORIENTED_EDGE('',*,*,#184499,.F.); #243516=ORIENTED_EDGE('',*,*,#184500,.F.); #243517=ORIENTED_EDGE('',*,*,#184501,.T.); #243518=ORIENTED_EDGE('',*,*,#184500,.T.); #243519=ORIENTED_EDGE('',*,*,#184502,.F.); #243520=ORIENTED_EDGE('',*,*,#184503,.F.); #243521=ORIENTED_EDGE('',*,*,#184504,.T.); #243522=ORIENTED_EDGE('',*,*,#184503,.T.); #243523=ORIENTED_EDGE('',*,*,#184505,.F.); #243524=ORIENTED_EDGE('',*,*,#184506,.F.); #243525=ORIENTED_EDGE('',*,*,#184507,.T.); #243526=ORIENTED_EDGE('',*,*,#184506,.T.); #243527=ORIENTED_EDGE('',*,*,#184508,.F.); #243528=ORIENTED_EDGE('',*,*,#184509,.F.); #243529=ORIENTED_EDGE('',*,*,#184510,.T.); #243530=ORIENTED_EDGE('',*,*,#184509,.T.); #243531=ORIENTED_EDGE('',*,*,#184511,.F.); #243532=ORIENTED_EDGE('',*,*,#184512,.F.); #243533=ORIENTED_EDGE('',*,*,#184513,.T.); #243534=ORIENTED_EDGE('',*,*,#184512,.T.); #243535=ORIENTED_EDGE('',*,*,#184514,.F.); #243536=ORIENTED_EDGE('',*,*,#184515,.F.); #243537=ORIENTED_EDGE('',*,*,#184516,.T.); #243538=ORIENTED_EDGE('',*,*,#184515,.T.); #243539=ORIENTED_EDGE('',*,*,#184517,.F.); #243540=ORIENTED_EDGE('',*,*,#184518,.F.); #243541=ORIENTED_EDGE('',*,*,#184519,.T.); #243542=ORIENTED_EDGE('',*,*,#184518,.T.); #243543=ORIENTED_EDGE('',*,*,#184520,.F.); #243544=ORIENTED_EDGE('',*,*,#184521,.F.); #243545=ORIENTED_EDGE('',*,*,#184522,.T.); #243546=ORIENTED_EDGE('',*,*,#184521,.T.); #243547=ORIENTED_EDGE('',*,*,#184523,.F.); #243548=ORIENTED_EDGE('',*,*,#184524,.F.); #243549=ORIENTED_EDGE('',*,*,#184525,.T.); #243550=ORIENTED_EDGE('',*,*,#184524,.T.); #243551=ORIENTED_EDGE('',*,*,#184526,.F.); #243552=ORIENTED_EDGE('',*,*,#184527,.F.); #243553=ORIENTED_EDGE('',*,*,#184528,.T.); #243554=ORIENTED_EDGE('',*,*,#184527,.T.); #243555=ORIENTED_EDGE('',*,*,#184529,.F.); #243556=ORIENTED_EDGE('',*,*,#184530,.F.); #243557=ORIENTED_EDGE('',*,*,#184531,.T.); #243558=ORIENTED_EDGE('',*,*,#184530,.T.); #243559=ORIENTED_EDGE('',*,*,#184532,.F.); #243560=ORIENTED_EDGE('',*,*,#184533,.F.); #243561=ORIENTED_EDGE('',*,*,#184534,.T.); #243562=ORIENTED_EDGE('',*,*,#184533,.T.); #243563=ORIENTED_EDGE('',*,*,#184535,.F.); #243564=ORIENTED_EDGE('',*,*,#184536,.F.); #243565=ORIENTED_EDGE('',*,*,#184537,.T.); #243566=ORIENTED_EDGE('',*,*,#184536,.T.); #243567=ORIENTED_EDGE('',*,*,#184538,.F.); #243568=ORIENTED_EDGE('',*,*,#184539,.F.); #243569=ORIENTED_EDGE('',*,*,#184540,.T.); #243570=ORIENTED_EDGE('',*,*,#184539,.T.); #243571=ORIENTED_EDGE('',*,*,#184541,.F.); #243572=ORIENTED_EDGE('',*,*,#184542,.F.); #243573=ORIENTED_EDGE('',*,*,#184543,.T.); #243574=ORIENTED_EDGE('',*,*,#184542,.T.); #243575=ORIENTED_EDGE('',*,*,#184544,.F.); #243576=ORIENTED_EDGE('',*,*,#184545,.F.); #243577=ORIENTED_EDGE('',*,*,#184546,.T.); #243578=ORIENTED_EDGE('',*,*,#184545,.T.); #243579=ORIENTED_EDGE('',*,*,#184547,.F.); #243580=ORIENTED_EDGE('',*,*,#184548,.F.); #243581=ORIENTED_EDGE('',*,*,#184549,.T.); #243582=ORIENTED_EDGE('',*,*,#184548,.T.); #243583=ORIENTED_EDGE('',*,*,#184550,.F.); #243584=ORIENTED_EDGE('',*,*,#184551,.F.); #243585=ORIENTED_EDGE('',*,*,#184552,.T.); #243586=ORIENTED_EDGE('',*,*,#184551,.T.); #243587=ORIENTED_EDGE('',*,*,#184553,.F.); #243588=ORIENTED_EDGE('',*,*,#184554,.F.); #243589=ORIENTED_EDGE('',*,*,#184555,.T.); #243590=ORIENTED_EDGE('',*,*,#184554,.T.); #243591=ORIENTED_EDGE('',*,*,#184556,.F.); #243592=ORIENTED_EDGE('',*,*,#184557,.F.); #243593=ORIENTED_EDGE('',*,*,#184558,.T.); #243594=ORIENTED_EDGE('',*,*,#184557,.T.); #243595=ORIENTED_EDGE('',*,*,#184559,.F.); #243596=ORIENTED_EDGE('',*,*,#184560,.F.); #243597=ORIENTED_EDGE('',*,*,#184561,.T.); #243598=ORIENTED_EDGE('',*,*,#184560,.T.); #243599=ORIENTED_EDGE('',*,*,#184562,.F.); #243600=ORIENTED_EDGE('',*,*,#184563,.F.); #243601=ORIENTED_EDGE('',*,*,#184564,.T.); #243602=ORIENTED_EDGE('',*,*,#184563,.T.); #243603=ORIENTED_EDGE('',*,*,#184565,.F.); #243604=ORIENTED_EDGE('',*,*,#184566,.F.); #243605=ORIENTED_EDGE('',*,*,#184567,.T.); #243606=ORIENTED_EDGE('',*,*,#184566,.T.); #243607=ORIENTED_EDGE('',*,*,#184568,.F.); #243608=ORIENTED_EDGE('',*,*,#184569,.F.); #243609=ORIENTED_EDGE('',*,*,#184570,.T.); #243610=ORIENTED_EDGE('',*,*,#184569,.T.); #243611=ORIENTED_EDGE('',*,*,#184571,.F.); #243612=ORIENTED_EDGE('',*,*,#184572,.F.); #243613=ORIENTED_EDGE('',*,*,#184573,.T.); #243614=ORIENTED_EDGE('',*,*,#184572,.T.); #243615=ORIENTED_EDGE('',*,*,#184574,.F.); #243616=ORIENTED_EDGE('',*,*,#184575,.F.); #243617=ORIENTED_EDGE('',*,*,#184576,.T.); #243618=ORIENTED_EDGE('',*,*,#184575,.T.); #243619=ORIENTED_EDGE('',*,*,#184577,.F.); #243620=ORIENTED_EDGE('',*,*,#184578,.F.); #243621=ORIENTED_EDGE('',*,*,#184579,.T.); #243622=ORIENTED_EDGE('',*,*,#184578,.T.); #243623=ORIENTED_EDGE('',*,*,#184580,.F.); #243624=ORIENTED_EDGE('',*,*,#184581,.F.); #243625=ORIENTED_EDGE('',*,*,#184582,.T.); #243626=ORIENTED_EDGE('',*,*,#184581,.T.); #243627=ORIENTED_EDGE('',*,*,#184583,.F.); #243628=ORIENTED_EDGE('',*,*,#184584,.F.); #243629=ORIENTED_EDGE('',*,*,#184585,.T.); #243630=ORIENTED_EDGE('',*,*,#184584,.T.); #243631=ORIENTED_EDGE('',*,*,#184586,.F.); #243632=ORIENTED_EDGE('',*,*,#184587,.F.); #243633=ORIENTED_EDGE('',*,*,#184588,.T.); #243634=ORIENTED_EDGE('',*,*,#184587,.T.); #243635=ORIENTED_EDGE('',*,*,#184589,.F.); #243636=ORIENTED_EDGE('',*,*,#184590,.F.); #243637=ORIENTED_EDGE('',*,*,#184591,.T.); #243638=ORIENTED_EDGE('',*,*,#184590,.T.); #243639=ORIENTED_EDGE('',*,*,#184592,.F.); #243640=ORIENTED_EDGE('',*,*,#184593,.F.); #243641=ORIENTED_EDGE('',*,*,#184594,.T.); #243642=ORIENTED_EDGE('',*,*,#184593,.T.); #243643=ORIENTED_EDGE('',*,*,#184595,.F.); #243644=ORIENTED_EDGE('',*,*,#184596,.F.); #243645=ORIENTED_EDGE('',*,*,#184597,.T.); #243646=ORIENTED_EDGE('',*,*,#184596,.T.); #243647=ORIENTED_EDGE('',*,*,#184598,.F.); #243648=ORIENTED_EDGE('',*,*,#184599,.F.); #243649=ORIENTED_EDGE('',*,*,#184600,.T.); #243650=ORIENTED_EDGE('',*,*,#184599,.T.); #243651=ORIENTED_EDGE('',*,*,#184601,.F.); #243652=ORIENTED_EDGE('',*,*,#184602,.F.); #243653=ORIENTED_EDGE('',*,*,#184603,.T.); #243654=ORIENTED_EDGE('',*,*,#184602,.T.); #243655=ORIENTED_EDGE('',*,*,#184604,.F.); #243656=ORIENTED_EDGE('',*,*,#184605,.F.); #243657=ORIENTED_EDGE('',*,*,#184606,.T.); #243658=ORIENTED_EDGE('',*,*,#184605,.T.); #243659=ORIENTED_EDGE('',*,*,#184607,.F.); #243660=ORIENTED_EDGE('',*,*,#184489,.F.); #243661=ORIENTED_EDGE('',*,*,#184607,.T.); #243662=ORIENTED_EDGE('',*,*,#184604,.T.); #243663=ORIENTED_EDGE('',*,*,#184601,.T.); #243664=ORIENTED_EDGE('',*,*,#184598,.T.); #243665=ORIENTED_EDGE('',*,*,#184595,.T.); #243666=ORIENTED_EDGE('',*,*,#184592,.T.); #243667=ORIENTED_EDGE('',*,*,#184589,.T.); #243668=ORIENTED_EDGE('',*,*,#184586,.T.); #243669=ORIENTED_EDGE('',*,*,#184583,.T.); #243670=ORIENTED_EDGE('',*,*,#184580,.T.); #243671=ORIENTED_EDGE('',*,*,#184577,.T.); #243672=ORIENTED_EDGE('',*,*,#184574,.T.); #243673=ORIENTED_EDGE('',*,*,#184571,.T.); #243674=ORIENTED_EDGE('',*,*,#184568,.T.); #243675=ORIENTED_EDGE('',*,*,#184565,.T.); #243676=ORIENTED_EDGE('',*,*,#184562,.T.); #243677=ORIENTED_EDGE('',*,*,#184559,.T.); #243678=ORIENTED_EDGE('',*,*,#184556,.T.); #243679=ORIENTED_EDGE('',*,*,#184553,.T.); #243680=ORIENTED_EDGE('',*,*,#184550,.T.); #243681=ORIENTED_EDGE('',*,*,#184547,.T.); #243682=ORIENTED_EDGE('',*,*,#184544,.T.); #243683=ORIENTED_EDGE('',*,*,#184541,.T.); #243684=ORIENTED_EDGE('',*,*,#184538,.T.); #243685=ORIENTED_EDGE('',*,*,#184535,.T.); #243686=ORIENTED_EDGE('',*,*,#184532,.T.); #243687=ORIENTED_EDGE('',*,*,#184529,.T.); #243688=ORIENTED_EDGE('',*,*,#184526,.T.); #243689=ORIENTED_EDGE('',*,*,#184523,.T.); #243690=ORIENTED_EDGE('',*,*,#184520,.T.); #243691=ORIENTED_EDGE('',*,*,#184517,.T.); #243692=ORIENTED_EDGE('',*,*,#184514,.T.); #243693=ORIENTED_EDGE('',*,*,#184511,.T.); #243694=ORIENTED_EDGE('',*,*,#184508,.T.); #243695=ORIENTED_EDGE('',*,*,#184505,.T.); #243696=ORIENTED_EDGE('',*,*,#184502,.T.); #243697=ORIENTED_EDGE('',*,*,#184499,.T.); #243698=ORIENTED_EDGE('',*,*,#184496,.T.); #243699=ORIENTED_EDGE('',*,*,#184493,.T.); #243700=ORIENTED_EDGE('',*,*,#184490,.T.); #243701=ORIENTED_EDGE('',*,*,#184606,.F.); #243702=ORIENTED_EDGE('',*,*,#184488,.F.); #243703=ORIENTED_EDGE('',*,*,#184492,.F.); #243704=ORIENTED_EDGE('',*,*,#184495,.F.); #243705=ORIENTED_EDGE('',*,*,#184498,.F.); #243706=ORIENTED_EDGE('',*,*,#184501,.F.); #243707=ORIENTED_EDGE('',*,*,#184504,.F.); #243708=ORIENTED_EDGE('',*,*,#184507,.F.); #243709=ORIENTED_EDGE('',*,*,#184510,.F.); #243710=ORIENTED_EDGE('',*,*,#184513,.F.); #243711=ORIENTED_EDGE('',*,*,#184516,.F.); #243712=ORIENTED_EDGE('',*,*,#184519,.F.); #243713=ORIENTED_EDGE('',*,*,#184522,.F.); #243714=ORIENTED_EDGE('',*,*,#184525,.F.); #243715=ORIENTED_EDGE('',*,*,#184528,.F.); #243716=ORIENTED_EDGE('',*,*,#184531,.F.); #243717=ORIENTED_EDGE('',*,*,#184534,.F.); #243718=ORIENTED_EDGE('',*,*,#184537,.F.); #243719=ORIENTED_EDGE('',*,*,#184540,.F.); #243720=ORIENTED_EDGE('',*,*,#184543,.F.); #243721=ORIENTED_EDGE('',*,*,#184546,.F.); #243722=ORIENTED_EDGE('',*,*,#184549,.F.); #243723=ORIENTED_EDGE('',*,*,#184552,.F.); #243724=ORIENTED_EDGE('',*,*,#184555,.F.); #243725=ORIENTED_EDGE('',*,*,#184558,.F.); #243726=ORIENTED_EDGE('',*,*,#184561,.F.); #243727=ORIENTED_EDGE('',*,*,#184564,.F.); #243728=ORIENTED_EDGE('',*,*,#184567,.F.); #243729=ORIENTED_EDGE('',*,*,#184570,.F.); #243730=ORIENTED_EDGE('',*,*,#184573,.F.); #243731=ORIENTED_EDGE('',*,*,#184576,.F.); #243732=ORIENTED_EDGE('',*,*,#184579,.F.); #243733=ORIENTED_EDGE('',*,*,#184582,.F.); #243734=ORIENTED_EDGE('',*,*,#184585,.F.); #243735=ORIENTED_EDGE('',*,*,#184588,.F.); #243736=ORIENTED_EDGE('',*,*,#184591,.F.); #243737=ORIENTED_EDGE('',*,*,#184594,.F.); #243738=ORIENTED_EDGE('',*,*,#184597,.F.); #243739=ORIENTED_EDGE('',*,*,#184600,.F.); #243740=ORIENTED_EDGE('',*,*,#184603,.F.); #243741=ORIENTED_EDGE('',*,*,#184608,.T.); #243742=ORIENTED_EDGE('',*,*,#184609,.T.); #243743=ORIENTED_EDGE('',*,*,#184610,.F.); #243744=ORIENTED_EDGE('',*,*,#184611,.F.); #243745=ORIENTED_EDGE('',*,*,#184612,.T.); #243746=ORIENTED_EDGE('',*,*,#184611,.T.); #243747=ORIENTED_EDGE('',*,*,#184613,.F.); #243748=ORIENTED_EDGE('',*,*,#184614,.F.); #243749=ORIENTED_EDGE('',*,*,#184615,.T.); #243750=ORIENTED_EDGE('',*,*,#184614,.T.); #243751=ORIENTED_EDGE('',*,*,#184616,.F.); #243752=ORIENTED_EDGE('',*,*,#184617,.F.); #243753=ORIENTED_EDGE('',*,*,#184618,.T.); #243754=ORIENTED_EDGE('',*,*,#184617,.T.); #243755=ORIENTED_EDGE('',*,*,#184619,.F.); #243756=ORIENTED_EDGE('',*,*,#184620,.F.); #243757=ORIENTED_EDGE('',*,*,#184621,.T.); #243758=ORIENTED_EDGE('',*,*,#184620,.T.); #243759=ORIENTED_EDGE('',*,*,#184622,.F.); #243760=ORIENTED_EDGE('',*,*,#184623,.F.); #243761=ORIENTED_EDGE('',*,*,#184624,.T.); #243762=ORIENTED_EDGE('',*,*,#184623,.T.); #243763=ORIENTED_EDGE('',*,*,#184625,.F.); #243764=ORIENTED_EDGE('',*,*,#184626,.F.); #243765=ORIENTED_EDGE('',*,*,#184627,.T.); #243766=ORIENTED_EDGE('',*,*,#184626,.T.); #243767=ORIENTED_EDGE('',*,*,#184628,.F.); #243768=ORIENTED_EDGE('',*,*,#184629,.F.); #243769=ORIENTED_EDGE('',*,*,#184630,.T.); #243770=ORIENTED_EDGE('',*,*,#184629,.T.); #243771=ORIENTED_EDGE('',*,*,#184631,.F.); #243772=ORIENTED_EDGE('',*,*,#184632,.F.); #243773=ORIENTED_EDGE('',*,*,#184633,.T.); #243774=ORIENTED_EDGE('',*,*,#184632,.T.); #243775=ORIENTED_EDGE('',*,*,#184634,.F.); #243776=ORIENTED_EDGE('',*,*,#184635,.F.); #243777=ORIENTED_EDGE('',*,*,#184636,.T.); #243778=ORIENTED_EDGE('',*,*,#184635,.T.); #243779=ORIENTED_EDGE('',*,*,#184637,.F.); #243780=ORIENTED_EDGE('',*,*,#184638,.F.); #243781=ORIENTED_EDGE('',*,*,#184639,.T.); #243782=ORIENTED_EDGE('',*,*,#184638,.T.); #243783=ORIENTED_EDGE('',*,*,#184640,.F.); #243784=ORIENTED_EDGE('',*,*,#184641,.F.); #243785=ORIENTED_EDGE('',*,*,#184642,.T.); #243786=ORIENTED_EDGE('',*,*,#184641,.T.); #243787=ORIENTED_EDGE('',*,*,#184643,.F.); #243788=ORIENTED_EDGE('',*,*,#184644,.F.); #243789=ORIENTED_EDGE('',*,*,#184645,.T.); #243790=ORIENTED_EDGE('',*,*,#184644,.T.); #243791=ORIENTED_EDGE('',*,*,#184646,.F.); #243792=ORIENTED_EDGE('',*,*,#184647,.F.); #243793=ORIENTED_EDGE('',*,*,#184648,.T.); #243794=ORIENTED_EDGE('',*,*,#184647,.T.); #243795=ORIENTED_EDGE('',*,*,#184649,.F.); #243796=ORIENTED_EDGE('',*,*,#184650,.F.); #243797=ORIENTED_EDGE('',*,*,#184651,.T.); #243798=ORIENTED_EDGE('',*,*,#184650,.T.); #243799=ORIENTED_EDGE('',*,*,#184652,.F.); #243800=ORIENTED_EDGE('',*,*,#184653,.F.); #243801=ORIENTED_EDGE('',*,*,#184654,.T.); #243802=ORIENTED_EDGE('',*,*,#184653,.T.); #243803=ORIENTED_EDGE('',*,*,#184655,.F.); #243804=ORIENTED_EDGE('',*,*,#184656,.F.); #243805=ORIENTED_EDGE('',*,*,#184657,.T.); #243806=ORIENTED_EDGE('',*,*,#184656,.T.); #243807=ORIENTED_EDGE('',*,*,#184658,.F.); #243808=ORIENTED_EDGE('',*,*,#184659,.F.); #243809=ORIENTED_EDGE('',*,*,#184660,.T.); #243810=ORIENTED_EDGE('',*,*,#184659,.T.); #243811=ORIENTED_EDGE('',*,*,#184661,.F.); #243812=ORIENTED_EDGE('',*,*,#184662,.F.); #243813=ORIENTED_EDGE('',*,*,#184663,.T.); #243814=ORIENTED_EDGE('',*,*,#184662,.T.); #243815=ORIENTED_EDGE('',*,*,#184664,.F.); #243816=ORIENTED_EDGE('',*,*,#184665,.F.); #243817=ORIENTED_EDGE('',*,*,#184666,.T.); #243818=ORIENTED_EDGE('',*,*,#184665,.T.); #243819=ORIENTED_EDGE('',*,*,#184667,.F.); #243820=ORIENTED_EDGE('',*,*,#184609,.F.); #243821=ORIENTED_EDGE('',*,*,#184667,.T.); #243822=ORIENTED_EDGE('',*,*,#184664,.T.); #243823=ORIENTED_EDGE('',*,*,#184661,.T.); #243824=ORIENTED_EDGE('',*,*,#184658,.T.); #243825=ORIENTED_EDGE('',*,*,#184655,.T.); #243826=ORIENTED_EDGE('',*,*,#184652,.T.); #243827=ORIENTED_EDGE('',*,*,#184649,.T.); #243828=ORIENTED_EDGE('',*,*,#184646,.T.); #243829=ORIENTED_EDGE('',*,*,#184643,.T.); #243830=ORIENTED_EDGE('',*,*,#184640,.T.); #243831=ORIENTED_EDGE('',*,*,#184637,.T.); #243832=ORIENTED_EDGE('',*,*,#184634,.T.); #243833=ORIENTED_EDGE('',*,*,#184631,.T.); #243834=ORIENTED_EDGE('',*,*,#184628,.T.); #243835=ORIENTED_EDGE('',*,*,#184625,.T.); #243836=ORIENTED_EDGE('',*,*,#184622,.T.); #243837=ORIENTED_EDGE('',*,*,#184619,.T.); #243838=ORIENTED_EDGE('',*,*,#184616,.T.); #243839=ORIENTED_EDGE('',*,*,#184613,.T.); #243840=ORIENTED_EDGE('',*,*,#184610,.T.); #243841=ORIENTED_EDGE('',*,*,#184666,.F.); #243842=ORIENTED_EDGE('',*,*,#184608,.F.); #243843=ORIENTED_EDGE('',*,*,#184612,.F.); #243844=ORIENTED_EDGE('',*,*,#184615,.F.); #243845=ORIENTED_EDGE('',*,*,#184618,.F.); #243846=ORIENTED_EDGE('',*,*,#184621,.F.); #243847=ORIENTED_EDGE('',*,*,#184624,.F.); #243848=ORIENTED_EDGE('',*,*,#184627,.F.); #243849=ORIENTED_EDGE('',*,*,#184630,.F.); #243850=ORIENTED_EDGE('',*,*,#184633,.F.); #243851=ORIENTED_EDGE('',*,*,#184636,.F.); #243852=ORIENTED_EDGE('',*,*,#184639,.F.); #243853=ORIENTED_EDGE('',*,*,#184642,.F.); #243854=ORIENTED_EDGE('',*,*,#184645,.F.); #243855=ORIENTED_EDGE('',*,*,#184648,.F.); #243856=ORIENTED_EDGE('',*,*,#184651,.F.); #243857=ORIENTED_EDGE('',*,*,#184654,.F.); #243858=ORIENTED_EDGE('',*,*,#184657,.F.); #243859=ORIENTED_EDGE('',*,*,#184660,.F.); #243860=ORIENTED_EDGE('',*,*,#184663,.F.); #243861=ORIENTED_EDGE('',*,*,#184668,.T.); #243862=ORIENTED_EDGE('',*,*,#184669,.T.); #243863=ORIENTED_EDGE('',*,*,#184670,.F.); #243864=ORIENTED_EDGE('',*,*,#184671,.F.); #243865=ORIENTED_EDGE('',*,*,#184672,.T.); #243866=ORIENTED_EDGE('',*,*,#184671,.T.); #243867=ORIENTED_EDGE('',*,*,#184673,.F.); #243868=ORIENTED_EDGE('',*,*,#184674,.F.); #243869=ORIENTED_EDGE('',*,*,#184675,.T.); #243870=ORIENTED_EDGE('',*,*,#184674,.T.); #243871=ORIENTED_EDGE('',*,*,#184676,.F.); #243872=ORIENTED_EDGE('',*,*,#184677,.F.); #243873=ORIENTED_EDGE('',*,*,#184678,.T.); #243874=ORIENTED_EDGE('',*,*,#184677,.T.); #243875=ORIENTED_EDGE('',*,*,#184679,.F.); #243876=ORIENTED_EDGE('',*,*,#184680,.F.); #243877=ORIENTED_EDGE('',*,*,#184681,.T.); #243878=ORIENTED_EDGE('',*,*,#184680,.T.); #243879=ORIENTED_EDGE('',*,*,#184682,.F.); #243880=ORIENTED_EDGE('',*,*,#184683,.F.); #243881=ORIENTED_EDGE('',*,*,#184684,.T.); #243882=ORIENTED_EDGE('',*,*,#184683,.T.); #243883=ORIENTED_EDGE('',*,*,#184685,.F.); #243884=ORIENTED_EDGE('',*,*,#184686,.F.); #243885=ORIENTED_EDGE('',*,*,#184687,.T.); #243886=ORIENTED_EDGE('',*,*,#184686,.T.); #243887=ORIENTED_EDGE('',*,*,#184688,.F.); #243888=ORIENTED_EDGE('',*,*,#184689,.F.); #243889=ORIENTED_EDGE('',*,*,#184690,.T.); #243890=ORIENTED_EDGE('',*,*,#184689,.T.); #243891=ORIENTED_EDGE('',*,*,#184691,.F.); #243892=ORIENTED_EDGE('',*,*,#184692,.F.); #243893=ORIENTED_EDGE('',*,*,#184693,.T.); #243894=ORIENTED_EDGE('',*,*,#184692,.T.); #243895=ORIENTED_EDGE('',*,*,#184694,.F.); #243896=ORIENTED_EDGE('',*,*,#184695,.F.); #243897=ORIENTED_EDGE('',*,*,#184696,.T.); #243898=ORIENTED_EDGE('',*,*,#184695,.T.); #243899=ORIENTED_EDGE('',*,*,#184697,.F.); #243900=ORIENTED_EDGE('',*,*,#184698,.F.); #243901=ORIENTED_EDGE('',*,*,#184699,.T.); #243902=ORIENTED_EDGE('',*,*,#184698,.T.); #243903=ORIENTED_EDGE('',*,*,#184700,.F.); #243904=ORIENTED_EDGE('',*,*,#184701,.F.); #243905=ORIENTED_EDGE('',*,*,#184702,.T.); #243906=ORIENTED_EDGE('',*,*,#184701,.T.); #243907=ORIENTED_EDGE('',*,*,#184703,.F.); #243908=ORIENTED_EDGE('',*,*,#184704,.F.); #243909=ORIENTED_EDGE('',*,*,#184705,.T.); #243910=ORIENTED_EDGE('',*,*,#184704,.T.); #243911=ORIENTED_EDGE('',*,*,#184706,.F.); #243912=ORIENTED_EDGE('',*,*,#184707,.F.); #243913=ORIENTED_EDGE('',*,*,#184708,.T.); #243914=ORIENTED_EDGE('',*,*,#184707,.T.); #243915=ORIENTED_EDGE('',*,*,#184709,.F.); #243916=ORIENTED_EDGE('',*,*,#184710,.F.); #243917=ORIENTED_EDGE('',*,*,#184711,.T.); #243918=ORIENTED_EDGE('',*,*,#184710,.T.); #243919=ORIENTED_EDGE('',*,*,#184712,.F.); #243920=ORIENTED_EDGE('',*,*,#184713,.F.); #243921=ORIENTED_EDGE('',*,*,#184714,.T.); #243922=ORIENTED_EDGE('',*,*,#184713,.T.); #243923=ORIENTED_EDGE('',*,*,#184715,.F.); #243924=ORIENTED_EDGE('',*,*,#184716,.F.); #243925=ORIENTED_EDGE('',*,*,#184717,.T.); #243926=ORIENTED_EDGE('',*,*,#184716,.T.); #243927=ORIENTED_EDGE('',*,*,#184718,.F.); #243928=ORIENTED_EDGE('',*,*,#184719,.F.); #243929=ORIENTED_EDGE('',*,*,#184720,.T.); #243930=ORIENTED_EDGE('',*,*,#184719,.T.); #243931=ORIENTED_EDGE('',*,*,#184721,.F.); #243932=ORIENTED_EDGE('',*,*,#184722,.F.); #243933=ORIENTED_EDGE('',*,*,#184723,.T.); #243934=ORIENTED_EDGE('',*,*,#184722,.T.); #243935=ORIENTED_EDGE('',*,*,#184724,.F.); #243936=ORIENTED_EDGE('',*,*,#184725,.F.); #243937=ORIENTED_EDGE('',*,*,#184726,.T.); #243938=ORIENTED_EDGE('',*,*,#184725,.T.); #243939=ORIENTED_EDGE('',*,*,#184727,.F.); #243940=ORIENTED_EDGE('',*,*,#184669,.F.); #243941=ORIENTED_EDGE('',*,*,#184727,.T.); #243942=ORIENTED_EDGE('',*,*,#184724,.T.); #243943=ORIENTED_EDGE('',*,*,#184721,.T.); #243944=ORIENTED_EDGE('',*,*,#184718,.T.); #243945=ORIENTED_EDGE('',*,*,#184715,.T.); #243946=ORIENTED_EDGE('',*,*,#184712,.T.); #243947=ORIENTED_EDGE('',*,*,#184709,.T.); #243948=ORIENTED_EDGE('',*,*,#184706,.T.); #243949=ORIENTED_EDGE('',*,*,#184703,.T.); #243950=ORIENTED_EDGE('',*,*,#184700,.T.); #243951=ORIENTED_EDGE('',*,*,#184697,.T.); #243952=ORIENTED_EDGE('',*,*,#184694,.T.); #243953=ORIENTED_EDGE('',*,*,#184691,.T.); #243954=ORIENTED_EDGE('',*,*,#184688,.T.); #243955=ORIENTED_EDGE('',*,*,#184685,.T.); #243956=ORIENTED_EDGE('',*,*,#184682,.T.); #243957=ORIENTED_EDGE('',*,*,#184679,.T.); #243958=ORIENTED_EDGE('',*,*,#184676,.T.); #243959=ORIENTED_EDGE('',*,*,#184673,.T.); #243960=ORIENTED_EDGE('',*,*,#184670,.T.); #243961=ORIENTED_EDGE('',*,*,#184726,.F.); #243962=ORIENTED_EDGE('',*,*,#184668,.F.); #243963=ORIENTED_EDGE('',*,*,#184672,.F.); #243964=ORIENTED_EDGE('',*,*,#184675,.F.); #243965=ORIENTED_EDGE('',*,*,#184678,.F.); #243966=ORIENTED_EDGE('',*,*,#184681,.F.); #243967=ORIENTED_EDGE('',*,*,#184684,.F.); #243968=ORIENTED_EDGE('',*,*,#184687,.F.); #243969=ORIENTED_EDGE('',*,*,#184690,.F.); #243970=ORIENTED_EDGE('',*,*,#184693,.F.); #243971=ORIENTED_EDGE('',*,*,#184696,.F.); #243972=ORIENTED_EDGE('',*,*,#184699,.F.); #243973=ORIENTED_EDGE('',*,*,#184702,.F.); #243974=ORIENTED_EDGE('',*,*,#184705,.F.); #243975=ORIENTED_EDGE('',*,*,#184708,.F.); #243976=ORIENTED_EDGE('',*,*,#184711,.F.); #243977=ORIENTED_EDGE('',*,*,#184714,.F.); #243978=ORIENTED_EDGE('',*,*,#184717,.F.); #243979=ORIENTED_EDGE('',*,*,#184720,.F.); #243980=ORIENTED_EDGE('',*,*,#184723,.F.); #243981=ORIENTED_EDGE('',*,*,#184728,.T.); #243982=ORIENTED_EDGE('',*,*,#184729,.T.); #243983=ORIENTED_EDGE('',*,*,#184730,.F.); #243984=ORIENTED_EDGE('',*,*,#184731,.F.); #243985=ORIENTED_EDGE('',*,*,#184732,.T.); #243986=ORIENTED_EDGE('',*,*,#184731,.T.); #243987=ORIENTED_EDGE('',*,*,#184733,.F.); #243988=ORIENTED_EDGE('',*,*,#184734,.F.); #243989=ORIENTED_EDGE('',*,*,#184735,.T.); #243990=ORIENTED_EDGE('',*,*,#184734,.T.); #243991=ORIENTED_EDGE('',*,*,#184736,.F.); #243992=ORIENTED_EDGE('',*,*,#184737,.F.); #243993=ORIENTED_EDGE('',*,*,#184738,.T.); #243994=ORIENTED_EDGE('',*,*,#184737,.T.); #243995=ORIENTED_EDGE('',*,*,#184739,.F.); #243996=ORIENTED_EDGE('',*,*,#184740,.F.); #243997=ORIENTED_EDGE('',*,*,#184741,.T.); #243998=ORIENTED_EDGE('',*,*,#184740,.T.); #243999=ORIENTED_EDGE('',*,*,#184742,.F.); #244000=ORIENTED_EDGE('',*,*,#184743,.F.); #244001=ORIENTED_EDGE('',*,*,#184744,.T.); #244002=ORIENTED_EDGE('',*,*,#184743,.T.); #244003=ORIENTED_EDGE('',*,*,#184745,.F.); #244004=ORIENTED_EDGE('',*,*,#184746,.F.); #244005=ORIENTED_EDGE('',*,*,#184747,.T.); #244006=ORIENTED_EDGE('',*,*,#184746,.T.); #244007=ORIENTED_EDGE('',*,*,#184748,.F.); #244008=ORIENTED_EDGE('',*,*,#184749,.F.); #244009=ORIENTED_EDGE('',*,*,#184750,.T.); #244010=ORIENTED_EDGE('',*,*,#184749,.T.); #244011=ORIENTED_EDGE('',*,*,#184751,.F.); #244012=ORIENTED_EDGE('',*,*,#184752,.F.); #244013=ORIENTED_EDGE('',*,*,#184753,.T.); #244014=ORIENTED_EDGE('',*,*,#184752,.T.); #244015=ORIENTED_EDGE('',*,*,#184754,.F.); #244016=ORIENTED_EDGE('',*,*,#184755,.F.); #244017=ORIENTED_EDGE('',*,*,#184756,.T.); #244018=ORIENTED_EDGE('',*,*,#184755,.T.); #244019=ORIENTED_EDGE('',*,*,#184757,.F.); #244020=ORIENTED_EDGE('',*,*,#184758,.F.); #244021=ORIENTED_EDGE('',*,*,#184759,.T.); #244022=ORIENTED_EDGE('',*,*,#184758,.T.); #244023=ORIENTED_EDGE('',*,*,#184760,.F.); #244024=ORIENTED_EDGE('',*,*,#184761,.F.); #244025=ORIENTED_EDGE('',*,*,#184762,.T.); #244026=ORIENTED_EDGE('',*,*,#184761,.T.); #244027=ORIENTED_EDGE('',*,*,#184763,.F.); #244028=ORIENTED_EDGE('',*,*,#184764,.F.); #244029=ORIENTED_EDGE('',*,*,#184765,.T.); #244030=ORIENTED_EDGE('',*,*,#184764,.T.); #244031=ORIENTED_EDGE('',*,*,#184766,.F.); #244032=ORIENTED_EDGE('',*,*,#184767,.F.); #244033=ORIENTED_EDGE('',*,*,#184768,.T.); #244034=ORIENTED_EDGE('',*,*,#184767,.T.); #244035=ORIENTED_EDGE('',*,*,#184769,.F.); #244036=ORIENTED_EDGE('',*,*,#184770,.F.); #244037=ORIENTED_EDGE('',*,*,#184771,.T.); #244038=ORIENTED_EDGE('',*,*,#184770,.T.); #244039=ORIENTED_EDGE('',*,*,#184772,.F.); #244040=ORIENTED_EDGE('',*,*,#184773,.F.); #244041=ORIENTED_EDGE('',*,*,#184774,.T.); #244042=ORIENTED_EDGE('',*,*,#184773,.T.); #244043=ORIENTED_EDGE('',*,*,#184775,.F.); #244044=ORIENTED_EDGE('',*,*,#184776,.F.); #244045=ORIENTED_EDGE('',*,*,#184777,.T.); #244046=ORIENTED_EDGE('',*,*,#184776,.T.); #244047=ORIENTED_EDGE('',*,*,#184778,.F.); #244048=ORIENTED_EDGE('',*,*,#184779,.F.); #244049=ORIENTED_EDGE('',*,*,#184780,.T.); #244050=ORIENTED_EDGE('',*,*,#184779,.T.); #244051=ORIENTED_EDGE('',*,*,#184781,.F.); #244052=ORIENTED_EDGE('',*,*,#184782,.F.); #244053=ORIENTED_EDGE('',*,*,#184783,.T.); #244054=ORIENTED_EDGE('',*,*,#184782,.T.); #244055=ORIENTED_EDGE('',*,*,#184784,.F.); #244056=ORIENTED_EDGE('',*,*,#184785,.F.); #244057=ORIENTED_EDGE('',*,*,#184786,.T.); #244058=ORIENTED_EDGE('',*,*,#184785,.T.); #244059=ORIENTED_EDGE('',*,*,#184787,.F.); #244060=ORIENTED_EDGE('',*,*,#184729,.F.); #244061=ORIENTED_EDGE('',*,*,#184787,.T.); #244062=ORIENTED_EDGE('',*,*,#184784,.T.); #244063=ORIENTED_EDGE('',*,*,#184781,.T.); #244064=ORIENTED_EDGE('',*,*,#184778,.T.); #244065=ORIENTED_EDGE('',*,*,#184775,.T.); #244066=ORIENTED_EDGE('',*,*,#184772,.T.); #244067=ORIENTED_EDGE('',*,*,#184769,.T.); #244068=ORIENTED_EDGE('',*,*,#184766,.T.); #244069=ORIENTED_EDGE('',*,*,#184763,.T.); #244070=ORIENTED_EDGE('',*,*,#184760,.T.); #244071=ORIENTED_EDGE('',*,*,#184757,.T.); #244072=ORIENTED_EDGE('',*,*,#184754,.T.); #244073=ORIENTED_EDGE('',*,*,#184751,.T.); #244074=ORIENTED_EDGE('',*,*,#184748,.T.); #244075=ORIENTED_EDGE('',*,*,#184745,.T.); #244076=ORIENTED_EDGE('',*,*,#184742,.T.); #244077=ORIENTED_EDGE('',*,*,#184739,.T.); #244078=ORIENTED_EDGE('',*,*,#184736,.T.); #244079=ORIENTED_EDGE('',*,*,#184733,.T.); #244080=ORIENTED_EDGE('',*,*,#184730,.T.); #244081=ORIENTED_EDGE('',*,*,#184786,.F.); #244082=ORIENTED_EDGE('',*,*,#184728,.F.); #244083=ORIENTED_EDGE('',*,*,#184732,.F.); #244084=ORIENTED_EDGE('',*,*,#184735,.F.); #244085=ORIENTED_EDGE('',*,*,#184738,.F.); #244086=ORIENTED_EDGE('',*,*,#184741,.F.); #244087=ORIENTED_EDGE('',*,*,#184744,.F.); #244088=ORIENTED_EDGE('',*,*,#184747,.F.); #244089=ORIENTED_EDGE('',*,*,#184750,.F.); #244090=ORIENTED_EDGE('',*,*,#184753,.F.); #244091=ORIENTED_EDGE('',*,*,#184756,.F.); #244092=ORIENTED_EDGE('',*,*,#184759,.F.); #244093=ORIENTED_EDGE('',*,*,#184762,.F.); #244094=ORIENTED_EDGE('',*,*,#184765,.F.); #244095=ORIENTED_EDGE('',*,*,#184768,.F.); #244096=ORIENTED_EDGE('',*,*,#184771,.F.); #244097=ORIENTED_EDGE('',*,*,#184774,.F.); #244098=ORIENTED_EDGE('',*,*,#184777,.F.); #244099=ORIENTED_EDGE('',*,*,#184780,.F.); #244100=ORIENTED_EDGE('',*,*,#184783,.F.); #244101=ORIENTED_EDGE('',*,*,#184788,.T.); #244102=ORIENTED_EDGE('',*,*,#184789,.T.); #244103=ORIENTED_EDGE('',*,*,#184790,.F.); #244104=ORIENTED_EDGE('',*,*,#184791,.F.); #244105=ORIENTED_EDGE('',*,*,#184792,.T.); #244106=ORIENTED_EDGE('',*,*,#184791,.T.); #244107=ORIENTED_EDGE('',*,*,#184793,.F.); #244108=ORIENTED_EDGE('',*,*,#184794,.F.); #244109=ORIENTED_EDGE('',*,*,#184795,.T.); #244110=ORIENTED_EDGE('',*,*,#184794,.T.); #244111=ORIENTED_EDGE('',*,*,#184796,.F.); #244112=ORIENTED_EDGE('',*,*,#184797,.F.); #244113=ORIENTED_EDGE('',*,*,#184798,.T.); #244114=ORIENTED_EDGE('',*,*,#184797,.T.); #244115=ORIENTED_EDGE('',*,*,#184799,.F.); #244116=ORIENTED_EDGE('',*,*,#184800,.F.); #244117=ORIENTED_EDGE('',*,*,#184801,.T.); #244118=ORIENTED_EDGE('',*,*,#184800,.T.); #244119=ORIENTED_EDGE('',*,*,#184802,.F.); #244120=ORIENTED_EDGE('',*,*,#184803,.F.); #244121=ORIENTED_EDGE('',*,*,#184804,.T.); #244122=ORIENTED_EDGE('',*,*,#184803,.T.); #244123=ORIENTED_EDGE('',*,*,#184805,.F.); #244124=ORIENTED_EDGE('',*,*,#184806,.F.); #244125=ORIENTED_EDGE('',*,*,#184807,.T.); #244126=ORIENTED_EDGE('',*,*,#184806,.T.); #244127=ORIENTED_EDGE('',*,*,#184808,.F.); #244128=ORIENTED_EDGE('',*,*,#184809,.F.); #244129=ORIENTED_EDGE('',*,*,#184810,.T.); #244130=ORIENTED_EDGE('',*,*,#184809,.T.); #244131=ORIENTED_EDGE('',*,*,#184811,.F.); #244132=ORIENTED_EDGE('',*,*,#184812,.F.); #244133=ORIENTED_EDGE('',*,*,#184813,.T.); #244134=ORIENTED_EDGE('',*,*,#184812,.T.); #244135=ORIENTED_EDGE('',*,*,#184814,.F.); #244136=ORIENTED_EDGE('',*,*,#184815,.F.); #244137=ORIENTED_EDGE('',*,*,#184816,.T.); #244138=ORIENTED_EDGE('',*,*,#184815,.T.); #244139=ORIENTED_EDGE('',*,*,#184817,.F.); #244140=ORIENTED_EDGE('',*,*,#184818,.F.); #244141=ORIENTED_EDGE('',*,*,#184819,.T.); #244142=ORIENTED_EDGE('',*,*,#184818,.T.); #244143=ORIENTED_EDGE('',*,*,#184820,.F.); #244144=ORIENTED_EDGE('',*,*,#184821,.F.); #244145=ORIENTED_EDGE('',*,*,#184822,.T.); #244146=ORIENTED_EDGE('',*,*,#184821,.T.); #244147=ORIENTED_EDGE('',*,*,#184823,.F.); #244148=ORIENTED_EDGE('',*,*,#184824,.F.); #244149=ORIENTED_EDGE('',*,*,#184825,.T.); #244150=ORIENTED_EDGE('',*,*,#184824,.T.); #244151=ORIENTED_EDGE('',*,*,#184826,.F.); #244152=ORIENTED_EDGE('',*,*,#184827,.F.); #244153=ORIENTED_EDGE('',*,*,#184828,.T.); #244154=ORIENTED_EDGE('',*,*,#184827,.T.); #244155=ORIENTED_EDGE('',*,*,#184829,.F.); #244156=ORIENTED_EDGE('',*,*,#184830,.F.); #244157=ORIENTED_EDGE('',*,*,#184831,.T.); #244158=ORIENTED_EDGE('',*,*,#184830,.T.); #244159=ORIENTED_EDGE('',*,*,#184832,.F.); #244160=ORIENTED_EDGE('',*,*,#184833,.F.); #244161=ORIENTED_EDGE('',*,*,#184834,.T.); #244162=ORIENTED_EDGE('',*,*,#184833,.T.); #244163=ORIENTED_EDGE('',*,*,#184835,.F.); #244164=ORIENTED_EDGE('',*,*,#184836,.F.); #244165=ORIENTED_EDGE('',*,*,#184837,.T.); #244166=ORIENTED_EDGE('',*,*,#184836,.T.); #244167=ORIENTED_EDGE('',*,*,#184838,.F.); #244168=ORIENTED_EDGE('',*,*,#184839,.F.); #244169=ORIENTED_EDGE('',*,*,#184840,.T.); #244170=ORIENTED_EDGE('',*,*,#184839,.T.); #244171=ORIENTED_EDGE('',*,*,#184841,.F.); #244172=ORIENTED_EDGE('',*,*,#184842,.F.); #244173=ORIENTED_EDGE('',*,*,#184843,.T.); #244174=ORIENTED_EDGE('',*,*,#184842,.T.); #244175=ORIENTED_EDGE('',*,*,#184844,.F.); #244176=ORIENTED_EDGE('',*,*,#184845,.F.); #244177=ORIENTED_EDGE('',*,*,#184846,.T.); #244178=ORIENTED_EDGE('',*,*,#184845,.T.); #244179=ORIENTED_EDGE('',*,*,#184847,.F.); #244180=ORIENTED_EDGE('',*,*,#184848,.F.); #244181=ORIENTED_EDGE('',*,*,#184849,.T.); #244182=ORIENTED_EDGE('',*,*,#184848,.T.); #244183=ORIENTED_EDGE('',*,*,#184850,.F.); #244184=ORIENTED_EDGE('',*,*,#184851,.F.); #244185=ORIENTED_EDGE('',*,*,#184852,.T.); #244186=ORIENTED_EDGE('',*,*,#184851,.T.); #244187=ORIENTED_EDGE('',*,*,#184853,.F.); #244188=ORIENTED_EDGE('',*,*,#184854,.F.); #244189=ORIENTED_EDGE('',*,*,#184855,.T.); #244190=ORIENTED_EDGE('',*,*,#184854,.T.); #244191=ORIENTED_EDGE('',*,*,#184856,.F.); #244192=ORIENTED_EDGE('',*,*,#184857,.F.); #244193=ORIENTED_EDGE('',*,*,#184858,.T.); #244194=ORIENTED_EDGE('',*,*,#184857,.T.); #244195=ORIENTED_EDGE('',*,*,#184859,.F.); #244196=ORIENTED_EDGE('',*,*,#184860,.F.); #244197=ORIENTED_EDGE('',*,*,#184861,.T.); #244198=ORIENTED_EDGE('',*,*,#184860,.T.); #244199=ORIENTED_EDGE('',*,*,#184862,.F.); #244200=ORIENTED_EDGE('',*,*,#184863,.F.); #244201=ORIENTED_EDGE('',*,*,#184864,.T.); #244202=ORIENTED_EDGE('',*,*,#184863,.T.); #244203=ORIENTED_EDGE('',*,*,#184865,.F.); #244204=ORIENTED_EDGE('',*,*,#184866,.F.); #244205=ORIENTED_EDGE('',*,*,#184867,.T.); #244206=ORIENTED_EDGE('',*,*,#184866,.T.); #244207=ORIENTED_EDGE('',*,*,#184868,.F.); #244208=ORIENTED_EDGE('',*,*,#184869,.F.); #244209=ORIENTED_EDGE('',*,*,#184870,.T.); #244210=ORIENTED_EDGE('',*,*,#184869,.T.); #244211=ORIENTED_EDGE('',*,*,#184871,.F.); #244212=ORIENTED_EDGE('',*,*,#184872,.F.); #244213=ORIENTED_EDGE('',*,*,#184873,.T.); #244214=ORIENTED_EDGE('',*,*,#184872,.T.); #244215=ORIENTED_EDGE('',*,*,#184874,.F.); #244216=ORIENTED_EDGE('',*,*,#184875,.F.); #244217=ORIENTED_EDGE('',*,*,#184876,.T.); #244218=ORIENTED_EDGE('',*,*,#184875,.T.); #244219=ORIENTED_EDGE('',*,*,#184877,.F.); #244220=ORIENTED_EDGE('',*,*,#184878,.F.); #244221=ORIENTED_EDGE('',*,*,#184879,.T.); #244222=ORIENTED_EDGE('',*,*,#184878,.T.); #244223=ORIENTED_EDGE('',*,*,#184880,.F.); #244224=ORIENTED_EDGE('',*,*,#184881,.F.); #244225=ORIENTED_EDGE('',*,*,#184882,.T.); #244226=ORIENTED_EDGE('',*,*,#184881,.T.); #244227=ORIENTED_EDGE('',*,*,#184883,.F.); #244228=ORIENTED_EDGE('',*,*,#184884,.F.); #244229=ORIENTED_EDGE('',*,*,#184885,.T.); #244230=ORIENTED_EDGE('',*,*,#184884,.T.); #244231=ORIENTED_EDGE('',*,*,#184886,.F.); #244232=ORIENTED_EDGE('',*,*,#184887,.F.); #244233=ORIENTED_EDGE('',*,*,#184888,.T.); #244234=ORIENTED_EDGE('',*,*,#184887,.T.); #244235=ORIENTED_EDGE('',*,*,#184889,.F.); #244236=ORIENTED_EDGE('',*,*,#184890,.F.); #244237=ORIENTED_EDGE('',*,*,#184891,.T.); #244238=ORIENTED_EDGE('',*,*,#184890,.T.); #244239=ORIENTED_EDGE('',*,*,#184892,.F.); #244240=ORIENTED_EDGE('',*,*,#184893,.F.); #244241=ORIENTED_EDGE('',*,*,#184894,.T.); #244242=ORIENTED_EDGE('',*,*,#184893,.T.); #244243=ORIENTED_EDGE('',*,*,#184895,.F.); #244244=ORIENTED_EDGE('',*,*,#184896,.F.); #244245=ORIENTED_EDGE('',*,*,#184897,.T.); #244246=ORIENTED_EDGE('',*,*,#184896,.T.); #244247=ORIENTED_EDGE('',*,*,#184898,.F.); #244248=ORIENTED_EDGE('',*,*,#184899,.F.); #244249=ORIENTED_EDGE('',*,*,#184900,.T.); #244250=ORIENTED_EDGE('',*,*,#184899,.T.); #244251=ORIENTED_EDGE('',*,*,#184901,.F.); #244252=ORIENTED_EDGE('',*,*,#184902,.F.); #244253=ORIENTED_EDGE('',*,*,#184903,.T.); #244254=ORIENTED_EDGE('',*,*,#184902,.T.); #244255=ORIENTED_EDGE('',*,*,#184904,.F.); #244256=ORIENTED_EDGE('',*,*,#184905,.F.); #244257=ORIENTED_EDGE('',*,*,#184906,.T.); #244258=ORIENTED_EDGE('',*,*,#184905,.T.); #244259=ORIENTED_EDGE('',*,*,#184907,.F.); #244260=ORIENTED_EDGE('',*,*,#184789,.F.); #244261=ORIENTED_EDGE('',*,*,#184907,.T.); #244262=ORIENTED_EDGE('',*,*,#184904,.T.); #244263=ORIENTED_EDGE('',*,*,#184901,.T.); #244264=ORIENTED_EDGE('',*,*,#184898,.T.); #244265=ORIENTED_EDGE('',*,*,#184895,.T.); #244266=ORIENTED_EDGE('',*,*,#184892,.T.); #244267=ORIENTED_EDGE('',*,*,#184889,.T.); #244268=ORIENTED_EDGE('',*,*,#184886,.T.); #244269=ORIENTED_EDGE('',*,*,#184883,.T.); #244270=ORIENTED_EDGE('',*,*,#184880,.T.); #244271=ORIENTED_EDGE('',*,*,#184877,.T.); #244272=ORIENTED_EDGE('',*,*,#184874,.T.); #244273=ORIENTED_EDGE('',*,*,#184871,.T.); #244274=ORIENTED_EDGE('',*,*,#184868,.T.); #244275=ORIENTED_EDGE('',*,*,#184865,.T.); #244276=ORIENTED_EDGE('',*,*,#184862,.T.); #244277=ORIENTED_EDGE('',*,*,#184859,.T.); #244278=ORIENTED_EDGE('',*,*,#184856,.T.); #244279=ORIENTED_EDGE('',*,*,#184853,.T.); #244280=ORIENTED_EDGE('',*,*,#184850,.T.); #244281=ORIENTED_EDGE('',*,*,#184847,.T.); #244282=ORIENTED_EDGE('',*,*,#184844,.T.); #244283=ORIENTED_EDGE('',*,*,#184841,.T.); #244284=ORIENTED_EDGE('',*,*,#184838,.T.); #244285=ORIENTED_EDGE('',*,*,#184835,.T.); #244286=ORIENTED_EDGE('',*,*,#184832,.T.); #244287=ORIENTED_EDGE('',*,*,#184829,.T.); #244288=ORIENTED_EDGE('',*,*,#184826,.T.); #244289=ORIENTED_EDGE('',*,*,#184823,.T.); #244290=ORIENTED_EDGE('',*,*,#184820,.T.); #244291=ORIENTED_EDGE('',*,*,#184817,.T.); #244292=ORIENTED_EDGE('',*,*,#184814,.T.); #244293=ORIENTED_EDGE('',*,*,#184811,.T.); #244294=ORIENTED_EDGE('',*,*,#184808,.T.); #244295=ORIENTED_EDGE('',*,*,#184805,.T.); #244296=ORIENTED_EDGE('',*,*,#184802,.T.); #244297=ORIENTED_EDGE('',*,*,#184799,.T.); #244298=ORIENTED_EDGE('',*,*,#184796,.T.); #244299=ORIENTED_EDGE('',*,*,#184793,.T.); #244300=ORIENTED_EDGE('',*,*,#184790,.T.); #244301=ORIENTED_EDGE('',*,*,#184906,.F.); #244302=ORIENTED_EDGE('',*,*,#184788,.F.); #244303=ORIENTED_EDGE('',*,*,#184792,.F.); #244304=ORIENTED_EDGE('',*,*,#184795,.F.); #244305=ORIENTED_EDGE('',*,*,#184798,.F.); #244306=ORIENTED_EDGE('',*,*,#184801,.F.); #244307=ORIENTED_EDGE('',*,*,#184804,.F.); #244308=ORIENTED_EDGE('',*,*,#184807,.F.); #244309=ORIENTED_EDGE('',*,*,#184810,.F.); #244310=ORIENTED_EDGE('',*,*,#184813,.F.); #244311=ORIENTED_EDGE('',*,*,#184816,.F.); #244312=ORIENTED_EDGE('',*,*,#184819,.F.); #244313=ORIENTED_EDGE('',*,*,#184822,.F.); #244314=ORIENTED_EDGE('',*,*,#184825,.F.); #244315=ORIENTED_EDGE('',*,*,#184828,.F.); #244316=ORIENTED_EDGE('',*,*,#184831,.F.); #244317=ORIENTED_EDGE('',*,*,#184834,.F.); #244318=ORIENTED_EDGE('',*,*,#184837,.F.); #244319=ORIENTED_EDGE('',*,*,#184840,.F.); #244320=ORIENTED_EDGE('',*,*,#184843,.F.); #244321=ORIENTED_EDGE('',*,*,#184846,.F.); #244322=ORIENTED_EDGE('',*,*,#184849,.F.); #244323=ORIENTED_EDGE('',*,*,#184852,.F.); #244324=ORIENTED_EDGE('',*,*,#184855,.F.); #244325=ORIENTED_EDGE('',*,*,#184858,.F.); #244326=ORIENTED_EDGE('',*,*,#184861,.F.); #244327=ORIENTED_EDGE('',*,*,#184864,.F.); #244328=ORIENTED_EDGE('',*,*,#184867,.F.); #244329=ORIENTED_EDGE('',*,*,#184870,.F.); #244330=ORIENTED_EDGE('',*,*,#184873,.F.); #244331=ORIENTED_EDGE('',*,*,#184876,.F.); #244332=ORIENTED_EDGE('',*,*,#184879,.F.); #244333=ORIENTED_EDGE('',*,*,#184882,.F.); #244334=ORIENTED_EDGE('',*,*,#184885,.F.); #244335=ORIENTED_EDGE('',*,*,#184888,.F.); #244336=ORIENTED_EDGE('',*,*,#184891,.F.); #244337=ORIENTED_EDGE('',*,*,#184894,.F.); #244338=ORIENTED_EDGE('',*,*,#184897,.F.); #244339=ORIENTED_EDGE('',*,*,#184900,.F.); #244340=ORIENTED_EDGE('',*,*,#184903,.F.); #244341=ORIENTED_EDGE('',*,*,#184908,.F.); #244342=ORIENTED_EDGE('',*,*,#184909,.T.); #244343=ORIENTED_EDGE('',*,*,#184910,.F.); #244344=ORIENTED_EDGE('',*,*,#184909,.F.); #244345=ORIENTED_EDGE('',*,*,#184911,.F.); #244346=ORIENTED_EDGE('',*,*,#184912,.F.); #244347=ORIENTED_EDGE('',*,*,#184913,.F.); #244348=ORIENTED_EDGE('',*,*,#184914,.T.); #244349=ORIENTED_EDGE('',*,*,#184915,.F.); #244350=ORIENTED_EDGE('',*,*,#184914,.F.); #244351=ORIENTED_EDGE('',*,*,#184916,.F.); #244352=ORIENTED_EDGE('',*,*,#184917,.T.); #244353=ORIENTED_EDGE('',*,*,#184918,.F.); #244354=ORIENTED_EDGE('',*,*,#184917,.F.); #244355=ORIENTED_EDGE('',*,*,#184919,.F.); #244356=ORIENTED_EDGE('',*,*,#184920,.T.); #244357=ORIENTED_EDGE('',*,*,#184921,.F.); #244358=ORIENTED_EDGE('',*,*,#184920,.F.); #244359=ORIENTED_EDGE('',*,*,#184922,.F.); #244360=ORIENTED_EDGE('',*,*,#184912,.T.); #244361=ORIENTED_EDGE('',*,*,#184923,.T.); #244362=ORIENTED_EDGE('',*,*,#184924,.T.); #244363=ORIENTED_EDGE('',*,*,#184925,.F.); #244364=ORIENTED_EDGE('',*,*,#184926,.F.); #244365=ORIENTED_EDGE('',*,*,#184927,.T.); #244366=ORIENTED_EDGE('',*,*,#184926,.T.); #244367=ORIENTED_EDGE('',*,*,#184928,.F.); #244368=ORIENTED_EDGE('',*,*,#184929,.F.); #244369=ORIENTED_EDGE('',*,*,#184930,.T.); #244370=ORIENTED_EDGE('',*,*,#184929,.T.); #244371=ORIENTED_EDGE('',*,*,#184931,.F.); #244372=ORIENTED_EDGE('',*,*,#184932,.F.); #244373=ORIENTED_EDGE('',*,*,#184933,.T.); #244374=ORIENTED_EDGE('',*,*,#184932,.T.); #244375=ORIENTED_EDGE('',*,*,#184934,.F.); #244376=ORIENTED_EDGE('',*,*,#184935,.F.); #244377=ORIENTED_EDGE('',*,*,#184936,.T.); #244378=ORIENTED_EDGE('',*,*,#184935,.T.); #244379=ORIENTED_EDGE('',*,*,#184937,.F.); #244380=ORIENTED_EDGE('',*,*,#184938,.F.); #244381=ORIENTED_EDGE('',*,*,#184939,.T.); #244382=ORIENTED_EDGE('',*,*,#184938,.T.); #244383=ORIENTED_EDGE('',*,*,#184940,.F.); #244384=ORIENTED_EDGE('',*,*,#184941,.F.); #244385=ORIENTED_EDGE('',*,*,#184942,.T.); #244386=ORIENTED_EDGE('',*,*,#184941,.T.); #244387=ORIENTED_EDGE('',*,*,#184943,.F.); #244388=ORIENTED_EDGE('',*,*,#184944,.F.); #244389=ORIENTED_EDGE('',*,*,#184945,.T.); #244390=ORIENTED_EDGE('',*,*,#184944,.T.); #244391=ORIENTED_EDGE('',*,*,#184946,.F.); #244392=ORIENTED_EDGE('',*,*,#184947,.F.); #244393=ORIENTED_EDGE('',*,*,#184948,.T.); #244394=ORIENTED_EDGE('',*,*,#184947,.T.); #244395=ORIENTED_EDGE('',*,*,#184949,.F.); #244396=ORIENTED_EDGE('',*,*,#184950,.F.); #244397=ORIENTED_EDGE('',*,*,#184951,.T.); #244398=ORIENTED_EDGE('',*,*,#184950,.T.); #244399=ORIENTED_EDGE('',*,*,#184952,.F.); #244400=ORIENTED_EDGE('',*,*,#184953,.F.); #244401=ORIENTED_EDGE('',*,*,#184954,.T.); #244402=ORIENTED_EDGE('',*,*,#184953,.T.); #244403=ORIENTED_EDGE('',*,*,#184955,.F.); #244404=ORIENTED_EDGE('',*,*,#184956,.F.); #244405=ORIENTED_EDGE('',*,*,#184957,.T.); #244406=ORIENTED_EDGE('',*,*,#184956,.T.); #244407=ORIENTED_EDGE('',*,*,#184958,.F.); #244408=ORIENTED_EDGE('',*,*,#184959,.F.); #244409=ORIENTED_EDGE('',*,*,#184960,.T.); #244410=ORIENTED_EDGE('',*,*,#184959,.T.); #244411=ORIENTED_EDGE('',*,*,#184961,.F.); #244412=ORIENTED_EDGE('',*,*,#184962,.F.); #244413=ORIENTED_EDGE('',*,*,#184963,.T.); #244414=ORIENTED_EDGE('',*,*,#184962,.T.); #244415=ORIENTED_EDGE('',*,*,#184964,.F.); #244416=ORIENTED_EDGE('',*,*,#184965,.F.); #244417=ORIENTED_EDGE('',*,*,#184966,.T.); #244418=ORIENTED_EDGE('',*,*,#184965,.T.); #244419=ORIENTED_EDGE('',*,*,#184967,.F.); #244420=ORIENTED_EDGE('',*,*,#184968,.F.); #244421=ORIENTED_EDGE('',*,*,#184969,.T.); #244422=ORIENTED_EDGE('',*,*,#184968,.T.); #244423=ORIENTED_EDGE('',*,*,#184970,.F.); #244424=ORIENTED_EDGE('',*,*,#184971,.F.); #244425=ORIENTED_EDGE('',*,*,#184972,.T.); #244426=ORIENTED_EDGE('',*,*,#184971,.T.); #244427=ORIENTED_EDGE('',*,*,#184973,.F.); #244428=ORIENTED_EDGE('',*,*,#184974,.F.); #244429=ORIENTED_EDGE('',*,*,#184975,.T.); #244430=ORIENTED_EDGE('',*,*,#184974,.T.); #244431=ORIENTED_EDGE('',*,*,#184976,.F.); #244432=ORIENTED_EDGE('',*,*,#184977,.F.); #244433=ORIENTED_EDGE('',*,*,#184978,.T.); #244434=ORIENTED_EDGE('',*,*,#184977,.T.); #244435=ORIENTED_EDGE('',*,*,#184979,.F.); #244436=ORIENTED_EDGE('',*,*,#184980,.F.); #244437=ORIENTED_EDGE('',*,*,#184981,.T.); #244438=ORIENTED_EDGE('',*,*,#184980,.T.); #244439=ORIENTED_EDGE('',*,*,#184982,.F.); #244440=ORIENTED_EDGE('',*,*,#184983,.F.); #244441=ORIENTED_EDGE('',*,*,#184984,.T.); #244442=ORIENTED_EDGE('',*,*,#184983,.T.); #244443=ORIENTED_EDGE('',*,*,#184985,.F.); #244444=ORIENTED_EDGE('',*,*,#184986,.F.); #244445=ORIENTED_EDGE('',*,*,#184987,.T.); #244446=ORIENTED_EDGE('',*,*,#184986,.T.); #244447=ORIENTED_EDGE('',*,*,#184988,.F.); #244448=ORIENTED_EDGE('',*,*,#184989,.F.); #244449=ORIENTED_EDGE('',*,*,#184990,.T.); #244450=ORIENTED_EDGE('',*,*,#184989,.T.); #244451=ORIENTED_EDGE('',*,*,#184991,.F.); #244452=ORIENTED_EDGE('',*,*,#184992,.F.); #244453=ORIENTED_EDGE('',*,*,#184993,.T.); #244454=ORIENTED_EDGE('',*,*,#184992,.T.); #244455=ORIENTED_EDGE('',*,*,#184994,.F.); #244456=ORIENTED_EDGE('',*,*,#184995,.F.); #244457=ORIENTED_EDGE('',*,*,#184996,.T.); #244458=ORIENTED_EDGE('',*,*,#184995,.T.); #244459=ORIENTED_EDGE('',*,*,#184997,.F.); #244460=ORIENTED_EDGE('',*,*,#184998,.F.); #244461=ORIENTED_EDGE('',*,*,#184999,.T.); #244462=ORIENTED_EDGE('',*,*,#184998,.T.); #244463=ORIENTED_EDGE('',*,*,#185000,.F.); #244464=ORIENTED_EDGE('',*,*,#185001,.F.); #244465=ORIENTED_EDGE('',*,*,#185002,.T.); #244466=ORIENTED_EDGE('',*,*,#185001,.T.); #244467=ORIENTED_EDGE('',*,*,#185003,.F.); #244468=ORIENTED_EDGE('',*,*,#185004,.F.); #244469=ORIENTED_EDGE('',*,*,#185005,.T.); #244470=ORIENTED_EDGE('',*,*,#185004,.T.); #244471=ORIENTED_EDGE('',*,*,#185006,.F.); #244472=ORIENTED_EDGE('',*,*,#185007,.F.); #244473=ORIENTED_EDGE('',*,*,#185008,.T.); #244474=ORIENTED_EDGE('',*,*,#185007,.T.); #244475=ORIENTED_EDGE('',*,*,#185009,.F.); #244476=ORIENTED_EDGE('',*,*,#185010,.F.); #244477=ORIENTED_EDGE('',*,*,#185011,.T.); #244478=ORIENTED_EDGE('',*,*,#185010,.T.); #244479=ORIENTED_EDGE('',*,*,#185012,.F.); #244480=ORIENTED_EDGE('',*,*,#185013,.F.); #244481=ORIENTED_EDGE('',*,*,#185014,.T.); #244482=ORIENTED_EDGE('',*,*,#185013,.T.); #244483=ORIENTED_EDGE('',*,*,#185015,.F.); #244484=ORIENTED_EDGE('',*,*,#185016,.F.); #244485=ORIENTED_EDGE('',*,*,#185017,.T.); #244486=ORIENTED_EDGE('',*,*,#185016,.T.); #244487=ORIENTED_EDGE('',*,*,#185018,.F.); #244488=ORIENTED_EDGE('',*,*,#185019,.F.); #244489=ORIENTED_EDGE('',*,*,#185020,.T.); #244490=ORIENTED_EDGE('',*,*,#185019,.T.); #244491=ORIENTED_EDGE('',*,*,#185021,.F.); #244492=ORIENTED_EDGE('',*,*,#185022,.F.); #244493=ORIENTED_EDGE('',*,*,#185023,.T.); #244494=ORIENTED_EDGE('',*,*,#185022,.T.); #244495=ORIENTED_EDGE('',*,*,#185024,.F.); #244496=ORIENTED_EDGE('',*,*,#185025,.F.); #244497=ORIENTED_EDGE('',*,*,#185026,.T.); #244498=ORIENTED_EDGE('',*,*,#185025,.T.); #244499=ORIENTED_EDGE('',*,*,#185027,.F.); #244500=ORIENTED_EDGE('',*,*,#185028,.F.); #244501=ORIENTED_EDGE('',*,*,#185029,.T.); #244502=ORIENTED_EDGE('',*,*,#185028,.T.); #244503=ORIENTED_EDGE('',*,*,#185030,.F.); #244504=ORIENTED_EDGE('',*,*,#185031,.F.); #244505=ORIENTED_EDGE('',*,*,#185032,.T.); #244506=ORIENTED_EDGE('',*,*,#185031,.T.); #244507=ORIENTED_EDGE('',*,*,#185033,.F.); #244508=ORIENTED_EDGE('',*,*,#185034,.F.); #244509=ORIENTED_EDGE('',*,*,#185035,.T.); #244510=ORIENTED_EDGE('',*,*,#185034,.T.); #244511=ORIENTED_EDGE('',*,*,#185036,.F.); #244512=ORIENTED_EDGE('',*,*,#185037,.F.); #244513=ORIENTED_EDGE('',*,*,#185038,.T.); #244514=ORIENTED_EDGE('',*,*,#185037,.T.); #244515=ORIENTED_EDGE('',*,*,#185039,.F.); #244516=ORIENTED_EDGE('',*,*,#185040,.F.); #244517=ORIENTED_EDGE('',*,*,#185041,.T.); #244518=ORIENTED_EDGE('',*,*,#185040,.T.); #244519=ORIENTED_EDGE('',*,*,#185042,.F.); #244520=ORIENTED_EDGE('',*,*,#185043,.F.); #244521=ORIENTED_EDGE('',*,*,#185044,.T.); #244522=ORIENTED_EDGE('',*,*,#185043,.T.); #244523=ORIENTED_EDGE('',*,*,#185045,.F.); #244524=ORIENTED_EDGE('',*,*,#185046,.F.); #244525=ORIENTED_EDGE('',*,*,#185047,.T.); #244526=ORIENTED_EDGE('',*,*,#185046,.T.); #244527=ORIENTED_EDGE('',*,*,#185048,.F.); #244528=ORIENTED_EDGE('',*,*,#185049,.F.); #244529=ORIENTED_EDGE('',*,*,#185050,.T.); #244530=ORIENTED_EDGE('',*,*,#185049,.T.); #244531=ORIENTED_EDGE('',*,*,#185051,.F.); #244532=ORIENTED_EDGE('',*,*,#185052,.F.); #244533=ORIENTED_EDGE('',*,*,#185053,.T.); #244534=ORIENTED_EDGE('',*,*,#185052,.T.); #244535=ORIENTED_EDGE('',*,*,#185054,.F.); #244536=ORIENTED_EDGE('',*,*,#185055,.F.); #244537=ORIENTED_EDGE('',*,*,#185056,.T.); #244538=ORIENTED_EDGE('',*,*,#185055,.T.); #244539=ORIENTED_EDGE('',*,*,#185057,.F.); #244540=ORIENTED_EDGE('',*,*,#185058,.F.); #244541=ORIENTED_EDGE('',*,*,#185059,.T.); #244542=ORIENTED_EDGE('',*,*,#185058,.T.); #244543=ORIENTED_EDGE('',*,*,#185060,.F.); #244544=ORIENTED_EDGE('',*,*,#185061,.F.); #244545=ORIENTED_EDGE('',*,*,#185062,.T.); #244546=ORIENTED_EDGE('',*,*,#185061,.T.); #244547=ORIENTED_EDGE('',*,*,#185063,.F.); #244548=ORIENTED_EDGE('',*,*,#185064,.F.); #244549=ORIENTED_EDGE('',*,*,#185065,.T.); #244550=ORIENTED_EDGE('',*,*,#185064,.T.); #244551=ORIENTED_EDGE('',*,*,#185066,.F.); #244552=ORIENTED_EDGE('',*,*,#185067,.F.); #244553=ORIENTED_EDGE('',*,*,#185068,.T.); #244554=ORIENTED_EDGE('',*,*,#185067,.T.); #244555=ORIENTED_EDGE('',*,*,#185069,.F.); #244556=ORIENTED_EDGE('',*,*,#185070,.F.); #244557=ORIENTED_EDGE('',*,*,#185071,.T.); #244558=ORIENTED_EDGE('',*,*,#185070,.T.); #244559=ORIENTED_EDGE('',*,*,#185072,.F.); #244560=ORIENTED_EDGE('',*,*,#185073,.F.); #244561=ORIENTED_EDGE('',*,*,#185074,.T.); #244562=ORIENTED_EDGE('',*,*,#185073,.T.); #244563=ORIENTED_EDGE('',*,*,#185075,.F.); #244564=ORIENTED_EDGE('',*,*,#185076,.F.); #244565=ORIENTED_EDGE('',*,*,#185077,.T.); #244566=ORIENTED_EDGE('',*,*,#185076,.T.); #244567=ORIENTED_EDGE('',*,*,#185078,.F.); #244568=ORIENTED_EDGE('',*,*,#185079,.F.); #244569=ORIENTED_EDGE('',*,*,#185080,.T.); #244570=ORIENTED_EDGE('',*,*,#185079,.T.); #244571=ORIENTED_EDGE('',*,*,#185081,.F.); #244572=ORIENTED_EDGE('',*,*,#185082,.F.); #244573=ORIENTED_EDGE('',*,*,#185083,.T.); #244574=ORIENTED_EDGE('',*,*,#185082,.T.); #244575=ORIENTED_EDGE('',*,*,#185084,.F.); #244576=ORIENTED_EDGE('',*,*,#185085,.F.); #244577=ORIENTED_EDGE('',*,*,#185086,.T.); #244578=ORIENTED_EDGE('',*,*,#185085,.T.); #244579=ORIENTED_EDGE('',*,*,#185087,.F.); #244580=ORIENTED_EDGE('',*,*,#185088,.F.); #244581=ORIENTED_EDGE('',*,*,#185089,.T.); #244582=ORIENTED_EDGE('',*,*,#185088,.T.); #244583=ORIENTED_EDGE('',*,*,#185090,.F.); #244584=ORIENTED_EDGE('',*,*,#185091,.F.); #244585=ORIENTED_EDGE('',*,*,#185092,.T.); #244586=ORIENTED_EDGE('',*,*,#185091,.T.); #244587=ORIENTED_EDGE('',*,*,#185093,.F.); #244588=ORIENTED_EDGE('',*,*,#185094,.F.); #244589=ORIENTED_EDGE('',*,*,#185095,.T.); #244590=ORIENTED_EDGE('',*,*,#185094,.T.); #244591=ORIENTED_EDGE('',*,*,#185096,.F.); #244592=ORIENTED_EDGE('',*,*,#185097,.F.); #244593=ORIENTED_EDGE('',*,*,#185098,.T.); #244594=ORIENTED_EDGE('',*,*,#185097,.T.); #244595=ORIENTED_EDGE('',*,*,#185099,.F.); #244596=ORIENTED_EDGE('',*,*,#185100,.F.); #244597=ORIENTED_EDGE('',*,*,#185101,.T.); #244598=ORIENTED_EDGE('',*,*,#185100,.T.); #244599=ORIENTED_EDGE('',*,*,#185102,.F.); #244600=ORIENTED_EDGE('',*,*,#185103,.F.); #244601=ORIENTED_EDGE('',*,*,#185104,.T.); #244602=ORIENTED_EDGE('',*,*,#185103,.T.); #244603=ORIENTED_EDGE('',*,*,#185105,.F.); #244604=ORIENTED_EDGE('',*,*,#185106,.F.); #244605=ORIENTED_EDGE('',*,*,#185107,.T.); #244606=ORIENTED_EDGE('',*,*,#185106,.T.); #244607=ORIENTED_EDGE('',*,*,#185108,.F.); #244608=ORIENTED_EDGE('',*,*,#185109,.F.); #244609=ORIENTED_EDGE('',*,*,#185110,.T.); #244610=ORIENTED_EDGE('',*,*,#185109,.T.); #244611=ORIENTED_EDGE('',*,*,#185111,.F.); #244612=ORIENTED_EDGE('',*,*,#185112,.F.); #244613=ORIENTED_EDGE('',*,*,#185113,.T.); #244614=ORIENTED_EDGE('',*,*,#185112,.T.); #244615=ORIENTED_EDGE('',*,*,#185114,.F.); #244616=ORIENTED_EDGE('',*,*,#185115,.F.); #244617=ORIENTED_EDGE('',*,*,#185116,.T.); #244618=ORIENTED_EDGE('',*,*,#185115,.T.); #244619=ORIENTED_EDGE('',*,*,#185117,.F.); #244620=ORIENTED_EDGE('',*,*,#185118,.F.); #244621=ORIENTED_EDGE('',*,*,#185119,.T.); #244622=ORIENTED_EDGE('',*,*,#185118,.T.); #244623=ORIENTED_EDGE('',*,*,#185120,.F.); #244624=ORIENTED_EDGE('',*,*,#185121,.F.); #244625=ORIENTED_EDGE('',*,*,#185122,.T.); #244626=ORIENTED_EDGE('',*,*,#185121,.T.); #244627=ORIENTED_EDGE('',*,*,#185123,.F.); #244628=ORIENTED_EDGE('',*,*,#185124,.F.); #244629=ORIENTED_EDGE('',*,*,#185125,.T.); #244630=ORIENTED_EDGE('',*,*,#185124,.T.); #244631=ORIENTED_EDGE('',*,*,#185126,.F.); #244632=ORIENTED_EDGE('',*,*,#185127,.F.); #244633=ORIENTED_EDGE('',*,*,#185128,.T.); #244634=ORIENTED_EDGE('',*,*,#185127,.T.); #244635=ORIENTED_EDGE('',*,*,#185129,.F.); #244636=ORIENTED_EDGE('',*,*,#185130,.F.); #244637=ORIENTED_EDGE('',*,*,#185131,.T.); #244638=ORIENTED_EDGE('',*,*,#185130,.T.); #244639=ORIENTED_EDGE('',*,*,#185132,.F.); #244640=ORIENTED_EDGE('',*,*,#185133,.F.); #244641=ORIENTED_EDGE('',*,*,#185134,.T.); #244642=ORIENTED_EDGE('',*,*,#185133,.T.); #244643=ORIENTED_EDGE('',*,*,#185135,.F.); #244644=ORIENTED_EDGE('',*,*,#185136,.F.); #244645=ORIENTED_EDGE('',*,*,#185137,.T.); #244646=ORIENTED_EDGE('',*,*,#185136,.T.); #244647=ORIENTED_EDGE('',*,*,#185138,.F.); #244648=ORIENTED_EDGE('',*,*,#185139,.F.); #244649=ORIENTED_EDGE('',*,*,#185140,.T.); #244650=ORIENTED_EDGE('',*,*,#185139,.T.); #244651=ORIENTED_EDGE('',*,*,#185141,.F.); #244652=ORIENTED_EDGE('',*,*,#185142,.F.); #244653=ORIENTED_EDGE('',*,*,#185143,.T.); #244654=ORIENTED_EDGE('',*,*,#185142,.T.); #244655=ORIENTED_EDGE('',*,*,#185144,.F.); #244656=ORIENTED_EDGE('',*,*,#185145,.F.); #244657=ORIENTED_EDGE('',*,*,#185146,.T.); #244658=ORIENTED_EDGE('',*,*,#185145,.T.); #244659=ORIENTED_EDGE('',*,*,#185147,.F.); #244660=ORIENTED_EDGE('',*,*,#185148,.F.); #244661=ORIENTED_EDGE('',*,*,#185149,.T.); #244662=ORIENTED_EDGE('',*,*,#185148,.T.); #244663=ORIENTED_EDGE('',*,*,#185150,.F.); #244664=ORIENTED_EDGE('',*,*,#185151,.F.); #244665=ORIENTED_EDGE('',*,*,#185152,.T.); #244666=ORIENTED_EDGE('',*,*,#185151,.T.); #244667=ORIENTED_EDGE('',*,*,#185153,.F.); #244668=ORIENTED_EDGE('',*,*,#185154,.F.); #244669=ORIENTED_EDGE('',*,*,#185155,.T.); #244670=ORIENTED_EDGE('',*,*,#185154,.T.); #244671=ORIENTED_EDGE('',*,*,#185156,.F.); #244672=ORIENTED_EDGE('',*,*,#185157,.F.); #244673=ORIENTED_EDGE('',*,*,#185158,.T.); #244674=ORIENTED_EDGE('',*,*,#185157,.T.); #244675=ORIENTED_EDGE('',*,*,#185159,.F.); #244676=ORIENTED_EDGE('',*,*,#185160,.F.); #244677=ORIENTED_EDGE('',*,*,#185161,.T.); #244678=ORIENTED_EDGE('',*,*,#185160,.T.); #244679=ORIENTED_EDGE('',*,*,#185162,.F.); #244680=ORIENTED_EDGE('',*,*,#185163,.F.); #244681=ORIENTED_EDGE('',*,*,#185164,.T.); #244682=ORIENTED_EDGE('',*,*,#185163,.T.); #244683=ORIENTED_EDGE('',*,*,#185165,.F.); #244684=ORIENTED_EDGE('',*,*,#185166,.F.); #244685=ORIENTED_EDGE('',*,*,#185167,.T.); #244686=ORIENTED_EDGE('',*,*,#185166,.T.); #244687=ORIENTED_EDGE('',*,*,#185168,.F.); #244688=ORIENTED_EDGE('',*,*,#185169,.F.); #244689=ORIENTED_EDGE('',*,*,#185170,.T.); #244690=ORIENTED_EDGE('',*,*,#185169,.T.); #244691=ORIENTED_EDGE('',*,*,#185171,.F.); #244692=ORIENTED_EDGE('',*,*,#185172,.F.); #244693=ORIENTED_EDGE('',*,*,#185173,.T.); #244694=ORIENTED_EDGE('',*,*,#185172,.T.); #244695=ORIENTED_EDGE('',*,*,#185174,.F.); #244696=ORIENTED_EDGE('',*,*,#185175,.F.); #244697=ORIENTED_EDGE('',*,*,#185176,.T.); #244698=ORIENTED_EDGE('',*,*,#185175,.T.); #244699=ORIENTED_EDGE('',*,*,#185177,.F.); #244700=ORIENTED_EDGE('',*,*,#185178,.F.); #244701=ORIENTED_EDGE('',*,*,#185179,.T.); #244702=ORIENTED_EDGE('',*,*,#185178,.T.); #244703=ORIENTED_EDGE('',*,*,#185180,.F.); #244704=ORIENTED_EDGE('',*,*,#185181,.F.); #244705=ORIENTED_EDGE('',*,*,#185182,.T.); #244706=ORIENTED_EDGE('',*,*,#185181,.T.); #244707=ORIENTED_EDGE('',*,*,#185183,.F.); #244708=ORIENTED_EDGE('',*,*,#185184,.F.); #244709=ORIENTED_EDGE('',*,*,#185185,.T.); #244710=ORIENTED_EDGE('',*,*,#185184,.T.); #244711=ORIENTED_EDGE('',*,*,#185186,.F.); #244712=ORIENTED_EDGE('',*,*,#185187,.F.); #244713=ORIENTED_EDGE('',*,*,#185188,.T.); #244714=ORIENTED_EDGE('',*,*,#185187,.T.); #244715=ORIENTED_EDGE('',*,*,#185189,.F.); #244716=ORIENTED_EDGE('',*,*,#185190,.F.); #244717=ORIENTED_EDGE('',*,*,#185191,.T.); #244718=ORIENTED_EDGE('',*,*,#185190,.T.); #244719=ORIENTED_EDGE('',*,*,#185192,.F.); #244720=ORIENTED_EDGE('',*,*,#185193,.F.); #244721=ORIENTED_EDGE('',*,*,#185194,.T.); #244722=ORIENTED_EDGE('',*,*,#185193,.T.); #244723=ORIENTED_EDGE('',*,*,#185195,.F.); #244724=ORIENTED_EDGE('',*,*,#185196,.F.); #244725=ORIENTED_EDGE('',*,*,#185197,.T.); #244726=ORIENTED_EDGE('',*,*,#185196,.T.); #244727=ORIENTED_EDGE('',*,*,#185198,.F.); #244728=ORIENTED_EDGE('',*,*,#185199,.F.); #244729=ORIENTED_EDGE('',*,*,#185200,.T.); #244730=ORIENTED_EDGE('',*,*,#185199,.T.); #244731=ORIENTED_EDGE('',*,*,#185201,.F.); #244732=ORIENTED_EDGE('',*,*,#184924,.F.); #244733=ORIENTED_EDGE('',*,*,#185201,.T.); #244734=ORIENTED_EDGE('',*,*,#185198,.T.); #244735=ORIENTED_EDGE('',*,*,#185195,.T.); #244736=ORIENTED_EDGE('',*,*,#185192,.T.); #244737=ORIENTED_EDGE('',*,*,#185189,.T.); #244738=ORIENTED_EDGE('',*,*,#185186,.T.); #244739=ORIENTED_EDGE('',*,*,#185183,.T.); #244740=ORIENTED_EDGE('',*,*,#185180,.T.); #244741=ORIENTED_EDGE('',*,*,#185177,.T.); #244742=ORIENTED_EDGE('',*,*,#185174,.T.); #244743=ORIENTED_EDGE('',*,*,#185171,.T.); #244744=ORIENTED_EDGE('',*,*,#185168,.T.); #244745=ORIENTED_EDGE('',*,*,#185165,.T.); #244746=ORIENTED_EDGE('',*,*,#185162,.T.); #244747=ORIENTED_EDGE('',*,*,#185159,.T.); #244748=ORIENTED_EDGE('',*,*,#185156,.T.); #244749=ORIENTED_EDGE('',*,*,#185153,.T.); #244750=ORIENTED_EDGE('',*,*,#185150,.T.); #244751=ORIENTED_EDGE('',*,*,#185147,.T.); #244752=ORIENTED_EDGE('',*,*,#185144,.T.); #244753=ORIENTED_EDGE('',*,*,#185141,.T.); #244754=ORIENTED_EDGE('',*,*,#185138,.T.); #244755=ORIENTED_EDGE('',*,*,#185135,.T.); #244756=ORIENTED_EDGE('',*,*,#185132,.T.); #244757=ORIENTED_EDGE('',*,*,#185129,.T.); #244758=ORIENTED_EDGE('',*,*,#185126,.T.); #244759=ORIENTED_EDGE('',*,*,#185123,.T.); #244760=ORIENTED_EDGE('',*,*,#185120,.T.); #244761=ORIENTED_EDGE('',*,*,#185117,.T.); #244762=ORIENTED_EDGE('',*,*,#185114,.T.); #244763=ORIENTED_EDGE('',*,*,#185111,.T.); #244764=ORIENTED_EDGE('',*,*,#185108,.T.); #244765=ORIENTED_EDGE('',*,*,#185105,.T.); #244766=ORIENTED_EDGE('',*,*,#185102,.T.); #244767=ORIENTED_EDGE('',*,*,#185099,.T.); #244768=ORIENTED_EDGE('',*,*,#185096,.T.); #244769=ORIENTED_EDGE('',*,*,#185093,.T.); #244770=ORIENTED_EDGE('',*,*,#185090,.T.); #244771=ORIENTED_EDGE('',*,*,#185087,.T.); #244772=ORIENTED_EDGE('',*,*,#185084,.T.); #244773=ORIENTED_EDGE('',*,*,#185081,.T.); #244774=ORIENTED_EDGE('',*,*,#185078,.T.); #244775=ORIENTED_EDGE('',*,*,#185075,.T.); #244776=ORIENTED_EDGE('',*,*,#185072,.T.); #244777=ORIENTED_EDGE('',*,*,#185069,.T.); #244778=ORIENTED_EDGE('',*,*,#185066,.T.); #244779=ORIENTED_EDGE('',*,*,#185063,.T.); #244780=ORIENTED_EDGE('',*,*,#185060,.T.); #244781=ORIENTED_EDGE('',*,*,#185057,.T.); #244782=ORIENTED_EDGE('',*,*,#185054,.T.); #244783=ORIENTED_EDGE('',*,*,#185051,.T.); #244784=ORIENTED_EDGE('',*,*,#185048,.T.); #244785=ORIENTED_EDGE('',*,*,#185045,.T.); #244786=ORIENTED_EDGE('',*,*,#185042,.T.); #244787=ORIENTED_EDGE('',*,*,#185039,.T.); #244788=ORIENTED_EDGE('',*,*,#185036,.T.); #244789=ORIENTED_EDGE('',*,*,#185033,.T.); #244790=ORIENTED_EDGE('',*,*,#185030,.T.); #244791=ORIENTED_EDGE('',*,*,#185027,.T.); #244792=ORIENTED_EDGE('',*,*,#185024,.T.); #244793=ORIENTED_EDGE('',*,*,#185021,.T.); #244794=ORIENTED_EDGE('',*,*,#185018,.T.); #244795=ORIENTED_EDGE('',*,*,#185015,.T.); #244796=ORIENTED_EDGE('',*,*,#185012,.T.); #244797=ORIENTED_EDGE('',*,*,#185009,.T.); #244798=ORIENTED_EDGE('',*,*,#185006,.T.); #244799=ORIENTED_EDGE('',*,*,#185003,.T.); #244800=ORIENTED_EDGE('',*,*,#185000,.T.); #244801=ORIENTED_EDGE('',*,*,#184997,.T.); #244802=ORIENTED_EDGE('',*,*,#184994,.T.); #244803=ORIENTED_EDGE('',*,*,#184991,.T.); #244804=ORIENTED_EDGE('',*,*,#184988,.T.); #244805=ORIENTED_EDGE('',*,*,#184985,.T.); #244806=ORIENTED_EDGE('',*,*,#184982,.T.); #244807=ORIENTED_EDGE('',*,*,#184979,.T.); #244808=ORIENTED_EDGE('',*,*,#184976,.T.); #244809=ORIENTED_EDGE('',*,*,#184973,.T.); #244810=ORIENTED_EDGE('',*,*,#184970,.T.); #244811=ORIENTED_EDGE('',*,*,#184967,.T.); #244812=ORIENTED_EDGE('',*,*,#184964,.T.); #244813=ORIENTED_EDGE('',*,*,#184961,.T.); #244814=ORIENTED_EDGE('',*,*,#184958,.T.); #244815=ORIENTED_EDGE('',*,*,#184955,.T.); #244816=ORIENTED_EDGE('',*,*,#184952,.T.); #244817=ORIENTED_EDGE('',*,*,#184949,.T.); #244818=ORIENTED_EDGE('',*,*,#184946,.T.); #244819=ORIENTED_EDGE('',*,*,#184943,.T.); #244820=ORIENTED_EDGE('',*,*,#184940,.T.); #244821=ORIENTED_EDGE('',*,*,#184937,.T.); #244822=ORIENTED_EDGE('',*,*,#184934,.T.); #244823=ORIENTED_EDGE('',*,*,#184931,.T.); #244824=ORIENTED_EDGE('',*,*,#184928,.T.); #244825=ORIENTED_EDGE('',*,*,#184925,.T.); #244826=ORIENTED_EDGE('',*,*,#184910,.T.); #244827=ORIENTED_EDGE('',*,*,#184918,.T.); #244828=ORIENTED_EDGE('',*,*,#184921,.T.); #244829=ORIENTED_EDGE('',*,*,#184911,.T.); #244830=ORIENTED_EDGE('',*,*,#184915,.T.); #244831=ORIENTED_EDGE('',*,*,#185200,.F.); #244832=ORIENTED_EDGE('',*,*,#184923,.F.); #244833=ORIENTED_EDGE('',*,*,#184927,.F.); #244834=ORIENTED_EDGE('',*,*,#184930,.F.); #244835=ORIENTED_EDGE('',*,*,#184933,.F.); #244836=ORIENTED_EDGE('',*,*,#184936,.F.); #244837=ORIENTED_EDGE('',*,*,#184939,.F.); #244838=ORIENTED_EDGE('',*,*,#184942,.F.); #244839=ORIENTED_EDGE('',*,*,#184945,.F.); #244840=ORIENTED_EDGE('',*,*,#184948,.F.); #244841=ORIENTED_EDGE('',*,*,#184951,.F.); #244842=ORIENTED_EDGE('',*,*,#184954,.F.); #244843=ORIENTED_EDGE('',*,*,#184957,.F.); #244844=ORIENTED_EDGE('',*,*,#184960,.F.); #244845=ORIENTED_EDGE('',*,*,#184963,.F.); #244846=ORIENTED_EDGE('',*,*,#184966,.F.); #244847=ORIENTED_EDGE('',*,*,#184969,.F.); #244848=ORIENTED_EDGE('',*,*,#184972,.F.); #244849=ORIENTED_EDGE('',*,*,#184975,.F.); #244850=ORIENTED_EDGE('',*,*,#184978,.F.); #244851=ORIENTED_EDGE('',*,*,#184981,.F.); #244852=ORIENTED_EDGE('',*,*,#184984,.F.); #244853=ORIENTED_EDGE('',*,*,#184987,.F.); #244854=ORIENTED_EDGE('',*,*,#184990,.F.); #244855=ORIENTED_EDGE('',*,*,#184993,.F.); #244856=ORIENTED_EDGE('',*,*,#184996,.F.); #244857=ORIENTED_EDGE('',*,*,#184999,.F.); #244858=ORIENTED_EDGE('',*,*,#185002,.F.); #244859=ORIENTED_EDGE('',*,*,#185005,.F.); #244860=ORIENTED_EDGE('',*,*,#185008,.F.); #244861=ORIENTED_EDGE('',*,*,#185011,.F.); #244862=ORIENTED_EDGE('',*,*,#185014,.F.); #244863=ORIENTED_EDGE('',*,*,#185017,.F.); #244864=ORIENTED_EDGE('',*,*,#185020,.F.); #244865=ORIENTED_EDGE('',*,*,#185023,.F.); #244866=ORIENTED_EDGE('',*,*,#185026,.F.); #244867=ORIENTED_EDGE('',*,*,#185029,.F.); #244868=ORIENTED_EDGE('',*,*,#185032,.F.); #244869=ORIENTED_EDGE('',*,*,#185035,.F.); #244870=ORIENTED_EDGE('',*,*,#185038,.F.); #244871=ORIENTED_EDGE('',*,*,#185041,.F.); #244872=ORIENTED_EDGE('',*,*,#185044,.F.); #244873=ORIENTED_EDGE('',*,*,#185047,.F.); #244874=ORIENTED_EDGE('',*,*,#185050,.F.); #244875=ORIENTED_EDGE('',*,*,#185053,.F.); #244876=ORIENTED_EDGE('',*,*,#185056,.F.); #244877=ORIENTED_EDGE('',*,*,#185059,.F.); #244878=ORIENTED_EDGE('',*,*,#185062,.F.); #244879=ORIENTED_EDGE('',*,*,#185065,.F.); #244880=ORIENTED_EDGE('',*,*,#185068,.F.); #244881=ORIENTED_EDGE('',*,*,#185071,.F.); #244882=ORIENTED_EDGE('',*,*,#185074,.F.); #244883=ORIENTED_EDGE('',*,*,#185077,.F.); #244884=ORIENTED_EDGE('',*,*,#185080,.F.); #244885=ORIENTED_EDGE('',*,*,#185083,.F.); #244886=ORIENTED_EDGE('',*,*,#185086,.F.); #244887=ORIENTED_EDGE('',*,*,#185089,.F.); #244888=ORIENTED_EDGE('',*,*,#185092,.F.); #244889=ORIENTED_EDGE('',*,*,#185095,.F.); #244890=ORIENTED_EDGE('',*,*,#185098,.F.); #244891=ORIENTED_EDGE('',*,*,#185101,.F.); #244892=ORIENTED_EDGE('',*,*,#185104,.F.); #244893=ORIENTED_EDGE('',*,*,#185107,.F.); #244894=ORIENTED_EDGE('',*,*,#185110,.F.); #244895=ORIENTED_EDGE('',*,*,#185113,.F.); #244896=ORIENTED_EDGE('',*,*,#185116,.F.); #244897=ORIENTED_EDGE('',*,*,#185119,.F.); #244898=ORIENTED_EDGE('',*,*,#185122,.F.); #244899=ORIENTED_EDGE('',*,*,#185125,.F.); #244900=ORIENTED_EDGE('',*,*,#185128,.F.); #244901=ORIENTED_EDGE('',*,*,#185131,.F.); #244902=ORIENTED_EDGE('',*,*,#185134,.F.); #244903=ORIENTED_EDGE('',*,*,#185137,.F.); #244904=ORIENTED_EDGE('',*,*,#185140,.F.); #244905=ORIENTED_EDGE('',*,*,#185143,.F.); #244906=ORIENTED_EDGE('',*,*,#185146,.F.); #244907=ORIENTED_EDGE('',*,*,#185149,.F.); #244908=ORIENTED_EDGE('',*,*,#185152,.F.); #244909=ORIENTED_EDGE('',*,*,#185155,.F.); #244910=ORIENTED_EDGE('',*,*,#185158,.F.); #244911=ORIENTED_EDGE('',*,*,#185161,.F.); #244912=ORIENTED_EDGE('',*,*,#185164,.F.); #244913=ORIENTED_EDGE('',*,*,#185167,.F.); #244914=ORIENTED_EDGE('',*,*,#185170,.F.); #244915=ORIENTED_EDGE('',*,*,#185173,.F.); #244916=ORIENTED_EDGE('',*,*,#185176,.F.); #244917=ORIENTED_EDGE('',*,*,#185179,.F.); #244918=ORIENTED_EDGE('',*,*,#185182,.F.); #244919=ORIENTED_EDGE('',*,*,#185185,.F.); #244920=ORIENTED_EDGE('',*,*,#185188,.F.); #244921=ORIENTED_EDGE('',*,*,#185191,.F.); #244922=ORIENTED_EDGE('',*,*,#185194,.F.); #244923=ORIENTED_EDGE('',*,*,#185197,.F.); #244924=ORIENTED_EDGE('',*,*,#184908,.T.); #244925=ORIENTED_EDGE('',*,*,#184919,.T.); #244926=ORIENTED_EDGE('',*,*,#184916,.T.); #244927=ORIENTED_EDGE('',*,*,#184913,.T.); #244928=ORIENTED_EDGE('',*,*,#184922,.T.); #244929=ORIENTED_EDGE('',*,*,#185202,.T.); #244930=ORIENTED_EDGE('',*,*,#185203,.T.); #244931=ORIENTED_EDGE('',*,*,#185204,.F.); #244932=ORIENTED_EDGE('',*,*,#185205,.F.); #244933=ORIENTED_EDGE('',*,*,#185206,.T.); #244934=ORIENTED_EDGE('',*,*,#185205,.T.); #244935=ORIENTED_EDGE('',*,*,#185207,.F.); #244936=ORIENTED_EDGE('',*,*,#185208,.F.); #244937=ORIENTED_EDGE('',*,*,#185209,.T.); #244938=ORIENTED_EDGE('',*,*,#185208,.T.); #244939=ORIENTED_EDGE('',*,*,#185210,.F.); #244940=ORIENTED_EDGE('',*,*,#185211,.F.); #244941=ORIENTED_EDGE('',*,*,#185212,.T.); #244942=ORIENTED_EDGE('',*,*,#185211,.T.); #244943=ORIENTED_EDGE('',*,*,#185213,.F.); #244944=ORIENTED_EDGE('',*,*,#185214,.F.); #244945=ORIENTED_EDGE('',*,*,#185215,.T.); #244946=ORIENTED_EDGE('',*,*,#185214,.T.); #244947=ORIENTED_EDGE('',*,*,#185216,.F.); #244948=ORIENTED_EDGE('',*,*,#185217,.F.); #244949=ORIENTED_EDGE('',*,*,#185218,.T.); #244950=ORIENTED_EDGE('',*,*,#185217,.T.); #244951=ORIENTED_EDGE('',*,*,#185219,.F.); #244952=ORIENTED_EDGE('',*,*,#185220,.F.); #244953=ORIENTED_EDGE('',*,*,#185221,.T.); #244954=ORIENTED_EDGE('',*,*,#185220,.T.); #244955=ORIENTED_EDGE('',*,*,#185222,.F.); #244956=ORIENTED_EDGE('',*,*,#185223,.F.); #244957=ORIENTED_EDGE('',*,*,#185224,.T.); #244958=ORIENTED_EDGE('',*,*,#185223,.T.); #244959=ORIENTED_EDGE('',*,*,#185225,.F.); #244960=ORIENTED_EDGE('',*,*,#185226,.F.); #244961=ORIENTED_EDGE('',*,*,#185227,.T.); #244962=ORIENTED_EDGE('',*,*,#185226,.T.); #244963=ORIENTED_EDGE('',*,*,#185228,.F.); #244964=ORIENTED_EDGE('',*,*,#185229,.F.); #244965=ORIENTED_EDGE('',*,*,#185230,.T.); #244966=ORIENTED_EDGE('',*,*,#185229,.T.); #244967=ORIENTED_EDGE('',*,*,#185231,.F.); #244968=ORIENTED_EDGE('',*,*,#185232,.F.); #244969=ORIENTED_EDGE('',*,*,#185233,.T.); #244970=ORIENTED_EDGE('',*,*,#185232,.T.); #244971=ORIENTED_EDGE('',*,*,#185234,.F.); #244972=ORIENTED_EDGE('',*,*,#185235,.F.); #244973=ORIENTED_EDGE('',*,*,#185236,.T.); #244974=ORIENTED_EDGE('',*,*,#185235,.T.); #244975=ORIENTED_EDGE('',*,*,#185237,.F.); #244976=ORIENTED_EDGE('',*,*,#185238,.F.); #244977=ORIENTED_EDGE('',*,*,#185239,.T.); #244978=ORIENTED_EDGE('',*,*,#185238,.T.); #244979=ORIENTED_EDGE('',*,*,#185240,.F.); #244980=ORIENTED_EDGE('',*,*,#185241,.F.); #244981=ORIENTED_EDGE('',*,*,#185242,.T.); #244982=ORIENTED_EDGE('',*,*,#185241,.T.); #244983=ORIENTED_EDGE('',*,*,#185243,.F.); #244984=ORIENTED_EDGE('',*,*,#185244,.F.); #244985=ORIENTED_EDGE('',*,*,#185245,.T.); #244986=ORIENTED_EDGE('',*,*,#185244,.T.); #244987=ORIENTED_EDGE('',*,*,#185246,.F.); #244988=ORIENTED_EDGE('',*,*,#185247,.F.); #244989=ORIENTED_EDGE('',*,*,#185248,.T.); #244990=ORIENTED_EDGE('',*,*,#185247,.T.); #244991=ORIENTED_EDGE('',*,*,#185249,.F.); #244992=ORIENTED_EDGE('',*,*,#185250,.F.); #244993=ORIENTED_EDGE('',*,*,#185251,.T.); #244994=ORIENTED_EDGE('',*,*,#185250,.T.); #244995=ORIENTED_EDGE('',*,*,#185252,.F.); #244996=ORIENTED_EDGE('',*,*,#185253,.F.); #244997=ORIENTED_EDGE('',*,*,#185254,.T.); #244998=ORIENTED_EDGE('',*,*,#185253,.T.); #244999=ORIENTED_EDGE('',*,*,#185255,.F.); #245000=ORIENTED_EDGE('',*,*,#185256,.F.); #245001=ORIENTED_EDGE('',*,*,#185257,.T.); #245002=ORIENTED_EDGE('',*,*,#185256,.T.); #245003=ORIENTED_EDGE('',*,*,#185258,.F.); #245004=ORIENTED_EDGE('',*,*,#185259,.F.); #245005=ORIENTED_EDGE('',*,*,#185260,.T.); #245006=ORIENTED_EDGE('',*,*,#185259,.T.); #245007=ORIENTED_EDGE('',*,*,#185261,.F.); #245008=ORIENTED_EDGE('',*,*,#185203,.F.); #245009=ORIENTED_EDGE('',*,*,#185261,.T.); #245010=ORIENTED_EDGE('',*,*,#185258,.T.); #245011=ORIENTED_EDGE('',*,*,#185255,.T.); #245012=ORIENTED_EDGE('',*,*,#185252,.T.); #245013=ORIENTED_EDGE('',*,*,#185249,.T.); #245014=ORIENTED_EDGE('',*,*,#185246,.T.); #245015=ORIENTED_EDGE('',*,*,#185243,.T.); #245016=ORIENTED_EDGE('',*,*,#185240,.T.); #245017=ORIENTED_EDGE('',*,*,#185237,.T.); #245018=ORIENTED_EDGE('',*,*,#185234,.T.); #245019=ORIENTED_EDGE('',*,*,#185231,.T.); #245020=ORIENTED_EDGE('',*,*,#185228,.T.); #245021=ORIENTED_EDGE('',*,*,#185225,.T.); #245022=ORIENTED_EDGE('',*,*,#185222,.T.); #245023=ORIENTED_EDGE('',*,*,#185219,.T.); #245024=ORIENTED_EDGE('',*,*,#185216,.T.); #245025=ORIENTED_EDGE('',*,*,#185213,.T.); #245026=ORIENTED_EDGE('',*,*,#185210,.T.); #245027=ORIENTED_EDGE('',*,*,#185207,.T.); #245028=ORIENTED_EDGE('',*,*,#185204,.T.); #245029=ORIENTED_EDGE('',*,*,#185260,.F.); #245030=ORIENTED_EDGE('',*,*,#185202,.F.); #245031=ORIENTED_EDGE('',*,*,#185206,.F.); #245032=ORIENTED_EDGE('',*,*,#185209,.F.); #245033=ORIENTED_EDGE('',*,*,#185212,.F.); #245034=ORIENTED_EDGE('',*,*,#185215,.F.); #245035=ORIENTED_EDGE('',*,*,#185218,.F.); #245036=ORIENTED_EDGE('',*,*,#185221,.F.); #245037=ORIENTED_EDGE('',*,*,#185224,.F.); #245038=ORIENTED_EDGE('',*,*,#185227,.F.); #245039=ORIENTED_EDGE('',*,*,#185230,.F.); #245040=ORIENTED_EDGE('',*,*,#185233,.F.); #245041=ORIENTED_EDGE('',*,*,#185236,.F.); #245042=ORIENTED_EDGE('',*,*,#185239,.F.); #245043=ORIENTED_EDGE('',*,*,#185242,.F.); #245044=ORIENTED_EDGE('',*,*,#185245,.F.); #245045=ORIENTED_EDGE('',*,*,#185248,.F.); #245046=ORIENTED_EDGE('',*,*,#185251,.F.); #245047=ORIENTED_EDGE('',*,*,#185254,.F.); #245048=ORIENTED_EDGE('',*,*,#185257,.F.); #245049=ORIENTED_EDGE('',*,*,#185262,.F.); #245050=ORIENTED_EDGE('',*,*,#185263,.T.); #245051=ORIENTED_EDGE('',*,*,#185264,.F.); #245052=ORIENTED_EDGE('',*,*,#185263,.F.); #245053=ORIENTED_EDGE('',*,*,#185265,.F.); #245054=ORIENTED_EDGE('',*,*,#185266,.T.); #245055=ORIENTED_EDGE('',*,*,#185267,.F.); #245056=ORIENTED_EDGE('',*,*,#185266,.F.); #245057=ORIENTED_EDGE('',*,*,#185268,.F.); #245058=ORIENTED_EDGE('',*,*,#185269,.T.); #245059=ORIENTED_EDGE('',*,*,#185270,.F.); #245060=ORIENTED_EDGE('',*,*,#185269,.F.); #245061=ORIENTED_EDGE('',*,*,#185271,.F.); #245062=ORIENTED_EDGE('',*,*,#185272,.T.); #245063=ORIENTED_EDGE('',*,*,#185273,.F.); #245064=ORIENTED_EDGE('',*,*,#185272,.F.); #245065=ORIENTED_EDGE('',*,*,#185274,.F.); #245066=ORIENTED_EDGE('',*,*,#185275,.T.); #245067=ORIENTED_EDGE('',*,*,#185276,.F.); #245068=ORIENTED_EDGE('',*,*,#185275,.F.); #245069=ORIENTED_EDGE('',*,*,#185277,.F.); #245070=ORIENTED_EDGE('',*,*,#185278,.T.); #245071=ORIENTED_EDGE('',*,*,#185279,.F.); #245072=ORIENTED_EDGE('',*,*,#185278,.F.); #245073=ORIENTED_EDGE('',*,*,#185280,.F.); #245074=ORIENTED_EDGE('',*,*,#185281,.T.); #245075=ORIENTED_EDGE('',*,*,#185282,.F.); #245076=ORIENTED_EDGE('',*,*,#185281,.F.); #245077=ORIENTED_EDGE('',*,*,#185283,.F.); #245078=ORIENTED_EDGE('',*,*,#185284,.T.); #245079=ORIENTED_EDGE('',*,*,#185285,.F.); #245080=ORIENTED_EDGE('',*,*,#185284,.F.); #245081=ORIENTED_EDGE('',*,*,#185286,.F.); #245082=ORIENTED_EDGE('',*,*,#185287,.T.); #245083=ORIENTED_EDGE('',*,*,#185288,.F.); #245084=ORIENTED_EDGE('',*,*,#185287,.F.); #245085=ORIENTED_EDGE('',*,*,#185289,.F.); #245086=ORIENTED_EDGE('',*,*,#185290,.T.); #245087=ORIENTED_EDGE('',*,*,#185291,.F.); #245088=ORIENTED_EDGE('',*,*,#185290,.F.); #245089=ORIENTED_EDGE('',*,*,#185292,.F.); #245090=ORIENTED_EDGE('',*,*,#185293,.T.); #245091=ORIENTED_EDGE('',*,*,#185294,.F.); #245092=ORIENTED_EDGE('',*,*,#185293,.F.); #245093=ORIENTED_EDGE('',*,*,#185295,.F.); #245094=ORIENTED_EDGE('',*,*,#185296,.T.); #245095=ORIENTED_EDGE('',*,*,#185297,.F.); #245096=ORIENTED_EDGE('',*,*,#185296,.F.); #245097=ORIENTED_EDGE('',*,*,#185298,.F.); #245098=ORIENTED_EDGE('',*,*,#185299,.T.); #245099=ORIENTED_EDGE('',*,*,#185300,.F.); #245100=ORIENTED_EDGE('',*,*,#185299,.F.); #245101=ORIENTED_EDGE('',*,*,#185301,.F.); #245102=ORIENTED_EDGE('',*,*,#185302,.T.); #245103=ORIENTED_EDGE('',*,*,#185303,.F.); #245104=ORIENTED_EDGE('',*,*,#185302,.F.); #245105=ORIENTED_EDGE('',*,*,#185304,.F.); #245106=ORIENTED_EDGE('',*,*,#185305,.T.); #245107=ORIENTED_EDGE('',*,*,#185306,.F.); #245108=ORIENTED_EDGE('',*,*,#185305,.F.); #245109=ORIENTED_EDGE('',*,*,#185307,.T.); #245110=ORIENTED_EDGE('',*,*,#185308,.T.); #245111=ORIENTED_EDGE('',*,*,#185309,.F.); #245112=ORIENTED_EDGE('',*,*,#185310,.F.); #245113=ORIENTED_EDGE('',*,*,#185311,.T.); #245114=ORIENTED_EDGE('',*,*,#185310,.T.); #245115=ORIENTED_EDGE('',*,*,#185312,.F.); #245116=ORIENTED_EDGE('',*,*,#185313,.F.); #245117=ORIENTED_EDGE('',*,*,#185314,.T.); #245118=ORIENTED_EDGE('',*,*,#185313,.T.); #245119=ORIENTED_EDGE('',*,*,#185315,.F.); #245120=ORIENTED_EDGE('',*,*,#185316,.F.); #245121=ORIENTED_EDGE('',*,*,#185317,.T.); #245122=ORIENTED_EDGE('',*,*,#185316,.T.); #245123=ORIENTED_EDGE('',*,*,#185318,.F.); #245124=ORIENTED_EDGE('',*,*,#185319,.F.); #245125=ORIENTED_EDGE('',*,*,#185320,.T.); #245126=ORIENTED_EDGE('',*,*,#185319,.T.); #245127=ORIENTED_EDGE('',*,*,#185321,.F.); #245128=ORIENTED_EDGE('',*,*,#185322,.F.); #245129=ORIENTED_EDGE('',*,*,#185323,.T.); #245130=ORIENTED_EDGE('',*,*,#185322,.T.); #245131=ORIENTED_EDGE('',*,*,#185324,.F.); #245132=ORIENTED_EDGE('',*,*,#185325,.F.); #245133=ORIENTED_EDGE('',*,*,#185326,.T.); #245134=ORIENTED_EDGE('',*,*,#185325,.T.); #245135=ORIENTED_EDGE('',*,*,#185327,.F.); #245136=ORIENTED_EDGE('',*,*,#185328,.F.); #245137=ORIENTED_EDGE('',*,*,#185329,.T.); #245138=ORIENTED_EDGE('',*,*,#185328,.T.); #245139=ORIENTED_EDGE('',*,*,#185330,.F.); #245140=ORIENTED_EDGE('',*,*,#185331,.F.); #245141=ORIENTED_EDGE('',*,*,#185332,.T.); #245142=ORIENTED_EDGE('',*,*,#185331,.T.); #245143=ORIENTED_EDGE('',*,*,#185333,.F.); #245144=ORIENTED_EDGE('',*,*,#185334,.F.); #245145=ORIENTED_EDGE('',*,*,#185335,.T.); #245146=ORIENTED_EDGE('',*,*,#185334,.T.); #245147=ORIENTED_EDGE('',*,*,#185336,.F.); #245148=ORIENTED_EDGE('',*,*,#185337,.F.); #245149=ORIENTED_EDGE('',*,*,#185338,.T.); #245150=ORIENTED_EDGE('',*,*,#185337,.T.); #245151=ORIENTED_EDGE('',*,*,#185339,.F.); #245152=ORIENTED_EDGE('',*,*,#185340,.F.); #245153=ORIENTED_EDGE('',*,*,#185341,.T.); #245154=ORIENTED_EDGE('',*,*,#185340,.T.); #245155=ORIENTED_EDGE('',*,*,#185342,.F.); #245156=ORIENTED_EDGE('',*,*,#185343,.F.); #245157=ORIENTED_EDGE('',*,*,#185344,.T.); #245158=ORIENTED_EDGE('',*,*,#185343,.T.); #245159=ORIENTED_EDGE('',*,*,#185345,.F.); #245160=ORIENTED_EDGE('',*,*,#185346,.F.); #245161=ORIENTED_EDGE('',*,*,#185347,.T.); #245162=ORIENTED_EDGE('',*,*,#185346,.T.); #245163=ORIENTED_EDGE('',*,*,#185348,.F.); #245164=ORIENTED_EDGE('',*,*,#185349,.F.); #245165=ORIENTED_EDGE('',*,*,#185350,.T.); #245166=ORIENTED_EDGE('',*,*,#185349,.T.); #245167=ORIENTED_EDGE('',*,*,#185351,.F.); #245168=ORIENTED_EDGE('',*,*,#185352,.F.); #245169=ORIENTED_EDGE('',*,*,#185353,.T.); #245170=ORIENTED_EDGE('',*,*,#185352,.T.); #245171=ORIENTED_EDGE('',*,*,#185354,.F.); #245172=ORIENTED_EDGE('',*,*,#185355,.F.); #245173=ORIENTED_EDGE('',*,*,#185356,.T.); #245174=ORIENTED_EDGE('',*,*,#185355,.T.); #245175=ORIENTED_EDGE('',*,*,#185357,.F.); #245176=ORIENTED_EDGE('',*,*,#185358,.F.); #245177=ORIENTED_EDGE('',*,*,#185359,.T.); #245178=ORIENTED_EDGE('',*,*,#185358,.T.); #245179=ORIENTED_EDGE('',*,*,#185360,.F.); #245180=ORIENTED_EDGE('',*,*,#185361,.F.); #245181=ORIENTED_EDGE('',*,*,#185362,.T.); #245182=ORIENTED_EDGE('',*,*,#185361,.T.); #245183=ORIENTED_EDGE('',*,*,#185363,.F.); #245184=ORIENTED_EDGE('',*,*,#185364,.F.); #245185=ORIENTED_EDGE('',*,*,#185365,.T.); #245186=ORIENTED_EDGE('',*,*,#185364,.T.); #245187=ORIENTED_EDGE('',*,*,#185366,.F.); #245188=ORIENTED_EDGE('',*,*,#185367,.F.); #245189=ORIENTED_EDGE('',*,*,#185368,.T.); #245190=ORIENTED_EDGE('',*,*,#185367,.T.); #245191=ORIENTED_EDGE('',*,*,#185369,.F.); #245192=ORIENTED_EDGE('',*,*,#185370,.F.); #245193=ORIENTED_EDGE('',*,*,#185371,.T.); #245194=ORIENTED_EDGE('',*,*,#185370,.T.); #245195=ORIENTED_EDGE('',*,*,#185372,.F.); #245196=ORIENTED_EDGE('',*,*,#185373,.F.); #245197=ORIENTED_EDGE('',*,*,#185374,.T.); #245198=ORIENTED_EDGE('',*,*,#185373,.T.); #245199=ORIENTED_EDGE('',*,*,#185375,.F.); #245200=ORIENTED_EDGE('',*,*,#185376,.F.); #245201=ORIENTED_EDGE('',*,*,#185377,.T.); #245202=ORIENTED_EDGE('',*,*,#185376,.T.); #245203=ORIENTED_EDGE('',*,*,#185378,.F.); #245204=ORIENTED_EDGE('',*,*,#185379,.F.); #245205=ORIENTED_EDGE('',*,*,#185380,.T.); #245206=ORIENTED_EDGE('',*,*,#185379,.T.); #245207=ORIENTED_EDGE('',*,*,#185381,.F.); #245208=ORIENTED_EDGE('',*,*,#185382,.F.); #245209=ORIENTED_EDGE('',*,*,#185383,.T.); #245210=ORIENTED_EDGE('',*,*,#185382,.T.); #245211=ORIENTED_EDGE('',*,*,#185384,.F.); #245212=ORIENTED_EDGE('',*,*,#185385,.F.); #245213=ORIENTED_EDGE('',*,*,#185386,.T.); #245214=ORIENTED_EDGE('',*,*,#185385,.T.); #245215=ORIENTED_EDGE('',*,*,#185387,.F.); #245216=ORIENTED_EDGE('',*,*,#185388,.F.); #245217=ORIENTED_EDGE('',*,*,#185389,.T.); #245218=ORIENTED_EDGE('',*,*,#185388,.T.); #245219=ORIENTED_EDGE('',*,*,#185390,.F.); #245220=ORIENTED_EDGE('',*,*,#185391,.F.); #245221=ORIENTED_EDGE('',*,*,#185392,.T.); #245222=ORIENTED_EDGE('',*,*,#185391,.T.); #245223=ORIENTED_EDGE('',*,*,#185393,.F.); #245224=ORIENTED_EDGE('',*,*,#185394,.F.); #245225=ORIENTED_EDGE('',*,*,#185395,.T.); #245226=ORIENTED_EDGE('',*,*,#185394,.T.); #245227=ORIENTED_EDGE('',*,*,#185396,.F.); #245228=ORIENTED_EDGE('',*,*,#185397,.F.); #245229=ORIENTED_EDGE('',*,*,#185398,.T.); #245230=ORIENTED_EDGE('',*,*,#185397,.T.); #245231=ORIENTED_EDGE('',*,*,#185399,.F.); #245232=ORIENTED_EDGE('',*,*,#185400,.F.); #245233=ORIENTED_EDGE('',*,*,#185401,.T.); #245234=ORIENTED_EDGE('',*,*,#185400,.T.); #245235=ORIENTED_EDGE('',*,*,#185402,.F.); #245236=ORIENTED_EDGE('',*,*,#185403,.F.); #245237=ORIENTED_EDGE('',*,*,#185404,.T.); #245238=ORIENTED_EDGE('',*,*,#185403,.T.); #245239=ORIENTED_EDGE('',*,*,#185405,.F.); #245240=ORIENTED_EDGE('',*,*,#185406,.F.); #245241=ORIENTED_EDGE('',*,*,#185407,.T.); #245242=ORIENTED_EDGE('',*,*,#185406,.T.); #245243=ORIENTED_EDGE('',*,*,#185408,.F.); #245244=ORIENTED_EDGE('',*,*,#185409,.F.); #245245=ORIENTED_EDGE('',*,*,#185410,.T.); #245246=ORIENTED_EDGE('',*,*,#185409,.T.); #245247=ORIENTED_EDGE('',*,*,#185411,.F.); #245248=ORIENTED_EDGE('',*,*,#185412,.F.); #245249=ORIENTED_EDGE('',*,*,#185413,.T.); #245250=ORIENTED_EDGE('',*,*,#185412,.T.); #245251=ORIENTED_EDGE('',*,*,#185414,.F.); #245252=ORIENTED_EDGE('',*,*,#185415,.F.); #245253=ORIENTED_EDGE('',*,*,#185416,.T.); #245254=ORIENTED_EDGE('',*,*,#185415,.T.); #245255=ORIENTED_EDGE('',*,*,#185417,.F.); #245256=ORIENTED_EDGE('',*,*,#185418,.F.); #245257=ORIENTED_EDGE('',*,*,#185419,.T.); #245258=ORIENTED_EDGE('',*,*,#185418,.T.); #245259=ORIENTED_EDGE('',*,*,#185420,.F.); #245260=ORIENTED_EDGE('',*,*,#185421,.F.); #245261=ORIENTED_EDGE('',*,*,#185422,.T.); #245262=ORIENTED_EDGE('',*,*,#185421,.T.); #245263=ORIENTED_EDGE('',*,*,#185423,.F.); #245264=ORIENTED_EDGE('',*,*,#185424,.F.); #245265=ORIENTED_EDGE('',*,*,#185425,.T.); #245266=ORIENTED_EDGE('',*,*,#185424,.T.); #245267=ORIENTED_EDGE('',*,*,#185426,.F.); #245268=ORIENTED_EDGE('',*,*,#185427,.F.); #245269=ORIENTED_EDGE('',*,*,#185428,.T.); #245270=ORIENTED_EDGE('',*,*,#185427,.T.); #245271=ORIENTED_EDGE('',*,*,#185429,.F.); #245272=ORIENTED_EDGE('',*,*,#185308,.F.); #245273=ORIENTED_EDGE('',*,*,#185429,.T.); #245274=ORIENTED_EDGE('',*,*,#185426,.T.); #245275=ORIENTED_EDGE('',*,*,#185423,.T.); #245276=ORIENTED_EDGE('',*,*,#185420,.T.); #245277=ORIENTED_EDGE('',*,*,#185417,.T.); #245278=ORIENTED_EDGE('',*,*,#185414,.T.); #245279=ORIENTED_EDGE('',*,*,#185411,.T.); #245280=ORIENTED_EDGE('',*,*,#185408,.T.); #245281=ORIENTED_EDGE('',*,*,#185405,.T.); #245282=ORIENTED_EDGE('',*,*,#185402,.T.); #245283=ORIENTED_EDGE('',*,*,#185399,.T.); #245284=ORIENTED_EDGE('',*,*,#185396,.T.); #245285=ORIENTED_EDGE('',*,*,#185393,.T.); #245286=ORIENTED_EDGE('',*,*,#185390,.T.); #245287=ORIENTED_EDGE('',*,*,#185387,.T.); #245288=ORIENTED_EDGE('',*,*,#185384,.T.); #245289=ORIENTED_EDGE('',*,*,#185381,.T.); #245290=ORIENTED_EDGE('',*,*,#185378,.T.); #245291=ORIENTED_EDGE('',*,*,#185375,.T.); #245292=ORIENTED_EDGE('',*,*,#185372,.T.); #245293=ORIENTED_EDGE('',*,*,#185369,.T.); #245294=ORIENTED_EDGE('',*,*,#185366,.T.); #245295=ORIENTED_EDGE('',*,*,#185363,.T.); #245296=ORIENTED_EDGE('',*,*,#185360,.T.); #245297=ORIENTED_EDGE('',*,*,#185357,.T.); #245298=ORIENTED_EDGE('',*,*,#185354,.T.); #245299=ORIENTED_EDGE('',*,*,#185351,.T.); #245300=ORIENTED_EDGE('',*,*,#185348,.T.); #245301=ORIENTED_EDGE('',*,*,#185345,.T.); #245302=ORIENTED_EDGE('',*,*,#185342,.T.); #245303=ORIENTED_EDGE('',*,*,#185339,.T.); #245304=ORIENTED_EDGE('',*,*,#185336,.T.); #245305=ORIENTED_EDGE('',*,*,#185333,.T.); #245306=ORIENTED_EDGE('',*,*,#185330,.T.); #245307=ORIENTED_EDGE('',*,*,#185327,.T.); #245308=ORIENTED_EDGE('',*,*,#185324,.T.); #245309=ORIENTED_EDGE('',*,*,#185321,.T.); #245310=ORIENTED_EDGE('',*,*,#185318,.T.); #245311=ORIENTED_EDGE('',*,*,#185315,.T.); #245312=ORIENTED_EDGE('',*,*,#185312,.T.); #245313=ORIENTED_EDGE('',*,*,#185309,.T.); #245314=ORIENTED_EDGE('',*,*,#185264,.T.); #245315=ORIENTED_EDGE('',*,*,#185267,.T.); #245316=ORIENTED_EDGE('',*,*,#185270,.T.); #245317=ORIENTED_EDGE('',*,*,#185273,.T.); #245318=ORIENTED_EDGE('',*,*,#185276,.T.); #245319=ORIENTED_EDGE('',*,*,#185279,.T.); #245320=ORIENTED_EDGE('',*,*,#185282,.T.); #245321=ORIENTED_EDGE('',*,*,#185285,.T.); #245322=ORIENTED_EDGE('',*,*,#185288,.T.); #245323=ORIENTED_EDGE('',*,*,#185291,.T.); #245324=ORIENTED_EDGE('',*,*,#185294,.T.); #245325=ORIENTED_EDGE('',*,*,#185297,.T.); #245326=ORIENTED_EDGE('',*,*,#185300,.T.); #245327=ORIENTED_EDGE('',*,*,#185303,.T.); #245328=ORIENTED_EDGE('',*,*,#185306,.T.); #245329=ORIENTED_EDGE('',*,*,#185428,.F.); #245330=ORIENTED_EDGE('',*,*,#185307,.F.); #245331=ORIENTED_EDGE('',*,*,#185311,.F.); #245332=ORIENTED_EDGE('',*,*,#185314,.F.); #245333=ORIENTED_EDGE('',*,*,#185317,.F.); #245334=ORIENTED_EDGE('',*,*,#185320,.F.); #245335=ORIENTED_EDGE('',*,*,#185323,.F.); #245336=ORIENTED_EDGE('',*,*,#185326,.F.); #245337=ORIENTED_EDGE('',*,*,#185329,.F.); #245338=ORIENTED_EDGE('',*,*,#185332,.F.); #245339=ORIENTED_EDGE('',*,*,#185335,.F.); #245340=ORIENTED_EDGE('',*,*,#185338,.F.); #245341=ORIENTED_EDGE('',*,*,#185341,.F.); #245342=ORIENTED_EDGE('',*,*,#185344,.F.); #245343=ORIENTED_EDGE('',*,*,#185347,.F.); #245344=ORIENTED_EDGE('',*,*,#185350,.F.); #245345=ORIENTED_EDGE('',*,*,#185353,.F.); #245346=ORIENTED_EDGE('',*,*,#185356,.F.); #245347=ORIENTED_EDGE('',*,*,#185359,.F.); #245348=ORIENTED_EDGE('',*,*,#185362,.F.); #245349=ORIENTED_EDGE('',*,*,#185365,.F.); #245350=ORIENTED_EDGE('',*,*,#185368,.F.); #245351=ORIENTED_EDGE('',*,*,#185371,.F.); #245352=ORIENTED_EDGE('',*,*,#185374,.F.); #245353=ORIENTED_EDGE('',*,*,#185377,.F.); #245354=ORIENTED_EDGE('',*,*,#185380,.F.); #245355=ORIENTED_EDGE('',*,*,#185383,.F.); #245356=ORIENTED_EDGE('',*,*,#185386,.F.); #245357=ORIENTED_EDGE('',*,*,#185389,.F.); #245358=ORIENTED_EDGE('',*,*,#185392,.F.); #245359=ORIENTED_EDGE('',*,*,#185395,.F.); #245360=ORIENTED_EDGE('',*,*,#185398,.F.); #245361=ORIENTED_EDGE('',*,*,#185401,.F.); #245362=ORIENTED_EDGE('',*,*,#185404,.F.); #245363=ORIENTED_EDGE('',*,*,#185407,.F.); #245364=ORIENTED_EDGE('',*,*,#185410,.F.); #245365=ORIENTED_EDGE('',*,*,#185413,.F.); #245366=ORIENTED_EDGE('',*,*,#185416,.F.); #245367=ORIENTED_EDGE('',*,*,#185419,.F.); #245368=ORIENTED_EDGE('',*,*,#185422,.F.); #245369=ORIENTED_EDGE('',*,*,#185425,.F.); #245370=ORIENTED_EDGE('',*,*,#185262,.T.); #245371=ORIENTED_EDGE('',*,*,#185265,.T.); #245372=ORIENTED_EDGE('',*,*,#185268,.T.); #245373=ORIENTED_EDGE('',*,*,#185271,.T.); #245374=ORIENTED_EDGE('',*,*,#185274,.T.); #245375=ORIENTED_EDGE('',*,*,#185277,.T.); #245376=ORIENTED_EDGE('',*,*,#185280,.T.); #245377=ORIENTED_EDGE('',*,*,#185283,.T.); #245378=ORIENTED_EDGE('',*,*,#185286,.T.); #245379=ORIENTED_EDGE('',*,*,#185289,.T.); #245380=ORIENTED_EDGE('',*,*,#185292,.T.); #245381=ORIENTED_EDGE('',*,*,#185295,.T.); #245382=ORIENTED_EDGE('',*,*,#185298,.T.); #245383=ORIENTED_EDGE('',*,*,#185301,.T.); #245384=ORIENTED_EDGE('',*,*,#185304,.T.); #245385=ORIENTED_EDGE('',*,*,#185430,.F.); #245386=ORIENTED_EDGE('',*,*,#185431,.T.); #245387=ORIENTED_EDGE('',*,*,#185432,.F.); #245388=ORIENTED_EDGE('',*,*,#185431,.F.); #245389=ORIENTED_EDGE('',*,*,#185433,.T.); #245390=ORIENTED_EDGE('',*,*,#185434,.T.); #245391=ORIENTED_EDGE('',*,*,#185435,.F.); #245392=ORIENTED_EDGE('',*,*,#185436,.F.); #245393=ORIENTED_EDGE('',*,*,#185437,.T.); #245394=ORIENTED_EDGE('',*,*,#185436,.T.); #245395=ORIENTED_EDGE('',*,*,#185438,.F.); #245396=ORIENTED_EDGE('',*,*,#185439,.F.); #245397=ORIENTED_EDGE('',*,*,#185440,.T.); #245398=ORIENTED_EDGE('',*,*,#185439,.T.); #245399=ORIENTED_EDGE('',*,*,#185441,.F.); #245400=ORIENTED_EDGE('',*,*,#185442,.F.); #245401=ORIENTED_EDGE('',*,*,#185443,.T.); #245402=ORIENTED_EDGE('',*,*,#185442,.T.); #245403=ORIENTED_EDGE('',*,*,#185444,.F.); #245404=ORIENTED_EDGE('',*,*,#185445,.F.); #245405=ORIENTED_EDGE('',*,*,#185446,.T.); #245406=ORIENTED_EDGE('',*,*,#185445,.T.); #245407=ORIENTED_EDGE('',*,*,#185447,.F.); #245408=ORIENTED_EDGE('',*,*,#185448,.F.); #245409=ORIENTED_EDGE('',*,*,#185449,.T.); #245410=ORIENTED_EDGE('',*,*,#185448,.T.); #245411=ORIENTED_EDGE('',*,*,#185450,.F.); #245412=ORIENTED_EDGE('',*,*,#185451,.F.); #245413=ORIENTED_EDGE('',*,*,#185452,.T.); #245414=ORIENTED_EDGE('',*,*,#185451,.T.); #245415=ORIENTED_EDGE('',*,*,#185453,.F.); #245416=ORIENTED_EDGE('',*,*,#185454,.F.); #245417=ORIENTED_EDGE('',*,*,#185455,.T.); #245418=ORIENTED_EDGE('',*,*,#185454,.T.); #245419=ORIENTED_EDGE('',*,*,#185456,.F.); #245420=ORIENTED_EDGE('',*,*,#185457,.F.); #245421=ORIENTED_EDGE('',*,*,#185458,.T.); #245422=ORIENTED_EDGE('',*,*,#185457,.T.); #245423=ORIENTED_EDGE('',*,*,#185459,.F.); #245424=ORIENTED_EDGE('',*,*,#185460,.F.); #245425=ORIENTED_EDGE('',*,*,#185461,.T.); #245426=ORIENTED_EDGE('',*,*,#185460,.T.); #245427=ORIENTED_EDGE('',*,*,#185462,.F.); #245428=ORIENTED_EDGE('',*,*,#185463,.F.); #245429=ORIENTED_EDGE('',*,*,#185464,.T.); #245430=ORIENTED_EDGE('',*,*,#185463,.T.); #245431=ORIENTED_EDGE('',*,*,#185465,.F.); #245432=ORIENTED_EDGE('',*,*,#185466,.F.); #245433=ORIENTED_EDGE('',*,*,#185467,.T.); #245434=ORIENTED_EDGE('',*,*,#185466,.T.); #245435=ORIENTED_EDGE('',*,*,#185468,.F.); #245436=ORIENTED_EDGE('',*,*,#185469,.F.); #245437=ORIENTED_EDGE('',*,*,#185470,.T.); #245438=ORIENTED_EDGE('',*,*,#185469,.T.); #245439=ORIENTED_EDGE('',*,*,#185471,.F.); #245440=ORIENTED_EDGE('',*,*,#185472,.F.); #245441=ORIENTED_EDGE('',*,*,#185473,.T.); #245442=ORIENTED_EDGE('',*,*,#185472,.T.); #245443=ORIENTED_EDGE('',*,*,#185474,.F.); #245444=ORIENTED_EDGE('',*,*,#185475,.F.); #245445=ORIENTED_EDGE('',*,*,#185476,.T.); #245446=ORIENTED_EDGE('',*,*,#185475,.T.); #245447=ORIENTED_EDGE('',*,*,#185477,.F.); #245448=ORIENTED_EDGE('',*,*,#185478,.F.); #245449=ORIENTED_EDGE('',*,*,#185479,.T.); #245450=ORIENTED_EDGE('',*,*,#185478,.T.); #245451=ORIENTED_EDGE('',*,*,#185480,.F.); #245452=ORIENTED_EDGE('',*,*,#185481,.F.); #245453=ORIENTED_EDGE('',*,*,#185482,.T.); #245454=ORIENTED_EDGE('',*,*,#185481,.T.); #245455=ORIENTED_EDGE('',*,*,#185483,.F.); #245456=ORIENTED_EDGE('',*,*,#185484,.F.); #245457=ORIENTED_EDGE('',*,*,#185485,.T.); #245458=ORIENTED_EDGE('',*,*,#185484,.T.); #245459=ORIENTED_EDGE('',*,*,#185486,.F.); #245460=ORIENTED_EDGE('',*,*,#185487,.F.); #245461=ORIENTED_EDGE('',*,*,#185488,.T.); #245462=ORIENTED_EDGE('',*,*,#185487,.T.); #245463=ORIENTED_EDGE('',*,*,#185489,.F.); #245464=ORIENTED_EDGE('',*,*,#185490,.F.); #245465=ORIENTED_EDGE('',*,*,#185491,.T.); #245466=ORIENTED_EDGE('',*,*,#185490,.T.); #245467=ORIENTED_EDGE('',*,*,#185492,.F.); #245468=ORIENTED_EDGE('',*,*,#185493,.F.); #245469=ORIENTED_EDGE('',*,*,#185494,.T.); #245470=ORIENTED_EDGE('',*,*,#185493,.T.); #245471=ORIENTED_EDGE('',*,*,#185495,.F.); #245472=ORIENTED_EDGE('',*,*,#185496,.F.); #245473=ORIENTED_EDGE('',*,*,#185497,.T.); #245474=ORIENTED_EDGE('',*,*,#185496,.T.); #245475=ORIENTED_EDGE('',*,*,#185498,.F.); #245476=ORIENTED_EDGE('',*,*,#185499,.F.); #245477=ORIENTED_EDGE('',*,*,#185500,.T.); #245478=ORIENTED_EDGE('',*,*,#185499,.T.); #245479=ORIENTED_EDGE('',*,*,#185501,.F.); #245480=ORIENTED_EDGE('',*,*,#185502,.F.); #245481=ORIENTED_EDGE('',*,*,#185503,.T.); #245482=ORIENTED_EDGE('',*,*,#185502,.T.); #245483=ORIENTED_EDGE('',*,*,#185504,.F.); #245484=ORIENTED_EDGE('',*,*,#185505,.F.); #245485=ORIENTED_EDGE('',*,*,#185506,.T.); #245486=ORIENTED_EDGE('',*,*,#185505,.T.); #245487=ORIENTED_EDGE('',*,*,#185507,.F.); #245488=ORIENTED_EDGE('',*,*,#185508,.F.); #245489=ORIENTED_EDGE('',*,*,#185509,.T.); #245490=ORIENTED_EDGE('',*,*,#185508,.T.); #245491=ORIENTED_EDGE('',*,*,#185510,.F.); #245492=ORIENTED_EDGE('',*,*,#185511,.F.); #245493=ORIENTED_EDGE('',*,*,#185512,.T.); #245494=ORIENTED_EDGE('',*,*,#185511,.T.); #245495=ORIENTED_EDGE('',*,*,#185513,.F.); #245496=ORIENTED_EDGE('',*,*,#185514,.F.); #245497=ORIENTED_EDGE('',*,*,#185515,.T.); #245498=ORIENTED_EDGE('',*,*,#185514,.T.); #245499=ORIENTED_EDGE('',*,*,#185516,.F.); #245500=ORIENTED_EDGE('',*,*,#185517,.F.); #245501=ORIENTED_EDGE('',*,*,#185518,.T.); #245502=ORIENTED_EDGE('',*,*,#185517,.T.); #245503=ORIENTED_EDGE('',*,*,#185519,.F.); #245504=ORIENTED_EDGE('',*,*,#185520,.F.); #245505=ORIENTED_EDGE('',*,*,#185521,.T.); #245506=ORIENTED_EDGE('',*,*,#185520,.T.); #245507=ORIENTED_EDGE('',*,*,#185522,.F.); #245508=ORIENTED_EDGE('',*,*,#185523,.F.); #245509=ORIENTED_EDGE('',*,*,#185524,.T.); #245510=ORIENTED_EDGE('',*,*,#185523,.T.); #245511=ORIENTED_EDGE('',*,*,#185525,.F.); #245512=ORIENTED_EDGE('',*,*,#185526,.F.); #245513=ORIENTED_EDGE('',*,*,#185527,.T.); #245514=ORIENTED_EDGE('',*,*,#185526,.T.); #245515=ORIENTED_EDGE('',*,*,#185528,.F.); #245516=ORIENTED_EDGE('',*,*,#185529,.F.); #245517=ORIENTED_EDGE('',*,*,#185530,.T.); #245518=ORIENTED_EDGE('',*,*,#185529,.T.); #245519=ORIENTED_EDGE('',*,*,#185531,.F.); #245520=ORIENTED_EDGE('',*,*,#185532,.F.); #245521=ORIENTED_EDGE('',*,*,#185533,.T.); #245522=ORIENTED_EDGE('',*,*,#185532,.T.); #245523=ORIENTED_EDGE('',*,*,#185534,.F.); #245524=ORIENTED_EDGE('',*,*,#185535,.F.); #245525=ORIENTED_EDGE('',*,*,#185536,.T.); #245526=ORIENTED_EDGE('',*,*,#185535,.T.); #245527=ORIENTED_EDGE('',*,*,#185537,.F.); #245528=ORIENTED_EDGE('',*,*,#185538,.F.); #245529=ORIENTED_EDGE('',*,*,#185539,.T.); #245530=ORIENTED_EDGE('',*,*,#185538,.T.); #245531=ORIENTED_EDGE('',*,*,#185540,.F.); #245532=ORIENTED_EDGE('',*,*,#185541,.F.); #245533=ORIENTED_EDGE('',*,*,#185542,.T.); #245534=ORIENTED_EDGE('',*,*,#185541,.T.); #245535=ORIENTED_EDGE('',*,*,#185543,.F.); #245536=ORIENTED_EDGE('',*,*,#185544,.F.); #245537=ORIENTED_EDGE('',*,*,#185545,.T.); #245538=ORIENTED_EDGE('',*,*,#185544,.T.); #245539=ORIENTED_EDGE('',*,*,#185546,.F.); #245540=ORIENTED_EDGE('',*,*,#185547,.F.); #245541=ORIENTED_EDGE('',*,*,#185548,.T.); #245542=ORIENTED_EDGE('',*,*,#185547,.T.); #245543=ORIENTED_EDGE('',*,*,#185549,.F.); #245544=ORIENTED_EDGE('',*,*,#185550,.F.); #245545=ORIENTED_EDGE('',*,*,#185551,.T.); #245546=ORIENTED_EDGE('',*,*,#185550,.T.); #245547=ORIENTED_EDGE('',*,*,#185552,.F.); #245548=ORIENTED_EDGE('',*,*,#185553,.F.); #245549=ORIENTED_EDGE('',*,*,#185554,.T.); #245550=ORIENTED_EDGE('',*,*,#185553,.T.); #245551=ORIENTED_EDGE('',*,*,#185555,.F.); #245552=ORIENTED_EDGE('',*,*,#185556,.F.); #245553=ORIENTED_EDGE('',*,*,#185557,.T.); #245554=ORIENTED_EDGE('',*,*,#185556,.T.); #245555=ORIENTED_EDGE('',*,*,#185558,.F.); #245556=ORIENTED_EDGE('',*,*,#185434,.F.); #245557=ORIENTED_EDGE('',*,*,#185558,.T.); #245558=ORIENTED_EDGE('',*,*,#185555,.T.); #245559=ORIENTED_EDGE('',*,*,#185552,.T.); #245560=ORIENTED_EDGE('',*,*,#185549,.T.); #245561=ORIENTED_EDGE('',*,*,#185546,.T.); #245562=ORIENTED_EDGE('',*,*,#185543,.T.); #245563=ORIENTED_EDGE('',*,*,#185540,.T.); #245564=ORIENTED_EDGE('',*,*,#185537,.T.); #245565=ORIENTED_EDGE('',*,*,#185534,.T.); #245566=ORIENTED_EDGE('',*,*,#185531,.T.); #245567=ORIENTED_EDGE('',*,*,#185528,.T.); #245568=ORIENTED_EDGE('',*,*,#185525,.T.); #245569=ORIENTED_EDGE('',*,*,#185522,.T.); #245570=ORIENTED_EDGE('',*,*,#185519,.T.); #245571=ORIENTED_EDGE('',*,*,#185516,.T.); #245572=ORIENTED_EDGE('',*,*,#185513,.T.); #245573=ORIENTED_EDGE('',*,*,#185510,.T.); #245574=ORIENTED_EDGE('',*,*,#185507,.T.); #245575=ORIENTED_EDGE('',*,*,#185504,.T.); #245576=ORIENTED_EDGE('',*,*,#185501,.T.); #245577=ORIENTED_EDGE('',*,*,#185498,.T.); #245578=ORIENTED_EDGE('',*,*,#185495,.T.); #245579=ORIENTED_EDGE('',*,*,#185492,.T.); #245580=ORIENTED_EDGE('',*,*,#185489,.T.); #245581=ORIENTED_EDGE('',*,*,#185486,.T.); #245582=ORIENTED_EDGE('',*,*,#185483,.T.); #245583=ORIENTED_EDGE('',*,*,#185480,.T.); #245584=ORIENTED_EDGE('',*,*,#185477,.T.); #245585=ORIENTED_EDGE('',*,*,#185474,.T.); #245586=ORIENTED_EDGE('',*,*,#185471,.T.); #245587=ORIENTED_EDGE('',*,*,#185468,.T.); #245588=ORIENTED_EDGE('',*,*,#185465,.T.); #245589=ORIENTED_EDGE('',*,*,#185462,.T.); #245590=ORIENTED_EDGE('',*,*,#185459,.T.); #245591=ORIENTED_EDGE('',*,*,#185456,.T.); #245592=ORIENTED_EDGE('',*,*,#185453,.T.); #245593=ORIENTED_EDGE('',*,*,#185450,.T.); #245594=ORIENTED_EDGE('',*,*,#185447,.T.); #245595=ORIENTED_EDGE('',*,*,#185444,.T.); #245596=ORIENTED_EDGE('',*,*,#185441,.T.); #245597=ORIENTED_EDGE('',*,*,#185438,.T.); #245598=ORIENTED_EDGE('',*,*,#185435,.T.); #245599=ORIENTED_EDGE('',*,*,#185432,.T.); #245600=ORIENTED_EDGE('',*,*,#185557,.F.); #245601=ORIENTED_EDGE('',*,*,#185433,.F.); #245602=ORIENTED_EDGE('',*,*,#185437,.F.); #245603=ORIENTED_EDGE('',*,*,#185440,.F.); #245604=ORIENTED_EDGE('',*,*,#185443,.F.); #245605=ORIENTED_EDGE('',*,*,#185446,.F.); #245606=ORIENTED_EDGE('',*,*,#185449,.F.); #245607=ORIENTED_EDGE('',*,*,#185452,.F.); #245608=ORIENTED_EDGE('',*,*,#185455,.F.); #245609=ORIENTED_EDGE('',*,*,#185458,.F.); #245610=ORIENTED_EDGE('',*,*,#185461,.F.); #245611=ORIENTED_EDGE('',*,*,#185464,.F.); #245612=ORIENTED_EDGE('',*,*,#185467,.F.); #245613=ORIENTED_EDGE('',*,*,#185470,.F.); #245614=ORIENTED_EDGE('',*,*,#185473,.F.); #245615=ORIENTED_EDGE('',*,*,#185476,.F.); #245616=ORIENTED_EDGE('',*,*,#185479,.F.); #245617=ORIENTED_EDGE('',*,*,#185482,.F.); #245618=ORIENTED_EDGE('',*,*,#185485,.F.); #245619=ORIENTED_EDGE('',*,*,#185488,.F.); #245620=ORIENTED_EDGE('',*,*,#185491,.F.); #245621=ORIENTED_EDGE('',*,*,#185494,.F.); #245622=ORIENTED_EDGE('',*,*,#185497,.F.); #245623=ORIENTED_EDGE('',*,*,#185500,.F.); #245624=ORIENTED_EDGE('',*,*,#185503,.F.); #245625=ORIENTED_EDGE('',*,*,#185506,.F.); #245626=ORIENTED_EDGE('',*,*,#185509,.F.); #245627=ORIENTED_EDGE('',*,*,#185512,.F.); #245628=ORIENTED_EDGE('',*,*,#185515,.F.); #245629=ORIENTED_EDGE('',*,*,#185518,.F.); #245630=ORIENTED_EDGE('',*,*,#185521,.F.); #245631=ORIENTED_EDGE('',*,*,#185524,.F.); #245632=ORIENTED_EDGE('',*,*,#185527,.F.); #245633=ORIENTED_EDGE('',*,*,#185530,.F.); #245634=ORIENTED_EDGE('',*,*,#185533,.F.); #245635=ORIENTED_EDGE('',*,*,#185536,.F.); #245636=ORIENTED_EDGE('',*,*,#185539,.F.); #245637=ORIENTED_EDGE('',*,*,#185542,.F.); #245638=ORIENTED_EDGE('',*,*,#185545,.F.); #245639=ORIENTED_EDGE('',*,*,#185548,.F.); #245640=ORIENTED_EDGE('',*,*,#185551,.F.); #245641=ORIENTED_EDGE('',*,*,#185554,.F.); #245642=ORIENTED_EDGE('',*,*,#185430,.T.); #245643=ORIENTED_EDGE('',*,*,#185559,.F.); #245644=ORIENTED_EDGE('',*,*,#185560,.T.); #245645=ORIENTED_EDGE('',*,*,#185561,.F.); #245646=ORIENTED_EDGE('',*,*,#185560,.F.); #245647=ORIENTED_EDGE('',*,*,#185562,.F.); #245648=ORIENTED_EDGE('',*,*,#185563,.T.); #245649=ORIENTED_EDGE('',*,*,#185564,.F.); #245650=ORIENTED_EDGE('',*,*,#185563,.F.); #245651=ORIENTED_EDGE('',*,*,#185565,.F.); #245652=ORIENTED_EDGE('',*,*,#185566,.T.); #245653=ORIENTED_EDGE('',*,*,#185567,.F.); #245654=ORIENTED_EDGE('',*,*,#185566,.F.); #245655=ORIENTED_EDGE('',*,*,#185568,.F.); #245656=ORIENTED_EDGE('',*,*,#185569,.T.); #245657=ORIENTED_EDGE('',*,*,#185570,.F.); #245658=ORIENTED_EDGE('',*,*,#185569,.F.); #245659=ORIENTED_EDGE('',*,*,#185571,.F.); #245660=ORIENTED_EDGE('',*,*,#185572,.T.); #245661=ORIENTED_EDGE('',*,*,#185573,.F.); #245662=ORIENTED_EDGE('',*,*,#185572,.F.); #245663=ORIENTED_EDGE('',*,*,#185574,.F.); #245664=ORIENTED_EDGE('',*,*,#185575,.T.); #245665=ORIENTED_EDGE('',*,*,#185576,.F.); #245666=ORIENTED_EDGE('',*,*,#185575,.F.); #245667=ORIENTED_EDGE('',*,*,#185577,.F.); #245668=ORIENTED_EDGE('',*,*,#185578,.T.); #245669=ORIENTED_EDGE('',*,*,#185579,.F.); #245670=ORIENTED_EDGE('',*,*,#185578,.F.); #245671=ORIENTED_EDGE('',*,*,#185580,.F.); #245672=ORIENTED_EDGE('',*,*,#185581,.T.); #245673=ORIENTED_EDGE('',*,*,#185582,.F.); #245674=ORIENTED_EDGE('',*,*,#185581,.F.); #245675=ORIENTED_EDGE('',*,*,#185583,.F.); #245676=ORIENTED_EDGE('',*,*,#185584,.T.); #245677=ORIENTED_EDGE('',*,*,#185585,.F.); #245678=ORIENTED_EDGE('',*,*,#185584,.F.); #245679=ORIENTED_EDGE('',*,*,#185586,.F.); #245680=ORIENTED_EDGE('',*,*,#185587,.T.); #245681=ORIENTED_EDGE('',*,*,#185588,.F.); #245682=ORIENTED_EDGE('',*,*,#185587,.F.); #245683=ORIENTED_EDGE('',*,*,#185589,.F.); #245684=ORIENTED_EDGE('',*,*,#185590,.T.); #245685=ORIENTED_EDGE('',*,*,#185591,.F.); #245686=ORIENTED_EDGE('',*,*,#185590,.F.); #245687=ORIENTED_EDGE('',*,*,#185592,.F.); #245688=ORIENTED_EDGE('',*,*,#185593,.T.); #245689=ORIENTED_EDGE('',*,*,#185594,.F.); #245690=ORIENTED_EDGE('',*,*,#185593,.F.); #245691=ORIENTED_EDGE('',*,*,#185595,.F.); #245692=ORIENTED_EDGE('',*,*,#185596,.T.); #245693=ORIENTED_EDGE('',*,*,#185597,.F.); #245694=ORIENTED_EDGE('',*,*,#185596,.F.); #245695=ORIENTED_EDGE('',*,*,#185598,.F.); #245696=ORIENTED_EDGE('',*,*,#185599,.T.); #245697=ORIENTED_EDGE('',*,*,#185600,.F.); #245698=ORIENTED_EDGE('',*,*,#185599,.F.); #245699=ORIENTED_EDGE('',*,*,#185601,.F.); #245700=ORIENTED_EDGE('',*,*,#185602,.T.); #245701=ORIENTED_EDGE('',*,*,#185603,.F.); #245702=ORIENTED_EDGE('',*,*,#185602,.F.); #245703=ORIENTED_EDGE('',*,*,#185604,.T.); #245704=ORIENTED_EDGE('',*,*,#185605,.T.); #245705=ORIENTED_EDGE('',*,*,#185606,.F.); #245706=ORIENTED_EDGE('',*,*,#185607,.F.); #245707=ORIENTED_EDGE('',*,*,#185608,.T.); #245708=ORIENTED_EDGE('',*,*,#185607,.T.); #245709=ORIENTED_EDGE('',*,*,#185609,.F.); #245710=ORIENTED_EDGE('',*,*,#185610,.F.); #245711=ORIENTED_EDGE('',*,*,#185611,.T.); #245712=ORIENTED_EDGE('',*,*,#185610,.T.); #245713=ORIENTED_EDGE('',*,*,#185612,.F.); #245714=ORIENTED_EDGE('',*,*,#185613,.F.); #245715=ORIENTED_EDGE('',*,*,#185614,.T.); #245716=ORIENTED_EDGE('',*,*,#185613,.T.); #245717=ORIENTED_EDGE('',*,*,#185615,.F.); #245718=ORIENTED_EDGE('',*,*,#185616,.F.); #245719=ORIENTED_EDGE('',*,*,#185617,.T.); #245720=ORIENTED_EDGE('',*,*,#185616,.T.); #245721=ORIENTED_EDGE('',*,*,#185618,.F.); #245722=ORIENTED_EDGE('',*,*,#185619,.F.); #245723=ORIENTED_EDGE('',*,*,#185620,.T.); #245724=ORIENTED_EDGE('',*,*,#185619,.T.); #245725=ORIENTED_EDGE('',*,*,#185621,.F.); #245726=ORIENTED_EDGE('',*,*,#185622,.F.); #245727=ORIENTED_EDGE('',*,*,#185623,.T.); #245728=ORIENTED_EDGE('',*,*,#185622,.T.); #245729=ORIENTED_EDGE('',*,*,#185624,.F.); #245730=ORIENTED_EDGE('',*,*,#185625,.F.); #245731=ORIENTED_EDGE('',*,*,#185626,.T.); #245732=ORIENTED_EDGE('',*,*,#185625,.T.); #245733=ORIENTED_EDGE('',*,*,#185627,.F.); #245734=ORIENTED_EDGE('',*,*,#185628,.F.); #245735=ORIENTED_EDGE('',*,*,#185629,.T.); #245736=ORIENTED_EDGE('',*,*,#185628,.T.); #245737=ORIENTED_EDGE('',*,*,#185630,.F.); #245738=ORIENTED_EDGE('',*,*,#185631,.F.); #245739=ORIENTED_EDGE('',*,*,#185632,.T.); #245740=ORIENTED_EDGE('',*,*,#185631,.T.); #245741=ORIENTED_EDGE('',*,*,#185633,.F.); #245742=ORIENTED_EDGE('',*,*,#185634,.F.); #245743=ORIENTED_EDGE('',*,*,#185635,.T.); #245744=ORIENTED_EDGE('',*,*,#185634,.T.); #245745=ORIENTED_EDGE('',*,*,#185636,.F.); #245746=ORIENTED_EDGE('',*,*,#185637,.F.); #245747=ORIENTED_EDGE('',*,*,#185638,.T.); #245748=ORIENTED_EDGE('',*,*,#185637,.T.); #245749=ORIENTED_EDGE('',*,*,#185639,.F.); #245750=ORIENTED_EDGE('',*,*,#185640,.F.); #245751=ORIENTED_EDGE('',*,*,#185641,.T.); #245752=ORIENTED_EDGE('',*,*,#185640,.T.); #245753=ORIENTED_EDGE('',*,*,#185642,.F.); #245754=ORIENTED_EDGE('',*,*,#185643,.F.); #245755=ORIENTED_EDGE('',*,*,#185644,.T.); #245756=ORIENTED_EDGE('',*,*,#185643,.T.); #245757=ORIENTED_EDGE('',*,*,#185645,.F.); #245758=ORIENTED_EDGE('',*,*,#185646,.F.); #245759=ORIENTED_EDGE('',*,*,#185647,.T.); #245760=ORIENTED_EDGE('',*,*,#185646,.T.); #245761=ORIENTED_EDGE('',*,*,#185648,.F.); #245762=ORIENTED_EDGE('',*,*,#185649,.F.); #245763=ORIENTED_EDGE('',*,*,#185650,.T.); #245764=ORIENTED_EDGE('',*,*,#185649,.T.); #245765=ORIENTED_EDGE('',*,*,#185651,.F.); #245766=ORIENTED_EDGE('',*,*,#185652,.F.); #245767=ORIENTED_EDGE('',*,*,#185653,.T.); #245768=ORIENTED_EDGE('',*,*,#185652,.T.); #245769=ORIENTED_EDGE('',*,*,#185654,.F.); #245770=ORIENTED_EDGE('',*,*,#185655,.F.); #245771=ORIENTED_EDGE('',*,*,#185656,.T.); #245772=ORIENTED_EDGE('',*,*,#185655,.T.); #245773=ORIENTED_EDGE('',*,*,#185657,.F.); #245774=ORIENTED_EDGE('',*,*,#185658,.F.); #245775=ORIENTED_EDGE('',*,*,#185659,.T.); #245776=ORIENTED_EDGE('',*,*,#185658,.T.); #245777=ORIENTED_EDGE('',*,*,#185660,.F.); #245778=ORIENTED_EDGE('',*,*,#185661,.F.); #245779=ORIENTED_EDGE('',*,*,#185662,.T.); #245780=ORIENTED_EDGE('',*,*,#185661,.T.); #245781=ORIENTED_EDGE('',*,*,#185663,.F.); #245782=ORIENTED_EDGE('',*,*,#185664,.F.); #245783=ORIENTED_EDGE('',*,*,#185665,.T.); #245784=ORIENTED_EDGE('',*,*,#185664,.T.); #245785=ORIENTED_EDGE('',*,*,#185666,.F.); #245786=ORIENTED_EDGE('',*,*,#185667,.F.); #245787=ORIENTED_EDGE('',*,*,#185668,.T.); #245788=ORIENTED_EDGE('',*,*,#185667,.T.); #245789=ORIENTED_EDGE('',*,*,#185669,.F.); #245790=ORIENTED_EDGE('',*,*,#185670,.F.); #245791=ORIENTED_EDGE('',*,*,#185671,.T.); #245792=ORIENTED_EDGE('',*,*,#185670,.T.); #245793=ORIENTED_EDGE('',*,*,#185672,.F.); #245794=ORIENTED_EDGE('',*,*,#185673,.F.); #245795=ORIENTED_EDGE('',*,*,#185674,.T.); #245796=ORIENTED_EDGE('',*,*,#185673,.T.); #245797=ORIENTED_EDGE('',*,*,#185675,.F.); #245798=ORIENTED_EDGE('',*,*,#185676,.F.); #245799=ORIENTED_EDGE('',*,*,#185677,.T.); #245800=ORIENTED_EDGE('',*,*,#185676,.T.); #245801=ORIENTED_EDGE('',*,*,#185678,.F.); #245802=ORIENTED_EDGE('',*,*,#185679,.F.); #245803=ORIENTED_EDGE('',*,*,#185680,.T.); #245804=ORIENTED_EDGE('',*,*,#185679,.T.); #245805=ORIENTED_EDGE('',*,*,#185681,.F.); #245806=ORIENTED_EDGE('',*,*,#185682,.F.); #245807=ORIENTED_EDGE('',*,*,#185683,.T.); #245808=ORIENTED_EDGE('',*,*,#185682,.T.); #245809=ORIENTED_EDGE('',*,*,#185684,.F.); #245810=ORIENTED_EDGE('',*,*,#185685,.F.); #245811=ORIENTED_EDGE('',*,*,#185686,.T.); #245812=ORIENTED_EDGE('',*,*,#185685,.T.); #245813=ORIENTED_EDGE('',*,*,#185687,.F.); #245814=ORIENTED_EDGE('',*,*,#185688,.F.); #245815=ORIENTED_EDGE('',*,*,#185689,.T.); #245816=ORIENTED_EDGE('',*,*,#185688,.T.); #245817=ORIENTED_EDGE('',*,*,#185690,.F.); #245818=ORIENTED_EDGE('',*,*,#185691,.F.); #245819=ORIENTED_EDGE('',*,*,#185692,.T.); #245820=ORIENTED_EDGE('',*,*,#185691,.T.); #245821=ORIENTED_EDGE('',*,*,#185693,.F.); #245822=ORIENTED_EDGE('',*,*,#185694,.F.); #245823=ORIENTED_EDGE('',*,*,#185695,.T.); #245824=ORIENTED_EDGE('',*,*,#185694,.T.); #245825=ORIENTED_EDGE('',*,*,#185696,.F.); #245826=ORIENTED_EDGE('',*,*,#185697,.F.); #245827=ORIENTED_EDGE('',*,*,#185698,.T.); #245828=ORIENTED_EDGE('',*,*,#185697,.T.); #245829=ORIENTED_EDGE('',*,*,#185699,.F.); #245830=ORIENTED_EDGE('',*,*,#185700,.F.); #245831=ORIENTED_EDGE('',*,*,#185701,.T.); #245832=ORIENTED_EDGE('',*,*,#185700,.T.); #245833=ORIENTED_EDGE('',*,*,#185702,.F.); #245834=ORIENTED_EDGE('',*,*,#185703,.F.); #245835=ORIENTED_EDGE('',*,*,#185704,.T.); #245836=ORIENTED_EDGE('',*,*,#185703,.T.); #245837=ORIENTED_EDGE('',*,*,#185705,.F.); #245838=ORIENTED_EDGE('',*,*,#185706,.F.); #245839=ORIENTED_EDGE('',*,*,#185707,.T.); #245840=ORIENTED_EDGE('',*,*,#185706,.T.); #245841=ORIENTED_EDGE('',*,*,#185708,.F.); #245842=ORIENTED_EDGE('',*,*,#185709,.F.); #245843=ORIENTED_EDGE('',*,*,#185710,.T.); #245844=ORIENTED_EDGE('',*,*,#185709,.T.); #245845=ORIENTED_EDGE('',*,*,#185711,.F.); #245846=ORIENTED_EDGE('',*,*,#185712,.F.); #245847=ORIENTED_EDGE('',*,*,#185713,.T.); #245848=ORIENTED_EDGE('',*,*,#185712,.T.); #245849=ORIENTED_EDGE('',*,*,#185714,.F.); #245850=ORIENTED_EDGE('',*,*,#185715,.F.); #245851=ORIENTED_EDGE('',*,*,#185716,.T.); #245852=ORIENTED_EDGE('',*,*,#185715,.T.); #245853=ORIENTED_EDGE('',*,*,#185717,.F.); #245854=ORIENTED_EDGE('',*,*,#185718,.F.); #245855=ORIENTED_EDGE('',*,*,#185719,.T.); #245856=ORIENTED_EDGE('',*,*,#185718,.T.); #245857=ORIENTED_EDGE('',*,*,#185720,.F.); #245858=ORIENTED_EDGE('',*,*,#185721,.F.); #245859=ORIENTED_EDGE('',*,*,#185722,.T.); #245860=ORIENTED_EDGE('',*,*,#185721,.T.); #245861=ORIENTED_EDGE('',*,*,#185723,.F.); #245862=ORIENTED_EDGE('',*,*,#185724,.F.); #245863=ORIENTED_EDGE('',*,*,#185725,.T.); #245864=ORIENTED_EDGE('',*,*,#185724,.T.); #245865=ORIENTED_EDGE('',*,*,#185726,.F.); #245866=ORIENTED_EDGE('',*,*,#185727,.F.); #245867=ORIENTED_EDGE('',*,*,#185728,.T.); #245868=ORIENTED_EDGE('',*,*,#185727,.T.); #245869=ORIENTED_EDGE('',*,*,#185729,.F.); #245870=ORIENTED_EDGE('',*,*,#185730,.F.); #245871=ORIENTED_EDGE('',*,*,#185731,.T.); #245872=ORIENTED_EDGE('',*,*,#185730,.T.); #245873=ORIENTED_EDGE('',*,*,#185732,.F.); #245874=ORIENTED_EDGE('',*,*,#185733,.F.); #245875=ORIENTED_EDGE('',*,*,#185734,.T.); #245876=ORIENTED_EDGE('',*,*,#185733,.T.); #245877=ORIENTED_EDGE('',*,*,#185735,.F.); #245878=ORIENTED_EDGE('',*,*,#185736,.F.); #245879=ORIENTED_EDGE('',*,*,#185737,.T.); #245880=ORIENTED_EDGE('',*,*,#185736,.T.); #245881=ORIENTED_EDGE('',*,*,#185738,.F.); #245882=ORIENTED_EDGE('',*,*,#185739,.F.); #245883=ORIENTED_EDGE('',*,*,#185740,.T.); #245884=ORIENTED_EDGE('',*,*,#185739,.T.); #245885=ORIENTED_EDGE('',*,*,#185741,.F.); #245886=ORIENTED_EDGE('',*,*,#185742,.F.); #245887=ORIENTED_EDGE('',*,*,#185743,.T.); #245888=ORIENTED_EDGE('',*,*,#185742,.T.); #245889=ORIENTED_EDGE('',*,*,#185744,.F.); #245890=ORIENTED_EDGE('',*,*,#185745,.F.); #245891=ORIENTED_EDGE('',*,*,#185746,.T.); #245892=ORIENTED_EDGE('',*,*,#185745,.T.); #245893=ORIENTED_EDGE('',*,*,#185747,.F.); #245894=ORIENTED_EDGE('',*,*,#185748,.F.); #245895=ORIENTED_EDGE('',*,*,#185749,.T.); #245896=ORIENTED_EDGE('',*,*,#185748,.T.); #245897=ORIENTED_EDGE('',*,*,#185750,.F.); #245898=ORIENTED_EDGE('',*,*,#185605,.F.); #245899=ORIENTED_EDGE('',*,*,#185750,.T.); #245900=ORIENTED_EDGE('',*,*,#185747,.T.); #245901=ORIENTED_EDGE('',*,*,#185744,.T.); #245902=ORIENTED_EDGE('',*,*,#185741,.T.); #245903=ORIENTED_EDGE('',*,*,#185738,.T.); #245904=ORIENTED_EDGE('',*,*,#185735,.T.); #245905=ORIENTED_EDGE('',*,*,#185732,.T.); #245906=ORIENTED_EDGE('',*,*,#185729,.T.); #245907=ORIENTED_EDGE('',*,*,#185726,.T.); #245908=ORIENTED_EDGE('',*,*,#185723,.T.); #245909=ORIENTED_EDGE('',*,*,#185720,.T.); #245910=ORIENTED_EDGE('',*,*,#185717,.T.); #245911=ORIENTED_EDGE('',*,*,#185714,.T.); #245912=ORIENTED_EDGE('',*,*,#185711,.T.); #245913=ORIENTED_EDGE('',*,*,#185708,.T.); #245914=ORIENTED_EDGE('',*,*,#185705,.T.); #245915=ORIENTED_EDGE('',*,*,#185702,.T.); #245916=ORIENTED_EDGE('',*,*,#185699,.T.); #245917=ORIENTED_EDGE('',*,*,#185696,.T.); #245918=ORIENTED_EDGE('',*,*,#185693,.T.); #245919=ORIENTED_EDGE('',*,*,#185690,.T.); #245920=ORIENTED_EDGE('',*,*,#185687,.T.); #245921=ORIENTED_EDGE('',*,*,#185684,.T.); #245922=ORIENTED_EDGE('',*,*,#185681,.T.); #245923=ORIENTED_EDGE('',*,*,#185678,.T.); #245924=ORIENTED_EDGE('',*,*,#185675,.T.); #245925=ORIENTED_EDGE('',*,*,#185672,.T.); #245926=ORIENTED_EDGE('',*,*,#185669,.T.); #245927=ORIENTED_EDGE('',*,*,#185666,.T.); #245928=ORIENTED_EDGE('',*,*,#185663,.T.); #245929=ORIENTED_EDGE('',*,*,#185660,.T.); #245930=ORIENTED_EDGE('',*,*,#185657,.T.); #245931=ORIENTED_EDGE('',*,*,#185654,.T.); #245932=ORIENTED_EDGE('',*,*,#185651,.T.); #245933=ORIENTED_EDGE('',*,*,#185648,.T.); #245934=ORIENTED_EDGE('',*,*,#185645,.T.); #245935=ORIENTED_EDGE('',*,*,#185642,.T.); #245936=ORIENTED_EDGE('',*,*,#185639,.T.); #245937=ORIENTED_EDGE('',*,*,#185636,.T.); #245938=ORIENTED_EDGE('',*,*,#185633,.T.); #245939=ORIENTED_EDGE('',*,*,#185630,.T.); #245940=ORIENTED_EDGE('',*,*,#185627,.T.); #245941=ORIENTED_EDGE('',*,*,#185624,.T.); #245942=ORIENTED_EDGE('',*,*,#185621,.T.); #245943=ORIENTED_EDGE('',*,*,#185618,.T.); #245944=ORIENTED_EDGE('',*,*,#185615,.T.); #245945=ORIENTED_EDGE('',*,*,#185612,.T.); #245946=ORIENTED_EDGE('',*,*,#185609,.T.); #245947=ORIENTED_EDGE('',*,*,#185606,.T.); #245948=ORIENTED_EDGE('',*,*,#185561,.T.); #245949=ORIENTED_EDGE('',*,*,#185564,.T.); #245950=ORIENTED_EDGE('',*,*,#185567,.T.); #245951=ORIENTED_EDGE('',*,*,#185570,.T.); #245952=ORIENTED_EDGE('',*,*,#185573,.T.); #245953=ORIENTED_EDGE('',*,*,#185576,.T.); #245954=ORIENTED_EDGE('',*,*,#185579,.T.); #245955=ORIENTED_EDGE('',*,*,#185582,.T.); #245956=ORIENTED_EDGE('',*,*,#185585,.T.); #245957=ORIENTED_EDGE('',*,*,#185588,.T.); #245958=ORIENTED_EDGE('',*,*,#185591,.T.); #245959=ORIENTED_EDGE('',*,*,#185594,.T.); #245960=ORIENTED_EDGE('',*,*,#185597,.T.); #245961=ORIENTED_EDGE('',*,*,#185600,.T.); #245962=ORIENTED_EDGE('',*,*,#185603,.T.); #245963=ORIENTED_EDGE('',*,*,#185749,.F.); #245964=ORIENTED_EDGE('',*,*,#185604,.F.); #245965=ORIENTED_EDGE('',*,*,#185608,.F.); #245966=ORIENTED_EDGE('',*,*,#185611,.F.); #245967=ORIENTED_EDGE('',*,*,#185614,.F.); #245968=ORIENTED_EDGE('',*,*,#185617,.F.); #245969=ORIENTED_EDGE('',*,*,#185620,.F.); #245970=ORIENTED_EDGE('',*,*,#185623,.F.); #245971=ORIENTED_EDGE('',*,*,#185626,.F.); #245972=ORIENTED_EDGE('',*,*,#185629,.F.); #245973=ORIENTED_EDGE('',*,*,#185632,.F.); #245974=ORIENTED_EDGE('',*,*,#185635,.F.); #245975=ORIENTED_EDGE('',*,*,#185638,.F.); #245976=ORIENTED_EDGE('',*,*,#185641,.F.); #245977=ORIENTED_EDGE('',*,*,#185644,.F.); #245978=ORIENTED_EDGE('',*,*,#185647,.F.); #245979=ORIENTED_EDGE('',*,*,#185650,.F.); #245980=ORIENTED_EDGE('',*,*,#185653,.F.); #245981=ORIENTED_EDGE('',*,*,#185656,.F.); #245982=ORIENTED_EDGE('',*,*,#185659,.F.); #245983=ORIENTED_EDGE('',*,*,#185662,.F.); #245984=ORIENTED_EDGE('',*,*,#185665,.F.); #245985=ORIENTED_EDGE('',*,*,#185668,.F.); #245986=ORIENTED_EDGE('',*,*,#185671,.F.); #245987=ORIENTED_EDGE('',*,*,#185674,.F.); #245988=ORIENTED_EDGE('',*,*,#185677,.F.); #245989=ORIENTED_EDGE('',*,*,#185680,.F.); #245990=ORIENTED_EDGE('',*,*,#185683,.F.); #245991=ORIENTED_EDGE('',*,*,#185686,.F.); #245992=ORIENTED_EDGE('',*,*,#185689,.F.); #245993=ORIENTED_EDGE('',*,*,#185692,.F.); #245994=ORIENTED_EDGE('',*,*,#185695,.F.); #245995=ORIENTED_EDGE('',*,*,#185698,.F.); #245996=ORIENTED_EDGE('',*,*,#185701,.F.); #245997=ORIENTED_EDGE('',*,*,#185704,.F.); #245998=ORIENTED_EDGE('',*,*,#185707,.F.); #245999=ORIENTED_EDGE('',*,*,#185710,.F.); #246000=ORIENTED_EDGE('',*,*,#185713,.F.); #246001=ORIENTED_EDGE('',*,*,#185716,.F.); #246002=ORIENTED_EDGE('',*,*,#185719,.F.); #246003=ORIENTED_EDGE('',*,*,#185722,.F.); #246004=ORIENTED_EDGE('',*,*,#185725,.F.); #246005=ORIENTED_EDGE('',*,*,#185728,.F.); #246006=ORIENTED_EDGE('',*,*,#185731,.F.); #246007=ORIENTED_EDGE('',*,*,#185734,.F.); #246008=ORIENTED_EDGE('',*,*,#185737,.F.); #246009=ORIENTED_EDGE('',*,*,#185740,.F.); #246010=ORIENTED_EDGE('',*,*,#185743,.F.); #246011=ORIENTED_EDGE('',*,*,#185746,.F.); #246012=ORIENTED_EDGE('',*,*,#185559,.T.); #246013=ORIENTED_EDGE('',*,*,#185562,.T.); #246014=ORIENTED_EDGE('',*,*,#185565,.T.); #246015=ORIENTED_EDGE('',*,*,#185568,.T.); #246016=ORIENTED_EDGE('',*,*,#185571,.T.); #246017=ORIENTED_EDGE('',*,*,#185574,.T.); #246018=ORIENTED_EDGE('',*,*,#185577,.T.); #246019=ORIENTED_EDGE('',*,*,#185580,.T.); #246020=ORIENTED_EDGE('',*,*,#185583,.T.); #246021=ORIENTED_EDGE('',*,*,#185586,.T.); #246022=ORIENTED_EDGE('',*,*,#185589,.T.); #246023=ORIENTED_EDGE('',*,*,#185592,.T.); #246024=ORIENTED_EDGE('',*,*,#185595,.T.); #246025=ORIENTED_EDGE('',*,*,#185598,.T.); #246026=ORIENTED_EDGE('',*,*,#185601,.T.); #246027=ORIENTED_EDGE('',*,*,#185751,.F.); #246028=ORIENTED_EDGE('',*,*,#185752,.T.); #246029=ORIENTED_EDGE('',*,*,#185753,.F.); #246030=ORIENTED_EDGE('',*,*,#185752,.F.); #246031=ORIENTED_EDGE('',*,*,#185754,.T.); #246032=ORIENTED_EDGE('',*,*,#185755,.T.); #246033=ORIENTED_EDGE('',*,*,#185756,.F.); #246034=ORIENTED_EDGE('',*,*,#185757,.F.); #246035=ORIENTED_EDGE('',*,*,#185758,.T.); #246036=ORIENTED_EDGE('',*,*,#185757,.T.); #246037=ORIENTED_EDGE('',*,*,#185759,.F.); #246038=ORIENTED_EDGE('',*,*,#185760,.F.); #246039=ORIENTED_EDGE('',*,*,#185761,.T.); #246040=ORIENTED_EDGE('',*,*,#185760,.T.); #246041=ORIENTED_EDGE('',*,*,#185762,.F.); #246042=ORIENTED_EDGE('',*,*,#185763,.F.); #246043=ORIENTED_EDGE('',*,*,#185764,.T.); #246044=ORIENTED_EDGE('',*,*,#185763,.T.); #246045=ORIENTED_EDGE('',*,*,#185765,.F.); #246046=ORIENTED_EDGE('',*,*,#185766,.F.); #246047=ORIENTED_EDGE('',*,*,#185767,.T.); #246048=ORIENTED_EDGE('',*,*,#185766,.T.); #246049=ORIENTED_EDGE('',*,*,#185768,.F.); #246050=ORIENTED_EDGE('',*,*,#185769,.F.); #246051=ORIENTED_EDGE('',*,*,#185770,.T.); #246052=ORIENTED_EDGE('',*,*,#185769,.T.); #246053=ORIENTED_EDGE('',*,*,#185771,.F.); #246054=ORIENTED_EDGE('',*,*,#185772,.F.); #246055=ORIENTED_EDGE('',*,*,#185773,.T.); #246056=ORIENTED_EDGE('',*,*,#185772,.T.); #246057=ORIENTED_EDGE('',*,*,#185774,.F.); #246058=ORIENTED_EDGE('',*,*,#185775,.F.); #246059=ORIENTED_EDGE('',*,*,#185776,.T.); #246060=ORIENTED_EDGE('',*,*,#185775,.T.); #246061=ORIENTED_EDGE('',*,*,#185777,.F.); #246062=ORIENTED_EDGE('',*,*,#185778,.F.); #246063=ORIENTED_EDGE('',*,*,#185779,.T.); #246064=ORIENTED_EDGE('',*,*,#185778,.T.); #246065=ORIENTED_EDGE('',*,*,#185780,.F.); #246066=ORIENTED_EDGE('',*,*,#185781,.F.); #246067=ORIENTED_EDGE('',*,*,#185782,.T.); #246068=ORIENTED_EDGE('',*,*,#185781,.T.); #246069=ORIENTED_EDGE('',*,*,#185783,.F.); #246070=ORIENTED_EDGE('',*,*,#185784,.F.); #246071=ORIENTED_EDGE('',*,*,#185785,.T.); #246072=ORIENTED_EDGE('',*,*,#185784,.T.); #246073=ORIENTED_EDGE('',*,*,#185786,.F.); #246074=ORIENTED_EDGE('',*,*,#185787,.F.); #246075=ORIENTED_EDGE('',*,*,#185788,.T.); #246076=ORIENTED_EDGE('',*,*,#185787,.T.); #246077=ORIENTED_EDGE('',*,*,#185789,.F.); #246078=ORIENTED_EDGE('',*,*,#185790,.F.); #246079=ORIENTED_EDGE('',*,*,#185791,.T.); #246080=ORIENTED_EDGE('',*,*,#185790,.T.); #246081=ORIENTED_EDGE('',*,*,#185792,.F.); #246082=ORIENTED_EDGE('',*,*,#185793,.F.); #246083=ORIENTED_EDGE('',*,*,#185794,.T.); #246084=ORIENTED_EDGE('',*,*,#185793,.T.); #246085=ORIENTED_EDGE('',*,*,#185795,.F.); #246086=ORIENTED_EDGE('',*,*,#185796,.F.); #246087=ORIENTED_EDGE('',*,*,#185797,.T.); #246088=ORIENTED_EDGE('',*,*,#185796,.T.); #246089=ORIENTED_EDGE('',*,*,#185798,.F.); #246090=ORIENTED_EDGE('',*,*,#185799,.F.); #246091=ORIENTED_EDGE('',*,*,#185800,.T.); #246092=ORIENTED_EDGE('',*,*,#185799,.T.); #246093=ORIENTED_EDGE('',*,*,#185801,.F.); #246094=ORIENTED_EDGE('',*,*,#185802,.F.); #246095=ORIENTED_EDGE('',*,*,#185803,.T.); #246096=ORIENTED_EDGE('',*,*,#185802,.T.); #246097=ORIENTED_EDGE('',*,*,#185804,.F.); #246098=ORIENTED_EDGE('',*,*,#185805,.F.); #246099=ORIENTED_EDGE('',*,*,#185806,.T.); #246100=ORIENTED_EDGE('',*,*,#185805,.T.); #246101=ORIENTED_EDGE('',*,*,#185807,.F.); #246102=ORIENTED_EDGE('',*,*,#185808,.F.); #246103=ORIENTED_EDGE('',*,*,#185809,.T.); #246104=ORIENTED_EDGE('',*,*,#185808,.T.); #246105=ORIENTED_EDGE('',*,*,#185810,.F.); #246106=ORIENTED_EDGE('',*,*,#185811,.F.); #246107=ORIENTED_EDGE('',*,*,#185812,.T.); #246108=ORIENTED_EDGE('',*,*,#185811,.T.); #246109=ORIENTED_EDGE('',*,*,#185813,.F.); #246110=ORIENTED_EDGE('',*,*,#185814,.F.); #246111=ORIENTED_EDGE('',*,*,#185815,.T.); #246112=ORIENTED_EDGE('',*,*,#185814,.T.); #246113=ORIENTED_EDGE('',*,*,#185816,.F.); #246114=ORIENTED_EDGE('',*,*,#185817,.F.); #246115=ORIENTED_EDGE('',*,*,#185818,.T.); #246116=ORIENTED_EDGE('',*,*,#185817,.T.); #246117=ORIENTED_EDGE('',*,*,#185819,.F.); #246118=ORIENTED_EDGE('',*,*,#185820,.F.); #246119=ORIENTED_EDGE('',*,*,#185821,.T.); #246120=ORIENTED_EDGE('',*,*,#185820,.T.); #246121=ORIENTED_EDGE('',*,*,#185822,.F.); #246122=ORIENTED_EDGE('',*,*,#185823,.F.); #246123=ORIENTED_EDGE('',*,*,#185824,.T.); #246124=ORIENTED_EDGE('',*,*,#185823,.T.); #246125=ORIENTED_EDGE('',*,*,#185825,.F.); #246126=ORIENTED_EDGE('',*,*,#185826,.F.); #246127=ORIENTED_EDGE('',*,*,#185827,.T.); #246128=ORIENTED_EDGE('',*,*,#185826,.T.); #246129=ORIENTED_EDGE('',*,*,#185828,.F.); #246130=ORIENTED_EDGE('',*,*,#185829,.F.); #246131=ORIENTED_EDGE('',*,*,#185830,.T.); #246132=ORIENTED_EDGE('',*,*,#185829,.T.); #246133=ORIENTED_EDGE('',*,*,#185831,.F.); #246134=ORIENTED_EDGE('',*,*,#185832,.F.); #246135=ORIENTED_EDGE('',*,*,#185833,.T.); #246136=ORIENTED_EDGE('',*,*,#185832,.T.); #246137=ORIENTED_EDGE('',*,*,#185834,.F.); #246138=ORIENTED_EDGE('',*,*,#185835,.F.); #246139=ORIENTED_EDGE('',*,*,#185836,.T.); #246140=ORIENTED_EDGE('',*,*,#185835,.T.); #246141=ORIENTED_EDGE('',*,*,#185837,.F.); #246142=ORIENTED_EDGE('',*,*,#185838,.F.); #246143=ORIENTED_EDGE('',*,*,#185839,.T.); #246144=ORIENTED_EDGE('',*,*,#185838,.T.); #246145=ORIENTED_EDGE('',*,*,#185840,.F.); #246146=ORIENTED_EDGE('',*,*,#185841,.F.); #246147=ORIENTED_EDGE('',*,*,#185842,.T.); #246148=ORIENTED_EDGE('',*,*,#185841,.T.); #246149=ORIENTED_EDGE('',*,*,#185843,.F.); #246150=ORIENTED_EDGE('',*,*,#185844,.F.); #246151=ORIENTED_EDGE('',*,*,#185845,.T.); #246152=ORIENTED_EDGE('',*,*,#185844,.T.); #246153=ORIENTED_EDGE('',*,*,#185846,.F.); #246154=ORIENTED_EDGE('',*,*,#185847,.F.); #246155=ORIENTED_EDGE('',*,*,#185848,.T.); #246156=ORIENTED_EDGE('',*,*,#185847,.T.); #246157=ORIENTED_EDGE('',*,*,#185849,.F.); #246158=ORIENTED_EDGE('',*,*,#185850,.F.); #246159=ORIENTED_EDGE('',*,*,#185851,.T.); #246160=ORIENTED_EDGE('',*,*,#185850,.T.); #246161=ORIENTED_EDGE('',*,*,#185852,.F.); #246162=ORIENTED_EDGE('',*,*,#185853,.F.); #246163=ORIENTED_EDGE('',*,*,#185854,.T.); #246164=ORIENTED_EDGE('',*,*,#185853,.T.); #246165=ORIENTED_EDGE('',*,*,#185855,.F.); #246166=ORIENTED_EDGE('',*,*,#185856,.F.); #246167=ORIENTED_EDGE('',*,*,#185857,.T.); #246168=ORIENTED_EDGE('',*,*,#185856,.T.); #246169=ORIENTED_EDGE('',*,*,#185858,.F.); #246170=ORIENTED_EDGE('',*,*,#185859,.F.); #246171=ORIENTED_EDGE('',*,*,#185860,.T.); #246172=ORIENTED_EDGE('',*,*,#185859,.T.); #246173=ORIENTED_EDGE('',*,*,#185861,.F.); #246174=ORIENTED_EDGE('',*,*,#185862,.F.); #246175=ORIENTED_EDGE('',*,*,#185863,.T.); #246176=ORIENTED_EDGE('',*,*,#185862,.T.); #246177=ORIENTED_EDGE('',*,*,#185864,.F.); #246178=ORIENTED_EDGE('',*,*,#185865,.F.); #246179=ORIENTED_EDGE('',*,*,#185866,.T.); #246180=ORIENTED_EDGE('',*,*,#185865,.T.); #246181=ORIENTED_EDGE('',*,*,#185867,.F.); #246182=ORIENTED_EDGE('',*,*,#185868,.F.); #246183=ORIENTED_EDGE('',*,*,#185869,.T.); #246184=ORIENTED_EDGE('',*,*,#185868,.T.); #246185=ORIENTED_EDGE('',*,*,#185870,.F.); #246186=ORIENTED_EDGE('',*,*,#185871,.F.); #246187=ORIENTED_EDGE('',*,*,#185872,.T.); #246188=ORIENTED_EDGE('',*,*,#185871,.T.); #246189=ORIENTED_EDGE('',*,*,#185873,.F.); #246190=ORIENTED_EDGE('',*,*,#185874,.F.); #246191=ORIENTED_EDGE('',*,*,#185875,.T.); #246192=ORIENTED_EDGE('',*,*,#185874,.T.); #246193=ORIENTED_EDGE('',*,*,#185876,.F.); #246194=ORIENTED_EDGE('',*,*,#185877,.F.); #246195=ORIENTED_EDGE('',*,*,#185878,.T.); #246196=ORIENTED_EDGE('',*,*,#185877,.T.); #246197=ORIENTED_EDGE('',*,*,#185879,.F.); #246198=ORIENTED_EDGE('',*,*,#185755,.F.); #246199=ORIENTED_EDGE('',*,*,#185879,.T.); #246200=ORIENTED_EDGE('',*,*,#185876,.T.); #246201=ORIENTED_EDGE('',*,*,#185873,.T.); #246202=ORIENTED_EDGE('',*,*,#185870,.T.); #246203=ORIENTED_EDGE('',*,*,#185867,.T.); #246204=ORIENTED_EDGE('',*,*,#185864,.T.); #246205=ORIENTED_EDGE('',*,*,#185861,.T.); #246206=ORIENTED_EDGE('',*,*,#185858,.T.); #246207=ORIENTED_EDGE('',*,*,#185855,.T.); #246208=ORIENTED_EDGE('',*,*,#185852,.T.); #246209=ORIENTED_EDGE('',*,*,#185849,.T.); #246210=ORIENTED_EDGE('',*,*,#185846,.T.); #246211=ORIENTED_EDGE('',*,*,#185843,.T.); #246212=ORIENTED_EDGE('',*,*,#185840,.T.); #246213=ORIENTED_EDGE('',*,*,#185837,.T.); #246214=ORIENTED_EDGE('',*,*,#185834,.T.); #246215=ORIENTED_EDGE('',*,*,#185831,.T.); #246216=ORIENTED_EDGE('',*,*,#185828,.T.); #246217=ORIENTED_EDGE('',*,*,#185825,.T.); #246218=ORIENTED_EDGE('',*,*,#185822,.T.); #246219=ORIENTED_EDGE('',*,*,#185819,.T.); #246220=ORIENTED_EDGE('',*,*,#185816,.T.); #246221=ORIENTED_EDGE('',*,*,#185813,.T.); #246222=ORIENTED_EDGE('',*,*,#185810,.T.); #246223=ORIENTED_EDGE('',*,*,#185807,.T.); #246224=ORIENTED_EDGE('',*,*,#185804,.T.); #246225=ORIENTED_EDGE('',*,*,#185801,.T.); #246226=ORIENTED_EDGE('',*,*,#185798,.T.); #246227=ORIENTED_EDGE('',*,*,#185795,.T.); #246228=ORIENTED_EDGE('',*,*,#185792,.T.); #246229=ORIENTED_EDGE('',*,*,#185789,.T.); #246230=ORIENTED_EDGE('',*,*,#185786,.T.); #246231=ORIENTED_EDGE('',*,*,#185783,.T.); #246232=ORIENTED_EDGE('',*,*,#185780,.T.); #246233=ORIENTED_EDGE('',*,*,#185777,.T.); #246234=ORIENTED_EDGE('',*,*,#185774,.T.); #246235=ORIENTED_EDGE('',*,*,#185771,.T.); #246236=ORIENTED_EDGE('',*,*,#185768,.T.); #246237=ORIENTED_EDGE('',*,*,#185765,.T.); #246238=ORIENTED_EDGE('',*,*,#185762,.T.); #246239=ORIENTED_EDGE('',*,*,#185759,.T.); #246240=ORIENTED_EDGE('',*,*,#185756,.T.); #246241=ORIENTED_EDGE('',*,*,#185753,.T.); #246242=ORIENTED_EDGE('',*,*,#185878,.F.); #246243=ORIENTED_EDGE('',*,*,#185754,.F.); #246244=ORIENTED_EDGE('',*,*,#185758,.F.); #246245=ORIENTED_EDGE('',*,*,#185761,.F.); #246246=ORIENTED_EDGE('',*,*,#185764,.F.); #246247=ORIENTED_EDGE('',*,*,#185767,.F.); #246248=ORIENTED_EDGE('',*,*,#185770,.F.); #246249=ORIENTED_EDGE('',*,*,#185773,.F.); #246250=ORIENTED_EDGE('',*,*,#185776,.F.); #246251=ORIENTED_EDGE('',*,*,#185779,.F.); #246252=ORIENTED_EDGE('',*,*,#185782,.F.); #246253=ORIENTED_EDGE('',*,*,#185785,.F.); #246254=ORIENTED_EDGE('',*,*,#185788,.F.); #246255=ORIENTED_EDGE('',*,*,#185791,.F.); #246256=ORIENTED_EDGE('',*,*,#185794,.F.); #246257=ORIENTED_EDGE('',*,*,#185797,.F.); #246258=ORIENTED_EDGE('',*,*,#185800,.F.); #246259=ORIENTED_EDGE('',*,*,#185803,.F.); #246260=ORIENTED_EDGE('',*,*,#185806,.F.); #246261=ORIENTED_EDGE('',*,*,#185809,.F.); #246262=ORIENTED_EDGE('',*,*,#185812,.F.); #246263=ORIENTED_EDGE('',*,*,#185815,.F.); #246264=ORIENTED_EDGE('',*,*,#185818,.F.); #246265=ORIENTED_EDGE('',*,*,#185821,.F.); #246266=ORIENTED_EDGE('',*,*,#185824,.F.); #246267=ORIENTED_EDGE('',*,*,#185827,.F.); #246268=ORIENTED_EDGE('',*,*,#185830,.F.); #246269=ORIENTED_EDGE('',*,*,#185833,.F.); #246270=ORIENTED_EDGE('',*,*,#185836,.F.); #246271=ORIENTED_EDGE('',*,*,#185839,.F.); #246272=ORIENTED_EDGE('',*,*,#185842,.F.); #246273=ORIENTED_EDGE('',*,*,#185845,.F.); #246274=ORIENTED_EDGE('',*,*,#185848,.F.); #246275=ORIENTED_EDGE('',*,*,#185851,.F.); #246276=ORIENTED_EDGE('',*,*,#185854,.F.); #246277=ORIENTED_EDGE('',*,*,#185857,.F.); #246278=ORIENTED_EDGE('',*,*,#185860,.F.); #246279=ORIENTED_EDGE('',*,*,#185863,.F.); #246280=ORIENTED_EDGE('',*,*,#185866,.F.); #246281=ORIENTED_EDGE('',*,*,#185869,.F.); #246282=ORIENTED_EDGE('',*,*,#185872,.F.); #246283=ORIENTED_EDGE('',*,*,#185875,.F.); #246284=ORIENTED_EDGE('',*,*,#185751,.T.); #246285=ORIENTED_EDGE('',*,*,#185880,.F.); #246286=ORIENTED_EDGE('',*,*,#185881,.T.); #246287=ORIENTED_EDGE('',*,*,#185882,.F.); #246288=ORIENTED_EDGE('',*,*,#185881,.F.); #246289=ORIENTED_EDGE('',*,*,#185883,.F.); #246290=ORIENTED_EDGE('',*,*,#185884,.T.); #246291=ORIENTED_EDGE('',*,*,#185885,.F.); #246292=ORIENTED_EDGE('',*,*,#185884,.F.); #246293=ORIENTED_EDGE('',*,*,#185886,.F.); #246294=ORIENTED_EDGE('',*,*,#185887,.T.); #246295=ORIENTED_EDGE('',*,*,#185888,.F.); #246296=ORIENTED_EDGE('',*,*,#185887,.F.); #246297=ORIENTED_EDGE('',*,*,#185889,.F.); #246298=ORIENTED_EDGE('',*,*,#185890,.T.); #246299=ORIENTED_EDGE('',*,*,#185891,.F.); #246300=ORIENTED_EDGE('',*,*,#185890,.F.); #246301=ORIENTED_EDGE('',*,*,#185892,.F.); #246302=ORIENTED_EDGE('',*,*,#185893,.T.); #246303=ORIENTED_EDGE('',*,*,#185894,.F.); #246304=ORIENTED_EDGE('',*,*,#185893,.F.); #246305=ORIENTED_EDGE('',*,*,#185895,.F.); #246306=ORIENTED_EDGE('',*,*,#185896,.T.); #246307=ORIENTED_EDGE('',*,*,#185897,.F.); #246308=ORIENTED_EDGE('',*,*,#185896,.F.); #246309=ORIENTED_EDGE('',*,*,#185898,.F.); #246310=ORIENTED_EDGE('',*,*,#185899,.T.); #246311=ORIENTED_EDGE('',*,*,#185900,.F.); #246312=ORIENTED_EDGE('',*,*,#185899,.F.); #246313=ORIENTED_EDGE('',*,*,#185901,.F.); #246314=ORIENTED_EDGE('',*,*,#185902,.T.); #246315=ORIENTED_EDGE('',*,*,#185903,.F.); #246316=ORIENTED_EDGE('',*,*,#185902,.F.); #246317=ORIENTED_EDGE('',*,*,#185904,.F.); #246318=ORIENTED_EDGE('',*,*,#185905,.T.); #246319=ORIENTED_EDGE('',*,*,#185906,.F.); #246320=ORIENTED_EDGE('',*,*,#185905,.F.); #246321=ORIENTED_EDGE('',*,*,#185907,.F.); #246322=ORIENTED_EDGE('',*,*,#185908,.T.); #246323=ORIENTED_EDGE('',*,*,#185909,.F.); #246324=ORIENTED_EDGE('',*,*,#185908,.F.); #246325=ORIENTED_EDGE('',*,*,#185910,.F.); #246326=ORIENTED_EDGE('',*,*,#185911,.T.); #246327=ORIENTED_EDGE('',*,*,#185912,.F.); #246328=ORIENTED_EDGE('',*,*,#185911,.F.); #246329=ORIENTED_EDGE('',*,*,#185913,.F.); #246330=ORIENTED_EDGE('',*,*,#185914,.T.); #246331=ORIENTED_EDGE('',*,*,#185915,.F.); #246332=ORIENTED_EDGE('',*,*,#185914,.F.); #246333=ORIENTED_EDGE('',*,*,#185916,.F.); #246334=ORIENTED_EDGE('',*,*,#185917,.T.); #246335=ORIENTED_EDGE('',*,*,#185918,.F.); #246336=ORIENTED_EDGE('',*,*,#185917,.F.); #246337=ORIENTED_EDGE('',*,*,#185919,.F.); #246338=ORIENTED_EDGE('',*,*,#185920,.T.); #246339=ORIENTED_EDGE('',*,*,#185921,.F.); #246340=ORIENTED_EDGE('',*,*,#185920,.F.); #246341=ORIENTED_EDGE('',*,*,#185922,.F.); #246342=ORIENTED_EDGE('',*,*,#185923,.T.); #246343=ORIENTED_EDGE('',*,*,#185924,.F.); #246344=ORIENTED_EDGE('',*,*,#185923,.F.); #246345=ORIENTED_EDGE('',*,*,#185925,.F.); #246346=ORIENTED_EDGE('',*,*,#185926,.T.); #246347=ORIENTED_EDGE('',*,*,#185927,.F.); #246348=ORIENTED_EDGE('',*,*,#185926,.F.); #246349=ORIENTED_EDGE('',*,*,#185928,.F.); #246350=ORIENTED_EDGE('',*,*,#185929,.T.); #246351=ORIENTED_EDGE('',*,*,#185930,.F.); #246352=ORIENTED_EDGE('',*,*,#185929,.F.); #246353=ORIENTED_EDGE('',*,*,#185931,.F.); #246354=ORIENTED_EDGE('',*,*,#185932,.T.); #246355=ORIENTED_EDGE('',*,*,#185933,.F.); #246356=ORIENTED_EDGE('',*,*,#185932,.F.); #246357=ORIENTED_EDGE('',*,*,#185934,.F.); #246358=ORIENTED_EDGE('',*,*,#185935,.T.); #246359=ORIENTED_EDGE('',*,*,#185936,.F.); #246360=ORIENTED_EDGE('',*,*,#185935,.F.); #246361=ORIENTED_EDGE('',*,*,#185937,.F.); #246362=ORIENTED_EDGE('',*,*,#185938,.T.); #246363=ORIENTED_EDGE('',*,*,#185939,.F.); #246364=ORIENTED_EDGE('',*,*,#185938,.F.); #246365=ORIENTED_EDGE('',*,*,#185940,.F.); #246366=ORIENTED_EDGE('',*,*,#185941,.T.); #246367=ORIENTED_EDGE('',*,*,#185942,.F.); #246368=ORIENTED_EDGE('',*,*,#185941,.F.); #246369=ORIENTED_EDGE('',*,*,#185943,.F.); #246370=ORIENTED_EDGE('',*,*,#185944,.T.); #246371=ORIENTED_EDGE('',*,*,#185945,.F.); #246372=ORIENTED_EDGE('',*,*,#185944,.F.); #246373=ORIENTED_EDGE('',*,*,#185946,.F.); #246374=ORIENTED_EDGE('',*,*,#185947,.T.); #246375=ORIENTED_EDGE('',*,*,#185948,.F.); #246376=ORIENTED_EDGE('',*,*,#185947,.F.); #246377=ORIENTED_EDGE('',*,*,#185949,.F.); #246378=ORIENTED_EDGE('',*,*,#185950,.T.); #246379=ORIENTED_EDGE('',*,*,#185951,.F.); #246380=ORIENTED_EDGE('',*,*,#185950,.F.); #246381=ORIENTED_EDGE('',*,*,#185952,.F.); #246382=ORIENTED_EDGE('',*,*,#185953,.T.); #246383=ORIENTED_EDGE('',*,*,#185954,.F.); #246384=ORIENTED_EDGE('',*,*,#185953,.F.); #246385=ORIENTED_EDGE('',*,*,#185955,.F.); #246386=ORIENTED_EDGE('',*,*,#185956,.T.); #246387=ORIENTED_EDGE('',*,*,#185957,.F.); #246388=ORIENTED_EDGE('',*,*,#185956,.F.); #246389=ORIENTED_EDGE('',*,*,#185958,.F.); #246390=ORIENTED_EDGE('',*,*,#185959,.T.); #246391=ORIENTED_EDGE('',*,*,#185960,.F.); #246392=ORIENTED_EDGE('',*,*,#185959,.F.); #246393=ORIENTED_EDGE('',*,*,#185961,.F.); #246394=ORIENTED_EDGE('',*,*,#185962,.T.); #246395=ORIENTED_EDGE('',*,*,#185963,.F.); #246396=ORIENTED_EDGE('',*,*,#185962,.F.); #246397=ORIENTED_EDGE('',*,*,#185964,.F.); #246398=ORIENTED_EDGE('',*,*,#185965,.T.); #246399=ORIENTED_EDGE('',*,*,#185966,.F.); #246400=ORIENTED_EDGE('',*,*,#185965,.F.); #246401=ORIENTED_EDGE('',*,*,#185967,.F.); #246402=ORIENTED_EDGE('',*,*,#185968,.T.); #246403=ORIENTED_EDGE('',*,*,#185969,.F.); #246404=ORIENTED_EDGE('',*,*,#185968,.F.); #246405=ORIENTED_EDGE('',*,*,#185970,.F.); #246406=ORIENTED_EDGE('',*,*,#185971,.T.); #246407=ORIENTED_EDGE('',*,*,#185972,.F.); #246408=ORIENTED_EDGE('',*,*,#185971,.F.); #246409=ORIENTED_EDGE('',*,*,#185973,.T.); #246410=ORIENTED_EDGE('',*,*,#185974,.T.); #246411=ORIENTED_EDGE('',*,*,#185975,.F.); #246412=ORIENTED_EDGE('',*,*,#185976,.F.); #246413=ORIENTED_EDGE('',*,*,#185977,.T.); #246414=ORIENTED_EDGE('',*,*,#185976,.T.); #246415=ORIENTED_EDGE('',*,*,#185978,.F.); #246416=ORIENTED_EDGE('',*,*,#185979,.F.); #246417=ORIENTED_EDGE('',*,*,#185980,.T.); #246418=ORIENTED_EDGE('',*,*,#185979,.T.); #246419=ORIENTED_EDGE('',*,*,#185981,.F.); #246420=ORIENTED_EDGE('',*,*,#185982,.F.); #246421=ORIENTED_EDGE('',*,*,#185983,.T.); #246422=ORIENTED_EDGE('',*,*,#185982,.T.); #246423=ORIENTED_EDGE('',*,*,#185984,.F.); #246424=ORIENTED_EDGE('',*,*,#185985,.F.); #246425=ORIENTED_EDGE('',*,*,#185986,.T.); #246426=ORIENTED_EDGE('',*,*,#185985,.T.); #246427=ORIENTED_EDGE('',*,*,#185987,.F.); #246428=ORIENTED_EDGE('',*,*,#185988,.F.); #246429=ORIENTED_EDGE('',*,*,#185989,.T.); #246430=ORIENTED_EDGE('',*,*,#185988,.T.); #246431=ORIENTED_EDGE('',*,*,#185990,.F.); #246432=ORIENTED_EDGE('',*,*,#185991,.F.); #246433=ORIENTED_EDGE('',*,*,#185992,.T.); #246434=ORIENTED_EDGE('',*,*,#185991,.T.); #246435=ORIENTED_EDGE('',*,*,#185993,.F.); #246436=ORIENTED_EDGE('',*,*,#185994,.F.); #246437=ORIENTED_EDGE('',*,*,#185995,.T.); #246438=ORIENTED_EDGE('',*,*,#185994,.T.); #246439=ORIENTED_EDGE('',*,*,#185996,.F.); #246440=ORIENTED_EDGE('',*,*,#185997,.F.); #246441=ORIENTED_EDGE('',*,*,#185998,.T.); #246442=ORIENTED_EDGE('',*,*,#185997,.T.); #246443=ORIENTED_EDGE('',*,*,#185999,.F.); #246444=ORIENTED_EDGE('',*,*,#186000,.F.); #246445=ORIENTED_EDGE('',*,*,#186001,.T.); #246446=ORIENTED_EDGE('',*,*,#186000,.T.); #246447=ORIENTED_EDGE('',*,*,#186002,.F.); #246448=ORIENTED_EDGE('',*,*,#186003,.F.); #246449=ORIENTED_EDGE('',*,*,#186004,.T.); #246450=ORIENTED_EDGE('',*,*,#186003,.T.); #246451=ORIENTED_EDGE('',*,*,#186005,.F.); #246452=ORIENTED_EDGE('',*,*,#186006,.F.); #246453=ORIENTED_EDGE('',*,*,#186007,.T.); #246454=ORIENTED_EDGE('',*,*,#186006,.T.); #246455=ORIENTED_EDGE('',*,*,#186008,.F.); #246456=ORIENTED_EDGE('',*,*,#186009,.F.); #246457=ORIENTED_EDGE('',*,*,#186010,.T.); #246458=ORIENTED_EDGE('',*,*,#186009,.T.); #246459=ORIENTED_EDGE('',*,*,#186011,.F.); #246460=ORIENTED_EDGE('',*,*,#186012,.F.); #246461=ORIENTED_EDGE('',*,*,#186013,.T.); #246462=ORIENTED_EDGE('',*,*,#186012,.T.); #246463=ORIENTED_EDGE('',*,*,#186014,.F.); #246464=ORIENTED_EDGE('',*,*,#186015,.F.); #246465=ORIENTED_EDGE('',*,*,#186016,.T.); #246466=ORIENTED_EDGE('',*,*,#186015,.T.); #246467=ORIENTED_EDGE('',*,*,#186017,.F.); #246468=ORIENTED_EDGE('',*,*,#186018,.F.); #246469=ORIENTED_EDGE('',*,*,#186019,.T.); #246470=ORIENTED_EDGE('',*,*,#186018,.T.); #246471=ORIENTED_EDGE('',*,*,#186020,.F.); #246472=ORIENTED_EDGE('',*,*,#186021,.F.); #246473=ORIENTED_EDGE('',*,*,#186022,.T.); #246474=ORIENTED_EDGE('',*,*,#186021,.T.); #246475=ORIENTED_EDGE('',*,*,#186023,.F.); #246476=ORIENTED_EDGE('',*,*,#186024,.F.); #246477=ORIENTED_EDGE('',*,*,#186025,.T.); #246478=ORIENTED_EDGE('',*,*,#186024,.T.); #246479=ORIENTED_EDGE('',*,*,#186026,.F.); #246480=ORIENTED_EDGE('',*,*,#186027,.F.); #246481=ORIENTED_EDGE('',*,*,#186028,.T.); #246482=ORIENTED_EDGE('',*,*,#186027,.T.); #246483=ORIENTED_EDGE('',*,*,#186029,.F.); #246484=ORIENTED_EDGE('',*,*,#186030,.F.); #246485=ORIENTED_EDGE('',*,*,#186031,.T.); #246486=ORIENTED_EDGE('',*,*,#186030,.T.); #246487=ORIENTED_EDGE('',*,*,#186032,.F.); #246488=ORIENTED_EDGE('',*,*,#186033,.F.); #246489=ORIENTED_EDGE('',*,*,#186034,.T.); #246490=ORIENTED_EDGE('',*,*,#186033,.T.); #246491=ORIENTED_EDGE('',*,*,#186035,.F.); #246492=ORIENTED_EDGE('',*,*,#186036,.F.); #246493=ORIENTED_EDGE('',*,*,#186037,.T.); #246494=ORIENTED_EDGE('',*,*,#186036,.T.); #246495=ORIENTED_EDGE('',*,*,#186038,.F.); #246496=ORIENTED_EDGE('',*,*,#186039,.F.); #246497=ORIENTED_EDGE('',*,*,#186040,.T.); #246498=ORIENTED_EDGE('',*,*,#186039,.T.); #246499=ORIENTED_EDGE('',*,*,#186041,.F.); #246500=ORIENTED_EDGE('',*,*,#186042,.F.); #246501=ORIENTED_EDGE('',*,*,#186043,.T.); #246502=ORIENTED_EDGE('',*,*,#186042,.T.); #246503=ORIENTED_EDGE('',*,*,#186044,.F.); #246504=ORIENTED_EDGE('',*,*,#186045,.F.); #246505=ORIENTED_EDGE('',*,*,#186046,.T.); #246506=ORIENTED_EDGE('',*,*,#186045,.T.); #246507=ORIENTED_EDGE('',*,*,#186047,.F.); #246508=ORIENTED_EDGE('',*,*,#186048,.F.); #246509=ORIENTED_EDGE('',*,*,#186049,.T.); #246510=ORIENTED_EDGE('',*,*,#186048,.T.); #246511=ORIENTED_EDGE('',*,*,#186050,.F.); #246512=ORIENTED_EDGE('',*,*,#186051,.F.); #246513=ORIENTED_EDGE('',*,*,#186052,.T.); #246514=ORIENTED_EDGE('',*,*,#186051,.T.); #246515=ORIENTED_EDGE('',*,*,#186053,.F.); #246516=ORIENTED_EDGE('',*,*,#186054,.F.); #246517=ORIENTED_EDGE('',*,*,#186055,.T.); #246518=ORIENTED_EDGE('',*,*,#186054,.T.); #246519=ORIENTED_EDGE('',*,*,#186056,.F.); #246520=ORIENTED_EDGE('',*,*,#186057,.F.); #246521=ORIENTED_EDGE('',*,*,#186058,.T.); #246522=ORIENTED_EDGE('',*,*,#186057,.T.); #246523=ORIENTED_EDGE('',*,*,#186059,.F.); #246524=ORIENTED_EDGE('',*,*,#186060,.F.); #246525=ORIENTED_EDGE('',*,*,#186061,.T.); #246526=ORIENTED_EDGE('',*,*,#186060,.T.); #246527=ORIENTED_EDGE('',*,*,#186062,.F.); #246528=ORIENTED_EDGE('',*,*,#186063,.F.); #246529=ORIENTED_EDGE('',*,*,#186064,.T.); #246530=ORIENTED_EDGE('',*,*,#186063,.T.); #246531=ORIENTED_EDGE('',*,*,#186065,.F.); #246532=ORIENTED_EDGE('',*,*,#186066,.F.); #246533=ORIENTED_EDGE('',*,*,#186067,.T.); #246534=ORIENTED_EDGE('',*,*,#186066,.T.); #246535=ORIENTED_EDGE('',*,*,#186068,.F.); #246536=ORIENTED_EDGE('',*,*,#186069,.F.); #246537=ORIENTED_EDGE('',*,*,#186070,.T.); #246538=ORIENTED_EDGE('',*,*,#186069,.T.); #246539=ORIENTED_EDGE('',*,*,#186071,.F.); #246540=ORIENTED_EDGE('',*,*,#186072,.F.); #246541=ORIENTED_EDGE('',*,*,#186073,.T.); #246542=ORIENTED_EDGE('',*,*,#186072,.T.); #246543=ORIENTED_EDGE('',*,*,#186074,.F.); #246544=ORIENTED_EDGE('',*,*,#186075,.F.); #246545=ORIENTED_EDGE('',*,*,#186076,.T.); #246546=ORIENTED_EDGE('',*,*,#186075,.T.); #246547=ORIENTED_EDGE('',*,*,#186077,.F.); #246548=ORIENTED_EDGE('',*,*,#186078,.F.); #246549=ORIENTED_EDGE('',*,*,#186079,.T.); #246550=ORIENTED_EDGE('',*,*,#186078,.T.); #246551=ORIENTED_EDGE('',*,*,#186080,.F.); #246552=ORIENTED_EDGE('',*,*,#186081,.F.); #246553=ORIENTED_EDGE('',*,*,#186082,.T.); #246554=ORIENTED_EDGE('',*,*,#186081,.T.); #246555=ORIENTED_EDGE('',*,*,#186083,.F.); #246556=ORIENTED_EDGE('',*,*,#186084,.F.); #246557=ORIENTED_EDGE('',*,*,#186085,.T.); #246558=ORIENTED_EDGE('',*,*,#186084,.T.); #246559=ORIENTED_EDGE('',*,*,#186086,.F.); #246560=ORIENTED_EDGE('',*,*,#186087,.F.); #246561=ORIENTED_EDGE('',*,*,#186088,.T.); #246562=ORIENTED_EDGE('',*,*,#186087,.T.); #246563=ORIENTED_EDGE('',*,*,#186089,.F.); #246564=ORIENTED_EDGE('',*,*,#186090,.F.); #246565=ORIENTED_EDGE('',*,*,#186091,.T.); #246566=ORIENTED_EDGE('',*,*,#186090,.T.); #246567=ORIENTED_EDGE('',*,*,#186092,.F.); #246568=ORIENTED_EDGE('',*,*,#186093,.F.); #246569=ORIENTED_EDGE('',*,*,#186094,.T.); #246570=ORIENTED_EDGE('',*,*,#186093,.T.); #246571=ORIENTED_EDGE('',*,*,#186095,.F.); #246572=ORIENTED_EDGE('',*,*,#186096,.F.); #246573=ORIENTED_EDGE('',*,*,#186097,.T.); #246574=ORIENTED_EDGE('',*,*,#186096,.T.); #246575=ORIENTED_EDGE('',*,*,#186098,.F.); #246576=ORIENTED_EDGE('',*,*,#186099,.F.); #246577=ORIENTED_EDGE('',*,*,#186100,.T.); #246578=ORIENTED_EDGE('',*,*,#186099,.T.); #246579=ORIENTED_EDGE('',*,*,#186101,.F.); #246580=ORIENTED_EDGE('',*,*,#186102,.F.); #246581=ORIENTED_EDGE('',*,*,#186103,.T.); #246582=ORIENTED_EDGE('',*,*,#186102,.T.); #246583=ORIENTED_EDGE('',*,*,#186104,.F.); #246584=ORIENTED_EDGE('',*,*,#186105,.F.); #246585=ORIENTED_EDGE('',*,*,#186106,.T.); #246586=ORIENTED_EDGE('',*,*,#186105,.T.); #246587=ORIENTED_EDGE('',*,*,#186107,.F.); #246588=ORIENTED_EDGE('',*,*,#186108,.F.); #246589=ORIENTED_EDGE('',*,*,#186109,.T.); #246590=ORIENTED_EDGE('',*,*,#186108,.T.); #246591=ORIENTED_EDGE('',*,*,#186110,.F.); #246592=ORIENTED_EDGE('',*,*,#186111,.F.); #246593=ORIENTED_EDGE('',*,*,#186112,.T.); #246594=ORIENTED_EDGE('',*,*,#186111,.T.); #246595=ORIENTED_EDGE('',*,*,#186113,.F.); #246596=ORIENTED_EDGE('',*,*,#186114,.F.); #246597=ORIENTED_EDGE('',*,*,#186115,.T.); #246598=ORIENTED_EDGE('',*,*,#186114,.T.); #246599=ORIENTED_EDGE('',*,*,#186116,.F.); #246600=ORIENTED_EDGE('',*,*,#186117,.F.); #246601=ORIENTED_EDGE('',*,*,#186118,.T.); #246602=ORIENTED_EDGE('',*,*,#186117,.T.); #246603=ORIENTED_EDGE('',*,*,#186119,.F.); #246604=ORIENTED_EDGE('',*,*,#186120,.F.); #246605=ORIENTED_EDGE('',*,*,#186121,.T.); #246606=ORIENTED_EDGE('',*,*,#186120,.T.); #246607=ORIENTED_EDGE('',*,*,#186122,.F.); #246608=ORIENTED_EDGE('',*,*,#186123,.F.); #246609=ORIENTED_EDGE('',*,*,#186124,.T.); #246610=ORIENTED_EDGE('',*,*,#186123,.T.); #246611=ORIENTED_EDGE('',*,*,#186125,.F.); #246612=ORIENTED_EDGE('',*,*,#186126,.F.); #246613=ORIENTED_EDGE('',*,*,#186127,.T.); #246614=ORIENTED_EDGE('',*,*,#186126,.T.); #246615=ORIENTED_EDGE('',*,*,#186128,.F.); #246616=ORIENTED_EDGE('',*,*,#186129,.F.); #246617=ORIENTED_EDGE('',*,*,#186130,.T.); #246618=ORIENTED_EDGE('',*,*,#186129,.T.); #246619=ORIENTED_EDGE('',*,*,#186131,.F.); #246620=ORIENTED_EDGE('',*,*,#186132,.F.); #246621=ORIENTED_EDGE('',*,*,#186133,.T.); #246622=ORIENTED_EDGE('',*,*,#186132,.T.); #246623=ORIENTED_EDGE('',*,*,#186134,.F.); #246624=ORIENTED_EDGE('',*,*,#186135,.F.); #246625=ORIENTED_EDGE('',*,*,#186136,.T.); #246626=ORIENTED_EDGE('',*,*,#186135,.T.); #246627=ORIENTED_EDGE('',*,*,#186137,.F.); #246628=ORIENTED_EDGE('',*,*,#186138,.F.); #246629=ORIENTED_EDGE('',*,*,#186139,.T.); #246630=ORIENTED_EDGE('',*,*,#186138,.T.); #246631=ORIENTED_EDGE('',*,*,#186140,.F.); #246632=ORIENTED_EDGE('',*,*,#186141,.F.); #246633=ORIENTED_EDGE('',*,*,#186142,.T.); #246634=ORIENTED_EDGE('',*,*,#186141,.T.); #246635=ORIENTED_EDGE('',*,*,#186143,.F.); #246636=ORIENTED_EDGE('',*,*,#186144,.F.); #246637=ORIENTED_EDGE('',*,*,#186145,.T.); #246638=ORIENTED_EDGE('',*,*,#186144,.T.); #246639=ORIENTED_EDGE('',*,*,#186146,.F.); #246640=ORIENTED_EDGE('',*,*,#186147,.F.); #246641=ORIENTED_EDGE('',*,*,#186148,.T.); #246642=ORIENTED_EDGE('',*,*,#186147,.T.); #246643=ORIENTED_EDGE('',*,*,#186149,.F.); #246644=ORIENTED_EDGE('',*,*,#186150,.F.); #246645=ORIENTED_EDGE('',*,*,#186151,.T.); #246646=ORIENTED_EDGE('',*,*,#186150,.T.); #246647=ORIENTED_EDGE('',*,*,#186152,.F.); #246648=ORIENTED_EDGE('',*,*,#186153,.F.); #246649=ORIENTED_EDGE('',*,*,#186154,.T.); #246650=ORIENTED_EDGE('',*,*,#186153,.T.); #246651=ORIENTED_EDGE('',*,*,#186155,.F.); #246652=ORIENTED_EDGE('',*,*,#186156,.F.); #246653=ORIENTED_EDGE('',*,*,#186157,.T.); #246654=ORIENTED_EDGE('',*,*,#186156,.T.); #246655=ORIENTED_EDGE('',*,*,#186158,.F.); #246656=ORIENTED_EDGE('',*,*,#186159,.F.); #246657=ORIENTED_EDGE('',*,*,#186160,.T.); #246658=ORIENTED_EDGE('',*,*,#186159,.T.); #246659=ORIENTED_EDGE('',*,*,#186161,.F.); #246660=ORIENTED_EDGE('',*,*,#186162,.F.); #246661=ORIENTED_EDGE('',*,*,#186163,.T.); #246662=ORIENTED_EDGE('',*,*,#186162,.T.); #246663=ORIENTED_EDGE('',*,*,#186164,.F.); #246664=ORIENTED_EDGE('',*,*,#186165,.F.); #246665=ORIENTED_EDGE('',*,*,#186166,.T.); #246666=ORIENTED_EDGE('',*,*,#186165,.T.); #246667=ORIENTED_EDGE('',*,*,#186167,.F.); #246668=ORIENTED_EDGE('',*,*,#186168,.F.); #246669=ORIENTED_EDGE('',*,*,#186169,.T.); #246670=ORIENTED_EDGE('',*,*,#186168,.T.); #246671=ORIENTED_EDGE('',*,*,#186170,.F.); #246672=ORIENTED_EDGE('',*,*,#186171,.F.); #246673=ORIENTED_EDGE('',*,*,#186172,.T.); #246674=ORIENTED_EDGE('',*,*,#186171,.T.); #246675=ORIENTED_EDGE('',*,*,#186173,.F.); #246676=ORIENTED_EDGE('',*,*,#186174,.F.); #246677=ORIENTED_EDGE('',*,*,#186175,.T.); #246678=ORIENTED_EDGE('',*,*,#186174,.T.); #246679=ORIENTED_EDGE('',*,*,#186176,.F.); #246680=ORIENTED_EDGE('',*,*,#186177,.F.); #246681=ORIENTED_EDGE('',*,*,#186178,.T.); #246682=ORIENTED_EDGE('',*,*,#186177,.T.); #246683=ORIENTED_EDGE('',*,*,#186179,.F.); #246684=ORIENTED_EDGE('',*,*,#186180,.F.); #246685=ORIENTED_EDGE('',*,*,#186181,.T.); #246686=ORIENTED_EDGE('',*,*,#186180,.T.); #246687=ORIENTED_EDGE('',*,*,#186182,.F.); #246688=ORIENTED_EDGE('',*,*,#186183,.F.); #246689=ORIENTED_EDGE('',*,*,#186184,.T.); #246690=ORIENTED_EDGE('',*,*,#186183,.T.); #246691=ORIENTED_EDGE('',*,*,#186185,.F.); #246692=ORIENTED_EDGE('',*,*,#186186,.F.); #246693=ORIENTED_EDGE('',*,*,#186187,.T.); #246694=ORIENTED_EDGE('',*,*,#186186,.T.); #246695=ORIENTED_EDGE('',*,*,#186188,.F.); #246696=ORIENTED_EDGE('',*,*,#186189,.F.); #246697=ORIENTED_EDGE('',*,*,#186190,.T.); #246698=ORIENTED_EDGE('',*,*,#186189,.T.); #246699=ORIENTED_EDGE('',*,*,#186191,.F.); #246700=ORIENTED_EDGE('',*,*,#186192,.F.); #246701=ORIENTED_EDGE('',*,*,#186193,.T.); #246702=ORIENTED_EDGE('',*,*,#186192,.T.); #246703=ORIENTED_EDGE('',*,*,#186194,.F.); #246704=ORIENTED_EDGE('',*,*,#186195,.F.); #246705=ORIENTED_EDGE('',*,*,#186196,.T.); #246706=ORIENTED_EDGE('',*,*,#186195,.T.); #246707=ORIENTED_EDGE('',*,*,#186197,.F.); #246708=ORIENTED_EDGE('',*,*,#186198,.F.); #246709=ORIENTED_EDGE('',*,*,#186199,.T.); #246710=ORIENTED_EDGE('',*,*,#186198,.T.); #246711=ORIENTED_EDGE('',*,*,#186200,.F.); #246712=ORIENTED_EDGE('',*,*,#186201,.F.); #246713=ORIENTED_EDGE('',*,*,#186202,.T.); #246714=ORIENTED_EDGE('',*,*,#186201,.T.); #246715=ORIENTED_EDGE('',*,*,#186203,.F.); #246716=ORIENTED_EDGE('',*,*,#186204,.F.); #246717=ORIENTED_EDGE('',*,*,#186205,.T.); #246718=ORIENTED_EDGE('',*,*,#186204,.T.); #246719=ORIENTED_EDGE('',*,*,#186206,.F.); #246720=ORIENTED_EDGE('',*,*,#186207,.F.); #246721=ORIENTED_EDGE('',*,*,#186208,.T.); #246722=ORIENTED_EDGE('',*,*,#186207,.T.); #246723=ORIENTED_EDGE('',*,*,#186209,.F.); #246724=ORIENTED_EDGE('',*,*,#186210,.F.); #246725=ORIENTED_EDGE('',*,*,#186211,.T.); #246726=ORIENTED_EDGE('',*,*,#186210,.T.); #246727=ORIENTED_EDGE('',*,*,#186212,.F.); #246728=ORIENTED_EDGE('',*,*,#186213,.F.); #246729=ORIENTED_EDGE('',*,*,#186214,.T.); #246730=ORIENTED_EDGE('',*,*,#186213,.T.); #246731=ORIENTED_EDGE('',*,*,#186215,.F.); #246732=ORIENTED_EDGE('',*,*,#186216,.F.); #246733=ORIENTED_EDGE('',*,*,#186217,.T.); #246734=ORIENTED_EDGE('',*,*,#186216,.T.); #246735=ORIENTED_EDGE('',*,*,#186218,.F.); #246736=ORIENTED_EDGE('',*,*,#186219,.F.); #246737=ORIENTED_EDGE('',*,*,#186220,.T.); #246738=ORIENTED_EDGE('',*,*,#186219,.T.); #246739=ORIENTED_EDGE('',*,*,#186221,.F.); #246740=ORIENTED_EDGE('',*,*,#186222,.F.); #246741=ORIENTED_EDGE('',*,*,#186223,.T.); #246742=ORIENTED_EDGE('',*,*,#186222,.T.); #246743=ORIENTED_EDGE('',*,*,#186224,.F.); #246744=ORIENTED_EDGE('',*,*,#186225,.F.); #246745=ORIENTED_EDGE('',*,*,#186226,.T.); #246746=ORIENTED_EDGE('',*,*,#186225,.T.); #246747=ORIENTED_EDGE('',*,*,#186227,.F.); #246748=ORIENTED_EDGE('',*,*,#185974,.F.); #246749=ORIENTED_EDGE('',*,*,#186227,.T.); #246750=ORIENTED_EDGE('',*,*,#186224,.T.); #246751=ORIENTED_EDGE('',*,*,#186221,.T.); #246752=ORIENTED_EDGE('',*,*,#186218,.T.); #246753=ORIENTED_EDGE('',*,*,#186215,.T.); #246754=ORIENTED_EDGE('',*,*,#186212,.T.); #246755=ORIENTED_EDGE('',*,*,#186209,.T.); #246756=ORIENTED_EDGE('',*,*,#186206,.T.); #246757=ORIENTED_EDGE('',*,*,#186203,.T.); #246758=ORIENTED_EDGE('',*,*,#186200,.T.); #246759=ORIENTED_EDGE('',*,*,#186197,.T.); #246760=ORIENTED_EDGE('',*,*,#186194,.T.); #246761=ORIENTED_EDGE('',*,*,#186191,.T.); #246762=ORIENTED_EDGE('',*,*,#186188,.T.); #246763=ORIENTED_EDGE('',*,*,#186185,.T.); #246764=ORIENTED_EDGE('',*,*,#186182,.T.); #246765=ORIENTED_EDGE('',*,*,#186179,.T.); #246766=ORIENTED_EDGE('',*,*,#186176,.T.); #246767=ORIENTED_EDGE('',*,*,#186173,.T.); #246768=ORIENTED_EDGE('',*,*,#186170,.T.); #246769=ORIENTED_EDGE('',*,*,#186167,.T.); #246770=ORIENTED_EDGE('',*,*,#186164,.T.); #246771=ORIENTED_EDGE('',*,*,#186161,.T.); #246772=ORIENTED_EDGE('',*,*,#186158,.T.); #246773=ORIENTED_EDGE('',*,*,#186155,.T.); #246774=ORIENTED_EDGE('',*,*,#186152,.T.); #246775=ORIENTED_EDGE('',*,*,#186149,.T.); #246776=ORIENTED_EDGE('',*,*,#186146,.T.); #246777=ORIENTED_EDGE('',*,*,#186143,.T.); #246778=ORIENTED_EDGE('',*,*,#186140,.T.); #246779=ORIENTED_EDGE('',*,*,#186137,.T.); #246780=ORIENTED_EDGE('',*,*,#186134,.T.); #246781=ORIENTED_EDGE('',*,*,#186131,.T.); #246782=ORIENTED_EDGE('',*,*,#186128,.T.); #246783=ORIENTED_EDGE('',*,*,#186125,.T.); #246784=ORIENTED_EDGE('',*,*,#186122,.T.); #246785=ORIENTED_EDGE('',*,*,#186119,.T.); #246786=ORIENTED_EDGE('',*,*,#186116,.T.); #246787=ORIENTED_EDGE('',*,*,#186113,.T.); #246788=ORIENTED_EDGE('',*,*,#186110,.T.); #246789=ORIENTED_EDGE('',*,*,#186107,.T.); #246790=ORIENTED_EDGE('',*,*,#186104,.T.); #246791=ORIENTED_EDGE('',*,*,#186101,.T.); #246792=ORIENTED_EDGE('',*,*,#186098,.T.); #246793=ORIENTED_EDGE('',*,*,#186095,.T.); #246794=ORIENTED_EDGE('',*,*,#186092,.T.); #246795=ORIENTED_EDGE('',*,*,#186089,.T.); #246796=ORIENTED_EDGE('',*,*,#186086,.T.); #246797=ORIENTED_EDGE('',*,*,#186083,.T.); #246798=ORIENTED_EDGE('',*,*,#186080,.T.); #246799=ORIENTED_EDGE('',*,*,#186077,.T.); #246800=ORIENTED_EDGE('',*,*,#186074,.T.); #246801=ORIENTED_EDGE('',*,*,#186071,.T.); #246802=ORIENTED_EDGE('',*,*,#186068,.T.); #246803=ORIENTED_EDGE('',*,*,#186065,.T.); #246804=ORIENTED_EDGE('',*,*,#186062,.T.); #246805=ORIENTED_EDGE('',*,*,#186059,.T.); #246806=ORIENTED_EDGE('',*,*,#186056,.T.); #246807=ORIENTED_EDGE('',*,*,#186053,.T.); #246808=ORIENTED_EDGE('',*,*,#186050,.T.); #246809=ORIENTED_EDGE('',*,*,#186047,.T.); #246810=ORIENTED_EDGE('',*,*,#186044,.T.); #246811=ORIENTED_EDGE('',*,*,#186041,.T.); #246812=ORIENTED_EDGE('',*,*,#186038,.T.); #246813=ORIENTED_EDGE('',*,*,#186035,.T.); #246814=ORIENTED_EDGE('',*,*,#186032,.T.); #246815=ORIENTED_EDGE('',*,*,#186029,.T.); #246816=ORIENTED_EDGE('',*,*,#186026,.T.); #246817=ORIENTED_EDGE('',*,*,#186023,.T.); #246818=ORIENTED_EDGE('',*,*,#186020,.T.); #246819=ORIENTED_EDGE('',*,*,#186017,.T.); #246820=ORIENTED_EDGE('',*,*,#186014,.T.); #246821=ORIENTED_EDGE('',*,*,#186011,.T.); #246822=ORIENTED_EDGE('',*,*,#186008,.T.); #246823=ORIENTED_EDGE('',*,*,#186005,.T.); #246824=ORIENTED_EDGE('',*,*,#186002,.T.); #246825=ORIENTED_EDGE('',*,*,#185999,.T.); #246826=ORIENTED_EDGE('',*,*,#185996,.T.); #246827=ORIENTED_EDGE('',*,*,#185993,.T.); #246828=ORIENTED_EDGE('',*,*,#185990,.T.); #246829=ORIENTED_EDGE('',*,*,#185987,.T.); #246830=ORIENTED_EDGE('',*,*,#185984,.T.); #246831=ORIENTED_EDGE('',*,*,#185981,.T.); #246832=ORIENTED_EDGE('',*,*,#185978,.T.); #246833=ORIENTED_EDGE('',*,*,#185975,.T.); #246834=ORIENTED_EDGE('',*,*,#185882,.T.); #246835=ORIENTED_EDGE('',*,*,#185885,.T.); #246836=ORIENTED_EDGE('',*,*,#185888,.T.); #246837=ORIENTED_EDGE('',*,*,#185891,.T.); #246838=ORIENTED_EDGE('',*,*,#185894,.T.); #246839=ORIENTED_EDGE('',*,*,#185897,.T.); #246840=ORIENTED_EDGE('',*,*,#185900,.T.); #246841=ORIENTED_EDGE('',*,*,#185903,.T.); #246842=ORIENTED_EDGE('',*,*,#185906,.T.); #246843=ORIENTED_EDGE('',*,*,#185909,.T.); #246844=ORIENTED_EDGE('',*,*,#185912,.T.); #246845=ORIENTED_EDGE('',*,*,#185915,.T.); #246846=ORIENTED_EDGE('',*,*,#185918,.T.); #246847=ORIENTED_EDGE('',*,*,#185921,.T.); #246848=ORIENTED_EDGE('',*,*,#185924,.T.); #246849=ORIENTED_EDGE('',*,*,#185927,.T.); #246850=ORIENTED_EDGE('',*,*,#185930,.T.); #246851=ORIENTED_EDGE('',*,*,#185933,.T.); #246852=ORIENTED_EDGE('',*,*,#185936,.T.); #246853=ORIENTED_EDGE('',*,*,#185939,.T.); #246854=ORIENTED_EDGE('',*,*,#185942,.T.); #246855=ORIENTED_EDGE('',*,*,#185945,.T.); #246856=ORIENTED_EDGE('',*,*,#185948,.T.); #246857=ORIENTED_EDGE('',*,*,#185951,.T.); #246858=ORIENTED_EDGE('',*,*,#185954,.T.); #246859=ORIENTED_EDGE('',*,*,#185957,.T.); #246860=ORIENTED_EDGE('',*,*,#185960,.T.); #246861=ORIENTED_EDGE('',*,*,#185963,.T.); #246862=ORIENTED_EDGE('',*,*,#185966,.T.); #246863=ORIENTED_EDGE('',*,*,#185969,.T.); #246864=ORIENTED_EDGE('',*,*,#185972,.T.); #246865=ORIENTED_EDGE('',*,*,#186226,.F.); #246866=ORIENTED_EDGE('',*,*,#185973,.F.); #246867=ORIENTED_EDGE('',*,*,#185977,.F.); #246868=ORIENTED_EDGE('',*,*,#185980,.F.); #246869=ORIENTED_EDGE('',*,*,#185983,.F.); #246870=ORIENTED_EDGE('',*,*,#185986,.F.); #246871=ORIENTED_EDGE('',*,*,#185989,.F.); #246872=ORIENTED_EDGE('',*,*,#185992,.F.); #246873=ORIENTED_EDGE('',*,*,#185995,.F.); #246874=ORIENTED_EDGE('',*,*,#185998,.F.); #246875=ORIENTED_EDGE('',*,*,#186001,.F.); #246876=ORIENTED_EDGE('',*,*,#186004,.F.); #246877=ORIENTED_EDGE('',*,*,#186007,.F.); #246878=ORIENTED_EDGE('',*,*,#186010,.F.); #246879=ORIENTED_EDGE('',*,*,#186013,.F.); #246880=ORIENTED_EDGE('',*,*,#186016,.F.); #246881=ORIENTED_EDGE('',*,*,#186019,.F.); #246882=ORIENTED_EDGE('',*,*,#186022,.F.); #246883=ORIENTED_EDGE('',*,*,#186025,.F.); #246884=ORIENTED_EDGE('',*,*,#186028,.F.); #246885=ORIENTED_EDGE('',*,*,#186031,.F.); #246886=ORIENTED_EDGE('',*,*,#186034,.F.); #246887=ORIENTED_EDGE('',*,*,#186037,.F.); #246888=ORIENTED_EDGE('',*,*,#186040,.F.); #246889=ORIENTED_EDGE('',*,*,#186043,.F.); #246890=ORIENTED_EDGE('',*,*,#186046,.F.); #246891=ORIENTED_EDGE('',*,*,#186049,.F.); #246892=ORIENTED_EDGE('',*,*,#186052,.F.); #246893=ORIENTED_EDGE('',*,*,#186055,.F.); #246894=ORIENTED_EDGE('',*,*,#186058,.F.); #246895=ORIENTED_EDGE('',*,*,#186061,.F.); #246896=ORIENTED_EDGE('',*,*,#186064,.F.); #246897=ORIENTED_EDGE('',*,*,#186067,.F.); #246898=ORIENTED_EDGE('',*,*,#186070,.F.); #246899=ORIENTED_EDGE('',*,*,#186073,.F.); #246900=ORIENTED_EDGE('',*,*,#186076,.F.); #246901=ORIENTED_EDGE('',*,*,#186079,.F.); #246902=ORIENTED_EDGE('',*,*,#186082,.F.); #246903=ORIENTED_EDGE('',*,*,#186085,.F.); #246904=ORIENTED_EDGE('',*,*,#186088,.F.); #246905=ORIENTED_EDGE('',*,*,#186091,.F.); #246906=ORIENTED_EDGE('',*,*,#186094,.F.); #246907=ORIENTED_EDGE('',*,*,#186097,.F.); #246908=ORIENTED_EDGE('',*,*,#186100,.F.); #246909=ORIENTED_EDGE('',*,*,#186103,.F.); #246910=ORIENTED_EDGE('',*,*,#186106,.F.); #246911=ORIENTED_EDGE('',*,*,#186109,.F.); #246912=ORIENTED_EDGE('',*,*,#186112,.F.); #246913=ORIENTED_EDGE('',*,*,#186115,.F.); #246914=ORIENTED_EDGE('',*,*,#186118,.F.); #246915=ORIENTED_EDGE('',*,*,#186121,.F.); #246916=ORIENTED_EDGE('',*,*,#186124,.F.); #246917=ORIENTED_EDGE('',*,*,#186127,.F.); #246918=ORIENTED_EDGE('',*,*,#186130,.F.); #246919=ORIENTED_EDGE('',*,*,#186133,.F.); #246920=ORIENTED_EDGE('',*,*,#186136,.F.); #246921=ORIENTED_EDGE('',*,*,#186139,.F.); #246922=ORIENTED_EDGE('',*,*,#186142,.F.); #246923=ORIENTED_EDGE('',*,*,#186145,.F.); #246924=ORIENTED_EDGE('',*,*,#186148,.F.); #246925=ORIENTED_EDGE('',*,*,#186151,.F.); #246926=ORIENTED_EDGE('',*,*,#186154,.F.); #246927=ORIENTED_EDGE('',*,*,#186157,.F.); #246928=ORIENTED_EDGE('',*,*,#186160,.F.); #246929=ORIENTED_EDGE('',*,*,#186163,.F.); #246930=ORIENTED_EDGE('',*,*,#186166,.F.); #246931=ORIENTED_EDGE('',*,*,#186169,.F.); #246932=ORIENTED_EDGE('',*,*,#186172,.F.); #246933=ORIENTED_EDGE('',*,*,#186175,.F.); #246934=ORIENTED_EDGE('',*,*,#186178,.F.); #246935=ORIENTED_EDGE('',*,*,#186181,.F.); #246936=ORIENTED_EDGE('',*,*,#186184,.F.); #246937=ORIENTED_EDGE('',*,*,#186187,.F.); #246938=ORIENTED_EDGE('',*,*,#186190,.F.); #246939=ORIENTED_EDGE('',*,*,#186193,.F.); #246940=ORIENTED_EDGE('',*,*,#186196,.F.); #246941=ORIENTED_EDGE('',*,*,#186199,.F.); #246942=ORIENTED_EDGE('',*,*,#186202,.F.); #246943=ORIENTED_EDGE('',*,*,#186205,.F.); #246944=ORIENTED_EDGE('',*,*,#186208,.F.); #246945=ORIENTED_EDGE('',*,*,#186211,.F.); #246946=ORIENTED_EDGE('',*,*,#186214,.F.); #246947=ORIENTED_EDGE('',*,*,#186217,.F.); #246948=ORIENTED_EDGE('',*,*,#186220,.F.); #246949=ORIENTED_EDGE('',*,*,#186223,.F.); #246950=ORIENTED_EDGE('',*,*,#185880,.T.); #246951=ORIENTED_EDGE('',*,*,#185883,.T.); #246952=ORIENTED_EDGE('',*,*,#185886,.T.); #246953=ORIENTED_EDGE('',*,*,#185889,.T.); #246954=ORIENTED_EDGE('',*,*,#185892,.T.); #246955=ORIENTED_EDGE('',*,*,#185895,.T.); #246956=ORIENTED_EDGE('',*,*,#185898,.T.); #246957=ORIENTED_EDGE('',*,*,#185901,.T.); #246958=ORIENTED_EDGE('',*,*,#185904,.T.); #246959=ORIENTED_EDGE('',*,*,#185907,.T.); #246960=ORIENTED_EDGE('',*,*,#185910,.T.); #246961=ORIENTED_EDGE('',*,*,#185913,.T.); #246962=ORIENTED_EDGE('',*,*,#185916,.T.); #246963=ORIENTED_EDGE('',*,*,#185919,.T.); #246964=ORIENTED_EDGE('',*,*,#185922,.T.); #246965=ORIENTED_EDGE('',*,*,#185925,.T.); #246966=ORIENTED_EDGE('',*,*,#185928,.T.); #246967=ORIENTED_EDGE('',*,*,#185931,.T.); #246968=ORIENTED_EDGE('',*,*,#185934,.T.); #246969=ORIENTED_EDGE('',*,*,#185937,.T.); #246970=ORIENTED_EDGE('',*,*,#185940,.T.); #246971=ORIENTED_EDGE('',*,*,#185943,.T.); #246972=ORIENTED_EDGE('',*,*,#185946,.T.); #246973=ORIENTED_EDGE('',*,*,#185949,.T.); #246974=ORIENTED_EDGE('',*,*,#185952,.T.); #246975=ORIENTED_EDGE('',*,*,#185955,.T.); #246976=ORIENTED_EDGE('',*,*,#185958,.T.); #246977=ORIENTED_EDGE('',*,*,#185961,.T.); #246978=ORIENTED_EDGE('',*,*,#185964,.T.); #246979=ORIENTED_EDGE('',*,*,#185967,.T.); #246980=ORIENTED_EDGE('',*,*,#185970,.T.); #246981=ORIENTED_EDGE('',*,*,#186228,.F.); #246982=ORIENTED_EDGE('',*,*,#186229,.T.); #246983=ORIENTED_EDGE('',*,*,#186230,.F.); #246984=ORIENTED_EDGE('',*,*,#186229,.F.); #246985=ORIENTED_EDGE('',*,*,#186231,.T.); #246986=ORIENTED_EDGE('',*,*,#186232,.T.); #246987=ORIENTED_EDGE('',*,*,#186233,.F.); #246988=ORIENTED_EDGE('',*,*,#186234,.F.); #246989=ORIENTED_EDGE('',*,*,#186235,.T.); #246990=ORIENTED_EDGE('',*,*,#186234,.T.); #246991=ORIENTED_EDGE('',*,*,#186236,.F.); #246992=ORIENTED_EDGE('',*,*,#186237,.F.); #246993=ORIENTED_EDGE('',*,*,#186238,.T.); #246994=ORIENTED_EDGE('',*,*,#186237,.T.); #246995=ORIENTED_EDGE('',*,*,#186239,.F.); #246996=ORIENTED_EDGE('',*,*,#186240,.F.); #246997=ORIENTED_EDGE('',*,*,#186241,.T.); #246998=ORIENTED_EDGE('',*,*,#186240,.T.); #246999=ORIENTED_EDGE('',*,*,#186242,.F.); #247000=ORIENTED_EDGE('',*,*,#186243,.F.); #247001=ORIENTED_EDGE('',*,*,#186244,.T.); #247002=ORIENTED_EDGE('',*,*,#186243,.T.); #247003=ORIENTED_EDGE('',*,*,#186245,.F.); #247004=ORIENTED_EDGE('',*,*,#186246,.F.); #247005=ORIENTED_EDGE('',*,*,#186247,.T.); #247006=ORIENTED_EDGE('',*,*,#186246,.T.); #247007=ORIENTED_EDGE('',*,*,#186248,.F.); #247008=ORIENTED_EDGE('',*,*,#186249,.F.); #247009=ORIENTED_EDGE('',*,*,#186250,.T.); #247010=ORIENTED_EDGE('',*,*,#186249,.T.); #247011=ORIENTED_EDGE('',*,*,#186251,.F.); #247012=ORIENTED_EDGE('',*,*,#186252,.F.); #247013=ORIENTED_EDGE('',*,*,#186253,.T.); #247014=ORIENTED_EDGE('',*,*,#186252,.T.); #247015=ORIENTED_EDGE('',*,*,#186254,.F.); #247016=ORIENTED_EDGE('',*,*,#186255,.F.); #247017=ORIENTED_EDGE('',*,*,#186256,.T.); #247018=ORIENTED_EDGE('',*,*,#186255,.T.); #247019=ORIENTED_EDGE('',*,*,#186257,.F.); #247020=ORIENTED_EDGE('',*,*,#186258,.F.); #247021=ORIENTED_EDGE('',*,*,#186259,.T.); #247022=ORIENTED_EDGE('',*,*,#186258,.T.); #247023=ORIENTED_EDGE('',*,*,#186260,.F.); #247024=ORIENTED_EDGE('',*,*,#186261,.F.); #247025=ORIENTED_EDGE('',*,*,#186262,.T.); #247026=ORIENTED_EDGE('',*,*,#186261,.T.); #247027=ORIENTED_EDGE('',*,*,#186263,.F.); #247028=ORIENTED_EDGE('',*,*,#186264,.F.); #247029=ORIENTED_EDGE('',*,*,#186265,.T.); #247030=ORIENTED_EDGE('',*,*,#186264,.T.); #247031=ORIENTED_EDGE('',*,*,#186266,.F.); #247032=ORIENTED_EDGE('',*,*,#186267,.F.); #247033=ORIENTED_EDGE('',*,*,#186268,.T.); #247034=ORIENTED_EDGE('',*,*,#186267,.T.); #247035=ORIENTED_EDGE('',*,*,#186269,.F.); #247036=ORIENTED_EDGE('',*,*,#186270,.F.); #247037=ORIENTED_EDGE('',*,*,#186271,.T.); #247038=ORIENTED_EDGE('',*,*,#186270,.T.); #247039=ORIENTED_EDGE('',*,*,#186272,.F.); #247040=ORIENTED_EDGE('',*,*,#186273,.F.); #247041=ORIENTED_EDGE('',*,*,#186274,.T.); #247042=ORIENTED_EDGE('',*,*,#186273,.T.); #247043=ORIENTED_EDGE('',*,*,#186275,.F.); #247044=ORIENTED_EDGE('',*,*,#186276,.F.); #247045=ORIENTED_EDGE('',*,*,#186277,.T.); #247046=ORIENTED_EDGE('',*,*,#186276,.T.); #247047=ORIENTED_EDGE('',*,*,#186278,.F.); #247048=ORIENTED_EDGE('',*,*,#186279,.F.); #247049=ORIENTED_EDGE('',*,*,#186280,.T.); #247050=ORIENTED_EDGE('',*,*,#186279,.T.); #247051=ORIENTED_EDGE('',*,*,#186281,.F.); #247052=ORIENTED_EDGE('',*,*,#186282,.F.); #247053=ORIENTED_EDGE('',*,*,#186283,.T.); #247054=ORIENTED_EDGE('',*,*,#186282,.T.); #247055=ORIENTED_EDGE('',*,*,#186284,.F.); #247056=ORIENTED_EDGE('',*,*,#186285,.F.); #247057=ORIENTED_EDGE('',*,*,#186286,.T.); #247058=ORIENTED_EDGE('',*,*,#186285,.T.); #247059=ORIENTED_EDGE('',*,*,#186287,.F.); #247060=ORIENTED_EDGE('',*,*,#186288,.F.); #247061=ORIENTED_EDGE('',*,*,#186289,.T.); #247062=ORIENTED_EDGE('',*,*,#186288,.T.); #247063=ORIENTED_EDGE('',*,*,#186290,.F.); #247064=ORIENTED_EDGE('',*,*,#186291,.F.); #247065=ORIENTED_EDGE('',*,*,#186292,.T.); #247066=ORIENTED_EDGE('',*,*,#186291,.T.); #247067=ORIENTED_EDGE('',*,*,#186293,.F.); #247068=ORIENTED_EDGE('',*,*,#186232,.F.); #247069=ORIENTED_EDGE('',*,*,#186293,.T.); #247070=ORIENTED_EDGE('',*,*,#186290,.T.); #247071=ORIENTED_EDGE('',*,*,#186287,.T.); #247072=ORIENTED_EDGE('',*,*,#186284,.T.); #247073=ORIENTED_EDGE('',*,*,#186281,.T.); #247074=ORIENTED_EDGE('',*,*,#186278,.T.); #247075=ORIENTED_EDGE('',*,*,#186275,.T.); #247076=ORIENTED_EDGE('',*,*,#186272,.T.); #247077=ORIENTED_EDGE('',*,*,#186269,.T.); #247078=ORIENTED_EDGE('',*,*,#186266,.T.); #247079=ORIENTED_EDGE('',*,*,#186263,.T.); #247080=ORIENTED_EDGE('',*,*,#186260,.T.); #247081=ORIENTED_EDGE('',*,*,#186257,.T.); #247082=ORIENTED_EDGE('',*,*,#186254,.T.); #247083=ORIENTED_EDGE('',*,*,#186251,.T.); #247084=ORIENTED_EDGE('',*,*,#186248,.T.); #247085=ORIENTED_EDGE('',*,*,#186245,.T.); #247086=ORIENTED_EDGE('',*,*,#186242,.T.); #247087=ORIENTED_EDGE('',*,*,#186239,.T.); #247088=ORIENTED_EDGE('',*,*,#186236,.T.); #247089=ORIENTED_EDGE('',*,*,#186233,.T.); #247090=ORIENTED_EDGE('',*,*,#186230,.T.); #247091=ORIENTED_EDGE('',*,*,#186292,.F.); #247092=ORIENTED_EDGE('',*,*,#186231,.F.); #247093=ORIENTED_EDGE('',*,*,#186235,.F.); #247094=ORIENTED_EDGE('',*,*,#186238,.F.); #247095=ORIENTED_EDGE('',*,*,#186241,.F.); #247096=ORIENTED_EDGE('',*,*,#186244,.F.); #247097=ORIENTED_EDGE('',*,*,#186247,.F.); #247098=ORIENTED_EDGE('',*,*,#186250,.F.); #247099=ORIENTED_EDGE('',*,*,#186253,.F.); #247100=ORIENTED_EDGE('',*,*,#186256,.F.); #247101=ORIENTED_EDGE('',*,*,#186259,.F.); #247102=ORIENTED_EDGE('',*,*,#186262,.F.); #247103=ORIENTED_EDGE('',*,*,#186265,.F.); #247104=ORIENTED_EDGE('',*,*,#186268,.F.); #247105=ORIENTED_EDGE('',*,*,#186271,.F.); #247106=ORIENTED_EDGE('',*,*,#186274,.F.); #247107=ORIENTED_EDGE('',*,*,#186277,.F.); #247108=ORIENTED_EDGE('',*,*,#186280,.F.); #247109=ORIENTED_EDGE('',*,*,#186283,.F.); #247110=ORIENTED_EDGE('',*,*,#186286,.F.); #247111=ORIENTED_EDGE('',*,*,#186289,.F.); #247112=ORIENTED_EDGE('',*,*,#186228,.T.); #247113=ORIENTED_EDGE('',*,*,#186294,.F.); #247114=ORIENTED_EDGE('',*,*,#186295,.T.); #247115=ORIENTED_EDGE('',*,*,#186296,.F.); #247116=ORIENTED_EDGE('',*,*,#186295,.F.); #247117=ORIENTED_EDGE('',*,*,#186297,.F.); #247118=ORIENTED_EDGE('',*,*,#186298,.T.); #247119=ORIENTED_EDGE('',*,*,#186299,.F.); #247120=ORIENTED_EDGE('',*,*,#186298,.F.); #247121=ORIENTED_EDGE('',*,*,#186300,.F.); #247122=ORIENTED_EDGE('',*,*,#186301,.T.); #247123=ORIENTED_EDGE('',*,*,#186302,.F.); #247124=ORIENTED_EDGE('',*,*,#186301,.F.); #247125=ORIENTED_EDGE('',*,*,#186303,.F.); #247126=ORIENTED_EDGE('',*,*,#186304,.T.); #247127=ORIENTED_EDGE('',*,*,#186305,.F.); #247128=ORIENTED_EDGE('',*,*,#186304,.F.); #247129=ORIENTED_EDGE('',*,*,#186306,.F.); #247130=ORIENTED_EDGE('',*,*,#186307,.T.); #247131=ORIENTED_EDGE('',*,*,#186308,.F.); #247132=ORIENTED_EDGE('',*,*,#186307,.F.); #247133=ORIENTED_EDGE('',*,*,#186309,.F.); #247134=ORIENTED_EDGE('',*,*,#186310,.T.); #247135=ORIENTED_EDGE('',*,*,#186311,.F.); #247136=ORIENTED_EDGE('',*,*,#186310,.F.); #247137=ORIENTED_EDGE('',*,*,#186312,.F.); #247138=ORIENTED_EDGE('',*,*,#186313,.T.); #247139=ORIENTED_EDGE('',*,*,#186314,.F.); #247140=ORIENTED_EDGE('',*,*,#186313,.F.); #247141=ORIENTED_EDGE('',*,*,#186315,.F.); #247142=ORIENTED_EDGE('',*,*,#186316,.T.); #247143=ORIENTED_EDGE('',*,*,#186317,.F.); #247144=ORIENTED_EDGE('',*,*,#186316,.F.); #247145=ORIENTED_EDGE('',*,*,#186318,.F.); #247146=ORIENTED_EDGE('',*,*,#186319,.T.); #247147=ORIENTED_EDGE('',*,*,#186320,.F.); #247148=ORIENTED_EDGE('',*,*,#186319,.F.); #247149=ORIENTED_EDGE('',*,*,#186321,.F.); #247150=ORIENTED_EDGE('',*,*,#186322,.T.); #247151=ORIENTED_EDGE('',*,*,#186323,.F.); #247152=ORIENTED_EDGE('',*,*,#186322,.F.); #247153=ORIENTED_EDGE('',*,*,#186324,.F.); #247154=ORIENTED_EDGE('',*,*,#186325,.T.); #247155=ORIENTED_EDGE('',*,*,#186326,.F.); #247156=ORIENTED_EDGE('',*,*,#186325,.F.); #247157=ORIENTED_EDGE('',*,*,#186327,.T.); #247158=ORIENTED_EDGE('',*,*,#186328,.T.); #247159=ORIENTED_EDGE('',*,*,#186329,.F.); #247160=ORIENTED_EDGE('',*,*,#186330,.F.); #247161=ORIENTED_EDGE('',*,*,#186331,.T.); #247162=ORIENTED_EDGE('',*,*,#186330,.T.); #247163=ORIENTED_EDGE('',*,*,#186332,.F.); #247164=ORIENTED_EDGE('',*,*,#186333,.F.); #247165=ORIENTED_EDGE('',*,*,#186334,.T.); #247166=ORIENTED_EDGE('',*,*,#186333,.T.); #247167=ORIENTED_EDGE('',*,*,#186335,.F.); #247168=ORIENTED_EDGE('',*,*,#186336,.F.); #247169=ORIENTED_EDGE('',*,*,#186337,.T.); #247170=ORIENTED_EDGE('',*,*,#186336,.T.); #247171=ORIENTED_EDGE('',*,*,#186338,.F.); #247172=ORIENTED_EDGE('',*,*,#186339,.F.); #247173=ORIENTED_EDGE('',*,*,#186340,.T.); #247174=ORIENTED_EDGE('',*,*,#186339,.T.); #247175=ORIENTED_EDGE('',*,*,#186341,.F.); #247176=ORIENTED_EDGE('',*,*,#186342,.F.); #247177=ORIENTED_EDGE('',*,*,#186343,.T.); #247178=ORIENTED_EDGE('',*,*,#186342,.T.); #247179=ORIENTED_EDGE('',*,*,#186344,.F.); #247180=ORIENTED_EDGE('',*,*,#186345,.F.); #247181=ORIENTED_EDGE('',*,*,#186346,.T.); #247182=ORIENTED_EDGE('',*,*,#186345,.T.); #247183=ORIENTED_EDGE('',*,*,#186347,.F.); #247184=ORIENTED_EDGE('',*,*,#186348,.F.); #247185=ORIENTED_EDGE('',*,*,#186349,.T.); #247186=ORIENTED_EDGE('',*,*,#186348,.T.); #247187=ORIENTED_EDGE('',*,*,#186350,.F.); #247188=ORIENTED_EDGE('',*,*,#186351,.F.); #247189=ORIENTED_EDGE('',*,*,#186352,.T.); #247190=ORIENTED_EDGE('',*,*,#186351,.T.); #247191=ORIENTED_EDGE('',*,*,#186353,.F.); #247192=ORIENTED_EDGE('',*,*,#186354,.F.); #247193=ORIENTED_EDGE('',*,*,#186355,.T.); #247194=ORIENTED_EDGE('',*,*,#186354,.T.); #247195=ORIENTED_EDGE('',*,*,#186356,.F.); #247196=ORIENTED_EDGE('',*,*,#186357,.F.); #247197=ORIENTED_EDGE('',*,*,#186358,.T.); #247198=ORIENTED_EDGE('',*,*,#186357,.T.); #247199=ORIENTED_EDGE('',*,*,#186359,.F.); #247200=ORIENTED_EDGE('',*,*,#186360,.F.); #247201=ORIENTED_EDGE('',*,*,#186361,.T.); #247202=ORIENTED_EDGE('',*,*,#186360,.T.); #247203=ORIENTED_EDGE('',*,*,#186362,.F.); #247204=ORIENTED_EDGE('',*,*,#186363,.F.); #247205=ORIENTED_EDGE('',*,*,#186364,.T.); #247206=ORIENTED_EDGE('',*,*,#186363,.T.); #247207=ORIENTED_EDGE('',*,*,#186365,.F.); #247208=ORIENTED_EDGE('',*,*,#186366,.F.); #247209=ORIENTED_EDGE('',*,*,#186367,.T.); #247210=ORIENTED_EDGE('',*,*,#186366,.T.); #247211=ORIENTED_EDGE('',*,*,#186368,.F.); #247212=ORIENTED_EDGE('',*,*,#186369,.F.); #247213=ORIENTED_EDGE('',*,*,#186370,.T.); #247214=ORIENTED_EDGE('',*,*,#186369,.T.); #247215=ORIENTED_EDGE('',*,*,#186371,.F.); #247216=ORIENTED_EDGE('',*,*,#186372,.F.); #247217=ORIENTED_EDGE('',*,*,#186373,.T.); #247218=ORIENTED_EDGE('',*,*,#186372,.T.); #247219=ORIENTED_EDGE('',*,*,#186374,.F.); #247220=ORIENTED_EDGE('',*,*,#186375,.F.); #247221=ORIENTED_EDGE('',*,*,#186376,.T.); #247222=ORIENTED_EDGE('',*,*,#186375,.T.); #247223=ORIENTED_EDGE('',*,*,#186377,.F.); #247224=ORIENTED_EDGE('',*,*,#186378,.F.); #247225=ORIENTED_EDGE('',*,*,#186379,.T.); #247226=ORIENTED_EDGE('',*,*,#186378,.T.); #247227=ORIENTED_EDGE('',*,*,#186380,.F.); #247228=ORIENTED_EDGE('',*,*,#186381,.F.); #247229=ORIENTED_EDGE('',*,*,#186382,.T.); #247230=ORIENTED_EDGE('',*,*,#186381,.T.); #247231=ORIENTED_EDGE('',*,*,#186383,.F.); #247232=ORIENTED_EDGE('',*,*,#186384,.F.); #247233=ORIENTED_EDGE('',*,*,#186385,.T.); #247234=ORIENTED_EDGE('',*,*,#186384,.T.); #247235=ORIENTED_EDGE('',*,*,#186386,.F.); #247236=ORIENTED_EDGE('',*,*,#186387,.F.); #247237=ORIENTED_EDGE('',*,*,#186388,.T.); #247238=ORIENTED_EDGE('',*,*,#186387,.T.); #247239=ORIENTED_EDGE('',*,*,#186389,.F.); #247240=ORIENTED_EDGE('',*,*,#186390,.F.); #247241=ORIENTED_EDGE('',*,*,#186391,.T.); #247242=ORIENTED_EDGE('',*,*,#186390,.T.); #247243=ORIENTED_EDGE('',*,*,#186392,.F.); #247244=ORIENTED_EDGE('',*,*,#186393,.F.); #247245=ORIENTED_EDGE('',*,*,#186394,.T.); #247246=ORIENTED_EDGE('',*,*,#186393,.T.); #247247=ORIENTED_EDGE('',*,*,#186395,.F.); #247248=ORIENTED_EDGE('',*,*,#186396,.F.); #247249=ORIENTED_EDGE('',*,*,#186397,.T.); #247250=ORIENTED_EDGE('',*,*,#186396,.T.); #247251=ORIENTED_EDGE('',*,*,#186398,.F.); #247252=ORIENTED_EDGE('',*,*,#186399,.F.); #247253=ORIENTED_EDGE('',*,*,#186400,.T.); #247254=ORIENTED_EDGE('',*,*,#186399,.T.); #247255=ORIENTED_EDGE('',*,*,#186401,.F.); #247256=ORIENTED_EDGE('',*,*,#186402,.F.); #247257=ORIENTED_EDGE('',*,*,#186403,.T.); #247258=ORIENTED_EDGE('',*,*,#186402,.T.); #247259=ORIENTED_EDGE('',*,*,#186404,.F.); #247260=ORIENTED_EDGE('',*,*,#186405,.F.); #247261=ORIENTED_EDGE('',*,*,#186406,.T.); #247262=ORIENTED_EDGE('',*,*,#186405,.T.); #247263=ORIENTED_EDGE('',*,*,#186407,.F.); #247264=ORIENTED_EDGE('',*,*,#186408,.F.); #247265=ORIENTED_EDGE('',*,*,#186409,.T.); #247266=ORIENTED_EDGE('',*,*,#186408,.T.); #247267=ORIENTED_EDGE('',*,*,#186410,.F.); #247268=ORIENTED_EDGE('',*,*,#186411,.F.); #247269=ORIENTED_EDGE('',*,*,#186412,.T.); #247270=ORIENTED_EDGE('',*,*,#186411,.T.); #247271=ORIENTED_EDGE('',*,*,#186413,.F.); #247272=ORIENTED_EDGE('',*,*,#186414,.F.); #247273=ORIENTED_EDGE('',*,*,#186415,.T.); #247274=ORIENTED_EDGE('',*,*,#186414,.T.); #247275=ORIENTED_EDGE('',*,*,#186416,.F.); #247276=ORIENTED_EDGE('',*,*,#186417,.F.); #247277=ORIENTED_EDGE('',*,*,#186418,.T.); #247278=ORIENTED_EDGE('',*,*,#186417,.T.); #247279=ORIENTED_EDGE('',*,*,#186419,.F.); #247280=ORIENTED_EDGE('',*,*,#186420,.F.); #247281=ORIENTED_EDGE('',*,*,#186421,.T.); #247282=ORIENTED_EDGE('',*,*,#186420,.T.); #247283=ORIENTED_EDGE('',*,*,#186422,.F.); #247284=ORIENTED_EDGE('',*,*,#186423,.F.); #247285=ORIENTED_EDGE('',*,*,#186424,.T.); #247286=ORIENTED_EDGE('',*,*,#186423,.T.); #247287=ORIENTED_EDGE('',*,*,#186425,.F.); #247288=ORIENTED_EDGE('',*,*,#186426,.F.); #247289=ORIENTED_EDGE('',*,*,#186427,.T.); #247290=ORIENTED_EDGE('',*,*,#186426,.T.); #247291=ORIENTED_EDGE('',*,*,#186428,.F.); #247292=ORIENTED_EDGE('',*,*,#186429,.F.); #247293=ORIENTED_EDGE('',*,*,#186430,.T.); #247294=ORIENTED_EDGE('',*,*,#186429,.T.); #247295=ORIENTED_EDGE('',*,*,#186431,.F.); #247296=ORIENTED_EDGE('',*,*,#186432,.F.); #247297=ORIENTED_EDGE('',*,*,#186433,.T.); #247298=ORIENTED_EDGE('',*,*,#186432,.T.); #247299=ORIENTED_EDGE('',*,*,#186434,.F.); #247300=ORIENTED_EDGE('',*,*,#186435,.F.); #247301=ORIENTED_EDGE('',*,*,#186436,.T.); #247302=ORIENTED_EDGE('',*,*,#186435,.T.); #247303=ORIENTED_EDGE('',*,*,#186437,.F.); #247304=ORIENTED_EDGE('',*,*,#186438,.F.); #247305=ORIENTED_EDGE('',*,*,#186439,.T.); #247306=ORIENTED_EDGE('',*,*,#186438,.T.); #247307=ORIENTED_EDGE('',*,*,#186440,.F.); #247308=ORIENTED_EDGE('',*,*,#186441,.F.); #247309=ORIENTED_EDGE('',*,*,#186442,.T.); #247310=ORIENTED_EDGE('',*,*,#186441,.T.); #247311=ORIENTED_EDGE('',*,*,#186443,.F.); #247312=ORIENTED_EDGE('',*,*,#186444,.F.); #247313=ORIENTED_EDGE('',*,*,#186445,.T.); #247314=ORIENTED_EDGE('',*,*,#186444,.T.); #247315=ORIENTED_EDGE('',*,*,#186446,.F.); #247316=ORIENTED_EDGE('',*,*,#186447,.F.); #247317=ORIENTED_EDGE('',*,*,#186448,.T.); #247318=ORIENTED_EDGE('',*,*,#186447,.T.); #247319=ORIENTED_EDGE('',*,*,#186449,.F.); #247320=ORIENTED_EDGE('',*,*,#186450,.F.); #247321=ORIENTED_EDGE('',*,*,#186451,.T.); #247322=ORIENTED_EDGE('',*,*,#186450,.T.); #247323=ORIENTED_EDGE('',*,*,#186452,.F.); #247324=ORIENTED_EDGE('',*,*,#186453,.F.); #247325=ORIENTED_EDGE('',*,*,#186454,.T.); #247326=ORIENTED_EDGE('',*,*,#186453,.T.); #247327=ORIENTED_EDGE('',*,*,#186455,.F.); #247328=ORIENTED_EDGE('',*,*,#186456,.F.); #247329=ORIENTED_EDGE('',*,*,#186457,.T.); #247330=ORIENTED_EDGE('',*,*,#186456,.T.); #247331=ORIENTED_EDGE('',*,*,#186458,.F.); #247332=ORIENTED_EDGE('',*,*,#186459,.F.); #247333=ORIENTED_EDGE('',*,*,#186460,.T.); #247334=ORIENTED_EDGE('',*,*,#186459,.T.); #247335=ORIENTED_EDGE('',*,*,#186461,.F.); #247336=ORIENTED_EDGE('',*,*,#186462,.F.); #247337=ORIENTED_EDGE('',*,*,#186463,.T.); #247338=ORIENTED_EDGE('',*,*,#186462,.T.); #247339=ORIENTED_EDGE('',*,*,#186464,.F.); #247340=ORIENTED_EDGE('',*,*,#186465,.F.); #247341=ORIENTED_EDGE('',*,*,#186466,.T.); #247342=ORIENTED_EDGE('',*,*,#186465,.T.); #247343=ORIENTED_EDGE('',*,*,#186467,.F.); #247344=ORIENTED_EDGE('',*,*,#186468,.F.); #247345=ORIENTED_EDGE('',*,*,#186469,.T.); #247346=ORIENTED_EDGE('',*,*,#186468,.T.); #247347=ORIENTED_EDGE('',*,*,#186470,.F.); #247348=ORIENTED_EDGE('',*,*,#186471,.F.); #247349=ORIENTED_EDGE('',*,*,#186472,.T.); #247350=ORIENTED_EDGE('',*,*,#186471,.T.); #247351=ORIENTED_EDGE('',*,*,#186473,.F.); #247352=ORIENTED_EDGE('',*,*,#186474,.F.); #247353=ORIENTED_EDGE('',*,*,#186475,.T.); #247354=ORIENTED_EDGE('',*,*,#186474,.T.); #247355=ORIENTED_EDGE('',*,*,#186476,.F.); #247356=ORIENTED_EDGE('',*,*,#186477,.F.); #247357=ORIENTED_EDGE('',*,*,#186478,.T.); #247358=ORIENTED_EDGE('',*,*,#186477,.T.); #247359=ORIENTED_EDGE('',*,*,#186479,.F.); #247360=ORIENTED_EDGE('',*,*,#186480,.F.); #247361=ORIENTED_EDGE('',*,*,#186481,.T.); #247362=ORIENTED_EDGE('',*,*,#186480,.T.); #247363=ORIENTED_EDGE('',*,*,#186482,.F.); #247364=ORIENTED_EDGE('',*,*,#186483,.F.); #247365=ORIENTED_EDGE('',*,*,#186484,.T.); #247366=ORIENTED_EDGE('',*,*,#186483,.T.); #247367=ORIENTED_EDGE('',*,*,#186485,.F.); #247368=ORIENTED_EDGE('',*,*,#186486,.F.); #247369=ORIENTED_EDGE('',*,*,#186487,.T.); #247370=ORIENTED_EDGE('',*,*,#186486,.T.); #247371=ORIENTED_EDGE('',*,*,#186488,.F.); #247372=ORIENTED_EDGE('',*,*,#186489,.F.); #247373=ORIENTED_EDGE('',*,*,#186490,.T.); #247374=ORIENTED_EDGE('',*,*,#186489,.T.); #247375=ORIENTED_EDGE('',*,*,#186491,.F.); #247376=ORIENTED_EDGE('',*,*,#186492,.F.); #247377=ORIENTED_EDGE('',*,*,#186493,.T.); #247378=ORIENTED_EDGE('',*,*,#186492,.T.); #247379=ORIENTED_EDGE('',*,*,#186494,.F.); #247380=ORIENTED_EDGE('',*,*,#186495,.F.); #247381=ORIENTED_EDGE('',*,*,#186496,.T.); #247382=ORIENTED_EDGE('',*,*,#186495,.T.); #247383=ORIENTED_EDGE('',*,*,#186497,.F.); #247384=ORIENTED_EDGE('',*,*,#186498,.F.); #247385=ORIENTED_EDGE('',*,*,#186499,.T.); #247386=ORIENTED_EDGE('',*,*,#186498,.T.); #247387=ORIENTED_EDGE('',*,*,#186500,.F.); #247388=ORIENTED_EDGE('',*,*,#186501,.F.); #247389=ORIENTED_EDGE('',*,*,#186502,.T.); #247390=ORIENTED_EDGE('',*,*,#186501,.T.); #247391=ORIENTED_EDGE('',*,*,#186503,.F.); #247392=ORIENTED_EDGE('',*,*,#186504,.F.); #247393=ORIENTED_EDGE('',*,*,#186505,.T.); #247394=ORIENTED_EDGE('',*,*,#186504,.T.); #247395=ORIENTED_EDGE('',*,*,#186506,.F.); #247396=ORIENTED_EDGE('',*,*,#186507,.F.); #247397=ORIENTED_EDGE('',*,*,#186508,.T.); #247398=ORIENTED_EDGE('',*,*,#186507,.T.); #247399=ORIENTED_EDGE('',*,*,#186509,.F.); #247400=ORIENTED_EDGE('',*,*,#186510,.F.); #247401=ORIENTED_EDGE('',*,*,#186511,.T.); #247402=ORIENTED_EDGE('',*,*,#186510,.T.); #247403=ORIENTED_EDGE('',*,*,#186512,.F.); #247404=ORIENTED_EDGE('',*,*,#186513,.F.); #247405=ORIENTED_EDGE('',*,*,#186514,.T.); #247406=ORIENTED_EDGE('',*,*,#186513,.T.); #247407=ORIENTED_EDGE('',*,*,#186515,.F.); #247408=ORIENTED_EDGE('',*,*,#186516,.F.); #247409=ORIENTED_EDGE('',*,*,#186517,.T.); #247410=ORIENTED_EDGE('',*,*,#186516,.T.); #247411=ORIENTED_EDGE('',*,*,#186518,.F.); #247412=ORIENTED_EDGE('',*,*,#186519,.F.); #247413=ORIENTED_EDGE('',*,*,#186520,.T.); #247414=ORIENTED_EDGE('',*,*,#186519,.T.); #247415=ORIENTED_EDGE('',*,*,#186521,.F.); #247416=ORIENTED_EDGE('',*,*,#186522,.F.); #247417=ORIENTED_EDGE('',*,*,#186523,.T.); #247418=ORIENTED_EDGE('',*,*,#186522,.T.); #247419=ORIENTED_EDGE('',*,*,#186524,.F.); #247420=ORIENTED_EDGE('',*,*,#186525,.F.); #247421=ORIENTED_EDGE('',*,*,#186526,.T.); #247422=ORIENTED_EDGE('',*,*,#186525,.T.); #247423=ORIENTED_EDGE('',*,*,#186527,.F.); #247424=ORIENTED_EDGE('',*,*,#186528,.F.); #247425=ORIENTED_EDGE('',*,*,#186529,.T.); #247426=ORIENTED_EDGE('',*,*,#186528,.T.); #247427=ORIENTED_EDGE('',*,*,#186530,.F.); #247428=ORIENTED_EDGE('',*,*,#186531,.F.); #247429=ORIENTED_EDGE('',*,*,#186532,.T.); #247430=ORIENTED_EDGE('',*,*,#186531,.T.); #247431=ORIENTED_EDGE('',*,*,#186533,.F.); #247432=ORIENTED_EDGE('',*,*,#186534,.F.); #247433=ORIENTED_EDGE('',*,*,#186535,.T.); #247434=ORIENTED_EDGE('',*,*,#186534,.T.); #247435=ORIENTED_EDGE('',*,*,#186536,.F.); #247436=ORIENTED_EDGE('',*,*,#186537,.F.); #247437=ORIENTED_EDGE('',*,*,#186538,.T.); #247438=ORIENTED_EDGE('',*,*,#186537,.T.); #247439=ORIENTED_EDGE('',*,*,#186539,.F.); #247440=ORIENTED_EDGE('',*,*,#186540,.F.); #247441=ORIENTED_EDGE('',*,*,#186541,.T.); #247442=ORIENTED_EDGE('',*,*,#186540,.T.); #247443=ORIENTED_EDGE('',*,*,#186542,.F.); #247444=ORIENTED_EDGE('',*,*,#186543,.F.); #247445=ORIENTED_EDGE('',*,*,#186544,.T.); #247446=ORIENTED_EDGE('',*,*,#186543,.T.); #247447=ORIENTED_EDGE('',*,*,#186545,.F.); #247448=ORIENTED_EDGE('',*,*,#186546,.F.); #247449=ORIENTED_EDGE('',*,*,#186547,.T.); #247450=ORIENTED_EDGE('',*,*,#186546,.T.); #247451=ORIENTED_EDGE('',*,*,#186548,.F.); #247452=ORIENTED_EDGE('',*,*,#186549,.F.); #247453=ORIENTED_EDGE('',*,*,#186550,.T.); #247454=ORIENTED_EDGE('',*,*,#186549,.T.); #247455=ORIENTED_EDGE('',*,*,#186551,.F.); #247456=ORIENTED_EDGE('',*,*,#186552,.F.); #247457=ORIENTED_EDGE('',*,*,#186553,.T.); #247458=ORIENTED_EDGE('',*,*,#186552,.T.); #247459=ORIENTED_EDGE('',*,*,#186554,.F.); #247460=ORIENTED_EDGE('',*,*,#186555,.F.); #247461=ORIENTED_EDGE('',*,*,#186556,.T.); #247462=ORIENTED_EDGE('',*,*,#186555,.T.); #247463=ORIENTED_EDGE('',*,*,#186557,.F.); #247464=ORIENTED_EDGE('',*,*,#186558,.F.); #247465=ORIENTED_EDGE('',*,*,#186559,.T.); #247466=ORIENTED_EDGE('',*,*,#186558,.T.); #247467=ORIENTED_EDGE('',*,*,#186560,.F.); #247468=ORIENTED_EDGE('',*,*,#186561,.F.); #247469=ORIENTED_EDGE('',*,*,#186562,.T.); #247470=ORIENTED_EDGE('',*,*,#186561,.T.); #247471=ORIENTED_EDGE('',*,*,#186563,.F.); #247472=ORIENTED_EDGE('',*,*,#186564,.F.); #247473=ORIENTED_EDGE('',*,*,#186565,.T.); #247474=ORIENTED_EDGE('',*,*,#186564,.T.); #247475=ORIENTED_EDGE('',*,*,#186566,.F.); #247476=ORIENTED_EDGE('',*,*,#186567,.F.); #247477=ORIENTED_EDGE('',*,*,#186568,.T.); #247478=ORIENTED_EDGE('',*,*,#186567,.T.); #247479=ORIENTED_EDGE('',*,*,#186569,.F.); #247480=ORIENTED_EDGE('',*,*,#186570,.F.); #247481=ORIENTED_EDGE('',*,*,#186571,.T.); #247482=ORIENTED_EDGE('',*,*,#186570,.T.); #247483=ORIENTED_EDGE('',*,*,#186572,.F.); #247484=ORIENTED_EDGE('',*,*,#186573,.F.); #247485=ORIENTED_EDGE('',*,*,#186574,.T.); #247486=ORIENTED_EDGE('',*,*,#186573,.T.); #247487=ORIENTED_EDGE('',*,*,#186575,.F.); #247488=ORIENTED_EDGE('',*,*,#186576,.F.); #247489=ORIENTED_EDGE('',*,*,#186577,.T.); #247490=ORIENTED_EDGE('',*,*,#186576,.T.); #247491=ORIENTED_EDGE('',*,*,#186578,.F.); #247492=ORIENTED_EDGE('',*,*,#186579,.F.); #247493=ORIENTED_EDGE('',*,*,#186580,.T.); #247494=ORIENTED_EDGE('',*,*,#186579,.T.); #247495=ORIENTED_EDGE('',*,*,#186581,.F.); #247496=ORIENTED_EDGE('',*,*,#186582,.F.); #247497=ORIENTED_EDGE('',*,*,#186583,.T.); #247498=ORIENTED_EDGE('',*,*,#186582,.T.); #247499=ORIENTED_EDGE('',*,*,#186584,.F.); #247500=ORIENTED_EDGE('',*,*,#186585,.F.); #247501=ORIENTED_EDGE('',*,*,#186586,.T.); #247502=ORIENTED_EDGE('',*,*,#186585,.T.); #247503=ORIENTED_EDGE('',*,*,#186587,.F.); #247504=ORIENTED_EDGE('',*,*,#186588,.F.); #247505=ORIENTED_EDGE('',*,*,#186589,.T.); #247506=ORIENTED_EDGE('',*,*,#186588,.T.); #247507=ORIENTED_EDGE('',*,*,#186590,.F.); #247508=ORIENTED_EDGE('',*,*,#186591,.F.); #247509=ORIENTED_EDGE('',*,*,#186592,.T.); #247510=ORIENTED_EDGE('',*,*,#186591,.T.); #247511=ORIENTED_EDGE('',*,*,#186593,.F.); #247512=ORIENTED_EDGE('',*,*,#186594,.F.); #247513=ORIENTED_EDGE('',*,*,#186595,.T.); #247514=ORIENTED_EDGE('',*,*,#186594,.T.); #247515=ORIENTED_EDGE('',*,*,#186596,.F.); #247516=ORIENTED_EDGE('',*,*,#186597,.F.); #247517=ORIENTED_EDGE('',*,*,#186598,.T.); #247518=ORIENTED_EDGE('',*,*,#186597,.T.); #247519=ORIENTED_EDGE('',*,*,#186599,.F.); #247520=ORIENTED_EDGE('',*,*,#186600,.F.); #247521=ORIENTED_EDGE('',*,*,#186601,.T.); #247522=ORIENTED_EDGE('',*,*,#186600,.T.); #247523=ORIENTED_EDGE('',*,*,#186602,.F.); #247524=ORIENTED_EDGE('',*,*,#186603,.F.); #247525=ORIENTED_EDGE('',*,*,#186604,.T.); #247526=ORIENTED_EDGE('',*,*,#186603,.T.); #247527=ORIENTED_EDGE('',*,*,#186605,.F.); #247528=ORIENTED_EDGE('',*,*,#186606,.F.); #247529=ORIENTED_EDGE('',*,*,#186607,.T.); #247530=ORIENTED_EDGE('',*,*,#186606,.T.); #247531=ORIENTED_EDGE('',*,*,#186608,.F.); #247532=ORIENTED_EDGE('',*,*,#186609,.F.); #247533=ORIENTED_EDGE('',*,*,#186610,.T.); #247534=ORIENTED_EDGE('',*,*,#186609,.T.); #247535=ORIENTED_EDGE('',*,*,#186611,.F.); #247536=ORIENTED_EDGE('',*,*,#186612,.F.); #247537=ORIENTED_EDGE('',*,*,#186613,.T.); #247538=ORIENTED_EDGE('',*,*,#186612,.T.); #247539=ORIENTED_EDGE('',*,*,#186614,.F.); #247540=ORIENTED_EDGE('',*,*,#186615,.F.); #247541=ORIENTED_EDGE('',*,*,#186616,.T.); #247542=ORIENTED_EDGE('',*,*,#186615,.T.); #247543=ORIENTED_EDGE('',*,*,#186617,.F.); #247544=ORIENTED_EDGE('',*,*,#186618,.F.); #247545=ORIENTED_EDGE('',*,*,#186619,.T.); #247546=ORIENTED_EDGE('',*,*,#186618,.T.); #247547=ORIENTED_EDGE('',*,*,#186620,.F.); #247548=ORIENTED_EDGE('',*,*,#186621,.F.); #247549=ORIENTED_EDGE('',*,*,#186622,.T.); #247550=ORIENTED_EDGE('',*,*,#186621,.T.); #247551=ORIENTED_EDGE('',*,*,#186623,.F.); #247552=ORIENTED_EDGE('',*,*,#186624,.F.); #247553=ORIENTED_EDGE('',*,*,#186625,.T.); #247554=ORIENTED_EDGE('',*,*,#186624,.T.); #247555=ORIENTED_EDGE('',*,*,#186626,.F.); #247556=ORIENTED_EDGE('',*,*,#186627,.F.); #247557=ORIENTED_EDGE('',*,*,#186628,.T.); #247558=ORIENTED_EDGE('',*,*,#186627,.T.); #247559=ORIENTED_EDGE('',*,*,#186629,.F.); #247560=ORIENTED_EDGE('',*,*,#186630,.F.); #247561=ORIENTED_EDGE('',*,*,#186631,.T.); #247562=ORIENTED_EDGE('',*,*,#186630,.T.); #247563=ORIENTED_EDGE('',*,*,#186632,.F.); #247564=ORIENTED_EDGE('',*,*,#186633,.F.); #247565=ORIENTED_EDGE('',*,*,#186634,.T.); #247566=ORIENTED_EDGE('',*,*,#186633,.T.); #247567=ORIENTED_EDGE('',*,*,#186635,.F.); #247568=ORIENTED_EDGE('',*,*,#186636,.F.); #247569=ORIENTED_EDGE('',*,*,#186637,.T.); #247570=ORIENTED_EDGE('',*,*,#186636,.T.); #247571=ORIENTED_EDGE('',*,*,#186638,.F.); #247572=ORIENTED_EDGE('',*,*,#186639,.F.); #247573=ORIENTED_EDGE('',*,*,#186640,.T.); #247574=ORIENTED_EDGE('',*,*,#186639,.T.); #247575=ORIENTED_EDGE('',*,*,#186641,.F.); #247576=ORIENTED_EDGE('',*,*,#186642,.F.); #247577=ORIENTED_EDGE('',*,*,#186643,.T.); #247578=ORIENTED_EDGE('',*,*,#186642,.T.); #247579=ORIENTED_EDGE('',*,*,#186644,.F.); #247580=ORIENTED_EDGE('',*,*,#186645,.F.); #247581=ORIENTED_EDGE('',*,*,#186646,.T.); #247582=ORIENTED_EDGE('',*,*,#186645,.T.); #247583=ORIENTED_EDGE('',*,*,#186647,.F.); #247584=ORIENTED_EDGE('',*,*,#186648,.F.); #247585=ORIENTED_EDGE('',*,*,#186649,.T.); #247586=ORIENTED_EDGE('',*,*,#186648,.T.); #247587=ORIENTED_EDGE('',*,*,#186650,.F.); #247588=ORIENTED_EDGE('',*,*,#186651,.F.); #247589=ORIENTED_EDGE('',*,*,#186652,.T.); #247590=ORIENTED_EDGE('',*,*,#186651,.T.); #247591=ORIENTED_EDGE('',*,*,#186653,.F.); #247592=ORIENTED_EDGE('',*,*,#186654,.F.); #247593=ORIENTED_EDGE('',*,*,#186655,.T.); #247594=ORIENTED_EDGE('',*,*,#186654,.T.); #247595=ORIENTED_EDGE('',*,*,#186656,.F.); #247596=ORIENTED_EDGE('',*,*,#186657,.F.); #247597=ORIENTED_EDGE('',*,*,#186658,.T.); #247598=ORIENTED_EDGE('',*,*,#186657,.T.); #247599=ORIENTED_EDGE('',*,*,#186659,.F.); #247600=ORIENTED_EDGE('',*,*,#186660,.F.); #247601=ORIENTED_EDGE('',*,*,#186661,.T.); #247602=ORIENTED_EDGE('',*,*,#186660,.T.); #247603=ORIENTED_EDGE('',*,*,#186662,.F.); #247604=ORIENTED_EDGE('',*,*,#186663,.F.); #247605=ORIENTED_EDGE('',*,*,#186664,.T.); #247606=ORIENTED_EDGE('',*,*,#186663,.T.); #247607=ORIENTED_EDGE('',*,*,#186665,.F.); #247608=ORIENTED_EDGE('',*,*,#186666,.F.); #247609=ORIENTED_EDGE('',*,*,#186667,.T.); #247610=ORIENTED_EDGE('',*,*,#186666,.T.); #247611=ORIENTED_EDGE('',*,*,#186668,.F.); #247612=ORIENTED_EDGE('',*,*,#186669,.F.); #247613=ORIENTED_EDGE('',*,*,#186670,.T.); #247614=ORIENTED_EDGE('',*,*,#186669,.T.); #247615=ORIENTED_EDGE('',*,*,#186671,.F.); #247616=ORIENTED_EDGE('',*,*,#186672,.F.); #247617=ORIENTED_EDGE('',*,*,#186673,.T.); #247618=ORIENTED_EDGE('',*,*,#186672,.T.); #247619=ORIENTED_EDGE('',*,*,#186674,.F.); #247620=ORIENTED_EDGE('',*,*,#186675,.F.); #247621=ORIENTED_EDGE('',*,*,#186676,.T.); #247622=ORIENTED_EDGE('',*,*,#186675,.T.); #247623=ORIENTED_EDGE('',*,*,#186677,.F.); #247624=ORIENTED_EDGE('',*,*,#186678,.F.); #247625=ORIENTED_EDGE('',*,*,#186679,.T.); #247626=ORIENTED_EDGE('',*,*,#186678,.T.); #247627=ORIENTED_EDGE('',*,*,#186680,.F.); #247628=ORIENTED_EDGE('',*,*,#186681,.F.); #247629=ORIENTED_EDGE('',*,*,#186682,.T.); #247630=ORIENTED_EDGE('',*,*,#186681,.T.); #247631=ORIENTED_EDGE('',*,*,#186683,.F.); #247632=ORIENTED_EDGE('',*,*,#186684,.F.); #247633=ORIENTED_EDGE('',*,*,#186685,.T.); #247634=ORIENTED_EDGE('',*,*,#186684,.T.); #247635=ORIENTED_EDGE('',*,*,#186686,.F.); #247636=ORIENTED_EDGE('',*,*,#186687,.F.); #247637=ORIENTED_EDGE('',*,*,#186688,.T.); #247638=ORIENTED_EDGE('',*,*,#186687,.T.); #247639=ORIENTED_EDGE('',*,*,#186689,.F.); #247640=ORIENTED_EDGE('',*,*,#186690,.F.); #247641=ORIENTED_EDGE('',*,*,#186691,.T.); #247642=ORIENTED_EDGE('',*,*,#186690,.T.); #247643=ORIENTED_EDGE('',*,*,#186692,.F.); #247644=ORIENTED_EDGE('',*,*,#186693,.F.); #247645=ORIENTED_EDGE('',*,*,#186694,.T.); #247646=ORIENTED_EDGE('',*,*,#186693,.T.); #247647=ORIENTED_EDGE('',*,*,#186695,.F.); #247648=ORIENTED_EDGE('',*,*,#186696,.F.); #247649=ORIENTED_EDGE('',*,*,#186697,.T.); #247650=ORIENTED_EDGE('',*,*,#186696,.T.); #247651=ORIENTED_EDGE('',*,*,#186698,.F.); #247652=ORIENTED_EDGE('',*,*,#186328,.F.); #247653=ORIENTED_EDGE('',*,*,#186698,.T.); #247654=ORIENTED_EDGE('',*,*,#186695,.T.); #247655=ORIENTED_EDGE('',*,*,#186692,.T.); #247656=ORIENTED_EDGE('',*,*,#186689,.T.); #247657=ORIENTED_EDGE('',*,*,#186686,.T.); #247658=ORIENTED_EDGE('',*,*,#186683,.T.); #247659=ORIENTED_EDGE('',*,*,#186680,.T.); #247660=ORIENTED_EDGE('',*,*,#186677,.T.); #247661=ORIENTED_EDGE('',*,*,#186674,.T.); #247662=ORIENTED_EDGE('',*,*,#186671,.T.); #247663=ORIENTED_EDGE('',*,*,#186668,.T.); #247664=ORIENTED_EDGE('',*,*,#186665,.T.); #247665=ORIENTED_EDGE('',*,*,#186662,.T.); #247666=ORIENTED_EDGE('',*,*,#186659,.T.); #247667=ORIENTED_EDGE('',*,*,#186656,.T.); #247668=ORIENTED_EDGE('',*,*,#186653,.T.); #247669=ORIENTED_EDGE('',*,*,#186650,.T.); #247670=ORIENTED_EDGE('',*,*,#186647,.T.); #247671=ORIENTED_EDGE('',*,*,#186644,.T.); #247672=ORIENTED_EDGE('',*,*,#186641,.T.); #247673=ORIENTED_EDGE('',*,*,#186638,.T.); #247674=ORIENTED_EDGE('',*,*,#186635,.T.); #247675=ORIENTED_EDGE('',*,*,#186632,.T.); #247676=ORIENTED_EDGE('',*,*,#186629,.T.); #247677=ORIENTED_EDGE('',*,*,#186626,.T.); #247678=ORIENTED_EDGE('',*,*,#186623,.T.); #247679=ORIENTED_EDGE('',*,*,#186620,.T.); #247680=ORIENTED_EDGE('',*,*,#186617,.T.); #247681=ORIENTED_EDGE('',*,*,#186614,.T.); #247682=ORIENTED_EDGE('',*,*,#186611,.T.); #247683=ORIENTED_EDGE('',*,*,#186608,.T.); #247684=ORIENTED_EDGE('',*,*,#186605,.T.); #247685=ORIENTED_EDGE('',*,*,#186602,.T.); #247686=ORIENTED_EDGE('',*,*,#186599,.T.); #247687=ORIENTED_EDGE('',*,*,#186596,.T.); #247688=ORIENTED_EDGE('',*,*,#186593,.T.); #247689=ORIENTED_EDGE('',*,*,#186590,.T.); #247690=ORIENTED_EDGE('',*,*,#186587,.T.); #247691=ORIENTED_EDGE('',*,*,#186584,.T.); #247692=ORIENTED_EDGE('',*,*,#186581,.T.); #247693=ORIENTED_EDGE('',*,*,#186578,.T.); #247694=ORIENTED_EDGE('',*,*,#186575,.T.); #247695=ORIENTED_EDGE('',*,*,#186572,.T.); #247696=ORIENTED_EDGE('',*,*,#186569,.T.); #247697=ORIENTED_EDGE('',*,*,#186566,.T.); #247698=ORIENTED_EDGE('',*,*,#186563,.T.); #247699=ORIENTED_EDGE('',*,*,#186560,.T.); #247700=ORIENTED_EDGE('',*,*,#186557,.T.); #247701=ORIENTED_EDGE('',*,*,#186554,.T.); #247702=ORIENTED_EDGE('',*,*,#186551,.T.); #247703=ORIENTED_EDGE('',*,*,#186548,.T.); #247704=ORIENTED_EDGE('',*,*,#186545,.T.); #247705=ORIENTED_EDGE('',*,*,#186542,.T.); #247706=ORIENTED_EDGE('',*,*,#186539,.T.); #247707=ORIENTED_EDGE('',*,*,#186536,.T.); #247708=ORIENTED_EDGE('',*,*,#186533,.T.); #247709=ORIENTED_EDGE('',*,*,#186530,.T.); #247710=ORIENTED_EDGE('',*,*,#186527,.T.); #247711=ORIENTED_EDGE('',*,*,#186524,.T.); #247712=ORIENTED_EDGE('',*,*,#186521,.T.); #247713=ORIENTED_EDGE('',*,*,#186518,.T.); #247714=ORIENTED_EDGE('',*,*,#186515,.T.); #247715=ORIENTED_EDGE('',*,*,#186512,.T.); #247716=ORIENTED_EDGE('',*,*,#186509,.T.); #247717=ORIENTED_EDGE('',*,*,#186506,.T.); #247718=ORIENTED_EDGE('',*,*,#186503,.T.); #247719=ORIENTED_EDGE('',*,*,#186500,.T.); #247720=ORIENTED_EDGE('',*,*,#186497,.T.); #247721=ORIENTED_EDGE('',*,*,#186494,.T.); #247722=ORIENTED_EDGE('',*,*,#186491,.T.); #247723=ORIENTED_EDGE('',*,*,#186488,.T.); #247724=ORIENTED_EDGE('',*,*,#186485,.T.); #247725=ORIENTED_EDGE('',*,*,#186482,.T.); #247726=ORIENTED_EDGE('',*,*,#186479,.T.); #247727=ORIENTED_EDGE('',*,*,#186476,.T.); #247728=ORIENTED_EDGE('',*,*,#186473,.T.); #247729=ORIENTED_EDGE('',*,*,#186470,.T.); #247730=ORIENTED_EDGE('',*,*,#186467,.T.); #247731=ORIENTED_EDGE('',*,*,#186464,.T.); #247732=ORIENTED_EDGE('',*,*,#186461,.T.); #247733=ORIENTED_EDGE('',*,*,#186458,.T.); #247734=ORIENTED_EDGE('',*,*,#186455,.T.); #247735=ORIENTED_EDGE('',*,*,#186452,.T.); #247736=ORIENTED_EDGE('',*,*,#186449,.T.); #247737=ORIENTED_EDGE('',*,*,#186446,.T.); #247738=ORIENTED_EDGE('',*,*,#186443,.T.); #247739=ORIENTED_EDGE('',*,*,#186440,.T.); #247740=ORIENTED_EDGE('',*,*,#186437,.T.); #247741=ORIENTED_EDGE('',*,*,#186434,.T.); #247742=ORIENTED_EDGE('',*,*,#186431,.T.); #247743=ORIENTED_EDGE('',*,*,#186428,.T.); #247744=ORIENTED_EDGE('',*,*,#186425,.T.); #247745=ORIENTED_EDGE('',*,*,#186422,.T.); #247746=ORIENTED_EDGE('',*,*,#186419,.T.); #247747=ORIENTED_EDGE('',*,*,#186416,.T.); #247748=ORIENTED_EDGE('',*,*,#186413,.T.); #247749=ORIENTED_EDGE('',*,*,#186410,.T.); #247750=ORIENTED_EDGE('',*,*,#186407,.T.); #247751=ORIENTED_EDGE('',*,*,#186404,.T.); #247752=ORIENTED_EDGE('',*,*,#186401,.T.); #247753=ORIENTED_EDGE('',*,*,#186398,.T.); #247754=ORIENTED_EDGE('',*,*,#186395,.T.); #247755=ORIENTED_EDGE('',*,*,#186392,.T.); #247756=ORIENTED_EDGE('',*,*,#186389,.T.); #247757=ORIENTED_EDGE('',*,*,#186386,.T.); #247758=ORIENTED_EDGE('',*,*,#186383,.T.); #247759=ORIENTED_EDGE('',*,*,#186380,.T.); #247760=ORIENTED_EDGE('',*,*,#186377,.T.); #247761=ORIENTED_EDGE('',*,*,#186374,.T.); #247762=ORIENTED_EDGE('',*,*,#186371,.T.); #247763=ORIENTED_EDGE('',*,*,#186368,.T.); #247764=ORIENTED_EDGE('',*,*,#186365,.T.); #247765=ORIENTED_EDGE('',*,*,#186362,.T.); #247766=ORIENTED_EDGE('',*,*,#186359,.T.); #247767=ORIENTED_EDGE('',*,*,#186356,.T.); #247768=ORIENTED_EDGE('',*,*,#186353,.T.); #247769=ORIENTED_EDGE('',*,*,#186350,.T.); #247770=ORIENTED_EDGE('',*,*,#186347,.T.); #247771=ORIENTED_EDGE('',*,*,#186344,.T.); #247772=ORIENTED_EDGE('',*,*,#186341,.T.); #247773=ORIENTED_EDGE('',*,*,#186338,.T.); #247774=ORIENTED_EDGE('',*,*,#186335,.T.); #247775=ORIENTED_EDGE('',*,*,#186332,.T.); #247776=ORIENTED_EDGE('',*,*,#186329,.T.); #247777=ORIENTED_EDGE('',*,*,#186296,.T.); #247778=ORIENTED_EDGE('',*,*,#186299,.T.); #247779=ORIENTED_EDGE('',*,*,#186302,.T.); #247780=ORIENTED_EDGE('',*,*,#186305,.T.); #247781=ORIENTED_EDGE('',*,*,#186308,.T.); #247782=ORIENTED_EDGE('',*,*,#186311,.T.); #247783=ORIENTED_EDGE('',*,*,#186314,.T.); #247784=ORIENTED_EDGE('',*,*,#186317,.T.); #247785=ORIENTED_EDGE('',*,*,#186320,.T.); #247786=ORIENTED_EDGE('',*,*,#186323,.T.); #247787=ORIENTED_EDGE('',*,*,#186326,.T.); #247788=ORIENTED_EDGE('',*,*,#186697,.F.); #247789=ORIENTED_EDGE('',*,*,#186327,.F.); #247790=ORIENTED_EDGE('',*,*,#186331,.F.); #247791=ORIENTED_EDGE('',*,*,#186334,.F.); #247792=ORIENTED_EDGE('',*,*,#186337,.F.); #247793=ORIENTED_EDGE('',*,*,#186340,.F.); #247794=ORIENTED_EDGE('',*,*,#186343,.F.); #247795=ORIENTED_EDGE('',*,*,#186346,.F.); #247796=ORIENTED_EDGE('',*,*,#186349,.F.); #247797=ORIENTED_EDGE('',*,*,#186352,.F.); #247798=ORIENTED_EDGE('',*,*,#186355,.F.); #247799=ORIENTED_EDGE('',*,*,#186358,.F.); #247800=ORIENTED_EDGE('',*,*,#186361,.F.); #247801=ORIENTED_EDGE('',*,*,#186364,.F.); #247802=ORIENTED_EDGE('',*,*,#186367,.F.); #247803=ORIENTED_EDGE('',*,*,#186370,.F.); #247804=ORIENTED_EDGE('',*,*,#186373,.F.); #247805=ORIENTED_EDGE('',*,*,#186376,.F.); #247806=ORIENTED_EDGE('',*,*,#186379,.F.); #247807=ORIENTED_EDGE('',*,*,#186382,.F.); #247808=ORIENTED_EDGE('',*,*,#186385,.F.); #247809=ORIENTED_EDGE('',*,*,#186388,.F.); #247810=ORIENTED_EDGE('',*,*,#186391,.F.); #247811=ORIENTED_EDGE('',*,*,#186394,.F.); #247812=ORIENTED_EDGE('',*,*,#186397,.F.); #247813=ORIENTED_EDGE('',*,*,#186400,.F.); #247814=ORIENTED_EDGE('',*,*,#186403,.F.); #247815=ORIENTED_EDGE('',*,*,#186406,.F.); #247816=ORIENTED_EDGE('',*,*,#186409,.F.); #247817=ORIENTED_EDGE('',*,*,#186412,.F.); #247818=ORIENTED_EDGE('',*,*,#186415,.F.); #247819=ORIENTED_EDGE('',*,*,#186418,.F.); #247820=ORIENTED_EDGE('',*,*,#186421,.F.); #247821=ORIENTED_EDGE('',*,*,#186424,.F.); #247822=ORIENTED_EDGE('',*,*,#186427,.F.); #247823=ORIENTED_EDGE('',*,*,#186430,.F.); #247824=ORIENTED_EDGE('',*,*,#186433,.F.); #247825=ORIENTED_EDGE('',*,*,#186436,.F.); #247826=ORIENTED_EDGE('',*,*,#186439,.F.); #247827=ORIENTED_EDGE('',*,*,#186442,.F.); #247828=ORIENTED_EDGE('',*,*,#186445,.F.); #247829=ORIENTED_EDGE('',*,*,#186448,.F.); #247830=ORIENTED_EDGE('',*,*,#186451,.F.); #247831=ORIENTED_EDGE('',*,*,#186454,.F.); #247832=ORIENTED_EDGE('',*,*,#186457,.F.); #247833=ORIENTED_EDGE('',*,*,#186460,.F.); #247834=ORIENTED_EDGE('',*,*,#186463,.F.); #247835=ORIENTED_EDGE('',*,*,#186466,.F.); #247836=ORIENTED_EDGE('',*,*,#186469,.F.); #247837=ORIENTED_EDGE('',*,*,#186472,.F.); #247838=ORIENTED_EDGE('',*,*,#186475,.F.); #247839=ORIENTED_EDGE('',*,*,#186478,.F.); #247840=ORIENTED_EDGE('',*,*,#186481,.F.); #247841=ORIENTED_EDGE('',*,*,#186484,.F.); #247842=ORIENTED_EDGE('',*,*,#186487,.F.); #247843=ORIENTED_EDGE('',*,*,#186490,.F.); #247844=ORIENTED_EDGE('',*,*,#186493,.F.); #247845=ORIENTED_EDGE('',*,*,#186496,.F.); #247846=ORIENTED_EDGE('',*,*,#186499,.F.); #247847=ORIENTED_EDGE('',*,*,#186502,.F.); #247848=ORIENTED_EDGE('',*,*,#186505,.F.); #247849=ORIENTED_EDGE('',*,*,#186508,.F.); #247850=ORIENTED_EDGE('',*,*,#186511,.F.); #247851=ORIENTED_EDGE('',*,*,#186514,.F.); #247852=ORIENTED_EDGE('',*,*,#186517,.F.); #247853=ORIENTED_EDGE('',*,*,#186520,.F.); #247854=ORIENTED_EDGE('',*,*,#186523,.F.); #247855=ORIENTED_EDGE('',*,*,#186526,.F.); #247856=ORIENTED_EDGE('',*,*,#186529,.F.); #247857=ORIENTED_EDGE('',*,*,#186532,.F.); #247858=ORIENTED_EDGE('',*,*,#186535,.F.); #247859=ORIENTED_EDGE('',*,*,#186538,.F.); #247860=ORIENTED_EDGE('',*,*,#186541,.F.); #247861=ORIENTED_EDGE('',*,*,#186544,.F.); #247862=ORIENTED_EDGE('',*,*,#186547,.F.); #247863=ORIENTED_EDGE('',*,*,#186550,.F.); #247864=ORIENTED_EDGE('',*,*,#186553,.F.); #247865=ORIENTED_EDGE('',*,*,#186556,.F.); #247866=ORIENTED_EDGE('',*,*,#186559,.F.); #247867=ORIENTED_EDGE('',*,*,#186562,.F.); #247868=ORIENTED_EDGE('',*,*,#186565,.F.); #247869=ORIENTED_EDGE('',*,*,#186568,.F.); #247870=ORIENTED_EDGE('',*,*,#186571,.F.); #247871=ORIENTED_EDGE('',*,*,#186574,.F.); #247872=ORIENTED_EDGE('',*,*,#186577,.F.); #247873=ORIENTED_EDGE('',*,*,#186580,.F.); #247874=ORIENTED_EDGE('',*,*,#186583,.F.); #247875=ORIENTED_EDGE('',*,*,#186586,.F.); #247876=ORIENTED_EDGE('',*,*,#186589,.F.); #247877=ORIENTED_EDGE('',*,*,#186592,.F.); #247878=ORIENTED_EDGE('',*,*,#186595,.F.); #247879=ORIENTED_EDGE('',*,*,#186598,.F.); #247880=ORIENTED_EDGE('',*,*,#186601,.F.); #247881=ORIENTED_EDGE('',*,*,#186604,.F.); #247882=ORIENTED_EDGE('',*,*,#186607,.F.); #247883=ORIENTED_EDGE('',*,*,#186610,.F.); #247884=ORIENTED_EDGE('',*,*,#186613,.F.); #247885=ORIENTED_EDGE('',*,*,#186616,.F.); #247886=ORIENTED_EDGE('',*,*,#186619,.F.); #247887=ORIENTED_EDGE('',*,*,#186622,.F.); #247888=ORIENTED_EDGE('',*,*,#186625,.F.); #247889=ORIENTED_EDGE('',*,*,#186628,.F.); #247890=ORIENTED_EDGE('',*,*,#186631,.F.); #247891=ORIENTED_EDGE('',*,*,#186634,.F.); #247892=ORIENTED_EDGE('',*,*,#186637,.F.); #247893=ORIENTED_EDGE('',*,*,#186640,.F.); #247894=ORIENTED_EDGE('',*,*,#186643,.F.); #247895=ORIENTED_EDGE('',*,*,#186646,.F.); #247896=ORIENTED_EDGE('',*,*,#186649,.F.); #247897=ORIENTED_EDGE('',*,*,#186652,.F.); #247898=ORIENTED_EDGE('',*,*,#186655,.F.); #247899=ORIENTED_EDGE('',*,*,#186658,.F.); #247900=ORIENTED_EDGE('',*,*,#186661,.F.); #247901=ORIENTED_EDGE('',*,*,#186664,.F.); #247902=ORIENTED_EDGE('',*,*,#186667,.F.); #247903=ORIENTED_EDGE('',*,*,#186670,.F.); #247904=ORIENTED_EDGE('',*,*,#186673,.F.); #247905=ORIENTED_EDGE('',*,*,#186676,.F.); #247906=ORIENTED_EDGE('',*,*,#186679,.F.); #247907=ORIENTED_EDGE('',*,*,#186682,.F.); #247908=ORIENTED_EDGE('',*,*,#186685,.F.); #247909=ORIENTED_EDGE('',*,*,#186688,.F.); #247910=ORIENTED_EDGE('',*,*,#186691,.F.); #247911=ORIENTED_EDGE('',*,*,#186694,.F.); #247912=ORIENTED_EDGE('',*,*,#186294,.T.); #247913=ORIENTED_EDGE('',*,*,#186297,.T.); #247914=ORIENTED_EDGE('',*,*,#186300,.T.); #247915=ORIENTED_EDGE('',*,*,#186303,.T.); #247916=ORIENTED_EDGE('',*,*,#186306,.T.); #247917=ORIENTED_EDGE('',*,*,#186309,.T.); #247918=ORIENTED_EDGE('',*,*,#186312,.T.); #247919=ORIENTED_EDGE('',*,*,#186315,.T.); #247920=ORIENTED_EDGE('',*,*,#186318,.T.); #247921=ORIENTED_EDGE('',*,*,#186321,.T.); #247922=ORIENTED_EDGE('',*,*,#186324,.T.); #247923=ORIENTED_EDGE('',*,*,#186699,.F.); #247924=ORIENTED_EDGE('',*,*,#186700,.T.); #247925=ORIENTED_EDGE('',*,*,#186701,.F.); #247926=ORIENTED_EDGE('',*,*,#186700,.F.); #247927=ORIENTED_EDGE('',*,*,#186702,.F.); #247928=ORIENTED_EDGE('',*,*,#186703,.T.); #247929=ORIENTED_EDGE('',*,*,#186704,.F.); #247930=ORIENTED_EDGE('',*,*,#186703,.F.); #247931=ORIENTED_EDGE('',*,*,#186705,.F.); #247932=ORIENTED_EDGE('',*,*,#186706,.T.); #247933=ORIENTED_EDGE('',*,*,#186707,.F.); #247934=ORIENTED_EDGE('',*,*,#186706,.F.); #247935=ORIENTED_EDGE('',*,*,#186708,.F.); #247936=ORIENTED_EDGE('',*,*,#186709,.T.); #247937=ORIENTED_EDGE('',*,*,#186710,.F.); #247938=ORIENTED_EDGE('',*,*,#186709,.F.); #247939=ORIENTED_EDGE('',*,*,#186711,.F.); #247940=ORIENTED_EDGE('',*,*,#186712,.T.); #247941=ORIENTED_EDGE('',*,*,#186713,.F.); #247942=ORIENTED_EDGE('',*,*,#186712,.F.); #247943=ORIENTED_EDGE('',*,*,#186714,.F.); #247944=ORIENTED_EDGE('',*,*,#186715,.T.); #247945=ORIENTED_EDGE('',*,*,#186716,.F.); #247946=ORIENTED_EDGE('',*,*,#186715,.F.); #247947=ORIENTED_EDGE('',*,*,#186717,.F.); #247948=ORIENTED_EDGE('',*,*,#186718,.T.); #247949=ORIENTED_EDGE('',*,*,#186719,.F.); #247950=ORIENTED_EDGE('',*,*,#186718,.F.); #247951=ORIENTED_EDGE('',*,*,#186720,.F.); #247952=ORIENTED_EDGE('',*,*,#186721,.T.); #247953=ORIENTED_EDGE('',*,*,#186722,.F.); #247954=ORIENTED_EDGE('',*,*,#186721,.F.); #247955=ORIENTED_EDGE('',*,*,#186723,.F.); #247956=ORIENTED_EDGE('',*,*,#186724,.T.); #247957=ORIENTED_EDGE('',*,*,#186725,.F.); #247958=ORIENTED_EDGE('',*,*,#186724,.F.); #247959=ORIENTED_EDGE('',*,*,#186726,.F.); #247960=ORIENTED_EDGE('',*,*,#186727,.T.); #247961=ORIENTED_EDGE('',*,*,#186728,.F.); #247962=ORIENTED_EDGE('',*,*,#186727,.F.); #247963=ORIENTED_EDGE('',*,*,#186729,.F.); #247964=ORIENTED_EDGE('',*,*,#186730,.T.); #247965=ORIENTED_EDGE('',*,*,#186731,.F.); #247966=ORIENTED_EDGE('',*,*,#186730,.F.); #247967=ORIENTED_EDGE('',*,*,#186732,.F.); #247968=ORIENTED_EDGE('',*,*,#186733,.T.); #247969=ORIENTED_EDGE('',*,*,#186734,.F.); #247970=ORIENTED_EDGE('',*,*,#186733,.F.); #247971=ORIENTED_EDGE('',*,*,#186735,.F.); #247972=ORIENTED_EDGE('',*,*,#186736,.T.); #247973=ORIENTED_EDGE('',*,*,#186737,.F.); #247974=ORIENTED_EDGE('',*,*,#186736,.F.); #247975=ORIENTED_EDGE('',*,*,#186738,.F.); #247976=ORIENTED_EDGE('',*,*,#186739,.T.); #247977=ORIENTED_EDGE('',*,*,#186740,.F.); #247978=ORIENTED_EDGE('',*,*,#186739,.F.); #247979=ORIENTED_EDGE('',*,*,#186741,.F.); #247980=ORIENTED_EDGE('',*,*,#186742,.T.); #247981=ORIENTED_EDGE('',*,*,#186743,.F.); #247982=ORIENTED_EDGE('',*,*,#186742,.F.); #247983=ORIENTED_EDGE('',*,*,#186744,.F.); #247984=ORIENTED_EDGE('',*,*,#186745,.T.); #247985=ORIENTED_EDGE('',*,*,#186746,.F.); #247986=ORIENTED_EDGE('',*,*,#186745,.F.); #247987=ORIENTED_EDGE('',*,*,#186747,.F.); #247988=ORIENTED_EDGE('',*,*,#186748,.T.); #247989=ORIENTED_EDGE('',*,*,#186749,.F.); #247990=ORIENTED_EDGE('',*,*,#186748,.F.); #247991=ORIENTED_EDGE('',*,*,#186750,.F.); #247992=ORIENTED_EDGE('',*,*,#186751,.T.); #247993=ORIENTED_EDGE('',*,*,#186752,.F.); #247994=ORIENTED_EDGE('',*,*,#186751,.F.); #247995=ORIENTED_EDGE('',*,*,#186753,.F.); #247996=ORIENTED_EDGE('',*,*,#186754,.T.); #247997=ORIENTED_EDGE('',*,*,#186755,.F.); #247998=ORIENTED_EDGE('',*,*,#186754,.F.); #247999=ORIENTED_EDGE('',*,*,#186756,.F.); #248000=ORIENTED_EDGE('',*,*,#186757,.T.); #248001=ORIENTED_EDGE('',*,*,#186758,.F.); #248002=ORIENTED_EDGE('',*,*,#186757,.F.); #248003=ORIENTED_EDGE('',*,*,#186759,.F.); #248004=ORIENTED_EDGE('',*,*,#186760,.T.); #248005=ORIENTED_EDGE('',*,*,#186761,.F.); #248006=ORIENTED_EDGE('',*,*,#186760,.F.); #248007=ORIENTED_EDGE('',*,*,#186762,.F.); #248008=ORIENTED_EDGE('',*,*,#186763,.T.); #248009=ORIENTED_EDGE('',*,*,#186764,.F.); #248010=ORIENTED_EDGE('',*,*,#186763,.F.); #248011=ORIENTED_EDGE('',*,*,#186765,.F.); #248012=ORIENTED_EDGE('',*,*,#186766,.T.); #248013=ORIENTED_EDGE('',*,*,#186767,.F.); #248014=ORIENTED_EDGE('',*,*,#186766,.F.); #248015=ORIENTED_EDGE('',*,*,#186768,.F.); #248016=ORIENTED_EDGE('',*,*,#186769,.T.); #248017=ORIENTED_EDGE('',*,*,#186770,.F.); #248018=ORIENTED_EDGE('',*,*,#186769,.F.); #248019=ORIENTED_EDGE('',*,*,#186771,.F.); #248020=ORIENTED_EDGE('',*,*,#186772,.T.); #248021=ORIENTED_EDGE('',*,*,#186773,.F.); #248022=ORIENTED_EDGE('',*,*,#186772,.F.); #248023=ORIENTED_EDGE('',*,*,#186774,.F.); #248024=ORIENTED_EDGE('',*,*,#186775,.T.); #248025=ORIENTED_EDGE('',*,*,#186776,.F.); #248026=ORIENTED_EDGE('',*,*,#186775,.F.); #248027=ORIENTED_EDGE('',*,*,#186777,.F.); #248028=ORIENTED_EDGE('',*,*,#186778,.T.); #248029=ORIENTED_EDGE('',*,*,#186779,.F.); #248030=ORIENTED_EDGE('',*,*,#186778,.F.); #248031=ORIENTED_EDGE('',*,*,#186780,.F.); #248032=ORIENTED_EDGE('',*,*,#186781,.T.); #248033=ORIENTED_EDGE('',*,*,#186782,.F.); #248034=ORIENTED_EDGE('',*,*,#186781,.F.); #248035=ORIENTED_EDGE('',*,*,#186783,.F.); #248036=ORIENTED_EDGE('',*,*,#186784,.T.); #248037=ORIENTED_EDGE('',*,*,#186785,.F.); #248038=ORIENTED_EDGE('',*,*,#186784,.F.); #248039=ORIENTED_EDGE('',*,*,#186786,.F.); #248040=ORIENTED_EDGE('',*,*,#186787,.T.); #248041=ORIENTED_EDGE('',*,*,#186788,.F.); #248042=ORIENTED_EDGE('',*,*,#186787,.F.); #248043=ORIENTED_EDGE('',*,*,#186789,.F.); #248044=ORIENTED_EDGE('',*,*,#186790,.T.); #248045=ORIENTED_EDGE('',*,*,#186791,.F.); #248046=ORIENTED_EDGE('',*,*,#186790,.F.); #248047=ORIENTED_EDGE('',*,*,#186792,.T.); #248048=ORIENTED_EDGE('',*,*,#186793,.T.); #248049=ORIENTED_EDGE('',*,*,#186794,.F.); #248050=ORIENTED_EDGE('',*,*,#186795,.F.); #248051=ORIENTED_EDGE('',*,*,#186796,.T.); #248052=ORIENTED_EDGE('',*,*,#186795,.T.); #248053=ORIENTED_EDGE('',*,*,#186797,.F.); #248054=ORIENTED_EDGE('',*,*,#186798,.F.); #248055=ORIENTED_EDGE('',*,*,#186799,.T.); #248056=ORIENTED_EDGE('',*,*,#186798,.T.); #248057=ORIENTED_EDGE('',*,*,#186800,.F.); #248058=ORIENTED_EDGE('',*,*,#186801,.F.); #248059=ORIENTED_EDGE('',*,*,#186802,.T.); #248060=ORIENTED_EDGE('',*,*,#186801,.T.); #248061=ORIENTED_EDGE('',*,*,#186803,.F.); #248062=ORIENTED_EDGE('',*,*,#186804,.F.); #248063=ORIENTED_EDGE('',*,*,#186805,.T.); #248064=ORIENTED_EDGE('',*,*,#186804,.T.); #248065=ORIENTED_EDGE('',*,*,#186806,.F.); #248066=ORIENTED_EDGE('',*,*,#186807,.F.); #248067=ORIENTED_EDGE('',*,*,#186808,.T.); #248068=ORIENTED_EDGE('',*,*,#186807,.T.); #248069=ORIENTED_EDGE('',*,*,#186809,.F.); #248070=ORIENTED_EDGE('',*,*,#186810,.F.); #248071=ORIENTED_EDGE('',*,*,#186811,.T.); #248072=ORIENTED_EDGE('',*,*,#186810,.T.); #248073=ORIENTED_EDGE('',*,*,#186812,.F.); #248074=ORIENTED_EDGE('',*,*,#186813,.F.); #248075=ORIENTED_EDGE('',*,*,#186814,.T.); #248076=ORIENTED_EDGE('',*,*,#186813,.T.); #248077=ORIENTED_EDGE('',*,*,#186815,.F.); #248078=ORIENTED_EDGE('',*,*,#186816,.F.); #248079=ORIENTED_EDGE('',*,*,#186817,.T.); #248080=ORIENTED_EDGE('',*,*,#186816,.T.); #248081=ORIENTED_EDGE('',*,*,#186818,.F.); #248082=ORIENTED_EDGE('',*,*,#186819,.F.); #248083=ORIENTED_EDGE('',*,*,#186820,.T.); #248084=ORIENTED_EDGE('',*,*,#186819,.T.); #248085=ORIENTED_EDGE('',*,*,#186821,.F.); #248086=ORIENTED_EDGE('',*,*,#186822,.F.); #248087=ORIENTED_EDGE('',*,*,#186823,.T.); #248088=ORIENTED_EDGE('',*,*,#186822,.T.); #248089=ORIENTED_EDGE('',*,*,#186824,.F.); #248090=ORIENTED_EDGE('',*,*,#186825,.F.); #248091=ORIENTED_EDGE('',*,*,#186826,.T.); #248092=ORIENTED_EDGE('',*,*,#186825,.T.); #248093=ORIENTED_EDGE('',*,*,#186827,.F.); #248094=ORIENTED_EDGE('',*,*,#186828,.F.); #248095=ORIENTED_EDGE('',*,*,#186829,.T.); #248096=ORIENTED_EDGE('',*,*,#186828,.T.); #248097=ORIENTED_EDGE('',*,*,#186830,.F.); #248098=ORIENTED_EDGE('',*,*,#186831,.F.); #248099=ORIENTED_EDGE('',*,*,#186832,.T.); #248100=ORIENTED_EDGE('',*,*,#186831,.T.); #248101=ORIENTED_EDGE('',*,*,#186833,.F.); #248102=ORIENTED_EDGE('',*,*,#186834,.F.); #248103=ORIENTED_EDGE('',*,*,#186835,.T.); #248104=ORIENTED_EDGE('',*,*,#186834,.T.); #248105=ORIENTED_EDGE('',*,*,#186836,.F.); #248106=ORIENTED_EDGE('',*,*,#186837,.F.); #248107=ORIENTED_EDGE('',*,*,#186838,.T.); #248108=ORIENTED_EDGE('',*,*,#186837,.T.); #248109=ORIENTED_EDGE('',*,*,#186839,.F.); #248110=ORIENTED_EDGE('',*,*,#186840,.F.); #248111=ORIENTED_EDGE('',*,*,#186841,.T.); #248112=ORIENTED_EDGE('',*,*,#186840,.T.); #248113=ORIENTED_EDGE('',*,*,#186842,.F.); #248114=ORIENTED_EDGE('',*,*,#186843,.F.); #248115=ORIENTED_EDGE('',*,*,#186844,.T.); #248116=ORIENTED_EDGE('',*,*,#186843,.T.); #248117=ORIENTED_EDGE('',*,*,#186845,.F.); #248118=ORIENTED_EDGE('',*,*,#186846,.F.); #248119=ORIENTED_EDGE('',*,*,#186847,.T.); #248120=ORIENTED_EDGE('',*,*,#186846,.T.); #248121=ORIENTED_EDGE('',*,*,#186848,.F.); #248122=ORIENTED_EDGE('',*,*,#186849,.F.); #248123=ORIENTED_EDGE('',*,*,#186850,.T.); #248124=ORIENTED_EDGE('',*,*,#186849,.T.); #248125=ORIENTED_EDGE('',*,*,#186851,.F.); #248126=ORIENTED_EDGE('',*,*,#186852,.F.); #248127=ORIENTED_EDGE('',*,*,#186853,.T.); #248128=ORIENTED_EDGE('',*,*,#186852,.T.); #248129=ORIENTED_EDGE('',*,*,#186854,.F.); #248130=ORIENTED_EDGE('',*,*,#186855,.F.); #248131=ORIENTED_EDGE('',*,*,#186856,.T.); #248132=ORIENTED_EDGE('',*,*,#186855,.T.); #248133=ORIENTED_EDGE('',*,*,#186857,.F.); #248134=ORIENTED_EDGE('',*,*,#186858,.F.); #248135=ORIENTED_EDGE('',*,*,#186859,.T.); #248136=ORIENTED_EDGE('',*,*,#186858,.T.); #248137=ORIENTED_EDGE('',*,*,#186860,.F.); #248138=ORIENTED_EDGE('',*,*,#186861,.F.); #248139=ORIENTED_EDGE('',*,*,#186862,.T.); #248140=ORIENTED_EDGE('',*,*,#186861,.T.); #248141=ORIENTED_EDGE('',*,*,#186863,.F.); #248142=ORIENTED_EDGE('',*,*,#186864,.F.); #248143=ORIENTED_EDGE('',*,*,#186865,.T.); #248144=ORIENTED_EDGE('',*,*,#186864,.T.); #248145=ORIENTED_EDGE('',*,*,#186866,.F.); #248146=ORIENTED_EDGE('',*,*,#186867,.F.); #248147=ORIENTED_EDGE('',*,*,#186868,.T.); #248148=ORIENTED_EDGE('',*,*,#186867,.T.); #248149=ORIENTED_EDGE('',*,*,#186869,.F.); #248150=ORIENTED_EDGE('',*,*,#186870,.F.); #248151=ORIENTED_EDGE('',*,*,#186871,.T.); #248152=ORIENTED_EDGE('',*,*,#186870,.T.); #248153=ORIENTED_EDGE('',*,*,#186872,.F.); #248154=ORIENTED_EDGE('',*,*,#186873,.F.); #248155=ORIENTED_EDGE('',*,*,#186874,.T.); #248156=ORIENTED_EDGE('',*,*,#186873,.T.); #248157=ORIENTED_EDGE('',*,*,#186875,.F.); #248158=ORIENTED_EDGE('',*,*,#186876,.F.); #248159=ORIENTED_EDGE('',*,*,#186877,.T.); #248160=ORIENTED_EDGE('',*,*,#186876,.T.); #248161=ORIENTED_EDGE('',*,*,#186878,.F.); #248162=ORIENTED_EDGE('',*,*,#186879,.F.); #248163=ORIENTED_EDGE('',*,*,#186880,.T.); #248164=ORIENTED_EDGE('',*,*,#186879,.T.); #248165=ORIENTED_EDGE('',*,*,#186881,.F.); #248166=ORIENTED_EDGE('',*,*,#186882,.F.); #248167=ORIENTED_EDGE('',*,*,#186883,.T.); #248168=ORIENTED_EDGE('',*,*,#186882,.T.); #248169=ORIENTED_EDGE('',*,*,#186884,.F.); #248170=ORIENTED_EDGE('',*,*,#186885,.F.); #248171=ORIENTED_EDGE('',*,*,#186886,.T.); #248172=ORIENTED_EDGE('',*,*,#186885,.T.); #248173=ORIENTED_EDGE('',*,*,#186887,.F.); #248174=ORIENTED_EDGE('',*,*,#186888,.F.); #248175=ORIENTED_EDGE('',*,*,#186889,.T.); #248176=ORIENTED_EDGE('',*,*,#186888,.T.); #248177=ORIENTED_EDGE('',*,*,#186890,.F.); #248178=ORIENTED_EDGE('',*,*,#186891,.F.); #248179=ORIENTED_EDGE('',*,*,#186892,.T.); #248180=ORIENTED_EDGE('',*,*,#186891,.T.); #248181=ORIENTED_EDGE('',*,*,#186893,.F.); #248182=ORIENTED_EDGE('',*,*,#186894,.F.); #248183=ORIENTED_EDGE('',*,*,#186895,.T.); #248184=ORIENTED_EDGE('',*,*,#186894,.T.); #248185=ORIENTED_EDGE('',*,*,#186896,.F.); #248186=ORIENTED_EDGE('',*,*,#186897,.F.); #248187=ORIENTED_EDGE('',*,*,#186898,.T.); #248188=ORIENTED_EDGE('',*,*,#186897,.T.); #248189=ORIENTED_EDGE('',*,*,#186899,.F.); #248190=ORIENTED_EDGE('',*,*,#186900,.F.); #248191=ORIENTED_EDGE('',*,*,#186901,.T.); #248192=ORIENTED_EDGE('',*,*,#186900,.T.); #248193=ORIENTED_EDGE('',*,*,#186902,.F.); #248194=ORIENTED_EDGE('',*,*,#186903,.F.); #248195=ORIENTED_EDGE('',*,*,#186904,.T.); #248196=ORIENTED_EDGE('',*,*,#186903,.T.); #248197=ORIENTED_EDGE('',*,*,#186905,.F.); #248198=ORIENTED_EDGE('',*,*,#186906,.F.); #248199=ORIENTED_EDGE('',*,*,#186907,.T.); #248200=ORIENTED_EDGE('',*,*,#186906,.T.); #248201=ORIENTED_EDGE('',*,*,#186908,.F.); #248202=ORIENTED_EDGE('',*,*,#186909,.F.); #248203=ORIENTED_EDGE('',*,*,#186910,.T.); #248204=ORIENTED_EDGE('',*,*,#186909,.T.); #248205=ORIENTED_EDGE('',*,*,#186911,.F.); #248206=ORIENTED_EDGE('',*,*,#186912,.F.); #248207=ORIENTED_EDGE('',*,*,#186913,.T.); #248208=ORIENTED_EDGE('',*,*,#186912,.T.); #248209=ORIENTED_EDGE('',*,*,#186914,.F.); #248210=ORIENTED_EDGE('',*,*,#186915,.F.); #248211=ORIENTED_EDGE('',*,*,#186916,.T.); #248212=ORIENTED_EDGE('',*,*,#186915,.T.); #248213=ORIENTED_EDGE('',*,*,#186917,.F.); #248214=ORIENTED_EDGE('',*,*,#186918,.F.); #248215=ORIENTED_EDGE('',*,*,#186919,.T.); #248216=ORIENTED_EDGE('',*,*,#186918,.T.); #248217=ORIENTED_EDGE('',*,*,#186920,.F.); #248218=ORIENTED_EDGE('',*,*,#186921,.F.); #248219=ORIENTED_EDGE('',*,*,#186922,.T.); #248220=ORIENTED_EDGE('',*,*,#186921,.T.); #248221=ORIENTED_EDGE('',*,*,#186923,.F.); #248222=ORIENTED_EDGE('',*,*,#186924,.F.); #248223=ORIENTED_EDGE('',*,*,#186925,.T.); #248224=ORIENTED_EDGE('',*,*,#186924,.T.); #248225=ORIENTED_EDGE('',*,*,#186926,.F.); #248226=ORIENTED_EDGE('',*,*,#186927,.F.); #248227=ORIENTED_EDGE('',*,*,#186928,.T.); #248228=ORIENTED_EDGE('',*,*,#186927,.T.); #248229=ORIENTED_EDGE('',*,*,#186929,.F.); #248230=ORIENTED_EDGE('',*,*,#186930,.F.); #248231=ORIENTED_EDGE('',*,*,#186931,.T.); #248232=ORIENTED_EDGE('',*,*,#186930,.T.); #248233=ORIENTED_EDGE('',*,*,#186932,.F.); #248234=ORIENTED_EDGE('',*,*,#186933,.F.); #248235=ORIENTED_EDGE('',*,*,#186934,.T.); #248236=ORIENTED_EDGE('',*,*,#186933,.T.); #248237=ORIENTED_EDGE('',*,*,#186935,.F.); #248238=ORIENTED_EDGE('',*,*,#186936,.F.); #248239=ORIENTED_EDGE('',*,*,#186937,.T.); #248240=ORIENTED_EDGE('',*,*,#186936,.T.); #248241=ORIENTED_EDGE('',*,*,#186938,.F.); #248242=ORIENTED_EDGE('',*,*,#186939,.F.); #248243=ORIENTED_EDGE('',*,*,#186940,.T.); #248244=ORIENTED_EDGE('',*,*,#186939,.T.); #248245=ORIENTED_EDGE('',*,*,#186941,.F.); #248246=ORIENTED_EDGE('',*,*,#186942,.F.); #248247=ORIENTED_EDGE('',*,*,#186943,.T.); #248248=ORIENTED_EDGE('',*,*,#186942,.T.); #248249=ORIENTED_EDGE('',*,*,#186944,.F.); #248250=ORIENTED_EDGE('',*,*,#186945,.F.); #248251=ORIENTED_EDGE('',*,*,#186946,.T.); #248252=ORIENTED_EDGE('',*,*,#186945,.T.); #248253=ORIENTED_EDGE('',*,*,#186947,.F.); #248254=ORIENTED_EDGE('',*,*,#186948,.F.); #248255=ORIENTED_EDGE('',*,*,#186949,.T.); #248256=ORIENTED_EDGE('',*,*,#186948,.T.); #248257=ORIENTED_EDGE('',*,*,#186950,.F.); #248258=ORIENTED_EDGE('',*,*,#186951,.F.); #248259=ORIENTED_EDGE('',*,*,#186952,.T.); #248260=ORIENTED_EDGE('',*,*,#186951,.T.); #248261=ORIENTED_EDGE('',*,*,#186953,.F.); #248262=ORIENTED_EDGE('',*,*,#186954,.F.); #248263=ORIENTED_EDGE('',*,*,#186955,.T.); #248264=ORIENTED_EDGE('',*,*,#186954,.T.); #248265=ORIENTED_EDGE('',*,*,#186956,.F.); #248266=ORIENTED_EDGE('',*,*,#186957,.F.); #248267=ORIENTED_EDGE('',*,*,#186958,.T.); #248268=ORIENTED_EDGE('',*,*,#186957,.T.); #248269=ORIENTED_EDGE('',*,*,#186959,.F.); #248270=ORIENTED_EDGE('',*,*,#186960,.F.); #248271=ORIENTED_EDGE('',*,*,#186961,.T.); #248272=ORIENTED_EDGE('',*,*,#186960,.T.); #248273=ORIENTED_EDGE('',*,*,#186962,.F.); #248274=ORIENTED_EDGE('',*,*,#186963,.F.); #248275=ORIENTED_EDGE('',*,*,#186964,.T.); #248276=ORIENTED_EDGE('',*,*,#186963,.T.); #248277=ORIENTED_EDGE('',*,*,#186965,.F.); #248278=ORIENTED_EDGE('',*,*,#186966,.F.); #248279=ORIENTED_EDGE('',*,*,#186967,.T.); #248280=ORIENTED_EDGE('',*,*,#186966,.T.); #248281=ORIENTED_EDGE('',*,*,#186968,.F.); #248282=ORIENTED_EDGE('',*,*,#186969,.F.); #248283=ORIENTED_EDGE('',*,*,#186970,.T.); #248284=ORIENTED_EDGE('',*,*,#186969,.T.); #248285=ORIENTED_EDGE('',*,*,#186971,.F.); #248286=ORIENTED_EDGE('',*,*,#186972,.F.); #248287=ORIENTED_EDGE('',*,*,#186973,.T.); #248288=ORIENTED_EDGE('',*,*,#186972,.T.); #248289=ORIENTED_EDGE('',*,*,#186974,.F.); #248290=ORIENTED_EDGE('',*,*,#186975,.F.); #248291=ORIENTED_EDGE('',*,*,#186976,.T.); #248292=ORIENTED_EDGE('',*,*,#186975,.T.); #248293=ORIENTED_EDGE('',*,*,#186977,.F.); #248294=ORIENTED_EDGE('',*,*,#186978,.F.); #248295=ORIENTED_EDGE('',*,*,#186979,.T.); #248296=ORIENTED_EDGE('',*,*,#186978,.T.); #248297=ORIENTED_EDGE('',*,*,#186980,.F.); #248298=ORIENTED_EDGE('',*,*,#186981,.F.); #248299=ORIENTED_EDGE('',*,*,#186982,.T.); #248300=ORIENTED_EDGE('',*,*,#186981,.T.); #248301=ORIENTED_EDGE('',*,*,#186983,.F.); #248302=ORIENTED_EDGE('',*,*,#186984,.F.); #248303=ORIENTED_EDGE('',*,*,#186985,.T.); #248304=ORIENTED_EDGE('',*,*,#186984,.T.); #248305=ORIENTED_EDGE('',*,*,#186986,.F.); #248306=ORIENTED_EDGE('',*,*,#186987,.F.); #248307=ORIENTED_EDGE('',*,*,#186988,.T.); #248308=ORIENTED_EDGE('',*,*,#186987,.T.); #248309=ORIENTED_EDGE('',*,*,#186989,.F.); #248310=ORIENTED_EDGE('',*,*,#186990,.F.); #248311=ORIENTED_EDGE('',*,*,#186991,.T.); #248312=ORIENTED_EDGE('',*,*,#186990,.T.); #248313=ORIENTED_EDGE('',*,*,#186992,.F.); #248314=ORIENTED_EDGE('',*,*,#186993,.F.); #248315=ORIENTED_EDGE('',*,*,#186994,.T.); #248316=ORIENTED_EDGE('',*,*,#186993,.T.); #248317=ORIENTED_EDGE('',*,*,#186995,.F.); #248318=ORIENTED_EDGE('',*,*,#186996,.F.); #248319=ORIENTED_EDGE('',*,*,#186997,.T.); #248320=ORIENTED_EDGE('',*,*,#186996,.T.); #248321=ORIENTED_EDGE('',*,*,#186998,.F.); #248322=ORIENTED_EDGE('',*,*,#186999,.F.); #248323=ORIENTED_EDGE('',*,*,#187000,.T.); #248324=ORIENTED_EDGE('',*,*,#186999,.T.); #248325=ORIENTED_EDGE('',*,*,#187001,.F.); #248326=ORIENTED_EDGE('',*,*,#187002,.F.); #248327=ORIENTED_EDGE('',*,*,#187003,.T.); #248328=ORIENTED_EDGE('',*,*,#187002,.T.); #248329=ORIENTED_EDGE('',*,*,#187004,.F.); #248330=ORIENTED_EDGE('',*,*,#187005,.F.); #248331=ORIENTED_EDGE('',*,*,#187006,.T.); #248332=ORIENTED_EDGE('',*,*,#187005,.T.); #248333=ORIENTED_EDGE('',*,*,#187007,.F.); #248334=ORIENTED_EDGE('',*,*,#187008,.F.); #248335=ORIENTED_EDGE('',*,*,#187009,.T.); #248336=ORIENTED_EDGE('',*,*,#187008,.T.); #248337=ORIENTED_EDGE('',*,*,#187010,.F.); #248338=ORIENTED_EDGE('',*,*,#187011,.F.); #248339=ORIENTED_EDGE('',*,*,#187012,.T.); #248340=ORIENTED_EDGE('',*,*,#187011,.T.); #248341=ORIENTED_EDGE('',*,*,#187013,.F.); #248342=ORIENTED_EDGE('',*,*,#187014,.F.); #248343=ORIENTED_EDGE('',*,*,#187015,.T.); #248344=ORIENTED_EDGE('',*,*,#187014,.T.); #248345=ORIENTED_EDGE('',*,*,#187016,.F.); #248346=ORIENTED_EDGE('',*,*,#187017,.F.); #248347=ORIENTED_EDGE('',*,*,#187018,.T.); #248348=ORIENTED_EDGE('',*,*,#187017,.T.); #248349=ORIENTED_EDGE('',*,*,#187019,.F.); #248350=ORIENTED_EDGE('',*,*,#187020,.F.); #248351=ORIENTED_EDGE('',*,*,#187021,.T.); #248352=ORIENTED_EDGE('',*,*,#187020,.T.); #248353=ORIENTED_EDGE('',*,*,#187022,.F.); #248354=ORIENTED_EDGE('',*,*,#187023,.F.); #248355=ORIENTED_EDGE('',*,*,#187024,.T.); #248356=ORIENTED_EDGE('',*,*,#187023,.T.); #248357=ORIENTED_EDGE('',*,*,#187025,.F.); #248358=ORIENTED_EDGE('',*,*,#187026,.F.); #248359=ORIENTED_EDGE('',*,*,#187027,.T.); #248360=ORIENTED_EDGE('',*,*,#187026,.T.); #248361=ORIENTED_EDGE('',*,*,#187028,.F.); #248362=ORIENTED_EDGE('',*,*,#187029,.F.); #248363=ORIENTED_EDGE('',*,*,#187030,.T.); #248364=ORIENTED_EDGE('',*,*,#187029,.T.); #248365=ORIENTED_EDGE('',*,*,#187031,.F.); #248366=ORIENTED_EDGE('',*,*,#186793,.F.); #248367=ORIENTED_EDGE('',*,*,#187031,.T.); #248368=ORIENTED_EDGE('',*,*,#187028,.T.); #248369=ORIENTED_EDGE('',*,*,#187025,.T.); #248370=ORIENTED_EDGE('',*,*,#187022,.T.); #248371=ORIENTED_EDGE('',*,*,#187019,.T.); #248372=ORIENTED_EDGE('',*,*,#187016,.T.); #248373=ORIENTED_EDGE('',*,*,#187013,.T.); #248374=ORIENTED_EDGE('',*,*,#187010,.T.); #248375=ORIENTED_EDGE('',*,*,#187007,.T.); #248376=ORIENTED_EDGE('',*,*,#187004,.T.); #248377=ORIENTED_EDGE('',*,*,#187001,.T.); #248378=ORIENTED_EDGE('',*,*,#186998,.T.); #248379=ORIENTED_EDGE('',*,*,#186995,.T.); #248380=ORIENTED_EDGE('',*,*,#186992,.T.); #248381=ORIENTED_EDGE('',*,*,#186989,.T.); #248382=ORIENTED_EDGE('',*,*,#186986,.T.); #248383=ORIENTED_EDGE('',*,*,#186983,.T.); #248384=ORIENTED_EDGE('',*,*,#186980,.T.); #248385=ORIENTED_EDGE('',*,*,#186977,.T.); #248386=ORIENTED_EDGE('',*,*,#186974,.T.); #248387=ORIENTED_EDGE('',*,*,#186971,.T.); #248388=ORIENTED_EDGE('',*,*,#186968,.T.); #248389=ORIENTED_EDGE('',*,*,#186965,.T.); #248390=ORIENTED_EDGE('',*,*,#186962,.T.); #248391=ORIENTED_EDGE('',*,*,#186959,.T.); #248392=ORIENTED_EDGE('',*,*,#186956,.T.); #248393=ORIENTED_EDGE('',*,*,#186953,.T.); #248394=ORIENTED_EDGE('',*,*,#186950,.T.); #248395=ORIENTED_EDGE('',*,*,#186947,.T.); #248396=ORIENTED_EDGE('',*,*,#186944,.T.); #248397=ORIENTED_EDGE('',*,*,#186941,.T.); #248398=ORIENTED_EDGE('',*,*,#186938,.T.); #248399=ORIENTED_EDGE('',*,*,#186935,.T.); #248400=ORIENTED_EDGE('',*,*,#186932,.T.); #248401=ORIENTED_EDGE('',*,*,#186929,.T.); #248402=ORIENTED_EDGE('',*,*,#186926,.T.); #248403=ORIENTED_EDGE('',*,*,#186923,.T.); #248404=ORIENTED_EDGE('',*,*,#186920,.T.); #248405=ORIENTED_EDGE('',*,*,#186917,.T.); #248406=ORIENTED_EDGE('',*,*,#186914,.T.); #248407=ORIENTED_EDGE('',*,*,#186911,.T.); #248408=ORIENTED_EDGE('',*,*,#186908,.T.); #248409=ORIENTED_EDGE('',*,*,#186905,.T.); #248410=ORIENTED_EDGE('',*,*,#186902,.T.); #248411=ORIENTED_EDGE('',*,*,#186899,.T.); #248412=ORIENTED_EDGE('',*,*,#186896,.T.); #248413=ORIENTED_EDGE('',*,*,#186893,.T.); #248414=ORIENTED_EDGE('',*,*,#186890,.T.); #248415=ORIENTED_EDGE('',*,*,#186887,.T.); #248416=ORIENTED_EDGE('',*,*,#186884,.T.); #248417=ORIENTED_EDGE('',*,*,#186881,.T.); #248418=ORIENTED_EDGE('',*,*,#186878,.T.); #248419=ORIENTED_EDGE('',*,*,#186875,.T.); #248420=ORIENTED_EDGE('',*,*,#186872,.T.); #248421=ORIENTED_EDGE('',*,*,#186869,.T.); #248422=ORIENTED_EDGE('',*,*,#186866,.T.); #248423=ORIENTED_EDGE('',*,*,#186863,.T.); #248424=ORIENTED_EDGE('',*,*,#186860,.T.); #248425=ORIENTED_EDGE('',*,*,#186857,.T.); #248426=ORIENTED_EDGE('',*,*,#186854,.T.); #248427=ORIENTED_EDGE('',*,*,#186851,.T.); #248428=ORIENTED_EDGE('',*,*,#186848,.T.); #248429=ORIENTED_EDGE('',*,*,#186845,.T.); #248430=ORIENTED_EDGE('',*,*,#186842,.T.); #248431=ORIENTED_EDGE('',*,*,#186839,.T.); #248432=ORIENTED_EDGE('',*,*,#186836,.T.); #248433=ORIENTED_EDGE('',*,*,#186833,.T.); #248434=ORIENTED_EDGE('',*,*,#186830,.T.); #248435=ORIENTED_EDGE('',*,*,#186827,.T.); #248436=ORIENTED_EDGE('',*,*,#186824,.T.); #248437=ORIENTED_EDGE('',*,*,#186821,.T.); #248438=ORIENTED_EDGE('',*,*,#186818,.T.); #248439=ORIENTED_EDGE('',*,*,#186815,.T.); #248440=ORIENTED_EDGE('',*,*,#186812,.T.); #248441=ORIENTED_EDGE('',*,*,#186809,.T.); #248442=ORIENTED_EDGE('',*,*,#186806,.T.); #248443=ORIENTED_EDGE('',*,*,#186803,.T.); #248444=ORIENTED_EDGE('',*,*,#186800,.T.); #248445=ORIENTED_EDGE('',*,*,#186797,.T.); #248446=ORIENTED_EDGE('',*,*,#186794,.T.); #248447=ORIENTED_EDGE('',*,*,#186701,.T.); #248448=ORIENTED_EDGE('',*,*,#186704,.T.); #248449=ORIENTED_EDGE('',*,*,#186707,.T.); #248450=ORIENTED_EDGE('',*,*,#186710,.T.); #248451=ORIENTED_EDGE('',*,*,#186713,.T.); #248452=ORIENTED_EDGE('',*,*,#186716,.T.); #248453=ORIENTED_EDGE('',*,*,#186719,.T.); #248454=ORIENTED_EDGE('',*,*,#186722,.T.); #248455=ORIENTED_EDGE('',*,*,#186725,.T.); #248456=ORIENTED_EDGE('',*,*,#186728,.T.); #248457=ORIENTED_EDGE('',*,*,#186731,.T.); #248458=ORIENTED_EDGE('',*,*,#186734,.T.); #248459=ORIENTED_EDGE('',*,*,#186737,.T.); #248460=ORIENTED_EDGE('',*,*,#186740,.T.); #248461=ORIENTED_EDGE('',*,*,#186743,.T.); #248462=ORIENTED_EDGE('',*,*,#186746,.T.); #248463=ORIENTED_EDGE('',*,*,#186749,.T.); #248464=ORIENTED_EDGE('',*,*,#186752,.T.); #248465=ORIENTED_EDGE('',*,*,#186755,.T.); #248466=ORIENTED_EDGE('',*,*,#186758,.T.); #248467=ORIENTED_EDGE('',*,*,#186761,.T.); #248468=ORIENTED_EDGE('',*,*,#186764,.T.); #248469=ORIENTED_EDGE('',*,*,#186767,.T.); #248470=ORIENTED_EDGE('',*,*,#186770,.T.); #248471=ORIENTED_EDGE('',*,*,#186773,.T.); #248472=ORIENTED_EDGE('',*,*,#186776,.T.); #248473=ORIENTED_EDGE('',*,*,#186779,.T.); #248474=ORIENTED_EDGE('',*,*,#186782,.T.); #248475=ORIENTED_EDGE('',*,*,#186785,.T.); #248476=ORIENTED_EDGE('',*,*,#186788,.T.); #248477=ORIENTED_EDGE('',*,*,#186791,.T.); #248478=ORIENTED_EDGE('',*,*,#187030,.F.); #248479=ORIENTED_EDGE('',*,*,#186792,.F.); #248480=ORIENTED_EDGE('',*,*,#186796,.F.); #248481=ORIENTED_EDGE('',*,*,#186799,.F.); #248482=ORIENTED_EDGE('',*,*,#186802,.F.); #248483=ORIENTED_EDGE('',*,*,#186805,.F.); #248484=ORIENTED_EDGE('',*,*,#186808,.F.); #248485=ORIENTED_EDGE('',*,*,#186811,.F.); #248486=ORIENTED_EDGE('',*,*,#186814,.F.); #248487=ORIENTED_EDGE('',*,*,#186817,.F.); #248488=ORIENTED_EDGE('',*,*,#186820,.F.); #248489=ORIENTED_EDGE('',*,*,#186823,.F.); #248490=ORIENTED_EDGE('',*,*,#186826,.F.); #248491=ORIENTED_EDGE('',*,*,#186829,.F.); #248492=ORIENTED_EDGE('',*,*,#186832,.F.); #248493=ORIENTED_EDGE('',*,*,#186835,.F.); #248494=ORIENTED_EDGE('',*,*,#186838,.F.); #248495=ORIENTED_EDGE('',*,*,#186841,.F.); #248496=ORIENTED_EDGE('',*,*,#186844,.F.); #248497=ORIENTED_EDGE('',*,*,#186847,.F.); #248498=ORIENTED_EDGE('',*,*,#186850,.F.); #248499=ORIENTED_EDGE('',*,*,#186853,.F.); #248500=ORIENTED_EDGE('',*,*,#186856,.F.); #248501=ORIENTED_EDGE('',*,*,#186859,.F.); #248502=ORIENTED_EDGE('',*,*,#186862,.F.); #248503=ORIENTED_EDGE('',*,*,#186865,.F.); #248504=ORIENTED_EDGE('',*,*,#186868,.F.); #248505=ORIENTED_EDGE('',*,*,#186871,.F.); #248506=ORIENTED_EDGE('',*,*,#186874,.F.); #248507=ORIENTED_EDGE('',*,*,#186877,.F.); #248508=ORIENTED_EDGE('',*,*,#186880,.F.); #248509=ORIENTED_EDGE('',*,*,#186883,.F.); #248510=ORIENTED_EDGE('',*,*,#186886,.F.); #248511=ORIENTED_EDGE('',*,*,#186889,.F.); #248512=ORIENTED_EDGE('',*,*,#186892,.F.); #248513=ORIENTED_EDGE('',*,*,#186895,.F.); #248514=ORIENTED_EDGE('',*,*,#186898,.F.); #248515=ORIENTED_EDGE('',*,*,#186901,.F.); #248516=ORIENTED_EDGE('',*,*,#186904,.F.); #248517=ORIENTED_EDGE('',*,*,#186907,.F.); #248518=ORIENTED_EDGE('',*,*,#186910,.F.); #248519=ORIENTED_EDGE('',*,*,#186913,.F.); #248520=ORIENTED_EDGE('',*,*,#186916,.F.); #248521=ORIENTED_EDGE('',*,*,#186919,.F.); #248522=ORIENTED_EDGE('',*,*,#186922,.F.); #248523=ORIENTED_EDGE('',*,*,#186925,.F.); #248524=ORIENTED_EDGE('',*,*,#186928,.F.); #248525=ORIENTED_EDGE('',*,*,#186931,.F.); #248526=ORIENTED_EDGE('',*,*,#186934,.F.); #248527=ORIENTED_EDGE('',*,*,#186937,.F.); #248528=ORIENTED_EDGE('',*,*,#186940,.F.); #248529=ORIENTED_EDGE('',*,*,#186943,.F.); #248530=ORIENTED_EDGE('',*,*,#186946,.F.); #248531=ORIENTED_EDGE('',*,*,#186949,.F.); #248532=ORIENTED_EDGE('',*,*,#186952,.F.); #248533=ORIENTED_EDGE('',*,*,#186955,.F.); #248534=ORIENTED_EDGE('',*,*,#186958,.F.); #248535=ORIENTED_EDGE('',*,*,#186961,.F.); #248536=ORIENTED_EDGE('',*,*,#186964,.F.); #248537=ORIENTED_EDGE('',*,*,#186967,.F.); #248538=ORIENTED_EDGE('',*,*,#186970,.F.); #248539=ORIENTED_EDGE('',*,*,#186973,.F.); #248540=ORIENTED_EDGE('',*,*,#186976,.F.); #248541=ORIENTED_EDGE('',*,*,#186979,.F.); #248542=ORIENTED_EDGE('',*,*,#186982,.F.); #248543=ORIENTED_EDGE('',*,*,#186985,.F.); #248544=ORIENTED_EDGE('',*,*,#186988,.F.); #248545=ORIENTED_EDGE('',*,*,#186991,.F.); #248546=ORIENTED_EDGE('',*,*,#186994,.F.); #248547=ORIENTED_EDGE('',*,*,#186997,.F.); #248548=ORIENTED_EDGE('',*,*,#187000,.F.); #248549=ORIENTED_EDGE('',*,*,#187003,.F.); #248550=ORIENTED_EDGE('',*,*,#187006,.F.); #248551=ORIENTED_EDGE('',*,*,#187009,.F.); #248552=ORIENTED_EDGE('',*,*,#187012,.F.); #248553=ORIENTED_EDGE('',*,*,#187015,.F.); #248554=ORIENTED_EDGE('',*,*,#187018,.F.); #248555=ORIENTED_EDGE('',*,*,#187021,.F.); #248556=ORIENTED_EDGE('',*,*,#187024,.F.); #248557=ORIENTED_EDGE('',*,*,#187027,.F.); #248558=ORIENTED_EDGE('',*,*,#186699,.T.); #248559=ORIENTED_EDGE('',*,*,#186702,.T.); #248560=ORIENTED_EDGE('',*,*,#186705,.T.); #248561=ORIENTED_EDGE('',*,*,#186708,.T.); #248562=ORIENTED_EDGE('',*,*,#186711,.T.); #248563=ORIENTED_EDGE('',*,*,#186714,.T.); #248564=ORIENTED_EDGE('',*,*,#186717,.T.); #248565=ORIENTED_EDGE('',*,*,#186720,.T.); #248566=ORIENTED_EDGE('',*,*,#186723,.T.); #248567=ORIENTED_EDGE('',*,*,#186726,.T.); #248568=ORIENTED_EDGE('',*,*,#186729,.T.); #248569=ORIENTED_EDGE('',*,*,#186732,.T.); #248570=ORIENTED_EDGE('',*,*,#186735,.T.); #248571=ORIENTED_EDGE('',*,*,#186738,.T.); #248572=ORIENTED_EDGE('',*,*,#186741,.T.); #248573=ORIENTED_EDGE('',*,*,#186744,.T.); #248574=ORIENTED_EDGE('',*,*,#186747,.T.); #248575=ORIENTED_EDGE('',*,*,#186750,.T.); #248576=ORIENTED_EDGE('',*,*,#186753,.T.); #248577=ORIENTED_EDGE('',*,*,#186756,.T.); #248578=ORIENTED_EDGE('',*,*,#186759,.T.); #248579=ORIENTED_EDGE('',*,*,#186762,.T.); #248580=ORIENTED_EDGE('',*,*,#186765,.T.); #248581=ORIENTED_EDGE('',*,*,#186768,.T.); #248582=ORIENTED_EDGE('',*,*,#186771,.T.); #248583=ORIENTED_EDGE('',*,*,#186774,.T.); #248584=ORIENTED_EDGE('',*,*,#186777,.T.); #248585=ORIENTED_EDGE('',*,*,#186780,.T.); #248586=ORIENTED_EDGE('',*,*,#186783,.T.); #248587=ORIENTED_EDGE('',*,*,#186786,.T.); #248588=ORIENTED_EDGE('',*,*,#186789,.T.); #248589=ORIENTED_EDGE('',*,*,#187032,.F.); #248590=ORIENTED_EDGE('',*,*,#187033,.T.); #248591=ORIENTED_EDGE('',*,*,#187034,.F.); #248592=ORIENTED_EDGE('',*,*,#187033,.F.); #248593=ORIENTED_EDGE('',*,*,#187035,.T.); #248594=ORIENTED_EDGE('',*,*,#187036,.T.); #248595=ORIENTED_EDGE('',*,*,#187037,.F.); #248596=ORIENTED_EDGE('',*,*,#187038,.F.); #248597=ORIENTED_EDGE('',*,*,#187039,.T.); #248598=ORIENTED_EDGE('',*,*,#187038,.T.); #248599=ORIENTED_EDGE('',*,*,#187040,.F.); #248600=ORIENTED_EDGE('',*,*,#187041,.F.); #248601=ORIENTED_EDGE('',*,*,#187042,.T.); #248602=ORIENTED_EDGE('',*,*,#187041,.T.); #248603=ORIENTED_EDGE('',*,*,#187043,.F.); #248604=ORIENTED_EDGE('',*,*,#187044,.F.); #248605=ORIENTED_EDGE('',*,*,#187045,.T.); #248606=ORIENTED_EDGE('',*,*,#187044,.T.); #248607=ORIENTED_EDGE('',*,*,#187046,.F.); #248608=ORIENTED_EDGE('',*,*,#187047,.F.); #248609=ORIENTED_EDGE('',*,*,#187048,.T.); #248610=ORIENTED_EDGE('',*,*,#187047,.T.); #248611=ORIENTED_EDGE('',*,*,#187049,.F.); #248612=ORIENTED_EDGE('',*,*,#187050,.F.); #248613=ORIENTED_EDGE('',*,*,#187051,.T.); #248614=ORIENTED_EDGE('',*,*,#187050,.T.); #248615=ORIENTED_EDGE('',*,*,#187052,.F.); #248616=ORIENTED_EDGE('',*,*,#187053,.F.); #248617=ORIENTED_EDGE('',*,*,#187054,.T.); #248618=ORIENTED_EDGE('',*,*,#187053,.T.); #248619=ORIENTED_EDGE('',*,*,#187055,.F.); #248620=ORIENTED_EDGE('',*,*,#187056,.F.); #248621=ORIENTED_EDGE('',*,*,#187057,.T.); #248622=ORIENTED_EDGE('',*,*,#187056,.T.); #248623=ORIENTED_EDGE('',*,*,#187058,.F.); #248624=ORIENTED_EDGE('',*,*,#187059,.F.); #248625=ORIENTED_EDGE('',*,*,#187060,.T.); #248626=ORIENTED_EDGE('',*,*,#187059,.T.); #248627=ORIENTED_EDGE('',*,*,#187061,.F.); #248628=ORIENTED_EDGE('',*,*,#187062,.F.); #248629=ORIENTED_EDGE('',*,*,#187063,.T.); #248630=ORIENTED_EDGE('',*,*,#187062,.T.); #248631=ORIENTED_EDGE('',*,*,#187064,.F.); #248632=ORIENTED_EDGE('',*,*,#187065,.F.); #248633=ORIENTED_EDGE('',*,*,#187066,.T.); #248634=ORIENTED_EDGE('',*,*,#187065,.T.); #248635=ORIENTED_EDGE('',*,*,#187067,.F.); #248636=ORIENTED_EDGE('',*,*,#187068,.F.); #248637=ORIENTED_EDGE('',*,*,#187069,.T.); #248638=ORIENTED_EDGE('',*,*,#187068,.T.); #248639=ORIENTED_EDGE('',*,*,#187070,.F.); #248640=ORIENTED_EDGE('',*,*,#187071,.F.); #248641=ORIENTED_EDGE('',*,*,#187072,.T.); #248642=ORIENTED_EDGE('',*,*,#187071,.T.); #248643=ORIENTED_EDGE('',*,*,#187073,.F.); #248644=ORIENTED_EDGE('',*,*,#187074,.F.); #248645=ORIENTED_EDGE('',*,*,#187075,.T.); #248646=ORIENTED_EDGE('',*,*,#187074,.T.); #248647=ORIENTED_EDGE('',*,*,#187076,.F.); #248648=ORIENTED_EDGE('',*,*,#187077,.F.); #248649=ORIENTED_EDGE('',*,*,#187078,.T.); #248650=ORIENTED_EDGE('',*,*,#187077,.T.); #248651=ORIENTED_EDGE('',*,*,#187079,.F.); #248652=ORIENTED_EDGE('',*,*,#187080,.F.); #248653=ORIENTED_EDGE('',*,*,#187081,.T.); #248654=ORIENTED_EDGE('',*,*,#187080,.T.); #248655=ORIENTED_EDGE('',*,*,#187082,.F.); #248656=ORIENTED_EDGE('',*,*,#187083,.F.); #248657=ORIENTED_EDGE('',*,*,#187084,.T.); #248658=ORIENTED_EDGE('',*,*,#187083,.T.); #248659=ORIENTED_EDGE('',*,*,#187085,.F.); #248660=ORIENTED_EDGE('',*,*,#187086,.F.); #248661=ORIENTED_EDGE('',*,*,#187087,.T.); #248662=ORIENTED_EDGE('',*,*,#187086,.T.); #248663=ORIENTED_EDGE('',*,*,#187088,.F.); #248664=ORIENTED_EDGE('',*,*,#187089,.F.); #248665=ORIENTED_EDGE('',*,*,#187090,.T.); #248666=ORIENTED_EDGE('',*,*,#187089,.T.); #248667=ORIENTED_EDGE('',*,*,#187091,.F.); #248668=ORIENTED_EDGE('',*,*,#187092,.F.); #248669=ORIENTED_EDGE('',*,*,#187093,.T.); #248670=ORIENTED_EDGE('',*,*,#187092,.T.); #248671=ORIENTED_EDGE('',*,*,#187094,.F.); #248672=ORIENTED_EDGE('',*,*,#187095,.F.); #248673=ORIENTED_EDGE('',*,*,#187096,.T.); #248674=ORIENTED_EDGE('',*,*,#187095,.T.); #248675=ORIENTED_EDGE('',*,*,#187097,.F.); #248676=ORIENTED_EDGE('',*,*,#187036,.F.); #248677=ORIENTED_EDGE('',*,*,#187097,.T.); #248678=ORIENTED_EDGE('',*,*,#187094,.T.); #248679=ORIENTED_EDGE('',*,*,#187091,.T.); #248680=ORIENTED_EDGE('',*,*,#187088,.T.); #248681=ORIENTED_EDGE('',*,*,#187085,.T.); #248682=ORIENTED_EDGE('',*,*,#187082,.T.); #248683=ORIENTED_EDGE('',*,*,#187079,.T.); #248684=ORIENTED_EDGE('',*,*,#187076,.T.); #248685=ORIENTED_EDGE('',*,*,#187073,.T.); #248686=ORIENTED_EDGE('',*,*,#187070,.T.); #248687=ORIENTED_EDGE('',*,*,#187067,.T.); #248688=ORIENTED_EDGE('',*,*,#187064,.T.); #248689=ORIENTED_EDGE('',*,*,#187061,.T.); #248690=ORIENTED_EDGE('',*,*,#187058,.T.); #248691=ORIENTED_EDGE('',*,*,#187055,.T.); #248692=ORIENTED_EDGE('',*,*,#187052,.T.); #248693=ORIENTED_EDGE('',*,*,#187049,.T.); #248694=ORIENTED_EDGE('',*,*,#187046,.T.); #248695=ORIENTED_EDGE('',*,*,#187043,.T.); #248696=ORIENTED_EDGE('',*,*,#187040,.T.); #248697=ORIENTED_EDGE('',*,*,#187037,.T.); #248698=ORIENTED_EDGE('',*,*,#187034,.T.); #248699=ORIENTED_EDGE('',*,*,#187096,.F.); #248700=ORIENTED_EDGE('',*,*,#187035,.F.); #248701=ORIENTED_EDGE('',*,*,#187039,.F.); #248702=ORIENTED_EDGE('',*,*,#187042,.F.); #248703=ORIENTED_EDGE('',*,*,#187045,.F.); #248704=ORIENTED_EDGE('',*,*,#187048,.F.); #248705=ORIENTED_EDGE('',*,*,#187051,.F.); #248706=ORIENTED_EDGE('',*,*,#187054,.F.); #248707=ORIENTED_EDGE('',*,*,#187057,.F.); #248708=ORIENTED_EDGE('',*,*,#187060,.F.); #248709=ORIENTED_EDGE('',*,*,#187063,.F.); #248710=ORIENTED_EDGE('',*,*,#187066,.F.); #248711=ORIENTED_EDGE('',*,*,#187069,.F.); #248712=ORIENTED_EDGE('',*,*,#187072,.F.); #248713=ORIENTED_EDGE('',*,*,#187075,.F.); #248714=ORIENTED_EDGE('',*,*,#187078,.F.); #248715=ORIENTED_EDGE('',*,*,#187081,.F.); #248716=ORIENTED_EDGE('',*,*,#187084,.F.); #248717=ORIENTED_EDGE('',*,*,#187087,.F.); #248718=ORIENTED_EDGE('',*,*,#187090,.F.); #248719=ORIENTED_EDGE('',*,*,#187093,.F.); #248720=ORIENTED_EDGE('',*,*,#187032,.T.); #248721=ORIENTED_EDGE('',*,*,#187098,.F.); #248722=ORIENTED_EDGE('',*,*,#187099,.T.); #248723=ORIENTED_EDGE('',*,*,#187100,.F.); #248724=ORIENTED_EDGE('',*,*,#187099,.F.); #248725=ORIENTED_EDGE('',*,*,#187101,.F.); #248726=ORIENTED_EDGE('',*,*,#187102,.T.); #248727=ORIENTED_EDGE('',*,*,#187103,.F.); #248728=ORIENTED_EDGE('',*,*,#187102,.F.); #248729=ORIENTED_EDGE('',*,*,#187104,.F.); #248730=ORIENTED_EDGE('',*,*,#187105,.T.); #248731=ORIENTED_EDGE('',*,*,#187106,.F.); #248732=ORIENTED_EDGE('',*,*,#187105,.F.); #248733=ORIENTED_EDGE('',*,*,#187107,.F.); #248734=ORIENTED_EDGE('',*,*,#187108,.T.); #248735=ORIENTED_EDGE('',*,*,#187109,.F.); #248736=ORIENTED_EDGE('',*,*,#187108,.F.); #248737=ORIENTED_EDGE('',*,*,#187110,.F.); #248738=ORIENTED_EDGE('',*,*,#187111,.T.); #248739=ORIENTED_EDGE('',*,*,#187112,.F.); #248740=ORIENTED_EDGE('',*,*,#187111,.F.); #248741=ORIENTED_EDGE('',*,*,#187113,.F.); #248742=ORIENTED_EDGE('',*,*,#187114,.T.); #248743=ORIENTED_EDGE('',*,*,#187115,.F.); #248744=ORIENTED_EDGE('',*,*,#187114,.F.); #248745=ORIENTED_EDGE('',*,*,#187116,.F.); #248746=ORIENTED_EDGE('',*,*,#187117,.T.); #248747=ORIENTED_EDGE('',*,*,#187118,.F.); #248748=ORIENTED_EDGE('',*,*,#187117,.F.); #248749=ORIENTED_EDGE('',*,*,#187119,.F.); #248750=ORIENTED_EDGE('',*,*,#187120,.T.); #248751=ORIENTED_EDGE('',*,*,#187121,.F.); #248752=ORIENTED_EDGE('',*,*,#187120,.F.); #248753=ORIENTED_EDGE('',*,*,#187122,.F.); #248754=ORIENTED_EDGE('',*,*,#187123,.T.); #248755=ORIENTED_EDGE('',*,*,#187124,.F.); #248756=ORIENTED_EDGE('',*,*,#187123,.F.); #248757=ORIENTED_EDGE('',*,*,#187125,.F.); #248758=ORIENTED_EDGE('',*,*,#187126,.T.); #248759=ORIENTED_EDGE('',*,*,#187127,.F.); #248760=ORIENTED_EDGE('',*,*,#187126,.F.); #248761=ORIENTED_EDGE('',*,*,#187128,.F.); #248762=ORIENTED_EDGE('',*,*,#187129,.T.); #248763=ORIENTED_EDGE('',*,*,#187130,.F.); #248764=ORIENTED_EDGE('',*,*,#187129,.F.); #248765=ORIENTED_EDGE('',*,*,#187131,.F.); #248766=ORIENTED_EDGE('',*,*,#187132,.T.); #248767=ORIENTED_EDGE('',*,*,#187133,.F.); #248768=ORIENTED_EDGE('',*,*,#187132,.F.); #248769=ORIENTED_EDGE('',*,*,#187134,.F.); #248770=ORIENTED_EDGE('',*,*,#187135,.T.); #248771=ORIENTED_EDGE('',*,*,#187136,.F.); #248772=ORIENTED_EDGE('',*,*,#187135,.F.); #248773=ORIENTED_EDGE('',*,*,#187137,.F.); #248774=ORIENTED_EDGE('',*,*,#187138,.T.); #248775=ORIENTED_EDGE('',*,*,#187139,.F.); #248776=ORIENTED_EDGE('',*,*,#187138,.F.); #248777=ORIENTED_EDGE('',*,*,#187140,.F.); #248778=ORIENTED_EDGE('',*,*,#187141,.T.); #248779=ORIENTED_EDGE('',*,*,#187142,.F.); #248780=ORIENTED_EDGE('',*,*,#187141,.F.); #248781=ORIENTED_EDGE('',*,*,#187143,.F.); #248782=ORIENTED_EDGE('',*,*,#187144,.T.); #248783=ORIENTED_EDGE('',*,*,#187145,.F.); #248784=ORIENTED_EDGE('',*,*,#187144,.F.); #248785=ORIENTED_EDGE('',*,*,#187146,.T.); #248786=ORIENTED_EDGE('',*,*,#187147,.T.); #248787=ORIENTED_EDGE('',*,*,#187148,.F.); #248788=ORIENTED_EDGE('',*,*,#187149,.F.); #248789=ORIENTED_EDGE('',*,*,#187150,.T.); #248790=ORIENTED_EDGE('',*,*,#187149,.T.); #248791=ORIENTED_EDGE('',*,*,#187151,.F.); #248792=ORIENTED_EDGE('',*,*,#187152,.F.); #248793=ORIENTED_EDGE('',*,*,#187153,.T.); #248794=ORIENTED_EDGE('',*,*,#187152,.T.); #248795=ORIENTED_EDGE('',*,*,#187154,.F.); #248796=ORIENTED_EDGE('',*,*,#187155,.F.); #248797=ORIENTED_EDGE('',*,*,#187156,.T.); #248798=ORIENTED_EDGE('',*,*,#187155,.T.); #248799=ORIENTED_EDGE('',*,*,#187157,.F.); #248800=ORIENTED_EDGE('',*,*,#187158,.F.); #248801=ORIENTED_EDGE('',*,*,#187159,.T.); #248802=ORIENTED_EDGE('',*,*,#187158,.T.); #248803=ORIENTED_EDGE('',*,*,#187160,.F.); #248804=ORIENTED_EDGE('',*,*,#187161,.F.); #248805=ORIENTED_EDGE('',*,*,#187162,.T.); #248806=ORIENTED_EDGE('',*,*,#187161,.T.); #248807=ORIENTED_EDGE('',*,*,#187163,.F.); #248808=ORIENTED_EDGE('',*,*,#187164,.F.); #248809=ORIENTED_EDGE('',*,*,#187165,.T.); #248810=ORIENTED_EDGE('',*,*,#187164,.T.); #248811=ORIENTED_EDGE('',*,*,#187166,.F.); #248812=ORIENTED_EDGE('',*,*,#187167,.F.); #248813=ORIENTED_EDGE('',*,*,#187168,.T.); #248814=ORIENTED_EDGE('',*,*,#187167,.T.); #248815=ORIENTED_EDGE('',*,*,#187169,.F.); #248816=ORIENTED_EDGE('',*,*,#187170,.F.); #248817=ORIENTED_EDGE('',*,*,#187171,.T.); #248818=ORIENTED_EDGE('',*,*,#187170,.T.); #248819=ORIENTED_EDGE('',*,*,#187172,.F.); #248820=ORIENTED_EDGE('',*,*,#187173,.F.); #248821=ORIENTED_EDGE('',*,*,#187174,.T.); #248822=ORIENTED_EDGE('',*,*,#187173,.T.); #248823=ORIENTED_EDGE('',*,*,#187175,.F.); #248824=ORIENTED_EDGE('',*,*,#187176,.F.); #248825=ORIENTED_EDGE('',*,*,#187177,.T.); #248826=ORIENTED_EDGE('',*,*,#187176,.T.); #248827=ORIENTED_EDGE('',*,*,#187178,.F.); #248828=ORIENTED_EDGE('',*,*,#187179,.F.); #248829=ORIENTED_EDGE('',*,*,#187180,.T.); #248830=ORIENTED_EDGE('',*,*,#187179,.T.); #248831=ORIENTED_EDGE('',*,*,#187181,.F.); #248832=ORIENTED_EDGE('',*,*,#187182,.F.); #248833=ORIENTED_EDGE('',*,*,#187183,.T.); #248834=ORIENTED_EDGE('',*,*,#187182,.T.); #248835=ORIENTED_EDGE('',*,*,#187184,.F.); #248836=ORIENTED_EDGE('',*,*,#187185,.F.); #248837=ORIENTED_EDGE('',*,*,#187186,.T.); #248838=ORIENTED_EDGE('',*,*,#187185,.T.); #248839=ORIENTED_EDGE('',*,*,#187187,.F.); #248840=ORIENTED_EDGE('',*,*,#187188,.F.); #248841=ORIENTED_EDGE('',*,*,#187189,.T.); #248842=ORIENTED_EDGE('',*,*,#187188,.T.); #248843=ORIENTED_EDGE('',*,*,#187190,.F.); #248844=ORIENTED_EDGE('',*,*,#187191,.F.); #248845=ORIENTED_EDGE('',*,*,#187192,.T.); #248846=ORIENTED_EDGE('',*,*,#187191,.T.); #248847=ORIENTED_EDGE('',*,*,#187193,.F.); #248848=ORIENTED_EDGE('',*,*,#187194,.F.); #248849=ORIENTED_EDGE('',*,*,#187195,.T.); #248850=ORIENTED_EDGE('',*,*,#187194,.T.); #248851=ORIENTED_EDGE('',*,*,#187196,.F.); #248852=ORIENTED_EDGE('',*,*,#187197,.F.); #248853=ORIENTED_EDGE('',*,*,#187198,.T.); #248854=ORIENTED_EDGE('',*,*,#187197,.T.); #248855=ORIENTED_EDGE('',*,*,#187199,.F.); #248856=ORIENTED_EDGE('',*,*,#187200,.F.); #248857=ORIENTED_EDGE('',*,*,#187201,.T.); #248858=ORIENTED_EDGE('',*,*,#187200,.T.); #248859=ORIENTED_EDGE('',*,*,#187202,.F.); #248860=ORIENTED_EDGE('',*,*,#187203,.F.); #248861=ORIENTED_EDGE('',*,*,#187204,.T.); #248862=ORIENTED_EDGE('',*,*,#187203,.T.); #248863=ORIENTED_EDGE('',*,*,#187205,.F.); #248864=ORIENTED_EDGE('',*,*,#187206,.F.); #248865=ORIENTED_EDGE('',*,*,#187207,.T.); #248866=ORIENTED_EDGE('',*,*,#187206,.T.); #248867=ORIENTED_EDGE('',*,*,#187208,.F.); #248868=ORIENTED_EDGE('',*,*,#187209,.F.); #248869=ORIENTED_EDGE('',*,*,#187210,.T.); #248870=ORIENTED_EDGE('',*,*,#187209,.T.); #248871=ORIENTED_EDGE('',*,*,#187211,.F.); #248872=ORIENTED_EDGE('',*,*,#187212,.F.); #248873=ORIENTED_EDGE('',*,*,#187213,.T.); #248874=ORIENTED_EDGE('',*,*,#187212,.T.); #248875=ORIENTED_EDGE('',*,*,#187214,.F.); #248876=ORIENTED_EDGE('',*,*,#187215,.F.); #248877=ORIENTED_EDGE('',*,*,#187216,.T.); #248878=ORIENTED_EDGE('',*,*,#187215,.T.); #248879=ORIENTED_EDGE('',*,*,#187217,.F.); #248880=ORIENTED_EDGE('',*,*,#187147,.F.); #248881=ORIENTED_EDGE('',*,*,#187218,.T.); #248882=ORIENTED_EDGE('',*,*,#187219,.T.); #248883=ORIENTED_EDGE('',*,*,#187220,.F.); #248884=ORIENTED_EDGE('',*,*,#187221,.F.); #248885=ORIENTED_EDGE('',*,*,#187222,.T.); #248886=ORIENTED_EDGE('',*,*,#187221,.T.); #248887=ORIENTED_EDGE('',*,*,#187223,.F.); #248888=ORIENTED_EDGE('',*,*,#187224,.F.); #248889=ORIENTED_EDGE('',*,*,#187225,.T.); #248890=ORIENTED_EDGE('',*,*,#187224,.T.); #248891=ORIENTED_EDGE('',*,*,#187226,.F.); #248892=ORIENTED_EDGE('',*,*,#187227,.F.); #248893=ORIENTED_EDGE('',*,*,#187228,.T.); #248894=ORIENTED_EDGE('',*,*,#187227,.T.); #248895=ORIENTED_EDGE('',*,*,#187229,.F.); #248896=ORIENTED_EDGE('',*,*,#187230,.F.); #248897=ORIENTED_EDGE('',*,*,#187231,.T.); #248898=ORIENTED_EDGE('',*,*,#187230,.T.); #248899=ORIENTED_EDGE('',*,*,#187232,.F.); #248900=ORIENTED_EDGE('',*,*,#187233,.F.); #248901=ORIENTED_EDGE('',*,*,#187234,.T.); #248902=ORIENTED_EDGE('',*,*,#187233,.T.); #248903=ORIENTED_EDGE('',*,*,#187235,.F.); #248904=ORIENTED_EDGE('',*,*,#187236,.F.); #248905=ORIENTED_EDGE('',*,*,#187237,.T.); #248906=ORIENTED_EDGE('',*,*,#187236,.T.); #248907=ORIENTED_EDGE('',*,*,#187238,.F.); #248908=ORIENTED_EDGE('',*,*,#187239,.F.); #248909=ORIENTED_EDGE('',*,*,#187240,.T.); #248910=ORIENTED_EDGE('',*,*,#187239,.T.); #248911=ORIENTED_EDGE('',*,*,#187241,.F.); #248912=ORIENTED_EDGE('',*,*,#187242,.F.); #248913=ORIENTED_EDGE('',*,*,#187243,.T.); #248914=ORIENTED_EDGE('',*,*,#187242,.T.); #248915=ORIENTED_EDGE('',*,*,#187244,.F.); #248916=ORIENTED_EDGE('',*,*,#187245,.F.); #248917=ORIENTED_EDGE('',*,*,#187246,.T.); #248918=ORIENTED_EDGE('',*,*,#187245,.T.); #248919=ORIENTED_EDGE('',*,*,#187247,.F.); #248920=ORIENTED_EDGE('',*,*,#187248,.F.); #248921=ORIENTED_EDGE('',*,*,#187249,.T.); #248922=ORIENTED_EDGE('',*,*,#187248,.T.); #248923=ORIENTED_EDGE('',*,*,#187250,.F.); #248924=ORIENTED_EDGE('',*,*,#187251,.F.); #248925=ORIENTED_EDGE('',*,*,#187252,.T.); #248926=ORIENTED_EDGE('',*,*,#187251,.T.); #248927=ORIENTED_EDGE('',*,*,#187253,.F.); #248928=ORIENTED_EDGE('',*,*,#187254,.F.); #248929=ORIENTED_EDGE('',*,*,#187255,.T.); #248930=ORIENTED_EDGE('',*,*,#187254,.T.); #248931=ORIENTED_EDGE('',*,*,#187256,.F.); #248932=ORIENTED_EDGE('',*,*,#187257,.F.); #248933=ORIENTED_EDGE('',*,*,#187258,.T.); #248934=ORIENTED_EDGE('',*,*,#187257,.T.); #248935=ORIENTED_EDGE('',*,*,#187259,.F.); #248936=ORIENTED_EDGE('',*,*,#187260,.F.); #248937=ORIENTED_EDGE('',*,*,#187261,.T.); #248938=ORIENTED_EDGE('',*,*,#187260,.T.); #248939=ORIENTED_EDGE('',*,*,#187262,.F.); #248940=ORIENTED_EDGE('',*,*,#187263,.F.); #248941=ORIENTED_EDGE('',*,*,#187264,.T.); #248942=ORIENTED_EDGE('',*,*,#187263,.T.); #248943=ORIENTED_EDGE('',*,*,#187265,.F.); #248944=ORIENTED_EDGE('',*,*,#187266,.F.); #248945=ORIENTED_EDGE('',*,*,#187267,.T.); #248946=ORIENTED_EDGE('',*,*,#187266,.T.); #248947=ORIENTED_EDGE('',*,*,#187268,.F.); #248948=ORIENTED_EDGE('',*,*,#187269,.F.); #248949=ORIENTED_EDGE('',*,*,#187270,.T.); #248950=ORIENTED_EDGE('',*,*,#187269,.T.); #248951=ORIENTED_EDGE('',*,*,#187271,.F.); #248952=ORIENTED_EDGE('',*,*,#187272,.F.); #248953=ORIENTED_EDGE('',*,*,#187273,.T.); #248954=ORIENTED_EDGE('',*,*,#187272,.T.); #248955=ORIENTED_EDGE('',*,*,#187274,.F.); #248956=ORIENTED_EDGE('',*,*,#187275,.F.); #248957=ORIENTED_EDGE('',*,*,#187276,.T.); #248958=ORIENTED_EDGE('',*,*,#187275,.T.); #248959=ORIENTED_EDGE('',*,*,#187277,.F.); #248960=ORIENTED_EDGE('',*,*,#187278,.F.); #248961=ORIENTED_EDGE('',*,*,#187279,.T.); #248962=ORIENTED_EDGE('',*,*,#187278,.T.); #248963=ORIENTED_EDGE('',*,*,#187280,.F.); #248964=ORIENTED_EDGE('',*,*,#187281,.F.); #248965=ORIENTED_EDGE('',*,*,#187282,.T.); #248966=ORIENTED_EDGE('',*,*,#187281,.T.); #248967=ORIENTED_EDGE('',*,*,#187283,.F.); #248968=ORIENTED_EDGE('',*,*,#187284,.F.); #248969=ORIENTED_EDGE('',*,*,#187285,.T.); #248970=ORIENTED_EDGE('',*,*,#187284,.T.); #248971=ORIENTED_EDGE('',*,*,#187286,.F.); #248972=ORIENTED_EDGE('',*,*,#187287,.F.); #248973=ORIENTED_EDGE('',*,*,#187288,.T.); #248974=ORIENTED_EDGE('',*,*,#187287,.T.); #248975=ORIENTED_EDGE('',*,*,#187289,.F.); #248976=ORIENTED_EDGE('',*,*,#187290,.F.); #248977=ORIENTED_EDGE('',*,*,#187291,.T.); #248978=ORIENTED_EDGE('',*,*,#187290,.T.); #248979=ORIENTED_EDGE('',*,*,#187292,.F.); #248980=ORIENTED_EDGE('',*,*,#187293,.F.); #248981=ORIENTED_EDGE('',*,*,#187294,.T.); #248982=ORIENTED_EDGE('',*,*,#187293,.T.); #248983=ORIENTED_EDGE('',*,*,#187295,.F.); #248984=ORIENTED_EDGE('',*,*,#187296,.F.); #248985=ORIENTED_EDGE('',*,*,#187297,.T.); #248986=ORIENTED_EDGE('',*,*,#187296,.T.); #248987=ORIENTED_EDGE('',*,*,#187298,.F.); #248988=ORIENTED_EDGE('',*,*,#187299,.F.); #248989=ORIENTED_EDGE('',*,*,#187300,.T.); #248990=ORIENTED_EDGE('',*,*,#187299,.T.); #248991=ORIENTED_EDGE('',*,*,#187301,.F.); #248992=ORIENTED_EDGE('',*,*,#187302,.F.); #248993=ORIENTED_EDGE('',*,*,#187303,.T.); #248994=ORIENTED_EDGE('',*,*,#187302,.T.); #248995=ORIENTED_EDGE('',*,*,#187304,.F.); #248996=ORIENTED_EDGE('',*,*,#187305,.F.); #248997=ORIENTED_EDGE('',*,*,#187306,.T.); #248998=ORIENTED_EDGE('',*,*,#187305,.T.); #248999=ORIENTED_EDGE('',*,*,#187307,.F.); #249000=ORIENTED_EDGE('',*,*,#187308,.F.); #249001=ORIENTED_EDGE('',*,*,#187309,.T.); #249002=ORIENTED_EDGE('',*,*,#187308,.T.); #249003=ORIENTED_EDGE('',*,*,#187310,.F.); #249004=ORIENTED_EDGE('',*,*,#187311,.F.); #249005=ORIENTED_EDGE('',*,*,#187312,.T.); #249006=ORIENTED_EDGE('',*,*,#187311,.T.); #249007=ORIENTED_EDGE('',*,*,#187313,.F.); #249008=ORIENTED_EDGE('',*,*,#187314,.F.); #249009=ORIENTED_EDGE('',*,*,#187315,.T.); #249010=ORIENTED_EDGE('',*,*,#187314,.T.); #249011=ORIENTED_EDGE('',*,*,#187316,.F.); #249012=ORIENTED_EDGE('',*,*,#187317,.F.); #249013=ORIENTED_EDGE('',*,*,#187318,.T.); #249014=ORIENTED_EDGE('',*,*,#187317,.T.); #249015=ORIENTED_EDGE('',*,*,#187319,.F.); #249016=ORIENTED_EDGE('',*,*,#187320,.F.); #249017=ORIENTED_EDGE('',*,*,#187321,.T.); #249018=ORIENTED_EDGE('',*,*,#187320,.T.); #249019=ORIENTED_EDGE('',*,*,#187322,.F.); #249020=ORIENTED_EDGE('',*,*,#187323,.F.); #249021=ORIENTED_EDGE('',*,*,#187324,.T.); #249022=ORIENTED_EDGE('',*,*,#187323,.T.); #249023=ORIENTED_EDGE('',*,*,#187325,.F.); #249024=ORIENTED_EDGE('',*,*,#187326,.F.); #249025=ORIENTED_EDGE('',*,*,#187327,.T.); #249026=ORIENTED_EDGE('',*,*,#187326,.T.); #249027=ORIENTED_EDGE('',*,*,#187328,.F.); #249028=ORIENTED_EDGE('',*,*,#187329,.F.); #249029=ORIENTED_EDGE('',*,*,#187330,.T.); #249030=ORIENTED_EDGE('',*,*,#187329,.T.); #249031=ORIENTED_EDGE('',*,*,#187331,.F.); #249032=ORIENTED_EDGE('',*,*,#187332,.F.); #249033=ORIENTED_EDGE('',*,*,#187333,.T.); #249034=ORIENTED_EDGE('',*,*,#187332,.T.); #249035=ORIENTED_EDGE('',*,*,#187334,.F.); #249036=ORIENTED_EDGE('',*,*,#187335,.F.); #249037=ORIENTED_EDGE('',*,*,#187336,.T.); #249038=ORIENTED_EDGE('',*,*,#187335,.T.); #249039=ORIENTED_EDGE('',*,*,#187337,.F.); #249040=ORIENTED_EDGE('',*,*,#187338,.F.); #249041=ORIENTED_EDGE('',*,*,#187339,.T.); #249042=ORIENTED_EDGE('',*,*,#187338,.T.); #249043=ORIENTED_EDGE('',*,*,#187340,.F.); #249044=ORIENTED_EDGE('',*,*,#187341,.F.); #249045=ORIENTED_EDGE('',*,*,#187342,.T.); #249046=ORIENTED_EDGE('',*,*,#187341,.T.); #249047=ORIENTED_EDGE('',*,*,#187343,.F.); #249048=ORIENTED_EDGE('',*,*,#187344,.F.); #249049=ORIENTED_EDGE('',*,*,#187345,.T.); #249050=ORIENTED_EDGE('',*,*,#187344,.T.); #249051=ORIENTED_EDGE('',*,*,#187346,.F.); #249052=ORIENTED_EDGE('',*,*,#187347,.F.); #249053=ORIENTED_EDGE('',*,*,#187348,.T.); #249054=ORIENTED_EDGE('',*,*,#187347,.T.); #249055=ORIENTED_EDGE('',*,*,#187349,.F.); #249056=ORIENTED_EDGE('',*,*,#187350,.F.); #249057=ORIENTED_EDGE('',*,*,#187351,.T.); #249058=ORIENTED_EDGE('',*,*,#187350,.T.); #249059=ORIENTED_EDGE('',*,*,#187352,.F.); #249060=ORIENTED_EDGE('',*,*,#187353,.F.); #249061=ORIENTED_EDGE('',*,*,#187354,.T.); #249062=ORIENTED_EDGE('',*,*,#187353,.T.); #249063=ORIENTED_EDGE('',*,*,#187355,.F.); #249064=ORIENTED_EDGE('',*,*,#187356,.F.); #249065=ORIENTED_EDGE('',*,*,#187357,.T.); #249066=ORIENTED_EDGE('',*,*,#187356,.T.); #249067=ORIENTED_EDGE('',*,*,#187358,.F.); #249068=ORIENTED_EDGE('',*,*,#187359,.F.); #249069=ORIENTED_EDGE('',*,*,#187360,.T.); #249070=ORIENTED_EDGE('',*,*,#187359,.T.); #249071=ORIENTED_EDGE('',*,*,#187361,.F.); #249072=ORIENTED_EDGE('',*,*,#187362,.F.); #249073=ORIENTED_EDGE('',*,*,#187363,.T.); #249074=ORIENTED_EDGE('',*,*,#187362,.T.); #249075=ORIENTED_EDGE('',*,*,#187364,.F.); #249076=ORIENTED_EDGE('',*,*,#187365,.F.); #249077=ORIENTED_EDGE('',*,*,#187366,.T.); #249078=ORIENTED_EDGE('',*,*,#187365,.T.); #249079=ORIENTED_EDGE('',*,*,#187367,.F.); #249080=ORIENTED_EDGE('',*,*,#187368,.F.); #249081=ORIENTED_EDGE('',*,*,#187369,.T.); #249082=ORIENTED_EDGE('',*,*,#187368,.T.); #249083=ORIENTED_EDGE('',*,*,#187370,.F.); #249084=ORIENTED_EDGE('',*,*,#187371,.F.); #249085=ORIENTED_EDGE('',*,*,#187372,.T.); #249086=ORIENTED_EDGE('',*,*,#187371,.T.); #249087=ORIENTED_EDGE('',*,*,#187373,.F.); #249088=ORIENTED_EDGE('',*,*,#187374,.F.); #249089=ORIENTED_EDGE('',*,*,#187375,.T.); #249090=ORIENTED_EDGE('',*,*,#187374,.T.); #249091=ORIENTED_EDGE('',*,*,#187376,.F.); #249092=ORIENTED_EDGE('',*,*,#187377,.F.); #249093=ORIENTED_EDGE('',*,*,#187378,.T.); #249094=ORIENTED_EDGE('',*,*,#187377,.T.); #249095=ORIENTED_EDGE('',*,*,#187379,.F.); #249096=ORIENTED_EDGE('',*,*,#187380,.F.); #249097=ORIENTED_EDGE('',*,*,#187381,.T.); #249098=ORIENTED_EDGE('',*,*,#187380,.T.); #249099=ORIENTED_EDGE('',*,*,#187382,.F.); #249100=ORIENTED_EDGE('',*,*,#187383,.F.); #249101=ORIENTED_EDGE('',*,*,#187384,.T.); #249102=ORIENTED_EDGE('',*,*,#187383,.T.); #249103=ORIENTED_EDGE('',*,*,#187385,.F.); #249104=ORIENTED_EDGE('',*,*,#187386,.F.); #249105=ORIENTED_EDGE('',*,*,#187387,.T.); #249106=ORIENTED_EDGE('',*,*,#187386,.T.); #249107=ORIENTED_EDGE('',*,*,#187388,.F.); #249108=ORIENTED_EDGE('',*,*,#187389,.F.); #249109=ORIENTED_EDGE('',*,*,#187390,.T.); #249110=ORIENTED_EDGE('',*,*,#187389,.T.); #249111=ORIENTED_EDGE('',*,*,#187391,.F.); #249112=ORIENTED_EDGE('',*,*,#187392,.F.); #249113=ORIENTED_EDGE('',*,*,#187393,.T.); #249114=ORIENTED_EDGE('',*,*,#187392,.T.); #249115=ORIENTED_EDGE('',*,*,#187394,.F.); #249116=ORIENTED_EDGE('',*,*,#187395,.F.); #249117=ORIENTED_EDGE('',*,*,#187396,.T.); #249118=ORIENTED_EDGE('',*,*,#187395,.T.); #249119=ORIENTED_EDGE('',*,*,#187397,.F.); #249120=ORIENTED_EDGE('',*,*,#187398,.F.); #249121=ORIENTED_EDGE('',*,*,#187399,.T.); #249122=ORIENTED_EDGE('',*,*,#187398,.T.); #249123=ORIENTED_EDGE('',*,*,#187400,.F.); #249124=ORIENTED_EDGE('',*,*,#187401,.F.); #249125=ORIENTED_EDGE('',*,*,#187402,.T.); #249126=ORIENTED_EDGE('',*,*,#187401,.T.); #249127=ORIENTED_EDGE('',*,*,#187403,.F.); #249128=ORIENTED_EDGE('',*,*,#187404,.F.); #249129=ORIENTED_EDGE('',*,*,#187405,.T.); #249130=ORIENTED_EDGE('',*,*,#187404,.T.); #249131=ORIENTED_EDGE('',*,*,#187406,.F.); #249132=ORIENTED_EDGE('',*,*,#187407,.F.); #249133=ORIENTED_EDGE('',*,*,#187408,.T.); #249134=ORIENTED_EDGE('',*,*,#187407,.T.); #249135=ORIENTED_EDGE('',*,*,#187409,.F.); #249136=ORIENTED_EDGE('',*,*,#187410,.F.); #249137=ORIENTED_EDGE('',*,*,#187411,.T.); #249138=ORIENTED_EDGE('',*,*,#187410,.T.); #249139=ORIENTED_EDGE('',*,*,#187412,.F.); #249140=ORIENTED_EDGE('',*,*,#187413,.F.); #249141=ORIENTED_EDGE('',*,*,#187414,.T.); #249142=ORIENTED_EDGE('',*,*,#187413,.T.); #249143=ORIENTED_EDGE('',*,*,#187415,.F.); #249144=ORIENTED_EDGE('',*,*,#187416,.F.); #249145=ORIENTED_EDGE('',*,*,#187417,.T.); #249146=ORIENTED_EDGE('',*,*,#187416,.T.); #249147=ORIENTED_EDGE('',*,*,#187418,.F.); #249148=ORIENTED_EDGE('',*,*,#187419,.F.); #249149=ORIENTED_EDGE('',*,*,#187420,.T.); #249150=ORIENTED_EDGE('',*,*,#187419,.T.); #249151=ORIENTED_EDGE('',*,*,#187421,.F.); #249152=ORIENTED_EDGE('',*,*,#187422,.F.); #249153=ORIENTED_EDGE('',*,*,#187423,.T.); #249154=ORIENTED_EDGE('',*,*,#187422,.T.); #249155=ORIENTED_EDGE('',*,*,#187424,.F.); #249156=ORIENTED_EDGE('',*,*,#187425,.F.); #249157=ORIENTED_EDGE('',*,*,#187426,.T.); #249158=ORIENTED_EDGE('',*,*,#187425,.T.); #249159=ORIENTED_EDGE('',*,*,#187427,.F.); #249160=ORIENTED_EDGE('',*,*,#187428,.F.); #249161=ORIENTED_EDGE('',*,*,#187429,.T.); #249162=ORIENTED_EDGE('',*,*,#187428,.T.); #249163=ORIENTED_EDGE('',*,*,#187430,.F.); #249164=ORIENTED_EDGE('',*,*,#187431,.F.); #249165=ORIENTED_EDGE('',*,*,#187432,.T.); #249166=ORIENTED_EDGE('',*,*,#187431,.T.); #249167=ORIENTED_EDGE('',*,*,#187433,.F.); #249168=ORIENTED_EDGE('',*,*,#187434,.F.); #249169=ORIENTED_EDGE('',*,*,#187435,.T.); #249170=ORIENTED_EDGE('',*,*,#187434,.T.); #249171=ORIENTED_EDGE('',*,*,#187436,.F.); #249172=ORIENTED_EDGE('',*,*,#187437,.F.); #249173=ORIENTED_EDGE('',*,*,#187438,.T.); #249174=ORIENTED_EDGE('',*,*,#187437,.T.); #249175=ORIENTED_EDGE('',*,*,#187439,.F.); #249176=ORIENTED_EDGE('',*,*,#187440,.F.); #249177=ORIENTED_EDGE('',*,*,#187441,.T.); #249178=ORIENTED_EDGE('',*,*,#187440,.T.); #249179=ORIENTED_EDGE('',*,*,#187442,.F.); #249180=ORIENTED_EDGE('',*,*,#187443,.F.); #249181=ORIENTED_EDGE('',*,*,#187444,.T.); #249182=ORIENTED_EDGE('',*,*,#187443,.T.); #249183=ORIENTED_EDGE('',*,*,#187445,.F.); #249184=ORIENTED_EDGE('',*,*,#187446,.F.); #249185=ORIENTED_EDGE('',*,*,#187447,.T.); #249186=ORIENTED_EDGE('',*,*,#187446,.T.); #249187=ORIENTED_EDGE('',*,*,#187448,.F.); #249188=ORIENTED_EDGE('',*,*,#187449,.F.); #249189=ORIENTED_EDGE('',*,*,#187450,.T.); #249190=ORIENTED_EDGE('',*,*,#187449,.T.); #249191=ORIENTED_EDGE('',*,*,#187451,.F.); #249192=ORIENTED_EDGE('',*,*,#187452,.F.); #249193=ORIENTED_EDGE('',*,*,#187453,.T.); #249194=ORIENTED_EDGE('',*,*,#187452,.T.); #249195=ORIENTED_EDGE('',*,*,#187454,.F.); #249196=ORIENTED_EDGE('',*,*,#187455,.F.); #249197=ORIENTED_EDGE('',*,*,#187456,.T.); #249198=ORIENTED_EDGE('',*,*,#187455,.T.); #249199=ORIENTED_EDGE('',*,*,#187457,.F.); #249200=ORIENTED_EDGE('',*,*,#187458,.F.); #249201=ORIENTED_EDGE('',*,*,#187459,.T.); #249202=ORIENTED_EDGE('',*,*,#187458,.T.); #249203=ORIENTED_EDGE('',*,*,#187460,.F.); #249204=ORIENTED_EDGE('',*,*,#187461,.F.); #249205=ORIENTED_EDGE('',*,*,#187462,.T.); #249206=ORIENTED_EDGE('',*,*,#187461,.T.); #249207=ORIENTED_EDGE('',*,*,#187463,.F.); #249208=ORIENTED_EDGE('',*,*,#187464,.F.); #249209=ORIENTED_EDGE('',*,*,#187465,.T.); #249210=ORIENTED_EDGE('',*,*,#187464,.T.); #249211=ORIENTED_EDGE('',*,*,#187466,.F.); #249212=ORIENTED_EDGE('',*,*,#187467,.F.); #249213=ORIENTED_EDGE('',*,*,#187468,.T.); #249214=ORIENTED_EDGE('',*,*,#187467,.T.); #249215=ORIENTED_EDGE('',*,*,#187469,.F.); #249216=ORIENTED_EDGE('',*,*,#187470,.F.); #249217=ORIENTED_EDGE('',*,*,#187471,.T.); #249218=ORIENTED_EDGE('',*,*,#187470,.T.); #249219=ORIENTED_EDGE('',*,*,#187472,.F.); #249220=ORIENTED_EDGE('',*,*,#187473,.F.); #249221=ORIENTED_EDGE('',*,*,#187474,.T.); #249222=ORIENTED_EDGE('',*,*,#187473,.T.); #249223=ORIENTED_EDGE('',*,*,#187475,.F.); #249224=ORIENTED_EDGE('',*,*,#187476,.F.); #249225=ORIENTED_EDGE('',*,*,#187477,.T.); #249226=ORIENTED_EDGE('',*,*,#187476,.T.); #249227=ORIENTED_EDGE('',*,*,#187478,.F.); #249228=ORIENTED_EDGE('',*,*,#187479,.F.); #249229=ORIENTED_EDGE('',*,*,#187480,.T.); #249230=ORIENTED_EDGE('',*,*,#187479,.T.); #249231=ORIENTED_EDGE('',*,*,#187481,.F.); #249232=ORIENTED_EDGE('',*,*,#187482,.F.); #249233=ORIENTED_EDGE('',*,*,#187483,.T.); #249234=ORIENTED_EDGE('',*,*,#187482,.T.); #249235=ORIENTED_EDGE('',*,*,#187484,.F.); #249236=ORIENTED_EDGE('',*,*,#187485,.F.); #249237=ORIENTED_EDGE('',*,*,#187486,.T.); #249238=ORIENTED_EDGE('',*,*,#187485,.T.); #249239=ORIENTED_EDGE('',*,*,#187487,.F.); #249240=ORIENTED_EDGE('',*,*,#187488,.F.); #249241=ORIENTED_EDGE('',*,*,#187489,.T.); #249242=ORIENTED_EDGE('',*,*,#187488,.T.); #249243=ORIENTED_EDGE('',*,*,#187490,.F.); #249244=ORIENTED_EDGE('',*,*,#187491,.F.); #249245=ORIENTED_EDGE('',*,*,#187492,.T.); #249246=ORIENTED_EDGE('',*,*,#187491,.T.); #249247=ORIENTED_EDGE('',*,*,#187493,.F.); #249248=ORIENTED_EDGE('',*,*,#187494,.F.); #249249=ORIENTED_EDGE('',*,*,#187495,.T.); #249250=ORIENTED_EDGE('',*,*,#187494,.T.); #249251=ORIENTED_EDGE('',*,*,#187496,.F.); #249252=ORIENTED_EDGE('',*,*,#187497,.F.); #249253=ORIENTED_EDGE('',*,*,#187498,.T.); #249254=ORIENTED_EDGE('',*,*,#187497,.T.); #249255=ORIENTED_EDGE('',*,*,#187499,.F.); #249256=ORIENTED_EDGE('',*,*,#187500,.F.); #249257=ORIENTED_EDGE('',*,*,#187501,.T.); #249258=ORIENTED_EDGE('',*,*,#187500,.T.); #249259=ORIENTED_EDGE('',*,*,#187502,.F.); #249260=ORIENTED_EDGE('',*,*,#187503,.F.); #249261=ORIENTED_EDGE('',*,*,#187504,.T.); #249262=ORIENTED_EDGE('',*,*,#187503,.T.); #249263=ORIENTED_EDGE('',*,*,#187505,.F.); #249264=ORIENTED_EDGE('',*,*,#187506,.F.); #249265=ORIENTED_EDGE('',*,*,#187507,.T.); #249266=ORIENTED_EDGE('',*,*,#187506,.T.); #249267=ORIENTED_EDGE('',*,*,#187508,.F.); #249268=ORIENTED_EDGE('',*,*,#187509,.F.); #249269=ORIENTED_EDGE('',*,*,#187510,.T.); #249270=ORIENTED_EDGE('',*,*,#187509,.T.); #249271=ORIENTED_EDGE('',*,*,#187511,.F.); #249272=ORIENTED_EDGE('',*,*,#187512,.F.); #249273=ORIENTED_EDGE('',*,*,#187513,.T.); #249274=ORIENTED_EDGE('',*,*,#187512,.T.); #249275=ORIENTED_EDGE('',*,*,#187514,.F.); #249276=ORIENTED_EDGE('',*,*,#187515,.F.); #249277=ORIENTED_EDGE('',*,*,#187516,.T.); #249278=ORIENTED_EDGE('',*,*,#187515,.T.); #249279=ORIENTED_EDGE('',*,*,#187517,.F.); #249280=ORIENTED_EDGE('',*,*,#187518,.F.); #249281=ORIENTED_EDGE('',*,*,#187519,.T.); #249282=ORIENTED_EDGE('',*,*,#187518,.T.); #249283=ORIENTED_EDGE('',*,*,#187520,.F.); #249284=ORIENTED_EDGE('',*,*,#187521,.F.); #249285=ORIENTED_EDGE('',*,*,#187522,.T.); #249286=ORIENTED_EDGE('',*,*,#187521,.T.); #249287=ORIENTED_EDGE('',*,*,#187523,.F.); #249288=ORIENTED_EDGE('',*,*,#187524,.F.); #249289=ORIENTED_EDGE('',*,*,#187525,.T.); #249290=ORIENTED_EDGE('',*,*,#187524,.T.); #249291=ORIENTED_EDGE('',*,*,#187526,.F.); #249292=ORIENTED_EDGE('',*,*,#187527,.F.); #249293=ORIENTED_EDGE('',*,*,#187528,.T.); #249294=ORIENTED_EDGE('',*,*,#187527,.T.); #249295=ORIENTED_EDGE('',*,*,#187529,.F.); #249296=ORIENTED_EDGE('',*,*,#187530,.F.); #249297=ORIENTED_EDGE('',*,*,#187531,.T.); #249298=ORIENTED_EDGE('',*,*,#187530,.T.); #249299=ORIENTED_EDGE('',*,*,#187532,.F.); #249300=ORIENTED_EDGE('',*,*,#187533,.F.); #249301=ORIENTED_EDGE('',*,*,#187534,.T.); #249302=ORIENTED_EDGE('',*,*,#187533,.T.); #249303=ORIENTED_EDGE('',*,*,#187535,.F.); #249304=ORIENTED_EDGE('',*,*,#187536,.F.); #249305=ORIENTED_EDGE('',*,*,#187537,.T.); #249306=ORIENTED_EDGE('',*,*,#187536,.T.); #249307=ORIENTED_EDGE('',*,*,#187538,.F.); #249308=ORIENTED_EDGE('',*,*,#187539,.F.); #249309=ORIENTED_EDGE('',*,*,#187540,.T.); #249310=ORIENTED_EDGE('',*,*,#187539,.T.); #249311=ORIENTED_EDGE('',*,*,#187541,.F.); #249312=ORIENTED_EDGE('',*,*,#187542,.F.); #249313=ORIENTED_EDGE('',*,*,#187543,.T.); #249314=ORIENTED_EDGE('',*,*,#187542,.T.); #249315=ORIENTED_EDGE('',*,*,#187544,.F.); #249316=ORIENTED_EDGE('',*,*,#187545,.F.); #249317=ORIENTED_EDGE('',*,*,#187546,.T.); #249318=ORIENTED_EDGE('',*,*,#187545,.T.); #249319=ORIENTED_EDGE('',*,*,#187547,.F.); #249320=ORIENTED_EDGE('',*,*,#187548,.F.); #249321=ORIENTED_EDGE('',*,*,#187549,.T.); #249322=ORIENTED_EDGE('',*,*,#187548,.T.); #249323=ORIENTED_EDGE('',*,*,#187550,.F.); #249324=ORIENTED_EDGE('',*,*,#187551,.F.); #249325=ORIENTED_EDGE('',*,*,#187552,.T.); #249326=ORIENTED_EDGE('',*,*,#187551,.T.); #249327=ORIENTED_EDGE('',*,*,#187553,.F.); #249328=ORIENTED_EDGE('',*,*,#187554,.F.); #249329=ORIENTED_EDGE('',*,*,#187555,.T.); #249330=ORIENTED_EDGE('',*,*,#187554,.T.); #249331=ORIENTED_EDGE('',*,*,#187556,.F.); #249332=ORIENTED_EDGE('',*,*,#187557,.F.); #249333=ORIENTED_EDGE('',*,*,#187558,.T.); #249334=ORIENTED_EDGE('',*,*,#187557,.T.); #249335=ORIENTED_EDGE('',*,*,#187559,.F.); #249336=ORIENTED_EDGE('',*,*,#187560,.F.); #249337=ORIENTED_EDGE('',*,*,#187561,.T.); #249338=ORIENTED_EDGE('',*,*,#187560,.T.); #249339=ORIENTED_EDGE('',*,*,#187562,.F.); #249340=ORIENTED_EDGE('',*,*,#187563,.F.); #249341=ORIENTED_EDGE('',*,*,#187564,.T.); #249342=ORIENTED_EDGE('',*,*,#187563,.T.); #249343=ORIENTED_EDGE('',*,*,#187565,.F.); #249344=ORIENTED_EDGE('',*,*,#187566,.F.); #249345=ORIENTED_EDGE('',*,*,#187567,.T.); #249346=ORIENTED_EDGE('',*,*,#187566,.T.); #249347=ORIENTED_EDGE('',*,*,#187568,.F.); #249348=ORIENTED_EDGE('',*,*,#187569,.F.); #249349=ORIENTED_EDGE('',*,*,#187570,.T.); #249350=ORIENTED_EDGE('',*,*,#187569,.T.); #249351=ORIENTED_EDGE('',*,*,#187571,.F.); #249352=ORIENTED_EDGE('',*,*,#187572,.F.); #249353=ORIENTED_EDGE('',*,*,#187573,.T.); #249354=ORIENTED_EDGE('',*,*,#187572,.T.); #249355=ORIENTED_EDGE('',*,*,#187574,.F.); #249356=ORIENTED_EDGE('',*,*,#187575,.F.); #249357=ORIENTED_EDGE('',*,*,#187576,.T.); #249358=ORIENTED_EDGE('',*,*,#187575,.T.); #249359=ORIENTED_EDGE('',*,*,#187577,.F.); #249360=ORIENTED_EDGE('',*,*,#187578,.F.); #249361=ORIENTED_EDGE('',*,*,#187579,.T.); #249362=ORIENTED_EDGE('',*,*,#187578,.T.); #249363=ORIENTED_EDGE('',*,*,#187580,.F.); #249364=ORIENTED_EDGE('',*,*,#187581,.F.); #249365=ORIENTED_EDGE('',*,*,#187582,.T.); #249366=ORIENTED_EDGE('',*,*,#187581,.T.); #249367=ORIENTED_EDGE('',*,*,#187583,.F.); #249368=ORIENTED_EDGE('',*,*,#187584,.F.); #249369=ORIENTED_EDGE('',*,*,#187585,.T.); #249370=ORIENTED_EDGE('',*,*,#187584,.T.); #249371=ORIENTED_EDGE('',*,*,#187586,.F.); #249372=ORIENTED_EDGE('',*,*,#187587,.F.); #249373=ORIENTED_EDGE('',*,*,#187588,.T.); #249374=ORIENTED_EDGE('',*,*,#187587,.T.); #249375=ORIENTED_EDGE('',*,*,#187589,.F.); #249376=ORIENTED_EDGE('',*,*,#187590,.F.); #249377=ORIENTED_EDGE('',*,*,#187591,.T.); #249378=ORIENTED_EDGE('',*,*,#187590,.T.); #249379=ORIENTED_EDGE('',*,*,#187592,.F.); #249380=ORIENTED_EDGE('',*,*,#187593,.F.); #249381=ORIENTED_EDGE('',*,*,#187594,.T.); #249382=ORIENTED_EDGE('',*,*,#187593,.T.); #249383=ORIENTED_EDGE('',*,*,#187595,.F.); #249384=ORIENTED_EDGE('',*,*,#187596,.F.); #249385=ORIENTED_EDGE('',*,*,#187597,.T.); #249386=ORIENTED_EDGE('',*,*,#187596,.T.); #249387=ORIENTED_EDGE('',*,*,#187598,.F.); #249388=ORIENTED_EDGE('',*,*,#187599,.F.); #249389=ORIENTED_EDGE('',*,*,#187600,.T.); #249390=ORIENTED_EDGE('',*,*,#187599,.T.); #249391=ORIENTED_EDGE('',*,*,#187601,.F.); #249392=ORIENTED_EDGE('',*,*,#187602,.F.); #249393=ORIENTED_EDGE('',*,*,#187603,.T.); #249394=ORIENTED_EDGE('',*,*,#187602,.T.); #249395=ORIENTED_EDGE('',*,*,#187604,.F.); #249396=ORIENTED_EDGE('',*,*,#187605,.F.); #249397=ORIENTED_EDGE('',*,*,#187606,.T.); #249398=ORIENTED_EDGE('',*,*,#187605,.T.); #249399=ORIENTED_EDGE('',*,*,#187607,.F.); #249400=ORIENTED_EDGE('',*,*,#187608,.F.); #249401=ORIENTED_EDGE('',*,*,#187609,.T.); #249402=ORIENTED_EDGE('',*,*,#187608,.T.); #249403=ORIENTED_EDGE('',*,*,#187610,.F.); #249404=ORIENTED_EDGE('',*,*,#187611,.F.); #249405=ORIENTED_EDGE('',*,*,#187612,.T.); #249406=ORIENTED_EDGE('',*,*,#187611,.T.); #249407=ORIENTED_EDGE('',*,*,#187613,.F.); #249408=ORIENTED_EDGE('',*,*,#187614,.F.); #249409=ORIENTED_EDGE('',*,*,#187615,.T.); #249410=ORIENTED_EDGE('',*,*,#187614,.T.); #249411=ORIENTED_EDGE('',*,*,#187616,.F.); #249412=ORIENTED_EDGE('',*,*,#187617,.F.); #249413=ORIENTED_EDGE('',*,*,#187618,.T.); #249414=ORIENTED_EDGE('',*,*,#187617,.T.); #249415=ORIENTED_EDGE('',*,*,#187619,.F.); #249416=ORIENTED_EDGE('',*,*,#187620,.F.); #249417=ORIENTED_EDGE('',*,*,#187621,.T.); #249418=ORIENTED_EDGE('',*,*,#187620,.T.); #249419=ORIENTED_EDGE('',*,*,#187622,.F.); #249420=ORIENTED_EDGE('',*,*,#187623,.F.); #249421=ORIENTED_EDGE('',*,*,#187624,.T.); #249422=ORIENTED_EDGE('',*,*,#187623,.T.); #249423=ORIENTED_EDGE('',*,*,#187625,.F.); #249424=ORIENTED_EDGE('',*,*,#187626,.F.); #249425=ORIENTED_EDGE('',*,*,#187627,.T.); #249426=ORIENTED_EDGE('',*,*,#187626,.T.); #249427=ORIENTED_EDGE('',*,*,#187628,.F.); #249428=ORIENTED_EDGE('',*,*,#187629,.F.); #249429=ORIENTED_EDGE('',*,*,#187630,.T.); #249430=ORIENTED_EDGE('',*,*,#187629,.T.); #249431=ORIENTED_EDGE('',*,*,#187631,.F.); #249432=ORIENTED_EDGE('',*,*,#187632,.F.); #249433=ORIENTED_EDGE('',*,*,#187633,.T.); #249434=ORIENTED_EDGE('',*,*,#187632,.T.); #249435=ORIENTED_EDGE('',*,*,#187634,.F.); #249436=ORIENTED_EDGE('',*,*,#187635,.F.); #249437=ORIENTED_EDGE('',*,*,#187636,.T.); #249438=ORIENTED_EDGE('',*,*,#187635,.T.); #249439=ORIENTED_EDGE('',*,*,#187637,.F.); #249440=ORIENTED_EDGE('',*,*,#187638,.F.); #249441=ORIENTED_EDGE('',*,*,#187639,.T.); #249442=ORIENTED_EDGE('',*,*,#187638,.T.); #249443=ORIENTED_EDGE('',*,*,#187640,.F.); #249444=ORIENTED_EDGE('',*,*,#187641,.F.); #249445=ORIENTED_EDGE('',*,*,#187642,.T.); #249446=ORIENTED_EDGE('',*,*,#187641,.T.); #249447=ORIENTED_EDGE('',*,*,#187643,.F.); #249448=ORIENTED_EDGE('',*,*,#187644,.F.); #249449=ORIENTED_EDGE('',*,*,#187645,.T.); #249450=ORIENTED_EDGE('',*,*,#187644,.T.); #249451=ORIENTED_EDGE('',*,*,#187646,.F.); #249452=ORIENTED_EDGE('',*,*,#187647,.F.); #249453=ORIENTED_EDGE('',*,*,#187648,.T.); #249454=ORIENTED_EDGE('',*,*,#187647,.T.); #249455=ORIENTED_EDGE('',*,*,#187649,.F.); #249456=ORIENTED_EDGE('',*,*,#187650,.F.); #249457=ORIENTED_EDGE('',*,*,#187651,.T.); #249458=ORIENTED_EDGE('',*,*,#187650,.T.); #249459=ORIENTED_EDGE('',*,*,#187652,.F.); #249460=ORIENTED_EDGE('',*,*,#187653,.F.); #249461=ORIENTED_EDGE('',*,*,#187654,.T.); #249462=ORIENTED_EDGE('',*,*,#187653,.T.); #249463=ORIENTED_EDGE('',*,*,#187655,.F.); #249464=ORIENTED_EDGE('',*,*,#187656,.F.); #249465=ORIENTED_EDGE('',*,*,#187657,.T.); #249466=ORIENTED_EDGE('',*,*,#187656,.T.); #249467=ORIENTED_EDGE('',*,*,#187658,.F.); #249468=ORIENTED_EDGE('',*,*,#187659,.F.); #249469=ORIENTED_EDGE('',*,*,#187660,.T.); #249470=ORIENTED_EDGE('',*,*,#187659,.T.); #249471=ORIENTED_EDGE('',*,*,#187661,.F.); #249472=ORIENTED_EDGE('',*,*,#187662,.F.); #249473=ORIENTED_EDGE('',*,*,#187663,.T.); #249474=ORIENTED_EDGE('',*,*,#187662,.T.); #249475=ORIENTED_EDGE('',*,*,#187664,.F.); #249476=ORIENTED_EDGE('',*,*,#187665,.F.); #249477=ORIENTED_EDGE('',*,*,#187666,.T.); #249478=ORIENTED_EDGE('',*,*,#187665,.T.); #249479=ORIENTED_EDGE('',*,*,#187667,.F.); #249480=ORIENTED_EDGE('',*,*,#187668,.F.); #249481=ORIENTED_EDGE('',*,*,#187669,.T.); #249482=ORIENTED_EDGE('',*,*,#187668,.T.); #249483=ORIENTED_EDGE('',*,*,#187670,.F.); #249484=ORIENTED_EDGE('',*,*,#187671,.F.); #249485=ORIENTED_EDGE('',*,*,#187672,.T.); #249486=ORIENTED_EDGE('',*,*,#187671,.T.); #249487=ORIENTED_EDGE('',*,*,#187673,.F.); #249488=ORIENTED_EDGE('',*,*,#187674,.F.); #249489=ORIENTED_EDGE('',*,*,#187675,.T.); #249490=ORIENTED_EDGE('',*,*,#187674,.T.); #249491=ORIENTED_EDGE('',*,*,#187676,.F.); #249492=ORIENTED_EDGE('',*,*,#187677,.F.); #249493=ORIENTED_EDGE('',*,*,#187678,.T.); #249494=ORIENTED_EDGE('',*,*,#187677,.T.); #249495=ORIENTED_EDGE('',*,*,#187679,.F.); #249496=ORIENTED_EDGE('',*,*,#187680,.F.); #249497=ORIENTED_EDGE('',*,*,#187681,.T.); #249498=ORIENTED_EDGE('',*,*,#187680,.T.); #249499=ORIENTED_EDGE('',*,*,#187682,.F.); #249500=ORIENTED_EDGE('',*,*,#187683,.F.); #249501=ORIENTED_EDGE('',*,*,#187684,.T.); #249502=ORIENTED_EDGE('',*,*,#187683,.T.); #249503=ORIENTED_EDGE('',*,*,#187685,.F.); #249504=ORIENTED_EDGE('',*,*,#187686,.F.); #249505=ORIENTED_EDGE('',*,*,#187687,.T.); #249506=ORIENTED_EDGE('',*,*,#187686,.T.); #249507=ORIENTED_EDGE('',*,*,#187688,.F.); #249508=ORIENTED_EDGE('',*,*,#187689,.F.); #249509=ORIENTED_EDGE('',*,*,#187690,.T.); #249510=ORIENTED_EDGE('',*,*,#187689,.T.); #249511=ORIENTED_EDGE('',*,*,#187691,.F.); #249512=ORIENTED_EDGE('',*,*,#187692,.F.); #249513=ORIENTED_EDGE('',*,*,#187693,.T.); #249514=ORIENTED_EDGE('',*,*,#187692,.T.); #249515=ORIENTED_EDGE('',*,*,#187694,.F.); #249516=ORIENTED_EDGE('',*,*,#187695,.F.); #249517=ORIENTED_EDGE('',*,*,#187696,.T.); #249518=ORIENTED_EDGE('',*,*,#187695,.T.); #249519=ORIENTED_EDGE('',*,*,#187697,.F.); #249520=ORIENTED_EDGE('',*,*,#187698,.F.); #249521=ORIENTED_EDGE('',*,*,#187699,.T.); #249522=ORIENTED_EDGE('',*,*,#187698,.T.); #249523=ORIENTED_EDGE('',*,*,#187700,.F.); #249524=ORIENTED_EDGE('',*,*,#187701,.F.); #249525=ORIENTED_EDGE('',*,*,#187702,.T.); #249526=ORIENTED_EDGE('',*,*,#187701,.T.); #249527=ORIENTED_EDGE('',*,*,#187703,.F.); #249528=ORIENTED_EDGE('',*,*,#187704,.F.); #249529=ORIENTED_EDGE('',*,*,#187705,.T.); #249530=ORIENTED_EDGE('',*,*,#187704,.T.); #249531=ORIENTED_EDGE('',*,*,#187706,.F.); #249532=ORIENTED_EDGE('',*,*,#187707,.F.); #249533=ORIENTED_EDGE('',*,*,#187708,.T.); #249534=ORIENTED_EDGE('',*,*,#187707,.T.); #249535=ORIENTED_EDGE('',*,*,#187709,.F.); #249536=ORIENTED_EDGE('',*,*,#187710,.F.); #249537=ORIENTED_EDGE('',*,*,#187711,.T.); #249538=ORIENTED_EDGE('',*,*,#187710,.T.); #249539=ORIENTED_EDGE('',*,*,#187712,.F.); #249540=ORIENTED_EDGE('',*,*,#187713,.F.); #249541=ORIENTED_EDGE('',*,*,#187714,.T.); #249542=ORIENTED_EDGE('',*,*,#187713,.T.); #249543=ORIENTED_EDGE('',*,*,#187715,.F.); #249544=ORIENTED_EDGE('',*,*,#187716,.F.); #249545=ORIENTED_EDGE('',*,*,#187717,.T.); #249546=ORIENTED_EDGE('',*,*,#187716,.T.); #249547=ORIENTED_EDGE('',*,*,#187718,.F.); #249548=ORIENTED_EDGE('',*,*,#187719,.F.); #249549=ORIENTED_EDGE('',*,*,#187720,.T.); #249550=ORIENTED_EDGE('',*,*,#187719,.T.); #249551=ORIENTED_EDGE('',*,*,#187721,.F.); #249552=ORIENTED_EDGE('',*,*,#187722,.F.); #249553=ORIENTED_EDGE('',*,*,#187723,.T.); #249554=ORIENTED_EDGE('',*,*,#187722,.T.); #249555=ORIENTED_EDGE('',*,*,#187724,.F.); #249556=ORIENTED_EDGE('',*,*,#187725,.F.); #249557=ORIENTED_EDGE('',*,*,#187726,.T.); #249558=ORIENTED_EDGE('',*,*,#187725,.T.); #249559=ORIENTED_EDGE('',*,*,#187727,.F.); #249560=ORIENTED_EDGE('',*,*,#187728,.F.); #249561=ORIENTED_EDGE('',*,*,#187729,.T.); #249562=ORIENTED_EDGE('',*,*,#187728,.T.); #249563=ORIENTED_EDGE('',*,*,#187730,.F.); #249564=ORIENTED_EDGE('',*,*,#187731,.F.); #249565=ORIENTED_EDGE('',*,*,#187732,.T.); #249566=ORIENTED_EDGE('',*,*,#187731,.T.); #249567=ORIENTED_EDGE('',*,*,#187733,.F.); #249568=ORIENTED_EDGE('',*,*,#187734,.F.); #249569=ORIENTED_EDGE('',*,*,#187735,.T.); #249570=ORIENTED_EDGE('',*,*,#187734,.T.); #249571=ORIENTED_EDGE('',*,*,#187736,.F.); #249572=ORIENTED_EDGE('',*,*,#187737,.F.); #249573=ORIENTED_EDGE('',*,*,#187738,.T.); #249574=ORIENTED_EDGE('',*,*,#187737,.T.); #249575=ORIENTED_EDGE('',*,*,#187739,.F.); #249576=ORIENTED_EDGE('',*,*,#187740,.F.); #249577=ORIENTED_EDGE('',*,*,#187741,.T.); #249578=ORIENTED_EDGE('',*,*,#187740,.T.); #249579=ORIENTED_EDGE('',*,*,#187742,.F.); #249580=ORIENTED_EDGE('',*,*,#187743,.F.); #249581=ORIENTED_EDGE('',*,*,#187744,.T.); #249582=ORIENTED_EDGE('',*,*,#187743,.T.); #249583=ORIENTED_EDGE('',*,*,#187745,.F.); #249584=ORIENTED_EDGE('',*,*,#187746,.F.); #249585=ORIENTED_EDGE('',*,*,#187747,.T.); #249586=ORIENTED_EDGE('',*,*,#187746,.T.); #249587=ORIENTED_EDGE('',*,*,#187748,.F.); #249588=ORIENTED_EDGE('',*,*,#187749,.F.); #249589=ORIENTED_EDGE('',*,*,#187750,.T.); #249590=ORIENTED_EDGE('',*,*,#187749,.T.); #249591=ORIENTED_EDGE('',*,*,#187751,.F.); #249592=ORIENTED_EDGE('',*,*,#187752,.F.); #249593=ORIENTED_EDGE('',*,*,#187753,.T.); #249594=ORIENTED_EDGE('',*,*,#187752,.T.); #249595=ORIENTED_EDGE('',*,*,#187754,.F.); #249596=ORIENTED_EDGE('',*,*,#187755,.F.); #249597=ORIENTED_EDGE('',*,*,#187756,.T.); #249598=ORIENTED_EDGE('',*,*,#187755,.T.); #249599=ORIENTED_EDGE('',*,*,#187757,.F.); #249600=ORIENTED_EDGE('',*,*,#187758,.F.); #249601=ORIENTED_EDGE('',*,*,#187759,.T.); #249602=ORIENTED_EDGE('',*,*,#187758,.T.); #249603=ORIENTED_EDGE('',*,*,#187760,.F.); #249604=ORIENTED_EDGE('',*,*,#187761,.F.); #249605=ORIENTED_EDGE('',*,*,#187762,.T.); #249606=ORIENTED_EDGE('',*,*,#187761,.T.); #249607=ORIENTED_EDGE('',*,*,#187763,.F.); #249608=ORIENTED_EDGE('',*,*,#187764,.F.); #249609=ORIENTED_EDGE('',*,*,#187765,.T.); #249610=ORIENTED_EDGE('',*,*,#187764,.T.); #249611=ORIENTED_EDGE('',*,*,#187766,.F.); #249612=ORIENTED_EDGE('',*,*,#187767,.F.); #249613=ORIENTED_EDGE('',*,*,#187768,.T.); #249614=ORIENTED_EDGE('',*,*,#187767,.T.); #249615=ORIENTED_EDGE('',*,*,#187769,.F.); #249616=ORIENTED_EDGE('',*,*,#187770,.F.); #249617=ORIENTED_EDGE('',*,*,#187771,.T.); #249618=ORIENTED_EDGE('',*,*,#187770,.T.); #249619=ORIENTED_EDGE('',*,*,#187772,.F.); #249620=ORIENTED_EDGE('',*,*,#187773,.F.); #249621=ORIENTED_EDGE('',*,*,#187774,.T.); #249622=ORIENTED_EDGE('',*,*,#187773,.T.); #249623=ORIENTED_EDGE('',*,*,#187775,.F.); #249624=ORIENTED_EDGE('',*,*,#187776,.F.); #249625=ORIENTED_EDGE('',*,*,#187777,.T.); #249626=ORIENTED_EDGE('',*,*,#187776,.T.); #249627=ORIENTED_EDGE('',*,*,#187778,.F.); #249628=ORIENTED_EDGE('',*,*,#187779,.F.); #249629=ORIENTED_EDGE('',*,*,#187780,.T.); #249630=ORIENTED_EDGE('',*,*,#187779,.T.); #249631=ORIENTED_EDGE('',*,*,#187781,.F.); #249632=ORIENTED_EDGE('',*,*,#187782,.F.); #249633=ORIENTED_EDGE('',*,*,#187783,.T.); #249634=ORIENTED_EDGE('',*,*,#187782,.T.); #249635=ORIENTED_EDGE('',*,*,#187784,.F.); #249636=ORIENTED_EDGE('',*,*,#187785,.F.); #249637=ORIENTED_EDGE('',*,*,#187786,.T.); #249638=ORIENTED_EDGE('',*,*,#187785,.T.); #249639=ORIENTED_EDGE('',*,*,#187787,.F.); #249640=ORIENTED_EDGE('',*,*,#187788,.F.); #249641=ORIENTED_EDGE('',*,*,#187789,.T.); #249642=ORIENTED_EDGE('',*,*,#187788,.T.); #249643=ORIENTED_EDGE('',*,*,#187790,.F.); #249644=ORIENTED_EDGE('',*,*,#187791,.F.); #249645=ORIENTED_EDGE('',*,*,#187792,.T.); #249646=ORIENTED_EDGE('',*,*,#187791,.T.); #249647=ORIENTED_EDGE('',*,*,#187793,.F.); #249648=ORIENTED_EDGE('',*,*,#187794,.F.); #249649=ORIENTED_EDGE('',*,*,#187795,.T.); #249650=ORIENTED_EDGE('',*,*,#187794,.T.); #249651=ORIENTED_EDGE('',*,*,#187796,.F.); #249652=ORIENTED_EDGE('',*,*,#187797,.F.); #249653=ORIENTED_EDGE('',*,*,#187798,.T.); #249654=ORIENTED_EDGE('',*,*,#187797,.T.); #249655=ORIENTED_EDGE('',*,*,#187799,.F.); #249656=ORIENTED_EDGE('',*,*,#187800,.F.); #249657=ORIENTED_EDGE('',*,*,#187801,.T.); #249658=ORIENTED_EDGE('',*,*,#187800,.T.); #249659=ORIENTED_EDGE('',*,*,#187802,.F.); #249660=ORIENTED_EDGE('',*,*,#187803,.F.); #249661=ORIENTED_EDGE('',*,*,#187804,.T.); #249662=ORIENTED_EDGE('',*,*,#187803,.T.); #249663=ORIENTED_EDGE('',*,*,#187805,.F.); #249664=ORIENTED_EDGE('',*,*,#187806,.F.); #249665=ORIENTED_EDGE('',*,*,#187807,.T.); #249666=ORIENTED_EDGE('',*,*,#187806,.T.); #249667=ORIENTED_EDGE('',*,*,#187808,.F.); #249668=ORIENTED_EDGE('',*,*,#187809,.F.); #249669=ORIENTED_EDGE('',*,*,#187810,.T.); #249670=ORIENTED_EDGE('',*,*,#187809,.T.); #249671=ORIENTED_EDGE('',*,*,#187811,.F.); #249672=ORIENTED_EDGE('',*,*,#187812,.F.); #249673=ORIENTED_EDGE('',*,*,#187813,.T.); #249674=ORIENTED_EDGE('',*,*,#187812,.T.); #249675=ORIENTED_EDGE('',*,*,#187814,.F.); #249676=ORIENTED_EDGE('',*,*,#187815,.F.); #249677=ORIENTED_EDGE('',*,*,#187816,.T.); #249678=ORIENTED_EDGE('',*,*,#187815,.T.); #249679=ORIENTED_EDGE('',*,*,#187817,.F.); #249680=ORIENTED_EDGE('',*,*,#187818,.F.); #249681=ORIENTED_EDGE('',*,*,#187819,.T.); #249682=ORIENTED_EDGE('',*,*,#187818,.T.); #249683=ORIENTED_EDGE('',*,*,#187820,.F.); #249684=ORIENTED_EDGE('',*,*,#187821,.F.); #249685=ORIENTED_EDGE('',*,*,#187822,.T.); #249686=ORIENTED_EDGE('',*,*,#187821,.T.); #249687=ORIENTED_EDGE('',*,*,#187823,.F.); #249688=ORIENTED_EDGE('',*,*,#187824,.F.); #249689=ORIENTED_EDGE('',*,*,#187825,.T.); #249690=ORIENTED_EDGE('',*,*,#187824,.T.); #249691=ORIENTED_EDGE('',*,*,#187826,.F.); #249692=ORIENTED_EDGE('',*,*,#187827,.F.); #249693=ORIENTED_EDGE('',*,*,#187828,.T.); #249694=ORIENTED_EDGE('',*,*,#187827,.T.); #249695=ORIENTED_EDGE('',*,*,#187829,.F.); #249696=ORIENTED_EDGE('',*,*,#187830,.F.); #249697=ORIENTED_EDGE('',*,*,#187831,.T.); #249698=ORIENTED_EDGE('',*,*,#187830,.T.); #249699=ORIENTED_EDGE('',*,*,#187832,.F.); #249700=ORIENTED_EDGE('',*,*,#187833,.F.); #249701=ORIENTED_EDGE('',*,*,#187834,.T.); #249702=ORIENTED_EDGE('',*,*,#187833,.T.); #249703=ORIENTED_EDGE('',*,*,#187835,.F.); #249704=ORIENTED_EDGE('',*,*,#187836,.F.); #249705=ORIENTED_EDGE('',*,*,#187837,.T.); #249706=ORIENTED_EDGE('',*,*,#187836,.T.); #249707=ORIENTED_EDGE('',*,*,#187838,.F.); #249708=ORIENTED_EDGE('',*,*,#187839,.F.); #249709=ORIENTED_EDGE('',*,*,#187840,.T.); #249710=ORIENTED_EDGE('',*,*,#187839,.T.); #249711=ORIENTED_EDGE('',*,*,#187841,.F.); #249712=ORIENTED_EDGE('',*,*,#187842,.F.); #249713=ORIENTED_EDGE('',*,*,#187843,.T.); #249714=ORIENTED_EDGE('',*,*,#187842,.T.); #249715=ORIENTED_EDGE('',*,*,#187844,.F.); #249716=ORIENTED_EDGE('',*,*,#187845,.F.); #249717=ORIENTED_EDGE('',*,*,#187846,.T.); #249718=ORIENTED_EDGE('',*,*,#187845,.T.); #249719=ORIENTED_EDGE('',*,*,#187847,.F.); #249720=ORIENTED_EDGE('',*,*,#187848,.F.); #249721=ORIENTED_EDGE('',*,*,#187849,.T.); #249722=ORIENTED_EDGE('',*,*,#187848,.T.); #249723=ORIENTED_EDGE('',*,*,#187850,.F.); #249724=ORIENTED_EDGE('',*,*,#187851,.F.); #249725=ORIENTED_EDGE('',*,*,#187852,.T.); #249726=ORIENTED_EDGE('',*,*,#187851,.T.); #249727=ORIENTED_EDGE('',*,*,#187853,.F.); #249728=ORIENTED_EDGE('',*,*,#187854,.F.); #249729=ORIENTED_EDGE('',*,*,#187855,.T.); #249730=ORIENTED_EDGE('',*,*,#187854,.T.); #249731=ORIENTED_EDGE('',*,*,#187856,.F.); #249732=ORIENTED_EDGE('',*,*,#187857,.F.); #249733=ORIENTED_EDGE('',*,*,#187858,.T.); #249734=ORIENTED_EDGE('',*,*,#187857,.T.); #249735=ORIENTED_EDGE('',*,*,#187859,.F.); #249736=ORIENTED_EDGE('',*,*,#187860,.F.); #249737=ORIENTED_EDGE('',*,*,#187861,.T.); #249738=ORIENTED_EDGE('',*,*,#187860,.T.); #249739=ORIENTED_EDGE('',*,*,#187862,.F.); #249740=ORIENTED_EDGE('',*,*,#187863,.F.); #249741=ORIENTED_EDGE('',*,*,#187864,.T.); #249742=ORIENTED_EDGE('',*,*,#187863,.T.); #249743=ORIENTED_EDGE('',*,*,#187865,.F.); #249744=ORIENTED_EDGE('',*,*,#187866,.F.); #249745=ORIENTED_EDGE('',*,*,#187867,.T.); #249746=ORIENTED_EDGE('',*,*,#187866,.T.); #249747=ORIENTED_EDGE('',*,*,#187868,.F.); #249748=ORIENTED_EDGE('',*,*,#187869,.F.); #249749=ORIENTED_EDGE('',*,*,#187870,.T.); #249750=ORIENTED_EDGE('',*,*,#187869,.T.); #249751=ORIENTED_EDGE('',*,*,#187871,.F.); #249752=ORIENTED_EDGE('',*,*,#187872,.F.); #249753=ORIENTED_EDGE('',*,*,#187873,.T.); #249754=ORIENTED_EDGE('',*,*,#187872,.T.); #249755=ORIENTED_EDGE('',*,*,#187874,.F.); #249756=ORIENTED_EDGE('',*,*,#187875,.F.); #249757=ORIENTED_EDGE('',*,*,#187876,.T.); #249758=ORIENTED_EDGE('',*,*,#187875,.T.); #249759=ORIENTED_EDGE('',*,*,#187877,.F.); #249760=ORIENTED_EDGE('',*,*,#187878,.F.); #249761=ORIENTED_EDGE('',*,*,#187879,.T.); #249762=ORIENTED_EDGE('',*,*,#187878,.T.); #249763=ORIENTED_EDGE('',*,*,#187880,.F.); #249764=ORIENTED_EDGE('',*,*,#187881,.F.); #249765=ORIENTED_EDGE('',*,*,#187882,.T.); #249766=ORIENTED_EDGE('',*,*,#187881,.T.); #249767=ORIENTED_EDGE('',*,*,#187883,.F.); #249768=ORIENTED_EDGE('',*,*,#187884,.F.); #249769=ORIENTED_EDGE('',*,*,#187885,.T.); #249770=ORIENTED_EDGE('',*,*,#187884,.T.); #249771=ORIENTED_EDGE('',*,*,#187886,.F.); #249772=ORIENTED_EDGE('',*,*,#187887,.F.); #249773=ORIENTED_EDGE('',*,*,#187888,.T.); #249774=ORIENTED_EDGE('',*,*,#187887,.T.); #249775=ORIENTED_EDGE('',*,*,#187889,.F.); #249776=ORIENTED_EDGE('',*,*,#187890,.F.); #249777=ORIENTED_EDGE('',*,*,#187891,.T.); #249778=ORIENTED_EDGE('',*,*,#187890,.T.); #249779=ORIENTED_EDGE('',*,*,#187892,.F.); #249780=ORIENTED_EDGE('',*,*,#187893,.F.); #249781=ORIENTED_EDGE('',*,*,#187894,.T.); #249782=ORIENTED_EDGE('',*,*,#187893,.T.); #249783=ORIENTED_EDGE('',*,*,#187895,.F.); #249784=ORIENTED_EDGE('',*,*,#187896,.F.); #249785=ORIENTED_EDGE('',*,*,#187897,.T.); #249786=ORIENTED_EDGE('',*,*,#187896,.T.); #249787=ORIENTED_EDGE('',*,*,#187898,.F.); #249788=ORIENTED_EDGE('',*,*,#187899,.F.); #249789=ORIENTED_EDGE('',*,*,#187900,.T.); #249790=ORIENTED_EDGE('',*,*,#187899,.T.); #249791=ORIENTED_EDGE('',*,*,#187901,.F.); #249792=ORIENTED_EDGE('',*,*,#187902,.F.); #249793=ORIENTED_EDGE('',*,*,#187903,.T.); #249794=ORIENTED_EDGE('',*,*,#187902,.T.); #249795=ORIENTED_EDGE('',*,*,#187904,.F.); #249796=ORIENTED_EDGE('',*,*,#187905,.F.); #249797=ORIENTED_EDGE('',*,*,#187906,.T.); #249798=ORIENTED_EDGE('',*,*,#187905,.T.); #249799=ORIENTED_EDGE('',*,*,#187907,.F.); #249800=ORIENTED_EDGE('',*,*,#187908,.F.); #249801=ORIENTED_EDGE('',*,*,#187909,.T.); #249802=ORIENTED_EDGE('',*,*,#187908,.T.); #249803=ORIENTED_EDGE('',*,*,#187910,.F.); #249804=ORIENTED_EDGE('',*,*,#187911,.F.); #249805=ORIENTED_EDGE('',*,*,#187912,.T.); #249806=ORIENTED_EDGE('',*,*,#187911,.T.); #249807=ORIENTED_EDGE('',*,*,#187913,.F.); #249808=ORIENTED_EDGE('',*,*,#187914,.F.); #249809=ORIENTED_EDGE('',*,*,#187915,.T.); #249810=ORIENTED_EDGE('',*,*,#187914,.T.); #249811=ORIENTED_EDGE('',*,*,#187916,.F.); #249812=ORIENTED_EDGE('',*,*,#187917,.F.); #249813=ORIENTED_EDGE('',*,*,#187918,.T.); #249814=ORIENTED_EDGE('',*,*,#187917,.T.); #249815=ORIENTED_EDGE('',*,*,#187919,.F.); #249816=ORIENTED_EDGE('',*,*,#187920,.F.); #249817=ORIENTED_EDGE('',*,*,#187921,.T.); #249818=ORIENTED_EDGE('',*,*,#187920,.T.); #249819=ORIENTED_EDGE('',*,*,#187922,.F.); #249820=ORIENTED_EDGE('',*,*,#187923,.F.); #249821=ORIENTED_EDGE('',*,*,#187924,.T.); #249822=ORIENTED_EDGE('',*,*,#187923,.T.); #249823=ORIENTED_EDGE('',*,*,#187925,.F.); #249824=ORIENTED_EDGE('',*,*,#187926,.F.); #249825=ORIENTED_EDGE('',*,*,#187927,.T.); #249826=ORIENTED_EDGE('',*,*,#187926,.T.); #249827=ORIENTED_EDGE('',*,*,#187928,.F.); #249828=ORIENTED_EDGE('',*,*,#187929,.F.); #249829=ORIENTED_EDGE('',*,*,#187930,.T.); #249830=ORIENTED_EDGE('',*,*,#187929,.T.); #249831=ORIENTED_EDGE('',*,*,#187931,.F.); #249832=ORIENTED_EDGE('',*,*,#187932,.F.); #249833=ORIENTED_EDGE('',*,*,#187933,.T.); #249834=ORIENTED_EDGE('',*,*,#187932,.T.); #249835=ORIENTED_EDGE('',*,*,#187934,.F.); #249836=ORIENTED_EDGE('',*,*,#187935,.F.); #249837=ORIENTED_EDGE('',*,*,#187936,.T.); #249838=ORIENTED_EDGE('',*,*,#187935,.T.); #249839=ORIENTED_EDGE('',*,*,#187937,.F.); #249840=ORIENTED_EDGE('',*,*,#187938,.F.); #249841=ORIENTED_EDGE('',*,*,#187939,.T.); #249842=ORIENTED_EDGE('',*,*,#187938,.T.); #249843=ORIENTED_EDGE('',*,*,#187940,.F.); #249844=ORIENTED_EDGE('',*,*,#187941,.F.); #249845=ORIENTED_EDGE('',*,*,#187942,.T.); #249846=ORIENTED_EDGE('',*,*,#187941,.T.); #249847=ORIENTED_EDGE('',*,*,#187943,.F.); #249848=ORIENTED_EDGE('',*,*,#187944,.F.); #249849=ORIENTED_EDGE('',*,*,#187945,.T.); #249850=ORIENTED_EDGE('',*,*,#187944,.T.); #249851=ORIENTED_EDGE('',*,*,#187946,.F.); #249852=ORIENTED_EDGE('',*,*,#187947,.F.); #249853=ORIENTED_EDGE('',*,*,#187948,.T.); #249854=ORIENTED_EDGE('',*,*,#187947,.T.); #249855=ORIENTED_EDGE('',*,*,#187949,.F.); #249856=ORIENTED_EDGE('',*,*,#187950,.F.); #249857=ORIENTED_EDGE('',*,*,#187951,.T.); #249858=ORIENTED_EDGE('',*,*,#187950,.T.); #249859=ORIENTED_EDGE('',*,*,#187952,.F.); #249860=ORIENTED_EDGE('',*,*,#187953,.F.); #249861=ORIENTED_EDGE('',*,*,#187954,.T.); #249862=ORIENTED_EDGE('',*,*,#187953,.T.); #249863=ORIENTED_EDGE('',*,*,#187955,.F.); #249864=ORIENTED_EDGE('',*,*,#187956,.F.); #249865=ORIENTED_EDGE('',*,*,#187957,.T.); #249866=ORIENTED_EDGE('',*,*,#187956,.T.); #249867=ORIENTED_EDGE('',*,*,#187958,.F.); #249868=ORIENTED_EDGE('',*,*,#187959,.F.); #249869=ORIENTED_EDGE('',*,*,#187960,.T.); #249870=ORIENTED_EDGE('',*,*,#187959,.T.); #249871=ORIENTED_EDGE('',*,*,#187961,.F.); #249872=ORIENTED_EDGE('',*,*,#187962,.F.); #249873=ORIENTED_EDGE('',*,*,#187963,.T.); #249874=ORIENTED_EDGE('',*,*,#187962,.T.); #249875=ORIENTED_EDGE('',*,*,#187964,.F.); #249876=ORIENTED_EDGE('',*,*,#187965,.F.); #249877=ORIENTED_EDGE('',*,*,#187966,.T.); #249878=ORIENTED_EDGE('',*,*,#187965,.T.); #249879=ORIENTED_EDGE('',*,*,#187967,.F.); #249880=ORIENTED_EDGE('',*,*,#187968,.F.); #249881=ORIENTED_EDGE('',*,*,#187969,.T.); #249882=ORIENTED_EDGE('',*,*,#187968,.T.); #249883=ORIENTED_EDGE('',*,*,#187970,.F.); #249884=ORIENTED_EDGE('',*,*,#187971,.F.); #249885=ORIENTED_EDGE('',*,*,#187972,.T.); #249886=ORIENTED_EDGE('',*,*,#187971,.T.); #249887=ORIENTED_EDGE('',*,*,#187973,.F.); #249888=ORIENTED_EDGE('',*,*,#187974,.F.); #249889=ORIENTED_EDGE('',*,*,#187975,.T.); #249890=ORIENTED_EDGE('',*,*,#187974,.T.); #249891=ORIENTED_EDGE('',*,*,#187976,.F.); #249892=ORIENTED_EDGE('',*,*,#187977,.F.); #249893=ORIENTED_EDGE('',*,*,#187978,.T.); #249894=ORIENTED_EDGE('',*,*,#187977,.T.); #249895=ORIENTED_EDGE('',*,*,#187979,.F.); #249896=ORIENTED_EDGE('',*,*,#187980,.F.); #249897=ORIENTED_EDGE('',*,*,#187981,.T.); #249898=ORIENTED_EDGE('',*,*,#187980,.T.); #249899=ORIENTED_EDGE('',*,*,#187982,.F.); #249900=ORIENTED_EDGE('',*,*,#187983,.F.); #249901=ORIENTED_EDGE('',*,*,#187984,.T.); #249902=ORIENTED_EDGE('',*,*,#187983,.T.); #249903=ORIENTED_EDGE('',*,*,#187985,.F.); #249904=ORIENTED_EDGE('',*,*,#187986,.F.); #249905=ORIENTED_EDGE('',*,*,#187987,.T.); #249906=ORIENTED_EDGE('',*,*,#187986,.T.); #249907=ORIENTED_EDGE('',*,*,#187988,.F.); #249908=ORIENTED_EDGE('',*,*,#187989,.F.); #249909=ORIENTED_EDGE('',*,*,#187990,.T.); #249910=ORIENTED_EDGE('',*,*,#187989,.T.); #249911=ORIENTED_EDGE('',*,*,#187991,.F.); #249912=ORIENTED_EDGE('',*,*,#187992,.F.); #249913=ORIENTED_EDGE('',*,*,#187993,.T.); #249914=ORIENTED_EDGE('',*,*,#187992,.T.); #249915=ORIENTED_EDGE('',*,*,#187994,.F.); #249916=ORIENTED_EDGE('',*,*,#187995,.F.); #249917=ORIENTED_EDGE('',*,*,#187996,.T.); #249918=ORIENTED_EDGE('',*,*,#187995,.T.); #249919=ORIENTED_EDGE('',*,*,#187997,.F.); #249920=ORIENTED_EDGE('',*,*,#187998,.F.); #249921=ORIENTED_EDGE('',*,*,#187999,.T.); #249922=ORIENTED_EDGE('',*,*,#187998,.T.); #249923=ORIENTED_EDGE('',*,*,#188000,.F.); #249924=ORIENTED_EDGE('',*,*,#188001,.F.); #249925=ORIENTED_EDGE('',*,*,#188002,.T.); #249926=ORIENTED_EDGE('',*,*,#188001,.T.); #249927=ORIENTED_EDGE('',*,*,#188003,.F.); #249928=ORIENTED_EDGE('',*,*,#188004,.F.); #249929=ORIENTED_EDGE('',*,*,#188005,.T.); #249930=ORIENTED_EDGE('',*,*,#188004,.T.); #249931=ORIENTED_EDGE('',*,*,#188006,.F.); #249932=ORIENTED_EDGE('',*,*,#188007,.F.); #249933=ORIENTED_EDGE('',*,*,#188008,.T.); #249934=ORIENTED_EDGE('',*,*,#188007,.T.); #249935=ORIENTED_EDGE('',*,*,#188009,.F.); #249936=ORIENTED_EDGE('',*,*,#188010,.F.); #249937=ORIENTED_EDGE('',*,*,#188011,.T.); #249938=ORIENTED_EDGE('',*,*,#188010,.T.); #249939=ORIENTED_EDGE('',*,*,#188012,.F.); #249940=ORIENTED_EDGE('',*,*,#188013,.F.); #249941=ORIENTED_EDGE('',*,*,#188014,.T.); #249942=ORIENTED_EDGE('',*,*,#188013,.T.); #249943=ORIENTED_EDGE('',*,*,#188015,.F.); #249944=ORIENTED_EDGE('',*,*,#188016,.F.); #249945=ORIENTED_EDGE('',*,*,#188017,.T.); #249946=ORIENTED_EDGE('',*,*,#188016,.T.); #249947=ORIENTED_EDGE('',*,*,#188018,.F.); #249948=ORIENTED_EDGE('',*,*,#188019,.F.); #249949=ORIENTED_EDGE('',*,*,#188020,.T.); #249950=ORIENTED_EDGE('',*,*,#188019,.T.); #249951=ORIENTED_EDGE('',*,*,#188021,.F.); #249952=ORIENTED_EDGE('',*,*,#188022,.F.); #249953=ORIENTED_EDGE('',*,*,#188023,.T.); #249954=ORIENTED_EDGE('',*,*,#188022,.T.); #249955=ORIENTED_EDGE('',*,*,#188024,.F.); #249956=ORIENTED_EDGE('',*,*,#188025,.F.); #249957=ORIENTED_EDGE('',*,*,#188026,.T.); #249958=ORIENTED_EDGE('',*,*,#188025,.T.); #249959=ORIENTED_EDGE('',*,*,#188027,.F.); #249960=ORIENTED_EDGE('',*,*,#188028,.F.); #249961=ORIENTED_EDGE('',*,*,#188029,.T.); #249962=ORIENTED_EDGE('',*,*,#188028,.T.); #249963=ORIENTED_EDGE('',*,*,#188030,.F.); #249964=ORIENTED_EDGE('',*,*,#188031,.F.); #249965=ORIENTED_EDGE('',*,*,#188032,.T.); #249966=ORIENTED_EDGE('',*,*,#188031,.T.); #249967=ORIENTED_EDGE('',*,*,#188033,.F.); #249968=ORIENTED_EDGE('',*,*,#188034,.F.); #249969=ORIENTED_EDGE('',*,*,#188035,.T.); #249970=ORIENTED_EDGE('',*,*,#188034,.T.); #249971=ORIENTED_EDGE('',*,*,#188036,.F.); #249972=ORIENTED_EDGE('',*,*,#188037,.F.); #249973=ORIENTED_EDGE('',*,*,#188038,.T.); #249974=ORIENTED_EDGE('',*,*,#188037,.T.); #249975=ORIENTED_EDGE('',*,*,#188039,.F.); #249976=ORIENTED_EDGE('',*,*,#188040,.F.); #249977=ORIENTED_EDGE('',*,*,#188041,.T.); #249978=ORIENTED_EDGE('',*,*,#188040,.T.); #249979=ORIENTED_EDGE('',*,*,#188042,.F.); #249980=ORIENTED_EDGE('',*,*,#188043,.F.); #249981=ORIENTED_EDGE('',*,*,#188044,.T.); #249982=ORIENTED_EDGE('',*,*,#188043,.T.); #249983=ORIENTED_EDGE('',*,*,#188045,.F.); #249984=ORIENTED_EDGE('',*,*,#188046,.F.); #249985=ORIENTED_EDGE('',*,*,#188047,.T.); #249986=ORIENTED_EDGE('',*,*,#188046,.T.); #249987=ORIENTED_EDGE('',*,*,#188048,.F.); #249988=ORIENTED_EDGE('',*,*,#188049,.F.); #249989=ORIENTED_EDGE('',*,*,#188050,.T.); #249990=ORIENTED_EDGE('',*,*,#188049,.T.); #249991=ORIENTED_EDGE('',*,*,#188051,.F.); #249992=ORIENTED_EDGE('',*,*,#188052,.F.); #249993=ORIENTED_EDGE('',*,*,#188053,.T.); #249994=ORIENTED_EDGE('',*,*,#188052,.T.); #249995=ORIENTED_EDGE('',*,*,#188054,.F.); #249996=ORIENTED_EDGE('',*,*,#188055,.F.); #249997=ORIENTED_EDGE('',*,*,#188056,.T.); #249998=ORIENTED_EDGE('',*,*,#188055,.T.); #249999=ORIENTED_EDGE('',*,*,#188057,.F.); #250000=ORIENTED_EDGE('',*,*,#188058,.F.); #250001=ORIENTED_EDGE('',*,*,#188059,.T.); #250002=ORIENTED_EDGE('',*,*,#188058,.T.); #250003=ORIENTED_EDGE('',*,*,#188060,.F.); #250004=ORIENTED_EDGE('',*,*,#188061,.F.); #250005=ORIENTED_EDGE('',*,*,#188062,.T.); #250006=ORIENTED_EDGE('',*,*,#188061,.T.); #250007=ORIENTED_EDGE('',*,*,#188063,.F.); #250008=ORIENTED_EDGE('',*,*,#188064,.F.); #250009=ORIENTED_EDGE('',*,*,#188065,.T.); #250010=ORIENTED_EDGE('',*,*,#188064,.T.); #250011=ORIENTED_EDGE('',*,*,#188066,.F.); #250012=ORIENTED_EDGE('',*,*,#188067,.F.); #250013=ORIENTED_EDGE('',*,*,#188068,.T.); #250014=ORIENTED_EDGE('',*,*,#188067,.T.); #250015=ORIENTED_EDGE('',*,*,#188069,.F.); #250016=ORIENTED_EDGE('',*,*,#188070,.F.); #250017=ORIENTED_EDGE('',*,*,#188071,.T.); #250018=ORIENTED_EDGE('',*,*,#188070,.T.); #250019=ORIENTED_EDGE('',*,*,#188072,.F.); #250020=ORIENTED_EDGE('',*,*,#188073,.F.); #250021=ORIENTED_EDGE('',*,*,#188074,.T.); #250022=ORIENTED_EDGE('',*,*,#188073,.T.); #250023=ORIENTED_EDGE('',*,*,#188075,.F.); #250024=ORIENTED_EDGE('',*,*,#188076,.F.); #250025=ORIENTED_EDGE('',*,*,#188077,.T.); #250026=ORIENTED_EDGE('',*,*,#188076,.T.); #250027=ORIENTED_EDGE('',*,*,#188078,.F.); #250028=ORIENTED_EDGE('',*,*,#188079,.F.); #250029=ORIENTED_EDGE('',*,*,#188080,.T.); #250030=ORIENTED_EDGE('',*,*,#188079,.T.); #250031=ORIENTED_EDGE('',*,*,#188081,.F.); #250032=ORIENTED_EDGE('',*,*,#188082,.F.); #250033=ORIENTED_EDGE('',*,*,#188083,.T.); #250034=ORIENTED_EDGE('',*,*,#188082,.T.); #250035=ORIENTED_EDGE('',*,*,#188084,.F.); #250036=ORIENTED_EDGE('',*,*,#188085,.F.); #250037=ORIENTED_EDGE('',*,*,#188086,.T.); #250038=ORIENTED_EDGE('',*,*,#188085,.T.); #250039=ORIENTED_EDGE('',*,*,#188087,.F.); #250040=ORIENTED_EDGE('',*,*,#188088,.F.); #250041=ORIENTED_EDGE('',*,*,#188089,.T.); #250042=ORIENTED_EDGE('',*,*,#188088,.T.); #250043=ORIENTED_EDGE('',*,*,#188090,.F.); #250044=ORIENTED_EDGE('',*,*,#188091,.F.); #250045=ORIENTED_EDGE('',*,*,#188092,.T.); #250046=ORIENTED_EDGE('',*,*,#188091,.T.); #250047=ORIENTED_EDGE('',*,*,#188093,.F.); #250048=ORIENTED_EDGE('',*,*,#188094,.F.); #250049=ORIENTED_EDGE('',*,*,#188095,.T.); #250050=ORIENTED_EDGE('',*,*,#188094,.T.); #250051=ORIENTED_EDGE('',*,*,#188096,.F.); #250052=ORIENTED_EDGE('',*,*,#188097,.F.); #250053=ORIENTED_EDGE('',*,*,#188098,.T.); #250054=ORIENTED_EDGE('',*,*,#188097,.T.); #250055=ORIENTED_EDGE('',*,*,#188099,.F.); #250056=ORIENTED_EDGE('',*,*,#188100,.F.); #250057=ORIENTED_EDGE('',*,*,#188101,.T.); #250058=ORIENTED_EDGE('',*,*,#188100,.T.); #250059=ORIENTED_EDGE('',*,*,#188102,.F.); #250060=ORIENTED_EDGE('',*,*,#188103,.F.); #250061=ORIENTED_EDGE('',*,*,#188104,.T.); #250062=ORIENTED_EDGE('',*,*,#188103,.T.); #250063=ORIENTED_EDGE('',*,*,#188105,.F.); #250064=ORIENTED_EDGE('',*,*,#188106,.F.); #250065=ORIENTED_EDGE('',*,*,#188107,.T.); #250066=ORIENTED_EDGE('',*,*,#188106,.T.); #250067=ORIENTED_EDGE('',*,*,#188108,.F.); #250068=ORIENTED_EDGE('',*,*,#188109,.F.); #250069=ORIENTED_EDGE('',*,*,#188110,.T.); #250070=ORIENTED_EDGE('',*,*,#188109,.T.); #250071=ORIENTED_EDGE('',*,*,#188111,.F.); #250072=ORIENTED_EDGE('',*,*,#187219,.F.); #250073=ORIENTED_EDGE('',*,*,#188111,.T.); #250074=ORIENTED_EDGE('',*,*,#188108,.T.); #250075=ORIENTED_EDGE('',*,*,#188105,.T.); #250076=ORIENTED_EDGE('',*,*,#188102,.T.); #250077=ORIENTED_EDGE('',*,*,#188099,.T.); #250078=ORIENTED_EDGE('',*,*,#188096,.T.); #250079=ORIENTED_EDGE('',*,*,#188093,.T.); #250080=ORIENTED_EDGE('',*,*,#188090,.T.); #250081=ORIENTED_EDGE('',*,*,#188087,.T.); #250082=ORIENTED_EDGE('',*,*,#188084,.T.); #250083=ORIENTED_EDGE('',*,*,#188081,.T.); #250084=ORIENTED_EDGE('',*,*,#188078,.T.); #250085=ORIENTED_EDGE('',*,*,#188075,.T.); #250086=ORIENTED_EDGE('',*,*,#188072,.T.); #250087=ORIENTED_EDGE('',*,*,#188069,.T.); #250088=ORIENTED_EDGE('',*,*,#188066,.T.); #250089=ORIENTED_EDGE('',*,*,#188063,.T.); #250090=ORIENTED_EDGE('',*,*,#188060,.T.); #250091=ORIENTED_EDGE('',*,*,#188057,.T.); #250092=ORIENTED_EDGE('',*,*,#188054,.T.); #250093=ORIENTED_EDGE('',*,*,#188051,.T.); #250094=ORIENTED_EDGE('',*,*,#188048,.T.); #250095=ORIENTED_EDGE('',*,*,#188045,.T.); #250096=ORIENTED_EDGE('',*,*,#188042,.T.); #250097=ORIENTED_EDGE('',*,*,#188039,.T.); #250098=ORIENTED_EDGE('',*,*,#188036,.T.); #250099=ORIENTED_EDGE('',*,*,#188033,.T.); #250100=ORIENTED_EDGE('',*,*,#188030,.T.); #250101=ORIENTED_EDGE('',*,*,#188027,.T.); #250102=ORIENTED_EDGE('',*,*,#188024,.T.); #250103=ORIENTED_EDGE('',*,*,#188021,.T.); #250104=ORIENTED_EDGE('',*,*,#188018,.T.); #250105=ORIENTED_EDGE('',*,*,#188015,.T.); #250106=ORIENTED_EDGE('',*,*,#188012,.T.); #250107=ORIENTED_EDGE('',*,*,#188009,.T.); #250108=ORIENTED_EDGE('',*,*,#188006,.T.); #250109=ORIENTED_EDGE('',*,*,#188003,.T.); #250110=ORIENTED_EDGE('',*,*,#188000,.T.); #250111=ORIENTED_EDGE('',*,*,#187997,.T.); #250112=ORIENTED_EDGE('',*,*,#187994,.T.); #250113=ORIENTED_EDGE('',*,*,#187991,.T.); #250114=ORIENTED_EDGE('',*,*,#187988,.T.); #250115=ORIENTED_EDGE('',*,*,#187985,.T.); #250116=ORIENTED_EDGE('',*,*,#187982,.T.); #250117=ORIENTED_EDGE('',*,*,#187979,.T.); #250118=ORIENTED_EDGE('',*,*,#187976,.T.); #250119=ORIENTED_EDGE('',*,*,#187973,.T.); #250120=ORIENTED_EDGE('',*,*,#187970,.T.); #250121=ORIENTED_EDGE('',*,*,#187967,.T.); #250122=ORIENTED_EDGE('',*,*,#187964,.T.); #250123=ORIENTED_EDGE('',*,*,#187961,.T.); #250124=ORIENTED_EDGE('',*,*,#187958,.T.); #250125=ORIENTED_EDGE('',*,*,#187955,.T.); #250126=ORIENTED_EDGE('',*,*,#187952,.T.); #250127=ORIENTED_EDGE('',*,*,#187949,.T.); #250128=ORIENTED_EDGE('',*,*,#187946,.T.); #250129=ORIENTED_EDGE('',*,*,#187943,.T.); #250130=ORIENTED_EDGE('',*,*,#187940,.T.); #250131=ORIENTED_EDGE('',*,*,#187937,.T.); #250132=ORIENTED_EDGE('',*,*,#187934,.T.); #250133=ORIENTED_EDGE('',*,*,#187931,.T.); #250134=ORIENTED_EDGE('',*,*,#187928,.T.); #250135=ORIENTED_EDGE('',*,*,#187925,.T.); #250136=ORIENTED_EDGE('',*,*,#187922,.T.); #250137=ORIENTED_EDGE('',*,*,#187919,.T.); #250138=ORIENTED_EDGE('',*,*,#187916,.T.); #250139=ORIENTED_EDGE('',*,*,#187913,.T.); #250140=ORIENTED_EDGE('',*,*,#187910,.T.); #250141=ORIENTED_EDGE('',*,*,#187907,.T.); #250142=ORIENTED_EDGE('',*,*,#187904,.T.); #250143=ORIENTED_EDGE('',*,*,#187901,.T.); #250144=ORIENTED_EDGE('',*,*,#187898,.T.); #250145=ORIENTED_EDGE('',*,*,#187895,.T.); #250146=ORIENTED_EDGE('',*,*,#187892,.T.); #250147=ORIENTED_EDGE('',*,*,#187889,.T.); #250148=ORIENTED_EDGE('',*,*,#187886,.T.); #250149=ORIENTED_EDGE('',*,*,#187883,.T.); #250150=ORIENTED_EDGE('',*,*,#187880,.T.); #250151=ORIENTED_EDGE('',*,*,#187877,.T.); #250152=ORIENTED_EDGE('',*,*,#187874,.T.); #250153=ORIENTED_EDGE('',*,*,#187871,.T.); #250154=ORIENTED_EDGE('',*,*,#187868,.T.); #250155=ORIENTED_EDGE('',*,*,#187865,.T.); #250156=ORIENTED_EDGE('',*,*,#187862,.T.); #250157=ORIENTED_EDGE('',*,*,#187859,.T.); #250158=ORIENTED_EDGE('',*,*,#187856,.T.); #250159=ORIENTED_EDGE('',*,*,#187853,.T.); #250160=ORIENTED_EDGE('',*,*,#187850,.T.); #250161=ORIENTED_EDGE('',*,*,#187847,.T.); #250162=ORIENTED_EDGE('',*,*,#187844,.T.); #250163=ORIENTED_EDGE('',*,*,#187841,.T.); #250164=ORIENTED_EDGE('',*,*,#187838,.T.); #250165=ORIENTED_EDGE('',*,*,#187835,.T.); #250166=ORIENTED_EDGE('',*,*,#187832,.T.); #250167=ORIENTED_EDGE('',*,*,#187829,.T.); #250168=ORIENTED_EDGE('',*,*,#187826,.T.); #250169=ORIENTED_EDGE('',*,*,#187823,.T.); #250170=ORIENTED_EDGE('',*,*,#187820,.T.); #250171=ORIENTED_EDGE('',*,*,#187817,.T.); #250172=ORIENTED_EDGE('',*,*,#187814,.T.); #250173=ORIENTED_EDGE('',*,*,#187811,.T.); #250174=ORIENTED_EDGE('',*,*,#187808,.T.); #250175=ORIENTED_EDGE('',*,*,#187805,.T.); #250176=ORIENTED_EDGE('',*,*,#187802,.T.); #250177=ORIENTED_EDGE('',*,*,#187799,.T.); #250178=ORIENTED_EDGE('',*,*,#187796,.T.); #250179=ORIENTED_EDGE('',*,*,#187793,.T.); #250180=ORIENTED_EDGE('',*,*,#187790,.T.); #250181=ORIENTED_EDGE('',*,*,#187787,.T.); #250182=ORIENTED_EDGE('',*,*,#187784,.T.); #250183=ORIENTED_EDGE('',*,*,#187781,.T.); #250184=ORIENTED_EDGE('',*,*,#187778,.T.); #250185=ORIENTED_EDGE('',*,*,#187775,.T.); #250186=ORIENTED_EDGE('',*,*,#187772,.T.); #250187=ORIENTED_EDGE('',*,*,#187769,.T.); #250188=ORIENTED_EDGE('',*,*,#187766,.T.); #250189=ORIENTED_EDGE('',*,*,#187763,.T.); #250190=ORIENTED_EDGE('',*,*,#187760,.T.); #250191=ORIENTED_EDGE('',*,*,#187757,.T.); #250192=ORIENTED_EDGE('',*,*,#187754,.T.); #250193=ORIENTED_EDGE('',*,*,#187751,.T.); #250194=ORIENTED_EDGE('',*,*,#187748,.T.); #250195=ORIENTED_EDGE('',*,*,#187745,.T.); #250196=ORIENTED_EDGE('',*,*,#187742,.T.); #250197=ORIENTED_EDGE('',*,*,#187739,.T.); #250198=ORIENTED_EDGE('',*,*,#187736,.T.); #250199=ORIENTED_EDGE('',*,*,#187733,.T.); #250200=ORIENTED_EDGE('',*,*,#187730,.T.); #250201=ORIENTED_EDGE('',*,*,#187727,.T.); #250202=ORIENTED_EDGE('',*,*,#187724,.T.); #250203=ORIENTED_EDGE('',*,*,#187721,.T.); #250204=ORIENTED_EDGE('',*,*,#187718,.T.); #250205=ORIENTED_EDGE('',*,*,#187715,.T.); #250206=ORIENTED_EDGE('',*,*,#187712,.T.); #250207=ORIENTED_EDGE('',*,*,#187709,.T.); #250208=ORIENTED_EDGE('',*,*,#187706,.T.); #250209=ORIENTED_EDGE('',*,*,#187703,.T.); #250210=ORIENTED_EDGE('',*,*,#187700,.T.); #250211=ORIENTED_EDGE('',*,*,#187697,.T.); #250212=ORIENTED_EDGE('',*,*,#187694,.T.); #250213=ORIENTED_EDGE('',*,*,#187691,.T.); #250214=ORIENTED_EDGE('',*,*,#187688,.T.); #250215=ORIENTED_EDGE('',*,*,#187685,.T.); #250216=ORIENTED_EDGE('',*,*,#187682,.T.); #250217=ORIENTED_EDGE('',*,*,#187679,.T.); #250218=ORIENTED_EDGE('',*,*,#187676,.T.); #250219=ORIENTED_EDGE('',*,*,#187673,.T.); #250220=ORIENTED_EDGE('',*,*,#187670,.T.); #250221=ORIENTED_EDGE('',*,*,#187667,.T.); #250222=ORIENTED_EDGE('',*,*,#187664,.T.); #250223=ORIENTED_EDGE('',*,*,#187661,.T.); #250224=ORIENTED_EDGE('',*,*,#187658,.T.); #250225=ORIENTED_EDGE('',*,*,#187655,.T.); #250226=ORIENTED_EDGE('',*,*,#187652,.T.); #250227=ORIENTED_EDGE('',*,*,#187649,.T.); #250228=ORIENTED_EDGE('',*,*,#187646,.T.); #250229=ORIENTED_EDGE('',*,*,#187643,.T.); #250230=ORIENTED_EDGE('',*,*,#187640,.T.); #250231=ORIENTED_EDGE('',*,*,#187637,.T.); #250232=ORIENTED_EDGE('',*,*,#187634,.T.); #250233=ORIENTED_EDGE('',*,*,#187631,.T.); #250234=ORIENTED_EDGE('',*,*,#187628,.T.); #250235=ORIENTED_EDGE('',*,*,#187625,.T.); #250236=ORIENTED_EDGE('',*,*,#187622,.T.); #250237=ORIENTED_EDGE('',*,*,#187619,.T.); #250238=ORIENTED_EDGE('',*,*,#187616,.T.); #250239=ORIENTED_EDGE('',*,*,#187613,.T.); #250240=ORIENTED_EDGE('',*,*,#187610,.T.); #250241=ORIENTED_EDGE('',*,*,#187607,.T.); #250242=ORIENTED_EDGE('',*,*,#187604,.T.); #250243=ORIENTED_EDGE('',*,*,#187601,.T.); #250244=ORIENTED_EDGE('',*,*,#187598,.T.); #250245=ORIENTED_EDGE('',*,*,#187595,.T.); #250246=ORIENTED_EDGE('',*,*,#187592,.T.); #250247=ORIENTED_EDGE('',*,*,#187589,.T.); #250248=ORIENTED_EDGE('',*,*,#187586,.T.); #250249=ORIENTED_EDGE('',*,*,#187583,.T.); #250250=ORIENTED_EDGE('',*,*,#187580,.T.); #250251=ORIENTED_EDGE('',*,*,#187577,.T.); #250252=ORIENTED_EDGE('',*,*,#187574,.T.); #250253=ORIENTED_EDGE('',*,*,#187571,.T.); #250254=ORIENTED_EDGE('',*,*,#187568,.T.); #250255=ORIENTED_EDGE('',*,*,#187565,.T.); #250256=ORIENTED_EDGE('',*,*,#187562,.T.); #250257=ORIENTED_EDGE('',*,*,#187559,.T.); #250258=ORIENTED_EDGE('',*,*,#187556,.T.); #250259=ORIENTED_EDGE('',*,*,#187553,.T.); #250260=ORIENTED_EDGE('',*,*,#187550,.T.); #250261=ORIENTED_EDGE('',*,*,#187547,.T.); #250262=ORIENTED_EDGE('',*,*,#187544,.T.); #250263=ORIENTED_EDGE('',*,*,#187541,.T.); #250264=ORIENTED_EDGE('',*,*,#187538,.T.); #250265=ORIENTED_EDGE('',*,*,#187535,.T.); #250266=ORIENTED_EDGE('',*,*,#187532,.T.); #250267=ORIENTED_EDGE('',*,*,#187529,.T.); #250268=ORIENTED_EDGE('',*,*,#187526,.T.); #250269=ORIENTED_EDGE('',*,*,#187523,.T.); #250270=ORIENTED_EDGE('',*,*,#187520,.T.); #250271=ORIENTED_EDGE('',*,*,#187517,.T.); #250272=ORIENTED_EDGE('',*,*,#187514,.T.); #250273=ORIENTED_EDGE('',*,*,#187511,.T.); #250274=ORIENTED_EDGE('',*,*,#187508,.T.); #250275=ORIENTED_EDGE('',*,*,#187505,.T.); #250276=ORIENTED_EDGE('',*,*,#187502,.T.); #250277=ORIENTED_EDGE('',*,*,#187499,.T.); #250278=ORIENTED_EDGE('',*,*,#187496,.T.); #250279=ORIENTED_EDGE('',*,*,#187493,.T.); #250280=ORIENTED_EDGE('',*,*,#187490,.T.); #250281=ORIENTED_EDGE('',*,*,#187487,.T.); #250282=ORIENTED_EDGE('',*,*,#187484,.T.); #250283=ORIENTED_EDGE('',*,*,#187481,.T.); #250284=ORIENTED_EDGE('',*,*,#187478,.T.); #250285=ORIENTED_EDGE('',*,*,#187475,.T.); #250286=ORIENTED_EDGE('',*,*,#187472,.T.); #250287=ORIENTED_EDGE('',*,*,#187469,.T.); #250288=ORIENTED_EDGE('',*,*,#187466,.T.); #250289=ORIENTED_EDGE('',*,*,#187463,.T.); #250290=ORIENTED_EDGE('',*,*,#187460,.T.); #250291=ORIENTED_EDGE('',*,*,#187457,.T.); #250292=ORIENTED_EDGE('',*,*,#187454,.T.); #250293=ORIENTED_EDGE('',*,*,#187451,.T.); #250294=ORIENTED_EDGE('',*,*,#187448,.T.); #250295=ORIENTED_EDGE('',*,*,#187445,.T.); #250296=ORIENTED_EDGE('',*,*,#187442,.T.); #250297=ORIENTED_EDGE('',*,*,#187439,.T.); #250298=ORIENTED_EDGE('',*,*,#187436,.T.); #250299=ORIENTED_EDGE('',*,*,#187433,.T.); #250300=ORIENTED_EDGE('',*,*,#187430,.T.); #250301=ORIENTED_EDGE('',*,*,#187427,.T.); #250302=ORIENTED_EDGE('',*,*,#187424,.T.); #250303=ORIENTED_EDGE('',*,*,#187421,.T.); #250304=ORIENTED_EDGE('',*,*,#187418,.T.); #250305=ORIENTED_EDGE('',*,*,#187415,.T.); #250306=ORIENTED_EDGE('',*,*,#187412,.T.); #250307=ORIENTED_EDGE('',*,*,#187409,.T.); #250308=ORIENTED_EDGE('',*,*,#187406,.T.); #250309=ORIENTED_EDGE('',*,*,#187403,.T.); #250310=ORIENTED_EDGE('',*,*,#187400,.T.); #250311=ORIENTED_EDGE('',*,*,#187397,.T.); #250312=ORIENTED_EDGE('',*,*,#187394,.T.); #250313=ORIENTED_EDGE('',*,*,#187391,.T.); #250314=ORIENTED_EDGE('',*,*,#187388,.T.); #250315=ORIENTED_EDGE('',*,*,#187385,.T.); #250316=ORIENTED_EDGE('',*,*,#187382,.T.); #250317=ORIENTED_EDGE('',*,*,#187379,.T.); #250318=ORIENTED_EDGE('',*,*,#187376,.T.); #250319=ORIENTED_EDGE('',*,*,#187373,.T.); #250320=ORIENTED_EDGE('',*,*,#187370,.T.); #250321=ORIENTED_EDGE('',*,*,#187367,.T.); #250322=ORIENTED_EDGE('',*,*,#187364,.T.); #250323=ORIENTED_EDGE('',*,*,#187361,.T.); #250324=ORIENTED_EDGE('',*,*,#187358,.T.); #250325=ORIENTED_EDGE('',*,*,#187355,.T.); #250326=ORIENTED_EDGE('',*,*,#187352,.T.); #250327=ORIENTED_EDGE('',*,*,#187349,.T.); #250328=ORIENTED_EDGE('',*,*,#187346,.T.); #250329=ORIENTED_EDGE('',*,*,#187343,.T.); #250330=ORIENTED_EDGE('',*,*,#187340,.T.); #250331=ORIENTED_EDGE('',*,*,#187337,.T.); #250332=ORIENTED_EDGE('',*,*,#187334,.T.); #250333=ORIENTED_EDGE('',*,*,#187331,.T.); #250334=ORIENTED_EDGE('',*,*,#187328,.T.); #250335=ORIENTED_EDGE('',*,*,#187325,.T.); #250336=ORIENTED_EDGE('',*,*,#187322,.T.); #250337=ORIENTED_EDGE('',*,*,#187319,.T.); #250338=ORIENTED_EDGE('',*,*,#187316,.T.); #250339=ORIENTED_EDGE('',*,*,#187313,.T.); #250340=ORIENTED_EDGE('',*,*,#187310,.T.); #250341=ORIENTED_EDGE('',*,*,#187307,.T.); #250342=ORIENTED_EDGE('',*,*,#187304,.T.); #250343=ORIENTED_EDGE('',*,*,#187301,.T.); #250344=ORIENTED_EDGE('',*,*,#187298,.T.); #250345=ORIENTED_EDGE('',*,*,#187295,.T.); #250346=ORIENTED_EDGE('',*,*,#187292,.T.); #250347=ORIENTED_EDGE('',*,*,#187289,.T.); #250348=ORIENTED_EDGE('',*,*,#187286,.T.); #250349=ORIENTED_EDGE('',*,*,#187283,.T.); #250350=ORIENTED_EDGE('',*,*,#187280,.T.); #250351=ORIENTED_EDGE('',*,*,#187277,.T.); #250352=ORIENTED_EDGE('',*,*,#187274,.T.); #250353=ORIENTED_EDGE('',*,*,#187271,.T.); #250354=ORIENTED_EDGE('',*,*,#187268,.T.); #250355=ORIENTED_EDGE('',*,*,#187265,.T.); #250356=ORIENTED_EDGE('',*,*,#187262,.T.); #250357=ORIENTED_EDGE('',*,*,#187259,.T.); #250358=ORIENTED_EDGE('',*,*,#187256,.T.); #250359=ORIENTED_EDGE('',*,*,#187253,.T.); #250360=ORIENTED_EDGE('',*,*,#187250,.T.); #250361=ORIENTED_EDGE('',*,*,#187247,.T.); #250362=ORIENTED_EDGE('',*,*,#187244,.T.); #250363=ORIENTED_EDGE('',*,*,#187241,.T.); #250364=ORIENTED_EDGE('',*,*,#187238,.T.); #250365=ORIENTED_EDGE('',*,*,#187235,.T.); #250366=ORIENTED_EDGE('',*,*,#187232,.T.); #250367=ORIENTED_EDGE('',*,*,#187229,.T.); #250368=ORIENTED_EDGE('',*,*,#187226,.T.); #250369=ORIENTED_EDGE('',*,*,#187223,.T.); #250370=ORIENTED_EDGE('',*,*,#187220,.T.); #250371=ORIENTED_EDGE('',*,*,#187100,.T.); #250372=ORIENTED_EDGE('',*,*,#187103,.T.); #250373=ORIENTED_EDGE('',*,*,#187106,.T.); #250374=ORIENTED_EDGE('',*,*,#187109,.T.); #250375=ORIENTED_EDGE('',*,*,#187112,.T.); #250376=ORIENTED_EDGE('',*,*,#187115,.T.); #250377=ORIENTED_EDGE('',*,*,#187118,.T.); #250378=ORIENTED_EDGE('',*,*,#187121,.T.); #250379=ORIENTED_EDGE('',*,*,#187124,.T.); #250380=ORIENTED_EDGE('',*,*,#187127,.T.); #250381=ORIENTED_EDGE('',*,*,#187130,.T.); #250382=ORIENTED_EDGE('',*,*,#187133,.T.); #250383=ORIENTED_EDGE('',*,*,#187136,.T.); #250384=ORIENTED_EDGE('',*,*,#187139,.T.); #250385=ORIENTED_EDGE('',*,*,#187142,.T.); #250386=ORIENTED_EDGE('',*,*,#187145,.T.); #250387=ORIENTED_EDGE('',*,*,#187217,.T.); #250388=ORIENTED_EDGE('',*,*,#187214,.T.); #250389=ORIENTED_EDGE('',*,*,#187211,.T.); #250390=ORIENTED_EDGE('',*,*,#187208,.T.); #250391=ORIENTED_EDGE('',*,*,#187205,.T.); #250392=ORIENTED_EDGE('',*,*,#187202,.T.); #250393=ORIENTED_EDGE('',*,*,#187199,.T.); #250394=ORIENTED_EDGE('',*,*,#187196,.T.); #250395=ORIENTED_EDGE('',*,*,#187193,.T.); #250396=ORIENTED_EDGE('',*,*,#187190,.T.); #250397=ORIENTED_EDGE('',*,*,#187187,.T.); #250398=ORIENTED_EDGE('',*,*,#187184,.T.); #250399=ORIENTED_EDGE('',*,*,#187181,.T.); #250400=ORIENTED_EDGE('',*,*,#187178,.T.); #250401=ORIENTED_EDGE('',*,*,#187175,.T.); #250402=ORIENTED_EDGE('',*,*,#187172,.T.); #250403=ORIENTED_EDGE('',*,*,#187169,.T.); #250404=ORIENTED_EDGE('',*,*,#187166,.T.); #250405=ORIENTED_EDGE('',*,*,#187163,.T.); #250406=ORIENTED_EDGE('',*,*,#187160,.T.); #250407=ORIENTED_EDGE('',*,*,#187157,.T.); #250408=ORIENTED_EDGE('',*,*,#187154,.T.); #250409=ORIENTED_EDGE('',*,*,#187151,.T.); #250410=ORIENTED_EDGE('',*,*,#187148,.T.); #250411=ORIENTED_EDGE('',*,*,#188110,.F.); #250412=ORIENTED_EDGE('',*,*,#187218,.F.); #250413=ORIENTED_EDGE('',*,*,#187222,.F.); #250414=ORIENTED_EDGE('',*,*,#187225,.F.); #250415=ORIENTED_EDGE('',*,*,#187228,.F.); #250416=ORIENTED_EDGE('',*,*,#187231,.F.); #250417=ORIENTED_EDGE('',*,*,#187234,.F.); #250418=ORIENTED_EDGE('',*,*,#187237,.F.); #250419=ORIENTED_EDGE('',*,*,#187240,.F.); #250420=ORIENTED_EDGE('',*,*,#187243,.F.); #250421=ORIENTED_EDGE('',*,*,#187246,.F.); #250422=ORIENTED_EDGE('',*,*,#187249,.F.); #250423=ORIENTED_EDGE('',*,*,#187252,.F.); #250424=ORIENTED_EDGE('',*,*,#187255,.F.); #250425=ORIENTED_EDGE('',*,*,#187258,.F.); #250426=ORIENTED_EDGE('',*,*,#187261,.F.); #250427=ORIENTED_EDGE('',*,*,#187264,.F.); #250428=ORIENTED_EDGE('',*,*,#187267,.F.); #250429=ORIENTED_EDGE('',*,*,#187270,.F.); #250430=ORIENTED_EDGE('',*,*,#187273,.F.); #250431=ORIENTED_EDGE('',*,*,#187276,.F.); #250432=ORIENTED_EDGE('',*,*,#187279,.F.); #250433=ORIENTED_EDGE('',*,*,#187282,.F.); #250434=ORIENTED_EDGE('',*,*,#187285,.F.); #250435=ORIENTED_EDGE('',*,*,#187288,.F.); #250436=ORIENTED_EDGE('',*,*,#187291,.F.); #250437=ORIENTED_EDGE('',*,*,#187294,.F.); #250438=ORIENTED_EDGE('',*,*,#187297,.F.); #250439=ORIENTED_EDGE('',*,*,#187300,.F.); #250440=ORIENTED_EDGE('',*,*,#187303,.F.); #250441=ORIENTED_EDGE('',*,*,#187306,.F.); #250442=ORIENTED_EDGE('',*,*,#187309,.F.); #250443=ORIENTED_EDGE('',*,*,#187312,.F.); #250444=ORIENTED_EDGE('',*,*,#187315,.F.); #250445=ORIENTED_EDGE('',*,*,#187318,.F.); #250446=ORIENTED_EDGE('',*,*,#187321,.F.); #250447=ORIENTED_EDGE('',*,*,#187324,.F.); #250448=ORIENTED_EDGE('',*,*,#187327,.F.); #250449=ORIENTED_EDGE('',*,*,#187330,.F.); #250450=ORIENTED_EDGE('',*,*,#187333,.F.); #250451=ORIENTED_EDGE('',*,*,#187336,.F.); #250452=ORIENTED_EDGE('',*,*,#187339,.F.); #250453=ORIENTED_EDGE('',*,*,#187342,.F.); #250454=ORIENTED_EDGE('',*,*,#187345,.F.); #250455=ORIENTED_EDGE('',*,*,#187348,.F.); #250456=ORIENTED_EDGE('',*,*,#187351,.F.); #250457=ORIENTED_EDGE('',*,*,#187354,.F.); #250458=ORIENTED_EDGE('',*,*,#187357,.F.); #250459=ORIENTED_EDGE('',*,*,#187360,.F.); #250460=ORIENTED_EDGE('',*,*,#187363,.F.); #250461=ORIENTED_EDGE('',*,*,#187366,.F.); #250462=ORIENTED_EDGE('',*,*,#187369,.F.); #250463=ORIENTED_EDGE('',*,*,#187372,.F.); #250464=ORIENTED_EDGE('',*,*,#187375,.F.); #250465=ORIENTED_EDGE('',*,*,#187378,.F.); #250466=ORIENTED_EDGE('',*,*,#187381,.F.); #250467=ORIENTED_EDGE('',*,*,#187384,.F.); #250468=ORIENTED_EDGE('',*,*,#187387,.F.); #250469=ORIENTED_EDGE('',*,*,#187390,.F.); #250470=ORIENTED_EDGE('',*,*,#187393,.F.); #250471=ORIENTED_EDGE('',*,*,#187396,.F.); #250472=ORIENTED_EDGE('',*,*,#187399,.F.); #250473=ORIENTED_EDGE('',*,*,#187402,.F.); #250474=ORIENTED_EDGE('',*,*,#187405,.F.); #250475=ORIENTED_EDGE('',*,*,#187408,.F.); #250476=ORIENTED_EDGE('',*,*,#187411,.F.); #250477=ORIENTED_EDGE('',*,*,#187414,.F.); #250478=ORIENTED_EDGE('',*,*,#187417,.F.); #250479=ORIENTED_EDGE('',*,*,#187420,.F.); #250480=ORIENTED_EDGE('',*,*,#187423,.F.); #250481=ORIENTED_EDGE('',*,*,#187426,.F.); #250482=ORIENTED_EDGE('',*,*,#187429,.F.); #250483=ORIENTED_EDGE('',*,*,#187432,.F.); #250484=ORIENTED_EDGE('',*,*,#187435,.F.); #250485=ORIENTED_EDGE('',*,*,#187438,.F.); #250486=ORIENTED_EDGE('',*,*,#187441,.F.); #250487=ORIENTED_EDGE('',*,*,#187444,.F.); #250488=ORIENTED_EDGE('',*,*,#187447,.F.); #250489=ORIENTED_EDGE('',*,*,#187450,.F.); #250490=ORIENTED_EDGE('',*,*,#187453,.F.); #250491=ORIENTED_EDGE('',*,*,#187456,.F.); #250492=ORIENTED_EDGE('',*,*,#187459,.F.); #250493=ORIENTED_EDGE('',*,*,#187462,.F.); #250494=ORIENTED_EDGE('',*,*,#187465,.F.); #250495=ORIENTED_EDGE('',*,*,#187468,.F.); #250496=ORIENTED_EDGE('',*,*,#187471,.F.); #250497=ORIENTED_EDGE('',*,*,#187474,.F.); #250498=ORIENTED_EDGE('',*,*,#187477,.F.); #250499=ORIENTED_EDGE('',*,*,#187480,.F.); #250500=ORIENTED_EDGE('',*,*,#187483,.F.); #250501=ORIENTED_EDGE('',*,*,#187486,.F.); #250502=ORIENTED_EDGE('',*,*,#187489,.F.); #250503=ORIENTED_EDGE('',*,*,#187492,.F.); #250504=ORIENTED_EDGE('',*,*,#187495,.F.); #250505=ORIENTED_EDGE('',*,*,#187498,.F.); #250506=ORIENTED_EDGE('',*,*,#187501,.F.); #250507=ORIENTED_EDGE('',*,*,#187504,.F.); #250508=ORIENTED_EDGE('',*,*,#187507,.F.); #250509=ORIENTED_EDGE('',*,*,#187510,.F.); #250510=ORIENTED_EDGE('',*,*,#187513,.F.); #250511=ORIENTED_EDGE('',*,*,#187516,.F.); #250512=ORIENTED_EDGE('',*,*,#187519,.F.); #250513=ORIENTED_EDGE('',*,*,#187522,.F.); #250514=ORIENTED_EDGE('',*,*,#187525,.F.); #250515=ORIENTED_EDGE('',*,*,#187528,.F.); #250516=ORIENTED_EDGE('',*,*,#187531,.F.); #250517=ORIENTED_EDGE('',*,*,#187534,.F.); #250518=ORIENTED_EDGE('',*,*,#187537,.F.); #250519=ORIENTED_EDGE('',*,*,#187540,.F.); #250520=ORIENTED_EDGE('',*,*,#187543,.F.); #250521=ORIENTED_EDGE('',*,*,#187546,.F.); #250522=ORIENTED_EDGE('',*,*,#187549,.F.); #250523=ORIENTED_EDGE('',*,*,#187552,.F.); #250524=ORIENTED_EDGE('',*,*,#187555,.F.); #250525=ORIENTED_EDGE('',*,*,#187558,.F.); #250526=ORIENTED_EDGE('',*,*,#187561,.F.); #250527=ORIENTED_EDGE('',*,*,#187564,.F.); #250528=ORIENTED_EDGE('',*,*,#187567,.F.); #250529=ORIENTED_EDGE('',*,*,#187570,.F.); #250530=ORIENTED_EDGE('',*,*,#187573,.F.); #250531=ORIENTED_EDGE('',*,*,#187576,.F.); #250532=ORIENTED_EDGE('',*,*,#187579,.F.); #250533=ORIENTED_EDGE('',*,*,#187582,.F.); #250534=ORIENTED_EDGE('',*,*,#187585,.F.); #250535=ORIENTED_EDGE('',*,*,#187588,.F.); #250536=ORIENTED_EDGE('',*,*,#187591,.F.); #250537=ORIENTED_EDGE('',*,*,#187594,.F.); #250538=ORIENTED_EDGE('',*,*,#187597,.F.); #250539=ORIENTED_EDGE('',*,*,#187600,.F.); #250540=ORIENTED_EDGE('',*,*,#187603,.F.); #250541=ORIENTED_EDGE('',*,*,#187606,.F.); #250542=ORIENTED_EDGE('',*,*,#187609,.F.); #250543=ORIENTED_EDGE('',*,*,#187612,.F.); #250544=ORIENTED_EDGE('',*,*,#187615,.F.); #250545=ORIENTED_EDGE('',*,*,#187618,.F.); #250546=ORIENTED_EDGE('',*,*,#187621,.F.); #250547=ORIENTED_EDGE('',*,*,#187624,.F.); #250548=ORIENTED_EDGE('',*,*,#187627,.F.); #250549=ORIENTED_EDGE('',*,*,#187630,.F.); #250550=ORIENTED_EDGE('',*,*,#187633,.F.); #250551=ORIENTED_EDGE('',*,*,#187636,.F.); #250552=ORIENTED_EDGE('',*,*,#187639,.F.); #250553=ORIENTED_EDGE('',*,*,#187642,.F.); #250554=ORIENTED_EDGE('',*,*,#187645,.F.); #250555=ORIENTED_EDGE('',*,*,#187648,.F.); #250556=ORIENTED_EDGE('',*,*,#187651,.F.); #250557=ORIENTED_EDGE('',*,*,#187654,.F.); #250558=ORIENTED_EDGE('',*,*,#187657,.F.); #250559=ORIENTED_EDGE('',*,*,#187660,.F.); #250560=ORIENTED_EDGE('',*,*,#187663,.F.); #250561=ORIENTED_EDGE('',*,*,#187666,.F.); #250562=ORIENTED_EDGE('',*,*,#187669,.F.); #250563=ORIENTED_EDGE('',*,*,#187672,.F.); #250564=ORIENTED_EDGE('',*,*,#187675,.F.); #250565=ORIENTED_EDGE('',*,*,#187678,.F.); #250566=ORIENTED_EDGE('',*,*,#187681,.F.); #250567=ORIENTED_EDGE('',*,*,#187684,.F.); #250568=ORIENTED_EDGE('',*,*,#187687,.F.); #250569=ORIENTED_EDGE('',*,*,#187690,.F.); #250570=ORIENTED_EDGE('',*,*,#187693,.F.); #250571=ORIENTED_EDGE('',*,*,#187696,.F.); #250572=ORIENTED_EDGE('',*,*,#187699,.F.); #250573=ORIENTED_EDGE('',*,*,#187702,.F.); #250574=ORIENTED_EDGE('',*,*,#187705,.F.); #250575=ORIENTED_EDGE('',*,*,#187708,.F.); #250576=ORIENTED_EDGE('',*,*,#187711,.F.); #250577=ORIENTED_EDGE('',*,*,#187714,.F.); #250578=ORIENTED_EDGE('',*,*,#187717,.F.); #250579=ORIENTED_EDGE('',*,*,#187720,.F.); #250580=ORIENTED_EDGE('',*,*,#187723,.F.); #250581=ORIENTED_EDGE('',*,*,#187726,.F.); #250582=ORIENTED_EDGE('',*,*,#187729,.F.); #250583=ORIENTED_EDGE('',*,*,#187732,.F.); #250584=ORIENTED_EDGE('',*,*,#187735,.F.); #250585=ORIENTED_EDGE('',*,*,#187738,.F.); #250586=ORIENTED_EDGE('',*,*,#187741,.F.); #250587=ORIENTED_EDGE('',*,*,#187744,.F.); #250588=ORIENTED_EDGE('',*,*,#187747,.F.); #250589=ORIENTED_EDGE('',*,*,#187750,.F.); #250590=ORIENTED_EDGE('',*,*,#187753,.F.); #250591=ORIENTED_EDGE('',*,*,#187756,.F.); #250592=ORIENTED_EDGE('',*,*,#187759,.F.); #250593=ORIENTED_EDGE('',*,*,#187762,.F.); #250594=ORIENTED_EDGE('',*,*,#187765,.F.); #250595=ORIENTED_EDGE('',*,*,#187768,.F.); #250596=ORIENTED_EDGE('',*,*,#187771,.F.); #250597=ORIENTED_EDGE('',*,*,#187774,.F.); #250598=ORIENTED_EDGE('',*,*,#187777,.F.); #250599=ORIENTED_EDGE('',*,*,#187780,.F.); #250600=ORIENTED_EDGE('',*,*,#187783,.F.); #250601=ORIENTED_EDGE('',*,*,#187786,.F.); #250602=ORIENTED_EDGE('',*,*,#187789,.F.); #250603=ORIENTED_EDGE('',*,*,#187792,.F.); #250604=ORIENTED_EDGE('',*,*,#187795,.F.); #250605=ORIENTED_EDGE('',*,*,#187798,.F.); #250606=ORIENTED_EDGE('',*,*,#187801,.F.); #250607=ORIENTED_EDGE('',*,*,#187804,.F.); #250608=ORIENTED_EDGE('',*,*,#187807,.F.); #250609=ORIENTED_EDGE('',*,*,#187810,.F.); #250610=ORIENTED_EDGE('',*,*,#187813,.F.); #250611=ORIENTED_EDGE('',*,*,#187816,.F.); #250612=ORIENTED_EDGE('',*,*,#187819,.F.); #250613=ORIENTED_EDGE('',*,*,#187822,.F.); #250614=ORIENTED_EDGE('',*,*,#187825,.F.); #250615=ORIENTED_EDGE('',*,*,#187828,.F.); #250616=ORIENTED_EDGE('',*,*,#187831,.F.); #250617=ORIENTED_EDGE('',*,*,#187834,.F.); #250618=ORIENTED_EDGE('',*,*,#187837,.F.); #250619=ORIENTED_EDGE('',*,*,#187840,.F.); #250620=ORIENTED_EDGE('',*,*,#187843,.F.); #250621=ORIENTED_EDGE('',*,*,#187846,.F.); #250622=ORIENTED_EDGE('',*,*,#187849,.F.); #250623=ORIENTED_EDGE('',*,*,#187852,.F.); #250624=ORIENTED_EDGE('',*,*,#187855,.F.); #250625=ORIENTED_EDGE('',*,*,#187858,.F.); #250626=ORIENTED_EDGE('',*,*,#187861,.F.); #250627=ORIENTED_EDGE('',*,*,#187864,.F.); #250628=ORIENTED_EDGE('',*,*,#187867,.F.); #250629=ORIENTED_EDGE('',*,*,#187870,.F.); #250630=ORIENTED_EDGE('',*,*,#187873,.F.); #250631=ORIENTED_EDGE('',*,*,#187876,.F.); #250632=ORIENTED_EDGE('',*,*,#187879,.F.); #250633=ORIENTED_EDGE('',*,*,#187882,.F.); #250634=ORIENTED_EDGE('',*,*,#187885,.F.); #250635=ORIENTED_EDGE('',*,*,#187888,.F.); #250636=ORIENTED_EDGE('',*,*,#187891,.F.); #250637=ORIENTED_EDGE('',*,*,#187894,.F.); #250638=ORIENTED_EDGE('',*,*,#187897,.F.); #250639=ORIENTED_EDGE('',*,*,#187900,.F.); #250640=ORIENTED_EDGE('',*,*,#187903,.F.); #250641=ORIENTED_EDGE('',*,*,#187906,.F.); #250642=ORIENTED_EDGE('',*,*,#187909,.F.); #250643=ORIENTED_EDGE('',*,*,#187912,.F.); #250644=ORIENTED_EDGE('',*,*,#187915,.F.); #250645=ORIENTED_EDGE('',*,*,#187918,.F.); #250646=ORIENTED_EDGE('',*,*,#187921,.F.); #250647=ORIENTED_EDGE('',*,*,#187924,.F.); #250648=ORIENTED_EDGE('',*,*,#187927,.F.); #250649=ORIENTED_EDGE('',*,*,#187930,.F.); #250650=ORIENTED_EDGE('',*,*,#187933,.F.); #250651=ORIENTED_EDGE('',*,*,#187936,.F.); #250652=ORIENTED_EDGE('',*,*,#187939,.F.); #250653=ORIENTED_EDGE('',*,*,#187942,.F.); #250654=ORIENTED_EDGE('',*,*,#187945,.F.); #250655=ORIENTED_EDGE('',*,*,#187948,.F.); #250656=ORIENTED_EDGE('',*,*,#187951,.F.); #250657=ORIENTED_EDGE('',*,*,#187954,.F.); #250658=ORIENTED_EDGE('',*,*,#187957,.F.); #250659=ORIENTED_EDGE('',*,*,#187960,.F.); #250660=ORIENTED_EDGE('',*,*,#187963,.F.); #250661=ORIENTED_EDGE('',*,*,#187966,.F.); #250662=ORIENTED_EDGE('',*,*,#187969,.F.); #250663=ORIENTED_EDGE('',*,*,#187972,.F.); #250664=ORIENTED_EDGE('',*,*,#187975,.F.); #250665=ORIENTED_EDGE('',*,*,#187978,.F.); #250666=ORIENTED_EDGE('',*,*,#187981,.F.); #250667=ORIENTED_EDGE('',*,*,#187984,.F.); #250668=ORIENTED_EDGE('',*,*,#187987,.F.); #250669=ORIENTED_EDGE('',*,*,#187990,.F.); #250670=ORIENTED_EDGE('',*,*,#187993,.F.); #250671=ORIENTED_EDGE('',*,*,#187996,.F.); #250672=ORIENTED_EDGE('',*,*,#187999,.F.); #250673=ORIENTED_EDGE('',*,*,#188002,.F.); #250674=ORIENTED_EDGE('',*,*,#188005,.F.); #250675=ORIENTED_EDGE('',*,*,#188008,.F.); #250676=ORIENTED_EDGE('',*,*,#188011,.F.); #250677=ORIENTED_EDGE('',*,*,#188014,.F.); #250678=ORIENTED_EDGE('',*,*,#188017,.F.); #250679=ORIENTED_EDGE('',*,*,#188020,.F.); #250680=ORIENTED_EDGE('',*,*,#188023,.F.); #250681=ORIENTED_EDGE('',*,*,#188026,.F.); #250682=ORIENTED_EDGE('',*,*,#188029,.F.); #250683=ORIENTED_EDGE('',*,*,#188032,.F.); #250684=ORIENTED_EDGE('',*,*,#188035,.F.); #250685=ORIENTED_EDGE('',*,*,#188038,.F.); #250686=ORIENTED_EDGE('',*,*,#188041,.F.); #250687=ORIENTED_EDGE('',*,*,#188044,.F.); #250688=ORIENTED_EDGE('',*,*,#188047,.F.); #250689=ORIENTED_EDGE('',*,*,#188050,.F.); #250690=ORIENTED_EDGE('',*,*,#188053,.F.); #250691=ORIENTED_EDGE('',*,*,#188056,.F.); #250692=ORIENTED_EDGE('',*,*,#188059,.F.); #250693=ORIENTED_EDGE('',*,*,#188062,.F.); #250694=ORIENTED_EDGE('',*,*,#188065,.F.); #250695=ORIENTED_EDGE('',*,*,#188068,.F.); #250696=ORIENTED_EDGE('',*,*,#188071,.F.); #250697=ORIENTED_EDGE('',*,*,#188074,.F.); #250698=ORIENTED_EDGE('',*,*,#188077,.F.); #250699=ORIENTED_EDGE('',*,*,#188080,.F.); #250700=ORIENTED_EDGE('',*,*,#188083,.F.); #250701=ORIENTED_EDGE('',*,*,#188086,.F.); #250702=ORIENTED_EDGE('',*,*,#188089,.F.); #250703=ORIENTED_EDGE('',*,*,#188092,.F.); #250704=ORIENTED_EDGE('',*,*,#188095,.F.); #250705=ORIENTED_EDGE('',*,*,#188098,.F.); #250706=ORIENTED_EDGE('',*,*,#188101,.F.); #250707=ORIENTED_EDGE('',*,*,#188104,.F.); #250708=ORIENTED_EDGE('',*,*,#188107,.F.); #250709=ORIENTED_EDGE('',*,*,#187098,.T.); #250710=ORIENTED_EDGE('',*,*,#187101,.T.); #250711=ORIENTED_EDGE('',*,*,#187104,.T.); #250712=ORIENTED_EDGE('',*,*,#187107,.T.); #250713=ORIENTED_EDGE('',*,*,#187110,.T.); #250714=ORIENTED_EDGE('',*,*,#187113,.T.); #250715=ORIENTED_EDGE('',*,*,#187116,.T.); #250716=ORIENTED_EDGE('',*,*,#187119,.T.); #250717=ORIENTED_EDGE('',*,*,#187122,.T.); #250718=ORIENTED_EDGE('',*,*,#187125,.T.); #250719=ORIENTED_EDGE('',*,*,#187128,.T.); #250720=ORIENTED_EDGE('',*,*,#187131,.T.); #250721=ORIENTED_EDGE('',*,*,#187134,.T.); #250722=ORIENTED_EDGE('',*,*,#187137,.T.); #250723=ORIENTED_EDGE('',*,*,#187140,.T.); #250724=ORIENTED_EDGE('',*,*,#187143,.T.); #250725=ORIENTED_EDGE('',*,*,#187216,.F.); #250726=ORIENTED_EDGE('',*,*,#187146,.F.); #250727=ORIENTED_EDGE('',*,*,#187150,.F.); #250728=ORIENTED_EDGE('',*,*,#187153,.F.); #250729=ORIENTED_EDGE('',*,*,#187156,.F.); #250730=ORIENTED_EDGE('',*,*,#187159,.F.); #250731=ORIENTED_EDGE('',*,*,#187162,.F.); #250732=ORIENTED_EDGE('',*,*,#187165,.F.); #250733=ORIENTED_EDGE('',*,*,#187168,.F.); #250734=ORIENTED_EDGE('',*,*,#187171,.F.); #250735=ORIENTED_EDGE('',*,*,#187174,.F.); #250736=ORIENTED_EDGE('',*,*,#187177,.F.); #250737=ORIENTED_EDGE('',*,*,#187180,.F.); #250738=ORIENTED_EDGE('',*,*,#187183,.F.); #250739=ORIENTED_EDGE('',*,*,#187186,.F.); #250740=ORIENTED_EDGE('',*,*,#187189,.F.); #250741=ORIENTED_EDGE('',*,*,#187192,.F.); #250742=ORIENTED_EDGE('',*,*,#187195,.F.); #250743=ORIENTED_EDGE('',*,*,#187198,.F.); #250744=ORIENTED_EDGE('',*,*,#187201,.F.); #250745=ORIENTED_EDGE('',*,*,#187204,.F.); #250746=ORIENTED_EDGE('',*,*,#187207,.F.); #250747=ORIENTED_EDGE('',*,*,#187210,.F.); #250748=ORIENTED_EDGE('',*,*,#187213,.F.); #250749=ORIENTED_EDGE('',*,*,#188112,.T.); #250750=ORIENTED_EDGE('',*,*,#188113,.T.); #250751=ORIENTED_EDGE('',*,*,#188114,.F.); #250752=ORIENTED_EDGE('',*,*,#188115,.F.); #250753=ORIENTED_EDGE('',*,*,#188116,.T.); #250754=ORIENTED_EDGE('',*,*,#188115,.T.); #250755=ORIENTED_EDGE('',*,*,#188117,.F.); #250756=ORIENTED_EDGE('',*,*,#188118,.F.); #250757=ORIENTED_EDGE('',*,*,#188119,.T.); #250758=ORIENTED_EDGE('',*,*,#188118,.T.); #250759=ORIENTED_EDGE('',*,*,#188120,.F.); #250760=ORIENTED_EDGE('',*,*,#188121,.F.); #250761=ORIENTED_EDGE('',*,*,#188122,.T.); #250762=ORIENTED_EDGE('',*,*,#188121,.T.); #250763=ORIENTED_EDGE('',*,*,#188123,.F.); #250764=ORIENTED_EDGE('',*,*,#188124,.F.); #250765=ORIENTED_EDGE('',*,*,#188125,.T.); #250766=ORIENTED_EDGE('',*,*,#188124,.T.); #250767=ORIENTED_EDGE('',*,*,#188126,.F.); #250768=ORIENTED_EDGE('',*,*,#188127,.F.); #250769=ORIENTED_EDGE('',*,*,#188128,.T.); #250770=ORIENTED_EDGE('',*,*,#188127,.T.); #250771=ORIENTED_EDGE('',*,*,#188129,.F.); #250772=ORIENTED_EDGE('',*,*,#188130,.F.); #250773=ORIENTED_EDGE('',*,*,#188131,.T.); #250774=ORIENTED_EDGE('',*,*,#188130,.T.); #250775=ORIENTED_EDGE('',*,*,#188132,.F.); #250776=ORIENTED_EDGE('',*,*,#188133,.F.); #250777=ORIENTED_EDGE('',*,*,#188134,.T.); #250778=ORIENTED_EDGE('',*,*,#188133,.T.); #250779=ORIENTED_EDGE('',*,*,#188135,.F.); #250780=ORIENTED_EDGE('',*,*,#188136,.F.); #250781=ORIENTED_EDGE('',*,*,#188137,.T.); #250782=ORIENTED_EDGE('',*,*,#188136,.T.); #250783=ORIENTED_EDGE('',*,*,#188138,.F.); #250784=ORIENTED_EDGE('',*,*,#188139,.F.); #250785=ORIENTED_EDGE('',*,*,#188140,.T.); #250786=ORIENTED_EDGE('',*,*,#188139,.T.); #250787=ORIENTED_EDGE('',*,*,#188141,.F.); #250788=ORIENTED_EDGE('',*,*,#188142,.F.); #250789=ORIENTED_EDGE('',*,*,#188143,.T.); #250790=ORIENTED_EDGE('',*,*,#188142,.T.); #250791=ORIENTED_EDGE('',*,*,#188144,.F.); #250792=ORIENTED_EDGE('',*,*,#188145,.F.); #250793=ORIENTED_EDGE('',*,*,#188146,.T.); #250794=ORIENTED_EDGE('',*,*,#188145,.T.); #250795=ORIENTED_EDGE('',*,*,#188147,.F.); #250796=ORIENTED_EDGE('',*,*,#188148,.F.); #250797=ORIENTED_EDGE('',*,*,#188149,.T.); #250798=ORIENTED_EDGE('',*,*,#188148,.T.); #250799=ORIENTED_EDGE('',*,*,#188150,.F.); #250800=ORIENTED_EDGE('',*,*,#188151,.F.); #250801=ORIENTED_EDGE('',*,*,#188152,.T.); #250802=ORIENTED_EDGE('',*,*,#188151,.T.); #250803=ORIENTED_EDGE('',*,*,#188153,.F.); #250804=ORIENTED_EDGE('',*,*,#188154,.F.); #250805=ORIENTED_EDGE('',*,*,#188155,.T.); #250806=ORIENTED_EDGE('',*,*,#188154,.T.); #250807=ORIENTED_EDGE('',*,*,#188156,.F.); #250808=ORIENTED_EDGE('',*,*,#188157,.F.); #250809=ORIENTED_EDGE('',*,*,#188158,.T.); #250810=ORIENTED_EDGE('',*,*,#188157,.T.); #250811=ORIENTED_EDGE('',*,*,#188159,.F.); #250812=ORIENTED_EDGE('',*,*,#188160,.F.); #250813=ORIENTED_EDGE('',*,*,#188161,.T.); #250814=ORIENTED_EDGE('',*,*,#188160,.T.); #250815=ORIENTED_EDGE('',*,*,#188162,.F.); #250816=ORIENTED_EDGE('',*,*,#188163,.F.); #250817=ORIENTED_EDGE('',*,*,#188164,.T.); #250818=ORIENTED_EDGE('',*,*,#188163,.T.); #250819=ORIENTED_EDGE('',*,*,#188165,.F.); #250820=ORIENTED_EDGE('',*,*,#188166,.F.); #250821=ORIENTED_EDGE('',*,*,#188167,.T.); #250822=ORIENTED_EDGE('',*,*,#188166,.T.); #250823=ORIENTED_EDGE('',*,*,#188168,.F.); #250824=ORIENTED_EDGE('',*,*,#188169,.F.); #250825=ORIENTED_EDGE('',*,*,#188170,.T.); #250826=ORIENTED_EDGE('',*,*,#188169,.T.); #250827=ORIENTED_EDGE('',*,*,#188171,.F.); #250828=ORIENTED_EDGE('',*,*,#188113,.F.); #250829=ORIENTED_EDGE('',*,*,#188171,.T.); #250830=ORIENTED_EDGE('',*,*,#188168,.T.); #250831=ORIENTED_EDGE('',*,*,#188165,.T.); #250832=ORIENTED_EDGE('',*,*,#188162,.T.); #250833=ORIENTED_EDGE('',*,*,#188159,.T.); #250834=ORIENTED_EDGE('',*,*,#188156,.T.); #250835=ORIENTED_EDGE('',*,*,#188153,.T.); #250836=ORIENTED_EDGE('',*,*,#188150,.T.); #250837=ORIENTED_EDGE('',*,*,#188147,.T.); #250838=ORIENTED_EDGE('',*,*,#188144,.T.); #250839=ORIENTED_EDGE('',*,*,#188141,.T.); #250840=ORIENTED_EDGE('',*,*,#188138,.T.); #250841=ORIENTED_EDGE('',*,*,#188135,.T.); #250842=ORIENTED_EDGE('',*,*,#188132,.T.); #250843=ORIENTED_EDGE('',*,*,#188129,.T.); #250844=ORIENTED_EDGE('',*,*,#188126,.T.); #250845=ORIENTED_EDGE('',*,*,#188123,.T.); #250846=ORIENTED_EDGE('',*,*,#188120,.T.); #250847=ORIENTED_EDGE('',*,*,#188117,.T.); #250848=ORIENTED_EDGE('',*,*,#188114,.T.); #250849=ORIENTED_EDGE('',*,*,#188170,.F.); #250850=ORIENTED_EDGE('',*,*,#188112,.F.); #250851=ORIENTED_EDGE('',*,*,#188116,.F.); #250852=ORIENTED_EDGE('',*,*,#188119,.F.); #250853=ORIENTED_EDGE('',*,*,#188122,.F.); #250854=ORIENTED_EDGE('',*,*,#188125,.F.); #250855=ORIENTED_EDGE('',*,*,#188128,.F.); #250856=ORIENTED_EDGE('',*,*,#188131,.F.); #250857=ORIENTED_EDGE('',*,*,#188134,.F.); #250858=ORIENTED_EDGE('',*,*,#188137,.F.); #250859=ORIENTED_EDGE('',*,*,#188140,.F.); #250860=ORIENTED_EDGE('',*,*,#188143,.F.); #250861=ORIENTED_EDGE('',*,*,#188146,.F.); #250862=ORIENTED_EDGE('',*,*,#188149,.F.); #250863=ORIENTED_EDGE('',*,*,#188152,.F.); #250864=ORIENTED_EDGE('',*,*,#188155,.F.); #250865=ORIENTED_EDGE('',*,*,#188158,.F.); #250866=ORIENTED_EDGE('',*,*,#188161,.F.); #250867=ORIENTED_EDGE('',*,*,#188164,.F.); #250868=ORIENTED_EDGE('',*,*,#188167,.F.); #250869=ORIENTED_EDGE('',*,*,#188172,.T.); #250870=ORIENTED_EDGE('',*,*,#188173,.T.); #250871=ORIENTED_EDGE('',*,*,#188174,.F.); #250872=ORIENTED_EDGE('',*,*,#188175,.F.); #250873=ORIENTED_EDGE('',*,*,#188176,.T.); #250874=ORIENTED_EDGE('',*,*,#188175,.T.); #250875=ORIENTED_EDGE('',*,*,#188177,.F.); #250876=ORIENTED_EDGE('',*,*,#188178,.F.); #250877=ORIENTED_EDGE('',*,*,#188179,.T.); #250878=ORIENTED_EDGE('',*,*,#188178,.T.); #250879=ORIENTED_EDGE('',*,*,#188180,.F.); #250880=ORIENTED_EDGE('',*,*,#188181,.F.); #250881=ORIENTED_EDGE('',*,*,#188182,.T.); #250882=ORIENTED_EDGE('',*,*,#188181,.T.); #250883=ORIENTED_EDGE('',*,*,#188183,.F.); #250884=ORIENTED_EDGE('',*,*,#188184,.F.); #250885=ORIENTED_EDGE('',*,*,#188185,.T.); #250886=ORIENTED_EDGE('',*,*,#188184,.T.); #250887=ORIENTED_EDGE('',*,*,#188186,.F.); #250888=ORIENTED_EDGE('',*,*,#188187,.F.); #250889=ORIENTED_EDGE('',*,*,#188188,.T.); #250890=ORIENTED_EDGE('',*,*,#188187,.T.); #250891=ORIENTED_EDGE('',*,*,#188189,.F.); #250892=ORIENTED_EDGE('',*,*,#188190,.F.); #250893=ORIENTED_EDGE('',*,*,#188191,.T.); #250894=ORIENTED_EDGE('',*,*,#188190,.T.); #250895=ORIENTED_EDGE('',*,*,#188192,.F.); #250896=ORIENTED_EDGE('',*,*,#188193,.F.); #250897=ORIENTED_EDGE('',*,*,#188194,.T.); #250898=ORIENTED_EDGE('',*,*,#188193,.T.); #250899=ORIENTED_EDGE('',*,*,#188195,.F.); #250900=ORIENTED_EDGE('',*,*,#188196,.F.); #250901=ORIENTED_EDGE('',*,*,#188197,.T.); #250902=ORIENTED_EDGE('',*,*,#188196,.T.); #250903=ORIENTED_EDGE('',*,*,#188198,.F.); #250904=ORIENTED_EDGE('',*,*,#188199,.F.); #250905=ORIENTED_EDGE('',*,*,#188200,.T.); #250906=ORIENTED_EDGE('',*,*,#188199,.T.); #250907=ORIENTED_EDGE('',*,*,#188201,.F.); #250908=ORIENTED_EDGE('',*,*,#188202,.F.); #250909=ORIENTED_EDGE('',*,*,#188203,.T.); #250910=ORIENTED_EDGE('',*,*,#188202,.T.); #250911=ORIENTED_EDGE('',*,*,#188204,.F.); #250912=ORIENTED_EDGE('',*,*,#188205,.F.); #250913=ORIENTED_EDGE('',*,*,#188206,.T.); #250914=ORIENTED_EDGE('',*,*,#188205,.T.); #250915=ORIENTED_EDGE('',*,*,#188207,.F.); #250916=ORIENTED_EDGE('',*,*,#188208,.F.); #250917=ORIENTED_EDGE('',*,*,#188209,.T.); #250918=ORIENTED_EDGE('',*,*,#188208,.T.); #250919=ORIENTED_EDGE('',*,*,#188210,.F.); #250920=ORIENTED_EDGE('',*,*,#188211,.F.); #250921=ORIENTED_EDGE('',*,*,#188212,.T.); #250922=ORIENTED_EDGE('',*,*,#188211,.T.); #250923=ORIENTED_EDGE('',*,*,#188213,.F.); #250924=ORIENTED_EDGE('',*,*,#188214,.F.); #250925=ORIENTED_EDGE('',*,*,#188215,.T.); #250926=ORIENTED_EDGE('',*,*,#188214,.T.); #250927=ORIENTED_EDGE('',*,*,#188216,.F.); #250928=ORIENTED_EDGE('',*,*,#188217,.F.); #250929=ORIENTED_EDGE('',*,*,#188218,.T.); #250930=ORIENTED_EDGE('',*,*,#188217,.T.); #250931=ORIENTED_EDGE('',*,*,#188219,.F.); #250932=ORIENTED_EDGE('',*,*,#188220,.F.); #250933=ORIENTED_EDGE('',*,*,#188221,.T.); #250934=ORIENTED_EDGE('',*,*,#188220,.T.); #250935=ORIENTED_EDGE('',*,*,#188222,.F.); #250936=ORIENTED_EDGE('',*,*,#188223,.F.); #250937=ORIENTED_EDGE('',*,*,#188224,.T.); #250938=ORIENTED_EDGE('',*,*,#188223,.T.); #250939=ORIENTED_EDGE('',*,*,#188225,.F.); #250940=ORIENTED_EDGE('',*,*,#188226,.F.); #250941=ORIENTED_EDGE('',*,*,#188227,.T.); #250942=ORIENTED_EDGE('',*,*,#188226,.T.); #250943=ORIENTED_EDGE('',*,*,#188228,.F.); #250944=ORIENTED_EDGE('',*,*,#188229,.F.); #250945=ORIENTED_EDGE('',*,*,#188230,.T.); #250946=ORIENTED_EDGE('',*,*,#188229,.T.); #250947=ORIENTED_EDGE('',*,*,#188231,.F.); #250948=ORIENTED_EDGE('',*,*,#188173,.F.); #250949=ORIENTED_EDGE('',*,*,#188231,.T.); #250950=ORIENTED_EDGE('',*,*,#188228,.T.); #250951=ORIENTED_EDGE('',*,*,#188225,.T.); #250952=ORIENTED_EDGE('',*,*,#188222,.T.); #250953=ORIENTED_EDGE('',*,*,#188219,.T.); #250954=ORIENTED_EDGE('',*,*,#188216,.T.); #250955=ORIENTED_EDGE('',*,*,#188213,.T.); #250956=ORIENTED_EDGE('',*,*,#188210,.T.); #250957=ORIENTED_EDGE('',*,*,#188207,.T.); #250958=ORIENTED_EDGE('',*,*,#188204,.T.); #250959=ORIENTED_EDGE('',*,*,#188201,.T.); #250960=ORIENTED_EDGE('',*,*,#188198,.T.); #250961=ORIENTED_EDGE('',*,*,#188195,.T.); #250962=ORIENTED_EDGE('',*,*,#188192,.T.); #250963=ORIENTED_EDGE('',*,*,#188189,.T.); #250964=ORIENTED_EDGE('',*,*,#188186,.T.); #250965=ORIENTED_EDGE('',*,*,#188183,.T.); #250966=ORIENTED_EDGE('',*,*,#188180,.T.); #250967=ORIENTED_EDGE('',*,*,#188177,.T.); #250968=ORIENTED_EDGE('',*,*,#188174,.T.); #250969=ORIENTED_EDGE('',*,*,#188230,.F.); #250970=ORIENTED_EDGE('',*,*,#188172,.F.); #250971=ORIENTED_EDGE('',*,*,#188176,.F.); #250972=ORIENTED_EDGE('',*,*,#188179,.F.); #250973=ORIENTED_EDGE('',*,*,#188182,.F.); #250974=ORIENTED_EDGE('',*,*,#188185,.F.); #250975=ORIENTED_EDGE('',*,*,#188188,.F.); #250976=ORIENTED_EDGE('',*,*,#188191,.F.); #250977=ORIENTED_EDGE('',*,*,#188194,.F.); #250978=ORIENTED_EDGE('',*,*,#188197,.F.); #250979=ORIENTED_EDGE('',*,*,#188200,.F.); #250980=ORIENTED_EDGE('',*,*,#188203,.F.); #250981=ORIENTED_EDGE('',*,*,#188206,.F.); #250982=ORIENTED_EDGE('',*,*,#188209,.F.); #250983=ORIENTED_EDGE('',*,*,#188212,.F.); #250984=ORIENTED_EDGE('',*,*,#188215,.F.); #250985=ORIENTED_EDGE('',*,*,#188218,.F.); #250986=ORIENTED_EDGE('',*,*,#188221,.F.); #250987=ORIENTED_EDGE('',*,*,#188224,.F.); #250988=ORIENTED_EDGE('',*,*,#188227,.F.); #250989=ORIENTED_EDGE('',*,*,#188232,.T.); #250990=ORIENTED_EDGE('',*,*,#188233,.T.); #250991=ORIENTED_EDGE('',*,*,#188234,.F.); #250992=ORIENTED_EDGE('',*,*,#188235,.F.); #250993=ORIENTED_EDGE('',*,*,#188236,.T.); #250994=ORIENTED_EDGE('',*,*,#188235,.T.); #250995=ORIENTED_EDGE('',*,*,#188237,.F.); #250996=ORIENTED_EDGE('',*,*,#188238,.F.); #250997=ORIENTED_EDGE('',*,*,#188239,.T.); #250998=ORIENTED_EDGE('',*,*,#188238,.T.); #250999=ORIENTED_EDGE('',*,*,#188240,.F.); #251000=ORIENTED_EDGE('',*,*,#188241,.F.); #251001=ORIENTED_EDGE('',*,*,#188242,.T.); #251002=ORIENTED_EDGE('',*,*,#188241,.T.); #251003=ORIENTED_EDGE('',*,*,#188243,.F.); #251004=ORIENTED_EDGE('',*,*,#188244,.F.); #251005=ORIENTED_EDGE('',*,*,#188245,.T.); #251006=ORIENTED_EDGE('',*,*,#188244,.T.); #251007=ORIENTED_EDGE('',*,*,#188246,.F.); #251008=ORIENTED_EDGE('',*,*,#188247,.F.); #251009=ORIENTED_EDGE('',*,*,#188248,.T.); #251010=ORIENTED_EDGE('',*,*,#188247,.T.); #251011=ORIENTED_EDGE('',*,*,#188249,.F.); #251012=ORIENTED_EDGE('',*,*,#188250,.F.); #251013=ORIENTED_EDGE('',*,*,#188251,.T.); #251014=ORIENTED_EDGE('',*,*,#188250,.T.); #251015=ORIENTED_EDGE('',*,*,#188252,.F.); #251016=ORIENTED_EDGE('',*,*,#188253,.F.); #251017=ORIENTED_EDGE('',*,*,#188254,.T.); #251018=ORIENTED_EDGE('',*,*,#188253,.T.); #251019=ORIENTED_EDGE('',*,*,#188255,.F.); #251020=ORIENTED_EDGE('',*,*,#188256,.F.); #251021=ORIENTED_EDGE('',*,*,#188257,.T.); #251022=ORIENTED_EDGE('',*,*,#188256,.T.); #251023=ORIENTED_EDGE('',*,*,#188258,.F.); #251024=ORIENTED_EDGE('',*,*,#188259,.F.); #251025=ORIENTED_EDGE('',*,*,#188260,.T.); #251026=ORIENTED_EDGE('',*,*,#188259,.T.); #251027=ORIENTED_EDGE('',*,*,#188261,.F.); #251028=ORIENTED_EDGE('',*,*,#188262,.F.); #251029=ORIENTED_EDGE('',*,*,#188263,.T.); #251030=ORIENTED_EDGE('',*,*,#188262,.T.); #251031=ORIENTED_EDGE('',*,*,#188264,.F.); #251032=ORIENTED_EDGE('',*,*,#188265,.F.); #251033=ORIENTED_EDGE('',*,*,#188266,.T.); #251034=ORIENTED_EDGE('',*,*,#188265,.T.); #251035=ORIENTED_EDGE('',*,*,#188267,.F.); #251036=ORIENTED_EDGE('',*,*,#188268,.F.); #251037=ORIENTED_EDGE('',*,*,#188269,.T.); #251038=ORIENTED_EDGE('',*,*,#188268,.T.); #251039=ORIENTED_EDGE('',*,*,#188270,.F.); #251040=ORIENTED_EDGE('',*,*,#188271,.F.); #251041=ORIENTED_EDGE('',*,*,#188272,.T.); #251042=ORIENTED_EDGE('',*,*,#188271,.T.); #251043=ORIENTED_EDGE('',*,*,#188273,.F.); #251044=ORIENTED_EDGE('',*,*,#188274,.F.); #251045=ORIENTED_EDGE('',*,*,#188275,.T.); #251046=ORIENTED_EDGE('',*,*,#188274,.T.); #251047=ORIENTED_EDGE('',*,*,#188276,.F.); #251048=ORIENTED_EDGE('',*,*,#188277,.F.); #251049=ORIENTED_EDGE('',*,*,#188278,.T.); #251050=ORIENTED_EDGE('',*,*,#188277,.T.); #251051=ORIENTED_EDGE('',*,*,#188279,.F.); #251052=ORIENTED_EDGE('',*,*,#188280,.F.); #251053=ORIENTED_EDGE('',*,*,#188281,.T.); #251054=ORIENTED_EDGE('',*,*,#188280,.T.); #251055=ORIENTED_EDGE('',*,*,#188282,.F.); #251056=ORIENTED_EDGE('',*,*,#188283,.F.); #251057=ORIENTED_EDGE('',*,*,#188284,.T.); #251058=ORIENTED_EDGE('',*,*,#188283,.T.); #251059=ORIENTED_EDGE('',*,*,#188285,.F.); #251060=ORIENTED_EDGE('',*,*,#188286,.F.); #251061=ORIENTED_EDGE('',*,*,#188287,.T.); #251062=ORIENTED_EDGE('',*,*,#188286,.T.); #251063=ORIENTED_EDGE('',*,*,#188288,.F.); #251064=ORIENTED_EDGE('',*,*,#188289,.F.); #251065=ORIENTED_EDGE('',*,*,#188290,.T.); #251066=ORIENTED_EDGE('',*,*,#188289,.T.); #251067=ORIENTED_EDGE('',*,*,#188291,.F.); #251068=ORIENTED_EDGE('',*,*,#188233,.F.); #251069=ORIENTED_EDGE('',*,*,#188291,.T.); #251070=ORIENTED_EDGE('',*,*,#188288,.T.); #251071=ORIENTED_EDGE('',*,*,#188285,.T.); #251072=ORIENTED_EDGE('',*,*,#188282,.T.); #251073=ORIENTED_EDGE('',*,*,#188279,.T.); #251074=ORIENTED_EDGE('',*,*,#188276,.T.); #251075=ORIENTED_EDGE('',*,*,#188273,.T.); #251076=ORIENTED_EDGE('',*,*,#188270,.T.); #251077=ORIENTED_EDGE('',*,*,#188267,.T.); #251078=ORIENTED_EDGE('',*,*,#188264,.T.); #251079=ORIENTED_EDGE('',*,*,#188261,.T.); #251080=ORIENTED_EDGE('',*,*,#188258,.T.); #251081=ORIENTED_EDGE('',*,*,#188255,.T.); #251082=ORIENTED_EDGE('',*,*,#188252,.T.); #251083=ORIENTED_EDGE('',*,*,#188249,.T.); #251084=ORIENTED_EDGE('',*,*,#188246,.T.); #251085=ORIENTED_EDGE('',*,*,#188243,.T.); #251086=ORIENTED_EDGE('',*,*,#188240,.T.); #251087=ORIENTED_EDGE('',*,*,#188237,.T.); #251088=ORIENTED_EDGE('',*,*,#188234,.T.); #251089=ORIENTED_EDGE('',*,*,#188290,.F.); #251090=ORIENTED_EDGE('',*,*,#188232,.F.); #251091=ORIENTED_EDGE('',*,*,#188236,.F.); #251092=ORIENTED_EDGE('',*,*,#188239,.F.); #251093=ORIENTED_EDGE('',*,*,#188242,.F.); #251094=ORIENTED_EDGE('',*,*,#188245,.F.); #251095=ORIENTED_EDGE('',*,*,#188248,.F.); #251096=ORIENTED_EDGE('',*,*,#188251,.F.); #251097=ORIENTED_EDGE('',*,*,#188254,.F.); #251098=ORIENTED_EDGE('',*,*,#188257,.F.); #251099=ORIENTED_EDGE('',*,*,#188260,.F.); #251100=ORIENTED_EDGE('',*,*,#188263,.F.); #251101=ORIENTED_EDGE('',*,*,#188266,.F.); #251102=ORIENTED_EDGE('',*,*,#188269,.F.); #251103=ORIENTED_EDGE('',*,*,#188272,.F.); #251104=ORIENTED_EDGE('',*,*,#188275,.F.); #251105=ORIENTED_EDGE('',*,*,#188278,.F.); #251106=ORIENTED_EDGE('',*,*,#188281,.F.); #251107=ORIENTED_EDGE('',*,*,#188284,.F.); #251108=ORIENTED_EDGE('',*,*,#188287,.F.); #251109=ORIENTED_EDGE('',*,*,#188292,.T.); #251110=ORIENTED_EDGE('',*,*,#188293,.T.); #251111=ORIENTED_EDGE('',*,*,#188294,.F.); #251112=ORIENTED_EDGE('',*,*,#188295,.F.); #251113=ORIENTED_EDGE('',*,*,#188296,.T.); #251114=ORIENTED_EDGE('',*,*,#188295,.T.); #251115=ORIENTED_EDGE('',*,*,#188297,.F.); #251116=ORIENTED_EDGE('',*,*,#188298,.F.); #251117=ORIENTED_EDGE('',*,*,#188299,.T.); #251118=ORIENTED_EDGE('',*,*,#188298,.T.); #251119=ORIENTED_EDGE('',*,*,#188300,.F.); #251120=ORIENTED_EDGE('',*,*,#188301,.F.); #251121=ORIENTED_EDGE('',*,*,#188302,.T.); #251122=ORIENTED_EDGE('',*,*,#188301,.T.); #251123=ORIENTED_EDGE('',*,*,#188303,.F.); #251124=ORIENTED_EDGE('',*,*,#188304,.F.); #251125=ORIENTED_EDGE('',*,*,#188305,.T.); #251126=ORIENTED_EDGE('',*,*,#188304,.T.); #251127=ORIENTED_EDGE('',*,*,#188306,.F.); #251128=ORIENTED_EDGE('',*,*,#188307,.F.); #251129=ORIENTED_EDGE('',*,*,#188308,.T.); #251130=ORIENTED_EDGE('',*,*,#188307,.T.); #251131=ORIENTED_EDGE('',*,*,#188309,.F.); #251132=ORIENTED_EDGE('',*,*,#188310,.F.); #251133=ORIENTED_EDGE('',*,*,#188311,.T.); #251134=ORIENTED_EDGE('',*,*,#188310,.T.); #251135=ORIENTED_EDGE('',*,*,#188312,.F.); #251136=ORIENTED_EDGE('',*,*,#188313,.F.); #251137=ORIENTED_EDGE('',*,*,#188314,.T.); #251138=ORIENTED_EDGE('',*,*,#188313,.T.); #251139=ORIENTED_EDGE('',*,*,#188315,.F.); #251140=ORIENTED_EDGE('',*,*,#188316,.F.); #251141=ORIENTED_EDGE('',*,*,#188317,.T.); #251142=ORIENTED_EDGE('',*,*,#188316,.T.); #251143=ORIENTED_EDGE('',*,*,#188318,.F.); #251144=ORIENTED_EDGE('',*,*,#188319,.F.); #251145=ORIENTED_EDGE('',*,*,#188320,.T.); #251146=ORIENTED_EDGE('',*,*,#188319,.T.); #251147=ORIENTED_EDGE('',*,*,#188321,.F.); #251148=ORIENTED_EDGE('',*,*,#188322,.F.); #251149=ORIENTED_EDGE('',*,*,#188323,.T.); #251150=ORIENTED_EDGE('',*,*,#188322,.T.); #251151=ORIENTED_EDGE('',*,*,#188324,.F.); #251152=ORIENTED_EDGE('',*,*,#188325,.F.); #251153=ORIENTED_EDGE('',*,*,#188326,.T.); #251154=ORIENTED_EDGE('',*,*,#188325,.T.); #251155=ORIENTED_EDGE('',*,*,#188327,.F.); #251156=ORIENTED_EDGE('',*,*,#188328,.F.); #251157=ORIENTED_EDGE('',*,*,#188329,.T.); #251158=ORIENTED_EDGE('',*,*,#188328,.T.); #251159=ORIENTED_EDGE('',*,*,#188330,.F.); #251160=ORIENTED_EDGE('',*,*,#188331,.F.); #251161=ORIENTED_EDGE('',*,*,#188332,.T.); #251162=ORIENTED_EDGE('',*,*,#188331,.T.); #251163=ORIENTED_EDGE('',*,*,#188333,.F.); #251164=ORIENTED_EDGE('',*,*,#188334,.F.); #251165=ORIENTED_EDGE('',*,*,#188335,.T.); #251166=ORIENTED_EDGE('',*,*,#188334,.T.); #251167=ORIENTED_EDGE('',*,*,#188336,.F.); #251168=ORIENTED_EDGE('',*,*,#188337,.F.); #251169=ORIENTED_EDGE('',*,*,#188338,.T.); #251170=ORIENTED_EDGE('',*,*,#188337,.T.); #251171=ORIENTED_EDGE('',*,*,#188339,.F.); #251172=ORIENTED_EDGE('',*,*,#188340,.F.); #251173=ORIENTED_EDGE('',*,*,#188341,.T.); #251174=ORIENTED_EDGE('',*,*,#188340,.T.); #251175=ORIENTED_EDGE('',*,*,#188342,.F.); #251176=ORIENTED_EDGE('',*,*,#188343,.F.); #251177=ORIENTED_EDGE('',*,*,#188344,.T.); #251178=ORIENTED_EDGE('',*,*,#188343,.T.); #251179=ORIENTED_EDGE('',*,*,#188345,.F.); #251180=ORIENTED_EDGE('',*,*,#188346,.F.); #251181=ORIENTED_EDGE('',*,*,#188347,.T.); #251182=ORIENTED_EDGE('',*,*,#188346,.T.); #251183=ORIENTED_EDGE('',*,*,#188348,.F.); #251184=ORIENTED_EDGE('',*,*,#188349,.F.); #251185=ORIENTED_EDGE('',*,*,#188350,.T.); #251186=ORIENTED_EDGE('',*,*,#188349,.T.); #251187=ORIENTED_EDGE('',*,*,#188351,.F.); #251188=ORIENTED_EDGE('',*,*,#188352,.F.); #251189=ORIENTED_EDGE('',*,*,#188353,.T.); #251190=ORIENTED_EDGE('',*,*,#188352,.T.); #251191=ORIENTED_EDGE('',*,*,#188354,.F.); #251192=ORIENTED_EDGE('',*,*,#188355,.F.); #251193=ORIENTED_EDGE('',*,*,#188356,.T.); #251194=ORIENTED_EDGE('',*,*,#188355,.T.); #251195=ORIENTED_EDGE('',*,*,#188357,.F.); #251196=ORIENTED_EDGE('',*,*,#188358,.F.); #251197=ORIENTED_EDGE('',*,*,#188359,.T.); #251198=ORIENTED_EDGE('',*,*,#188358,.T.); #251199=ORIENTED_EDGE('',*,*,#188360,.F.); #251200=ORIENTED_EDGE('',*,*,#188293,.F.); #251201=ORIENTED_EDGE('',*,*,#188360,.T.); #251202=ORIENTED_EDGE('',*,*,#188357,.T.); #251203=ORIENTED_EDGE('',*,*,#188354,.T.); #251204=ORIENTED_EDGE('',*,*,#188351,.T.); #251205=ORIENTED_EDGE('',*,*,#188348,.T.); #251206=ORIENTED_EDGE('',*,*,#188345,.T.); #251207=ORIENTED_EDGE('',*,*,#188342,.T.); #251208=ORIENTED_EDGE('',*,*,#188339,.T.); #251209=ORIENTED_EDGE('',*,*,#188336,.T.); #251210=ORIENTED_EDGE('',*,*,#188333,.T.); #251211=ORIENTED_EDGE('',*,*,#188330,.T.); #251212=ORIENTED_EDGE('',*,*,#188327,.T.); #251213=ORIENTED_EDGE('',*,*,#188324,.T.); #251214=ORIENTED_EDGE('',*,*,#188321,.T.); #251215=ORIENTED_EDGE('',*,*,#188318,.T.); #251216=ORIENTED_EDGE('',*,*,#188315,.T.); #251217=ORIENTED_EDGE('',*,*,#188312,.T.); #251218=ORIENTED_EDGE('',*,*,#188309,.T.); #251219=ORIENTED_EDGE('',*,*,#188306,.T.); #251220=ORIENTED_EDGE('',*,*,#188303,.T.); #251221=ORIENTED_EDGE('',*,*,#188300,.T.); #251222=ORIENTED_EDGE('',*,*,#188297,.T.); #251223=ORIENTED_EDGE('',*,*,#188294,.T.); #251224=ORIENTED_EDGE('',*,*,#188359,.F.); #251225=ORIENTED_EDGE('',*,*,#188292,.F.); #251226=ORIENTED_EDGE('',*,*,#188296,.F.); #251227=ORIENTED_EDGE('',*,*,#188299,.F.); #251228=ORIENTED_EDGE('',*,*,#188302,.F.); #251229=ORIENTED_EDGE('',*,*,#188305,.F.); #251230=ORIENTED_EDGE('',*,*,#188308,.F.); #251231=ORIENTED_EDGE('',*,*,#188311,.F.); #251232=ORIENTED_EDGE('',*,*,#188314,.F.); #251233=ORIENTED_EDGE('',*,*,#188317,.F.); #251234=ORIENTED_EDGE('',*,*,#188320,.F.); #251235=ORIENTED_EDGE('',*,*,#188323,.F.); #251236=ORIENTED_EDGE('',*,*,#188326,.F.); #251237=ORIENTED_EDGE('',*,*,#188329,.F.); #251238=ORIENTED_EDGE('',*,*,#188332,.F.); #251239=ORIENTED_EDGE('',*,*,#188335,.F.); #251240=ORIENTED_EDGE('',*,*,#188338,.F.); #251241=ORIENTED_EDGE('',*,*,#188341,.F.); #251242=ORIENTED_EDGE('',*,*,#188344,.F.); #251243=ORIENTED_EDGE('',*,*,#188347,.F.); #251244=ORIENTED_EDGE('',*,*,#188350,.F.); #251245=ORIENTED_EDGE('',*,*,#188353,.F.); #251246=ORIENTED_EDGE('',*,*,#188356,.F.); #251247=ORIENTED_EDGE('',*,*,#188361,.T.); #251248=ORIENTED_EDGE('',*,*,#188362,.T.); #251249=ORIENTED_EDGE('',*,*,#188363,.F.); #251250=ORIENTED_EDGE('',*,*,#188364,.F.); #251251=ORIENTED_EDGE('',*,*,#188365,.T.); #251252=ORIENTED_EDGE('',*,*,#188364,.T.); #251253=ORIENTED_EDGE('',*,*,#188366,.F.); #251254=ORIENTED_EDGE('',*,*,#188367,.F.); #251255=ORIENTED_EDGE('',*,*,#188368,.T.); #251256=ORIENTED_EDGE('',*,*,#188367,.T.); #251257=ORIENTED_EDGE('',*,*,#188369,.F.); #251258=ORIENTED_EDGE('',*,*,#188370,.F.); #251259=ORIENTED_EDGE('',*,*,#188371,.T.); #251260=ORIENTED_EDGE('',*,*,#188370,.T.); #251261=ORIENTED_EDGE('',*,*,#188372,.F.); #251262=ORIENTED_EDGE('',*,*,#188373,.F.); #251263=ORIENTED_EDGE('',*,*,#188374,.T.); #251264=ORIENTED_EDGE('',*,*,#188373,.T.); #251265=ORIENTED_EDGE('',*,*,#188375,.F.); #251266=ORIENTED_EDGE('',*,*,#188376,.F.); #251267=ORIENTED_EDGE('',*,*,#188377,.T.); #251268=ORIENTED_EDGE('',*,*,#188376,.T.); #251269=ORIENTED_EDGE('',*,*,#188378,.F.); #251270=ORIENTED_EDGE('',*,*,#188379,.F.); #251271=ORIENTED_EDGE('',*,*,#188380,.T.); #251272=ORIENTED_EDGE('',*,*,#188379,.T.); #251273=ORIENTED_EDGE('',*,*,#188381,.F.); #251274=ORIENTED_EDGE('',*,*,#188382,.F.); #251275=ORIENTED_EDGE('',*,*,#188383,.T.); #251276=ORIENTED_EDGE('',*,*,#188382,.T.); #251277=ORIENTED_EDGE('',*,*,#188384,.F.); #251278=ORIENTED_EDGE('',*,*,#188385,.F.); #251279=ORIENTED_EDGE('',*,*,#188386,.T.); #251280=ORIENTED_EDGE('',*,*,#188385,.T.); #251281=ORIENTED_EDGE('',*,*,#188387,.F.); #251282=ORIENTED_EDGE('',*,*,#188388,.F.); #251283=ORIENTED_EDGE('',*,*,#188389,.T.); #251284=ORIENTED_EDGE('',*,*,#188388,.T.); #251285=ORIENTED_EDGE('',*,*,#188390,.F.); #251286=ORIENTED_EDGE('',*,*,#188391,.F.); #251287=ORIENTED_EDGE('',*,*,#188392,.T.); #251288=ORIENTED_EDGE('',*,*,#188391,.T.); #251289=ORIENTED_EDGE('',*,*,#188393,.F.); #251290=ORIENTED_EDGE('',*,*,#188394,.F.); #251291=ORIENTED_EDGE('',*,*,#188395,.T.); #251292=ORIENTED_EDGE('',*,*,#188394,.T.); #251293=ORIENTED_EDGE('',*,*,#188396,.F.); #251294=ORIENTED_EDGE('',*,*,#188397,.F.); #251295=ORIENTED_EDGE('',*,*,#188398,.T.); #251296=ORIENTED_EDGE('',*,*,#188397,.T.); #251297=ORIENTED_EDGE('',*,*,#188399,.F.); #251298=ORIENTED_EDGE('',*,*,#188400,.F.); #251299=ORIENTED_EDGE('',*,*,#188401,.T.); #251300=ORIENTED_EDGE('',*,*,#188400,.T.); #251301=ORIENTED_EDGE('',*,*,#188402,.F.); #251302=ORIENTED_EDGE('',*,*,#188403,.F.); #251303=ORIENTED_EDGE('',*,*,#188404,.T.); #251304=ORIENTED_EDGE('',*,*,#188403,.T.); #251305=ORIENTED_EDGE('',*,*,#188405,.F.); #251306=ORIENTED_EDGE('',*,*,#188406,.F.); #251307=ORIENTED_EDGE('',*,*,#188407,.T.); #251308=ORIENTED_EDGE('',*,*,#188406,.T.); #251309=ORIENTED_EDGE('',*,*,#188408,.F.); #251310=ORIENTED_EDGE('',*,*,#188409,.F.); #251311=ORIENTED_EDGE('',*,*,#188410,.T.); #251312=ORIENTED_EDGE('',*,*,#188409,.T.); #251313=ORIENTED_EDGE('',*,*,#188411,.F.); #251314=ORIENTED_EDGE('',*,*,#188412,.F.); #251315=ORIENTED_EDGE('',*,*,#188413,.T.); #251316=ORIENTED_EDGE('',*,*,#188412,.T.); #251317=ORIENTED_EDGE('',*,*,#188414,.F.); #251318=ORIENTED_EDGE('',*,*,#188415,.F.); #251319=ORIENTED_EDGE('',*,*,#188416,.T.); #251320=ORIENTED_EDGE('',*,*,#188415,.T.); #251321=ORIENTED_EDGE('',*,*,#188417,.F.); #251322=ORIENTED_EDGE('',*,*,#188418,.F.); #251323=ORIENTED_EDGE('',*,*,#188419,.T.); #251324=ORIENTED_EDGE('',*,*,#188418,.T.); #251325=ORIENTED_EDGE('',*,*,#188420,.F.); #251326=ORIENTED_EDGE('',*,*,#188362,.F.); #251327=ORIENTED_EDGE('',*,*,#188420,.T.); #251328=ORIENTED_EDGE('',*,*,#188417,.T.); #251329=ORIENTED_EDGE('',*,*,#188414,.T.); #251330=ORIENTED_EDGE('',*,*,#188411,.T.); #251331=ORIENTED_EDGE('',*,*,#188408,.T.); #251332=ORIENTED_EDGE('',*,*,#188405,.T.); #251333=ORIENTED_EDGE('',*,*,#188402,.T.); #251334=ORIENTED_EDGE('',*,*,#188399,.T.); #251335=ORIENTED_EDGE('',*,*,#188396,.T.); #251336=ORIENTED_EDGE('',*,*,#188393,.T.); #251337=ORIENTED_EDGE('',*,*,#188390,.T.); #251338=ORIENTED_EDGE('',*,*,#188387,.T.); #251339=ORIENTED_EDGE('',*,*,#188384,.T.); #251340=ORIENTED_EDGE('',*,*,#188381,.T.); #251341=ORIENTED_EDGE('',*,*,#188378,.T.); #251342=ORIENTED_EDGE('',*,*,#188375,.T.); #251343=ORIENTED_EDGE('',*,*,#188372,.T.); #251344=ORIENTED_EDGE('',*,*,#188369,.T.); #251345=ORIENTED_EDGE('',*,*,#188366,.T.); #251346=ORIENTED_EDGE('',*,*,#188363,.T.); #251347=ORIENTED_EDGE('',*,*,#188419,.F.); #251348=ORIENTED_EDGE('',*,*,#188361,.F.); #251349=ORIENTED_EDGE('',*,*,#188365,.F.); #251350=ORIENTED_EDGE('',*,*,#188368,.F.); #251351=ORIENTED_EDGE('',*,*,#188371,.F.); #251352=ORIENTED_EDGE('',*,*,#188374,.F.); #251353=ORIENTED_EDGE('',*,*,#188377,.F.); #251354=ORIENTED_EDGE('',*,*,#188380,.F.); #251355=ORIENTED_EDGE('',*,*,#188383,.F.); #251356=ORIENTED_EDGE('',*,*,#188386,.F.); #251357=ORIENTED_EDGE('',*,*,#188389,.F.); #251358=ORIENTED_EDGE('',*,*,#188392,.F.); #251359=ORIENTED_EDGE('',*,*,#188395,.F.); #251360=ORIENTED_EDGE('',*,*,#188398,.F.); #251361=ORIENTED_EDGE('',*,*,#188401,.F.); #251362=ORIENTED_EDGE('',*,*,#188404,.F.); #251363=ORIENTED_EDGE('',*,*,#188407,.F.); #251364=ORIENTED_EDGE('',*,*,#188410,.F.); #251365=ORIENTED_EDGE('',*,*,#188413,.F.); #251366=ORIENTED_EDGE('',*,*,#188416,.F.); #251367=ORIENTED_EDGE('',*,*,#188421,.T.); #251368=ORIENTED_EDGE('',*,*,#188422,.T.); #251369=ORIENTED_EDGE('',*,*,#188423,.F.); #251370=ORIENTED_EDGE('',*,*,#188424,.F.); #251371=ORIENTED_EDGE('',*,*,#188425,.T.); #251372=ORIENTED_EDGE('',*,*,#188424,.T.); #251373=ORIENTED_EDGE('',*,*,#188426,.F.); #251374=ORIENTED_EDGE('',*,*,#188427,.F.); #251375=ORIENTED_EDGE('',*,*,#188428,.T.); #251376=ORIENTED_EDGE('',*,*,#188427,.T.); #251377=ORIENTED_EDGE('',*,*,#188429,.F.); #251378=ORIENTED_EDGE('',*,*,#188430,.F.); #251379=ORIENTED_EDGE('',*,*,#188431,.T.); #251380=ORIENTED_EDGE('',*,*,#188430,.T.); #251381=ORIENTED_EDGE('',*,*,#188432,.F.); #251382=ORIENTED_EDGE('',*,*,#188433,.F.); #251383=ORIENTED_EDGE('',*,*,#188434,.T.); #251384=ORIENTED_EDGE('',*,*,#188433,.T.); #251385=ORIENTED_EDGE('',*,*,#188435,.F.); #251386=ORIENTED_EDGE('',*,*,#188436,.F.); #251387=ORIENTED_EDGE('',*,*,#188437,.T.); #251388=ORIENTED_EDGE('',*,*,#188436,.T.); #251389=ORIENTED_EDGE('',*,*,#188438,.F.); #251390=ORIENTED_EDGE('',*,*,#188439,.F.); #251391=ORIENTED_EDGE('',*,*,#188440,.T.); #251392=ORIENTED_EDGE('',*,*,#188439,.T.); #251393=ORIENTED_EDGE('',*,*,#188441,.F.); #251394=ORIENTED_EDGE('',*,*,#188442,.F.); #251395=ORIENTED_EDGE('',*,*,#188443,.T.); #251396=ORIENTED_EDGE('',*,*,#188442,.T.); #251397=ORIENTED_EDGE('',*,*,#188444,.F.); #251398=ORIENTED_EDGE('',*,*,#188445,.F.); #251399=ORIENTED_EDGE('',*,*,#188446,.T.); #251400=ORIENTED_EDGE('',*,*,#188445,.T.); #251401=ORIENTED_EDGE('',*,*,#188447,.F.); #251402=ORIENTED_EDGE('',*,*,#188448,.F.); #251403=ORIENTED_EDGE('',*,*,#188449,.T.); #251404=ORIENTED_EDGE('',*,*,#188448,.T.); #251405=ORIENTED_EDGE('',*,*,#188450,.F.); #251406=ORIENTED_EDGE('',*,*,#188451,.F.); #251407=ORIENTED_EDGE('',*,*,#188452,.T.); #251408=ORIENTED_EDGE('',*,*,#188451,.T.); #251409=ORIENTED_EDGE('',*,*,#188453,.F.); #251410=ORIENTED_EDGE('',*,*,#188454,.F.); #251411=ORIENTED_EDGE('',*,*,#188455,.T.); #251412=ORIENTED_EDGE('',*,*,#188454,.T.); #251413=ORIENTED_EDGE('',*,*,#188456,.F.); #251414=ORIENTED_EDGE('',*,*,#188457,.F.); #251415=ORIENTED_EDGE('',*,*,#188458,.T.); #251416=ORIENTED_EDGE('',*,*,#188457,.T.); #251417=ORIENTED_EDGE('',*,*,#188459,.F.); #251418=ORIENTED_EDGE('',*,*,#188460,.F.); #251419=ORIENTED_EDGE('',*,*,#188461,.T.); #251420=ORIENTED_EDGE('',*,*,#188460,.T.); #251421=ORIENTED_EDGE('',*,*,#188462,.F.); #251422=ORIENTED_EDGE('',*,*,#188463,.F.); #251423=ORIENTED_EDGE('',*,*,#188464,.T.); #251424=ORIENTED_EDGE('',*,*,#188463,.T.); #251425=ORIENTED_EDGE('',*,*,#188465,.F.); #251426=ORIENTED_EDGE('',*,*,#188466,.F.); #251427=ORIENTED_EDGE('',*,*,#188467,.T.); #251428=ORIENTED_EDGE('',*,*,#188466,.T.); #251429=ORIENTED_EDGE('',*,*,#188468,.F.); #251430=ORIENTED_EDGE('',*,*,#188469,.F.); #251431=ORIENTED_EDGE('',*,*,#188470,.T.); #251432=ORIENTED_EDGE('',*,*,#188469,.T.); #251433=ORIENTED_EDGE('',*,*,#188471,.F.); #251434=ORIENTED_EDGE('',*,*,#188472,.F.); #251435=ORIENTED_EDGE('',*,*,#188473,.T.); #251436=ORIENTED_EDGE('',*,*,#188472,.T.); #251437=ORIENTED_EDGE('',*,*,#188474,.F.); #251438=ORIENTED_EDGE('',*,*,#188475,.F.); #251439=ORIENTED_EDGE('',*,*,#188476,.T.); #251440=ORIENTED_EDGE('',*,*,#188475,.T.); #251441=ORIENTED_EDGE('',*,*,#188477,.F.); #251442=ORIENTED_EDGE('',*,*,#188478,.F.); #251443=ORIENTED_EDGE('',*,*,#188479,.T.); #251444=ORIENTED_EDGE('',*,*,#188478,.T.); #251445=ORIENTED_EDGE('',*,*,#188480,.F.); #251446=ORIENTED_EDGE('',*,*,#188481,.F.); #251447=ORIENTED_EDGE('',*,*,#188482,.T.); #251448=ORIENTED_EDGE('',*,*,#188481,.T.); #251449=ORIENTED_EDGE('',*,*,#188483,.F.); #251450=ORIENTED_EDGE('',*,*,#188422,.F.); #251451=ORIENTED_EDGE('',*,*,#188483,.T.); #251452=ORIENTED_EDGE('',*,*,#188480,.T.); #251453=ORIENTED_EDGE('',*,*,#188477,.T.); #251454=ORIENTED_EDGE('',*,*,#188474,.T.); #251455=ORIENTED_EDGE('',*,*,#188471,.T.); #251456=ORIENTED_EDGE('',*,*,#188468,.T.); #251457=ORIENTED_EDGE('',*,*,#188465,.T.); #251458=ORIENTED_EDGE('',*,*,#188462,.T.); #251459=ORIENTED_EDGE('',*,*,#188459,.T.); #251460=ORIENTED_EDGE('',*,*,#188456,.T.); #251461=ORIENTED_EDGE('',*,*,#188453,.T.); #251462=ORIENTED_EDGE('',*,*,#188450,.T.); #251463=ORIENTED_EDGE('',*,*,#188447,.T.); #251464=ORIENTED_EDGE('',*,*,#188444,.T.); #251465=ORIENTED_EDGE('',*,*,#188441,.T.); #251466=ORIENTED_EDGE('',*,*,#188438,.T.); #251467=ORIENTED_EDGE('',*,*,#188435,.T.); #251468=ORIENTED_EDGE('',*,*,#188432,.T.); #251469=ORIENTED_EDGE('',*,*,#188429,.T.); #251470=ORIENTED_EDGE('',*,*,#188426,.T.); #251471=ORIENTED_EDGE('',*,*,#188423,.T.); #251472=ORIENTED_EDGE('',*,*,#188482,.F.); #251473=ORIENTED_EDGE('',*,*,#188421,.F.); #251474=ORIENTED_EDGE('',*,*,#188425,.F.); #251475=ORIENTED_EDGE('',*,*,#188428,.F.); #251476=ORIENTED_EDGE('',*,*,#188431,.F.); #251477=ORIENTED_EDGE('',*,*,#188434,.F.); #251478=ORIENTED_EDGE('',*,*,#188437,.F.); #251479=ORIENTED_EDGE('',*,*,#188440,.F.); #251480=ORIENTED_EDGE('',*,*,#188443,.F.); #251481=ORIENTED_EDGE('',*,*,#188446,.F.); #251482=ORIENTED_EDGE('',*,*,#188449,.F.); #251483=ORIENTED_EDGE('',*,*,#188452,.F.); #251484=ORIENTED_EDGE('',*,*,#188455,.F.); #251485=ORIENTED_EDGE('',*,*,#188458,.F.); #251486=ORIENTED_EDGE('',*,*,#188461,.F.); #251487=ORIENTED_EDGE('',*,*,#188464,.F.); #251488=ORIENTED_EDGE('',*,*,#188467,.F.); #251489=ORIENTED_EDGE('',*,*,#188470,.F.); #251490=ORIENTED_EDGE('',*,*,#188473,.F.); #251491=ORIENTED_EDGE('',*,*,#188476,.F.); #251492=ORIENTED_EDGE('',*,*,#188479,.F.); #251493=ORIENTED_EDGE('',*,*,#188484,.F.); #251494=ORIENTED_EDGE('',*,*,#188485,.T.); #251495=ORIENTED_EDGE('',*,*,#188486,.F.); #251496=ORIENTED_EDGE('',*,*,#188485,.F.); #251497=ORIENTED_EDGE('',*,*,#188487,.T.); #251498=ORIENTED_EDGE('',*,*,#188488,.T.); #251499=ORIENTED_EDGE('',*,*,#188489,.F.); #251500=ORIENTED_EDGE('',*,*,#188490,.F.); #251501=ORIENTED_EDGE('',*,*,#188491,.T.); #251502=ORIENTED_EDGE('',*,*,#188490,.T.); #251503=ORIENTED_EDGE('',*,*,#188492,.F.); #251504=ORIENTED_EDGE('',*,*,#188493,.F.); #251505=ORIENTED_EDGE('',*,*,#188494,.T.); #251506=ORIENTED_EDGE('',*,*,#188493,.T.); #251507=ORIENTED_EDGE('',*,*,#188495,.F.); #251508=ORIENTED_EDGE('',*,*,#188488,.F.); #251509=ORIENTED_EDGE('',*,*,#188495,.T.); #251510=ORIENTED_EDGE('',*,*,#188492,.T.); #251511=ORIENTED_EDGE('',*,*,#188489,.T.); #251512=ORIENTED_EDGE('',*,*,#188486,.T.); #251513=ORIENTED_EDGE('',*,*,#188494,.F.); #251514=ORIENTED_EDGE('',*,*,#188487,.F.); #251515=ORIENTED_EDGE('',*,*,#188491,.F.); #251516=ORIENTED_EDGE('',*,*,#188484,.T.); #251517=ORIENTED_EDGE('',*,*,#188496,.F.); #251518=ORIENTED_EDGE('',*,*,#188497,.T.); #251519=ORIENTED_EDGE('',*,*,#188498,.F.); #251520=ORIENTED_EDGE('',*,*,#188497,.F.); #251521=ORIENTED_EDGE('',*,*,#188499,.T.); #251522=ORIENTED_EDGE('',*,*,#188500,.T.); #251523=ORIENTED_EDGE('',*,*,#188501,.F.); #251524=ORIENTED_EDGE('',*,*,#188502,.F.); #251525=ORIENTED_EDGE('',*,*,#188503,.T.); #251526=ORIENTED_EDGE('',*,*,#188502,.T.); #251527=ORIENTED_EDGE('',*,*,#188504,.F.); #251528=ORIENTED_EDGE('',*,*,#188505,.F.); #251529=ORIENTED_EDGE('',*,*,#188506,.T.); #251530=ORIENTED_EDGE('',*,*,#188505,.T.); #251531=ORIENTED_EDGE('',*,*,#188507,.F.); #251532=ORIENTED_EDGE('',*,*,#188500,.F.); #251533=ORIENTED_EDGE('',*,*,#188507,.T.); #251534=ORIENTED_EDGE('',*,*,#188504,.T.); #251535=ORIENTED_EDGE('',*,*,#188501,.T.); #251536=ORIENTED_EDGE('',*,*,#188498,.T.); #251537=ORIENTED_EDGE('',*,*,#188506,.F.); #251538=ORIENTED_EDGE('',*,*,#188499,.F.); #251539=ORIENTED_EDGE('',*,*,#188503,.F.); #251540=ORIENTED_EDGE('',*,*,#188496,.T.); #251541=ORIENTED_EDGE('',*,*,#188508,.F.); #251542=ORIENTED_EDGE('',*,*,#188509,.T.); #251543=ORIENTED_EDGE('',*,*,#188510,.F.); #251544=ORIENTED_EDGE('',*,*,#188509,.F.); #251545=ORIENTED_EDGE('',*,*,#188511,.T.); #251546=ORIENTED_EDGE('',*,*,#188512,.T.); #251547=ORIENTED_EDGE('',*,*,#188513,.F.); #251548=ORIENTED_EDGE('',*,*,#188514,.F.); #251549=ORIENTED_EDGE('',*,*,#188515,.T.); #251550=ORIENTED_EDGE('',*,*,#188514,.T.); #251551=ORIENTED_EDGE('',*,*,#188516,.F.); #251552=ORIENTED_EDGE('',*,*,#188517,.F.); #251553=ORIENTED_EDGE('',*,*,#188518,.T.); #251554=ORIENTED_EDGE('',*,*,#188517,.T.); #251555=ORIENTED_EDGE('',*,*,#188519,.F.); #251556=ORIENTED_EDGE('',*,*,#188512,.F.); #251557=ORIENTED_EDGE('',*,*,#188519,.T.); #251558=ORIENTED_EDGE('',*,*,#188516,.T.); #251559=ORIENTED_EDGE('',*,*,#188513,.T.); #251560=ORIENTED_EDGE('',*,*,#188510,.T.); #251561=ORIENTED_EDGE('',*,*,#188518,.F.); #251562=ORIENTED_EDGE('',*,*,#188511,.F.); #251563=ORIENTED_EDGE('',*,*,#188515,.F.); #251564=ORIENTED_EDGE('',*,*,#188508,.T.); #251565=ORIENTED_EDGE('',*,*,#188520,.F.); #251566=ORIENTED_EDGE('',*,*,#188521,.T.); #251567=ORIENTED_EDGE('',*,*,#188522,.F.); #251568=ORIENTED_EDGE('',*,*,#188521,.F.); #251569=ORIENTED_EDGE('',*,*,#188523,.T.); #251570=ORIENTED_EDGE('',*,*,#188524,.T.); #251571=ORIENTED_EDGE('',*,*,#188525,.F.); #251572=ORIENTED_EDGE('',*,*,#188526,.F.); #251573=ORIENTED_EDGE('',*,*,#188527,.T.); #251574=ORIENTED_EDGE('',*,*,#188526,.T.); #251575=ORIENTED_EDGE('',*,*,#188528,.F.); #251576=ORIENTED_EDGE('',*,*,#188529,.F.); #251577=ORIENTED_EDGE('',*,*,#188530,.T.); #251578=ORIENTED_EDGE('',*,*,#188529,.T.); #251579=ORIENTED_EDGE('',*,*,#188531,.F.); #251580=ORIENTED_EDGE('',*,*,#188524,.F.); #251581=ORIENTED_EDGE('',*,*,#188531,.T.); #251582=ORIENTED_EDGE('',*,*,#188528,.T.); #251583=ORIENTED_EDGE('',*,*,#188525,.T.); #251584=ORIENTED_EDGE('',*,*,#188522,.T.); #251585=ORIENTED_EDGE('',*,*,#188530,.F.); #251586=ORIENTED_EDGE('',*,*,#188523,.F.); #251587=ORIENTED_EDGE('',*,*,#188527,.F.); #251588=ORIENTED_EDGE('',*,*,#188520,.T.); #251589=ORIENTED_EDGE('',*,*,#188532,.F.); #251590=ORIENTED_EDGE('',*,*,#188533,.T.); #251591=ORIENTED_EDGE('',*,*,#188534,.F.); #251592=ORIENTED_EDGE('',*,*,#188533,.F.); #251593=ORIENTED_EDGE('',*,*,#188535,.T.); #251594=ORIENTED_EDGE('',*,*,#188536,.T.); #251595=ORIENTED_EDGE('',*,*,#188537,.F.); #251596=ORIENTED_EDGE('',*,*,#188538,.F.); #251597=ORIENTED_EDGE('',*,*,#188539,.T.); #251598=ORIENTED_EDGE('',*,*,#188538,.T.); #251599=ORIENTED_EDGE('',*,*,#188540,.F.); #251600=ORIENTED_EDGE('',*,*,#188541,.F.); #251601=ORIENTED_EDGE('',*,*,#188542,.T.); #251602=ORIENTED_EDGE('',*,*,#188541,.T.); #251603=ORIENTED_EDGE('',*,*,#188543,.F.); #251604=ORIENTED_EDGE('',*,*,#188544,.F.); #251605=ORIENTED_EDGE('',*,*,#188545,.T.); #251606=ORIENTED_EDGE('',*,*,#188544,.T.); #251607=ORIENTED_EDGE('',*,*,#188546,.F.); #251608=ORIENTED_EDGE('',*,*,#188536,.F.); #251609=ORIENTED_EDGE('',*,*,#188546,.T.); #251610=ORIENTED_EDGE('',*,*,#188543,.T.); #251611=ORIENTED_EDGE('',*,*,#188540,.T.); #251612=ORIENTED_EDGE('',*,*,#188537,.T.); #251613=ORIENTED_EDGE('',*,*,#188534,.T.); #251614=ORIENTED_EDGE('',*,*,#188545,.F.); #251615=ORIENTED_EDGE('',*,*,#188535,.F.); #251616=ORIENTED_EDGE('',*,*,#188539,.F.); #251617=ORIENTED_EDGE('',*,*,#188542,.F.); #251618=ORIENTED_EDGE('',*,*,#188532,.T.); #251619=ORIENTED_EDGE('',*,*,#188547,.F.); #251620=ORIENTED_EDGE('',*,*,#188548,.T.); #251621=ORIENTED_EDGE('',*,*,#188549,.F.); #251622=ORIENTED_EDGE('',*,*,#188548,.F.); #251623=ORIENTED_EDGE('',*,*,#188550,.T.); #251624=ORIENTED_EDGE('',*,*,#188551,.T.); #251625=ORIENTED_EDGE('',*,*,#188552,.F.); #251626=ORIENTED_EDGE('',*,*,#188553,.F.); #251627=ORIENTED_EDGE('',*,*,#188554,.T.); #251628=ORIENTED_EDGE('',*,*,#188553,.T.); #251629=ORIENTED_EDGE('',*,*,#188555,.F.); #251630=ORIENTED_EDGE('',*,*,#188556,.F.); #251631=ORIENTED_EDGE('',*,*,#188557,.T.); #251632=ORIENTED_EDGE('',*,*,#188556,.T.); #251633=ORIENTED_EDGE('',*,*,#188558,.F.); #251634=ORIENTED_EDGE('',*,*,#188551,.F.); #251635=ORIENTED_EDGE('',*,*,#188558,.T.); #251636=ORIENTED_EDGE('',*,*,#188555,.T.); #251637=ORIENTED_EDGE('',*,*,#188552,.T.); #251638=ORIENTED_EDGE('',*,*,#188549,.T.); #251639=ORIENTED_EDGE('',*,*,#188557,.F.); #251640=ORIENTED_EDGE('',*,*,#188550,.F.); #251641=ORIENTED_EDGE('',*,*,#188554,.F.); #251642=ORIENTED_EDGE('',*,*,#188547,.T.); #251643=ORIENTED_EDGE('',*,*,#188559,.F.); #251644=ORIENTED_EDGE('',*,*,#188560,.T.); #251645=ORIENTED_EDGE('',*,*,#188561,.F.); #251646=ORIENTED_EDGE('',*,*,#188560,.F.); #251647=ORIENTED_EDGE('',*,*,#188562,.T.); #251648=ORIENTED_EDGE('',*,*,#188563,.T.); #251649=ORIENTED_EDGE('',*,*,#188564,.F.); #251650=ORIENTED_EDGE('',*,*,#188565,.F.); #251651=ORIENTED_EDGE('',*,*,#188566,.T.); #251652=ORIENTED_EDGE('',*,*,#188565,.T.); #251653=ORIENTED_EDGE('',*,*,#188567,.F.); #251654=ORIENTED_EDGE('',*,*,#188568,.F.); #251655=ORIENTED_EDGE('',*,*,#188569,.T.); #251656=ORIENTED_EDGE('',*,*,#188568,.T.); #251657=ORIENTED_EDGE('',*,*,#188570,.F.); #251658=ORIENTED_EDGE('',*,*,#188563,.F.); #251659=ORIENTED_EDGE('',*,*,#188570,.T.); #251660=ORIENTED_EDGE('',*,*,#188567,.T.); #251661=ORIENTED_EDGE('',*,*,#188564,.T.); #251662=ORIENTED_EDGE('',*,*,#188561,.T.); #251663=ORIENTED_EDGE('',*,*,#188569,.F.); #251664=ORIENTED_EDGE('',*,*,#188562,.F.); #251665=ORIENTED_EDGE('',*,*,#188566,.F.); #251666=ORIENTED_EDGE('',*,*,#188559,.T.); #251667=ORIENTED_EDGE('',*,*,#188571,.F.); #251668=ORIENTED_EDGE('',*,*,#188572,.T.); #251669=ORIENTED_EDGE('',*,*,#188573,.F.); #251670=ORIENTED_EDGE('',*,*,#188572,.F.); #251671=ORIENTED_EDGE('',*,*,#188574,.T.); #251672=ORIENTED_EDGE('',*,*,#188575,.T.); #251673=ORIENTED_EDGE('',*,*,#188576,.F.); #251674=ORIENTED_EDGE('',*,*,#188577,.F.); #251675=ORIENTED_EDGE('',*,*,#188578,.T.); #251676=ORIENTED_EDGE('',*,*,#188577,.T.); #251677=ORIENTED_EDGE('',*,*,#188579,.F.); #251678=ORIENTED_EDGE('',*,*,#188580,.F.); #251679=ORIENTED_EDGE('',*,*,#188581,.T.); #251680=ORIENTED_EDGE('',*,*,#188580,.T.); #251681=ORIENTED_EDGE('',*,*,#188582,.F.); #251682=ORIENTED_EDGE('',*,*,#188575,.F.); #251683=ORIENTED_EDGE('',*,*,#188582,.T.); #251684=ORIENTED_EDGE('',*,*,#188579,.T.); #251685=ORIENTED_EDGE('',*,*,#188576,.T.); #251686=ORIENTED_EDGE('',*,*,#188573,.T.); #251687=ORIENTED_EDGE('',*,*,#188581,.F.); #251688=ORIENTED_EDGE('',*,*,#188574,.F.); #251689=ORIENTED_EDGE('',*,*,#188578,.F.); #251690=ORIENTED_EDGE('',*,*,#188571,.T.); #251691=ORIENTED_EDGE('',*,*,#188583,.F.); #251692=ORIENTED_EDGE('',*,*,#188584,.T.); #251693=ORIENTED_EDGE('',*,*,#188585,.F.); #251694=ORIENTED_EDGE('',*,*,#188584,.F.); #251695=ORIENTED_EDGE('',*,*,#188586,.T.); #251696=ORIENTED_EDGE('',*,*,#188587,.T.); #251697=ORIENTED_EDGE('',*,*,#188588,.F.); #251698=ORIENTED_EDGE('',*,*,#188589,.F.); #251699=ORIENTED_EDGE('',*,*,#188590,.T.); #251700=ORIENTED_EDGE('',*,*,#188589,.T.); #251701=ORIENTED_EDGE('',*,*,#188591,.F.); #251702=ORIENTED_EDGE('',*,*,#188592,.F.); #251703=ORIENTED_EDGE('',*,*,#188593,.T.); #251704=ORIENTED_EDGE('',*,*,#188592,.T.); #251705=ORIENTED_EDGE('',*,*,#188594,.F.); #251706=ORIENTED_EDGE('',*,*,#188587,.F.); #251707=ORIENTED_EDGE('',*,*,#188594,.T.); #251708=ORIENTED_EDGE('',*,*,#188591,.T.); #251709=ORIENTED_EDGE('',*,*,#188588,.T.); #251710=ORIENTED_EDGE('',*,*,#188585,.T.); #251711=ORIENTED_EDGE('',*,*,#188593,.F.); #251712=ORIENTED_EDGE('',*,*,#188586,.F.); #251713=ORIENTED_EDGE('',*,*,#188590,.F.); #251714=ORIENTED_EDGE('',*,*,#188583,.T.); #251715=ORIENTED_EDGE('',*,*,#188595,.F.); #251716=ORIENTED_EDGE('',*,*,#188596,.F.); #251717=ORIENTED_EDGE('',*,*,#188597,.F.); #251718=ORIENTED_EDGE('',*,*,#188598,.T.); #251719=ORIENTED_EDGE('',*,*,#188599,.F.); #251720=ORIENTED_EDGE('',*,*,#188598,.F.); #251721=ORIENTED_EDGE('',*,*,#188600,.F.); #251722=ORIENTED_EDGE('',*,*,#188601,.T.); #251723=ORIENTED_EDGE('',*,*,#188602,.F.); #251724=ORIENTED_EDGE('',*,*,#188601,.F.); #251725=ORIENTED_EDGE('',*,*,#188603,.F.); #251726=ORIENTED_EDGE('',*,*,#188604,.T.); #251727=ORIENTED_EDGE('',*,*,#188605,.F.); #251728=ORIENTED_EDGE('',*,*,#188604,.F.); #251729=ORIENTED_EDGE('',*,*,#188606,.F.); #251730=ORIENTED_EDGE('',*,*,#188596,.T.); #251731=ORIENTED_EDGE('',*,*,#188607,.T.); #251732=ORIENTED_EDGE('',*,*,#188608,.T.); #251733=ORIENTED_EDGE('',*,*,#188609,.F.); #251734=ORIENTED_EDGE('',*,*,#188610,.F.); #251735=ORIENTED_EDGE('',*,*,#188611,.T.); #251736=ORIENTED_EDGE('',*,*,#188610,.T.); #251737=ORIENTED_EDGE('',*,*,#188612,.F.); #251738=ORIENTED_EDGE('',*,*,#188613,.F.); #251739=ORIENTED_EDGE('',*,*,#188614,.T.); #251740=ORIENTED_EDGE('',*,*,#188613,.T.); #251741=ORIENTED_EDGE('',*,*,#188615,.F.); #251742=ORIENTED_EDGE('',*,*,#188616,.F.); #251743=ORIENTED_EDGE('',*,*,#188617,.T.); #251744=ORIENTED_EDGE('',*,*,#188616,.T.); #251745=ORIENTED_EDGE('',*,*,#188618,.F.); #251746=ORIENTED_EDGE('',*,*,#188619,.F.); #251747=ORIENTED_EDGE('',*,*,#188620,.T.); #251748=ORIENTED_EDGE('',*,*,#188619,.T.); #251749=ORIENTED_EDGE('',*,*,#188621,.F.); #251750=ORIENTED_EDGE('',*,*,#188622,.F.); #251751=ORIENTED_EDGE('',*,*,#188623,.T.); #251752=ORIENTED_EDGE('',*,*,#188622,.T.); #251753=ORIENTED_EDGE('',*,*,#188624,.F.); #251754=ORIENTED_EDGE('',*,*,#188625,.F.); #251755=ORIENTED_EDGE('',*,*,#188626,.T.); #251756=ORIENTED_EDGE('',*,*,#188625,.T.); #251757=ORIENTED_EDGE('',*,*,#188627,.F.); #251758=ORIENTED_EDGE('',*,*,#188628,.F.); #251759=ORIENTED_EDGE('',*,*,#188629,.T.); #251760=ORIENTED_EDGE('',*,*,#188628,.T.); #251761=ORIENTED_EDGE('',*,*,#188630,.F.); #251762=ORIENTED_EDGE('',*,*,#188631,.F.); #251763=ORIENTED_EDGE('',*,*,#188632,.T.); #251764=ORIENTED_EDGE('',*,*,#188631,.T.); #251765=ORIENTED_EDGE('',*,*,#188633,.F.); #251766=ORIENTED_EDGE('',*,*,#188634,.F.); #251767=ORIENTED_EDGE('',*,*,#188635,.T.); #251768=ORIENTED_EDGE('',*,*,#188634,.T.); #251769=ORIENTED_EDGE('',*,*,#188636,.F.); #251770=ORIENTED_EDGE('',*,*,#188637,.F.); #251771=ORIENTED_EDGE('',*,*,#188638,.T.); #251772=ORIENTED_EDGE('',*,*,#188637,.T.); #251773=ORIENTED_EDGE('',*,*,#188639,.F.); #251774=ORIENTED_EDGE('',*,*,#188640,.F.); #251775=ORIENTED_EDGE('',*,*,#188641,.T.); #251776=ORIENTED_EDGE('',*,*,#188640,.T.); #251777=ORIENTED_EDGE('',*,*,#188642,.F.); #251778=ORIENTED_EDGE('',*,*,#188643,.F.); #251779=ORIENTED_EDGE('',*,*,#188644,.T.); #251780=ORIENTED_EDGE('',*,*,#188643,.T.); #251781=ORIENTED_EDGE('',*,*,#188645,.F.); #251782=ORIENTED_EDGE('',*,*,#188646,.F.); #251783=ORIENTED_EDGE('',*,*,#188647,.T.); #251784=ORIENTED_EDGE('',*,*,#188646,.T.); #251785=ORIENTED_EDGE('',*,*,#188648,.F.); #251786=ORIENTED_EDGE('',*,*,#188649,.F.); #251787=ORIENTED_EDGE('',*,*,#188650,.T.); #251788=ORIENTED_EDGE('',*,*,#188649,.T.); #251789=ORIENTED_EDGE('',*,*,#188651,.F.); #251790=ORIENTED_EDGE('',*,*,#188652,.F.); #251791=ORIENTED_EDGE('',*,*,#188653,.T.); #251792=ORIENTED_EDGE('',*,*,#188652,.T.); #251793=ORIENTED_EDGE('',*,*,#188654,.F.); #251794=ORIENTED_EDGE('',*,*,#188655,.F.); #251795=ORIENTED_EDGE('',*,*,#188656,.T.); #251796=ORIENTED_EDGE('',*,*,#188655,.T.); #251797=ORIENTED_EDGE('',*,*,#188657,.F.); #251798=ORIENTED_EDGE('',*,*,#188658,.F.); #251799=ORIENTED_EDGE('',*,*,#188659,.T.); #251800=ORIENTED_EDGE('',*,*,#188658,.T.); #251801=ORIENTED_EDGE('',*,*,#188660,.F.); #251802=ORIENTED_EDGE('',*,*,#188661,.F.); #251803=ORIENTED_EDGE('',*,*,#188662,.T.); #251804=ORIENTED_EDGE('',*,*,#188661,.T.); #251805=ORIENTED_EDGE('',*,*,#188663,.F.); #251806=ORIENTED_EDGE('',*,*,#188664,.F.); #251807=ORIENTED_EDGE('',*,*,#188665,.T.); #251808=ORIENTED_EDGE('',*,*,#188664,.T.); #251809=ORIENTED_EDGE('',*,*,#188666,.F.); #251810=ORIENTED_EDGE('',*,*,#188667,.F.); #251811=ORIENTED_EDGE('',*,*,#188668,.T.); #251812=ORIENTED_EDGE('',*,*,#188667,.T.); #251813=ORIENTED_EDGE('',*,*,#188669,.F.); #251814=ORIENTED_EDGE('',*,*,#188670,.F.); #251815=ORIENTED_EDGE('',*,*,#188671,.T.); #251816=ORIENTED_EDGE('',*,*,#188670,.T.); #251817=ORIENTED_EDGE('',*,*,#188672,.F.); #251818=ORIENTED_EDGE('',*,*,#188673,.F.); #251819=ORIENTED_EDGE('',*,*,#188674,.T.); #251820=ORIENTED_EDGE('',*,*,#188673,.T.); #251821=ORIENTED_EDGE('',*,*,#188675,.F.); #251822=ORIENTED_EDGE('',*,*,#188676,.F.); #251823=ORIENTED_EDGE('',*,*,#188677,.T.); #251824=ORIENTED_EDGE('',*,*,#188676,.T.); #251825=ORIENTED_EDGE('',*,*,#188678,.F.); #251826=ORIENTED_EDGE('',*,*,#188679,.F.); #251827=ORIENTED_EDGE('',*,*,#188680,.T.); #251828=ORIENTED_EDGE('',*,*,#188679,.T.); #251829=ORIENTED_EDGE('',*,*,#188681,.F.); #251830=ORIENTED_EDGE('',*,*,#188682,.F.); #251831=ORIENTED_EDGE('',*,*,#188683,.T.); #251832=ORIENTED_EDGE('',*,*,#188682,.T.); #251833=ORIENTED_EDGE('',*,*,#188684,.F.); #251834=ORIENTED_EDGE('',*,*,#188685,.F.); #251835=ORIENTED_EDGE('',*,*,#188686,.T.); #251836=ORIENTED_EDGE('',*,*,#188685,.T.); #251837=ORIENTED_EDGE('',*,*,#188687,.F.); #251838=ORIENTED_EDGE('',*,*,#188688,.F.); #251839=ORIENTED_EDGE('',*,*,#188689,.T.); #251840=ORIENTED_EDGE('',*,*,#188688,.T.); #251841=ORIENTED_EDGE('',*,*,#188690,.F.); #251842=ORIENTED_EDGE('',*,*,#188691,.F.); #251843=ORIENTED_EDGE('',*,*,#188692,.T.); #251844=ORIENTED_EDGE('',*,*,#188691,.T.); #251845=ORIENTED_EDGE('',*,*,#188693,.F.); #251846=ORIENTED_EDGE('',*,*,#188694,.F.); #251847=ORIENTED_EDGE('',*,*,#188695,.T.); #251848=ORIENTED_EDGE('',*,*,#188694,.T.); #251849=ORIENTED_EDGE('',*,*,#188696,.F.); #251850=ORIENTED_EDGE('',*,*,#188697,.F.); #251851=ORIENTED_EDGE('',*,*,#188698,.T.); #251852=ORIENTED_EDGE('',*,*,#188697,.T.); #251853=ORIENTED_EDGE('',*,*,#188699,.F.); #251854=ORIENTED_EDGE('',*,*,#188700,.F.); #251855=ORIENTED_EDGE('',*,*,#188701,.T.); #251856=ORIENTED_EDGE('',*,*,#188700,.T.); #251857=ORIENTED_EDGE('',*,*,#188702,.F.); #251858=ORIENTED_EDGE('',*,*,#188703,.F.); #251859=ORIENTED_EDGE('',*,*,#188704,.T.); #251860=ORIENTED_EDGE('',*,*,#188703,.T.); #251861=ORIENTED_EDGE('',*,*,#188705,.F.); #251862=ORIENTED_EDGE('',*,*,#188706,.F.); #251863=ORIENTED_EDGE('',*,*,#188707,.T.); #251864=ORIENTED_EDGE('',*,*,#188706,.T.); #251865=ORIENTED_EDGE('',*,*,#188708,.F.); #251866=ORIENTED_EDGE('',*,*,#188709,.F.); #251867=ORIENTED_EDGE('',*,*,#188710,.T.); #251868=ORIENTED_EDGE('',*,*,#188709,.T.); #251869=ORIENTED_EDGE('',*,*,#188711,.F.); #251870=ORIENTED_EDGE('',*,*,#188712,.F.); #251871=ORIENTED_EDGE('',*,*,#188713,.T.); #251872=ORIENTED_EDGE('',*,*,#188712,.T.); #251873=ORIENTED_EDGE('',*,*,#188714,.F.); #251874=ORIENTED_EDGE('',*,*,#188715,.F.); #251875=ORIENTED_EDGE('',*,*,#188716,.T.); #251876=ORIENTED_EDGE('',*,*,#188715,.T.); #251877=ORIENTED_EDGE('',*,*,#188717,.F.); #251878=ORIENTED_EDGE('',*,*,#188718,.F.); #251879=ORIENTED_EDGE('',*,*,#188719,.T.); #251880=ORIENTED_EDGE('',*,*,#188718,.T.); #251881=ORIENTED_EDGE('',*,*,#188720,.F.); #251882=ORIENTED_EDGE('',*,*,#188721,.F.); #251883=ORIENTED_EDGE('',*,*,#188722,.T.); #251884=ORIENTED_EDGE('',*,*,#188721,.T.); #251885=ORIENTED_EDGE('',*,*,#188723,.F.); #251886=ORIENTED_EDGE('',*,*,#188724,.F.); #251887=ORIENTED_EDGE('',*,*,#188725,.T.); #251888=ORIENTED_EDGE('',*,*,#188724,.T.); #251889=ORIENTED_EDGE('',*,*,#188726,.F.); #251890=ORIENTED_EDGE('',*,*,#188727,.F.); #251891=ORIENTED_EDGE('',*,*,#188728,.T.); #251892=ORIENTED_EDGE('',*,*,#188727,.T.); #251893=ORIENTED_EDGE('',*,*,#188729,.F.); #251894=ORIENTED_EDGE('',*,*,#188730,.F.); #251895=ORIENTED_EDGE('',*,*,#188731,.T.); #251896=ORIENTED_EDGE('',*,*,#188730,.T.); #251897=ORIENTED_EDGE('',*,*,#188732,.F.); #251898=ORIENTED_EDGE('',*,*,#188733,.F.); #251899=ORIENTED_EDGE('',*,*,#188734,.T.); #251900=ORIENTED_EDGE('',*,*,#188733,.T.); #251901=ORIENTED_EDGE('',*,*,#188735,.F.); #251902=ORIENTED_EDGE('',*,*,#188736,.F.); #251903=ORIENTED_EDGE('',*,*,#188737,.T.); #251904=ORIENTED_EDGE('',*,*,#188736,.T.); #251905=ORIENTED_EDGE('',*,*,#188738,.F.); #251906=ORIENTED_EDGE('',*,*,#188739,.F.); #251907=ORIENTED_EDGE('',*,*,#188740,.T.); #251908=ORIENTED_EDGE('',*,*,#188739,.T.); #251909=ORIENTED_EDGE('',*,*,#188741,.F.); #251910=ORIENTED_EDGE('',*,*,#188742,.F.); #251911=ORIENTED_EDGE('',*,*,#188743,.T.); #251912=ORIENTED_EDGE('',*,*,#188742,.T.); #251913=ORIENTED_EDGE('',*,*,#188744,.F.); #251914=ORIENTED_EDGE('',*,*,#188745,.F.); #251915=ORIENTED_EDGE('',*,*,#188746,.T.); #251916=ORIENTED_EDGE('',*,*,#188745,.T.); #251917=ORIENTED_EDGE('',*,*,#188747,.F.); #251918=ORIENTED_EDGE('',*,*,#188748,.F.); #251919=ORIENTED_EDGE('',*,*,#188749,.T.); #251920=ORIENTED_EDGE('',*,*,#188748,.T.); #251921=ORIENTED_EDGE('',*,*,#188750,.F.); #251922=ORIENTED_EDGE('',*,*,#188751,.F.); #251923=ORIENTED_EDGE('',*,*,#188752,.T.); #251924=ORIENTED_EDGE('',*,*,#188751,.T.); #251925=ORIENTED_EDGE('',*,*,#188753,.F.); #251926=ORIENTED_EDGE('',*,*,#188754,.F.); #251927=ORIENTED_EDGE('',*,*,#188755,.T.); #251928=ORIENTED_EDGE('',*,*,#188754,.T.); #251929=ORIENTED_EDGE('',*,*,#188756,.F.); #251930=ORIENTED_EDGE('',*,*,#188757,.F.); #251931=ORIENTED_EDGE('',*,*,#188758,.T.); #251932=ORIENTED_EDGE('',*,*,#188757,.T.); #251933=ORIENTED_EDGE('',*,*,#188759,.F.); #251934=ORIENTED_EDGE('',*,*,#188760,.F.); #251935=ORIENTED_EDGE('',*,*,#188761,.T.); #251936=ORIENTED_EDGE('',*,*,#188760,.T.); #251937=ORIENTED_EDGE('',*,*,#188762,.F.); #251938=ORIENTED_EDGE('',*,*,#188763,.F.); #251939=ORIENTED_EDGE('',*,*,#188764,.T.); #251940=ORIENTED_EDGE('',*,*,#188763,.T.); #251941=ORIENTED_EDGE('',*,*,#188765,.F.); #251942=ORIENTED_EDGE('',*,*,#188766,.F.); #251943=ORIENTED_EDGE('',*,*,#188767,.T.); #251944=ORIENTED_EDGE('',*,*,#188766,.T.); #251945=ORIENTED_EDGE('',*,*,#188768,.F.); #251946=ORIENTED_EDGE('',*,*,#188769,.F.); #251947=ORIENTED_EDGE('',*,*,#188770,.T.); #251948=ORIENTED_EDGE('',*,*,#188769,.T.); #251949=ORIENTED_EDGE('',*,*,#188771,.F.); #251950=ORIENTED_EDGE('',*,*,#188772,.F.); #251951=ORIENTED_EDGE('',*,*,#188773,.T.); #251952=ORIENTED_EDGE('',*,*,#188772,.T.); #251953=ORIENTED_EDGE('',*,*,#188774,.F.); #251954=ORIENTED_EDGE('',*,*,#188608,.F.); #251955=ORIENTED_EDGE('',*,*,#188774,.T.); #251956=ORIENTED_EDGE('',*,*,#188771,.T.); #251957=ORIENTED_EDGE('',*,*,#188768,.T.); #251958=ORIENTED_EDGE('',*,*,#188765,.T.); #251959=ORIENTED_EDGE('',*,*,#188762,.T.); #251960=ORIENTED_EDGE('',*,*,#188759,.T.); #251961=ORIENTED_EDGE('',*,*,#188756,.T.); #251962=ORIENTED_EDGE('',*,*,#188753,.T.); #251963=ORIENTED_EDGE('',*,*,#188750,.T.); #251964=ORIENTED_EDGE('',*,*,#188747,.T.); #251965=ORIENTED_EDGE('',*,*,#188744,.T.); #251966=ORIENTED_EDGE('',*,*,#188741,.T.); #251967=ORIENTED_EDGE('',*,*,#188738,.T.); #251968=ORIENTED_EDGE('',*,*,#188735,.T.); #251969=ORIENTED_EDGE('',*,*,#188732,.T.); #251970=ORIENTED_EDGE('',*,*,#188729,.T.); #251971=ORIENTED_EDGE('',*,*,#188726,.T.); #251972=ORIENTED_EDGE('',*,*,#188723,.T.); #251973=ORIENTED_EDGE('',*,*,#188720,.T.); #251974=ORIENTED_EDGE('',*,*,#188717,.T.); #251975=ORIENTED_EDGE('',*,*,#188714,.T.); #251976=ORIENTED_EDGE('',*,*,#188711,.T.); #251977=ORIENTED_EDGE('',*,*,#188708,.T.); #251978=ORIENTED_EDGE('',*,*,#188705,.T.); #251979=ORIENTED_EDGE('',*,*,#188702,.T.); #251980=ORIENTED_EDGE('',*,*,#188699,.T.); #251981=ORIENTED_EDGE('',*,*,#188696,.T.); #251982=ORIENTED_EDGE('',*,*,#188693,.T.); #251983=ORIENTED_EDGE('',*,*,#188690,.T.); #251984=ORIENTED_EDGE('',*,*,#188687,.T.); #251985=ORIENTED_EDGE('',*,*,#188684,.T.); #251986=ORIENTED_EDGE('',*,*,#188681,.T.); #251987=ORIENTED_EDGE('',*,*,#188678,.T.); #251988=ORIENTED_EDGE('',*,*,#188675,.T.); #251989=ORIENTED_EDGE('',*,*,#188672,.T.); #251990=ORIENTED_EDGE('',*,*,#188669,.T.); #251991=ORIENTED_EDGE('',*,*,#188666,.T.); #251992=ORIENTED_EDGE('',*,*,#188663,.T.); #251993=ORIENTED_EDGE('',*,*,#188660,.T.); #251994=ORIENTED_EDGE('',*,*,#188657,.T.); #251995=ORIENTED_EDGE('',*,*,#188654,.T.); #251996=ORIENTED_EDGE('',*,*,#188651,.T.); #251997=ORIENTED_EDGE('',*,*,#188648,.T.); #251998=ORIENTED_EDGE('',*,*,#188645,.T.); #251999=ORIENTED_EDGE('',*,*,#188642,.T.); #252000=ORIENTED_EDGE('',*,*,#188639,.T.); #252001=ORIENTED_EDGE('',*,*,#188636,.T.); #252002=ORIENTED_EDGE('',*,*,#188633,.T.); #252003=ORIENTED_EDGE('',*,*,#188630,.T.); #252004=ORIENTED_EDGE('',*,*,#188627,.T.); #252005=ORIENTED_EDGE('',*,*,#188624,.T.); #252006=ORIENTED_EDGE('',*,*,#188621,.T.); #252007=ORIENTED_EDGE('',*,*,#188618,.T.); #252008=ORIENTED_EDGE('',*,*,#188615,.T.); #252009=ORIENTED_EDGE('',*,*,#188612,.T.); #252010=ORIENTED_EDGE('',*,*,#188609,.T.); #252011=ORIENTED_EDGE('',*,*,#188602,.T.); #252012=ORIENTED_EDGE('',*,*,#188605,.T.); #252013=ORIENTED_EDGE('',*,*,#188595,.T.); #252014=ORIENTED_EDGE('',*,*,#188599,.T.); #252015=ORIENTED_EDGE('',*,*,#188773,.F.); #252016=ORIENTED_EDGE('',*,*,#188607,.F.); #252017=ORIENTED_EDGE('',*,*,#188611,.F.); #252018=ORIENTED_EDGE('',*,*,#188614,.F.); #252019=ORIENTED_EDGE('',*,*,#188617,.F.); #252020=ORIENTED_EDGE('',*,*,#188620,.F.); #252021=ORIENTED_EDGE('',*,*,#188623,.F.); #252022=ORIENTED_EDGE('',*,*,#188626,.F.); #252023=ORIENTED_EDGE('',*,*,#188629,.F.); #252024=ORIENTED_EDGE('',*,*,#188632,.F.); #252025=ORIENTED_EDGE('',*,*,#188635,.F.); #252026=ORIENTED_EDGE('',*,*,#188638,.F.); #252027=ORIENTED_EDGE('',*,*,#188641,.F.); #252028=ORIENTED_EDGE('',*,*,#188644,.F.); #252029=ORIENTED_EDGE('',*,*,#188647,.F.); #252030=ORIENTED_EDGE('',*,*,#188650,.F.); #252031=ORIENTED_EDGE('',*,*,#188653,.F.); #252032=ORIENTED_EDGE('',*,*,#188656,.F.); #252033=ORIENTED_EDGE('',*,*,#188659,.F.); #252034=ORIENTED_EDGE('',*,*,#188662,.F.); #252035=ORIENTED_EDGE('',*,*,#188665,.F.); #252036=ORIENTED_EDGE('',*,*,#188668,.F.); #252037=ORIENTED_EDGE('',*,*,#188671,.F.); #252038=ORIENTED_EDGE('',*,*,#188674,.F.); #252039=ORIENTED_EDGE('',*,*,#188677,.F.); #252040=ORIENTED_EDGE('',*,*,#188680,.F.); #252041=ORIENTED_EDGE('',*,*,#188683,.F.); #252042=ORIENTED_EDGE('',*,*,#188686,.F.); #252043=ORIENTED_EDGE('',*,*,#188689,.F.); #252044=ORIENTED_EDGE('',*,*,#188692,.F.); #252045=ORIENTED_EDGE('',*,*,#188695,.F.); #252046=ORIENTED_EDGE('',*,*,#188698,.F.); #252047=ORIENTED_EDGE('',*,*,#188701,.F.); #252048=ORIENTED_EDGE('',*,*,#188704,.F.); #252049=ORIENTED_EDGE('',*,*,#188707,.F.); #252050=ORIENTED_EDGE('',*,*,#188710,.F.); #252051=ORIENTED_EDGE('',*,*,#188713,.F.); #252052=ORIENTED_EDGE('',*,*,#188716,.F.); #252053=ORIENTED_EDGE('',*,*,#188719,.F.); #252054=ORIENTED_EDGE('',*,*,#188722,.F.); #252055=ORIENTED_EDGE('',*,*,#188725,.F.); #252056=ORIENTED_EDGE('',*,*,#188728,.F.); #252057=ORIENTED_EDGE('',*,*,#188731,.F.); #252058=ORIENTED_EDGE('',*,*,#188734,.F.); #252059=ORIENTED_EDGE('',*,*,#188737,.F.); #252060=ORIENTED_EDGE('',*,*,#188740,.F.); #252061=ORIENTED_EDGE('',*,*,#188743,.F.); #252062=ORIENTED_EDGE('',*,*,#188746,.F.); #252063=ORIENTED_EDGE('',*,*,#188749,.F.); #252064=ORIENTED_EDGE('',*,*,#188752,.F.); #252065=ORIENTED_EDGE('',*,*,#188755,.F.); #252066=ORIENTED_EDGE('',*,*,#188758,.F.); #252067=ORIENTED_EDGE('',*,*,#188761,.F.); #252068=ORIENTED_EDGE('',*,*,#188764,.F.); #252069=ORIENTED_EDGE('',*,*,#188767,.F.); #252070=ORIENTED_EDGE('',*,*,#188770,.F.); #252071=ORIENTED_EDGE('',*,*,#188603,.T.); #252072=ORIENTED_EDGE('',*,*,#188600,.T.); #252073=ORIENTED_EDGE('',*,*,#188597,.T.); #252074=ORIENTED_EDGE('',*,*,#188606,.T.); #252075=ORIENTED_EDGE('',*,*,#188775,.F.); #252076=ORIENTED_EDGE('',*,*,#188776,.F.); #252077=ORIENTED_EDGE('',*,*,#188777,.F.); #252078=ORIENTED_EDGE('',*,*,#188778,.T.); #252079=ORIENTED_EDGE('',*,*,#188779,.F.); #252080=ORIENTED_EDGE('',*,*,#188778,.F.); #252081=ORIENTED_EDGE('',*,*,#188780,.F.); #252082=ORIENTED_EDGE('',*,*,#188781,.T.); #252083=ORIENTED_EDGE('',*,*,#188782,.F.); #252084=ORIENTED_EDGE('',*,*,#188781,.F.); #252085=ORIENTED_EDGE('',*,*,#188783,.F.); #252086=ORIENTED_EDGE('',*,*,#188784,.T.); #252087=ORIENTED_EDGE('',*,*,#188785,.F.); #252088=ORIENTED_EDGE('',*,*,#188784,.F.); #252089=ORIENTED_EDGE('',*,*,#188786,.F.); #252090=ORIENTED_EDGE('',*,*,#188776,.T.); #252091=ORIENTED_EDGE('',*,*,#188787,.T.); #252092=ORIENTED_EDGE('',*,*,#188788,.T.); #252093=ORIENTED_EDGE('',*,*,#188789,.F.); #252094=ORIENTED_EDGE('',*,*,#188790,.F.); #252095=ORIENTED_EDGE('',*,*,#188791,.T.); #252096=ORIENTED_EDGE('',*,*,#188790,.T.); #252097=ORIENTED_EDGE('',*,*,#188792,.F.); #252098=ORIENTED_EDGE('',*,*,#188793,.F.); #252099=ORIENTED_EDGE('',*,*,#188794,.T.); #252100=ORIENTED_EDGE('',*,*,#188793,.T.); #252101=ORIENTED_EDGE('',*,*,#188795,.F.); #252102=ORIENTED_EDGE('',*,*,#188796,.F.); #252103=ORIENTED_EDGE('',*,*,#188797,.T.); #252104=ORIENTED_EDGE('',*,*,#188796,.T.); #252105=ORIENTED_EDGE('',*,*,#188798,.F.); #252106=ORIENTED_EDGE('',*,*,#188799,.F.); #252107=ORIENTED_EDGE('',*,*,#188800,.T.); #252108=ORIENTED_EDGE('',*,*,#188799,.T.); #252109=ORIENTED_EDGE('',*,*,#188801,.F.); #252110=ORIENTED_EDGE('',*,*,#188802,.F.); #252111=ORIENTED_EDGE('',*,*,#188803,.T.); #252112=ORIENTED_EDGE('',*,*,#188802,.T.); #252113=ORIENTED_EDGE('',*,*,#188804,.F.); #252114=ORIENTED_EDGE('',*,*,#188805,.F.); #252115=ORIENTED_EDGE('',*,*,#188806,.T.); #252116=ORIENTED_EDGE('',*,*,#188805,.T.); #252117=ORIENTED_EDGE('',*,*,#188807,.F.); #252118=ORIENTED_EDGE('',*,*,#188808,.F.); #252119=ORIENTED_EDGE('',*,*,#188809,.T.); #252120=ORIENTED_EDGE('',*,*,#188808,.T.); #252121=ORIENTED_EDGE('',*,*,#188810,.F.); #252122=ORIENTED_EDGE('',*,*,#188811,.F.); #252123=ORIENTED_EDGE('',*,*,#188812,.T.); #252124=ORIENTED_EDGE('',*,*,#188811,.T.); #252125=ORIENTED_EDGE('',*,*,#188813,.F.); #252126=ORIENTED_EDGE('',*,*,#188814,.F.); #252127=ORIENTED_EDGE('',*,*,#188815,.T.); #252128=ORIENTED_EDGE('',*,*,#188814,.T.); #252129=ORIENTED_EDGE('',*,*,#188816,.F.); #252130=ORIENTED_EDGE('',*,*,#188817,.F.); #252131=ORIENTED_EDGE('',*,*,#188818,.T.); #252132=ORIENTED_EDGE('',*,*,#188817,.T.); #252133=ORIENTED_EDGE('',*,*,#188819,.F.); #252134=ORIENTED_EDGE('',*,*,#188820,.F.); #252135=ORIENTED_EDGE('',*,*,#188821,.T.); #252136=ORIENTED_EDGE('',*,*,#188820,.T.); #252137=ORIENTED_EDGE('',*,*,#188822,.F.); #252138=ORIENTED_EDGE('',*,*,#188823,.F.); #252139=ORIENTED_EDGE('',*,*,#188824,.T.); #252140=ORIENTED_EDGE('',*,*,#188823,.T.); #252141=ORIENTED_EDGE('',*,*,#188825,.F.); #252142=ORIENTED_EDGE('',*,*,#188826,.F.); #252143=ORIENTED_EDGE('',*,*,#188827,.T.); #252144=ORIENTED_EDGE('',*,*,#188826,.T.); #252145=ORIENTED_EDGE('',*,*,#188828,.F.); #252146=ORIENTED_EDGE('',*,*,#188829,.F.); #252147=ORIENTED_EDGE('',*,*,#188830,.T.); #252148=ORIENTED_EDGE('',*,*,#188829,.T.); #252149=ORIENTED_EDGE('',*,*,#188831,.F.); #252150=ORIENTED_EDGE('',*,*,#188832,.F.); #252151=ORIENTED_EDGE('',*,*,#188833,.T.); #252152=ORIENTED_EDGE('',*,*,#188832,.T.); #252153=ORIENTED_EDGE('',*,*,#188834,.F.); #252154=ORIENTED_EDGE('',*,*,#188835,.F.); #252155=ORIENTED_EDGE('',*,*,#188836,.T.); #252156=ORIENTED_EDGE('',*,*,#188835,.T.); #252157=ORIENTED_EDGE('',*,*,#188837,.F.); #252158=ORIENTED_EDGE('',*,*,#188838,.F.); #252159=ORIENTED_EDGE('',*,*,#188839,.T.); #252160=ORIENTED_EDGE('',*,*,#188838,.T.); #252161=ORIENTED_EDGE('',*,*,#188840,.F.); #252162=ORIENTED_EDGE('',*,*,#188841,.F.); #252163=ORIENTED_EDGE('',*,*,#188842,.T.); #252164=ORIENTED_EDGE('',*,*,#188841,.T.); #252165=ORIENTED_EDGE('',*,*,#188843,.F.); #252166=ORIENTED_EDGE('',*,*,#188844,.F.); #252167=ORIENTED_EDGE('',*,*,#188845,.T.); #252168=ORIENTED_EDGE('',*,*,#188844,.T.); #252169=ORIENTED_EDGE('',*,*,#188846,.F.); #252170=ORIENTED_EDGE('',*,*,#188847,.F.); #252171=ORIENTED_EDGE('',*,*,#188848,.T.); #252172=ORIENTED_EDGE('',*,*,#188847,.T.); #252173=ORIENTED_EDGE('',*,*,#188849,.F.); #252174=ORIENTED_EDGE('',*,*,#188850,.F.); #252175=ORIENTED_EDGE('',*,*,#188851,.T.); #252176=ORIENTED_EDGE('',*,*,#188850,.T.); #252177=ORIENTED_EDGE('',*,*,#188852,.F.); #252178=ORIENTED_EDGE('',*,*,#188853,.F.); #252179=ORIENTED_EDGE('',*,*,#188854,.T.); #252180=ORIENTED_EDGE('',*,*,#188853,.T.); #252181=ORIENTED_EDGE('',*,*,#188855,.F.); #252182=ORIENTED_EDGE('',*,*,#188856,.F.); #252183=ORIENTED_EDGE('',*,*,#188857,.T.); #252184=ORIENTED_EDGE('',*,*,#188856,.T.); #252185=ORIENTED_EDGE('',*,*,#188858,.F.); #252186=ORIENTED_EDGE('',*,*,#188859,.F.); #252187=ORIENTED_EDGE('',*,*,#188860,.T.); #252188=ORIENTED_EDGE('',*,*,#188859,.T.); #252189=ORIENTED_EDGE('',*,*,#188861,.F.); #252190=ORIENTED_EDGE('',*,*,#188862,.F.); #252191=ORIENTED_EDGE('',*,*,#188863,.T.); #252192=ORIENTED_EDGE('',*,*,#188862,.T.); #252193=ORIENTED_EDGE('',*,*,#188864,.F.); #252194=ORIENTED_EDGE('',*,*,#188865,.F.); #252195=ORIENTED_EDGE('',*,*,#188866,.T.); #252196=ORIENTED_EDGE('',*,*,#188865,.T.); #252197=ORIENTED_EDGE('',*,*,#188867,.F.); #252198=ORIENTED_EDGE('',*,*,#188868,.F.); #252199=ORIENTED_EDGE('',*,*,#188869,.T.); #252200=ORIENTED_EDGE('',*,*,#188868,.T.); #252201=ORIENTED_EDGE('',*,*,#188870,.F.); #252202=ORIENTED_EDGE('',*,*,#188871,.F.); #252203=ORIENTED_EDGE('',*,*,#188872,.T.); #252204=ORIENTED_EDGE('',*,*,#188871,.T.); #252205=ORIENTED_EDGE('',*,*,#188873,.F.); #252206=ORIENTED_EDGE('',*,*,#188874,.F.); #252207=ORIENTED_EDGE('',*,*,#188875,.T.); #252208=ORIENTED_EDGE('',*,*,#188874,.T.); #252209=ORIENTED_EDGE('',*,*,#188876,.F.); #252210=ORIENTED_EDGE('',*,*,#188877,.F.); #252211=ORIENTED_EDGE('',*,*,#188878,.T.); #252212=ORIENTED_EDGE('',*,*,#188877,.T.); #252213=ORIENTED_EDGE('',*,*,#188879,.F.); #252214=ORIENTED_EDGE('',*,*,#188880,.F.); #252215=ORIENTED_EDGE('',*,*,#188881,.T.); #252216=ORIENTED_EDGE('',*,*,#188880,.T.); #252217=ORIENTED_EDGE('',*,*,#188882,.F.); #252218=ORIENTED_EDGE('',*,*,#188883,.F.); #252219=ORIENTED_EDGE('',*,*,#188884,.T.); #252220=ORIENTED_EDGE('',*,*,#188883,.T.); #252221=ORIENTED_EDGE('',*,*,#188885,.F.); #252222=ORIENTED_EDGE('',*,*,#188886,.F.); #252223=ORIENTED_EDGE('',*,*,#188887,.T.); #252224=ORIENTED_EDGE('',*,*,#188886,.T.); #252225=ORIENTED_EDGE('',*,*,#188888,.F.); #252226=ORIENTED_EDGE('',*,*,#188889,.F.); #252227=ORIENTED_EDGE('',*,*,#188890,.T.); #252228=ORIENTED_EDGE('',*,*,#188889,.T.); #252229=ORIENTED_EDGE('',*,*,#188891,.F.); #252230=ORIENTED_EDGE('',*,*,#188892,.F.); #252231=ORIENTED_EDGE('',*,*,#188893,.T.); #252232=ORIENTED_EDGE('',*,*,#188892,.T.); #252233=ORIENTED_EDGE('',*,*,#188894,.F.); #252234=ORIENTED_EDGE('',*,*,#188895,.F.); #252235=ORIENTED_EDGE('',*,*,#188896,.T.); #252236=ORIENTED_EDGE('',*,*,#188895,.T.); #252237=ORIENTED_EDGE('',*,*,#188897,.F.); #252238=ORIENTED_EDGE('',*,*,#188898,.F.); #252239=ORIENTED_EDGE('',*,*,#188899,.T.); #252240=ORIENTED_EDGE('',*,*,#188898,.T.); #252241=ORIENTED_EDGE('',*,*,#188900,.F.); #252242=ORIENTED_EDGE('',*,*,#188901,.F.); #252243=ORIENTED_EDGE('',*,*,#188902,.T.); #252244=ORIENTED_EDGE('',*,*,#188901,.T.); #252245=ORIENTED_EDGE('',*,*,#188903,.F.); #252246=ORIENTED_EDGE('',*,*,#188904,.F.); #252247=ORIENTED_EDGE('',*,*,#188905,.T.); #252248=ORIENTED_EDGE('',*,*,#188904,.T.); #252249=ORIENTED_EDGE('',*,*,#188906,.F.); #252250=ORIENTED_EDGE('',*,*,#188907,.F.); #252251=ORIENTED_EDGE('',*,*,#188908,.T.); #252252=ORIENTED_EDGE('',*,*,#188907,.T.); #252253=ORIENTED_EDGE('',*,*,#188909,.F.); #252254=ORIENTED_EDGE('',*,*,#188910,.F.); #252255=ORIENTED_EDGE('',*,*,#188911,.T.); #252256=ORIENTED_EDGE('',*,*,#188910,.T.); #252257=ORIENTED_EDGE('',*,*,#188912,.F.); #252258=ORIENTED_EDGE('',*,*,#188913,.F.); #252259=ORIENTED_EDGE('',*,*,#188914,.T.); #252260=ORIENTED_EDGE('',*,*,#188913,.T.); #252261=ORIENTED_EDGE('',*,*,#188915,.F.); #252262=ORIENTED_EDGE('',*,*,#188916,.F.); #252263=ORIENTED_EDGE('',*,*,#188917,.T.); #252264=ORIENTED_EDGE('',*,*,#188916,.T.); #252265=ORIENTED_EDGE('',*,*,#188918,.F.); #252266=ORIENTED_EDGE('',*,*,#188919,.F.); #252267=ORIENTED_EDGE('',*,*,#188920,.T.); #252268=ORIENTED_EDGE('',*,*,#188919,.T.); #252269=ORIENTED_EDGE('',*,*,#188921,.F.); #252270=ORIENTED_EDGE('',*,*,#188922,.F.); #252271=ORIENTED_EDGE('',*,*,#188923,.T.); #252272=ORIENTED_EDGE('',*,*,#188922,.T.); #252273=ORIENTED_EDGE('',*,*,#188924,.F.); #252274=ORIENTED_EDGE('',*,*,#188925,.F.); #252275=ORIENTED_EDGE('',*,*,#188926,.T.); #252276=ORIENTED_EDGE('',*,*,#188925,.T.); #252277=ORIENTED_EDGE('',*,*,#188927,.F.); #252278=ORIENTED_EDGE('',*,*,#188928,.F.); #252279=ORIENTED_EDGE('',*,*,#188929,.T.); #252280=ORIENTED_EDGE('',*,*,#188928,.T.); #252281=ORIENTED_EDGE('',*,*,#188930,.F.); #252282=ORIENTED_EDGE('',*,*,#188931,.F.); #252283=ORIENTED_EDGE('',*,*,#188932,.T.); #252284=ORIENTED_EDGE('',*,*,#188931,.T.); #252285=ORIENTED_EDGE('',*,*,#188933,.F.); #252286=ORIENTED_EDGE('',*,*,#188934,.F.); #252287=ORIENTED_EDGE('',*,*,#188935,.T.); #252288=ORIENTED_EDGE('',*,*,#188934,.T.); #252289=ORIENTED_EDGE('',*,*,#188936,.F.); #252290=ORIENTED_EDGE('',*,*,#188937,.F.); #252291=ORIENTED_EDGE('',*,*,#188938,.T.); #252292=ORIENTED_EDGE('',*,*,#188937,.T.); #252293=ORIENTED_EDGE('',*,*,#188939,.F.); #252294=ORIENTED_EDGE('',*,*,#188940,.F.); #252295=ORIENTED_EDGE('',*,*,#188941,.T.); #252296=ORIENTED_EDGE('',*,*,#188940,.T.); #252297=ORIENTED_EDGE('',*,*,#188942,.F.); #252298=ORIENTED_EDGE('',*,*,#188943,.F.); #252299=ORIENTED_EDGE('',*,*,#188944,.T.); #252300=ORIENTED_EDGE('',*,*,#188943,.T.); #252301=ORIENTED_EDGE('',*,*,#188945,.F.); #252302=ORIENTED_EDGE('',*,*,#188946,.F.); #252303=ORIENTED_EDGE('',*,*,#188947,.T.); #252304=ORIENTED_EDGE('',*,*,#188946,.T.); #252305=ORIENTED_EDGE('',*,*,#188948,.F.); #252306=ORIENTED_EDGE('',*,*,#188949,.F.); #252307=ORIENTED_EDGE('',*,*,#188950,.T.); #252308=ORIENTED_EDGE('',*,*,#188949,.T.); #252309=ORIENTED_EDGE('',*,*,#188951,.F.); #252310=ORIENTED_EDGE('',*,*,#188952,.F.); #252311=ORIENTED_EDGE('',*,*,#188953,.T.); #252312=ORIENTED_EDGE('',*,*,#188952,.T.); #252313=ORIENTED_EDGE('',*,*,#188954,.F.); #252314=ORIENTED_EDGE('',*,*,#188955,.F.); #252315=ORIENTED_EDGE('',*,*,#188956,.T.); #252316=ORIENTED_EDGE('',*,*,#188955,.T.); #252317=ORIENTED_EDGE('',*,*,#188957,.F.); #252318=ORIENTED_EDGE('',*,*,#188958,.F.); #252319=ORIENTED_EDGE('',*,*,#188959,.T.); #252320=ORIENTED_EDGE('',*,*,#188958,.T.); #252321=ORIENTED_EDGE('',*,*,#188960,.F.); #252322=ORIENTED_EDGE('',*,*,#188961,.F.); #252323=ORIENTED_EDGE('',*,*,#188962,.T.); #252324=ORIENTED_EDGE('',*,*,#188961,.T.); #252325=ORIENTED_EDGE('',*,*,#188963,.F.); #252326=ORIENTED_EDGE('',*,*,#188964,.F.); #252327=ORIENTED_EDGE('',*,*,#188965,.T.); #252328=ORIENTED_EDGE('',*,*,#188964,.T.); #252329=ORIENTED_EDGE('',*,*,#188966,.F.); #252330=ORIENTED_EDGE('',*,*,#188967,.F.); #252331=ORIENTED_EDGE('',*,*,#188968,.T.); #252332=ORIENTED_EDGE('',*,*,#188967,.T.); #252333=ORIENTED_EDGE('',*,*,#188969,.F.); #252334=ORIENTED_EDGE('',*,*,#188970,.F.); #252335=ORIENTED_EDGE('',*,*,#188971,.T.); #252336=ORIENTED_EDGE('',*,*,#188970,.T.); #252337=ORIENTED_EDGE('',*,*,#188972,.F.); #252338=ORIENTED_EDGE('',*,*,#188973,.F.); #252339=ORIENTED_EDGE('',*,*,#188974,.T.); #252340=ORIENTED_EDGE('',*,*,#188973,.T.); #252341=ORIENTED_EDGE('',*,*,#188975,.F.); #252342=ORIENTED_EDGE('',*,*,#188976,.F.); #252343=ORIENTED_EDGE('',*,*,#188977,.T.); #252344=ORIENTED_EDGE('',*,*,#188976,.T.); #252345=ORIENTED_EDGE('',*,*,#188978,.F.); #252346=ORIENTED_EDGE('',*,*,#188979,.F.); #252347=ORIENTED_EDGE('',*,*,#188980,.T.); #252348=ORIENTED_EDGE('',*,*,#188979,.T.); #252349=ORIENTED_EDGE('',*,*,#188981,.F.); #252350=ORIENTED_EDGE('',*,*,#188982,.F.); #252351=ORIENTED_EDGE('',*,*,#188983,.T.); #252352=ORIENTED_EDGE('',*,*,#188982,.T.); #252353=ORIENTED_EDGE('',*,*,#188984,.F.); #252354=ORIENTED_EDGE('',*,*,#188985,.F.); #252355=ORIENTED_EDGE('',*,*,#188986,.T.); #252356=ORIENTED_EDGE('',*,*,#188985,.T.); #252357=ORIENTED_EDGE('',*,*,#188987,.F.); #252358=ORIENTED_EDGE('',*,*,#188988,.F.); #252359=ORIENTED_EDGE('',*,*,#188989,.T.); #252360=ORIENTED_EDGE('',*,*,#188988,.T.); #252361=ORIENTED_EDGE('',*,*,#188990,.F.); #252362=ORIENTED_EDGE('',*,*,#188991,.F.); #252363=ORIENTED_EDGE('',*,*,#188992,.T.); #252364=ORIENTED_EDGE('',*,*,#188991,.T.); #252365=ORIENTED_EDGE('',*,*,#188993,.F.); #252366=ORIENTED_EDGE('',*,*,#188994,.F.); #252367=ORIENTED_EDGE('',*,*,#188995,.T.); #252368=ORIENTED_EDGE('',*,*,#188994,.T.); #252369=ORIENTED_EDGE('',*,*,#188996,.F.); #252370=ORIENTED_EDGE('',*,*,#188997,.F.); #252371=ORIENTED_EDGE('',*,*,#188998,.T.); #252372=ORIENTED_EDGE('',*,*,#188997,.T.); #252373=ORIENTED_EDGE('',*,*,#188999,.F.); #252374=ORIENTED_EDGE('',*,*,#189000,.F.); #252375=ORIENTED_EDGE('',*,*,#189001,.T.); #252376=ORIENTED_EDGE('',*,*,#189000,.T.); #252377=ORIENTED_EDGE('',*,*,#189002,.F.); #252378=ORIENTED_EDGE('',*,*,#188788,.F.); #252379=ORIENTED_EDGE('',*,*,#189002,.T.); #252380=ORIENTED_EDGE('',*,*,#188999,.T.); #252381=ORIENTED_EDGE('',*,*,#188996,.T.); #252382=ORIENTED_EDGE('',*,*,#188993,.T.); #252383=ORIENTED_EDGE('',*,*,#188990,.T.); #252384=ORIENTED_EDGE('',*,*,#188987,.T.); #252385=ORIENTED_EDGE('',*,*,#188984,.T.); #252386=ORIENTED_EDGE('',*,*,#188981,.T.); #252387=ORIENTED_EDGE('',*,*,#188978,.T.); #252388=ORIENTED_EDGE('',*,*,#188975,.T.); #252389=ORIENTED_EDGE('',*,*,#188972,.T.); #252390=ORIENTED_EDGE('',*,*,#188969,.T.); #252391=ORIENTED_EDGE('',*,*,#188966,.T.); #252392=ORIENTED_EDGE('',*,*,#188963,.T.); #252393=ORIENTED_EDGE('',*,*,#188960,.T.); #252394=ORIENTED_EDGE('',*,*,#188957,.T.); #252395=ORIENTED_EDGE('',*,*,#188954,.T.); #252396=ORIENTED_EDGE('',*,*,#188951,.T.); #252397=ORIENTED_EDGE('',*,*,#188948,.T.); #252398=ORIENTED_EDGE('',*,*,#188945,.T.); #252399=ORIENTED_EDGE('',*,*,#188942,.T.); #252400=ORIENTED_EDGE('',*,*,#188939,.T.); #252401=ORIENTED_EDGE('',*,*,#188936,.T.); #252402=ORIENTED_EDGE('',*,*,#188933,.T.); #252403=ORIENTED_EDGE('',*,*,#188930,.T.); #252404=ORIENTED_EDGE('',*,*,#188927,.T.); #252405=ORIENTED_EDGE('',*,*,#188924,.T.); #252406=ORIENTED_EDGE('',*,*,#188921,.T.); #252407=ORIENTED_EDGE('',*,*,#188918,.T.); #252408=ORIENTED_EDGE('',*,*,#188915,.T.); #252409=ORIENTED_EDGE('',*,*,#188912,.T.); #252410=ORIENTED_EDGE('',*,*,#188909,.T.); #252411=ORIENTED_EDGE('',*,*,#188906,.T.); #252412=ORIENTED_EDGE('',*,*,#188903,.T.); #252413=ORIENTED_EDGE('',*,*,#188900,.T.); #252414=ORIENTED_EDGE('',*,*,#188897,.T.); #252415=ORIENTED_EDGE('',*,*,#188894,.T.); #252416=ORIENTED_EDGE('',*,*,#188891,.T.); #252417=ORIENTED_EDGE('',*,*,#188888,.T.); #252418=ORIENTED_EDGE('',*,*,#188885,.T.); #252419=ORIENTED_EDGE('',*,*,#188882,.T.); #252420=ORIENTED_EDGE('',*,*,#188879,.T.); #252421=ORIENTED_EDGE('',*,*,#188876,.T.); #252422=ORIENTED_EDGE('',*,*,#188873,.T.); #252423=ORIENTED_EDGE('',*,*,#188870,.T.); #252424=ORIENTED_EDGE('',*,*,#188867,.T.); #252425=ORIENTED_EDGE('',*,*,#188864,.T.); #252426=ORIENTED_EDGE('',*,*,#188861,.T.); #252427=ORIENTED_EDGE('',*,*,#188858,.T.); #252428=ORIENTED_EDGE('',*,*,#188855,.T.); #252429=ORIENTED_EDGE('',*,*,#188852,.T.); #252430=ORIENTED_EDGE('',*,*,#188849,.T.); #252431=ORIENTED_EDGE('',*,*,#188846,.T.); #252432=ORIENTED_EDGE('',*,*,#188843,.T.); #252433=ORIENTED_EDGE('',*,*,#188840,.T.); #252434=ORIENTED_EDGE('',*,*,#188837,.T.); #252435=ORIENTED_EDGE('',*,*,#188834,.T.); #252436=ORIENTED_EDGE('',*,*,#188831,.T.); #252437=ORIENTED_EDGE('',*,*,#188828,.T.); #252438=ORIENTED_EDGE('',*,*,#188825,.T.); #252439=ORIENTED_EDGE('',*,*,#188822,.T.); #252440=ORIENTED_EDGE('',*,*,#188819,.T.); #252441=ORIENTED_EDGE('',*,*,#188816,.T.); #252442=ORIENTED_EDGE('',*,*,#188813,.T.); #252443=ORIENTED_EDGE('',*,*,#188810,.T.); #252444=ORIENTED_EDGE('',*,*,#188807,.T.); #252445=ORIENTED_EDGE('',*,*,#188804,.T.); #252446=ORIENTED_EDGE('',*,*,#188801,.T.); #252447=ORIENTED_EDGE('',*,*,#188798,.T.); #252448=ORIENTED_EDGE('',*,*,#188795,.T.); #252449=ORIENTED_EDGE('',*,*,#188792,.T.); #252450=ORIENTED_EDGE('',*,*,#188789,.T.); #252451=ORIENTED_EDGE('',*,*,#188782,.T.); #252452=ORIENTED_EDGE('',*,*,#188785,.T.); #252453=ORIENTED_EDGE('',*,*,#188775,.T.); #252454=ORIENTED_EDGE('',*,*,#188779,.T.); #252455=ORIENTED_EDGE('',*,*,#189001,.F.); #252456=ORIENTED_EDGE('',*,*,#188787,.F.); #252457=ORIENTED_EDGE('',*,*,#188791,.F.); #252458=ORIENTED_EDGE('',*,*,#188794,.F.); #252459=ORIENTED_EDGE('',*,*,#188797,.F.); #252460=ORIENTED_EDGE('',*,*,#188800,.F.); #252461=ORIENTED_EDGE('',*,*,#188803,.F.); #252462=ORIENTED_EDGE('',*,*,#188806,.F.); #252463=ORIENTED_EDGE('',*,*,#188809,.F.); #252464=ORIENTED_EDGE('',*,*,#188812,.F.); #252465=ORIENTED_EDGE('',*,*,#188815,.F.); #252466=ORIENTED_EDGE('',*,*,#188818,.F.); #252467=ORIENTED_EDGE('',*,*,#188821,.F.); #252468=ORIENTED_EDGE('',*,*,#188824,.F.); #252469=ORIENTED_EDGE('',*,*,#188827,.F.); #252470=ORIENTED_EDGE('',*,*,#188830,.F.); #252471=ORIENTED_EDGE('',*,*,#188833,.F.); #252472=ORIENTED_EDGE('',*,*,#188836,.F.); #252473=ORIENTED_EDGE('',*,*,#188839,.F.); #252474=ORIENTED_EDGE('',*,*,#188842,.F.); #252475=ORIENTED_EDGE('',*,*,#188845,.F.); #252476=ORIENTED_EDGE('',*,*,#188848,.F.); #252477=ORIENTED_EDGE('',*,*,#188851,.F.); #252478=ORIENTED_EDGE('',*,*,#188854,.F.); #252479=ORIENTED_EDGE('',*,*,#188857,.F.); #252480=ORIENTED_EDGE('',*,*,#188860,.F.); #252481=ORIENTED_EDGE('',*,*,#188863,.F.); #252482=ORIENTED_EDGE('',*,*,#188866,.F.); #252483=ORIENTED_EDGE('',*,*,#188869,.F.); #252484=ORIENTED_EDGE('',*,*,#188872,.F.); #252485=ORIENTED_EDGE('',*,*,#188875,.F.); #252486=ORIENTED_EDGE('',*,*,#188878,.F.); #252487=ORIENTED_EDGE('',*,*,#188881,.F.); #252488=ORIENTED_EDGE('',*,*,#188884,.F.); #252489=ORIENTED_EDGE('',*,*,#188887,.F.); #252490=ORIENTED_EDGE('',*,*,#188890,.F.); #252491=ORIENTED_EDGE('',*,*,#188893,.F.); #252492=ORIENTED_EDGE('',*,*,#188896,.F.); #252493=ORIENTED_EDGE('',*,*,#188899,.F.); #252494=ORIENTED_EDGE('',*,*,#188902,.F.); #252495=ORIENTED_EDGE('',*,*,#188905,.F.); #252496=ORIENTED_EDGE('',*,*,#188908,.F.); #252497=ORIENTED_EDGE('',*,*,#188911,.F.); #252498=ORIENTED_EDGE('',*,*,#188914,.F.); #252499=ORIENTED_EDGE('',*,*,#188917,.F.); #252500=ORIENTED_EDGE('',*,*,#188920,.F.); #252501=ORIENTED_EDGE('',*,*,#188923,.F.); #252502=ORIENTED_EDGE('',*,*,#188926,.F.); #252503=ORIENTED_EDGE('',*,*,#188929,.F.); #252504=ORIENTED_EDGE('',*,*,#188932,.F.); #252505=ORIENTED_EDGE('',*,*,#188935,.F.); #252506=ORIENTED_EDGE('',*,*,#188938,.F.); #252507=ORIENTED_EDGE('',*,*,#188941,.F.); #252508=ORIENTED_EDGE('',*,*,#188944,.F.); #252509=ORIENTED_EDGE('',*,*,#188947,.F.); #252510=ORIENTED_EDGE('',*,*,#188950,.F.); #252511=ORIENTED_EDGE('',*,*,#188953,.F.); #252512=ORIENTED_EDGE('',*,*,#188956,.F.); #252513=ORIENTED_EDGE('',*,*,#188959,.F.); #252514=ORIENTED_EDGE('',*,*,#188962,.F.); #252515=ORIENTED_EDGE('',*,*,#188965,.F.); #252516=ORIENTED_EDGE('',*,*,#188968,.F.); #252517=ORIENTED_EDGE('',*,*,#188971,.F.); #252518=ORIENTED_EDGE('',*,*,#188974,.F.); #252519=ORIENTED_EDGE('',*,*,#188977,.F.); #252520=ORIENTED_EDGE('',*,*,#188980,.F.); #252521=ORIENTED_EDGE('',*,*,#188983,.F.); #252522=ORIENTED_EDGE('',*,*,#188986,.F.); #252523=ORIENTED_EDGE('',*,*,#188989,.F.); #252524=ORIENTED_EDGE('',*,*,#188992,.F.); #252525=ORIENTED_EDGE('',*,*,#188995,.F.); #252526=ORIENTED_EDGE('',*,*,#188998,.F.); #252527=ORIENTED_EDGE('',*,*,#188783,.T.); #252528=ORIENTED_EDGE('',*,*,#188780,.T.); #252529=ORIENTED_EDGE('',*,*,#188777,.T.); #252530=ORIENTED_EDGE('',*,*,#188786,.T.); #252531=ORIENTED_EDGE('',*,*,#189003,.F.); #252532=ORIENTED_EDGE('',*,*,#189004,.F.); #252533=ORIENTED_EDGE('',*,*,#189005,.F.); #252534=ORIENTED_EDGE('',*,*,#189006,.T.); #252535=ORIENTED_EDGE('',*,*,#189007,.F.); #252536=ORIENTED_EDGE('',*,*,#189006,.F.); #252537=ORIENTED_EDGE('',*,*,#189008,.F.); #252538=ORIENTED_EDGE('',*,*,#189009,.T.); #252539=ORIENTED_EDGE('',*,*,#189010,.F.); #252540=ORIENTED_EDGE('',*,*,#189009,.F.); #252541=ORIENTED_EDGE('',*,*,#189011,.F.); #252542=ORIENTED_EDGE('',*,*,#189012,.T.); #252543=ORIENTED_EDGE('',*,*,#189013,.F.); #252544=ORIENTED_EDGE('',*,*,#189012,.F.); #252545=ORIENTED_EDGE('',*,*,#189014,.F.); #252546=ORIENTED_EDGE('',*,*,#189004,.T.); #252547=ORIENTED_EDGE('',*,*,#189015,.T.); #252548=ORIENTED_EDGE('',*,*,#189016,.T.); #252549=ORIENTED_EDGE('',*,*,#189017,.F.); #252550=ORIENTED_EDGE('',*,*,#189018,.F.); #252551=ORIENTED_EDGE('',*,*,#189019,.T.); #252552=ORIENTED_EDGE('',*,*,#189018,.T.); #252553=ORIENTED_EDGE('',*,*,#189020,.F.); #252554=ORIENTED_EDGE('',*,*,#189021,.F.); #252555=ORIENTED_EDGE('',*,*,#189022,.T.); #252556=ORIENTED_EDGE('',*,*,#189021,.T.); #252557=ORIENTED_EDGE('',*,*,#189023,.F.); #252558=ORIENTED_EDGE('',*,*,#189024,.F.); #252559=ORIENTED_EDGE('',*,*,#189025,.T.); #252560=ORIENTED_EDGE('',*,*,#189024,.T.); #252561=ORIENTED_EDGE('',*,*,#189026,.F.); #252562=ORIENTED_EDGE('',*,*,#189027,.F.); #252563=ORIENTED_EDGE('',*,*,#189028,.T.); #252564=ORIENTED_EDGE('',*,*,#189027,.T.); #252565=ORIENTED_EDGE('',*,*,#189029,.F.); #252566=ORIENTED_EDGE('',*,*,#189030,.F.); #252567=ORIENTED_EDGE('',*,*,#189031,.T.); #252568=ORIENTED_EDGE('',*,*,#189030,.T.); #252569=ORIENTED_EDGE('',*,*,#189032,.F.); #252570=ORIENTED_EDGE('',*,*,#189033,.F.); #252571=ORIENTED_EDGE('',*,*,#189034,.T.); #252572=ORIENTED_EDGE('',*,*,#189033,.T.); #252573=ORIENTED_EDGE('',*,*,#189035,.F.); #252574=ORIENTED_EDGE('',*,*,#189036,.F.); #252575=ORIENTED_EDGE('',*,*,#189037,.T.); #252576=ORIENTED_EDGE('',*,*,#189036,.T.); #252577=ORIENTED_EDGE('',*,*,#189038,.F.); #252578=ORIENTED_EDGE('',*,*,#189039,.F.); #252579=ORIENTED_EDGE('',*,*,#189040,.T.); #252580=ORIENTED_EDGE('',*,*,#189039,.T.); #252581=ORIENTED_EDGE('',*,*,#189041,.F.); #252582=ORIENTED_EDGE('',*,*,#189042,.F.); #252583=ORIENTED_EDGE('',*,*,#189043,.T.); #252584=ORIENTED_EDGE('',*,*,#189042,.T.); #252585=ORIENTED_EDGE('',*,*,#189044,.F.); #252586=ORIENTED_EDGE('',*,*,#189045,.F.); #252587=ORIENTED_EDGE('',*,*,#189046,.T.); #252588=ORIENTED_EDGE('',*,*,#189045,.T.); #252589=ORIENTED_EDGE('',*,*,#189047,.F.); #252590=ORIENTED_EDGE('',*,*,#189048,.F.); #252591=ORIENTED_EDGE('',*,*,#189049,.T.); #252592=ORIENTED_EDGE('',*,*,#189048,.T.); #252593=ORIENTED_EDGE('',*,*,#189050,.F.); #252594=ORIENTED_EDGE('',*,*,#189051,.F.); #252595=ORIENTED_EDGE('',*,*,#189052,.T.); #252596=ORIENTED_EDGE('',*,*,#189051,.T.); #252597=ORIENTED_EDGE('',*,*,#189053,.F.); #252598=ORIENTED_EDGE('',*,*,#189054,.F.); #252599=ORIENTED_EDGE('',*,*,#189055,.T.); #252600=ORIENTED_EDGE('',*,*,#189054,.T.); #252601=ORIENTED_EDGE('',*,*,#189056,.F.); #252602=ORIENTED_EDGE('',*,*,#189057,.F.); #252603=ORIENTED_EDGE('',*,*,#189058,.T.); #252604=ORIENTED_EDGE('',*,*,#189057,.T.); #252605=ORIENTED_EDGE('',*,*,#189059,.F.); #252606=ORIENTED_EDGE('',*,*,#189060,.F.); #252607=ORIENTED_EDGE('',*,*,#189061,.T.); #252608=ORIENTED_EDGE('',*,*,#189060,.T.); #252609=ORIENTED_EDGE('',*,*,#189062,.F.); #252610=ORIENTED_EDGE('',*,*,#189063,.F.); #252611=ORIENTED_EDGE('',*,*,#189064,.T.); #252612=ORIENTED_EDGE('',*,*,#189063,.T.); #252613=ORIENTED_EDGE('',*,*,#189065,.F.); #252614=ORIENTED_EDGE('',*,*,#189066,.F.); #252615=ORIENTED_EDGE('',*,*,#189067,.T.); #252616=ORIENTED_EDGE('',*,*,#189066,.T.); #252617=ORIENTED_EDGE('',*,*,#189068,.F.); #252618=ORIENTED_EDGE('',*,*,#189069,.F.); #252619=ORIENTED_EDGE('',*,*,#189070,.T.); #252620=ORIENTED_EDGE('',*,*,#189069,.T.); #252621=ORIENTED_EDGE('',*,*,#189071,.F.); #252622=ORIENTED_EDGE('',*,*,#189072,.F.); #252623=ORIENTED_EDGE('',*,*,#189073,.T.); #252624=ORIENTED_EDGE('',*,*,#189072,.T.); #252625=ORIENTED_EDGE('',*,*,#189074,.F.); #252626=ORIENTED_EDGE('',*,*,#189075,.F.); #252627=ORIENTED_EDGE('',*,*,#189076,.T.); #252628=ORIENTED_EDGE('',*,*,#189075,.T.); #252629=ORIENTED_EDGE('',*,*,#189077,.F.); #252630=ORIENTED_EDGE('',*,*,#189078,.F.); #252631=ORIENTED_EDGE('',*,*,#189079,.T.); #252632=ORIENTED_EDGE('',*,*,#189078,.T.); #252633=ORIENTED_EDGE('',*,*,#189080,.F.); #252634=ORIENTED_EDGE('',*,*,#189081,.F.); #252635=ORIENTED_EDGE('',*,*,#189082,.T.); #252636=ORIENTED_EDGE('',*,*,#189081,.T.); #252637=ORIENTED_EDGE('',*,*,#189083,.F.); #252638=ORIENTED_EDGE('',*,*,#189084,.F.); #252639=ORIENTED_EDGE('',*,*,#189085,.T.); #252640=ORIENTED_EDGE('',*,*,#189084,.T.); #252641=ORIENTED_EDGE('',*,*,#189086,.F.); #252642=ORIENTED_EDGE('',*,*,#189087,.F.); #252643=ORIENTED_EDGE('',*,*,#189088,.T.); #252644=ORIENTED_EDGE('',*,*,#189087,.T.); #252645=ORIENTED_EDGE('',*,*,#189089,.F.); #252646=ORIENTED_EDGE('',*,*,#189090,.F.); #252647=ORIENTED_EDGE('',*,*,#189091,.T.); #252648=ORIENTED_EDGE('',*,*,#189090,.T.); #252649=ORIENTED_EDGE('',*,*,#189092,.F.); #252650=ORIENTED_EDGE('',*,*,#189093,.F.); #252651=ORIENTED_EDGE('',*,*,#189094,.T.); #252652=ORIENTED_EDGE('',*,*,#189093,.T.); #252653=ORIENTED_EDGE('',*,*,#189095,.F.); #252654=ORIENTED_EDGE('',*,*,#189096,.F.); #252655=ORIENTED_EDGE('',*,*,#189097,.T.); #252656=ORIENTED_EDGE('',*,*,#189096,.T.); #252657=ORIENTED_EDGE('',*,*,#189098,.F.); #252658=ORIENTED_EDGE('',*,*,#189099,.F.); #252659=ORIENTED_EDGE('',*,*,#189100,.T.); #252660=ORIENTED_EDGE('',*,*,#189099,.T.); #252661=ORIENTED_EDGE('',*,*,#189101,.F.); #252662=ORIENTED_EDGE('',*,*,#189102,.F.); #252663=ORIENTED_EDGE('',*,*,#189103,.T.); #252664=ORIENTED_EDGE('',*,*,#189102,.T.); #252665=ORIENTED_EDGE('',*,*,#189104,.F.); #252666=ORIENTED_EDGE('',*,*,#189105,.F.); #252667=ORIENTED_EDGE('',*,*,#189106,.T.); #252668=ORIENTED_EDGE('',*,*,#189105,.T.); #252669=ORIENTED_EDGE('',*,*,#189107,.F.); #252670=ORIENTED_EDGE('',*,*,#189108,.F.); #252671=ORIENTED_EDGE('',*,*,#189109,.T.); #252672=ORIENTED_EDGE('',*,*,#189108,.T.); #252673=ORIENTED_EDGE('',*,*,#189110,.F.); #252674=ORIENTED_EDGE('',*,*,#189111,.F.); #252675=ORIENTED_EDGE('',*,*,#189112,.T.); #252676=ORIENTED_EDGE('',*,*,#189111,.T.); #252677=ORIENTED_EDGE('',*,*,#189113,.F.); #252678=ORIENTED_EDGE('',*,*,#189114,.F.); #252679=ORIENTED_EDGE('',*,*,#189115,.T.); #252680=ORIENTED_EDGE('',*,*,#189114,.T.); #252681=ORIENTED_EDGE('',*,*,#189116,.F.); #252682=ORIENTED_EDGE('',*,*,#189117,.F.); #252683=ORIENTED_EDGE('',*,*,#189118,.T.); #252684=ORIENTED_EDGE('',*,*,#189117,.T.); #252685=ORIENTED_EDGE('',*,*,#189119,.F.); #252686=ORIENTED_EDGE('',*,*,#189120,.F.); #252687=ORIENTED_EDGE('',*,*,#189121,.T.); #252688=ORIENTED_EDGE('',*,*,#189120,.T.); #252689=ORIENTED_EDGE('',*,*,#189122,.F.); #252690=ORIENTED_EDGE('',*,*,#189123,.F.); #252691=ORIENTED_EDGE('',*,*,#189124,.T.); #252692=ORIENTED_EDGE('',*,*,#189123,.T.); #252693=ORIENTED_EDGE('',*,*,#189125,.F.); #252694=ORIENTED_EDGE('',*,*,#189126,.F.); #252695=ORIENTED_EDGE('',*,*,#189127,.T.); #252696=ORIENTED_EDGE('',*,*,#189126,.T.); #252697=ORIENTED_EDGE('',*,*,#189128,.F.); #252698=ORIENTED_EDGE('',*,*,#189129,.F.); #252699=ORIENTED_EDGE('',*,*,#189130,.T.); #252700=ORIENTED_EDGE('',*,*,#189129,.T.); #252701=ORIENTED_EDGE('',*,*,#189131,.F.); #252702=ORIENTED_EDGE('',*,*,#189132,.F.); #252703=ORIENTED_EDGE('',*,*,#189133,.T.); #252704=ORIENTED_EDGE('',*,*,#189132,.T.); #252705=ORIENTED_EDGE('',*,*,#189134,.F.); #252706=ORIENTED_EDGE('',*,*,#189135,.F.); #252707=ORIENTED_EDGE('',*,*,#189136,.T.); #252708=ORIENTED_EDGE('',*,*,#189135,.T.); #252709=ORIENTED_EDGE('',*,*,#189137,.F.); #252710=ORIENTED_EDGE('',*,*,#189138,.F.); #252711=ORIENTED_EDGE('',*,*,#189139,.T.); #252712=ORIENTED_EDGE('',*,*,#189138,.T.); #252713=ORIENTED_EDGE('',*,*,#189140,.F.); #252714=ORIENTED_EDGE('',*,*,#189141,.F.); #252715=ORIENTED_EDGE('',*,*,#189142,.T.); #252716=ORIENTED_EDGE('',*,*,#189141,.T.); #252717=ORIENTED_EDGE('',*,*,#189143,.F.); #252718=ORIENTED_EDGE('',*,*,#189144,.F.); #252719=ORIENTED_EDGE('',*,*,#189145,.T.); #252720=ORIENTED_EDGE('',*,*,#189144,.T.); #252721=ORIENTED_EDGE('',*,*,#189146,.F.); #252722=ORIENTED_EDGE('',*,*,#189147,.F.); #252723=ORIENTED_EDGE('',*,*,#189148,.T.); #252724=ORIENTED_EDGE('',*,*,#189147,.T.); #252725=ORIENTED_EDGE('',*,*,#189149,.F.); #252726=ORIENTED_EDGE('',*,*,#189150,.F.); #252727=ORIENTED_EDGE('',*,*,#189151,.T.); #252728=ORIENTED_EDGE('',*,*,#189150,.T.); #252729=ORIENTED_EDGE('',*,*,#189152,.F.); #252730=ORIENTED_EDGE('',*,*,#189153,.F.); #252731=ORIENTED_EDGE('',*,*,#189154,.T.); #252732=ORIENTED_EDGE('',*,*,#189153,.T.); #252733=ORIENTED_EDGE('',*,*,#189155,.F.); #252734=ORIENTED_EDGE('',*,*,#189156,.F.); #252735=ORIENTED_EDGE('',*,*,#189157,.T.); #252736=ORIENTED_EDGE('',*,*,#189156,.T.); #252737=ORIENTED_EDGE('',*,*,#189158,.F.); #252738=ORIENTED_EDGE('',*,*,#189159,.F.); #252739=ORIENTED_EDGE('',*,*,#189160,.T.); #252740=ORIENTED_EDGE('',*,*,#189159,.T.); #252741=ORIENTED_EDGE('',*,*,#189161,.F.); #252742=ORIENTED_EDGE('',*,*,#189162,.F.); #252743=ORIENTED_EDGE('',*,*,#189163,.T.); #252744=ORIENTED_EDGE('',*,*,#189162,.T.); #252745=ORIENTED_EDGE('',*,*,#189164,.F.); #252746=ORIENTED_EDGE('',*,*,#189165,.F.); #252747=ORIENTED_EDGE('',*,*,#189166,.T.); #252748=ORIENTED_EDGE('',*,*,#189165,.T.); #252749=ORIENTED_EDGE('',*,*,#189167,.F.); #252750=ORIENTED_EDGE('',*,*,#189168,.F.); #252751=ORIENTED_EDGE('',*,*,#189169,.T.); #252752=ORIENTED_EDGE('',*,*,#189168,.T.); #252753=ORIENTED_EDGE('',*,*,#189170,.F.); #252754=ORIENTED_EDGE('',*,*,#189171,.F.); #252755=ORIENTED_EDGE('',*,*,#189172,.T.); #252756=ORIENTED_EDGE('',*,*,#189171,.T.); #252757=ORIENTED_EDGE('',*,*,#189173,.F.); #252758=ORIENTED_EDGE('',*,*,#189174,.F.); #252759=ORIENTED_EDGE('',*,*,#189175,.T.); #252760=ORIENTED_EDGE('',*,*,#189174,.T.); #252761=ORIENTED_EDGE('',*,*,#189176,.F.); #252762=ORIENTED_EDGE('',*,*,#189177,.F.); #252763=ORIENTED_EDGE('',*,*,#189178,.T.); #252764=ORIENTED_EDGE('',*,*,#189177,.T.); #252765=ORIENTED_EDGE('',*,*,#189179,.F.); #252766=ORIENTED_EDGE('',*,*,#189180,.F.); #252767=ORIENTED_EDGE('',*,*,#189181,.T.); #252768=ORIENTED_EDGE('',*,*,#189180,.T.); #252769=ORIENTED_EDGE('',*,*,#189182,.F.); #252770=ORIENTED_EDGE('',*,*,#189016,.F.); #252771=ORIENTED_EDGE('',*,*,#189182,.T.); #252772=ORIENTED_EDGE('',*,*,#189179,.T.); #252773=ORIENTED_EDGE('',*,*,#189176,.T.); #252774=ORIENTED_EDGE('',*,*,#189173,.T.); #252775=ORIENTED_EDGE('',*,*,#189170,.T.); #252776=ORIENTED_EDGE('',*,*,#189167,.T.); #252777=ORIENTED_EDGE('',*,*,#189164,.T.); #252778=ORIENTED_EDGE('',*,*,#189161,.T.); #252779=ORIENTED_EDGE('',*,*,#189158,.T.); #252780=ORIENTED_EDGE('',*,*,#189155,.T.); #252781=ORIENTED_EDGE('',*,*,#189152,.T.); #252782=ORIENTED_EDGE('',*,*,#189149,.T.); #252783=ORIENTED_EDGE('',*,*,#189146,.T.); #252784=ORIENTED_EDGE('',*,*,#189143,.T.); #252785=ORIENTED_EDGE('',*,*,#189140,.T.); #252786=ORIENTED_EDGE('',*,*,#189137,.T.); #252787=ORIENTED_EDGE('',*,*,#189134,.T.); #252788=ORIENTED_EDGE('',*,*,#189131,.T.); #252789=ORIENTED_EDGE('',*,*,#189128,.T.); #252790=ORIENTED_EDGE('',*,*,#189125,.T.); #252791=ORIENTED_EDGE('',*,*,#189122,.T.); #252792=ORIENTED_EDGE('',*,*,#189119,.T.); #252793=ORIENTED_EDGE('',*,*,#189116,.T.); #252794=ORIENTED_EDGE('',*,*,#189113,.T.); #252795=ORIENTED_EDGE('',*,*,#189110,.T.); #252796=ORIENTED_EDGE('',*,*,#189107,.T.); #252797=ORIENTED_EDGE('',*,*,#189104,.T.); #252798=ORIENTED_EDGE('',*,*,#189101,.T.); #252799=ORIENTED_EDGE('',*,*,#189098,.T.); #252800=ORIENTED_EDGE('',*,*,#189095,.T.); #252801=ORIENTED_EDGE('',*,*,#189092,.T.); #252802=ORIENTED_EDGE('',*,*,#189089,.T.); #252803=ORIENTED_EDGE('',*,*,#189086,.T.); #252804=ORIENTED_EDGE('',*,*,#189083,.T.); #252805=ORIENTED_EDGE('',*,*,#189080,.T.); #252806=ORIENTED_EDGE('',*,*,#189077,.T.); #252807=ORIENTED_EDGE('',*,*,#189074,.T.); #252808=ORIENTED_EDGE('',*,*,#189071,.T.); #252809=ORIENTED_EDGE('',*,*,#189068,.T.); #252810=ORIENTED_EDGE('',*,*,#189065,.T.); #252811=ORIENTED_EDGE('',*,*,#189062,.T.); #252812=ORIENTED_EDGE('',*,*,#189059,.T.); #252813=ORIENTED_EDGE('',*,*,#189056,.T.); #252814=ORIENTED_EDGE('',*,*,#189053,.T.); #252815=ORIENTED_EDGE('',*,*,#189050,.T.); #252816=ORIENTED_EDGE('',*,*,#189047,.T.); #252817=ORIENTED_EDGE('',*,*,#189044,.T.); #252818=ORIENTED_EDGE('',*,*,#189041,.T.); #252819=ORIENTED_EDGE('',*,*,#189038,.T.); #252820=ORIENTED_EDGE('',*,*,#189035,.T.); #252821=ORIENTED_EDGE('',*,*,#189032,.T.); #252822=ORIENTED_EDGE('',*,*,#189029,.T.); #252823=ORIENTED_EDGE('',*,*,#189026,.T.); #252824=ORIENTED_EDGE('',*,*,#189023,.T.); #252825=ORIENTED_EDGE('',*,*,#189020,.T.); #252826=ORIENTED_EDGE('',*,*,#189017,.T.); #252827=ORIENTED_EDGE('',*,*,#189010,.T.); #252828=ORIENTED_EDGE('',*,*,#189013,.T.); #252829=ORIENTED_EDGE('',*,*,#189003,.T.); #252830=ORIENTED_EDGE('',*,*,#189007,.T.); #252831=ORIENTED_EDGE('',*,*,#189181,.F.); #252832=ORIENTED_EDGE('',*,*,#189015,.F.); #252833=ORIENTED_EDGE('',*,*,#189019,.F.); #252834=ORIENTED_EDGE('',*,*,#189022,.F.); #252835=ORIENTED_EDGE('',*,*,#189025,.F.); #252836=ORIENTED_EDGE('',*,*,#189028,.F.); #252837=ORIENTED_EDGE('',*,*,#189031,.F.); #252838=ORIENTED_EDGE('',*,*,#189034,.F.); #252839=ORIENTED_EDGE('',*,*,#189037,.F.); #252840=ORIENTED_EDGE('',*,*,#189040,.F.); #252841=ORIENTED_EDGE('',*,*,#189043,.F.); #252842=ORIENTED_EDGE('',*,*,#189046,.F.); #252843=ORIENTED_EDGE('',*,*,#189049,.F.); #252844=ORIENTED_EDGE('',*,*,#189052,.F.); #252845=ORIENTED_EDGE('',*,*,#189055,.F.); #252846=ORIENTED_EDGE('',*,*,#189058,.F.); #252847=ORIENTED_EDGE('',*,*,#189061,.F.); #252848=ORIENTED_EDGE('',*,*,#189064,.F.); #252849=ORIENTED_EDGE('',*,*,#189067,.F.); #252850=ORIENTED_EDGE('',*,*,#189070,.F.); #252851=ORIENTED_EDGE('',*,*,#189073,.F.); #252852=ORIENTED_EDGE('',*,*,#189076,.F.); #252853=ORIENTED_EDGE('',*,*,#189079,.F.); #252854=ORIENTED_EDGE('',*,*,#189082,.F.); #252855=ORIENTED_EDGE('',*,*,#189085,.F.); #252856=ORIENTED_EDGE('',*,*,#189088,.F.); #252857=ORIENTED_EDGE('',*,*,#189091,.F.); #252858=ORIENTED_EDGE('',*,*,#189094,.F.); #252859=ORIENTED_EDGE('',*,*,#189097,.F.); #252860=ORIENTED_EDGE('',*,*,#189100,.F.); #252861=ORIENTED_EDGE('',*,*,#189103,.F.); #252862=ORIENTED_EDGE('',*,*,#189106,.F.); #252863=ORIENTED_EDGE('',*,*,#189109,.F.); #252864=ORIENTED_EDGE('',*,*,#189112,.F.); #252865=ORIENTED_EDGE('',*,*,#189115,.F.); #252866=ORIENTED_EDGE('',*,*,#189118,.F.); #252867=ORIENTED_EDGE('',*,*,#189121,.F.); #252868=ORIENTED_EDGE('',*,*,#189124,.F.); #252869=ORIENTED_EDGE('',*,*,#189127,.F.); #252870=ORIENTED_EDGE('',*,*,#189130,.F.); #252871=ORIENTED_EDGE('',*,*,#189133,.F.); #252872=ORIENTED_EDGE('',*,*,#189136,.F.); #252873=ORIENTED_EDGE('',*,*,#189139,.F.); #252874=ORIENTED_EDGE('',*,*,#189142,.F.); #252875=ORIENTED_EDGE('',*,*,#189145,.F.); #252876=ORIENTED_EDGE('',*,*,#189148,.F.); #252877=ORIENTED_EDGE('',*,*,#189151,.F.); #252878=ORIENTED_EDGE('',*,*,#189154,.F.); #252879=ORIENTED_EDGE('',*,*,#189157,.F.); #252880=ORIENTED_EDGE('',*,*,#189160,.F.); #252881=ORIENTED_EDGE('',*,*,#189163,.F.); #252882=ORIENTED_EDGE('',*,*,#189166,.F.); #252883=ORIENTED_EDGE('',*,*,#189169,.F.); #252884=ORIENTED_EDGE('',*,*,#189172,.F.); #252885=ORIENTED_EDGE('',*,*,#189175,.F.); #252886=ORIENTED_EDGE('',*,*,#189178,.F.); #252887=ORIENTED_EDGE('',*,*,#189011,.T.); #252888=ORIENTED_EDGE('',*,*,#189008,.T.); #252889=ORIENTED_EDGE('',*,*,#189005,.T.); #252890=ORIENTED_EDGE('',*,*,#189014,.T.); #252891=ORIENTED_EDGE('',*,*,#189183,.F.); #252892=ORIENTED_EDGE('',*,*,#189184,.T.); #252893=ORIENTED_EDGE('',*,*,#189185,.F.); #252894=ORIENTED_EDGE('',*,*,#189184,.F.); #252895=ORIENTED_EDGE('',*,*,#189186,.T.); #252896=ORIENTED_EDGE('',*,*,#189187,.T.); #252897=ORIENTED_EDGE('',*,*,#189188,.F.); #252898=ORIENTED_EDGE('',*,*,#189189,.F.); #252899=ORIENTED_EDGE('',*,*,#189190,.T.); #252900=ORIENTED_EDGE('',*,*,#189189,.T.); #252901=ORIENTED_EDGE('',*,*,#189191,.F.); #252902=ORIENTED_EDGE('',*,*,#189192,.F.); #252903=ORIENTED_EDGE('',*,*,#189193,.T.); #252904=ORIENTED_EDGE('',*,*,#189192,.T.); #252905=ORIENTED_EDGE('',*,*,#189194,.F.); #252906=ORIENTED_EDGE('',*,*,#189195,.F.); #252907=ORIENTED_EDGE('',*,*,#189196,.T.); #252908=ORIENTED_EDGE('',*,*,#189195,.T.); #252909=ORIENTED_EDGE('',*,*,#189197,.F.); #252910=ORIENTED_EDGE('',*,*,#189187,.F.); #252911=ORIENTED_EDGE('',*,*,#189197,.T.); #252912=ORIENTED_EDGE('',*,*,#189194,.T.); #252913=ORIENTED_EDGE('',*,*,#189191,.T.); #252914=ORIENTED_EDGE('',*,*,#189188,.T.); #252915=ORIENTED_EDGE('',*,*,#189185,.T.); #252916=ORIENTED_EDGE('',*,*,#189196,.F.); #252917=ORIENTED_EDGE('',*,*,#189186,.F.); #252918=ORIENTED_EDGE('',*,*,#189190,.F.); #252919=ORIENTED_EDGE('',*,*,#189193,.F.); #252920=ORIENTED_EDGE('',*,*,#189183,.T.); #252921=ORIENTED_EDGE('',*,*,#189198,.F.); #252922=ORIENTED_EDGE('',*,*,#189199,.F.); #252923=ORIENTED_EDGE('',*,*,#189200,.F.); #252924=ORIENTED_EDGE('',*,*,#189201,.T.); #252925=ORIENTED_EDGE('',*,*,#189202,.F.); #252926=ORIENTED_EDGE('',*,*,#189201,.F.); #252927=ORIENTED_EDGE('',*,*,#189203,.F.); #252928=ORIENTED_EDGE('',*,*,#189204,.T.); #252929=ORIENTED_EDGE('',*,*,#189205,.F.); #252930=ORIENTED_EDGE('',*,*,#189204,.F.); #252931=ORIENTED_EDGE('',*,*,#189206,.F.); #252932=ORIENTED_EDGE('',*,*,#189207,.T.); #252933=ORIENTED_EDGE('',*,*,#189208,.F.); #252934=ORIENTED_EDGE('',*,*,#189207,.F.); #252935=ORIENTED_EDGE('',*,*,#189209,.F.); #252936=ORIENTED_EDGE('',*,*,#189199,.T.); #252937=ORIENTED_EDGE('',*,*,#189210,.T.); #252938=ORIENTED_EDGE('',*,*,#189211,.T.); #252939=ORIENTED_EDGE('',*,*,#189212,.F.); #252940=ORIENTED_EDGE('',*,*,#189213,.F.); #252941=ORIENTED_EDGE('',*,*,#189214,.T.); #252942=ORIENTED_EDGE('',*,*,#189213,.T.); #252943=ORIENTED_EDGE('',*,*,#189215,.F.); #252944=ORIENTED_EDGE('',*,*,#189216,.F.); #252945=ORIENTED_EDGE('',*,*,#189217,.T.); #252946=ORIENTED_EDGE('',*,*,#189216,.T.); #252947=ORIENTED_EDGE('',*,*,#189218,.F.); #252948=ORIENTED_EDGE('',*,*,#189219,.F.); #252949=ORIENTED_EDGE('',*,*,#189220,.T.); #252950=ORIENTED_EDGE('',*,*,#189219,.T.); #252951=ORIENTED_EDGE('',*,*,#189221,.F.); #252952=ORIENTED_EDGE('',*,*,#189222,.F.); #252953=ORIENTED_EDGE('',*,*,#189223,.T.); #252954=ORIENTED_EDGE('',*,*,#189222,.T.); #252955=ORIENTED_EDGE('',*,*,#189224,.F.); #252956=ORIENTED_EDGE('',*,*,#189225,.F.); #252957=ORIENTED_EDGE('',*,*,#189226,.T.); #252958=ORIENTED_EDGE('',*,*,#189225,.T.); #252959=ORIENTED_EDGE('',*,*,#189227,.F.); #252960=ORIENTED_EDGE('',*,*,#189228,.F.); #252961=ORIENTED_EDGE('',*,*,#189229,.T.); #252962=ORIENTED_EDGE('',*,*,#189228,.T.); #252963=ORIENTED_EDGE('',*,*,#189230,.F.); #252964=ORIENTED_EDGE('',*,*,#189231,.F.); #252965=ORIENTED_EDGE('',*,*,#189232,.T.); #252966=ORIENTED_EDGE('',*,*,#189231,.T.); #252967=ORIENTED_EDGE('',*,*,#189233,.F.); #252968=ORIENTED_EDGE('',*,*,#189234,.F.); #252969=ORIENTED_EDGE('',*,*,#189235,.T.); #252970=ORIENTED_EDGE('',*,*,#189234,.T.); #252971=ORIENTED_EDGE('',*,*,#189236,.F.); #252972=ORIENTED_EDGE('',*,*,#189237,.F.); #252973=ORIENTED_EDGE('',*,*,#189238,.T.); #252974=ORIENTED_EDGE('',*,*,#189237,.T.); #252975=ORIENTED_EDGE('',*,*,#189239,.F.); #252976=ORIENTED_EDGE('',*,*,#189240,.F.); #252977=ORIENTED_EDGE('',*,*,#189241,.T.); #252978=ORIENTED_EDGE('',*,*,#189240,.T.); #252979=ORIENTED_EDGE('',*,*,#189242,.F.); #252980=ORIENTED_EDGE('',*,*,#189243,.F.); #252981=ORIENTED_EDGE('',*,*,#189244,.T.); #252982=ORIENTED_EDGE('',*,*,#189243,.T.); #252983=ORIENTED_EDGE('',*,*,#189245,.F.); #252984=ORIENTED_EDGE('',*,*,#189246,.F.); #252985=ORIENTED_EDGE('',*,*,#189247,.T.); #252986=ORIENTED_EDGE('',*,*,#189246,.T.); #252987=ORIENTED_EDGE('',*,*,#189248,.F.); #252988=ORIENTED_EDGE('',*,*,#189249,.F.); #252989=ORIENTED_EDGE('',*,*,#189250,.T.); #252990=ORIENTED_EDGE('',*,*,#189249,.T.); #252991=ORIENTED_EDGE('',*,*,#189251,.F.); #252992=ORIENTED_EDGE('',*,*,#189252,.F.); #252993=ORIENTED_EDGE('',*,*,#189253,.T.); #252994=ORIENTED_EDGE('',*,*,#189252,.T.); #252995=ORIENTED_EDGE('',*,*,#189254,.F.); #252996=ORIENTED_EDGE('',*,*,#189255,.F.); #252997=ORIENTED_EDGE('',*,*,#189256,.T.); #252998=ORIENTED_EDGE('',*,*,#189255,.T.); #252999=ORIENTED_EDGE('',*,*,#189257,.F.); #253000=ORIENTED_EDGE('',*,*,#189258,.F.); #253001=ORIENTED_EDGE('',*,*,#189259,.T.); #253002=ORIENTED_EDGE('',*,*,#189258,.T.); #253003=ORIENTED_EDGE('',*,*,#189260,.F.); #253004=ORIENTED_EDGE('',*,*,#189261,.F.); #253005=ORIENTED_EDGE('',*,*,#189262,.T.); #253006=ORIENTED_EDGE('',*,*,#189261,.T.); #253007=ORIENTED_EDGE('',*,*,#189263,.F.); #253008=ORIENTED_EDGE('',*,*,#189264,.F.); #253009=ORIENTED_EDGE('',*,*,#189265,.T.); #253010=ORIENTED_EDGE('',*,*,#189264,.T.); #253011=ORIENTED_EDGE('',*,*,#189266,.F.); #253012=ORIENTED_EDGE('',*,*,#189267,.F.); #253013=ORIENTED_EDGE('',*,*,#189268,.T.); #253014=ORIENTED_EDGE('',*,*,#189267,.T.); #253015=ORIENTED_EDGE('',*,*,#189269,.F.); #253016=ORIENTED_EDGE('',*,*,#189270,.F.); #253017=ORIENTED_EDGE('',*,*,#189271,.T.); #253018=ORIENTED_EDGE('',*,*,#189270,.T.); #253019=ORIENTED_EDGE('',*,*,#189272,.F.); #253020=ORIENTED_EDGE('',*,*,#189273,.F.); #253021=ORIENTED_EDGE('',*,*,#189274,.T.); #253022=ORIENTED_EDGE('',*,*,#189273,.T.); #253023=ORIENTED_EDGE('',*,*,#189275,.F.); #253024=ORIENTED_EDGE('',*,*,#189276,.F.); #253025=ORIENTED_EDGE('',*,*,#189277,.T.); #253026=ORIENTED_EDGE('',*,*,#189276,.T.); #253027=ORIENTED_EDGE('',*,*,#189278,.F.); #253028=ORIENTED_EDGE('',*,*,#189279,.F.); #253029=ORIENTED_EDGE('',*,*,#189280,.T.); #253030=ORIENTED_EDGE('',*,*,#189279,.T.); #253031=ORIENTED_EDGE('',*,*,#189281,.F.); #253032=ORIENTED_EDGE('',*,*,#189282,.F.); #253033=ORIENTED_EDGE('',*,*,#189283,.T.); #253034=ORIENTED_EDGE('',*,*,#189282,.T.); #253035=ORIENTED_EDGE('',*,*,#189284,.F.); #253036=ORIENTED_EDGE('',*,*,#189285,.F.); #253037=ORIENTED_EDGE('',*,*,#189286,.T.); #253038=ORIENTED_EDGE('',*,*,#189285,.T.); #253039=ORIENTED_EDGE('',*,*,#189287,.F.); #253040=ORIENTED_EDGE('',*,*,#189288,.F.); #253041=ORIENTED_EDGE('',*,*,#189289,.T.); #253042=ORIENTED_EDGE('',*,*,#189288,.T.); #253043=ORIENTED_EDGE('',*,*,#189290,.F.); #253044=ORIENTED_EDGE('',*,*,#189291,.F.); #253045=ORIENTED_EDGE('',*,*,#189292,.T.); #253046=ORIENTED_EDGE('',*,*,#189291,.T.); #253047=ORIENTED_EDGE('',*,*,#189293,.F.); #253048=ORIENTED_EDGE('',*,*,#189294,.F.); #253049=ORIENTED_EDGE('',*,*,#189295,.T.); #253050=ORIENTED_EDGE('',*,*,#189294,.T.); #253051=ORIENTED_EDGE('',*,*,#189296,.F.); #253052=ORIENTED_EDGE('',*,*,#189297,.F.); #253053=ORIENTED_EDGE('',*,*,#189298,.T.); #253054=ORIENTED_EDGE('',*,*,#189297,.T.); #253055=ORIENTED_EDGE('',*,*,#189299,.F.); #253056=ORIENTED_EDGE('',*,*,#189300,.F.); #253057=ORIENTED_EDGE('',*,*,#189301,.T.); #253058=ORIENTED_EDGE('',*,*,#189300,.T.); #253059=ORIENTED_EDGE('',*,*,#189302,.F.); #253060=ORIENTED_EDGE('',*,*,#189303,.F.); #253061=ORIENTED_EDGE('',*,*,#189304,.T.); #253062=ORIENTED_EDGE('',*,*,#189303,.T.); #253063=ORIENTED_EDGE('',*,*,#189305,.F.); #253064=ORIENTED_EDGE('',*,*,#189306,.F.); #253065=ORIENTED_EDGE('',*,*,#189307,.T.); #253066=ORIENTED_EDGE('',*,*,#189306,.T.); #253067=ORIENTED_EDGE('',*,*,#189308,.F.); #253068=ORIENTED_EDGE('',*,*,#189309,.F.); #253069=ORIENTED_EDGE('',*,*,#189310,.T.); #253070=ORIENTED_EDGE('',*,*,#189309,.T.); #253071=ORIENTED_EDGE('',*,*,#189311,.F.); #253072=ORIENTED_EDGE('',*,*,#189312,.F.); #253073=ORIENTED_EDGE('',*,*,#189313,.T.); #253074=ORIENTED_EDGE('',*,*,#189312,.T.); #253075=ORIENTED_EDGE('',*,*,#189314,.F.); #253076=ORIENTED_EDGE('',*,*,#189315,.F.); #253077=ORIENTED_EDGE('',*,*,#189316,.T.); #253078=ORIENTED_EDGE('',*,*,#189315,.T.); #253079=ORIENTED_EDGE('',*,*,#189317,.F.); #253080=ORIENTED_EDGE('',*,*,#189318,.F.); #253081=ORIENTED_EDGE('',*,*,#189319,.T.); #253082=ORIENTED_EDGE('',*,*,#189318,.T.); #253083=ORIENTED_EDGE('',*,*,#189320,.F.); #253084=ORIENTED_EDGE('',*,*,#189321,.F.); #253085=ORIENTED_EDGE('',*,*,#189322,.T.); #253086=ORIENTED_EDGE('',*,*,#189321,.T.); #253087=ORIENTED_EDGE('',*,*,#189323,.F.); #253088=ORIENTED_EDGE('',*,*,#189324,.F.); #253089=ORIENTED_EDGE('',*,*,#189325,.T.); #253090=ORIENTED_EDGE('',*,*,#189324,.T.); #253091=ORIENTED_EDGE('',*,*,#189326,.F.); #253092=ORIENTED_EDGE('',*,*,#189327,.F.); #253093=ORIENTED_EDGE('',*,*,#189328,.T.); #253094=ORIENTED_EDGE('',*,*,#189327,.T.); #253095=ORIENTED_EDGE('',*,*,#189329,.F.); #253096=ORIENTED_EDGE('',*,*,#189330,.F.); #253097=ORIENTED_EDGE('',*,*,#189331,.T.); #253098=ORIENTED_EDGE('',*,*,#189330,.T.); #253099=ORIENTED_EDGE('',*,*,#189332,.F.); #253100=ORIENTED_EDGE('',*,*,#189333,.F.); #253101=ORIENTED_EDGE('',*,*,#189334,.T.); #253102=ORIENTED_EDGE('',*,*,#189333,.T.); #253103=ORIENTED_EDGE('',*,*,#189335,.F.); #253104=ORIENTED_EDGE('',*,*,#189336,.F.); #253105=ORIENTED_EDGE('',*,*,#189337,.T.); #253106=ORIENTED_EDGE('',*,*,#189336,.T.); #253107=ORIENTED_EDGE('',*,*,#189338,.F.); #253108=ORIENTED_EDGE('',*,*,#189339,.F.); #253109=ORIENTED_EDGE('',*,*,#189340,.T.); #253110=ORIENTED_EDGE('',*,*,#189339,.T.); #253111=ORIENTED_EDGE('',*,*,#189341,.F.); #253112=ORIENTED_EDGE('',*,*,#189342,.F.); #253113=ORIENTED_EDGE('',*,*,#189343,.T.); #253114=ORIENTED_EDGE('',*,*,#189342,.T.); #253115=ORIENTED_EDGE('',*,*,#189344,.F.); #253116=ORIENTED_EDGE('',*,*,#189345,.F.); #253117=ORIENTED_EDGE('',*,*,#189346,.T.); #253118=ORIENTED_EDGE('',*,*,#189345,.T.); #253119=ORIENTED_EDGE('',*,*,#189347,.F.); #253120=ORIENTED_EDGE('',*,*,#189348,.F.); #253121=ORIENTED_EDGE('',*,*,#189349,.T.); #253122=ORIENTED_EDGE('',*,*,#189348,.T.); #253123=ORIENTED_EDGE('',*,*,#189350,.F.); #253124=ORIENTED_EDGE('',*,*,#189351,.F.); #253125=ORIENTED_EDGE('',*,*,#189352,.T.); #253126=ORIENTED_EDGE('',*,*,#189351,.T.); #253127=ORIENTED_EDGE('',*,*,#189353,.F.); #253128=ORIENTED_EDGE('',*,*,#189354,.F.); #253129=ORIENTED_EDGE('',*,*,#189355,.T.); #253130=ORIENTED_EDGE('',*,*,#189354,.T.); #253131=ORIENTED_EDGE('',*,*,#189356,.F.); #253132=ORIENTED_EDGE('',*,*,#189357,.F.); #253133=ORIENTED_EDGE('',*,*,#189358,.T.); #253134=ORIENTED_EDGE('',*,*,#189357,.T.); #253135=ORIENTED_EDGE('',*,*,#189359,.F.); #253136=ORIENTED_EDGE('',*,*,#189360,.F.); #253137=ORIENTED_EDGE('',*,*,#189361,.T.); #253138=ORIENTED_EDGE('',*,*,#189360,.T.); #253139=ORIENTED_EDGE('',*,*,#189362,.F.); #253140=ORIENTED_EDGE('',*,*,#189363,.F.); #253141=ORIENTED_EDGE('',*,*,#189364,.T.); #253142=ORIENTED_EDGE('',*,*,#189363,.T.); #253143=ORIENTED_EDGE('',*,*,#189365,.F.); #253144=ORIENTED_EDGE('',*,*,#189366,.F.); #253145=ORIENTED_EDGE('',*,*,#189367,.T.); #253146=ORIENTED_EDGE('',*,*,#189366,.T.); #253147=ORIENTED_EDGE('',*,*,#189368,.F.); #253148=ORIENTED_EDGE('',*,*,#189369,.F.); #253149=ORIENTED_EDGE('',*,*,#189370,.T.); #253150=ORIENTED_EDGE('',*,*,#189369,.T.); #253151=ORIENTED_EDGE('',*,*,#189371,.F.); #253152=ORIENTED_EDGE('',*,*,#189372,.F.); #253153=ORIENTED_EDGE('',*,*,#189373,.T.); #253154=ORIENTED_EDGE('',*,*,#189372,.T.); #253155=ORIENTED_EDGE('',*,*,#189374,.F.); #253156=ORIENTED_EDGE('',*,*,#189375,.F.); #253157=ORIENTED_EDGE('',*,*,#189376,.T.); #253158=ORIENTED_EDGE('',*,*,#189375,.T.); #253159=ORIENTED_EDGE('',*,*,#189377,.F.); #253160=ORIENTED_EDGE('',*,*,#189211,.F.); #253161=ORIENTED_EDGE('',*,*,#189377,.T.); #253162=ORIENTED_EDGE('',*,*,#189374,.T.); #253163=ORIENTED_EDGE('',*,*,#189371,.T.); #253164=ORIENTED_EDGE('',*,*,#189368,.T.); #253165=ORIENTED_EDGE('',*,*,#189365,.T.); #253166=ORIENTED_EDGE('',*,*,#189362,.T.); #253167=ORIENTED_EDGE('',*,*,#189359,.T.); #253168=ORIENTED_EDGE('',*,*,#189356,.T.); #253169=ORIENTED_EDGE('',*,*,#189353,.T.); #253170=ORIENTED_EDGE('',*,*,#189350,.T.); #253171=ORIENTED_EDGE('',*,*,#189347,.T.); #253172=ORIENTED_EDGE('',*,*,#189344,.T.); #253173=ORIENTED_EDGE('',*,*,#189341,.T.); #253174=ORIENTED_EDGE('',*,*,#189338,.T.); #253175=ORIENTED_EDGE('',*,*,#189335,.T.); #253176=ORIENTED_EDGE('',*,*,#189332,.T.); #253177=ORIENTED_EDGE('',*,*,#189329,.T.); #253178=ORIENTED_EDGE('',*,*,#189326,.T.); #253179=ORIENTED_EDGE('',*,*,#189323,.T.); #253180=ORIENTED_EDGE('',*,*,#189320,.T.); #253181=ORIENTED_EDGE('',*,*,#189317,.T.); #253182=ORIENTED_EDGE('',*,*,#189314,.T.); #253183=ORIENTED_EDGE('',*,*,#189311,.T.); #253184=ORIENTED_EDGE('',*,*,#189308,.T.); #253185=ORIENTED_EDGE('',*,*,#189305,.T.); #253186=ORIENTED_EDGE('',*,*,#189302,.T.); #253187=ORIENTED_EDGE('',*,*,#189299,.T.); #253188=ORIENTED_EDGE('',*,*,#189296,.T.); #253189=ORIENTED_EDGE('',*,*,#189293,.T.); #253190=ORIENTED_EDGE('',*,*,#189290,.T.); #253191=ORIENTED_EDGE('',*,*,#189287,.T.); #253192=ORIENTED_EDGE('',*,*,#189284,.T.); #253193=ORIENTED_EDGE('',*,*,#189281,.T.); #253194=ORIENTED_EDGE('',*,*,#189278,.T.); #253195=ORIENTED_EDGE('',*,*,#189275,.T.); #253196=ORIENTED_EDGE('',*,*,#189272,.T.); #253197=ORIENTED_EDGE('',*,*,#189269,.T.); #253198=ORIENTED_EDGE('',*,*,#189266,.T.); #253199=ORIENTED_EDGE('',*,*,#189263,.T.); #253200=ORIENTED_EDGE('',*,*,#189260,.T.); #253201=ORIENTED_EDGE('',*,*,#189257,.T.); #253202=ORIENTED_EDGE('',*,*,#189254,.T.); #253203=ORIENTED_EDGE('',*,*,#189251,.T.); #253204=ORIENTED_EDGE('',*,*,#189248,.T.); #253205=ORIENTED_EDGE('',*,*,#189245,.T.); #253206=ORIENTED_EDGE('',*,*,#189242,.T.); #253207=ORIENTED_EDGE('',*,*,#189239,.T.); #253208=ORIENTED_EDGE('',*,*,#189236,.T.); #253209=ORIENTED_EDGE('',*,*,#189233,.T.); #253210=ORIENTED_EDGE('',*,*,#189230,.T.); #253211=ORIENTED_EDGE('',*,*,#189227,.T.); #253212=ORIENTED_EDGE('',*,*,#189224,.T.); #253213=ORIENTED_EDGE('',*,*,#189221,.T.); #253214=ORIENTED_EDGE('',*,*,#189218,.T.); #253215=ORIENTED_EDGE('',*,*,#189215,.T.); #253216=ORIENTED_EDGE('',*,*,#189212,.T.); #253217=ORIENTED_EDGE('',*,*,#189205,.T.); #253218=ORIENTED_EDGE('',*,*,#189208,.T.); #253219=ORIENTED_EDGE('',*,*,#189198,.T.); #253220=ORIENTED_EDGE('',*,*,#189202,.T.); #253221=ORIENTED_EDGE('',*,*,#189376,.F.); #253222=ORIENTED_EDGE('',*,*,#189210,.F.); #253223=ORIENTED_EDGE('',*,*,#189214,.F.); #253224=ORIENTED_EDGE('',*,*,#189217,.F.); #253225=ORIENTED_EDGE('',*,*,#189220,.F.); #253226=ORIENTED_EDGE('',*,*,#189223,.F.); #253227=ORIENTED_EDGE('',*,*,#189226,.F.); #253228=ORIENTED_EDGE('',*,*,#189229,.F.); #253229=ORIENTED_EDGE('',*,*,#189232,.F.); #253230=ORIENTED_EDGE('',*,*,#189235,.F.); #253231=ORIENTED_EDGE('',*,*,#189238,.F.); #253232=ORIENTED_EDGE('',*,*,#189241,.F.); #253233=ORIENTED_EDGE('',*,*,#189244,.F.); #253234=ORIENTED_EDGE('',*,*,#189247,.F.); #253235=ORIENTED_EDGE('',*,*,#189250,.F.); #253236=ORIENTED_EDGE('',*,*,#189253,.F.); #253237=ORIENTED_EDGE('',*,*,#189256,.F.); #253238=ORIENTED_EDGE('',*,*,#189259,.F.); #253239=ORIENTED_EDGE('',*,*,#189262,.F.); #253240=ORIENTED_EDGE('',*,*,#189265,.F.); #253241=ORIENTED_EDGE('',*,*,#189268,.F.); #253242=ORIENTED_EDGE('',*,*,#189271,.F.); #253243=ORIENTED_EDGE('',*,*,#189274,.F.); #253244=ORIENTED_EDGE('',*,*,#189277,.F.); #253245=ORIENTED_EDGE('',*,*,#189280,.F.); #253246=ORIENTED_EDGE('',*,*,#189283,.F.); #253247=ORIENTED_EDGE('',*,*,#189286,.F.); #253248=ORIENTED_EDGE('',*,*,#189289,.F.); #253249=ORIENTED_EDGE('',*,*,#189292,.F.); #253250=ORIENTED_EDGE('',*,*,#189295,.F.); #253251=ORIENTED_EDGE('',*,*,#189298,.F.); #253252=ORIENTED_EDGE('',*,*,#189301,.F.); #253253=ORIENTED_EDGE('',*,*,#189304,.F.); #253254=ORIENTED_EDGE('',*,*,#189307,.F.); #253255=ORIENTED_EDGE('',*,*,#189310,.F.); #253256=ORIENTED_EDGE('',*,*,#189313,.F.); #253257=ORIENTED_EDGE('',*,*,#189316,.F.); #253258=ORIENTED_EDGE('',*,*,#189319,.F.); #253259=ORIENTED_EDGE('',*,*,#189322,.F.); #253260=ORIENTED_EDGE('',*,*,#189325,.F.); #253261=ORIENTED_EDGE('',*,*,#189328,.F.); #253262=ORIENTED_EDGE('',*,*,#189331,.F.); #253263=ORIENTED_EDGE('',*,*,#189334,.F.); #253264=ORIENTED_EDGE('',*,*,#189337,.F.); #253265=ORIENTED_EDGE('',*,*,#189340,.F.); #253266=ORIENTED_EDGE('',*,*,#189343,.F.); #253267=ORIENTED_EDGE('',*,*,#189346,.F.); #253268=ORIENTED_EDGE('',*,*,#189349,.F.); #253269=ORIENTED_EDGE('',*,*,#189352,.F.); #253270=ORIENTED_EDGE('',*,*,#189355,.F.); #253271=ORIENTED_EDGE('',*,*,#189358,.F.); #253272=ORIENTED_EDGE('',*,*,#189361,.F.); #253273=ORIENTED_EDGE('',*,*,#189364,.F.); #253274=ORIENTED_EDGE('',*,*,#189367,.F.); #253275=ORIENTED_EDGE('',*,*,#189370,.F.); #253276=ORIENTED_EDGE('',*,*,#189373,.F.); #253277=ORIENTED_EDGE('',*,*,#189206,.T.); #253278=ORIENTED_EDGE('',*,*,#189203,.T.); #253279=ORIENTED_EDGE('',*,*,#189200,.T.); #253280=ORIENTED_EDGE('',*,*,#189209,.T.); #253281=ORIENTED_EDGE('',*,*,#189378,.F.); #253282=ORIENTED_EDGE('',*,*,#189379,.F.); #253283=ORIENTED_EDGE('',*,*,#189380,.F.); #253284=ORIENTED_EDGE('',*,*,#189381,.T.); #253285=ORIENTED_EDGE('',*,*,#189382,.F.); #253286=ORIENTED_EDGE('',*,*,#189381,.F.); #253287=ORIENTED_EDGE('',*,*,#189383,.F.); #253288=ORIENTED_EDGE('',*,*,#189384,.T.); #253289=ORIENTED_EDGE('',*,*,#189385,.F.); #253290=ORIENTED_EDGE('',*,*,#189384,.F.); #253291=ORIENTED_EDGE('',*,*,#189386,.F.); #253292=ORIENTED_EDGE('',*,*,#189387,.T.); #253293=ORIENTED_EDGE('',*,*,#189388,.F.); #253294=ORIENTED_EDGE('',*,*,#189387,.F.); #253295=ORIENTED_EDGE('',*,*,#189389,.F.); #253296=ORIENTED_EDGE('',*,*,#189379,.T.); #253297=ORIENTED_EDGE('',*,*,#189390,.T.); #253298=ORIENTED_EDGE('',*,*,#189391,.T.); #253299=ORIENTED_EDGE('',*,*,#189392,.F.); #253300=ORIENTED_EDGE('',*,*,#189393,.F.); #253301=ORIENTED_EDGE('',*,*,#189394,.T.); #253302=ORIENTED_EDGE('',*,*,#189393,.T.); #253303=ORIENTED_EDGE('',*,*,#189395,.F.); #253304=ORIENTED_EDGE('',*,*,#189396,.F.); #253305=ORIENTED_EDGE('',*,*,#189397,.T.); #253306=ORIENTED_EDGE('',*,*,#189396,.T.); #253307=ORIENTED_EDGE('',*,*,#189398,.F.); #253308=ORIENTED_EDGE('',*,*,#189399,.F.); #253309=ORIENTED_EDGE('',*,*,#189400,.T.); #253310=ORIENTED_EDGE('',*,*,#189399,.T.); #253311=ORIENTED_EDGE('',*,*,#189401,.F.); #253312=ORIENTED_EDGE('',*,*,#189402,.F.); #253313=ORIENTED_EDGE('',*,*,#189403,.T.); #253314=ORIENTED_EDGE('',*,*,#189402,.T.); #253315=ORIENTED_EDGE('',*,*,#189404,.F.); #253316=ORIENTED_EDGE('',*,*,#189405,.F.); #253317=ORIENTED_EDGE('',*,*,#189406,.T.); #253318=ORIENTED_EDGE('',*,*,#189405,.T.); #253319=ORIENTED_EDGE('',*,*,#189407,.F.); #253320=ORIENTED_EDGE('',*,*,#189408,.F.); #253321=ORIENTED_EDGE('',*,*,#189409,.T.); #253322=ORIENTED_EDGE('',*,*,#189408,.T.); #253323=ORIENTED_EDGE('',*,*,#189410,.F.); #253324=ORIENTED_EDGE('',*,*,#189411,.F.); #253325=ORIENTED_EDGE('',*,*,#189412,.T.); #253326=ORIENTED_EDGE('',*,*,#189411,.T.); #253327=ORIENTED_EDGE('',*,*,#189413,.F.); #253328=ORIENTED_EDGE('',*,*,#189414,.F.); #253329=ORIENTED_EDGE('',*,*,#189415,.T.); #253330=ORIENTED_EDGE('',*,*,#189414,.T.); #253331=ORIENTED_EDGE('',*,*,#189416,.F.); #253332=ORIENTED_EDGE('',*,*,#189417,.F.); #253333=ORIENTED_EDGE('',*,*,#189418,.T.); #253334=ORIENTED_EDGE('',*,*,#189417,.T.); #253335=ORIENTED_EDGE('',*,*,#189419,.F.); #253336=ORIENTED_EDGE('',*,*,#189420,.F.); #253337=ORIENTED_EDGE('',*,*,#189421,.T.); #253338=ORIENTED_EDGE('',*,*,#189420,.T.); #253339=ORIENTED_EDGE('',*,*,#189422,.F.); #253340=ORIENTED_EDGE('',*,*,#189423,.F.); #253341=ORIENTED_EDGE('',*,*,#189424,.T.); #253342=ORIENTED_EDGE('',*,*,#189423,.T.); #253343=ORIENTED_EDGE('',*,*,#189425,.F.); #253344=ORIENTED_EDGE('',*,*,#189426,.F.); #253345=ORIENTED_EDGE('',*,*,#189427,.T.); #253346=ORIENTED_EDGE('',*,*,#189426,.T.); #253347=ORIENTED_EDGE('',*,*,#189428,.F.); #253348=ORIENTED_EDGE('',*,*,#189429,.F.); #253349=ORIENTED_EDGE('',*,*,#189430,.T.); #253350=ORIENTED_EDGE('',*,*,#189429,.T.); #253351=ORIENTED_EDGE('',*,*,#189431,.F.); #253352=ORIENTED_EDGE('',*,*,#189432,.F.); #253353=ORIENTED_EDGE('',*,*,#189433,.T.); #253354=ORIENTED_EDGE('',*,*,#189432,.T.); #253355=ORIENTED_EDGE('',*,*,#189434,.F.); #253356=ORIENTED_EDGE('',*,*,#189435,.F.); #253357=ORIENTED_EDGE('',*,*,#189436,.T.); #253358=ORIENTED_EDGE('',*,*,#189435,.T.); #253359=ORIENTED_EDGE('',*,*,#189437,.F.); #253360=ORIENTED_EDGE('',*,*,#189438,.F.); #253361=ORIENTED_EDGE('',*,*,#189439,.T.); #253362=ORIENTED_EDGE('',*,*,#189438,.T.); #253363=ORIENTED_EDGE('',*,*,#189440,.F.); #253364=ORIENTED_EDGE('',*,*,#189441,.F.); #253365=ORIENTED_EDGE('',*,*,#189442,.T.); #253366=ORIENTED_EDGE('',*,*,#189441,.T.); #253367=ORIENTED_EDGE('',*,*,#189443,.F.); #253368=ORIENTED_EDGE('',*,*,#189444,.F.); #253369=ORIENTED_EDGE('',*,*,#189445,.T.); #253370=ORIENTED_EDGE('',*,*,#189444,.T.); #253371=ORIENTED_EDGE('',*,*,#189446,.F.); #253372=ORIENTED_EDGE('',*,*,#189447,.F.); #253373=ORIENTED_EDGE('',*,*,#189448,.T.); #253374=ORIENTED_EDGE('',*,*,#189447,.T.); #253375=ORIENTED_EDGE('',*,*,#189449,.F.); #253376=ORIENTED_EDGE('',*,*,#189450,.F.); #253377=ORIENTED_EDGE('',*,*,#189451,.T.); #253378=ORIENTED_EDGE('',*,*,#189450,.T.); #253379=ORIENTED_EDGE('',*,*,#189452,.F.); #253380=ORIENTED_EDGE('',*,*,#189453,.F.); #253381=ORIENTED_EDGE('',*,*,#189454,.T.); #253382=ORIENTED_EDGE('',*,*,#189453,.T.); #253383=ORIENTED_EDGE('',*,*,#189455,.F.); #253384=ORIENTED_EDGE('',*,*,#189456,.F.); #253385=ORIENTED_EDGE('',*,*,#189457,.T.); #253386=ORIENTED_EDGE('',*,*,#189456,.T.); #253387=ORIENTED_EDGE('',*,*,#189458,.F.); #253388=ORIENTED_EDGE('',*,*,#189459,.F.); #253389=ORIENTED_EDGE('',*,*,#189460,.T.); #253390=ORIENTED_EDGE('',*,*,#189459,.T.); #253391=ORIENTED_EDGE('',*,*,#189461,.F.); #253392=ORIENTED_EDGE('',*,*,#189462,.F.); #253393=ORIENTED_EDGE('',*,*,#189463,.T.); #253394=ORIENTED_EDGE('',*,*,#189462,.T.); #253395=ORIENTED_EDGE('',*,*,#189464,.F.); #253396=ORIENTED_EDGE('',*,*,#189465,.F.); #253397=ORIENTED_EDGE('',*,*,#189466,.T.); #253398=ORIENTED_EDGE('',*,*,#189465,.T.); #253399=ORIENTED_EDGE('',*,*,#189467,.F.); #253400=ORIENTED_EDGE('',*,*,#189468,.F.); #253401=ORIENTED_EDGE('',*,*,#189469,.T.); #253402=ORIENTED_EDGE('',*,*,#189468,.T.); #253403=ORIENTED_EDGE('',*,*,#189470,.F.); #253404=ORIENTED_EDGE('',*,*,#189471,.F.); #253405=ORIENTED_EDGE('',*,*,#189472,.T.); #253406=ORIENTED_EDGE('',*,*,#189471,.T.); #253407=ORIENTED_EDGE('',*,*,#189473,.F.); #253408=ORIENTED_EDGE('',*,*,#189474,.F.); #253409=ORIENTED_EDGE('',*,*,#189475,.T.); #253410=ORIENTED_EDGE('',*,*,#189474,.T.); #253411=ORIENTED_EDGE('',*,*,#189476,.F.); #253412=ORIENTED_EDGE('',*,*,#189477,.F.); #253413=ORIENTED_EDGE('',*,*,#189478,.T.); #253414=ORIENTED_EDGE('',*,*,#189477,.T.); #253415=ORIENTED_EDGE('',*,*,#189479,.F.); #253416=ORIENTED_EDGE('',*,*,#189480,.F.); #253417=ORIENTED_EDGE('',*,*,#189481,.T.); #253418=ORIENTED_EDGE('',*,*,#189480,.T.); #253419=ORIENTED_EDGE('',*,*,#189482,.F.); #253420=ORIENTED_EDGE('',*,*,#189483,.F.); #253421=ORIENTED_EDGE('',*,*,#189484,.T.); #253422=ORIENTED_EDGE('',*,*,#189483,.T.); #253423=ORIENTED_EDGE('',*,*,#189485,.F.); #253424=ORIENTED_EDGE('',*,*,#189486,.F.); #253425=ORIENTED_EDGE('',*,*,#189487,.T.); #253426=ORIENTED_EDGE('',*,*,#189486,.T.); #253427=ORIENTED_EDGE('',*,*,#189488,.F.); #253428=ORIENTED_EDGE('',*,*,#189489,.F.); #253429=ORIENTED_EDGE('',*,*,#189490,.T.); #253430=ORIENTED_EDGE('',*,*,#189489,.T.); #253431=ORIENTED_EDGE('',*,*,#189491,.F.); #253432=ORIENTED_EDGE('',*,*,#189492,.F.); #253433=ORIENTED_EDGE('',*,*,#189493,.T.); #253434=ORIENTED_EDGE('',*,*,#189492,.T.); #253435=ORIENTED_EDGE('',*,*,#189494,.F.); #253436=ORIENTED_EDGE('',*,*,#189495,.F.); #253437=ORIENTED_EDGE('',*,*,#189496,.T.); #253438=ORIENTED_EDGE('',*,*,#189495,.T.); #253439=ORIENTED_EDGE('',*,*,#189497,.F.); #253440=ORIENTED_EDGE('',*,*,#189498,.F.); #253441=ORIENTED_EDGE('',*,*,#189499,.T.); #253442=ORIENTED_EDGE('',*,*,#189498,.T.); #253443=ORIENTED_EDGE('',*,*,#189500,.F.); #253444=ORIENTED_EDGE('',*,*,#189501,.F.); #253445=ORIENTED_EDGE('',*,*,#189502,.T.); #253446=ORIENTED_EDGE('',*,*,#189501,.T.); #253447=ORIENTED_EDGE('',*,*,#189503,.F.); #253448=ORIENTED_EDGE('',*,*,#189504,.F.); #253449=ORIENTED_EDGE('',*,*,#189505,.T.); #253450=ORIENTED_EDGE('',*,*,#189504,.T.); #253451=ORIENTED_EDGE('',*,*,#189506,.F.); #253452=ORIENTED_EDGE('',*,*,#189507,.F.); #253453=ORIENTED_EDGE('',*,*,#189508,.T.); #253454=ORIENTED_EDGE('',*,*,#189507,.T.); #253455=ORIENTED_EDGE('',*,*,#189509,.F.); #253456=ORIENTED_EDGE('',*,*,#189510,.F.); #253457=ORIENTED_EDGE('',*,*,#189511,.T.); #253458=ORIENTED_EDGE('',*,*,#189510,.T.); #253459=ORIENTED_EDGE('',*,*,#189512,.F.); #253460=ORIENTED_EDGE('',*,*,#189513,.F.); #253461=ORIENTED_EDGE('',*,*,#189514,.T.); #253462=ORIENTED_EDGE('',*,*,#189513,.T.); #253463=ORIENTED_EDGE('',*,*,#189515,.F.); #253464=ORIENTED_EDGE('',*,*,#189516,.F.); #253465=ORIENTED_EDGE('',*,*,#189517,.T.); #253466=ORIENTED_EDGE('',*,*,#189516,.T.); #253467=ORIENTED_EDGE('',*,*,#189518,.F.); #253468=ORIENTED_EDGE('',*,*,#189519,.F.); #253469=ORIENTED_EDGE('',*,*,#189520,.T.); #253470=ORIENTED_EDGE('',*,*,#189519,.T.); #253471=ORIENTED_EDGE('',*,*,#189521,.F.); #253472=ORIENTED_EDGE('',*,*,#189522,.F.); #253473=ORIENTED_EDGE('',*,*,#189523,.T.); #253474=ORIENTED_EDGE('',*,*,#189522,.T.); #253475=ORIENTED_EDGE('',*,*,#189524,.F.); #253476=ORIENTED_EDGE('',*,*,#189525,.F.); #253477=ORIENTED_EDGE('',*,*,#189526,.T.); #253478=ORIENTED_EDGE('',*,*,#189525,.T.); #253479=ORIENTED_EDGE('',*,*,#189527,.F.); #253480=ORIENTED_EDGE('',*,*,#189528,.F.); #253481=ORIENTED_EDGE('',*,*,#189529,.T.); #253482=ORIENTED_EDGE('',*,*,#189528,.T.); #253483=ORIENTED_EDGE('',*,*,#189530,.F.); #253484=ORIENTED_EDGE('',*,*,#189531,.F.); #253485=ORIENTED_EDGE('',*,*,#189532,.T.); #253486=ORIENTED_EDGE('',*,*,#189531,.T.); #253487=ORIENTED_EDGE('',*,*,#189533,.F.); #253488=ORIENTED_EDGE('',*,*,#189534,.F.); #253489=ORIENTED_EDGE('',*,*,#189535,.T.); #253490=ORIENTED_EDGE('',*,*,#189534,.T.); #253491=ORIENTED_EDGE('',*,*,#189536,.F.); #253492=ORIENTED_EDGE('',*,*,#189537,.F.); #253493=ORIENTED_EDGE('',*,*,#189538,.T.); #253494=ORIENTED_EDGE('',*,*,#189537,.T.); #253495=ORIENTED_EDGE('',*,*,#189539,.F.); #253496=ORIENTED_EDGE('',*,*,#189540,.F.); #253497=ORIENTED_EDGE('',*,*,#189541,.T.); #253498=ORIENTED_EDGE('',*,*,#189540,.T.); #253499=ORIENTED_EDGE('',*,*,#189542,.F.); #253500=ORIENTED_EDGE('',*,*,#189543,.F.); #253501=ORIENTED_EDGE('',*,*,#189544,.T.); #253502=ORIENTED_EDGE('',*,*,#189543,.T.); #253503=ORIENTED_EDGE('',*,*,#189545,.F.); #253504=ORIENTED_EDGE('',*,*,#189546,.F.); #253505=ORIENTED_EDGE('',*,*,#189547,.T.); #253506=ORIENTED_EDGE('',*,*,#189546,.T.); #253507=ORIENTED_EDGE('',*,*,#189548,.F.); #253508=ORIENTED_EDGE('',*,*,#189549,.F.); #253509=ORIENTED_EDGE('',*,*,#189550,.T.); #253510=ORIENTED_EDGE('',*,*,#189549,.T.); #253511=ORIENTED_EDGE('',*,*,#189551,.F.); #253512=ORIENTED_EDGE('',*,*,#189552,.F.); #253513=ORIENTED_EDGE('',*,*,#189553,.T.); #253514=ORIENTED_EDGE('',*,*,#189552,.T.); #253515=ORIENTED_EDGE('',*,*,#189554,.F.); #253516=ORIENTED_EDGE('',*,*,#189555,.F.); #253517=ORIENTED_EDGE('',*,*,#189556,.T.); #253518=ORIENTED_EDGE('',*,*,#189555,.T.); #253519=ORIENTED_EDGE('',*,*,#189557,.F.); #253520=ORIENTED_EDGE('',*,*,#189558,.F.); #253521=ORIENTED_EDGE('',*,*,#189559,.T.); #253522=ORIENTED_EDGE('',*,*,#189558,.T.); #253523=ORIENTED_EDGE('',*,*,#189560,.F.); #253524=ORIENTED_EDGE('',*,*,#189561,.F.); #253525=ORIENTED_EDGE('',*,*,#189562,.T.); #253526=ORIENTED_EDGE('',*,*,#189561,.T.); #253527=ORIENTED_EDGE('',*,*,#189563,.F.); #253528=ORIENTED_EDGE('',*,*,#189564,.F.); #253529=ORIENTED_EDGE('',*,*,#189565,.T.); #253530=ORIENTED_EDGE('',*,*,#189564,.T.); #253531=ORIENTED_EDGE('',*,*,#189566,.F.); #253532=ORIENTED_EDGE('',*,*,#189567,.F.); #253533=ORIENTED_EDGE('',*,*,#189568,.T.); #253534=ORIENTED_EDGE('',*,*,#189567,.T.); #253535=ORIENTED_EDGE('',*,*,#189569,.F.); #253536=ORIENTED_EDGE('',*,*,#189570,.F.); #253537=ORIENTED_EDGE('',*,*,#189571,.T.); #253538=ORIENTED_EDGE('',*,*,#189570,.T.); #253539=ORIENTED_EDGE('',*,*,#189572,.F.); #253540=ORIENTED_EDGE('',*,*,#189573,.F.); #253541=ORIENTED_EDGE('',*,*,#189574,.T.); #253542=ORIENTED_EDGE('',*,*,#189573,.T.); #253543=ORIENTED_EDGE('',*,*,#189575,.F.); #253544=ORIENTED_EDGE('',*,*,#189576,.F.); #253545=ORIENTED_EDGE('',*,*,#189577,.T.); #253546=ORIENTED_EDGE('',*,*,#189576,.T.); #253547=ORIENTED_EDGE('',*,*,#189578,.F.); #253548=ORIENTED_EDGE('',*,*,#189579,.F.); #253549=ORIENTED_EDGE('',*,*,#189580,.T.); #253550=ORIENTED_EDGE('',*,*,#189579,.T.); #253551=ORIENTED_EDGE('',*,*,#189581,.F.); #253552=ORIENTED_EDGE('',*,*,#189582,.F.); #253553=ORIENTED_EDGE('',*,*,#189583,.T.); #253554=ORIENTED_EDGE('',*,*,#189582,.T.); #253555=ORIENTED_EDGE('',*,*,#189584,.F.); #253556=ORIENTED_EDGE('',*,*,#189585,.F.); #253557=ORIENTED_EDGE('',*,*,#189586,.T.); #253558=ORIENTED_EDGE('',*,*,#189585,.T.); #253559=ORIENTED_EDGE('',*,*,#189587,.F.); #253560=ORIENTED_EDGE('',*,*,#189588,.F.); #253561=ORIENTED_EDGE('',*,*,#189589,.T.); #253562=ORIENTED_EDGE('',*,*,#189588,.T.); #253563=ORIENTED_EDGE('',*,*,#189590,.F.); #253564=ORIENTED_EDGE('',*,*,#189591,.F.); #253565=ORIENTED_EDGE('',*,*,#189592,.T.); #253566=ORIENTED_EDGE('',*,*,#189591,.T.); #253567=ORIENTED_EDGE('',*,*,#189593,.F.); #253568=ORIENTED_EDGE('',*,*,#189594,.F.); #253569=ORIENTED_EDGE('',*,*,#189595,.T.); #253570=ORIENTED_EDGE('',*,*,#189594,.T.); #253571=ORIENTED_EDGE('',*,*,#189596,.F.); #253572=ORIENTED_EDGE('',*,*,#189597,.F.); #253573=ORIENTED_EDGE('',*,*,#189598,.T.); #253574=ORIENTED_EDGE('',*,*,#189597,.T.); #253575=ORIENTED_EDGE('',*,*,#189599,.F.); #253576=ORIENTED_EDGE('',*,*,#189600,.F.); #253577=ORIENTED_EDGE('',*,*,#189601,.T.); #253578=ORIENTED_EDGE('',*,*,#189600,.T.); #253579=ORIENTED_EDGE('',*,*,#189602,.F.); #253580=ORIENTED_EDGE('',*,*,#189603,.F.); #253581=ORIENTED_EDGE('',*,*,#189604,.T.); #253582=ORIENTED_EDGE('',*,*,#189603,.T.); #253583=ORIENTED_EDGE('',*,*,#189605,.F.); #253584=ORIENTED_EDGE('',*,*,#189391,.F.); #253585=ORIENTED_EDGE('',*,*,#189605,.T.); #253586=ORIENTED_EDGE('',*,*,#189602,.T.); #253587=ORIENTED_EDGE('',*,*,#189599,.T.); #253588=ORIENTED_EDGE('',*,*,#189596,.T.); #253589=ORIENTED_EDGE('',*,*,#189593,.T.); #253590=ORIENTED_EDGE('',*,*,#189590,.T.); #253591=ORIENTED_EDGE('',*,*,#189587,.T.); #253592=ORIENTED_EDGE('',*,*,#189584,.T.); #253593=ORIENTED_EDGE('',*,*,#189581,.T.); #253594=ORIENTED_EDGE('',*,*,#189578,.T.); #253595=ORIENTED_EDGE('',*,*,#189575,.T.); #253596=ORIENTED_EDGE('',*,*,#189572,.T.); #253597=ORIENTED_EDGE('',*,*,#189569,.T.); #253598=ORIENTED_EDGE('',*,*,#189566,.T.); #253599=ORIENTED_EDGE('',*,*,#189563,.T.); #253600=ORIENTED_EDGE('',*,*,#189560,.T.); #253601=ORIENTED_EDGE('',*,*,#189557,.T.); #253602=ORIENTED_EDGE('',*,*,#189554,.T.); #253603=ORIENTED_EDGE('',*,*,#189551,.T.); #253604=ORIENTED_EDGE('',*,*,#189548,.T.); #253605=ORIENTED_EDGE('',*,*,#189545,.T.); #253606=ORIENTED_EDGE('',*,*,#189542,.T.); #253607=ORIENTED_EDGE('',*,*,#189539,.T.); #253608=ORIENTED_EDGE('',*,*,#189536,.T.); #253609=ORIENTED_EDGE('',*,*,#189533,.T.); #253610=ORIENTED_EDGE('',*,*,#189530,.T.); #253611=ORIENTED_EDGE('',*,*,#189527,.T.); #253612=ORIENTED_EDGE('',*,*,#189524,.T.); #253613=ORIENTED_EDGE('',*,*,#189521,.T.); #253614=ORIENTED_EDGE('',*,*,#189518,.T.); #253615=ORIENTED_EDGE('',*,*,#189515,.T.); #253616=ORIENTED_EDGE('',*,*,#189512,.T.); #253617=ORIENTED_EDGE('',*,*,#189509,.T.); #253618=ORIENTED_EDGE('',*,*,#189506,.T.); #253619=ORIENTED_EDGE('',*,*,#189503,.T.); #253620=ORIENTED_EDGE('',*,*,#189500,.T.); #253621=ORIENTED_EDGE('',*,*,#189497,.T.); #253622=ORIENTED_EDGE('',*,*,#189494,.T.); #253623=ORIENTED_EDGE('',*,*,#189491,.T.); #253624=ORIENTED_EDGE('',*,*,#189488,.T.); #253625=ORIENTED_EDGE('',*,*,#189485,.T.); #253626=ORIENTED_EDGE('',*,*,#189482,.T.); #253627=ORIENTED_EDGE('',*,*,#189479,.T.); #253628=ORIENTED_EDGE('',*,*,#189476,.T.); #253629=ORIENTED_EDGE('',*,*,#189473,.T.); #253630=ORIENTED_EDGE('',*,*,#189470,.T.); #253631=ORIENTED_EDGE('',*,*,#189467,.T.); #253632=ORIENTED_EDGE('',*,*,#189464,.T.); #253633=ORIENTED_EDGE('',*,*,#189461,.T.); #253634=ORIENTED_EDGE('',*,*,#189458,.T.); #253635=ORIENTED_EDGE('',*,*,#189455,.T.); #253636=ORIENTED_EDGE('',*,*,#189452,.T.); #253637=ORIENTED_EDGE('',*,*,#189449,.T.); #253638=ORIENTED_EDGE('',*,*,#189446,.T.); #253639=ORIENTED_EDGE('',*,*,#189443,.T.); #253640=ORIENTED_EDGE('',*,*,#189440,.T.); #253641=ORIENTED_EDGE('',*,*,#189437,.T.); #253642=ORIENTED_EDGE('',*,*,#189434,.T.); #253643=ORIENTED_EDGE('',*,*,#189431,.T.); #253644=ORIENTED_EDGE('',*,*,#189428,.T.); #253645=ORIENTED_EDGE('',*,*,#189425,.T.); #253646=ORIENTED_EDGE('',*,*,#189422,.T.); #253647=ORIENTED_EDGE('',*,*,#189419,.T.); #253648=ORIENTED_EDGE('',*,*,#189416,.T.); #253649=ORIENTED_EDGE('',*,*,#189413,.T.); #253650=ORIENTED_EDGE('',*,*,#189410,.T.); #253651=ORIENTED_EDGE('',*,*,#189407,.T.); #253652=ORIENTED_EDGE('',*,*,#189404,.T.); #253653=ORIENTED_EDGE('',*,*,#189401,.T.); #253654=ORIENTED_EDGE('',*,*,#189398,.T.); #253655=ORIENTED_EDGE('',*,*,#189395,.T.); #253656=ORIENTED_EDGE('',*,*,#189392,.T.); #253657=ORIENTED_EDGE('',*,*,#189385,.T.); #253658=ORIENTED_EDGE('',*,*,#189388,.T.); #253659=ORIENTED_EDGE('',*,*,#189378,.T.); #253660=ORIENTED_EDGE('',*,*,#189382,.T.); #253661=ORIENTED_EDGE('',*,*,#189604,.F.); #253662=ORIENTED_EDGE('',*,*,#189390,.F.); #253663=ORIENTED_EDGE('',*,*,#189394,.F.); #253664=ORIENTED_EDGE('',*,*,#189397,.F.); #253665=ORIENTED_EDGE('',*,*,#189400,.F.); #253666=ORIENTED_EDGE('',*,*,#189403,.F.); #253667=ORIENTED_EDGE('',*,*,#189406,.F.); #253668=ORIENTED_EDGE('',*,*,#189409,.F.); #253669=ORIENTED_EDGE('',*,*,#189412,.F.); #253670=ORIENTED_EDGE('',*,*,#189415,.F.); #253671=ORIENTED_EDGE('',*,*,#189418,.F.); #253672=ORIENTED_EDGE('',*,*,#189421,.F.); #253673=ORIENTED_EDGE('',*,*,#189424,.F.); #253674=ORIENTED_EDGE('',*,*,#189427,.F.); #253675=ORIENTED_EDGE('',*,*,#189430,.F.); #253676=ORIENTED_EDGE('',*,*,#189433,.F.); #253677=ORIENTED_EDGE('',*,*,#189436,.F.); #253678=ORIENTED_EDGE('',*,*,#189439,.F.); #253679=ORIENTED_EDGE('',*,*,#189442,.F.); #253680=ORIENTED_EDGE('',*,*,#189445,.F.); #253681=ORIENTED_EDGE('',*,*,#189448,.F.); #253682=ORIENTED_EDGE('',*,*,#189451,.F.); #253683=ORIENTED_EDGE('',*,*,#189454,.F.); #253684=ORIENTED_EDGE('',*,*,#189457,.F.); #253685=ORIENTED_EDGE('',*,*,#189460,.F.); #253686=ORIENTED_EDGE('',*,*,#189463,.F.); #253687=ORIENTED_EDGE('',*,*,#189466,.F.); #253688=ORIENTED_EDGE('',*,*,#189469,.F.); #253689=ORIENTED_EDGE('',*,*,#189472,.F.); #253690=ORIENTED_EDGE('',*,*,#189475,.F.); #253691=ORIENTED_EDGE('',*,*,#189478,.F.); #253692=ORIENTED_EDGE('',*,*,#189481,.F.); #253693=ORIENTED_EDGE('',*,*,#189484,.F.); #253694=ORIENTED_EDGE('',*,*,#189487,.F.); #253695=ORIENTED_EDGE('',*,*,#189490,.F.); #253696=ORIENTED_EDGE('',*,*,#189493,.F.); #253697=ORIENTED_EDGE('',*,*,#189496,.F.); #253698=ORIENTED_EDGE('',*,*,#189499,.F.); #253699=ORIENTED_EDGE('',*,*,#189502,.F.); #253700=ORIENTED_EDGE('',*,*,#189505,.F.); #253701=ORIENTED_EDGE('',*,*,#189508,.F.); #253702=ORIENTED_EDGE('',*,*,#189511,.F.); #253703=ORIENTED_EDGE('',*,*,#189514,.F.); #253704=ORIENTED_EDGE('',*,*,#189517,.F.); #253705=ORIENTED_EDGE('',*,*,#189520,.F.); #253706=ORIENTED_EDGE('',*,*,#189523,.F.); #253707=ORIENTED_EDGE('',*,*,#189526,.F.); #253708=ORIENTED_EDGE('',*,*,#189529,.F.); #253709=ORIENTED_EDGE('',*,*,#189532,.F.); #253710=ORIENTED_EDGE('',*,*,#189535,.F.); #253711=ORIENTED_EDGE('',*,*,#189538,.F.); #253712=ORIENTED_EDGE('',*,*,#189541,.F.); #253713=ORIENTED_EDGE('',*,*,#189544,.F.); #253714=ORIENTED_EDGE('',*,*,#189547,.F.); #253715=ORIENTED_EDGE('',*,*,#189550,.F.); #253716=ORIENTED_EDGE('',*,*,#189553,.F.); #253717=ORIENTED_EDGE('',*,*,#189556,.F.); #253718=ORIENTED_EDGE('',*,*,#189559,.F.); #253719=ORIENTED_EDGE('',*,*,#189562,.F.); #253720=ORIENTED_EDGE('',*,*,#189565,.F.); #253721=ORIENTED_EDGE('',*,*,#189568,.F.); #253722=ORIENTED_EDGE('',*,*,#189571,.F.); #253723=ORIENTED_EDGE('',*,*,#189574,.F.); #253724=ORIENTED_EDGE('',*,*,#189577,.F.); #253725=ORIENTED_EDGE('',*,*,#189580,.F.); #253726=ORIENTED_EDGE('',*,*,#189583,.F.); #253727=ORIENTED_EDGE('',*,*,#189586,.F.); #253728=ORIENTED_EDGE('',*,*,#189589,.F.); #253729=ORIENTED_EDGE('',*,*,#189592,.F.); #253730=ORIENTED_EDGE('',*,*,#189595,.F.); #253731=ORIENTED_EDGE('',*,*,#189598,.F.); #253732=ORIENTED_EDGE('',*,*,#189601,.F.); #253733=ORIENTED_EDGE('',*,*,#189386,.T.); #253734=ORIENTED_EDGE('',*,*,#189383,.T.); #253735=ORIENTED_EDGE('',*,*,#189380,.T.); #253736=ORIENTED_EDGE('',*,*,#189389,.T.); #253737=ORIENTED_EDGE('',*,*,#189606,.F.); #253738=ORIENTED_EDGE('',*,*,#189607,.T.); #253739=ORIENTED_EDGE('',*,*,#189608,.F.); #253740=ORIENTED_EDGE('',*,*,#189607,.F.); #253741=ORIENTED_EDGE('',*,*,#189609,.T.); #253742=ORIENTED_EDGE('',*,*,#189610,.T.); #253743=ORIENTED_EDGE('',*,*,#189611,.F.); #253744=ORIENTED_EDGE('',*,*,#189612,.F.); #253745=ORIENTED_EDGE('',*,*,#189613,.T.); #253746=ORIENTED_EDGE('',*,*,#189612,.T.); #253747=ORIENTED_EDGE('',*,*,#189614,.F.); #253748=ORIENTED_EDGE('',*,*,#189615,.F.); #253749=ORIENTED_EDGE('',*,*,#189616,.T.); #253750=ORIENTED_EDGE('',*,*,#189615,.T.); #253751=ORIENTED_EDGE('',*,*,#189617,.F.); #253752=ORIENTED_EDGE('',*,*,#189610,.F.); #253753=ORIENTED_EDGE('',*,*,#189617,.T.); #253754=ORIENTED_EDGE('',*,*,#189614,.T.); #253755=ORIENTED_EDGE('',*,*,#189611,.T.); #253756=ORIENTED_EDGE('',*,*,#189608,.T.); #253757=ORIENTED_EDGE('',*,*,#189616,.F.); #253758=ORIENTED_EDGE('',*,*,#189609,.F.); #253759=ORIENTED_EDGE('',*,*,#189613,.F.); #253760=ORIENTED_EDGE('',*,*,#189606,.T.); #253761=ORIENTED_EDGE('',*,*,#189618,.F.); #253762=ORIENTED_EDGE('',*,*,#189619,.T.); #253763=ORIENTED_EDGE('',*,*,#189620,.F.); #253764=ORIENTED_EDGE('',*,*,#189619,.F.); #253765=ORIENTED_EDGE('',*,*,#189621,.T.); #253766=ORIENTED_EDGE('',*,*,#189622,.T.); #253767=ORIENTED_EDGE('',*,*,#189623,.F.); #253768=ORIENTED_EDGE('',*,*,#189624,.F.); #253769=ORIENTED_EDGE('',*,*,#189625,.T.); #253770=ORIENTED_EDGE('',*,*,#189624,.T.); #253771=ORIENTED_EDGE('',*,*,#189626,.F.); #253772=ORIENTED_EDGE('',*,*,#189627,.F.); #253773=ORIENTED_EDGE('',*,*,#189628,.T.); #253774=ORIENTED_EDGE('',*,*,#189627,.T.); #253775=ORIENTED_EDGE('',*,*,#189629,.F.); #253776=ORIENTED_EDGE('',*,*,#189622,.F.); #253777=ORIENTED_EDGE('',*,*,#189629,.T.); #253778=ORIENTED_EDGE('',*,*,#189626,.T.); #253779=ORIENTED_EDGE('',*,*,#189623,.T.); #253780=ORIENTED_EDGE('',*,*,#189620,.T.); #253781=ORIENTED_EDGE('',*,*,#189628,.F.); #253782=ORIENTED_EDGE('',*,*,#189621,.F.); #253783=ORIENTED_EDGE('',*,*,#189625,.F.); #253784=ORIENTED_EDGE('',*,*,#189618,.T.); #253785=ORIENTED_EDGE('',*,*,#189630,.F.); #253786=ORIENTED_EDGE('',*,*,#189631,.F.); #253787=ORIENTED_EDGE('',*,*,#189632,.F.); #253788=ORIENTED_EDGE('',*,*,#189633,.T.); #253789=ORIENTED_EDGE('',*,*,#189634,.F.); #253790=ORIENTED_EDGE('',*,*,#189633,.F.); #253791=ORIENTED_EDGE('',*,*,#189635,.F.); #253792=ORIENTED_EDGE('',*,*,#189636,.T.); #253793=ORIENTED_EDGE('',*,*,#189637,.F.); #253794=ORIENTED_EDGE('',*,*,#189636,.F.); #253795=ORIENTED_EDGE('',*,*,#189638,.F.); #253796=ORIENTED_EDGE('',*,*,#189639,.T.); #253797=ORIENTED_EDGE('',*,*,#189640,.F.); #253798=ORIENTED_EDGE('',*,*,#189639,.F.); #253799=ORIENTED_EDGE('',*,*,#189641,.F.); #253800=ORIENTED_EDGE('',*,*,#189631,.T.); #253801=ORIENTED_EDGE('',*,*,#189642,.T.); #253802=ORIENTED_EDGE('',*,*,#189643,.T.); #253803=ORIENTED_EDGE('',*,*,#189644,.F.); #253804=ORIENTED_EDGE('',*,*,#189645,.F.); #253805=ORIENTED_EDGE('',*,*,#189646,.T.); #253806=ORIENTED_EDGE('',*,*,#189645,.T.); #253807=ORIENTED_EDGE('',*,*,#189647,.F.); #253808=ORIENTED_EDGE('',*,*,#189648,.F.); #253809=ORIENTED_EDGE('',*,*,#189649,.T.); #253810=ORIENTED_EDGE('',*,*,#189648,.T.); #253811=ORIENTED_EDGE('',*,*,#189650,.F.); #253812=ORIENTED_EDGE('',*,*,#189651,.F.); #253813=ORIENTED_EDGE('',*,*,#189652,.T.); #253814=ORIENTED_EDGE('',*,*,#189651,.T.); #253815=ORIENTED_EDGE('',*,*,#189653,.F.); #253816=ORIENTED_EDGE('',*,*,#189654,.F.); #253817=ORIENTED_EDGE('',*,*,#189655,.T.); #253818=ORIENTED_EDGE('',*,*,#189654,.T.); #253819=ORIENTED_EDGE('',*,*,#189656,.F.); #253820=ORIENTED_EDGE('',*,*,#189657,.F.); #253821=ORIENTED_EDGE('',*,*,#189658,.T.); #253822=ORIENTED_EDGE('',*,*,#189657,.T.); #253823=ORIENTED_EDGE('',*,*,#189659,.F.); #253824=ORIENTED_EDGE('',*,*,#189660,.F.); #253825=ORIENTED_EDGE('',*,*,#189661,.T.); #253826=ORIENTED_EDGE('',*,*,#189660,.T.); #253827=ORIENTED_EDGE('',*,*,#189662,.F.); #253828=ORIENTED_EDGE('',*,*,#189663,.F.); #253829=ORIENTED_EDGE('',*,*,#189664,.T.); #253830=ORIENTED_EDGE('',*,*,#189663,.T.); #253831=ORIENTED_EDGE('',*,*,#189665,.F.); #253832=ORIENTED_EDGE('',*,*,#189666,.F.); #253833=ORIENTED_EDGE('',*,*,#189667,.T.); #253834=ORIENTED_EDGE('',*,*,#189666,.T.); #253835=ORIENTED_EDGE('',*,*,#189668,.F.); #253836=ORIENTED_EDGE('',*,*,#189669,.F.); #253837=ORIENTED_EDGE('',*,*,#189670,.T.); #253838=ORIENTED_EDGE('',*,*,#189669,.T.); #253839=ORIENTED_EDGE('',*,*,#189671,.F.); #253840=ORIENTED_EDGE('',*,*,#189672,.F.); #253841=ORIENTED_EDGE('',*,*,#189673,.T.); #253842=ORIENTED_EDGE('',*,*,#189672,.T.); #253843=ORIENTED_EDGE('',*,*,#189674,.F.); #253844=ORIENTED_EDGE('',*,*,#189675,.F.); #253845=ORIENTED_EDGE('',*,*,#189676,.T.); #253846=ORIENTED_EDGE('',*,*,#189675,.T.); #253847=ORIENTED_EDGE('',*,*,#189677,.F.); #253848=ORIENTED_EDGE('',*,*,#189678,.F.); #253849=ORIENTED_EDGE('',*,*,#189679,.T.); #253850=ORIENTED_EDGE('',*,*,#189678,.T.); #253851=ORIENTED_EDGE('',*,*,#189680,.F.); #253852=ORIENTED_EDGE('',*,*,#189681,.F.); #253853=ORIENTED_EDGE('',*,*,#189682,.T.); #253854=ORIENTED_EDGE('',*,*,#189681,.T.); #253855=ORIENTED_EDGE('',*,*,#189683,.F.); #253856=ORIENTED_EDGE('',*,*,#189684,.F.); #253857=ORIENTED_EDGE('',*,*,#189685,.T.); #253858=ORIENTED_EDGE('',*,*,#189684,.T.); #253859=ORIENTED_EDGE('',*,*,#189686,.F.); #253860=ORIENTED_EDGE('',*,*,#189687,.F.); #253861=ORIENTED_EDGE('',*,*,#189688,.T.); #253862=ORIENTED_EDGE('',*,*,#189687,.T.); #253863=ORIENTED_EDGE('',*,*,#189689,.F.); #253864=ORIENTED_EDGE('',*,*,#189690,.F.); #253865=ORIENTED_EDGE('',*,*,#189691,.T.); #253866=ORIENTED_EDGE('',*,*,#189690,.T.); #253867=ORIENTED_EDGE('',*,*,#189692,.F.); #253868=ORIENTED_EDGE('',*,*,#189693,.F.); #253869=ORIENTED_EDGE('',*,*,#189694,.T.); #253870=ORIENTED_EDGE('',*,*,#189693,.T.); #253871=ORIENTED_EDGE('',*,*,#189695,.F.); #253872=ORIENTED_EDGE('',*,*,#189696,.F.); #253873=ORIENTED_EDGE('',*,*,#189697,.T.); #253874=ORIENTED_EDGE('',*,*,#189696,.T.); #253875=ORIENTED_EDGE('',*,*,#189698,.F.); #253876=ORIENTED_EDGE('',*,*,#189699,.F.); #253877=ORIENTED_EDGE('',*,*,#189700,.T.); #253878=ORIENTED_EDGE('',*,*,#189699,.T.); #253879=ORIENTED_EDGE('',*,*,#189701,.F.); #253880=ORIENTED_EDGE('',*,*,#189702,.F.); #253881=ORIENTED_EDGE('',*,*,#189703,.T.); #253882=ORIENTED_EDGE('',*,*,#189702,.T.); #253883=ORIENTED_EDGE('',*,*,#189704,.F.); #253884=ORIENTED_EDGE('',*,*,#189705,.F.); #253885=ORIENTED_EDGE('',*,*,#189706,.T.); #253886=ORIENTED_EDGE('',*,*,#189705,.T.); #253887=ORIENTED_EDGE('',*,*,#189707,.F.); #253888=ORIENTED_EDGE('',*,*,#189708,.F.); #253889=ORIENTED_EDGE('',*,*,#189709,.T.); #253890=ORIENTED_EDGE('',*,*,#189708,.T.); #253891=ORIENTED_EDGE('',*,*,#189710,.F.); #253892=ORIENTED_EDGE('',*,*,#189711,.F.); #253893=ORIENTED_EDGE('',*,*,#189712,.T.); #253894=ORIENTED_EDGE('',*,*,#189711,.T.); #253895=ORIENTED_EDGE('',*,*,#189713,.F.); #253896=ORIENTED_EDGE('',*,*,#189714,.F.); #253897=ORIENTED_EDGE('',*,*,#189715,.T.); #253898=ORIENTED_EDGE('',*,*,#189714,.T.); #253899=ORIENTED_EDGE('',*,*,#189716,.F.); #253900=ORIENTED_EDGE('',*,*,#189717,.F.); #253901=ORIENTED_EDGE('',*,*,#189718,.T.); #253902=ORIENTED_EDGE('',*,*,#189717,.T.); #253903=ORIENTED_EDGE('',*,*,#189719,.F.); #253904=ORIENTED_EDGE('',*,*,#189720,.F.); #253905=ORIENTED_EDGE('',*,*,#189721,.T.); #253906=ORIENTED_EDGE('',*,*,#189720,.T.); #253907=ORIENTED_EDGE('',*,*,#189722,.F.); #253908=ORIENTED_EDGE('',*,*,#189723,.F.); #253909=ORIENTED_EDGE('',*,*,#189724,.T.); #253910=ORIENTED_EDGE('',*,*,#189723,.T.); #253911=ORIENTED_EDGE('',*,*,#189725,.F.); #253912=ORIENTED_EDGE('',*,*,#189726,.F.); #253913=ORIENTED_EDGE('',*,*,#189727,.T.); #253914=ORIENTED_EDGE('',*,*,#189726,.T.); #253915=ORIENTED_EDGE('',*,*,#189728,.F.); #253916=ORIENTED_EDGE('',*,*,#189729,.F.); #253917=ORIENTED_EDGE('',*,*,#189730,.T.); #253918=ORIENTED_EDGE('',*,*,#189729,.T.); #253919=ORIENTED_EDGE('',*,*,#189731,.F.); #253920=ORIENTED_EDGE('',*,*,#189732,.F.); #253921=ORIENTED_EDGE('',*,*,#189733,.T.); #253922=ORIENTED_EDGE('',*,*,#189732,.T.); #253923=ORIENTED_EDGE('',*,*,#189734,.F.); #253924=ORIENTED_EDGE('',*,*,#189735,.F.); #253925=ORIENTED_EDGE('',*,*,#189736,.T.); #253926=ORIENTED_EDGE('',*,*,#189735,.T.); #253927=ORIENTED_EDGE('',*,*,#189737,.F.); #253928=ORIENTED_EDGE('',*,*,#189738,.F.); #253929=ORIENTED_EDGE('',*,*,#189739,.T.); #253930=ORIENTED_EDGE('',*,*,#189738,.T.); #253931=ORIENTED_EDGE('',*,*,#189740,.F.); #253932=ORIENTED_EDGE('',*,*,#189741,.F.); #253933=ORIENTED_EDGE('',*,*,#189742,.T.); #253934=ORIENTED_EDGE('',*,*,#189741,.T.); #253935=ORIENTED_EDGE('',*,*,#189743,.F.); #253936=ORIENTED_EDGE('',*,*,#189744,.F.); #253937=ORIENTED_EDGE('',*,*,#189745,.T.); #253938=ORIENTED_EDGE('',*,*,#189744,.T.); #253939=ORIENTED_EDGE('',*,*,#189746,.F.); #253940=ORIENTED_EDGE('',*,*,#189747,.F.); #253941=ORIENTED_EDGE('',*,*,#189748,.T.); #253942=ORIENTED_EDGE('',*,*,#189747,.T.); #253943=ORIENTED_EDGE('',*,*,#189749,.F.); #253944=ORIENTED_EDGE('',*,*,#189750,.F.); #253945=ORIENTED_EDGE('',*,*,#189751,.T.); #253946=ORIENTED_EDGE('',*,*,#189750,.T.); #253947=ORIENTED_EDGE('',*,*,#189752,.F.); #253948=ORIENTED_EDGE('',*,*,#189753,.F.); #253949=ORIENTED_EDGE('',*,*,#189754,.T.); #253950=ORIENTED_EDGE('',*,*,#189753,.T.); #253951=ORIENTED_EDGE('',*,*,#189755,.F.); #253952=ORIENTED_EDGE('',*,*,#189756,.F.); #253953=ORIENTED_EDGE('',*,*,#189757,.T.); #253954=ORIENTED_EDGE('',*,*,#189756,.T.); #253955=ORIENTED_EDGE('',*,*,#189758,.F.); #253956=ORIENTED_EDGE('',*,*,#189759,.F.); #253957=ORIENTED_EDGE('',*,*,#189760,.T.); #253958=ORIENTED_EDGE('',*,*,#189759,.T.); #253959=ORIENTED_EDGE('',*,*,#189761,.F.); #253960=ORIENTED_EDGE('',*,*,#189762,.F.); #253961=ORIENTED_EDGE('',*,*,#189763,.T.); #253962=ORIENTED_EDGE('',*,*,#189762,.T.); #253963=ORIENTED_EDGE('',*,*,#189764,.F.); #253964=ORIENTED_EDGE('',*,*,#189765,.F.); #253965=ORIENTED_EDGE('',*,*,#189766,.T.); #253966=ORIENTED_EDGE('',*,*,#189765,.T.); #253967=ORIENTED_EDGE('',*,*,#189767,.F.); #253968=ORIENTED_EDGE('',*,*,#189768,.F.); #253969=ORIENTED_EDGE('',*,*,#189769,.T.); #253970=ORIENTED_EDGE('',*,*,#189768,.T.); #253971=ORIENTED_EDGE('',*,*,#189770,.F.); #253972=ORIENTED_EDGE('',*,*,#189771,.F.); #253973=ORIENTED_EDGE('',*,*,#189772,.T.); #253974=ORIENTED_EDGE('',*,*,#189771,.T.); #253975=ORIENTED_EDGE('',*,*,#189773,.F.); #253976=ORIENTED_EDGE('',*,*,#189774,.F.); #253977=ORIENTED_EDGE('',*,*,#189775,.T.); #253978=ORIENTED_EDGE('',*,*,#189774,.T.); #253979=ORIENTED_EDGE('',*,*,#189776,.F.); #253980=ORIENTED_EDGE('',*,*,#189777,.F.); #253981=ORIENTED_EDGE('',*,*,#189778,.T.); #253982=ORIENTED_EDGE('',*,*,#189777,.T.); #253983=ORIENTED_EDGE('',*,*,#189779,.F.); #253984=ORIENTED_EDGE('',*,*,#189780,.F.); #253985=ORIENTED_EDGE('',*,*,#189781,.T.); #253986=ORIENTED_EDGE('',*,*,#189780,.T.); #253987=ORIENTED_EDGE('',*,*,#189782,.F.); #253988=ORIENTED_EDGE('',*,*,#189783,.F.); #253989=ORIENTED_EDGE('',*,*,#189784,.T.); #253990=ORIENTED_EDGE('',*,*,#189783,.T.); #253991=ORIENTED_EDGE('',*,*,#189785,.F.); #253992=ORIENTED_EDGE('',*,*,#189786,.F.); #253993=ORIENTED_EDGE('',*,*,#189787,.T.); #253994=ORIENTED_EDGE('',*,*,#189786,.T.); #253995=ORIENTED_EDGE('',*,*,#189788,.F.); #253996=ORIENTED_EDGE('',*,*,#189789,.F.); #253997=ORIENTED_EDGE('',*,*,#189790,.T.); #253998=ORIENTED_EDGE('',*,*,#189789,.T.); #253999=ORIENTED_EDGE('',*,*,#189791,.F.); #254000=ORIENTED_EDGE('',*,*,#189792,.F.); #254001=ORIENTED_EDGE('',*,*,#189793,.T.); #254002=ORIENTED_EDGE('',*,*,#189792,.T.); #254003=ORIENTED_EDGE('',*,*,#189794,.F.); #254004=ORIENTED_EDGE('',*,*,#189795,.F.); #254005=ORIENTED_EDGE('',*,*,#189796,.T.); #254006=ORIENTED_EDGE('',*,*,#189795,.T.); #254007=ORIENTED_EDGE('',*,*,#189797,.F.); #254008=ORIENTED_EDGE('',*,*,#189798,.F.); #254009=ORIENTED_EDGE('',*,*,#189799,.T.); #254010=ORIENTED_EDGE('',*,*,#189798,.T.); #254011=ORIENTED_EDGE('',*,*,#189800,.F.); #254012=ORIENTED_EDGE('',*,*,#189801,.F.); #254013=ORIENTED_EDGE('',*,*,#189802,.T.); #254014=ORIENTED_EDGE('',*,*,#189801,.T.); #254015=ORIENTED_EDGE('',*,*,#189803,.F.); #254016=ORIENTED_EDGE('',*,*,#189804,.F.); #254017=ORIENTED_EDGE('',*,*,#189805,.T.); #254018=ORIENTED_EDGE('',*,*,#189804,.T.); #254019=ORIENTED_EDGE('',*,*,#189806,.F.); #254020=ORIENTED_EDGE('',*,*,#189807,.F.); #254021=ORIENTED_EDGE('',*,*,#189808,.T.); #254022=ORIENTED_EDGE('',*,*,#189807,.T.); #254023=ORIENTED_EDGE('',*,*,#189809,.F.); #254024=ORIENTED_EDGE('',*,*,#189643,.F.); #254025=ORIENTED_EDGE('',*,*,#189809,.T.); #254026=ORIENTED_EDGE('',*,*,#189806,.T.); #254027=ORIENTED_EDGE('',*,*,#189803,.T.); #254028=ORIENTED_EDGE('',*,*,#189800,.T.); #254029=ORIENTED_EDGE('',*,*,#189797,.T.); #254030=ORIENTED_EDGE('',*,*,#189794,.T.); #254031=ORIENTED_EDGE('',*,*,#189791,.T.); #254032=ORIENTED_EDGE('',*,*,#189788,.T.); #254033=ORIENTED_EDGE('',*,*,#189785,.T.); #254034=ORIENTED_EDGE('',*,*,#189782,.T.); #254035=ORIENTED_EDGE('',*,*,#189779,.T.); #254036=ORIENTED_EDGE('',*,*,#189776,.T.); #254037=ORIENTED_EDGE('',*,*,#189773,.T.); #254038=ORIENTED_EDGE('',*,*,#189770,.T.); #254039=ORIENTED_EDGE('',*,*,#189767,.T.); #254040=ORIENTED_EDGE('',*,*,#189764,.T.); #254041=ORIENTED_EDGE('',*,*,#189761,.T.); #254042=ORIENTED_EDGE('',*,*,#189758,.T.); #254043=ORIENTED_EDGE('',*,*,#189755,.T.); #254044=ORIENTED_EDGE('',*,*,#189752,.T.); #254045=ORIENTED_EDGE('',*,*,#189749,.T.); #254046=ORIENTED_EDGE('',*,*,#189746,.T.); #254047=ORIENTED_EDGE('',*,*,#189743,.T.); #254048=ORIENTED_EDGE('',*,*,#189740,.T.); #254049=ORIENTED_EDGE('',*,*,#189737,.T.); #254050=ORIENTED_EDGE('',*,*,#189734,.T.); #254051=ORIENTED_EDGE('',*,*,#189731,.T.); #254052=ORIENTED_EDGE('',*,*,#189728,.T.); #254053=ORIENTED_EDGE('',*,*,#189725,.T.); #254054=ORIENTED_EDGE('',*,*,#189722,.T.); #254055=ORIENTED_EDGE('',*,*,#189719,.T.); #254056=ORIENTED_EDGE('',*,*,#189716,.T.); #254057=ORIENTED_EDGE('',*,*,#189713,.T.); #254058=ORIENTED_EDGE('',*,*,#189710,.T.); #254059=ORIENTED_EDGE('',*,*,#189707,.T.); #254060=ORIENTED_EDGE('',*,*,#189704,.T.); #254061=ORIENTED_EDGE('',*,*,#189701,.T.); #254062=ORIENTED_EDGE('',*,*,#189698,.T.); #254063=ORIENTED_EDGE('',*,*,#189695,.T.); #254064=ORIENTED_EDGE('',*,*,#189692,.T.); #254065=ORIENTED_EDGE('',*,*,#189689,.T.); #254066=ORIENTED_EDGE('',*,*,#189686,.T.); #254067=ORIENTED_EDGE('',*,*,#189683,.T.); #254068=ORIENTED_EDGE('',*,*,#189680,.T.); #254069=ORIENTED_EDGE('',*,*,#189677,.T.); #254070=ORIENTED_EDGE('',*,*,#189674,.T.); #254071=ORIENTED_EDGE('',*,*,#189671,.T.); #254072=ORIENTED_EDGE('',*,*,#189668,.T.); #254073=ORIENTED_EDGE('',*,*,#189665,.T.); #254074=ORIENTED_EDGE('',*,*,#189662,.T.); #254075=ORIENTED_EDGE('',*,*,#189659,.T.); #254076=ORIENTED_EDGE('',*,*,#189656,.T.); #254077=ORIENTED_EDGE('',*,*,#189653,.T.); #254078=ORIENTED_EDGE('',*,*,#189650,.T.); #254079=ORIENTED_EDGE('',*,*,#189647,.T.); #254080=ORIENTED_EDGE('',*,*,#189644,.T.); #254081=ORIENTED_EDGE('',*,*,#189637,.T.); #254082=ORIENTED_EDGE('',*,*,#189640,.T.); #254083=ORIENTED_EDGE('',*,*,#189630,.T.); #254084=ORIENTED_EDGE('',*,*,#189634,.T.); #254085=ORIENTED_EDGE('',*,*,#189808,.F.); #254086=ORIENTED_EDGE('',*,*,#189642,.F.); #254087=ORIENTED_EDGE('',*,*,#189646,.F.); #254088=ORIENTED_EDGE('',*,*,#189649,.F.); #254089=ORIENTED_EDGE('',*,*,#189652,.F.); #254090=ORIENTED_EDGE('',*,*,#189655,.F.); #254091=ORIENTED_EDGE('',*,*,#189658,.F.); #254092=ORIENTED_EDGE('',*,*,#189661,.F.); #254093=ORIENTED_EDGE('',*,*,#189664,.F.); #254094=ORIENTED_EDGE('',*,*,#189667,.F.); #254095=ORIENTED_EDGE('',*,*,#189670,.F.); #254096=ORIENTED_EDGE('',*,*,#189673,.F.); #254097=ORIENTED_EDGE('',*,*,#189676,.F.); #254098=ORIENTED_EDGE('',*,*,#189679,.F.); #254099=ORIENTED_EDGE('',*,*,#189682,.F.); #254100=ORIENTED_EDGE('',*,*,#189685,.F.); #254101=ORIENTED_EDGE('',*,*,#189688,.F.); #254102=ORIENTED_EDGE('',*,*,#189691,.F.); #254103=ORIENTED_EDGE('',*,*,#189694,.F.); #254104=ORIENTED_EDGE('',*,*,#189697,.F.); #254105=ORIENTED_EDGE('',*,*,#189700,.F.); #254106=ORIENTED_EDGE('',*,*,#189703,.F.); #254107=ORIENTED_EDGE('',*,*,#189706,.F.); #254108=ORIENTED_EDGE('',*,*,#189709,.F.); #254109=ORIENTED_EDGE('',*,*,#189712,.F.); #254110=ORIENTED_EDGE('',*,*,#189715,.F.); #254111=ORIENTED_EDGE('',*,*,#189718,.F.); #254112=ORIENTED_EDGE('',*,*,#189721,.F.); #254113=ORIENTED_EDGE('',*,*,#189724,.F.); #254114=ORIENTED_EDGE('',*,*,#189727,.F.); #254115=ORIENTED_EDGE('',*,*,#189730,.F.); #254116=ORIENTED_EDGE('',*,*,#189733,.F.); #254117=ORIENTED_EDGE('',*,*,#189736,.F.); #254118=ORIENTED_EDGE('',*,*,#189739,.F.); #254119=ORIENTED_EDGE('',*,*,#189742,.F.); #254120=ORIENTED_EDGE('',*,*,#189745,.F.); #254121=ORIENTED_EDGE('',*,*,#189748,.F.); #254122=ORIENTED_EDGE('',*,*,#189751,.F.); #254123=ORIENTED_EDGE('',*,*,#189754,.F.); #254124=ORIENTED_EDGE('',*,*,#189757,.F.); #254125=ORIENTED_EDGE('',*,*,#189760,.F.); #254126=ORIENTED_EDGE('',*,*,#189763,.F.); #254127=ORIENTED_EDGE('',*,*,#189766,.F.); #254128=ORIENTED_EDGE('',*,*,#189769,.F.); #254129=ORIENTED_EDGE('',*,*,#189772,.F.); #254130=ORIENTED_EDGE('',*,*,#189775,.F.); #254131=ORIENTED_EDGE('',*,*,#189778,.F.); #254132=ORIENTED_EDGE('',*,*,#189781,.F.); #254133=ORIENTED_EDGE('',*,*,#189784,.F.); #254134=ORIENTED_EDGE('',*,*,#189787,.F.); #254135=ORIENTED_EDGE('',*,*,#189790,.F.); #254136=ORIENTED_EDGE('',*,*,#189793,.F.); #254137=ORIENTED_EDGE('',*,*,#189796,.F.); #254138=ORIENTED_EDGE('',*,*,#189799,.F.); #254139=ORIENTED_EDGE('',*,*,#189802,.F.); #254140=ORIENTED_EDGE('',*,*,#189805,.F.); #254141=ORIENTED_EDGE('',*,*,#189638,.T.); #254142=ORIENTED_EDGE('',*,*,#189635,.T.); #254143=ORIENTED_EDGE('',*,*,#189632,.T.); #254144=ORIENTED_EDGE('',*,*,#189641,.T.); #254145=ORIENTED_EDGE('',*,*,#189810,.F.); #254146=ORIENTED_EDGE('',*,*,#189811,.T.); #254147=ORIENTED_EDGE('',*,*,#189812,.F.); #254148=ORIENTED_EDGE('',*,*,#189811,.F.); #254149=ORIENTED_EDGE('',*,*,#189813,.T.); #254150=ORIENTED_EDGE('',*,*,#189814,.T.); #254151=ORIENTED_EDGE('',*,*,#189815,.F.); #254152=ORIENTED_EDGE('',*,*,#189816,.F.); #254153=ORIENTED_EDGE('',*,*,#189817,.T.); #254154=ORIENTED_EDGE('',*,*,#189816,.T.); #254155=ORIENTED_EDGE('',*,*,#189818,.F.); #254156=ORIENTED_EDGE('',*,*,#189819,.F.); #254157=ORIENTED_EDGE('',*,*,#189820,.T.); #254158=ORIENTED_EDGE('',*,*,#189819,.T.); #254159=ORIENTED_EDGE('',*,*,#189821,.F.); #254160=ORIENTED_EDGE('',*,*,#189814,.F.); #254161=ORIENTED_EDGE('',*,*,#189821,.T.); #254162=ORIENTED_EDGE('',*,*,#189818,.T.); #254163=ORIENTED_EDGE('',*,*,#189815,.T.); #254164=ORIENTED_EDGE('',*,*,#189812,.T.); #254165=ORIENTED_EDGE('',*,*,#189820,.F.); #254166=ORIENTED_EDGE('',*,*,#189813,.F.); #254167=ORIENTED_EDGE('',*,*,#189817,.F.); #254168=ORIENTED_EDGE('',*,*,#189810,.T.); #254169=ORIENTED_EDGE('',*,*,#189822,.F.); #254170=ORIENTED_EDGE('',*,*,#189823,.T.); #254171=ORIENTED_EDGE('',*,*,#189824,.F.); #254172=ORIENTED_EDGE('',*,*,#189823,.F.); #254173=ORIENTED_EDGE('',*,*,#189825,.T.); #254174=ORIENTED_EDGE('',*,*,#189826,.T.); #254175=ORIENTED_EDGE('',*,*,#189827,.F.); #254176=ORIENTED_EDGE('',*,*,#189828,.F.); #254177=ORIENTED_EDGE('',*,*,#189829,.T.); #254178=ORIENTED_EDGE('',*,*,#189828,.T.); #254179=ORIENTED_EDGE('',*,*,#189830,.F.); #254180=ORIENTED_EDGE('',*,*,#189831,.F.); #254181=ORIENTED_EDGE('',*,*,#189832,.T.); #254182=ORIENTED_EDGE('',*,*,#189831,.T.); #254183=ORIENTED_EDGE('',*,*,#189833,.F.); #254184=ORIENTED_EDGE('',*,*,#189826,.F.); #254185=ORIENTED_EDGE('',*,*,#189833,.T.); #254186=ORIENTED_EDGE('',*,*,#189830,.T.); #254187=ORIENTED_EDGE('',*,*,#189827,.T.); #254188=ORIENTED_EDGE('',*,*,#189824,.T.); #254189=ORIENTED_EDGE('',*,*,#189832,.F.); #254190=ORIENTED_EDGE('',*,*,#189825,.F.); #254191=ORIENTED_EDGE('',*,*,#189829,.F.); #254192=ORIENTED_EDGE('',*,*,#189822,.T.); #254193=ORIENTED_EDGE('',*,*,#189834,.F.); #254194=ORIENTED_EDGE('',*,*,#189835,.T.); #254195=ORIENTED_EDGE('',*,*,#189836,.F.); #254196=ORIENTED_EDGE('',*,*,#189835,.F.); #254197=ORIENTED_EDGE('',*,*,#189837,.T.); #254198=ORIENTED_EDGE('',*,*,#189838,.T.); #254199=ORIENTED_EDGE('',*,*,#189839,.F.); #254200=ORIENTED_EDGE('',*,*,#189840,.F.); #254201=ORIENTED_EDGE('',*,*,#189841,.T.); #254202=ORIENTED_EDGE('',*,*,#189840,.T.); #254203=ORIENTED_EDGE('',*,*,#189842,.F.); #254204=ORIENTED_EDGE('',*,*,#189843,.F.); #254205=ORIENTED_EDGE('',*,*,#189844,.T.); #254206=ORIENTED_EDGE('',*,*,#189843,.T.); #254207=ORIENTED_EDGE('',*,*,#189845,.F.); #254208=ORIENTED_EDGE('',*,*,#189838,.F.); #254209=ORIENTED_EDGE('',*,*,#189845,.T.); #254210=ORIENTED_EDGE('',*,*,#189842,.T.); #254211=ORIENTED_EDGE('',*,*,#189839,.T.); #254212=ORIENTED_EDGE('',*,*,#189836,.T.); #254213=ORIENTED_EDGE('',*,*,#189844,.F.); #254214=ORIENTED_EDGE('',*,*,#189837,.F.); #254215=ORIENTED_EDGE('',*,*,#189841,.F.); #254216=ORIENTED_EDGE('',*,*,#189834,.T.); #254217=ORIENTED_EDGE('',*,*,#189846,.F.); #254218=ORIENTED_EDGE('',*,*,#189847,.T.); #254219=ORIENTED_EDGE('',*,*,#189848,.F.); #254220=ORIENTED_EDGE('',*,*,#189847,.F.); #254221=ORIENTED_EDGE('',*,*,#189849,.T.); #254222=ORIENTED_EDGE('',*,*,#189850,.T.); #254223=ORIENTED_EDGE('',*,*,#189851,.F.); #254224=ORIENTED_EDGE('',*,*,#189852,.F.); #254225=ORIENTED_EDGE('',*,*,#189853,.T.); #254226=ORIENTED_EDGE('',*,*,#189852,.T.); #254227=ORIENTED_EDGE('',*,*,#189854,.F.); #254228=ORIENTED_EDGE('',*,*,#189855,.F.); #254229=ORIENTED_EDGE('',*,*,#189856,.T.); #254230=ORIENTED_EDGE('',*,*,#189855,.T.); #254231=ORIENTED_EDGE('',*,*,#189857,.F.); #254232=ORIENTED_EDGE('',*,*,#189858,.F.); #254233=ORIENTED_EDGE('',*,*,#189859,.T.); #254234=ORIENTED_EDGE('',*,*,#189858,.T.); #254235=ORIENTED_EDGE('',*,*,#189860,.F.); #254236=ORIENTED_EDGE('',*,*,#189850,.F.); #254237=ORIENTED_EDGE('',*,*,#189860,.T.); #254238=ORIENTED_EDGE('',*,*,#189857,.T.); #254239=ORIENTED_EDGE('',*,*,#189854,.T.); #254240=ORIENTED_EDGE('',*,*,#189851,.T.); #254241=ORIENTED_EDGE('',*,*,#189848,.T.); #254242=ORIENTED_EDGE('',*,*,#189859,.F.); #254243=ORIENTED_EDGE('',*,*,#189849,.F.); #254244=ORIENTED_EDGE('',*,*,#189853,.F.); #254245=ORIENTED_EDGE('',*,*,#189856,.F.); #254246=ORIENTED_EDGE('',*,*,#189846,.T.); #254247=ORIENTED_EDGE('',*,*,#189861,.F.); #254248=ORIENTED_EDGE('',*,*,#189862,.T.); #254249=ORIENTED_EDGE('',*,*,#189863,.F.); #254250=ORIENTED_EDGE('',*,*,#189862,.F.); #254251=ORIENTED_EDGE('',*,*,#189864,.T.); #254252=ORIENTED_EDGE('',*,*,#189865,.T.); #254253=ORIENTED_EDGE('',*,*,#189866,.F.); #254254=ORIENTED_EDGE('',*,*,#189867,.F.); #254255=ORIENTED_EDGE('',*,*,#189868,.T.); #254256=ORIENTED_EDGE('',*,*,#189867,.T.); #254257=ORIENTED_EDGE('',*,*,#189869,.F.); #254258=ORIENTED_EDGE('',*,*,#189870,.F.); #254259=ORIENTED_EDGE('',*,*,#189871,.T.); #254260=ORIENTED_EDGE('',*,*,#189870,.T.); #254261=ORIENTED_EDGE('',*,*,#189872,.F.); #254262=ORIENTED_EDGE('',*,*,#189865,.F.); #254263=ORIENTED_EDGE('',*,*,#189872,.T.); #254264=ORIENTED_EDGE('',*,*,#189869,.T.); #254265=ORIENTED_EDGE('',*,*,#189866,.T.); #254266=ORIENTED_EDGE('',*,*,#189863,.T.); #254267=ORIENTED_EDGE('',*,*,#189871,.F.); #254268=ORIENTED_EDGE('',*,*,#189864,.F.); #254269=ORIENTED_EDGE('',*,*,#189868,.F.); #254270=ORIENTED_EDGE('',*,*,#189861,.T.); #254271=ORIENTED_EDGE('',*,*,#189873,.T.); #254272=ORIENTED_EDGE('',*,*,#189874,.T.); #254273=ORIENTED_EDGE('',*,*,#189875,.F.); #254274=ORIENTED_EDGE('',*,*,#189876,.F.); #254275=ORIENTED_EDGE('',*,*,#189877,.T.); #254276=ORIENTED_EDGE('',*,*,#189876,.T.); #254277=ORIENTED_EDGE('',*,*,#189878,.F.); #254278=ORIENTED_EDGE('',*,*,#189879,.F.); #254279=ORIENTED_EDGE('',*,*,#189880,.T.); #254280=ORIENTED_EDGE('',*,*,#189879,.T.); #254281=ORIENTED_EDGE('',*,*,#189881,.F.); #254282=ORIENTED_EDGE('',*,*,#189882,.F.); #254283=ORIENTED_EDGE('',*,*,#189883,.T.); #254284=ORIENTED_EDGE('',*,*,#189882,.T.); #254285=ORIENTED_EDGE('',*,*,#189884,.F.); #254286=ORIENTED_EDGE('',*,*,#189874,.F.); #254287=ORIENTED_EDGE('',*,*,#189884,.T.); #254288=ORIENTED_EDGE('',*,*,#189881,.T.); #254289=ORIENTED_EDGE('',*,*,#189878,.T.); #254290=ORIENTED_EDGE('',*,*,#189875,.T.); #254291=ORIENTED_EDGE('',*,*,#189883,.F.); #254292=ORIENTED_EDGE('',*,*,#189873,.F.); #254293=ORIENTED_EDGE('',*,*,#189877,.F.); #254294=ORIENTED_EDGE('',*,*,#189880,.F.); #254295=ORIENTED_EDGE('',*,*,#189885,.T.); #254296=ORIENTED_EDGE('',*,*,#189886,.T.); #254297=ORIENTED_EDGE('',*,*,#189887,.F.); #254298=ORIENTED_EDGE('',*,*,#189888,.F.); #254299=ORIENTED_EDGE('',*,*,#189889,.T.); #254300=ORIENTED_EDGE('',*,*,#189888,.T.); #254301=ORIENTED_EDGE('',*,*,#189890,.F.); #254302=ORIENTED_EDGE('',*,*,#189891,.F.); #254303=ORIENTED_EDGE('',*,*,#189892,.T.); #254304=ORIENTED_EDGE('',*,*,#189891,.T.); #254305=ORIENTED_EDGE('',*,*,#189893,.F.); #254306=ORIENTED_EDGE('',*,*,#189894,.F.); #254307=ORIENTED_EDGE('',*,*,#189895,.T.); #254308=ORIENTED_EDGE('',*,*,#189894,.T.); #254309=ORIENTED_EDGE('',*,*,#189896,.F.); #254310=ORIENTED_EDGE('',*,*,#189886,.F.); #254311=ORIENTED_EDGE('',*,*,#189896,.T.); #254312=ORIENTED_EDGE('',*,*,#189893,.T.); #254313=ORIENTED_EDGE('',*,*,#189890,.T.); #254314=ORIENTED_EDGE('',*,*,#189887,.T.); #254315=ORIENTED_EDGE('',*,*,#189895,.F.); #254316=ORIENTED_EDGE('',*,*,#189885,.F.); #254317=ORIENTED_EDGE('',*,*,#189889,.F.); #254318=ORIENTED_EDGE('',*,*,#189892,.F.); #254319=ORIENTED_EDGE('',*,*,#189897,.T.); #254320=ORIENTED_EDGE('',*,*,#189898,.T.); #254321=ORIENTED_EDGE('',*,*,#189899,.F.); #254322=ORIENTED_EDGE('',*,*,#189900,.F.); #254323=ORIENTED_EDGE('',*,*,#189901,.T.); #254324=ORIENTED_EDGE('',*,*,#189900,.T.); #254325=ORIENTED_EDGE('',*,*,#189902,.F.); #254326=ORIENTED_EDGE('',*,*,#189903,.F.); #254327=ORIENTED_EDGE('',*,*,#189904,.T.); #254328=ORIENTED_EDGE('',*,*,#189903,.T.); #254329=ORIENTED_EDGE('',*,*,#189905,.F.); #254330=ORIENTED_EDGE('',*,*,#189906,.F.); #254331=ORIENTED_EDGE('',*,*,#189907,.T.); #254332=ORIENTED_EDGE('',*,*,#189906,.T.); #254333=ORIENTED_EDGE('',*,*,#189908,.F.); #254334=ORIENTED_EDGE('',*,*,#189898,.F.); #254335=ORIENTED_EDGE('',*,*,#189908,.T.); #254336=ORIENTED_EDGE('',*,*,#189905,.T.); #254337=ORIENTED_EDGE('',*,*,#189902,.T.); #254338=ORIENTED_EDGE('',*,*,#189899,.T.); #254339=ORIENTED_EDGE('',*,*,#189907,.F.); #254340=ORIENTED_EDGE('',*,*,#189897,.F.); #254341=ORIENTED_EDGE('',*,*,#189901,.F.); #254342=ORIENTED_EDGE('',*,*,#189904,.F.); #254343=ORIENTED_EDGE('',*,*,#189909,.T.); #254344=ORIENTED_EDGE('',*,*,#189910,.T.); #254345=ORIENTED_EDGE('',*,*,#189911,.F.); #254346=ORIENTED_EDGE('',*,*,#189912,.F.); #254347=ORIENTED_EDGE('',*,*,#189913,.T.); #254348=ORIENTED_EDGE('',*,*,#189912,.T.); #254349=ORIENTED_EDGE('',*,*,#189914,.F.); #254350=ORIENTED_EDGE('',*,*,#189915,.F.); #254351=ORIENTED_EDGE('',*,*,#189916,.T.); #254352=ORIENTED_EDGE('',*,*,#189915,.T.); #254353=ORIENTED_EDGE('',*,*,#189917,.F.); #254354=ORIENTED_EDGE('',*,*,#189918,.F.); #254355=ORIENTED_EDGE('',*,*,#189919,.T.); #254356=ORIENTED_EDGE('',*,*,#189918,.T.); #254357=ORIENTED_EDGE('',*,*,#189920,.F.); #254358=ORIENTED_EDGE('',*,*,#189910,.F.); #254359=ORIENTED_EDGE('',*,*,#189920,.T.); #254360=ORIENTED_EDGE('',*,*,#189917,.T.); #254361=ORIENTED_EDGE('',*,*,#189914,.T.); #254362=ORIENTED_EDGE('',*,*,#189911,.T.); #254363=ORIENTED_EDGE('',*,*,#189919,.F.); #254364=ORIENTED_EDGE('',*,*,#189909,.F.); #254365=ORIENTED_EDGE('',*,*,#189913,.F.); #254366=ORIENTED_EDGE('',*,*,#189916,.F.); #254367=ORIENTED_EDGE('',*,*,#189921,.T.); #254368=ORIENTED_EDGE('',*,*,#189922,.T.); #254369=ORIENTED_EDGE('',*,*,#189923,.F.); #254370=ORIENTED_EDGE('',*,*,#189924,.F.); #254371=ORIENTED_EDGE('',*,*,#189925,.T.); #254372=ORIENTED_EDGE('',*,*,#189924,.T.); #254373=ORIENTED_EDGE('',*,*,#189926,.F.); #254374=ORIENTED_EDGE('',*,*,#189927,.F.); #254375=ORIENTED_EDGE('',*,*,#189928,.T.); #254376=ORIENTED_EDGE('',*,*,#189927,.T.); #254377=ORIENTED_EDGE('',*,*,#189929,.F.); #254378=ORIENTED_EDGE('',*,*,#189930,.F.); #254379=ORIENTED_EDGE('',*,*,#189931,.T.); #254380=ORIENTED_EDGE('',*,*,#189930,.T.); #254381=ORIENTED_EDGE('',*,*,#189932,.F.); #254382=ORIENTED_EDGE('',*,*,#189922,.F.); #254383=ORIENTED_EDGE('',*,*,#189932,.T.); #254384=ORIENTED_EDGE('',*,*,#189929,.T.); #254385=ORIENTED_EDGE('',*,*,#189926,.T.); #254386=ORIENTED_EDGE('',*,*,#189923,.T.); #254387=ORIENTED_EDGE('',*,*,#189931,.F.); #254388=ORIENTED_EDGE('',*,*,#189921,.F.); #254389=ORIENTED_EDGE('',*,*,#189925,.F.); #254390=ORIENTED_EDGE('',*,*,#189928,.F.); #254391=ORIENTED_EDGE('',*,*,#189933,.T.); #254392=ORIENTED_EDGE('',*,*,#189934,.T.); #254393=ORIENTED_EDGE('',*,*,#189935,.F.); #254394=ORIENTED_EDGE('',*,*,#189936,.F.); #254395=ORIENTED_EDGE('',*,*,#189937,.T.); #254396=ORIENTED_EDGE('',*,*,#189936,.T.); #254397=ORIENTED_EDGE('',*,*,#189938,.F.); #254398=ORIENTED_EDGE('',*,*,#189939,.F.); #254399=ORIENTED_EDGE('',*,*,#189940,.T.); #254400=ORIENTED_EDGE('',*,*,#189939,.T.); #254401=ORIENTED_EDGE('',*,*,#189941,.F.); #254402=ORIENTED_EDGE('',*,*,#189942,.F.); #254403=ORIENTED_EDGE('',*,*,#189943,.T.); #254404=ORIENTED_EDGE('',*,*,#189942,.T.); #254405=ORIENTED_EDGE('',*,*,#189944,.F.); #254406=ORIENTED_EDGE('',*,*,#189934,.F.); #254407=ORIENTED_EDGE('',*,*,#189944,.T.); #254408=ORIENTED_EDGE('',*,*,#189941,.T.); #254409=ORIENTED_EDGE('',*,*,#189938,.T.); #254410=ORIENTED_EDGE('',*,*,#189935,.T.); #254411=ORIENTED_EDGE('',*,*,#189943,.F.); #254412=ORIENTED_EDGE('',*,*,#189933,.F.); #254413=ORIENTED_EDGE('',*,*,#189937,.F.); #254414=ORIENTED_EDGE('',*,*,#189940,.F.); #254415=ORIENTED_EDGE('',*,*,#189945,.T.); #254416=ORIENTED_EDGE('',*,*,#189946,.T.); #254417=ORIENTED_EDGE('',*,*,#189947,.F.); #254418=ORIENTED_EDGE('',*,*,#189948,.F.); #254419=ORIENTED_EDGE('',*,*,#189949,.T.); #254420=ORIENTED_EDGE('',*,*,#189948,.T.); #254421=ORIENTED_EDGE('',*,*,#189950,.F.); #254422=ORIENTED_EDGE('',*,*,#189951,.F.); #254423=ORIENTED_EDGE('',*,*,#189952,.T.); #254424=ORIENTED_EDGE('',*,*,#189951,.T.); #254425=ORIENTED_EDGE('',*,*,#189953,.F.); #254426=ORIENTED_EDGE('',*,*,#189954,.F.); #254427=ORIENTED_EDGE('',*,*,#189955,.T.); #254428=ORIENTED_EDGE('',*,*,#189954,.T.); #254429=ORIENTED_EDGE('',*,*,#189956,.F.); #254430=ORIENTED_EDGE('',*,*,#189946,.F.); #254431=ORIENTED_EDGE('',*,*,#189956,.T.); #254432=ORIENTED_EDGE('',*,*,#189953,.T.); #254433=ORIENTED_EDGE('',*,*,#189950,.T.); #254434=ORIENTED_EDGE('',*,*,#189947,.T.); #254435=ORIENTED_EDGE('',*,*,#189955,.F.); #254436=ORIENTED_EDGE('',*,*,#189945,.F.); #254437=ORIENTED_EDGE('',*,*,#189949,.F.); #254438=ORIENTED_EDGE('',*,*,#189952,.F.); #254439=ORIENTED_EDGE('',*,*,#189957,.T.); #254440=ORIENTED_EDGE('',*,*,#189958,.T.); #254441=ORIENTED_EDGE('',*,*,#189959,.F.); #254442=ORIENTED_EDGE('',*,*,#189960,.F.); #254443=ORIENTED_EDGE('',*,*,#189961,.T.); #254444=ORIENTED_EDGE('',*,*,#189960,.T.); #254445=ORIENTED_EDGE('',*,*,#189962,.F.); #254446=ORIENTED_EDGE('',*,*,#189963,.F.); #254447=ORIENTED_EDGE('',*,*,#189964,.T.); #254448=ORIENTED_EDGE('',*,*,#189963,.T.); #254449=ORIENTED_EDGE('',*,*,#189965,.F.); #254450=ORIENTED_EDGE('',*,*,#189966,.F.); #254451=ORIENTED_EDGE('',*,*,#189967,.T.); #254452=ORIENTED_EDGE('',*,*,#189966,.T.); #254453=ORIENTED_EDGE('',*,*,#189968,.F.); #254454=ORIENTED_EDGE('',*,*,#189958,.F.); #254455=ORIENTED_EDGE('',*,*,#189968,.T.); #254456=ORIENTED_EDGE('',*,*,#189965,.T.); #254457=ORIENTED_EDGE('',*,*,#189962,.T.); #254458=ORIENTED_EDGE('',*,*,#189959,.T.); #254459=ORIENTED_EDGE('',*,*,#189967,.F.); #254460=ORIENTED_EDGE('',*,*,#189957,.F.); #254461=ORIENTED_EDGE('',*,*,#189961,.F.); #254462=ORIENTED_EDGE('',*,*,#189964,.F.); #254463=ORIENTED_EDGE('',*,*,#189969,.T.); #254464=ORIENTED_EDGE('',*,*,#189970,.T.); #254465=ORIENTED_EDGE('',*,*,#189971,.F.); #254466=ORIENTED_EDGE('',*,*,#189972,.F.); #254467=ORIENTED_EDGE('',*,*,#189973,.T.); #254468=ORIENTED_EDGE('',*,*,#189972,.T.); #254469=ORIENTED_EDGE('',*,*,#189974,.F.); #254470=ORIENTED_EDGE('',*,*,#189975,.F.); #254471=ORIENTED_EDGE('',*,*,#189976,.T.); #254472=ORIENTED_EDGE('',*,*,#189975,.T.); #254473=ORIENTED_EDGE('',*,*,#189977,.F.); #254474=ORIENTED_EDGE('',*,*,#189978,.F.); #254475=ORIENTED_EDGE('',*,*,#189979,.T.); #254476=ORIENTED_EDGE('',*,*,#189978,.T.); #254477=ORIENTED_EDGE('',*,*,#189980,.F.); #254478=ORIENTED_EDGE('',*,*,#189970,.F.); #254479=ORIENTED_EDGE('',*,*,#189980,.T.); #254480=ORIENTED_EDGE('',*,*,#189977,.T.); #254481=ORIENTED_EDGE('',*,*,#189974,.T.); #254482=ORIENTED_EDGE('',*,*,#189971,.T.); #254483=ORIENTED_EDGE('',*,*,#189979,.F.); #254484=ORIENTED_EDGE('',*,*,#189969,.F.); #254485=ORIENTED_EDGE('',*,*,#189973,.F.); #254486=ORIENTED_EDGE('',*,*,#189976,.F.); #254487=ORIENTED_EDGE('',*,*,#189981,.T.); #254488=ORIENTED_EDGE('',*,*,#189982,.T.); #254489=ORIENTED_EDGE('',*,*,#189983,.F.); #254490=ORIENTED_EDGE('',*,*,#189984,.F.); #254491=ORIENTED_EDGE('',*,*,#189985,.T.); #254492=ORIENTED_EDGE('',*,*,#189984,.T.); #254493=ORIENTED_EDGE('',*,*,#189986,.F.); #254494=ORIENTED_EDGE('',*,*,#189987,.F.); #254495=ORIENTED_EDGE('',*,*,#189988,.T.); #254496=ORIENTED_EDGE('',*,*,#189987,.T.); #254497=ORIENTED_EDGE('',*,*,#189989,.F.); #254498=ORIENTED_EDGE('',*,*,#189990,.F.); #254499=ORIENTED_EDGE('',*,*,#189991,.T.); #254500=ORIENTED_EDGE('',*,*,#189990,.T.); #254501=ORIENTED_EDGE('',*,*,#189992,.F.); #254502=ORIENTED_EDGE('',*,*,#189982,.F.); #254503=ORIENTED_EDGE('',*,*,#189992,.T.); #254504=ORIENTED_EDGE('',*,*,#189989,.T.); #254505=ORIENTED_EDGE('',*,*,#189986,.T.); #254506=ORIENTED_EDGE('',*,*,#189983,.T.); #254507=ORIENTED_EDGE('',*,*,#189991,.F.); #254508=ORIENTED_EDGE('',*,*,#189981,.F.); #254509=ORIENTED_EDGE('',*,*,#189985,.F.); #254510=ORIENTED_EDGE('',*,*,#189988,.F.); #254511=ORIENTED_EDGE('',*,*,#189993,.T.); #254512=ORIENTED_EDGE('',*,*,#189994,.T.); #254513=ORIENTED_EDGE('',*,*,#189995,.F.); #254514=ORIENTED_EDGE('',*,*,#189996,.F.); #254515=ORIENTED_EDGE('',*,*,#189997,.T.); #254516=ORIENTED_EDGE('',*,*,#189996,.T.); #254517=ORIENTED_EDGE('',*,*,#189998,.F.); #254518=ORIENTED_EDGE('',*,*,#189999,.F.); #254519=ORIENTED_EDGE('',*,*,#190000,.T.); #254520=ORIENTED_EDGE('',*,*,#189999,.T.); #254521=ORIENTED_EDGE('',*,*,#190001,.F.); #254522=ORIENTED_EDGE('',*,*,#190002,.F.); #254523=ORIENTED_EDGE('',*,*,#190003,.T.); #254524=ORIENTED_EDGE('',*,*,#190002,.T.); #254525=ORIENTED_EDGE('',*,*,#190004,.F.); #254526=ORIENTED_EDGE('',*,*,#189994,.F.); #254527=ORIENTED_EDGE('',*,*,#190004,.T.); #254528=ORIENTED_EDGE('',*,*,#190001,.T.); #254529=ORIENTED_EDGE('',*,*,#189998,.T.); #254530=ORIENTED_EDGE('',*,*,#189995,.T.); #254531=ORIENTED_EDGE('',*,*,#190003,.F.); #254532=ORIENTED_EDGE('',*,*,#189993,.F.); #254533=ORIENTED_EDGE('',*,*,#189997,.F.); #254534=ORIENTED_EDGE('',*,*,#190000,.F.); #254535=ORIENTED_EDGE('',*,*,#190005,.T.); #254536=ORIENTED_EDGE('',*,*,#190006,.T.); #254537=ORIENTED_EDGE('',*,*,#190007,.F.); #254538=ORIENTED_EDGE('',*,*,#190008,.F.); #254539=ORIENTED_EDGE('',*,*,#190009,.T.); #254540=ORIENTED_EDGE('',*,*,#190008,.T.); #254541=ORIENTED_EDGE('',*,*,#190010,.F.); #254542=ORIENTED_EDGE('',*,*,#190011,.F.); #254543=ORIENTED_EDGE('',*,*,#190012,.T.); #254544=ORIENTED_EDGE('',*,*,#190011,.T.); #254545=ORIENTED_EDGE('',*,*,#190013,.F.); #254546=ORIENTED_EDGE('',*,*,#190014,.F.); #254547=ORIENTED_EDGE('',*,*,#190015,.T.); #254548=ORIENTED_EDGE('',*,*,#190014,.T.); #254549=ORIENTED_EDGE('',*,*,#190016,.F.); #254550=ORIENTED_EDGE('',*,*,#190006,.F.); #254551=ORIENTED_EDGE('',*,*,#190016,.T.); #254552=ORIENTED_EDGE('',*,*,#190013,.T.); #254553=ORIENTED_EDGE('',*,*,#190010,.T.); #254554=ORIENTED_EDGE('',*,*,#190007,.T.); #254555=ORIENTED_EDGE('',*,*,#190015,.F.); #254556=ORIENTED_EDGE('',*,*,#190005,.F.); #254557=ORIENTED_EDGE('',*,*,#190009,.F.); #254558=ORIENTED_EDGE('',*,*,#190012,.F.); #254559=ORIENTED_EDGE('',*,*,#190017,.T.); #254560=ORIENTED_EDGE('',*,*,#190018,.T.); #254561=ORIENTED_EDGE('',*,*,#190019,.F.); #254562=ORIENTED_EDGE('',*,*,#190020,.F.); #254563=ORIENTED_EDGE('',*,*,#190021,.T.); #254564=ORIENTED_EDGE('',*,*,#190020,.T.); #254565=ORIENTED_EDGE('',*,*,#190022,.F.); #254566=ORIENTED_EDGE('',*,*,#190023,.F.); #254567=ORIENTED_EDGE('',*,*,#190024,.T.); #254568=ORIENTED_EDGE('',*,*,#190023,.T.); #254569=ORIENTED_EDGE('',*,*,#190025,.F.); #254570=ORIENTED_EDGE('',*,*,#190026,.F.); #254571=ORIENTED_EDGE('',*,*,#190027,.T.); #254572=ORIENTED_EDGE('',*,*,#190026,.T.); #254573=ORIENTED_EDGE('',*,*,#190028,.F.); #254574=ORIENTED_EDGE('',*,*,#190018,.F.); #254575=ORIENTED_EDGE('',*,*,#190028,.T.); #254576=ORIENTED_EDGE('',*,*,#190025,.T.); #254577=ORIENTED_EDGE('',*,*,#190022,.T.); #254578=ORIENTED_EDGE('',*,*,#190019,.T.); #254579=ORIENTED_EDGE('',*,*,#190027,.F.); #254580=ORIENTED_EDGE('',*,*,#190017,.F.); #254581=ORIENTED_EDGE('',*,*,#190021,.F.); #254582=ORIENTED_EDGE('',*,*,#190024,.F.); #254583=ORIENTED_EDGE('',*,*,#190029,.F.); #254584=ORIENTED_EDGE('',*,*,#190030,.T.); #254585=ORIENTED_EDGE('',*,*,#190031,.F.); #254586=ORIENTED_EDGE('',*,*,#190030,.F.); #254587=ORIENTED_EDGE('',*,*,#190032,.F.); #254588=ORIENTED_EDGE('',*,*,#190033,.T.); #254589=ORIENTED_EDGE('',*,*,#190034,.F.); #254590=ORIENTED_EDGE('',*,*,#190033,.F.); #254591=ORIENTED_EDGE('',*,*,#190035,.F.); #254592=ORIENTED_EDGE('',*,*,#190036,.T.); #254593=ORIENTED_EDGE('',*,*,#190037,.F.); #254594=ORIENTED_EDGE('',*,*,#190036,.F.); #254595=ORIENTED_EDGE('',*,*,#190038,.F.); #254596=ORIENTED_EDGE('',*,*,#190039,.T.); #254597=ORIENTED_EDGE('',*,*,#190040,.F.); #254598=ORIENTED_EDGE('',*,*,#190039,.F.); #254599=ORIENTED_EDGE('',*,*,#190041,.F.); #254600=ORIENTED_EDGE('',*,*,#190042,.T.); #254601=ORIENTED_EDGE('',*,*,#190043,.F.); #254602=ORIENTED_EDGE('',*,*,#190042,.F.); #254603=ORIENTED_EDGE('',*,*,#190044,.F.); #254604=ORIENTED_EDGE('',*,*,#190045,.T.); #254605=ORIENTED_EDGE('',*,*,#190046,.F.); #254606=ORIENTED_EDGE('',*,*,#190045,.F.); #254607=ORIENTED_EDGE('',*,*,#190047,.T.); #254608=ORIENTED_EDGE('',*,*,#190048,.T.); #254609=ORIENTED_EDGE('',*,*,#190049,.F.); #254610=ORIENTED_EDGE('',*,*,#190050,.F.); #254611=ORIENTED_EDGE('',*,*,#190051,.T.); #254612=ORIENTED_EDGE('',*,*,#190050,.T.); #254613=ORIENTED_EDGE('',*,*,#190052,.F.); #254614=ORIENTED_EDGE('',*,*,#190053,.F.); #254615=ORIENTED_EDGE('',*,*,#190054,.T.); #254616=ORIENTED_EDGE('',*,*,#190053,.T.); #254617=ORIENTED_EDGE('',*,*,#190055,.F.); #254618=ORIENTED_EDGE('',*,*,#190056,.F.); #254619=ORIENTED_EDGE('',*,*,#190057,.T.); #254620=ORIENTED_EDGE('',*,*,#190056,.T.); #254621=ORIENTED_EDGE('',*,*,#190058,.F.); #254622=ORIENTED_EDGE('',*,*,#190048,.F.); #254623=ORIENTED_EDGE('',*,*,#190058,.T.); #254624=ORIENTED_EDGE('',*,*,#190055,.T.); #254625=ORIENTED_EDGE('',*,*,#190052,.T.); #254626=ORIENTED_EDGE('',*,*,#190049,.T.); #254627=ORIENTED_EDGE('',*,*,#190031,.T.); #254628=ORIENTED_EDGE('',*,*,#190034,.T.); #254629=ORIENTED_EDGE('',*,*,#190037,.T.); #254630=ORIENTED_EDGE('',*,*,#190040,.T.); #254631=ORIENTED_EDGE('',*,*,#190043,.T.); #254632=ORIENTED_EDGE('',*,*,#190046,.T.); #254633=ORIENTED_EDGE('',*,*,#190057,.F.); #254634=ORIENTED_EDGE('',*,*,#190047,.F.); #254635=ORIENTED_EDGE('',*,*,#190051,.F.); #254636=ORIENTED_EDGE('',*,*,#190054,.F.); #254637=ORIENTED_EDGE('',*,*,#190029,.T.); #254638=ORIENTED_EDGE('',*,*,#190032,.T.); #254639=ORIENTED_EDGE('',*,*,#190035,.T.); #254640=ORIENTED_EDGE('',*,*,#190038,.T.); #254641=ORIENTED_EDGE('',*,*,#190041,.T.); #254642=ORIENTED_EDGE('',*,*,#190044,.T.); #254643=ORIENTED_EDGE('',*,*,#190059,.T.); #254644=ORIENTED_EDGE('',*,*,#190060,.T.); #254645=ORIENTED_EDGE('',*,*,#190061,.F.); #254646=ORIENTED_EDGE('',*,*,#190062,.F.); #254647=ORIENTED_EDGE('',*,*,#190063,.T.); #254648=ORIENTED_EDGE('',*,*,#190062,.T.); #254649=ORIENTED_EDGE('',*,*,#190064,.F.); #254650=ORIENTED_EDGE('',*,*,#190065,.F.); #254651=ORIENTED_EDGE('',*,*,#190066,.T.); #254652=ORIENTED_EDGE('',*,*,#190065,.T.); #254653=ORIENTED_EDGE('',*,*,#190067,.F.); #254654=ORIENTED_EDGE('',*,*,#190068,.F.); #254655=ORIENTED_EDGE('',*,*,#190069,.T.); #254656=ORIENTED_EDGE('',*,*,#190068,.T.); #254657=ORIENTED_EDGE('',*,*,#190070,.F.); #254658=ORIENTED_EDGE('',*,*,#190060,.F.); #254659=ORIENTED_EDGE('',*,*,#190070,.T.); #254660=ORIENTED_EDGE('',*,*,#190067,.T.); #254661=ORIENTED_EDGE('',*,*,#190064,.T.); #254662=ORIENTED_EDGE('',*,*,#190061,.T.); #254663=ORIENTED_EDGE('',*,*,#190069,.F.); #254664=ORIENTED_EDGE('',*,*,#190059,.F.); #254665=ORIENTED_EDGE('',*,*,#190063,.F.); #254666=ORIENTED_EDGE('',*,*,#190066,.F.); #254667=ORIENTED_EDGE('',*,*,#190071,.T.); #254668=ORIENTED_EDGE('',*,*,#190072,.T.); #254669=ORIENTED_EDGE('',*,*,#190073,.F.); #254670=ORIENTED_EDGE('',*,*,#190074,.F.); #254671=ORIENTED_EDGE('',*,*,#190075,.T.); #254672=ORIENTED_EDGE('',*,*,#190074,.T.); #254673=ORIENTED_EDGE('',*,*,#190076,.F.); #254674=ORIENTED_EDGE('',*,*,#190077,.F.); #254675=ORIENTED_EDGE('',*,*,#190078,.T.); #254676=ORIENTED_EDGE('',*,*,#190077,.T.); #254677=ORIENTED_EDGE('',*,*,#190079,.F.); #254678=ORIENTED_EDGE('',*,*,#190080,.F.); #254679=ORIENTED_EDGE('',*,*,#190081,.T.); #254680=ORIENTED_EDGE('',*,*,#190080,.T.); #254681=ORIENTED_EDGE('',*,*,#190082,.F.); #254682=ORIENTED_EDGE('',*,*,#190072,.F.); #254683=ORIENTED_EDGE('',*,*,#190082,.T.); #254684=ORIENTED_EDGE('',*,*,#190079,.T.); #254685=ORIENTED_EDGE('',*,*,#190076,.T.); #254686=ORIENTED_EDGE('',*,*,#190073,.T.); #254687=ORIENTED_EDGE('',*,*,#190081,.F.); #254688=ORIENTED_EDGE('',*,*,#190071,.F.); #254689=ORIENTED_EDGE('',*,*,#190075,.F.); #254690=ORIENTED_EDGE('',*,*,#190078,.F.); #254691=ORIENTED_EDGE('',*,*,#190083,.T.); #254692=ORIENTED_EDGE('',*,*,#190084,.T.); #254693=ORIENTED_EDGE('',*,*,#190085,.F.); #254694=ORIENTED_EDGE('',*,*,#190086,.F.); #254695=ORIENTED_EDGE('',*,*,#190087,.T.); #254696=ORIENTED_EDGE('',*,*,#190086,.T.); #254697=ORIENTED_EDGE('',*,*,#190088,.F.); #254698=ORIENTED_EDGE('',*,*,#190089,.F.); #254699=ORIENTED_EDGE('',*,*,#190090,.T.); #254700=ORIENTED_EDGE('',*,*,#190089,.T.); #254701=ORIENTED_EDGE('',*,*,#190091,.F.); #254702=ORIENTED_EDGE('',*,*,#190092,.F.); #254703=ORIENTED_EDGE('',*,*,#190093,.T.); #254704=ORIENTED_EDGE('',*,*,#190092,.T.); #254705=ORIENTED_EDGE('',*,*,#190094,.F.); #254706=ORIENTED_EDGE('',*,*,#190084,.F.); #254707=ORIENTED_EDGE('',*,*,#190094,.T.); #254708=ORIENTED_EDGE('',*,*,#190091,.T.); #254709=ORIENTED_EDGE('',*,*,#190088,.T.); #254710=ORIENTED_EDGE('',*,*,#190085,.T.); #254711=ORIENTED_EDGE('',*,*,#190093,.F.); #254712=ORIENTED_EDGE('',*,*,#190083,.F.); #254713=ORIENTED_EDGE('',*,*,#190087,.F.); #254714=ORIENTED_EDGE('',*,*,#190090,.F.); #254715=ORIENTED_EDGE('',*,*,#190095,.T.); #254716=ORIENTED_EDGE('',*,*,#190096,.T.); #254717=ORIENTED_EDGE('',*,*,#190097,.F.); #254718=ORIENTED_EDGE('',*,*,#190098,.F.); #254719=ORIENTED_EDGE('',*,*,#190099,.T.); #254720=ORIENTED_EDGE('',*,*,#190098,.T.); #254721=ORIENTED_EDGE('',*,*,#190100,.F.); #254722=ORIENTED_EDGE('',*,*,#190101,.F.); #254723=ORIENTED_EDGE('',*,*,#190102,.T.); #254724=ORIENTED_EDGE('',*,*,#190101,.T.); #254725=ORIENTED_EDGE('',*,*,#190103,.F.); #254726=ORIENTED_EDGE('',*,*,#190104,.F.); #254727=ORIENTED_EDGE('',*,*,#190105,.T.); #254728=ORIENTED_EDGE('',*,*,#190104,.T.); #254729=ORIENTED_EDGE('',*,*,#190106,.F.); #254730=ORIENTED_EDGE('',*,*,#190096,.F.); #254731=ORIENTED_EDGE('',*,*,#190106,.T.); #254732=ORIENTED_EDGE('',*,*,#190103,.T.); #254733=ORIENTED_EDGE('',*,*,#190100,.T.); #254734=ORIENTED_EDGE('',*,*,#190097,.T.); #254735=ORIENTED_EDGE('',*,*,#190105,.F.); #254736=ORIENTED_EDGE('',*,*,#190095,.F.); #254737=ORIENTED_EDGE('',*,*,#190099,.F.); #254738=ORIENTED_EDGE('',*,*,#190102,.F.); #254739=ORIENTED_EDGE('',*,*,#190107,.T.); #254740=ORIENTED_EDGE('',*,*,#190108,.T.); #254741=ORIENTED_EDGE('',*,*,#190109,.F.); #254742=ORIENTED_EDGE('',*,*,#190110,.F.); #254743=ORIENTED_EDGE('',*,*,#190111,.T.); #254744=ORIENTED_EDGE('',*,*,#190110,.T.); #254745=ORIENTED_EDGE('',*,*,#190112,.F.); #254746=ORIENTED_EDGE('',*,*,#190113,.F.); #254747=ORIENTED_EDGE('',*,*,#190114,.T.); #254748=ORIENTED_EDGE('',*,*,#190113,.T.); #254749=ORIENTED_EDGE('',*,*,#190115,.F.); #254750=ORIENTED_EDGE('',*,*,#190116,.F.); #254751=ORIENTED_EDGE('',*,*,#190117,.T.); #254752=ORIENTED_EDGE('',*,*,#190116,.T.); #254753=ORIENTED_EDGE('',*,*,#190118,.F.); #254754=ORIENTED_EDGE('',*,*,#190108,.F.); #254755=ORIENTED_EDGE('',*,*,#190118,.T.); #254756=ORIENTED_EDGE('',*,*,#190115,.T.); #254757=ORIENTED_EDGE('',*,*,#190112,.T.); #254758=ORIENTED_EDGE('',*,*,#190109,.T.); #254759=ORIENTED_EDGE('',*,*,#190117,.F.); #254760=ORIENTED_EDGE('',*,*,#190107,.F.); #254761=ORIENTED_EDGE('',*,*,#190111,.F.); #254762=ORIENTED_EDGE('',*,*,#190114,.F.); #254763=ORIENTED_EDGE('',*,*,#190119,.T.); #254764=ORIENTED_EDGE('',*,*,#190120,.T.); #254765=ORIENTED_EDGE('',*,*,#190121,.F.); #254766=ORIENTED_EDGE('',*,*,#190122,.F.); #254767=ORIENTED_EDGE('',*,*,#190123,.T.); #254768=ORIENTED_EDGE('',*,*,#190122,.T.); #254769=ORIENTED_EDGE('',*,*,#190124,.F.); #254770=ORIENTED_EDGE('',*,*,#190125,.F.); #254771=ORIENTED_EDGE('',*,*,#190126,.T.); #254772=ORIENTED_EDGE('',*,*,#190125,.T.); #254773=ORIENTED_EDGE('',*,*,#190127,.F.); #254774=ORIENTED_EDGE('',*,*,#190128,.F.); #254775=ORIENTED_EDGE('',*,*,#190129,.T.); #254776=ORIENTED_EDGE('',*,*,#190128,.T.); #254777=ORIENTED_EDGE('',*,*,#190130,.F.); #254778=ORIENTED_EDGE('',*,*,#190120,.F.); #254779=ORIENTED_EDGE('',*,*,#190130,.T.); #254780=ORIENTED_EDGE('',*,*,#190127,.T.); #254781=ORIENTED_EDGE('',*,*,#190124,.T.); #254782=ORIENTED_EDGE('',*,*,#190121,.T.); #254783=ORIENTED_EDGE('',*,*,#190129,.F.); #254784=ORIENTED_EDGE('',*,*,#190119,.F.); #254785=ORIENTED_EDGE('',*,*,#190123,.F.); #254786=ORIENTED_EDGE('',*,*,#190126,.F.); #254787=ORIENTED_EDGE('',*,*,#190131,.T.); #254788=ORIENTED_EDGE('',*,*,#190132,.T.); #254789=ORIENTED_EDGE('',*,*,#190133,.F.); #254790=ORIENTED_EDGE('',*,*,#190134,.F.); #254791=ORIENTED_EDGE('',*,*,#190135,.T.); #254792=ORIENTED_EDGE('',*,*,#190134,.T.); #254793=ORIENTED_EDGE('',*,*,#190136,.F.); #254794=ORIENTED_EDGE('',*,*,#190137,.F.); #254795=ORIENTED_EDGE('',*,*,#190138,.T.); #254796=ORIENTED_EDGE('',*,*,#190137,.T.); #254797=ORIENTED_EDGE('',*,*,#190139,.F.); #254798=ORIENTED_EDGE('',*,*,#190140,.F.); #254799=ORIENTED_EDGE('',*,*,#190141,.T.); #254800=ORIENTED_EDGE('',*,*,#190140,.T.); #254801=ORIENTED_EDGE('',*,*,#190142,.F.); #254802=ORIENTED_EDGE('',*,*,#190132,.F.); #254803=ORIENTED_EDGE('',*,*,#190142,.T.); #254804=ORIENTED_EDGE('',*,*,#190139,.T.); #254805=ORIENTED_EDGE('',*,*,#190136,.T.); #254806=ORIENTED_EDGE('',*,*,#190133,.T.); #254807=ORIENTED_EDGE('',*,*,#190141,.F.); #254808=ORIENTED_EDGE('',*,*,#190131,.F.); #254809=ORIENTED_EDGE('',*,*,#190135,.F.); #254810=ORIENTED_EDGE('',*,*,#190138,.F.); #254811=ORIENTED_EDGE('',*,*,#190143,.T.); #254812=ORIENTED_EDGE('',*,*,#190144,.T.); #254813=ORIENTED_EDGE('',*,*,#190145,.F.); #254814=ORIENTED_EDGE('',*,*,#190146,.F.); #254815=ORIENTED_EDGE('',*,*,#190147,.T.); #254816=ORIENTED_EDGE('',*,*,#190146,.T.); #254817=ORIENTED_EDGE('',*,*,#190148,.F.); #254818=ORIENTED_EDGE('',*,*,#190149,.F.); #254819=ORIENTED_EDGE('',*,*,#190150,.T.); #254820=ORIENTED_EDGE('',*,*,#190149,.T.); #254821=ORIENTED_EDGE('',*,*,#190151,.F.); #254822=ORIENTED_EDGE('',*,*,#190152,.F.); #254823=ORIENTED_EDGE('',*,*,#190153,.T.); #254824=ORIENTED_EDGE('',*,*,#190152,.T.); #254825=ORIENTED_EDGE('',*,*,#190154,.F.); #254826=ORIENTED_EDGE('',*,*,#190144,.F.); #254827=ORIENTED_EDGE('',*,*,#190154,.T.); #254828=ORIENTED_EDGE('',*,*,#190151,.T.); #254829=ORIENTED_EDGE('',*,*,#190148,.T.); #254830=ORIENTED_EDGE('',*,*,#190145,.T.); #254831=ORIENTED_EDGE('',*,*,#190153,.F.); #254832=ORIENTED_EDGE('',*,*,#190143,.F.); #254833=ORIENTED_EDGE('',*,*,#190147,.F.); #254834=ORIENTED_EDGE('',*,*,#190150,.F.); #254835=ORIENTED_EDGE('',*,*,#190155,.T.); #254836=ORIENTED_EDGE('',*,*,#190156,.T.); #254837=ORIENTED_EDGE('',*,*,#190157,.F.); #254838=ORIENTED_EDGE('',*,*,#190158,.F.); #254839=ORIENTED_EDGE('',*,*,#190159,.T.); #254840=ORIENTED_EDGE('',*,*,#190158,.T.); #254841=ORIENTED_EDGE('',*,*,#190160,.F.); #254842=ORIENTED_EDGE('',*,*,#190161,.F.); #254843=ORIENTED_EDGE('',*,*,#190162,.T.); #254844=ORIENTED_EDGE('',*,*,#190161,.T.); #254845=ORIENTED_EDGE('',*,*,#190163,.F.); #254846=ORIENTED_EDGE('',*,*,#190164,.F.); #254847=ORIENTED_EDGE('',*,*,#190165,.T.); #254848=ORIENTED_EDGE('',*,*,#190164,.T.); #254849=ORIENTED_EDGE('',*,*,#190166,.F.); #254850=ORIENTED_EDGE('',*,*,#190156,.F.); #254851=ORIENTED_EDGE('',*,*,#190166,.T.); #254852=ORIENTED_EDGE('',*,*,#190163,.T.); #254853=ORIENTED_EDGE('',*,*,#190160,.T.); #254854=ORIENTED_EDGE('',*,*,#190157,.T.); #254855=ORIENTED_EDGE('',*,*,#190165,.F.); #254856=ORIENTED_EDGE('',*,*,#190155,.F.); #254857=ORIENTED_EDGE('',*,*,#190159,.F.); #254858=ORIENTED_EDGE('',*,*,#190162,.F.); #254859=ORIENTED_EDGE('',*,*,#190167,.T.); #254860=ORIENTED_EDGE('',*,*,#190168,.T.); #254861=ORIENTED_EDGE('',*,*,#190169,.F.); #254862=ORIENTED_EDGE('',*,*,#190170,.F.); #254863=ORIENTED_EDGE('',*,*,#190171,.T.); #254864=ORIENTED_EDGE('',*,*,#190170,.T.); #254865=ORIENTED_EDGE('',*,*,#190172,.F.); #254866=ORIENTED_EDGE('',*,*,#190173,.F.); #254867=ORIENTED_EDGE('',*,*,#190174,.T.); #254868=ORIENTED_EDGE('',*,*,#190173,.T.); #254869=ORIENTED_EDGE('',*,*,#190175,.F.); #254870=ORIENTED_EDGE('',*,*,#190176,.F.); #254871=ORIENTED_EDGE('',*,*,#190177,.T.); #254872=ORIENTED_EDGE('',*,*,#190176,.T.); #254873=ORIENTED_EDGE('',*,*,#190178,.F.); #254874=ORIENTED_EDGE('',*,*,#190168,.F.); #254875=ORIENTED_EDGE('',*,*,#190178,.T.); #254876=ORIENTED_EDGE('',*,*,#190175,.T.); #254877=ORIENTED_EDGE('',*,*,#190172,.T.); #254878=ORIENTED_EDGE('',*,*,#190169,.T.); #254879=ORIENTED_EDGE('',*,*,#190177,.F.); #254880=ORIENTED_EDGE('',*,*,#190167,.F.); #254881=ORIENTED_EDGE('',*,*,#190171,.F.); #254882=ORIENTED_EDGE('',*,*,#190174,.F.); #254883=ORIENTED_EDGE('',*,*,#190179,.T.); #254884=ORIENTED_EDGE('',*,*,#190180,.T.); #254885=ORIENTED_EDGE('',*,*,#190181,.F.); #254886=ORIENTED_EDGE('',*,*,#190182,.F.); #254887=ORIENTED_EDGE('',*,*,#190183,.T.); #254888=ORIENTED_EDGE('',*,*,#190182,.T.); #254889=ORIENTED_EDGE('',*,*,#190184,.F.); #254890=ORIENTED_EDGE('',*,*,#190185,.F.); #254891=ORIENTED_EDGE('',*,*,#190186,.T.); #254892=ORIENTED_EDGE('',*,*,#190185,.T.); #254893=ORIENTED_EDGE('',*,*,#190187,.F.); #254894=ORIENTED_EDGE('',*,*,#190188,.F.); #254895=ORIENTED_EDGE('',*,*,#190189,.T.); #254896=ORIENTED_EDGE('',*,*,#190188,.T.); #254897=ORIENTED_EDGE('',*,*,#190190,.F.); #254898=ORIENTED_EDGE('',*,*,#190180,.F.); #254899=ORIENTED_EDGE('',*,*,#190190,.T.); #254900=ORIENTED_EDGE('',*,*,#190187,.T.); #254901=ORIENTED_EDGE('',*,*,#190184,.T.); #254902=ORIENTED_EDGE('',*,*,#190181,.T.); #254903=ORIENTED_EDGE('',*,*,#190189,.F.); #254904=ORIENTED_EDGE('',*,*,#190179,.F.); #254905=ORIENTED_EDGE('',*,*,#190183,.F.); #254906=ORIENTED_EDGE('',*,*,#190186,.F.); #254907=ORIENTED_EDGE('',*,*,#190191,.T.); #254908=ORIENTED_EDGE('',*,*,#190192,.T.); #254909=ORIENTED_EDGE('',*,*,#190193,.F.); #254910=ORIENTED_EDGE('',*,*,#190194,.F.); #254911=ORIENTED_EDGE('',*,*,#190195,.T.); #254912=ORIENTED_EDGE('',*,*,#190194,.T.); #254913=ORIENTED_EDGE('',*,*,#190196,.F.); #254914=ORIENTED_EDGE('',*,*,#190197,.F.); #254915=ORIENTED_EDGE('',*,*,#190198,.T.); #254916=ORIENTED_EDGE('',*,*,#190197,.T.); #254917=ORIENTED_EDGE('',*,*,#190199,.F.); #254918=ORIENTED_EDGE('',*,*,#190200,.F.); #254919=ORIENTED_EDGE('',*,*,#190201,.T.); #254920=ORIENTED_EDGE('',*,*,#190200,.T.); #254921=ORIENTED_EDGE('',*,*,#190202,.F.); #254922=ORIENTED_EDGE('',*,*,#190192,.F.); #254923=ORIENTED_EDGE('',*,*,#190202,.T.); #254924=ORIENTED_EDGE('',*,*,#190199,.T.); #254925=ORIENTED_EDGE('',*,*,#190196,.T.); #254926=ORIENTED_EDGE('',*,*,#190193,.T.); #254927=ORIENTED_EDGE('',*,*,#190201,.F.); #254928=ORIENTED_EDGE('',*,*,#190191,.F.); #254929=ORIENTED_EDGE('',*,*,#190195,.F.); #254930=ORIENTED_EDGE('',*,*,#190198,.F.); #254931=ORIENTED_EDGE('',*,*,#190203,.T.); #254932=ORIENTED_EDGE('',*,*,#190204,.T.); #254933=ORIENTED_EDGE('',*,*,#190205,.F.); #254934=ORIENTED_EDGE('',*,*,#190206,.F.); #254935=ORIENTED_EDGE('',*,*,#190207,.T.); #254936=ORIENTED_EDGE('',*,*,#190206,.T.); #254937=ORIENTED_EDGE('',*,*,#190208,.F.); #254938=ORIENTED_EDGE('',*,*,#190209,.F.); #254939=ORIENTED_EDGE('',*,*,#190210,.T.); #254940=ORIENTED_EDGE('',*,*,#190209,.T.); #254941=ORIENTED_EDGE('',*,*,#190211,.F.); #254942=ORIENTED_EDGE('',*,*,#190212,.F.); #254943=ORIENTED_EDGE('',*,*,#190213,.T.); #254944=ORIENTED_EDGE('',*,*,#190212,.T.); #254945=ORIENTED_EDGE('',*,*,#190214,.F.); #254946=ORIENTED_EDGE('',*,*,#190204,.F.); #254947=ORIENTED_EDGE('',*,*,#190214,.T.); #254948=ORIENTED_EDGE('',*,*,#190211,.T.); #254949=ORIENTED_EDGE('',*,*,#190208,.T.); #254950=ORIENTED_EDGE('',*,*,#190205,.T.); #254951=ORIENTED_EDGE('',*,*,#190213,.F.); #254952=ORIENTED_EDGE('',*,*,#190203,.F.); #254953=ORIENTED_EDGE('',*,*,#190207,.F.); #254954=ORIENTED_EDGE('',*,*,#190210,.F.); #254955=ORIENTED_EDGE('',*,*,#190215,.T.); #254956=ORIENTED_EDGE('',*,*,#190216,.T.); #254957=ORIENTED_EDGE('',*,*,#190217,.F.); #254958=ORIENTED_EDGE('',*,*,#190218,.F.); #254959=ORIENTED_EDGE('',*,*,#190219,.T.); #254960=ORIENTED_EDGE('',*,*,#190218,.T.); #254961=ORIENTED_EDGE('',*,*,#190220,.F.); #254962=ORIENTED_EDGE('',*,*,#190221,.F.); #254963=ORIENTED_EDGE('',*,*,#190222,.T.); #254964=ORIENTED_EDGE('',*,*,#190221,.T.); #254965=ORIENTED_EDGE('',*,*,#190223,.F.); #254966=ORIENTED_EDGE('',*,*,#190224,.F.); #254967=ORIENTED_EDGE('',*,*,#190225,.T.); #254968=ORIENTED_EDGE('',*,*,#190224,.T.); #254969=ORIENTED_EDGE('',*,*,#190226,.F.); #254970=ORIENTED_EDGE('',*,*,#190216,.F.); #254971=ORIENTED_EDGE('',*,*,#190226,.T.); #254972=ORIENTED_EDGE('',*,*,#190223,.T.); #254973=ORIENTED_EDGE('',*,*,#190220,.T.); #254974=ORIENTED_EDGE('',*,*,#190217,.T.); #254975=ORIENTED_EDGE('',*,*,#190225,.F.); #254976=ORIENTED_EDGE('',*,*,#190215,.F.); #254977=ORIENTED_EDGE('',*,*,#190219,.F.); #254978=ORIENTED_EDGE('',*,*,#190222,.F.); #254979=ORIENTED_EDGE('',*,*,#190227,.T.); #254980=ORIENTED_EDGE('',*,*,#190228,.T.); #254981=ORIENTED_EDGE('',*,*,#190229,.F.); #254982=ORIENTED_EDGE('',*,*,#190230,.F.); #254983=ORIENTED_EDGE('',*,*,#190231,.T.); #254984=ORIENTED_EDGE('',*,*,#190230,.T.); #254985=ORIENTED_EDGE('',*,*,#190232,.F.); #254986=ORIENTED_EDGE('',*,*,#190233,.F.); #254987=ORIENTED_EDGE('',*,*,#190234,.T.); #254988=ORIENTED_EDGE('',*,*,#190233,.T.); #254989=ORIENTED_EDGE('',*,*,#190235,.F.); #254990=ORIENTED_EDGE('',*,*,#190236,.F.); #254991=ORIENTED_EDGE('',*,*,#190237,.T.); #254992=ORIENTED_EDGE('',*,*,#190236,.T.); #254993=ORIENTED_EDGE('',*,*,#190238,.F.); #254994=ORIENTED_EDGE('',*,*,#190228,.F.); #254995=ORIENTED_EDGE('',*,*,#190238,.T.); #254996=ORIENTED_EDGE('',*,*,#190235,.T.); #254997=ORIENTED_EDGE('',*,*,#190232,.T.); #254998=ORIENTED_EDGE('',*,*,#190229,.T.); #254999=ORIENTED_EDGE('',*,*,#190237,.F.); #255000=ORIENTED_EDGE('',*,*,#190227,.F.); #255001=ORIENTED_EDGE('',*,*,#190231,.F.); #255002=ORIENTED_EDGE('',*,*,#190234,.F.); #255003=ORIENTED_EDGE('',*,*,#190239,.T.); #255004=ORIENTED_EDGE('',*,*,#190240,.T.); #255005=ORIENTED_EDGE('',*,*,#190241,.F.); #255006=ORIENTED_EDGE('',*,*,#190242,.F.); #255007=ORIENTED_EDGE('',*,*,#190243,.T.); #255008=ORIENTED_EDGE('',*,*,#190242,.T.); #255009=ORIENTED_EDGE('',*,*,#190244,.F.); #255010=ORIENTED_EDGE('',*,*,#190245,.F.); #255011=ORIENTED_EDGE('',*,*,#190246,.T.); #255012=ORIENTED_EDGE('',*,*,#190245,.T.); #255013=ORIENTED_EDGE('',*,*,#190247,.F.); #255014=ORIENTED_EDGE('',*,*,#190248,.F.); #255015=ORIENTED_EDGE('',*,*,#190249,.T.); #255016=ORIENTED_EDGE('',*,*,#190248,.T.); #255017=ORIENTED_EDGE('',*,*,#190250,.F.); #255018=ORIENTED_EDGE('',*,*,#190240,.F.); #255019=ORIENTED_EDGE('',*,*,#190250,.T.); #255020=ORIENTED_EDGE('',*,*,#190247,.T.); #255021=ORIENTED_EDGE('',*,*,#190244,.T.); #255022=ORIENTED_EDGE('',*,*,#190241,.T.); #255023=ORIENTED_EDGE('',*,*,#190249,.F.); #255024=ORIENTED_EDGE('',*,*,#190239,.F.); #255025=ORIENTED_EDGE('',*,*,#190243,.F.); #255026=ORIENTED_EDGE('',*,*,#190246,.F.); #255027=ORIENTED_EDGE('',*,*,#190251,.T.); #255028=ORIENTED_EDGE('',*,*,#190252,.T.); #255029=ORIENTED_EDGE('',*,*,#190253,.F.); #255030=ORIENTED_EDGE('',*,*,#190254,.F.); #255031=ORIENTED_EDGE('',*,*,#190255,.T.); #255032=ORIENTED_EDGE('',*,*,#190254,.T.); #255033=ORIENTED_EDGE('',*,*,#190256,.F.); #255034=ORIENTED_EDGE('',*,*,#190257,.F.); #255035=ORIENTED_EDGE('',*,*,#190258,.T.); #255036=ORIENTED_EDGE('',*,*,#190257,.T.); #255037=ORIENTED_EDGE('',*,*,#190259,.F.); #255038=ORIENTED_EDGE('',*,*,#190260,.F.); #255039=ORIENTED_EDGE('',*,*,#190261,.T.); #255040=ORIENTED_EDGE('',*,*,#190260,.T.); #255041=ORIENTED_EDGE('',*,*,#190262,.F.); #255042=ORIENTED_EDGE('',*,*,#190252,.F.); #255043=ORIENTED_EDGE('',*,*,#190262,.T.); #255044=ORIENTED_EDGE('',*,*,#190259,.T.); #255045=ORIENTED_EDGE('',*,*,#190256,.T.); #255046=ORIENTED_EDGE('',*,*,#190253,.T.); #255047=ORIENTED_EDGE('',*,*,#190261,.F.); #255048=ORIENTED_EDGE('',*,*,#190251,.F.); #255049=ORIENTED_EDGE('',*,*,#190255,.F.); #255050=ORIENTED_EDGE('',*,*,#190258,.F.); #255051=ORIENTED_EDGE('',*,*,#190263,.T.); #255052=ORIENTED_EDGE('',*,*,#190264,.T.); #255053=ORIENTED_EDGE('',*,*,#190265,.F.); #255054=ORIENTED_EDGE('',*,*,#190266,.F.); #255055=ORIENTED_EDGE('',*,*,#190267,.T.); #255056=ORIENTED_EDGE('',*,*,#190266,.T.); #255057=ORIENTED_EDGE('',*,*,#190268,.F.); #255058=ORIENTED_EDGE('',*,*,#190269,.F.); #255059=ORIENTED_EDGE('',*,*,#190270,.T.); #255060=ORIENTED_EDGE('',*,*,#190269,.T.); #255061=ORIENTED_EDGE('',*,*,#190271,.F.); #255062=ORIENTED_EDGE('',*,*,#190272,.F.); #255063=ORIENTED_EDGE('',*,*,#190273,.T.); #255064=ORIENTED_EDGE('',*,*,#190272,.T.); #255065=ORIENTED_EDGE('',*,*,#190274,.F.); #255066=ORIENTED_EDGE('',*,*,#190264,.F.); #255067=ORIENTED_EDGE('',*,*,#190274,.T.); #255068=ORIENTED_EDGE('',*,*,#190271,.T.); #255069=ORIENTED_EDGE('',*,*,#190268,.T.); #255070=ORIENTED_EDGE('',*,*,#190265,.T.); #255071=ORIENTED_EDGE('',*,*,#190273,.F.); #255072=ORIENTED_EDGE('',*,*,#190263,.F.); #255073=ORIENTED_EDGE('',*,*,#190267,.F.); #255074=ORIENTED_EDGE('',*,*,#190270,.F.); #255075=ORIENTED_EDGE('',*,*,#190275,.T.); #255076=ORIENTED_EDGE('',*,*,#190276,.T.); #255077=ORIENTED_EDGE('',*,*,#190277,.F.); #255078=ORIENTED_EDGE('',*,*,#190278,.F.); #255079=ORIENTED_EDGE('',*,*,#190279,.T.); #255080=ORIENTED_EDGE('',*,*,#190278,.T.); #255081=ORIENTED_EDGE('',*,*,#190280,.F.); #255082=ORIENTED_EDGE('',*,*,#190281,.F.); #255083=ORIENTED_EDGE('',*,*,#190282,.T.); #255084=ORIENTED_EDGE('',*,*,#190281,.T.); #255085=ORIENTED_EDGE('',*,*,#190283,.F.); #255086=ORIENTED_EDGE('',*,*,#190284,.F.); #255087=ORIENTED_EDGE('',*,*,#190285,.T.); #255088=ORIENTED_EDGE('',*,*,#190284,.T.); #255089=ORIENTED_EDGE('',*,*,#190286,.F.); #255090=ORIENTED_EDGE('',*,*,#190276,.F.); #255091=ORIENTED_EDGE('',*,*,#190286,.T.); #255092=ORIENTED_EDGE('',*,*,#190283,.T.); #255093=ORIENTED_EDGE('',*,*,#190280,.T.); #255094=ORIENTED_EDGE('',*,*,#190277,.T.); #255095=ORIENTED_EDGE('',*,*,#190285,.F.); #255096=ORIENTED_EDGE('',*,*,#190275,.F.); #255097=ORIENTED_EDGE('',*,*,#190279,.F.); #255098=ORIENTED_EDGE('',*,*,#190282,.F.); #255099=ORIENTED_EDGE('',*,*,#190287,.T.); #255100=ORIENTED_EDGE('',*,*,#190288,.T.); #255101=ORIENTED_EDGE('',*,*,#190289,.F.); #255102=ORIENTED_EDGE('',*,*,#190290,.F.); #255103=ORIENTED_EDGE('',*,*,#190291,.T.); #255104=ORIENTED_EDGE('',*,*,#190290,.T.); #255105=ORIENTED_EDGE('',*,*,#190292,.F.); #255106=ORIENTED_EDGE('',*,*,#190293,.F.); #255107=ORIENTED_EDGE('',*,*,#190294,.T.); #255108=ORIENTED_EDGE('',*,*,#190293,.T.); #255109=ORIENTED_EDGE('',*,*,#190295,.F.); #255110=ORIENTED_EDGE('',*,*,#190296,.F.); #255111=ORIENTED_EDGE('',*,*,#190297,.T.); #255112=ORIENTED_EDGE('',*,*,#190296,.T.); #255113=ORIENTED_EDGE('',*,*,#190298,.F.); #255114=ORIENTED_EDGE('',*,*,#190288,.F.); #255115=ORIENTED_EDGE('',*,*,#190298,.T.); #255116=ORIENTED_EDGE('',*,*,#190295,.T.); #255117=ORIENTED_EDGE('',*,*,#190292,.T.); #255118=ORIENTED_EDGE('',*,*,#190289,.T.); #255119=ORIENTED_EDGE('',*,*,#190297,.F.); #255120=ORIENTED_EDGE('',*,*,#190287,.F.); #255121=ORIENTED_EDGE('',*,*,#190291,.F.); #255122=ORIENTED_EDGE('',*,*,#190294,.F.); #255123=ORIENTED_EDGE('',*,*,#190299,.T.); #255124=ORIENTED_EDGE('',*,*,#190300,.T.); #255125=ORIENTED_EDGE('',*,*,#190301,.F.); #255126=ORIENTED_EDGE('',*,*,#190302,.F.); #255127=ORIENTED_EDGE('',*,*,#190303,.T.); #255128=ORIENTED_EDGE('',*,*,#190302,.T.); #255129=ORIENTED_EDGE('',*,*,#190304,.F.); #255130=ORIENTED_EDGE('',*,*,#190305,.F.); #255131=ORIENTED_EDGE('',*,*,#190306,.T.); #255132=ORIENTED_EDGE('',*,*,#190305,.T.); #255133=ORIENTED_EDGE('',*,*,#190307,.F.); #255134=ORIENTED_EDGE('',*,*,#190308,.F.); #255135=ORIENTED_EDGE('',*,*,#190309,.T.); #255136=ORIENTED_EDGE('',*,*,#190308,.T.); #255137=ORIENTED_EDGE('',*,*,#190310,.F.); #255138=ORIENTED_EDGE('',*,*,#190300,.F.); #255139=ORIENTED_EDGE('',*,*,#190310,.T.); #255140=ORIENTED_EDGE('',*,*,#190307,.T.); #255141=ORIENTED_EDGE('',*,*,#190304,.T.); #255142=ORIENTED_EDGE('',*,*,#190301,.T.); #255143=ORIENTED_EDGE('',*,*,#190309,.F.); #255144=ORIENTED_EDGE('',*,*,#190299,.F.); #255145=ORIENTED_EDGE('',*,*,#190303,.F.); #255146=ORIENTED_EDGE('',*,*,#190306,.F.); #255147=ORIENTED_EDGE('',*,*,#190311,.T.); #255148=ORIENTED_EDGE('',*,*,#190312,.T.); #255149=ORIENTED_EDGE('',*,*,#190313,.F.); #255150=ORIENTED_EDGE('',*,*,#190314,.F.); #255151=ORIENTED_EDGE('',*,*,#190315,.T.); #255152=ORIENTED_EDGE('',*,*,#190314,.T.); #255153=ORIENTED_EDGE('',*,*,#190316,.F.); #255154=ORIENTED_EDGE('',*,*,#190317,.F.); #255155=ORIENTED_EDGE('',*,*,#190318,.T.); #255156=ORIENTED_EDGE('',*,*,#190317,.T.); #255157=ORIENTED_EDGE('',*,*,#190319,.F.); #255158=ORIENTED_EDGE('',*,*,#190320,.F.); #255159=ORIENTED_EDGE('',*,*,#190321,.T.); #255160=ORIENTED_EDGE('',*,*,#190320,.T.); #255161=ORIENTED_EDGE('',*,*,#190322,.F.); #255162=ORIENTED_EDGE('',*,*,#190312,.F.); #255163=ORIENTED_EDGE('',*,*,#190322,.T.); #255164=ORIENTED_EDGE('',*,*,#190319,.T.); #255165=ORIENTED_EDGE('',*,*,#190316,.T.); #255166=ORIENTED_EDGE('',*,*,#190313,.T.); #255167=ORIENTED_EDGE('',*,*,#190321,.F.); #255168=ORIENTED_EDGE('',*,*,#190311,.F.); #255169=ORIENTED_EDGE('',*,*,#190315,.F.); #255170=ORIENTED_EDGE('',*,*,#190318,.F.); #255171=ORIENTED_EDGE('',*,*,#190323,.T.); #255172=ORIENTED_EDGE('',*,*,#190324,.T.); #255173=ORIENTED_EDGE('',*,*,#190325,.F.); #255174=ORIENTED_EDGE('',*,*,#190326,.F.); #255175=ORIENTED_EDGE('',*,*,#190327,.T.); #255176=ORIENTED_EDGE('',*,*,#190326,.T.); #255177=ORIENTED_EDGE('',*,*,#190328,.F.); #255178=ORIENTED_EDGE('',*,*,#190329,.F.); #255179=ORIENTED_EDGE('',*,*,#190330,.T.); #255180=ORIENTED_EDGE('',*,*,#190329,.T.); #255181=ORIENTED_EDGE('',*,*,#190331,.F.); #255182=ORIENTED_EDGE('',*,*,#190332,.F.); #255183=ORIENTED_EDGE('',*,*,#190333,.T.); #255184=ORIENTED_EDGE('',*,*,#190332,.T.); #255185=ORIENTED_EDGE('',*,*,#190334,.F.); #255186=ORIENTED_EDGE('',*,*,#190324,.F.); #255187=ORIENTED_EDGE('',*,*,#190334,.T.); #255188=ORIENTED_EDGE('',*,*,#190331,.T.); #255189=ORIENTED_EDGE('',*,*,#190328,.T.); #255190=ORIENTED_EDGE('',*,*,#190325,.T.); #255191=ORIENTED_EDGE('',*,*,#190333,.F.); #255192=ORIENTED_EDGE('',*,*,#190323,.F.); #255193=ORIENTED_EDGE('',*,*,#190327,.F.); #255194=ORIENTED_EDGE('',*,*,#190330,.F.); #255195=ORIENTED_EDGE('',*,*,#190335,.T.); #255196=ORIENTED_EDGE('',*,*,#190336,.T.); #255197=ORIENTED_EDGE('',*,*,#190337,.F.); #255198=ORIENTED_EDGE('',*,*,#190338,.F.); #255199=ORIENTED_EDGE('',*,*,#190339,.T.); #255200=ORIENTED_EDGE('',*,*,#190338,.T.); #255201=ORIENTED_EDGE('',*,*,#190340,.F.); #255202=ORIENTED_EDGE('',*,*,#190341,.F.); #255203=ORIENTED_EDGE('',*,*,#190342,.T.); #255204=ORIENTED_EDGE('',*,*,#190341,.T.); #255205=ORIENTED_EDGE('',*,*,#190343,.F.); #255206=ORIENTED_EDGE('',*,*,#190344,.F.); #255207=ORIENTED_EDGE('',*,*,#190345,.T.); #255208=ORIENTED_EDGE('',*,*,#190344,.T.); #255209=ORIENTED_EDGE('',*,*,#190346,.F.); #255210=ORIENTED_EDGE('',*,*,#190336,.F.); #255211=ORIENTED_EDGE('',*,*,#190346,.T.); #255212=ORIENTED_EDGE('',*,*,#190343,.T.); #255213=ORIENTED_EDGE('',*,*,#190340,.T.); #255214=ORIENTED_EDGE('',*,*,#190337,.T.); #255215=ORIENTED_EDGE('',*,*,#190345,.F.); #255216=ORIENTED_EDGE('',*,*,#190335,.F.); #255217=ORIENTED_EDGE('',*,*,#190339,.F.); #255218=ORIENTED_EDGE('',*,*,#190342,.F.); #255219=ORIENTED_EDGE('',*,*,#190347,.T.); #255220=ORIENTED_EDGE('',*,*,#190348,.T.); #255221=ORIENTED_EDGE('',*,*,#190349,.F.); #255222=ORIENTED_EDGE('',*,*,#190350,.F.); #255223=ORIENTED_EDGE('',*,*,#190351,.T.); #255224=ORIENTED_EDGE('',*,*,#190350,.T.); #255225=ORIENTED_EDGE('',*,*,#190352,.F.); #255226=ORIENTED_EDGE('',*,*,#190353,.F.); #255227=ORIENTED_EDGE('',*,*,#190354,.T.); #255228=ORIENTED_EDGE('',*,*,#190353,.T.); #255229=ORIENTED_EDGE('',*,*,#190355,.F.); #255230=ORIENTED_EDGE('',*,*,#190356,.F.); #255231=ORIENTED_EDGE('',*,*,#190357,.T.); #255232=ORIENTED_EDGE('',*,*,#190356,.T.); #255233=ORIENTED_EDGE('',*,*,#190358,.F.); #255234=ORIENTED_EDGE('',*,*,#190348,.F.); #255235=ORIENTED_EDGE('',*,*,#190358,.T.); #255236=ORIENTED_EDGE('',*,*,#190355,.T.); #255237=ORIENTED_EDGE('',*,*,#190352,.T.); #255238=ORIENTED_EDGE('',*,*,#190349,.T.); #255239=ORIENTED_EDGE('',*,*,#190357,.F.); #255240=ORIENTED_EDGE('',*,*,#190347,.F.); #255241=ORIENTED_EDGE('',*,*,#190351,.F.); #255242=ORIENTED_EDGE('',*,*,#190354,.F.); #255243=ORIENTED_EDGE('',*,*,#190359,.T.); #255244=ORIENTED_EDGE('',*,*,#190360,.T.); #255245=ORIENTED_EDGE('',*,*,#190361,.F.); #255246=ORIENTED_EDGE('',*,*,#190362,.F.); #255247=ORIENTED_EDGE('',*,*,#190363,.T.); #255248=ORIENTED_EDGE('',*,*,#190362,.T.); #255249=ORIENTED_EDGE('',*,*,#190364,.F.); #255250=ORIENTED_EDGE('',*,*,#190365,.F.); #255251=ORIENTED_EDGE('',*,*,#190366,.T.); #255252=ORIENTED_EDGE('',*,*,#190365,.T.); #255253=ORIENTED_EDGE('',*,*,#190367,.F.); #255254=ORIENTED_EDGE('',*,*,#190368,.F.); #255255=ORIENTED_EDGE('',*,*,#190369,.T.); #255256=ORIENTED_EDGE('',*,*,#190368,.T.); #255257=ORIENTED_EDGE('',*,*,#190370,.F.); #255258=ORIENTED_EDGE('',*,*,#190360,.F.); #255259=ORIENTED_EDGE('',*,*,#190370,.T.); #255260=ORIENTED_EDGE('',*,*,#190367,.T.); #255261=ORIENTED_EDGE('',*,*,#190364,.T.); #255262=ORIENTED_EDGE('',*,*,#190361,.T.); #255263=ORIENTED_EDGE('',*,*,#190369,.F.); #255264=ORIENTED_EDGE('',*,*,#190359,.F.); #255265=ORIENTED_EDGE('',*,*,#190363,.F.); #255266=ORIENTED_EDGE('',*,*,#190366,.F.); #255267=ORIENTED_EDGE('',*,*,#190371,.F.); #255268=ORIENTED_EDGE('',*,*,#190372,.T.); #255269=ORIENTED_EDGE('',*,*,#190373,.F.); #255270=ORIENTED_EDGE('',*,*,#190372,.F.); #255271=ORIENTED_EDGE('',*,*,#190374,.F.); #255272=ORIENTED_EDGE('',*,*,#190375,.T.); #255273=ORIENTED_EDGE('',*,*,#190376,.F.); #255274=ORIENTED_EDGE('',*,*,#190375,.F.); #255275=ORIENTED_EDGE('',*,*,#190377,.F.); #255276=ORIENTED_EDGE('',*,*,#190378,.T.); #255277=ORIENTED_EDGE('',*,*,#190379,.F.); #255278=ORIENTED_EDGE('',*,*,#190378,.F.); #255279=ORIENTED_EDGE('',*,*,#190380,.F.); #255280=ORIENTED_EDGE('',*,*,#190381,.T.); #255281=ORIENTED_EDGE('',*,*,#190382,.F.); #255282=ORIENTED_EDGE('',*,*,#190381,.F.); #255283=ORIENTED_EDGE('',*,*,#190383,.F.); #255284=ORIENTED_EDGE('',*,*,#190384,.T.); #255285=ORIENTED_EDGE('',*,*,#190385,.F.); #255286=ORIENTED_EDGE('',*,*,#190384,.F.); #255287=ORIENTED_EDGE('',*,*,#190386,.F.); #255288=ORIENTED_EDGE('',*,*,#190387,.T.); #255289=ORIENTED_EDGE('',*,*,#190388,.F.); #255290=ORIENTED_EDGE('',*,*,#190387,.F.); #255291=ORIENTED_EDGE('',*,*,#190389,.T.); #255292=ORIENTED_EDGE('',*,*,#190390,.T.); #255293=ORIENTED_EDGE('',*,*,#190391,.F.); #255294=ORIENTED_EDGE('',*,*,#190392,.F.); #255295=ORIENTED_EDGE('',*,*,#190393,.T.); #255296=ORIENTED_EDGE('',*,*,#190392,.T.); #255297=ORIENTED_EDGE('',*,*,#190394,.F.); #255298=ORIENTED_EDGE('',*,*,#190395,.F.); #255299=ORIENTED_EDGE('',*,*,#190396,.T.); #255300=ORIENTED_EDGE('',*,*,#190395,.T.); #255301=ORIENTED_EDGE('',*,*,#190397,.F.); #255302=ORIENTED_EDGE('',*,*,#190398,.F.); #255303=ORIENTED_EDGE('',*,*,#190399,.T.); #255304=ORIENTED_EDGE('',*,*,#190398,.T.); #255305=ORIENTED_EDGE('',*,*,#190400,.F.); #255306=ORIENTED_EDGE('',*,*,#190390,.F.); #255307=ORIENTED_EDGE('',*,*,#190400,.T.); #255308=ORIENTED_EDGE('',*,*,#190397,.T.); #255309=ORIENTED_EDGE('',*,*,#190394,.T.); #255310=ORIENTED_EDGE('',*,*,#190391,.T.); #255311=ORIENTED_EDGE('',*,*,#190373,.T.); #255312=ORIENTED_EDGE('',*,*,#190376,.T.); #255313=ORIENTED_EDGE('',*,*,#190379,.T.); #255314=ORIENTED_EDGE('',*,*,#190382,.T.); #255315=ORIENTED_EDGE('',*,*,#190385,.T.); #255316=ORIENTED_EDGE('',*,*,#190388,.T.); #255317=ORIENTED_EDGE('',*,*,#190399,.F.); #255318=ORIENTED_EDGE('',*,*,#190389,.F.); #255319=ORIENTED_EDGE('',*,*,#190393,.F.); #255320=ORIENTED_EDGE('',*,*,#190396,.F.); #255321=ORIENTED_EDGE('',*,*,#190371,.T.); #255322=ORIENTED_EDGE('',*,*,#190374,.T.); #255323=ORIENTED_EDGE('',*,*,#190377,.T.); #255324=ORIENTED_EDGE('',*,*,#190380,.T.); #255325=ORIENTED_EDGE('',*,*,#190383,.T.); #255326=ORIENTED_EDGE('',*,*,#190386,.T.); #255327=ORIENTED_EDGE('',*,*,#190401,.T.); #255328=ORIENTED_EDGE('',*,*,#190402,.T.); #255329=ORIENTED_EDGE('',*,*,#190403,.F.); #255330=ORIENTED_EDGE('',*,*,#190404,.F.); #255331=ORIENTED_EDGE('',*,*,#190405,.T.); #255332=ORIENTED_EDGE('',*,*,#190404,.T.); #255333=ORIENTED_EDGE('',*,*,#190406,.F.); #255334=ORIENTED_EDGE('',*,*,#190407,.F.); #255335=ORIENTED_EDGE('',*,*,#190408,.T.); #255336=ORIENTED_EDGE('',*,*,#190407,.T.); #255337=ORIENTED_EDGE('',*,*,#190409,.F.); #255338=ORIENTED_EDGE('',*,*,#190410,.F.); #255339=ORIENTED_EDGE('',*,*,#190411,.T.); #255340=ORIENTED_EDGE('',*,*,#190410,.T.); #255341=ORIENTED_EDGE('',*,*,#190412,.F.); #255342=ORIENTED_EDGE('',*,*,#190402,.F.); #255343=ORIENTED_EDGE('',*,*,#190412,.T.); #255344=ORIENTED_EDGE('',*,*,#190409,.T.); #255345=ORIENTED_EDGE('',*,*,#190406,.T.); #255346=ORIENTED_EDGE('',*,*,#190403,.T.); #255347=ORIENTED_EDGE('',*,*,#190411,.F.); #255348=ORIENTED_EDGE('',*,*,#190401,.F.); #255349=ORIENTED_EDGE('',*,*,#190405,.F.); #255350=ORIENTED_EDGE('',*,*,#190408,.F.); #255351=ORIENTED_EDGE('',*,*,#190413,.T.); #255352=ORIENTED_EDGE('',*,*,#190414,.T.); #255353=ORIENTED_EDGE('',*,*,#190415,.F.); #255354=ORIENTED_EDGE('',*,*,#190416,.F.); #255355=ORIENTED_EDGE('',*,*,#190417,.T.); #255356=ORIENTED_EDGE('',*,*,#190416,.T.); #255357=ORIENTED_EDGE('',*,*,#190418,.F.); #255358=ORIENTED_EDGE('',*,*,#190419,.F.); #255359=ORIENTED_EDGE('',*,*,#190420,.T.); #255360=ORIENTED_EDGE('',*,*,#190419,.T.); #255361=ORIENTED_EDGE('',*,*,#190421,.F.); #255362=ORIENTED_EDGE('',*,*,#190422,.F.); #255363=ORIENTED_EDGE('',*,*,#190423,.T.); #255364=ORIENTED_EDGE('',*,*,#190422,.T.); #255365=ORIENTED_EDGE('',*,*,#190424,.F.); #255366=ORIENTED_EDGE('',*,*,#190414,.F.); #255367=ORIENTED_EDGE('',*,*,#190424,.T.); #255368=ORIENTED_EDGE('',*,*,#190421,.T.); #255369=ORIENTED_EDGE('',*,*,#190418,.T.); #255370=ORIENTED_EDGE('',*,*,#190415,.T.); #255371=ORIENTED_EDGE('',*,*,#190423,.F.); #255372=ORIENTED_EDGE('',*,*,#190413,.F.); #255373=ORIENTED_EDGE('',*,*,#190417,.F.); #255374=ORIENTED_EDGE('',*,*,#190420,.F.); #255375=ORIENTED_EDGE('',*,*,#190425,.T.); #255376=ORIENTED_EDGE('',*,*,#190426,.T.); #255377=ORIENTED_EDGE('',*,*,#190427,.F.); #255378=ORIENTED_EDGE('',*,*,#190428,.F.); #255379=ORIENTED_EDGE('',*,*,#190429,.T.); #255380=ORIENTED_EDGE('',*,*,#190428,.T.); #255381=ORIENTED_EDGE('',*,*,#190430,.F.); #255382=ORIENTED_EDGE('',*,*,#190431,.F.); #255383=ORIENTED_EDGE('',*,*,#190432,.T.); #255384=ORIENTED_EDGE('',*,*,#190431,.T.); #255385=ORIENTED_EDGE('',*,*,#190433,.F.); #255386=ORIENTED_EDGE('',*,*,#190434,.F.); #255387=ORIENTED_EDGE('',*,*,#190435,.T.); #255388=ORIENTED_EDGE('',*,*,#190434,.T.); #255389=ORIENTED_EDGE('',*,*,#190436,.F.); #255390=ORIENTED_EDGE('',*,*,#190426,.F.); #255391=ORIENTED_EDGE('',*,*,#190436,.T.); #255392=ORIENTED_EDGE('',*,*,#190433,.T.); #255393=ORIENTED_EDGE('',*,*,#190430,.T.); #255394=ORIENTED_EDGE('',*,*,#190427,.T.); #255395=ORIENTED_EDGE('',*,*,#190435,.F.); #255396=ORIENTED_EDGE('',*,*,#190425,.F.); #255397=ORIENTED_EDGE('',*,*,#190429,.F.); #255398=ORIENTED_EDGE('',*,*,#190432,.F.); #255399=ORIENTED_EDGE('',*,*,#190437,.T.); #255400=ORIENTED_EDGE('',*,*,#190438,.T.); #255401=ORIENTED_EDGE('',*,*,#190439,.F.); #255402=ORIENTED_EDGE('',*,*,#190440,.F.); #255403=ORIENTED_EDGE('',*,*,#190441,.T.); #255404=ORIENTED_EDGE('',*,*,#190440,.T.); #255405=ORIENTED_EDGE('',*,*,#190442,.F.); #255406=ORIENTED_EDGE('',*,*,#190443,.F.); #255407=ORIENTED_EDGE('',*,*,#190444,.T.); #255408=ORIENTED_EDGE('',*,*,#190443,.T.); #255409=ORIENTED_EDGE('',*,*,#190445,.F.); #255410=ORIENTED_EDGE('',*,*,#190446,.F.); #255411=ORIENTED_EDGE('',*,*,#190447,.T.); #255412=ORIENTED_EDGE('',*,*,#190446,.T.); #255413=ORIENTED_EDGE('',*,*,#190448,.F.); #255414=ORIENTED_EDGE('',*,*,#190438,.F.); #255415=ORIENTED_EDGE('',*,*,#190448,.T.); #255416=ORIENTED_EDGE('',*,*,#190445,.T.); #255417=ORIENTED_EDGE('',*,*,#190442,.T.); #255418=ORIENTED_EDGE('',*,*,#190439,.T.); #255419=ORIENTED_EDGE('',*,*,#190447,.F.); #255420=ORIENTED_EDGE('',*,*,#190437,.F.); #255421=ORIENTED_EDGE('',*,*,#190441,.F.); #255422=ORIENTED_EDGE('',*,*,#190444,.F.); #255423=ORIENTED_EDGE('',*,*,#190449,.T.); #255424=ORIENTED_EDGE('',*,*,#190450,.T.); #255425=ORIENTED_EDGE('',*,*,#190451,.F.); #255426=ORIENTED_EDGE('',*,*,#190452,.F.); #255427=ORIENTED_EDGE('',*,*,#190453,.T.); #255428=ORIENTED_EDGE('',*,*,#190452,.T.); #255429=ORIENTED_EDGE('',*,*,#190454,.F.); #255430=ORIENTED_EDGE('',*,*,#190455,.F.); #255431=ORIENTED_EDGE('',*,*,#190456,.T.); #255432=ORIENTED_EDGE('',*,*,#190455,.T.); #255433=ORIENTED_EDGE('',*,*,#190457,.F.); #255434=ORIENTED_EDGE('',*,*,#190458,.F.); #255435=ORIENTED_EDGE('',*,*,#190459,.T.); #255436=ORIENTED_EDGE('',*,*,#190458,.T.); #255437=ORIENTED_EDGE('',*,*,#190460,.F.); #255438=ORIENTED_EDGE('',*,*,#190450,.F.); #255439=ORIENTED_EDGE('',*,*,#190460,.T.); #255440=ORIENTED_EDGE('',*,*,#190457,.T.); #255441=ORIENTED_EDGE('',*,*,#190454,.T.); #255442=ORIENTED_EDGE('',*,*,#190451,.T.); #255443=ORIENTED_EDGE('',*,*,#190459,.F.); #255444=ORIENTED_EDGE('',*,*,#190449,.F.); #255445=ORIENTED_EDGE('',*,*,#190453,.F.); #255446=ORIENTED_EDGE('',*,*,#190456,.F.); #255447=ORIENTED_EDGE('',*,*,#190461,.T.); #255448=ORIENTED_EDGE('',*,*,#190462,.T.); #255449=ORIENTED_EDGE('',*,*,#190463,.F.); #255450=ORIENTED_EDGE('',*,*,#190464,.F.); #255451=ORIENTED_EDGE('',*,*,#190465,.T.); #255452=ORIENTED_EDGE('',*,*,#190464,.T.); #255453=ORIENTED_EDGE('',*,*,#190466,.F.); #255454=ORIENTED_EDGE('',*,*,#190467,.F.); #255455=ORIENTED_EDGE('',*,*,#190468,.T.); #255456=ORIENTED_EDGE('',*,*,#190467,.T.); #255457=ORIENTED_EDGE('',*,*,#190469,.F.); #255458=ORIENTED_EDGE('',*,*,#190470,.F.); #255459=ORIENTED_EDGE('',*,*,#190471,.T.); #255460=ORIENTED_EDGE('',*,*,#190470,.T.); #255461=ORIENTED_EDGE('',*,*,#190472,.F.); #255462=ORIENTED_EDGE('',*,*,#190462,.F.); #255463=ORIENTED_EDGE('',*,*,#190472,.T.); #255464=ORIENTED_EDGE('',*,*,#190469,.T.); #255465=ORIENTED_EDGE('',*,*,#190466,.T.); #255466=ORIENTED_EDGE('',*,*,#190463,.T.); #255467=ORIENTED_EDGE('',*,*,#190471,.F.); #255468=ORIENTED_EDGE('',*,*,#190461,.F.); #255469=ORIENTED_EDGE('',*,*,#190465,.F.); #255470=ORIENTED_EDGE('',*,*,#190468,.F.); #255471=ORIENTED_EDGE('',*,*,#190473,.F.); #255472=ORIENTED_EDGE('',*,*,#190474,.T.); #255473=ORIENTED_EDGE('',*,*,#190475,.F.); #255474=ORIENTED_EDGE('',*,*,#190474,.F.); #255475=ORIENTED_EDGE('',*,*,#190476,.F.); #255476=ORIENTED_EDGE('',*,*,#190477,.T.); #255477=ORIENTED_EDGE('',*,*,#190478,.F.); #255478=ORIENTED_EDGE('',*,*,#190477,.F.); #255479=ORIENTED_EDGE('',*,*,#190479,.F.); #255480=ORIENTED_EDGE('',*,*,#190480,.T.); #255481=ORIENTED_EDGE('',*,*,#190481,.F.); #255482=ORIENTED_EDGE('',*,*,#190480,.F.); #255483=ORIENTED_EDGE('',*,*,#190482,.F.); #255484=ORIENTED_EDGE('',*,*,#190483,.T.); #255485=ORIENTED_EDGE('',*,*,#190484,.F.); #255486=ORIENTED_EDGE('',*,*,#190483,.F.); #255487=ORIENTED_EDGE('',*,*,#190485,.F.); #255488=ORIENTED_EDGE('',*,*,#190486,.T.); #255489=ORIENTED_EDGE('',*,*,#190487,.F.); #255490=ORIENTED_EDGE('',*,*,#190486,.F.); #255491=ORIENTED_EDGE('',*,*,#190488,.F.); #255492=ORIENTED_EDGE('',*,*,#190489,.T.); #255493=ORIENTED_EDGE('',*,*,#190490,.F.); #255494=ORIENTED_EDGE('',*,*,#190489,.F.); #255495=ORIENTED_EDGE('',*,*,#190491,.T.); #255496=ORIENTED_EDGE('',*,*,#190492,.T.); #255497=ORIENTED_EDGE('',*,*,#190493,.F.); #255498=ORIENTED_EDGE('',*,*,#190494,.F.); #255499=ORIENTED_EDGE('',*,*,#190495,.T.); #255500=ORIENTED_EDGE('',*,*,#190494,.T.); #255501=ORIENTED_EDGE('',*,*,#190496,.F.); #255502=ORIENTED_EDGE('',*,*,#190497,.F.); #255503=ORIENTED_EDGE('',*,*,#190498,.T.); #255504=ORIENTED_EDGE('',*,*,#190497,.T.); #255505=ORIENTED_EDGE('',*,*,#190499,.F.); #255506=ORIENTED_EDGE('',*,*,#190500,.F.); #255507=ORIENTED_EDGE('',*,*,#190501,.T.); #255508=ORIENTED_EDGE('',*,*,#190500,.T.); #255509=ORIENTED_EDGE('',*,*,#190502,.F.); #255510=ORIENTED_EDGE('',*,*,#190492,.F.); #255511=ORIENTED_EDGE('',*,*,#190502,.T.); #255512=ORIENTED_EDGE('',*,*,#190499,.T.); #255513=ORIENTED_EDGE('',*,*,#190496,.T.); #255514=ORIENTED_EDGE('',*,*,#190493,.T.); #255515=ORIENTED_EDGE('',*,*,#190475,.T.); #255516=ORIENTED_EDGE('',*,*,#190478,.T.); #255517=ORIENTED_EDGE('',*,*,#190481,.T.); #255518=ORIENTED_EDGE('',*,*,#190484,.T.); #255519=ORIENTED_EDGE('',*,*,#190487,.T.); #255520=ORIENTED_EDGE('',*,*,#190490,.T.); #255521=ORIENTED_EDGE('',*,*,#190501,.F.); #255522=ORIENTED_EDGE('',*,*,#190491,.F.); #255523=ORIENTED_EDGE('',*,*,#190495,.F.); #255524=ORIENTED_EDGE('',*,*,#190498,.F.); #255525=ORIENTED_EDGE('',*,*,#190473,.T.); #255526=ORIENTED_EDGE('',*,*,#190476,.T.); #255527=ORIENTED_EDGE('',*,*,#190479,.T.); #255528=ORIENTED_EDGE('',*,*,#190482,.T.); #255529=ORIENTED_EDGE('',*,*,#190485,.T.); #255530=ORIENTED_EDGE('',*,*,#190488,.T.); #255531=ORIENTED_EDGE('',*,*,#190503,.T.); #255532=ORIENTED_EDGE('',*,*,#190504,.T.); #255533=ORIENTED_EDGE('',*,*,#190505,.F.); #255534=ORIENTED_EDGE('',*,*,#190506,.F.); #255535=ORIENTED_EDGE('',*,*,#190507,.T.); #255536=ORIENTED_EDGE('',*,*,#190506,.T.); #255537=ORIENTED_EDGE('',*,*,#190508,.F.); #255538=ORIENTED_EDGE('',*,*,#190509,.F.); #255539=ORIENTED_EDGE('',*,*,#190510,.T.); #255540=ORIENTED_EDGE('',*,*,#190509,.T.); #255541=ORIENTED_EDGE('',*,*,#190511,.F.); #255542=ORIENTED_EDGE('',*,*,#190512,.F.); #255543=ORIENTED_EDGE('',*,*,#190513,.T.); #255544=ORIENTED_EDGE('',*,*,#190512,.T.); #255545=ORIENTED_EDGE('',*,*,#190514,.F.); #255546=ORIENTED_EDGE('',*,*,#190504,.F.); #255547=ORIENTED_EDGE('',*,*,#190514,.T.); #255548=ORIENTED_EDGE('',*,*,#190511,.T.); #255549=ORIENTED_EDGE('',*,*,#190508,.T.); #255550=ORIENTED_EDGE('',*,*,#190505,.T.); #255551=ORIENTED_EDGE('',*,*,#190513,.F.); #255552=ORIENTED_EDGE('',*,*,#190503,.F.); #255553=ORIENTED_EDGE('',*,*,#190507,.F.); #255554=ORIENTED_EDGE('',*,*,#190510,.F.); #255555=ORIENTED_EDGE('',*,*,#190515,.T.); #255556=ORIENTED_EDGE('',*,*,#190516,.T.); #255557=ORIENTED_EDGE('',*,*,#190517,.F.); #255558=ORIENTED_EDGE('',*,*,#190518,.F.); #255559=ORIENTED_EDGE('',*,*,#190519,.T.); #255560=ORIENTED_EDGE('',*,*,#190518,.T.); #255561=ORIENTED_EDGE('',*,*,#190520,.F.); #255562=ORIENTED_EDGE('',*,*,#190521,.F.); #255563=ORIENTED_EDGE('',*,*,#190522,.T.); #255564=ORIENTED_EDGE('',*,*,#190521,.T.); #255565=ORIENTED_EDGE('',*,*,#190523,.F.); #255566=ORIENTED_EDGE('',*,*,#190524,.F.); #255567=ORIENTED_EDGE('',*,*,#190525,.T.); #255568=ORIENTED_EDGE('',*,*,#190524,.T.); #255569=ORIENTED_EDGE('',*,*,#190526,.F.); #255570=ORIENTED_EDGE('',*,*,#190516,.F.); #255571=ORIENTED_EDGE('',*,*,#190526,.T.); #255572=ORIENTED_EDGE('',*,*,#190523,.T.); #255573=ORIENTED_EDGE('',*,*,#190520,.T.); #255574=ORIENTED_EDGE('',*,*,#190517,.T.); #255575=ORIENTED_EDGE('',*,*,#190525,.F.); #255576=ORIENTED_EDGE('',*,*,#190515,.F.); #255577=ORIENTED_EDGE('',*,*,#190519,.F.); #255578=ORIENTED_EDGE('',*,*,#190522,.F.); #255579=ORIENTED_EDGE('',*,*,#190527,.F.); #255580=ORIENTED_EDGE('',*,*,#190528,.T.); #255581=ORIENTED_EDGE('',*,*,#190529,.F.); #255582=ORIENTED_EDGE('',*,*,#190528,.F.); #255583=ORIENTED_EDGE('',*,*,#190530,.F.); #255584=ORIENTED_EDGE('',*,*,#190531,.T.); #255585=ORIENTED_EDGE('',*,*,#190532,.F.); #255586=ORIENTED_EDGE('',*,*,#190531,.F.); #255587=ORIENTED_EDGE('',*,*,#190533,.F.); #255588=ORIENTED_EDGE('',*,*,#190534,.T.); #255589=ORIENTED_EDGE('',*,*,#190535,.F.); #255590=ORIENTED_EDGE('',*,*,#190534,.F.); #255591=ORIENTED_EDGE('',*,*,#190536,.F.); #255592=ORIENTED_EDGE('',*,*,#190537,.T.); #255593=ORIENTED_EDGE('',*,*,#190538,.F.); #255594=ORIENTED_EDGE('',*,*,#190537,.F.); #255595=ORIENTED_EDGE('',*,*,#190539,.F.); #255596=ORIENTED_EDGE('',*,*,#190540,.T.); #255597=ORIENTED_EDGE('',*,*,#190541,.F.); #255598=ORIENTED_EDGE('',*,*,#190540,.F.); #255599=ORIENTED_EDGE('',*,*,#190542,.F.); #255600=ORIENTED_EDGE('',*,*,#190543,.T.); #255601=ORIENTED_EDGE('',*,*,#190544,.F.); #255602=ORIENTED_EDGE('',*,*,#190543,.F.); #255603=ORIENTED_EDGE('',*,*,#190545,.F.); #255604=ORIENTED_EDGE('',*,*,#190546,.T.); #255605=ORIENTED_EDGE('',*,*,#190547,.F.); #255606=ORIENTED_EDGE('',*,*,#190546,.F.); #255607=ORIENTED_EDGE('',*,*,#190548,.F.); #255608=ORIENTED_EDGE('',*,*,#190549,.T.); #255609=ORIENTED_EDGE('',*,*,#190550,.F.); #255610=ORIENTED_EDGE('',*,*,#190549,.F.); #255611=ORIENTED_EDGE('',*,*,#190551,.F.); #255612=ORIENTED_EDGE('',*,*,#190552,.T.); #255613=ORIENTED_EDGE('',*,*,#190553,.F.); #255614=ORIENTED_EDGE('',*,*,#190552,.F.); #255615=ORIENTED_EDGE('',*,*,#190554,.F.); #255616=ORIENTED_EDGE('',*,*,#190555,.T.); #255617=ORIENTED_EDGE('',*,*,#190556,.F.); #255618=ORIENTED_EDGE('',*,*,#190555,.F.); #255619=ORIENTED_EDGE('',*,*,#190557,.F.); #255620=ORIENTED_EDGE('',*,*,#190558,.T.); #255621=ORIENTED_EDGE('',*,*,#190559,.F.); #255622=ORIENTED_EDGE('',*,*,#190558,.F.); #255623=ORIENTED_EDGE('',*,*,#190560,.F.); #255624=ORIENTED_EDGE('',*,*,#190561,.T.); #255625=ORIENTED_EDGE('',*,*,#190562,.F.); #255626=ORIENTED_EDGE('',*,*,#190561,.F.); #255627=ORIENTED_EDGE('',*,*,#190563,.F.); #255628=ORIENTED_EDGE('',*,*,#190564,.T.); #255629=ORIENTED_EDGE('',*,*,#190565,.F.); #255630=ORIENTED_EDGE('',*,*,#190564,.F.); #255631=ORIENTED_EDGE('',*,*,#190566,.F.); #255632=ORIENTED_EDGE('',*,*,#190567,.T.); #255633=ORIENTED_EDGE('',*,*,#190568,.F.); #255634=ORIENTED_EDGE('',*,*,#190567,.F.); #255635=ORIENTED_EDGE('',*,*,#190569,.F.); #255636=ORIENTED_EDGE('',*,*,#190570,.T.); #255637=ORIENTED_EDGE('',*,*,#190571,.F.); #255638=ORIENTED_EDGE('',*,*,#190570,.F.); #255639=ORIENTED_EDGE('',*,*,#190572,.F.); #255640=ORIENTED_EDGE('',*,*,#190573,.T.); #255641=ORIENTED_EDGE('',*,*,#190574,.F.); #255642=ORIENTED_EDGE('',*,*,#190573,.F.); #255643=ORIENTED_EDGE('',*,*,#190575,.F.); #255644=ORIENTED_EDGE('',*,*,#190576,.T.); #255645=ORIENTED_EDGE('',*,*,#190577,.F.); #255646=ORIENTED_EDGE('',*,*,#190576,.F.); #255647=ORIENTED_EDGE('',*,*,#190578,.F.); #255648=ORIENTED_EDGE('',*,*,#190579,.T.); #255649=ORIENTED_EDGE('',*,*,#190580,.F.); #255650=ORIENTED_EDGE('',*,*,#190579,.F.); #255651=ORIENTED_EDGE('',*,*,#190581,.F.); #255652=ORIENTED_EDGE('',*,*,#190582,.T.); #255653=ORIENTED_EDGE('',*,*,#190583,.F.); #255654=ORIENTED_EDGE('',*,*,#190582,.F.); #255655=ORIENTED_EDGE('',*,*,#190584,.F.); #255656=ORIENTED_EDGE('',*,*,#190585,.T.); #255657=ORIENTED_EDGE('',*,*,#190586,.F.); #255658=ORIENTED_EDGE('',*,*,#190585,.F.); #255659=ORIENTED_EDGE('',*,*,#190587,.F.); #255660=ORIENTED_EDGE('',*,*,#190588,.T.); #255661=ORIENTED_EDGE('',*,*,#190589,.F.); #255662=ORIENTED_EDGE('',*,*,#190588,.F.); #255663=ORIENTED_EDGE('',*,*,#190590,.F.); #255664=ORIENTED_EDGE('',*,*,#190591,.T.); #255665=ORIENTED_EDGE('',*,*,#190592,.F.); #255666=ORIENTED_EDGE('',*,*,#190591,.F.); #255667=ORIENTED_EDGE('',*,*,#190593,.F.); #255668=ORIENTED_EDGE('',*,*,#190594,.T.); #255669=ORIENTED_EDGE('',*,*,#190595,.F.); #255670=ORIENTED_EDGE('',*,*,#190594,.F.); #255671=ORIENTED_EDGE('',*,*,#190596,.F.); #255672=ORIENTED_EDGE('',*,*,#190597,.T.); #255673=ORIENTED_EDGE('',*,*,#190598,.F.); #255674=ORIENTED_EDGE('',*,*,#190597,.F.); #255675=ORIENTED_EDGE('',*,*,#190599,.F.); #255676=ORIENTED_EDGE('',*,*,#190600,.T.); #255677=ORIENTED_EDGE('',*,*,#190601,.F.); #255678=ORIENTED_EDGE('',*,*,#190600,.F.); #255679=ORIENTED_EDGE('',*,*,#190602,.F.); #255680=ORIENTED_EDGE('',*,*,#190603,.T.); #255681=ORIENTED_EDGE('',*,*,#190604,.F.); #255682=ORIENTED_EDGE('',*,*,#190603,.F.); #255683=ORIENTED_EDGE('',*,*,#190605,.F.); #255684=ORIENTED_EDGE('',*,*,#190606,.T.); #255685=ORIENTED_EDGE('',*,*,#190607,.F.); #255686=ORIENTED_EDGE('',*,*,#190606,.F.); #255687=ORIENTED_EDGE('',*,*,#190608,.F.); #255688=ORIENTED_EDGE('',*,*,#190609,.T.); #255689=ORIENTED_EDGE('',*,*,#190610,.F.); #255690=ORIENTED_EDGE('',*,*,#190609,.F.); #255691=ORIENTED_EDGE('',*,*,#190611,.F.); #255692=ORIENTED_EDGE('',*,*,#190612,.T.); #255693=ORIENTED_EDGE('',*,*,#190613,.F.); #255694=ORIENTED_EDGE('',*,*,#190612,.F.); #255695=ORIENTED_EDGE('',*,*,#190614,.F.); #255696=ORIENTED_EDGE('',*,*,#190615,.T.); #255697=ORIENTED_EDGE('',*,*,#190616,.F.); #255698=ORIENTED_EDGE('',*,*,#190615,.F.); #255699=ORIENTED_EDGE('',*,*,#190617,.F.); #255700=ORIENTED_EDGE('',*,*,#190618,.T.); #255701=ORIENTED_EDGE('',*,*,#190619,.F.); #255702=ORIENTED_EDGE('',*,*,#190618,.F.); #255703=ORIENTED_EDGE('',*,*,#190620,.F.); #255704=ORIENTED_EDGE('',*,*,#190621,.T.); #255705=ORIENTED_EDGE('',*,*,#190622,.F.); #255706=ORIENTED_EDGE('',*,*,#190621,.F.); #255707=ORIENTED_EDGE('',*,*,#190623,.F.); #255708=ORIENTED_EDGE('',*,*,#190624,.T.); #255709=ORIENTED_EDGE('',*,*,#190625,.F.); #255710=ORIENTED_EDGE('',*,*,#190624,.F.); #255711=ORIENTED_EDGE('',*,*,#190626,.F.); #255712=ORIENTED_EDGE('',*,*,#190627,.T.); #255713=ORIENTED_EDGE('',*,*,#190628,.F.); #255714=ORIENTED_EDGE('',*,*,#190627,.F.); #255715=ORIENTED_EDGE('',*,*,#190629,.F.); #255716=ORIENTED_EDGE('',*,*,#190630,.T.); #255717=ORIENTED_EDGE('',*,*,#190631,.F.); #255718=ORIENTED_EDGE('',*,*,#190630,.F.); #255719=ORIENTED_EDGE('',*,*,#190632,.F.); #255720=ORIENTED_EDGE('',*,*,#190633,.T.); #255721=ORIENTED_EDGE('',*,*,#190634,.F.); #255722=ORIENTED_EDGE('',*,*,#190633,.F.); #255723=ORIENTED_EDGE('',*,*,#190635,.F.); #255724=ORIENTED_EDGE('',*,*,#190636,.T.); #255725=ORIENTED_EDGE('',*,*,#190637,.F.); #255726=ORIENTED_EDGE('',*,*,#190636,.F.); #255727=ORIENTED_EDGE('',*,*,#190638,.F.); #255728=ORIENTED_EDGE('',*,*,#190639,.T.); #255729=ORIENTED_EDGE('',*,*,#190640,.F.); #255730=ORIENTED_EDGE('',*,*,#190639,.F.); #255731=ORIENTED_EDGE('',*,*,#190641,.F.); #255732=ORIENTED_EDGE('',*,*,#190642,.T.); #255733=ORIENTED_EDGE('',*,*,#190643,.F.); #255734=ORIENTED_EDGE('',*,*,#190642,.F.); #255735=ORIENTED_EDGE('',*,*,#190644,.F.); #255736=ORIENTED_EDGE('',*,*,#190645,.T.); #255737=ORIENTED_EDGE('',*,*,#190646,.F.); #255738=ORIENTED_EDGE('',*,*,#190645,.F.); #255739=ORIENTED_EDGE('',*,*,#190647,.F.); #255740=ORIENTED_EDGE('',*,*,#190648,.T.); #255741=ORIENTED_EDGE('',*,*,#190649,.F.); #255742=ORIENTED_EDGE('',*,*,#190648,.F.); #255743=ORIENTED_EDGE('',*,*,#190650,.F.); #255744=ORIENTED_EDGE('',*,*,#190651,.T.); #255745=ORIENTED_EDGE('',*,*,#190652,.F.); #255746=ORIENTED_EDGE('',*,*,#190651,.F.); #255747=ORIENTED_EDGE('',*,*,#190653,.F.); #255748=ORIENTED_EDGE('',*,*,#190654,.T.); #255749=ORIENTED_EDGE('',*,*,#190655,.F.); #255750=ORIENTED_EDGE('',*,*,#190654,.F.); #255751=ORIENTED_EDGE('',*,*,#190656,.F.); #255752=ORIENTED_EDGE('',*,*,#190657,.T.); #255753=ORIENTED_EDGE('',*,*,#190658,.F.); #255754=ORIENTED_EDGE('',*,*,#190657,.F.); #255755=ORIENTED_EDGE('',*,*,#190659,.F.); #255756=ORIENTED_EDGE('',*,*,#190660,.T.); #255757=ORIENTED_EDGE('',*,*,#190661,.F.); #255758=ORIENTED_EDGE('',*,*,#190660,.F.); #255759=ORIENTED_EDGE('',*,*,#190662,.F.); #255760=ORIENTED_EDGE('',*,*,#190663,.T.); #255761=ORIENTED_EDGE('',*,*,#190664,.F.); #255762=ORIENTED_EDGE('',*,*,#190663,.F.); #255763=ORIENTED_EDGE('',*,*,#190665,.F.); #255764=ORIENTED_EDGE('',*,*,#190666,.T.); #255765=ORIENTED_EDGE('',*,*,#190667,.F.); #255766=ORIENTED_EDGE('',*,*,#190666,.F.); #255767=ORIENTED_EDGE('',*,*,#190668,.F.); #255768=ORIENTED_EDGE('',*,*,#190669,.T.); #255769=ORIENTED_EDGE('',*,*,#190670,.F.); #255770=ORIENTED_EDGE('',*,*,#190669,.F.); #255771=ORIENTED_EDGE('',*,*,#190671,.F.); #255772=ORIENTED_EDGE('',*,*,#190672,.T.); #255773=ORIENTED_EDGE('',*,*,#190673,.F.); #255774=ORIENTED_EDGE('',*,*,#190672,.F.); #255775=ORIENTED_EDGE('',*,*,#190674,.T.); #255776=ORIENTED_EDGE('',*,*,#190675,.T.); #255777=ORIENTED_EDGE('',*,*,#190676,.F.); #255778=ORIENTED_EDGE('',*,*,#190677,.F.); #255779=ORIENTED_EDGE('',*,*,#190678,.T.); #255780=ORIENTED_EDGE('',*,*,#190677,.T.); #255781=ORIENTED_EDGE('',*,*,#190679,.F.); #255782=ORIENTED_EDGE('',*,*,#190680,.F.); #255783=ORIENTED_EDGE('',*,*,#190681,.T.); #255784=ORIENTED_EDGE('',*,*,#190680,.T.); #255785=ORIENTED_EDGE('',*,*,#190682,.F.); #255786=ORIENTED_EDGE('',*,*,#190683,.F.); #255787=ORIENTED_EDGE('',*,*,#190684,.T.); #255788=ORIENTED_EDGE('',*,*,#190683,.T.); #255789=ORIENTED_EDGE('',*,*,#190685,.F.); #255790=ORIENTED_EDGE('',*,*,#190675,.F.); #255791=ORIENTED_EDGE('',*,*,#190685,.T.); #255792=ORIENTED_EDGE('',*,*,#190682,.T.); #255793=ORIENTED_EDGE('',*,*,#190679,.T.); #255794=ORIENTED_EDGE('',*,*,#190676,.T.); #255795=ORIENTED_EDGE('',*,*,#190529,.T.); #255796=ORIENTED_EDGE('',*,*,#190532,.T.); #255797=ORIENTED_EDGE('',*,*,#190535,.T.); #255798=ORIENTED_EDGE('',*,*,#190538,.T.); #255799=ORIENTED_EDGE('',*,*,#190541,.T.); #255800=ORIENTED_EDGE('',*,*,#190544,.T.); #255801=ORIENTED_EDGE('',*,*,#190547,.T.); #255802=ORIENTED_EDGE('',*,*,#190550,.T.); #255803=ORIENTED_EDGE('',*,*,#190553,.T.); #255804=ORIENTED_EDGE('',*,*,#190556,.T.); #255805=ORIENTED_EDGE('',*,*,#190559,.T.); #255806=ORIENTED_EDGE('',*,*,#190562,.T.); #255807=ORIENTED_EDGE('',*,*,#190565,.T.); #255808=ORIENTED_EDGE('',*,*,#190568,.T.); #255809=ORIENTED_EDGE('',*,*,#190571,.T.); #255810=ORIENTED_EDGE('',*,*,#190574,.T.); #255811=ORIENTED_EDGE('',*,*,#190577,.T.); #255812=ORIENTED_EDGE('',*,*,#190580,.T.); #255813=ORIENTED_EDGE('',*,*,#190583,.T.); #255814=ORIENTED_EDGE('',*,*,#190586,.T.); #255815=ORIENTED_EDGE('',*,*,#190589,.T.); #255816=ORIENTED_EDGE('',*,*,#190592,.T.); #255817=ORIENTED_EDGE('',*,*,#190595,.T.); #255818=ORIENTED_EDGE('',*,*,#190598,.T.); #255819=ORIENTED_EDGE('',*,*,#190601,.T.); #255820=ORIENTED_EDGE('',*,*,#190604,.T.); #255821=ORIENTED_EDGE('',*,*,#190607,.T.); #255822=ORIENTED_EDGE('',*,*,#190610,.T.); #255823=ORIENTED_EDGE('',*,*,#190613,.T.); #255824=ORIENTED_EDGE('',*,*,#190616,.T.); #255825=ORIENTED_EDGE('',*,*,#190619,.T.); #255826=ORIENTED_EDGE('',*,*,#190622,.T.); #255827=ORIENTED_EDGE('',*,*,#190625,.T.); #255828=ORIENTED_EDGE('',*,*,#190628,.T.); #255829=ORIENTED_EDGE('',*,*,#190631,.T.); #255830=ORIENTED_EDGE('',*,*,#190634,.T.); #255831=ORIENTED_EDGE('',*,*,#190637,.T.); #255832=ORIENTED_EDGE('',*,*,#190640,.T.); #255833=ORIENTED_EDGE('',*,*,#190643,.T.); #255834=ORIENTED_EDGE('',*,*,#190646,.T.); #255835=ORIENTED_EDGE('',*,*,#190649,.T.); #255836=ORIENTED_EDGE('',*,*,#190652,.T.); #255837=ORIENTED_EDGE('',*,*,#190655,.T.); #255838=ORIENTED_EDGE('',*,*,#190658,.T.); #255839=ORIENTED_EDGE('',*,*,#190661,.T.); #255840=ORIENTED_EDGE('',*,*,#190664,.T.); #255841=ORIENTED_EDGE('',*,*,#190667,.T.); #255842=ORIENTED_EDGE('',*,*,#190670,.T.); #255843=ORIENTED_EDGE('',*,*,#190673,.T.); #255844=ORIENTED_EDGE('',*,*,#190684,.F.); #255845=ORIENTED_EDGE('',*,*,#190674,.F.); #255846=ORIENTED_EDGE('',*,*,#190678,.F.); #255847=ORIENTED_EDGE('',*,*,#190681,.F.); #255848=ORIENTED_EDGE('',*,*,#190527,.T.); #255849=ORIENTED_EDGE('',*,*,#190530,.T.); #255850=ORIENTED_EDGE('',*,*,#190533,.T.); #255851=ORIENTED_EDGE('',*,*,#190536,.T.); #255852=ORIENTED_EDGE('',*,*,#190539,.T.); #255853=ORIENTED_EDGE('',*,*,#190542,.T.); #255854=ORIENTED_EDGE('',*,*,#190545,.T.); #255855=ORIENTED_EDGE('',*,*,#190548,.T.); #255856=ORIENTED_EDGE('',*,*,#190551,.T.); #255857=ORIENTED_EDGE('',*,*,#190554,.T.); #255858=ORIENTED_EDGE('',*,*,#190557,.T.); #255859=ORIENTED_EDGE('',*,*,#190560,.T.); #255860=ORIENTED_EDGE('',*,*,#190563,.T.); #255861=ORIENTED_EDGE('',*,*,#190566,.T.); #255862=ORIENTED_EDGE('',*,*,#190569,.T.); #255863=ORIENTED_EDGE('',*,*,#190572,.T.); #255864=ORIENTED_EDGE('',*,*,#190575,.T.); #255865=ORIENTED_EDGE('',*,*,#190578,.T.); #255866=ORIENTED_EDGE('',*,*,#190581,.T.); #255867=ORIENTED_EDGE('',*,*,#190584,.T.); #255868=ORIENTED_EDGE('',*,*,#190587,.T.); #255869=ORIENTED_EDGE('',*,*,#190590,.T.); #255870=ORIENTED_EDGE('',*,*,#190593,.T.); #255871=ORIENTED_EDGE('',*,*,#190596,.T.); #255872=ORIENTED_EDGE('',*,*,#190599,.T.); #255873=ORIENTED_EDGE('',*,*,#190602,.T.); #255874=ORIENTED_EDGE('',*,*,#190605,.T.); #255875=ORIENTED_EDGE('',*,*,#190608,.T.); #255876=ORIENTED_EDGE('',*,*,#190611,.T.); #255877=ORIENTED_EDGE('',*,*,#190614,.T.); #255878=ORIENTED_EDGE('',*,*,#190617,.T.); #255879=ORIENTED_EDGE('',*,*,#190620,.T.); #255880=ORIENTED_EDGE('',*,*,#190623,.T.); #255881=ORIENTED_EDGE('',*,*,#190626,.T.); #255882=ORIENTED_EDGE('',*,*,#190629,.T.); #255883=ORIENTED_EDGE('',*,*,#190632,.T.); #255884=ORIENTED_EDGE('',*,*,#190635,.T.); #255885=ORIENTED_EDGE('',*,*,#190638,.T.); #255886=ORIENTED_EDGE('',*,*,#190641,.T.); #255887=ORIENTED_EDGE('',*,*,#190644,.T.); #255888=ORIENTED_EDGE('',*,*,#190647,.T.); #255889=ORIENTED_EDGE('',*,*,#190650,.T.); #255890=ORIENTED_EDGE('',*,*,#190653,.T.); #255891=ORIENTED_EDGE('',*,*,#190656,.T.); #255892=ORIENTED_EDGE('',*,*,#190659,.T.); #255893=ORIENTED_EDGE('',*,*,#190662,.T.); #255894=ORIENTED_EDGE('',*,*,#190665,.T.); #255895=ORIENTED_EDGE('',*,*,#190668,.T.); #255896=ORIENTED_EDGE('',*,*,#190671,.T.); #255897=ORIENTED_EDGE('',*,*,#190686,.T.); #255898=ORIENTED_EDGE('',*,*,#190687,.T.); #255899=ORIENTED_EDGE('',*,*,#190688,.F.); #255900=ORIENTED_EDGE('',*,*,#190689,.F.); #255901=ORIENTED_EDGE('',*,*,#190690,.T.); #255902=ORIENTED_EDGE('',*,*,#190689,.T.); #255903=ORIENTED_EDGE('',*,*,#190691,.F.); #255904=ORIENTED_EDGE('',*,*,#190692,.F.); #255905=ORIENTED_EDGE('',*,*,#190693,.T.); #255906=ORIENTED_EDGE('',*,*,#190692,.T.); #255907=ORIENTED_EDGE('',*,*,#190694,.F.); #255908=ORIENTED_EDGE('',*,*,#190695,.F.); #255909=ORIENTED_EDGE('',*,*,#190696,.T.); #255910=ORIENTED_EDGE('',*,*,#190695,.T.); #255911=ORIENTED_EDGE('',*,*,#190697,.F.); #255912=ORIENTED_EDGE('',*,*,#190687,.F.); #255913=ORIENTED_EDGE('',*,*,#190697,.T.); #255914=ORIENTED_EDGE('',*,*,#190694,.T.); #255915=ORIENTED_EDGE('',*,*,#190691,.T.); #255916=ORIENTED_EDGE('',*,*,#190688,.T.); #255917=ORIENTED_EDGE('',*,*,#190696,.F.); #255918=ORIENTED_EDGE('',*,*,#190686,.F.); #255919=ORIENTED_EDGE('',*,*,#190690,.F.); #255920=ORIENTED_EDGE('',*,*,#190693,.F.); #255921=ORIENTED_EDGE('',*,*,#190698,.T.); #255922=ORIENTED_EDGE('',*,*,#190699,.T.); #255923=ORIENTED_EDGE('',*,*,#190700,.F.); #255924=ORIENTED_EDGE('',*,*,#190701,.F.); #255925=ORIENTED_EDGE('',*,*,#190702,.T.); #255926=ORIENTED_EDGE('',*,*,#190701,.T.); #255927=ORIENTED_EDGE('',*,*,#190703,.F.); #255928=ORIENTED_EDGE('',*,*,#190704,.F.); #255929=ORIENTED_EDGE('',*,*,#190705,.T.); #255930=ORIENTED_EDGE('',*,*,#190704,.T.); #255931=ORIENTED_EDGE('',*,*,#190706,.F.); #255932=ORIENTED_EDGE('',*,*,#190707,.F.); #255933=ORIENTED_EDGE('',*,*,#190708,.T.); #255934=ORIENTED_EDGE('',*,*,#190707,.T.); #255935=ORIENTED_EDGE('',*,*,#190709,.F.); #255936=ORIENTED_EDGE('',*,*,#190699,.F.); #255937=ORIENTED_EDGE('',*,*,#190709,.T.); #255938=ORIENTED_EDGE('',*,*,#190706,.T.); #255939=ORIENTED_EDGE('',*,*,#190703,.T.); #255940=ORIENTED_EDGE('',*,*,#190700,.T.); #255941=ORIENTED_EDGE('',*,*,#190708,.F.); #255942=ORIENTED_EDGE('',*,*,#190698,.F.); #255943=ORIENTED_EDGE('',*,*,#190702,.F.); #255944=ORIENTED_EDGE('',*,*,#190705,.F.); #255945=ORIENTED_EDGE('',*,*,#190710,.T.); #255946=ORIENTED_EDGE('',*,*,#190711,.T.); #255947=ORIENTED_EDGE('',*,*,#190712,.F.); #255948=ORIENTED_EDGE('',*,*,#190713,.F.); #255949=ORIENTED_EDGE('',*,*,#190714,.T.); #255950=ORIENTED_EDGE('',*,*,#190713,.T.); #255951=ORIENTED_EDGE('',*,*,#190715,.F.); #255952=ORIENTED_EDGE('',*,*,#190716,.F.); #255953=ORIENTED_EDGE('',*,*,#190717,.T.); #255954=ORIENTED_EDGE('',*,*,#190716,.T.); #255955=ORIENTED_EDGE('',*,*,#190718,.F.); #255956=ORIENTED_EDGE('',*,*,#190719,.F.); #255957=ORIENTED_EDGE('',*,*,#190720,.T.); #255958=ORIENTED_EDGE('',*,*,#190719,.T.); #255959=ORIENTED_EDGE('',*,*,#190721,.F.); #255960=ORIENTED_EDGE('',*,*,#190711,.F.); #255961=ORIENTED_EDGE('',*,*,#190721,.T.); #255962=ORIENTED_EDGE('',*,*,#190718,.T.); #255963=ORIENTED_EDGE('',*,*,#190715,.T.); #255964=ORIENTED_EDGE('',*,*,#190712,.T.); #255965=ORIENTED_EDGE('',*,*,#190720,.F.); #255966=ORIENTED_EDGE('',*,*,#190710,.F.); #255967=ORIENTED_EDGE('',*,*,#190714,.F.); #255968=ORIENTED_EDGE('',*,*,#190717,.F.); #255969=ORIENTED_EDGE('',*,*,#190722,.T.); #255970=ORIENTED_EDGE('',*,*,#190723,.T.); #255971=ORIENTED_EDGE('',*,*,#190724,.F.); #255972=ORIENTED_EDGE('',*,*,#190725,.F.); #255973=ORIENTED_EDGE('',*,*,#190726,.T.); #255974=ORIENTED_EDGE('',*,*,#190725,.T.); #255975=ORIENTED_EDGE('',*,*,#190727,.F.); #255976=ORIENTED_EDGE('',*,*,#190728,.F.); #255977=ORIENTED_EDGE('',*,*,#190729,.T.); #255978=ORIENTED_EDGE('',*,*,#190728,.T.); #255979=ORIENTED_EDGE('',*,*,#190730,.F.); #255980=ORIENTED_EDGE('',*,*,#190731,.F.); #255981=ORIENTED_EDGE('',*,*,#190732,.T.); #255982=ORIENTED_EDGE('',*,*,#190731,.T.); #255983=ORIENTED_EDGE('',*,*,#190733,.F.); #255984=ORIENTED_EDGE('',*,*,#190723,.F.); #255985=ORIENTED_EDGE('',*,*,#190733,.T.); #255986=ORIENTED_EDGE('',*,*,#190730,.T.); #255987=ORIENTED_EDGE('',*,*,#190727,.T.); #255988=ORIENTED_EDGE('',*,*,#190724,.T.); #255989=ORIENTED_EDGE('',*,*,#190732,.F.); #255990=ORIENTED_EDGE('',*,*,#190722,.F.); #255991=ORIENTED_EDGE('',*,*,#190726,.F.); #255992=ORIENTED_EDGE('',*,*,#190729,.F.); #255993=ORIENTED_EDGE('',*,*,#190734,.T.); #255994=ORIENTED_EDGE('',*,*,#190735,.T.); #255995=ORIENTED_EDGE('',*,*,#190736,.F.); #255996=ORIENTED_EDGE('',*,*,#190737,.F.); #255997=ORIENTED_EDGE('',*,*,#190738,.T.); #255998=ORIENTED_EDGE('',*,*,#190737,.T.); #255999=ORIENTED_EDGE('',*,*,#190739,.F.); #256000=ORIENTED_EDGE('',*,*,#190740,.F.); #256001=ORIENTED_EDGE('',*,*,#190741,.T.); #256002=ORIENTED_EDGE('',*,*,#190740,.T.); #256003=ORIENTED_EDGE('',*,*,#190742,.F.); #256004=ORIENTED_EDGE('',*,*,#190743,.F.); #256005=ORIENTED_EDGE('',*,*,#190744,.T.); #256006=ORIENTED_EDGE('',*,*,#190743,.T.); #256007=ORIENTED_EDGE('',*,*,#190745,.F.); #256008=ORIENTED_EDGE('',*,*,#190735,.F.); #256009=ORIENTED_EDGE('',*,*,#190745,.T.); #256010=ORIENTED_EDGE('',*,*,#190742,.T.); #256011=ORIENTED_EDGE('',*,*,#190739,.T.); #256012=ORIENTED_EDGE('',*,*,#190736,.T.); #256013=ORIENTED_EDGE('',*,*,#190744,.F.); #256014=ORIENTED_EDGE('',*,*,#190734,.F.); #256015=ORIENTED_EDGE('',*,*,#190738,.F.); #256016=ORIENTED_EDGE('',*,*,#190741,.F.); #256017=ORIENTED_EDGE('',*,*,#190746,.T.); #256018=ORIENTED_EDGE('',*,*,#190747,.T.); #256019=ORIENTED_EDGE('',*,*,#190748,.F.); #256020=ORIENTED_EDGE('',*,*,#190749,.F.); #256021=ORIENTED_EDGE('',*,*,#190750,.T.); #256022=ORIENTED_EDGE('',*,*,#190749,.T.); #256023=ORIENTED_EDGE('',*,*,#190751,.F.); #256024=ORIENTED_EDGE('',*,*,#190752,.F.); #256025=ORIENTED_EDGE('',*,*,#190753,.T.); #256026=ORIENTED_EDGE('',*,*,#190752,.T.); #256027=ORIENTED_EDGE('',*,*,#190754,.F.); #256028=ORIENTED_EDGE('',*,*,#190755,.F.); #256029=ORIENTED_EDGE('',*,*,#190756,.T.); #256030=ORIENTED_EDGE('',*,*,#190755,.T.); #256031=ORIENTED_EDGE('',*,*,#190757,.F.); #256032=ORIENTED_EDGE('',*,*,#190747,.F.); #256033=ORIENTED_EDGE('',*,*,#190757,.T.); #256034=ORIENTED_EDGE('',*,*,#190754,.T.); #256035=ORIENTED_EDGE('',*,*,#190751,.T.); #256036=ORIENTED_EDGE('',*,*,#190748,.T.); #256037=ORIENTED_EDGE('',*,*,#190756,.F.); #256038=ORIENTED_EDGE('',*,*,#190746,.F.); #256039=ORIENTED_EDGE('',*,*,#190750,.F.); #256040=ORIENTED_EDGE('',*,*,#190753,.F.); #256041=ORIENTED_EDGE('',*,*,#190758,.T.); #256042=ORIENTED_EDGE('',*,*,#190759,.T.); #256043=ORIENTED_EDGE('',*,*,#190760,.F.); #256044=ORIENTED_EDGE('',*,*,#190761,.F.); #256045=ORIENTED_EDGE('',*,*,#190762,.T.); #256046=ORIENTED_EDGE('',*,*,#190761,.T.); #256047=ORIENTED_EDGE('',*,*,#190763,.F.); #256048=ORIENTED_EDGE('',*,*,#190764,.F.); #256049=ORIENTED_EDGE('',*,*,#190765,.T.); #256050=ORIENTED_EDGE('',*,*,#190764,.T.); #256051=ORIENTED_EDGE('',*,*,#190766,.F.); #256052=ORIENTED_EDGE('',*,*,#190767,.F.); #256053=ORIENTED_EDGE('',*,*,#190768,.T.); #256054=ORIENTED_EDGE('',*,*,#190767,.T.); #256055=ORIENTED_EDGE('',*,*,#190769,.F.); #256056=ORIENTED_EDGE('',*,*,#190759,.F.); #256057=ORIENTED_EDGE('',*,*,#190769,.T.); #256058=ORIENTED_EDGE('',*,*,#190766,.T.); #256059=ORIENTED_EDGE('',*,*,#190763,.T.); #256060=ORIENTED_EDGE('',*,*,#190760,.T.); #256061=ORIENTED_EDGE('',*,*,#190768,.F.); #256062=ORIENTED_EDGE('',*,*,#190758,.F.); #256063=ORIENTED_EDGE('',*,*,#190762,.F.); #256064=ORIENTED_EDGE('',*,*,#190765,.F.); #256065=ORIENTED_EDGE('',*,*,#190770,.T.); #256066=ORIENTED_EDGE('',*,*,#190771,.T.); #256067=ORIENTED_EDGE('',*,*,#190772,.F.); #256068=ORIENTED_EDGE('',*,*,#190773,.F.); #256069=ORIENTED_EDGE('',*,*,#190774,.T.); #256070=ORIENTED_EDGE('',*,*,#190773,.T.); #256071=ORIENTED_EDGE('',*,*,#190775,.F.); #256072=ORIENTED_EDGE('',*,*,#190776,.F.); #256073=ORIENTED_EDGE('',*,*,#190777,.T.); #256074=ORIENTED_EDGE('',*,*,#190776,.T.); #256075=ORIENTED_EDGE('',*,*,#190778,.F.); #256076=ORIENTED_EDGE('',*,*,#190779,.F.); #256077=ORIENTED_EDGE('',*,*,#190780,.T.); #256078=ORIENTED_EDGE('',*,*,#190779,.T.); #256079=ORIENTED_EDGE('',*,*,#190781,.F.); #256080=ORIENTED_EDGE('',*,*,#190771,.F.); #256081=ORIENTED_EDGE('',*,*,#190781,.T.); #256082=ORIENTED_EDGE('',*,*,#190778,.T.); #256083=ORIENTED_EDGE('',*,*,#190775,.T.); #256084=ORIENTED_EDGE('',*,*,#190772,.T.); #256085=ORIENTED_EDGE('',*,*,#190780,.F.); #256086=ORIENTED_EDGE('',*,*,#190770,.F.); #256087=ORIENTED_EDGE('',*,*,#190774,.F.); #256088=ORIENTED_EDGE('',*,*,#190777,.F.); #256089=ORIENTED_EDGE('',*,*,#190782,.T.); #256090=ORIENTED_EDGE('',*,*,#190783,.T.); #256091=ORIENTED_EDGE('',*,*,#190784,.F.); #256092=ORIENTED_EDGE('',*,*,#190785,.F.); #256093=ORIENTED_EDGE('',*,*,#190786,.T.); #256094=ORIENTED_EDGE('',*,*,#190785,.T.); #256095=ORIENTED_EDGE('',*,*,#190787,.F.); #256096=ORIENTED_EDGE('',*,*,#190788,.F.); #256097=ORIENTED_EDGE('',*,*,#190789,.T.); #256098=ORIENTED_EDGE('',*,*,#190788,.T.); #256099=ORIENTED_EDGE('',*,*,#190790,.F.); #256100=ORIENTED_EDGE('',*,*,#190791,.F.); #256101=ORIENTED_EDGE('',*,*,#190792,.T.); #256102=ORIENTED_EDGE('',*,*,#190791,.T.); #256103=ORIENTED_EDGE('',*,*,#190793,.F.); #256104=ORIENTED_EDGE('',*,*,#190783,.F.); #256105=ORIENTED_EDGE('',*,*,#190793,.T.); #256106=ORIENTED_EDGE('',*,*,#190790,.T.); #256107=ORIENTED_EDGE('',*,*,#190787,.T.); #256108=ORIENTED_EDGE('',*,*,#190784,.T.); #256109=ORIENTED_EDGE('',*,*,#190792,.F.); #256110=ORIENTED_EDGE('',*,*,#190782,.F.); #256111=ORIENTED_EDGE('',*,*,#190786,.F.); #256112=ORIENTED_EDGE('',*,*,#190789,.F.); #256113=ORIENTED_EDGE('',*,*,#190794,.T.); #256114=ORIENTED_EDGE('',*,*,#190795,.T.); #256115=ORIENTED_EDGE('',*,*,#190796,.F.); #256116=ORIENTED_EDGE('',*,*,#190797,.F.); #256117=ORIENTED_EDGE('',*,*,#190798,.T.); #256118=ORIENTED_EDGE('',*,*,#190797,.T.); #256119=ORIENTED_EDGE('',*,*,#190799,.F.); #256120=ORIENTED_EDGE('',*,*,#190800,.F.); #256121=ORIENTED_EDGE('',*,*,#190801,.T.); #256122=ORIENTED_EDGE('',*,*,#190800,.T.); #256123=ORIENTED_EDGE('',*,*,#190802,.F.); #256124=ORIENTED_EDGE('',*,*,#190803,.F.); #256125=ORIENTED_EDGE('',*,*,#190804,.T.); #256126=ORIENTED_EDGE('',*,*,#190803,.T.); #256127=ORIENTED_EDGE('',*,*,#190805,.F.); #256128=ORIENTED_EDGE('',*,*,#190795,.F.); #256129=ORIENTED_EDGE('',*,*,#190805,.T.); #256130=ORIENTED_EDGE('',*,*,#190802,.T.); #256131=ORIENTED_EDGE('',*,*,#190799,.T.); #256132=ORIENTED_EDGE('',*,*,#190796,.T.); #256133=ORIENTED_EDGE('',*,*,#190804,.F.); #256134=ORIENTED_EDGE('',*,*,#190794,.F.); #256135=ORIENTED_EDGE('',*,*,#190798,.F.); #256136=ORIENTED_EDGE('',*,*,#190801,.F.); #256137=ORIENTED_EDGE('',*,*,#190806,.T.); #256138=ORIENTED_EDGE('',*,*,#190807,.T.); #256139=ORIENTED_EDGE('',*,*,#190808,.F.); #256140=ORIENTED_EDGE('',*,*,#190809,.F.); #256141=ORIENTED_EDGE('',*,*,#190810,.T.); #256142=ORIENTED_EDGE('',*,*,#190809,.T.); #256143=ORIENTED_EDGE('',*,*,#190811,.F.); #256144=ORIENTED_EDGE('',*,*,#190812,.F.); #256145=ORIENTED_EDGE('',*,*,#190813,.T.); #256146=ORIENTED_EDGE('',*,*,#190812,.T.); #256147=ORIENTED_EDGE('',*,*,#190814,.F.); #256148=ORIENTED_EDGE('',*,*,#190815,.F.); #256149=ORIENTED_EDGE('',*,*,#190816,.T.); #256150=ORIENTED_EDGE('',*,*,#190815,.T.); #256151=ORIENTED_EDGE('',*,*,#190817,.F.); #256152=ORIENTED_EDGE('',*,*,#190807,.F.); #256153=ORIENTED_EDGE('',*,*,#190817,.T.); #256154=ORIENTED_EDGE('',*,*,#190814,.T.); #256155=ORIENTED_EDGE('',*,*,#190811,.T.); #256156=ORIENTED_EDGE('',*,*,#190808,.T.); #256157=ORIENTED_EDGE('',*,*,#190816,.F.); #256158=ORIENTED_EDGE('',*,*,#190806,.F.); #256159=ORIENTED_EDGE('',*,*,#190810,.F.); #256160=ORIENTED_EDGE('',*,*,#190813,.F.); #256161=ORIENTED_EDGE('',*,*,#190818,.T.); #256162=ORIENTED_EDGE('',*,*,#190819,.T.); #256163=ORIENTED_EDGE('',*,*,#190820,.F.); #256164=ORIENTED_EDGE('',*,*,#190821,.F.); #256165=ORIENTED_EDGE('',*,*,#190822,.T.); #256166=ORIENTED_EDGE('',*,*,#190821,.T.); #256167=ORIENTED_EDGE('',*,*,#190823,.F.); #256168=ORIENTED_EDGE('',*,*,#190824,.F.); #256169=ORIENTED_EDGE('',*,*,#190825,.T.); #256170=ORIENTED_EDGE('',*,*,#190824,.T.); #256171=ORIENTED_EDGE('',*,*,#190826,.F.); #256172=ORIENTED_EDGE('',*,*,#190827,.F.); #256173=ORIENTED_EDGE('',*,*,#190828,.T.); #256174=ORIENTED_EDGE('',*,*,#190827,.T.); #256175=ORIENTED_EDGE('',*,*,#190829,.F.); #256176=ORIENTED_EDGE('',*,*,#190819,.F.); #256177=ORIENTED_EDGE('',*,*,#190829,.T.); #256178=ORIENTED_EDGE('',*,*,#190826,.T.); #256179=ORIENTED_EDGE('',*,*,#190823,.T.); #256180=ORIENTED_EDGE('',*,*,#190820,.T.); #256181=ORIENTED_EDGE('',*,*,#190828,.F.); #256182=ORIENTED_EDGE('',*,*,#190818,.F.); #256183=ORIENTED_EDGE('',*,*,#190822,.F.); #256184=ORIENTED_EDGE('',*,*,#190825,.F.); #256185=ORIENTED_EDGE('',*,*,#190830,.T.); #256186=ORIENTED_EDGE('',*,*,#190831,.T.); #256187=ORIENTED_EDGE('',*,*,#190832,.F.); #256188=ORIENTED_EDGE('',*,*,#190833,.F.); #256189=ORIENTED_EDGE('',*,*,#190834,.T.); #256190=ORIENTED_EDGE('',*,*,#190833,.T.); #256191=ORIENTED_EDGE('',*,*,#190835,.F.); #256192=ORIENTED_EDGE('',*,*,#190836,.F.); #256193=ORIENTED_EDGE('',*,*,#190837,.T.); #256194=ORIENTED_EDGE('',*,*,#190836,.T.); #256195=ORIENTED_EDGE('',*,*,#190838,.F.); #256196=ORIENTED_EDGE('',*,*,#190839,.F.); #256197=ORIENTED_EDGE('',*,*,#190840,.T.); #256198=ORIENTED_EDGE('',*,*,#190839,.T.); #256199=ORIENTED_EDGE('',*,*,#190841,.F.); #256200=ORIENTED_EDGE('',*,*,#190831,.F.); #256201=ORIENTED_EDGE('',*,*,#190841,.T.); #256202=ORIENTED_EDGE('',*,*,#190838,.T.); #256203=ORIENTED_EDGE('',*,*,#190835,.T.); #256204=ORIENTED_EDGE('',*,*,#190832,.T.); #256205=ORIENTED_EDGE('',*,*,#190840,.F.); #256206=ORIENTED_EDGE('',*,*,#190830,.F.); #256207=ORIENTED_EDGE('',*,*,#190834,.F.); #256208=ORIENTED_EDGE('',*,*,#190837,.F.); #256209=ORIENTED_EDGE('',*,*,#190842,.T.); #256210=ORIENTED_EDGE('',*,*,#190843,.T.); #256211=ORIENTED_EDGE('',*,*,#190844,.F.); #256212=ORIENTED_EDGE('',*,*,#190845,.F.); #256213=ORIENTED_EDGE('',*,*,#190846,.T.); #256214=ORIENTED_EDGE('',*,*,#190845,.T.); #256215=ORIENTED_EDGE('',*,*,#190847,.F.); #256216=ORIENTED_EDGE('',*,*,#190848,.F.); #256217=ORIENTED_EDGE('',*,*,#190849,.T.); #256218=ORIENTED_EDGE('',*,*,#190848,.T.); #256219=ORIENTED_EDGE('',*,*,#190850,.F.); #256220=ORIENTED_EDGE('',*,*,#190851,.F.); #256221=ORIENTED_EDGE('',*,*,#190852,.T.); #256222=ORIENTED_EDGE('',*,*,#190851,.T.); #256223=ORIENTED_EDGE('',*,*,#190853,.F.); #256224=ORIENTED_EDGE('',*,*,#190843,.F.); #256225=ORIENTED_EDGE('',*,*,#190853,.T.); #256226=ORIENTED_EDGE('',*,*,#190850,.T.); #256227=ORIENTED_EDGE('',*,*,#190847,.T.); #256228=ORIENTED_EDGE('',*,*,#190844,.T.); #256229=ORIENTED_EDGE('',*,*,#190852,.F.); #256230=ORIENTED_EDGE('',*,*,#190842,.F.); #256231=ORIENTED_EDGE('',*,*,#190846,.F.); #256232=ORIENTED_EDGE('',*,*,#190849,.F.); #256233=ORIENTED_EDGE('',*,*,#190854,.T.); #256234=ORIENTED_EDGE('',*,*,#190855,.T.); #256235=ORIENTED_EDGE('',*,*,#190856,.F.); #256236=ORIENTED_EDGE('',*,*,#190857,.F.); #256237=ORIENTED_EDGE('',*,*,#190858,.T.); #256238=ORIENTED_EDGE('',*,*,#190857,.T.); #256239=ORIENTED_EDGE('',*,*,#190859,.F.); #256240=ORIENTED_EDGE('',*,*,#190860,.F.); #256241=ORIENTED_EDGE('',*,*,#190861,.T.); #256242=ORIENTED_EDGE('',*,*,#190860,.T.); #256243=ORIENTED_EDGE('',*,*,#190862,.F.); #256244=ORIENTED_EDGE('',*,*,#190863,.F.); #256245=ORIENTED_EDGE('',*,*,#190864,.T.); #256246=ORIENTED_EDGE('',*,*,#190863,.T.); #256247=ORIENTED_EDGE('',*,*,#190865,.F.); #256248=ORIENTED_EDGE('',*,*,#190855,.F.); #256249=ORIENTED_EDGE('',*,*,#190865,.T.); #256250=ORIENTED_EDGE('',*,*,#190862,.T.); #256251=ORIENTED_EDGE('',*,*,#190859,.T.); #256252=ORIENTED_EDGE('',*,*,#190856,.T.); #256253=ORIENTED_EDGE('',*,*,#190864,.F.); #256254=ORIENTED_EDGE('',*,*,#190854,.F.); #256255=ORIENTED_EDGE('',*,*,#190858,.F.); #256256=ORIENTED_EDGE('',*,*,#190861,.F.); #256257=ORIENTED_EDGE('',*,*,#190866,.T.); #256258=ORIENTED_EDGE('',*,*,#190867,.T.); #256259=ORIENTED_EDGE('',*,*,#190868,.F.); #256260=ORIENTED_EDGE('',*,*,#190869,.F.); #256261=ORIENTED_EDGE('',*,*,#190870,.T.); #256262=ORIENTED_EDGE('',*,*,#190869,.T.); #256263=ORIENTED_EDGE('',*,*,#190871,.F.); #256264=ORIENTED_EDGE('',*,*,#190872,.F.); #256265=ORIENTED_EDGE('',*,*,#190873,.T.); #256266=ORIENTED_EDGE('',*,*,#190872,.T.); #256267=ORIENTED_EDGE('',*,*,#190874,.F.); #256268=ORIENTED_EDGE('',*,*,#190875,.F.); #256269=ORIENTED_EDGE('',*,*,#190876,.T.); #256270=ORIENTED_EDGE('',*,*,#190875,.T.); #256271=ORIENTED_EDGE('',*,*,#190877,.F.); #256272=ORIENTED_EDGE('',*,*,#190867,.F.); #256273=ORIENTED_EDGE('',*,*,#190877,.T.); #256274=ORIENTED_EDGE('',*,*,#190874,.T.); #256275=ORIENTED_EDGE('',*,*,#190871,.T.); #256276=ORIENTED_EDGE('',*,*,#190868,.T.); #256277=ORIENTED_EDGE('',*,*,#190876,.F.); #256278=ORIENTED_EDGE('',*,*,#190866,.F.); #256279=ORIENTED_EDGE('',*,*,#190870,.F.); #256280=ORIENTED_EDGE('',*,*,#190873,.F.); #256281=ORIENTED_EDGE('',*,*,#190878,.T.); #256282=ORIENTED_EDGE('',*,*,#190879,.T.); #256283=ORIENTED_EDGE('',*,*,#190880,.F.); #256284=ORIENTED_EDGE('',*,*,#190881,.F.); #256285=ORIENTED_EDGE('',*,*,#190882,.T.); #256286=ORIENTED_EDGE('',*,*,#190881,.T.); #256287=ORIENTED_EDGE('',*,*,#190883,.F.); #256288=ORIENTED_EDGE('',*,*,#190884,.F.); #256289=ORIENTED_EDGE('',*,*,#190885,.T.); #256290=ORIENTED_EDGE('',*,*,#190884,.T.); #256291=ORIENTED_EDGE('',*,*,#190886,.F.); #256292=ORIENTED_EDGE('',*,*,#190887,.F.); #256293=ORIENTED_EDGE('',*,*,#190888,.T.); #256294=ORIENTED_EDGE('',*,*,#190887,.T.); #256295=ORIENTED_EDGE('',*,*,#190889,.F.); #256296=ORIENTED_EDGE('',*,*,#190879,.F.); #256297=ORIENTED_EDGE('',*,*,#190889,.T.); #256298=ORIENTED_EDGE('',*,*,#190886,.T.); #256299=ORIENTED_EDGE('',*,*,#190883,.T.); #256300=ORIENTED_EDGE('',*,*,#190880,.T.); #256301=ORIENTED_EDGE('',*,*,#190888,.F.); #256302=ORIENTED_EDGE('',*,*,#190878,.F.); #256303=ORIENTED_EDGE('',*,*,#190882,.F.); #256304=ORIENTED_EDGE('',*,*,#190885,.F.); #256305=ORIENTED_EDGE('',*,*,#190890,.T.); #256306=ORIENTED_EDGE('',*,*,#190891,.T.); #256307=ORIENTED_EDGE('',*,*,#190892,.F.); #256308=ORIENTED_EDGE('',*,*,#190893,.F.); #256309=ORIENTED_EDGE('',*,*,#190894,.T.); #256310=ORIENTED_EDGE('',*,*,#190893,.T.); #256311=ORIENTED_EDGE('',*,*,#190895,.F.); #256312=ORIENTED_EDGE('',*,*,#190896,.F.); #256313=ORIENTED_EDGE('',*,*,#190897,.T.); #256314=ORIENTED_EDGE('',*,*,#190896,.T.); #256315=ORIENTED_EDGE('',*,*,#190898,.F.); #256316=ORIENTED_EDGE('',*,*,#190899,.F.); #256317=ORIENTED_EDGE('',*,*,#190900,.T.); #256318=ORIENTED_EDGE('',*,*,#190899,.T.); #256319=ORIENTED_EDGE('',*,*,#190901,.F.); #256320=ORIENTED_EDGE('',*,*,#190891,.F.); #256321=ORIENTED_EDGE('',*,*,#190901,.T.); #256322=ORIENTED_EDGE('',*,*,#190898,.T.); #256323=ORIENTED_EDGE('',*,*,#190895,.T.); #256324=ORIENTED_EDGE('',*,*,#190892,.T.); #256325=ORIENTED_EDGE('',*,*,#190900,.F.); #256326=ORIENTED_EDGE('',*,*,#190890,.F.); #256327=ORIENTED_EDGE('',*,*,#190894,.F.); #256328=ORIENTED_EDGE('',*,*,#190897,.F.); #256329=ORIENTED_EDGE('',*,*,#190902,.F.); #256330=ORIENTED_EDGE('',*,*,#190903,.T.); #256331=ORIENTED_EDGE('',*,*,#190904,.F.); #256332=ORIENTED_EDGE('',*,*,#190903,.F.); #256333=ORIENTED_EDGE('',*,*,#190905,.T.); #256334=ORIENTED_EDGE('',*,*,#190906,.T.); #256335=ORIENTED_EDGE('',*,*,#190907,.F.); #256336=ORIENTED_EDGE('',*,*,#190908,.F.); #256337=ORIENTED_EDGE('',*,*,#190909,.T.); #256338=ORIENTED_EDGE('',*,*,#190908,.T.); #256339=ORIENTED_EDGE('',*,*,#190910,.F.); #256340=ORIENTED_EDGE('',*,*,#190911,.F.); #256341=ORIENTED_EDGE('',*,*,#190912,.T.); #256342=ORIENTED_EDGE('',*,*,#190911,.T.); #256343=ORIENTED_EDGE('',*,*,#190913,.F.); #256344=ORIENTED_EDGE('',*,*,#190914,.F.); #256345=ORIENTED_EDGE('',*,*,#190915,.T.); #256346=ORIENTED_EDGE('',*,*,#190914,.T.); #256347=ORIENTED_EDGE('',*,*,#190916,.F.); #256348=ORIENTED_EDGE('',*,*,#190906,.F.); #256349=ORIENTED_EDGE('',*,*,#190916,.T.); #256350=ORIENTED_EDGE('',*,*,#190913,.T.); #256351=ORIENTED_EDGE('',*,*,#190910,.T.); #256352=ORIENTED_EDGE('',*,*,#190907,.T.); #256353=ORIENTED_EDGE('',*,*,#190904,.T.); #256354=ORIENTED_EDGE('',*,*,#190915,.F.); #256355=ORIENTED_EDGE('',*,*,#190905,.F.); #256356=ORIENTED_EDGE('',*,*,#190909,.F.); #256357=ORIENTED_EDGE('',*,*,#190912,.F.); #256358=ORIENTED_EDGE('',*,*,#190902,.T.); #256359=ORIENTED_EDGE('',*,*,#190917,.T.); #256360=ORIENTED_EDGE('',*,*,#190918,.T.); #256361=ORIENTED_EDGE('',*,*,#190919,.F.); #256362=ORIENTED_EDGE('',*,*,#190920,.F.); #256363=ORIENTED_EDGE('',*,*,#190921,.T.); #256364=ORIENTED_EDGE('',*,*,#190920,.T.); #256365=ORIENTED_EDGE('',*,*,#190922,.F.); #256366=ORIENTED_EDGE('',*,*,#190923,.F.); #256367=ORIENTED_EDGE('',*,*,#190924,.T.); #256368=ORIENTED_EDGE('',*,*,#190923,.T.); #256369=ORIENTED_EDGE('',*,*,#190925,.F.); #256370=ORIENTED_EDGE('',*,*,#190926,.F.); #256371=ORIENTED_EDGE('',*,*,#190927,.T.); #256372=ORIENTED_EDGE('',*,*,#190926,.T.); #256373=ORIENTED_EDGE('',*,*,#190928,.F.); #256374=ORIENTED_EDGE('',*,*,#190918,.F.); #256375=ORIENTED_EDGE('',*,*,#190928,.T.); #256376=ORIENTED_EDGE('',*,*,#190925,.T.); #256377=ORIENTED_EDGE('',*,*,#190922,.T.); #256378=ORIENTED_EDGE('',*,*,#190919,.T.); #256379=ORIENTED_EDGE('',*,*,#190927,.F.); #256380=ORIENTED_EDGE('',*,*,#190917,.F.); #256381=ORIENTED_EDGE('',*,*,#190921,.F.); #256382=ORIENTED_EDGE('',*,*,#190924,.F.); #256383=ORIENTED_EDGE('',*,*,#190929,.T.); #256384=ORIENTED_EDGE('',*,*,#190930,.T.); #256385=ORIENTED_EDGE('',*,*,#190931,.F.); #256386=ORIENTED_EDGE('',*,*,#190932,.F.); #256387=ORIENTED_EDGE('',*,*,#190933,.T.); #256388=ORIENTED_EDGE('',*,*,#190932,.T.); #256389=ORIENTED_EDGE('',*,*,#190934,.F.); #256390=ORIENTED_EDGE('',*,*,#190935,.F.); #256391=ORIENTED_EDGE('',*,*,#190936,.T.); #256392=ORIENTED_EDGE('',*,*,#190935,.T.); #256393=ORIENTED_EDGE('',*,*,#190937,.F.); #256394=ORIENTED_EDGE('',*,*,#190938,.F.); #256395=ORIENTED_EDGE('',*,*,#190939,.T.); #256396=ORIENTED_EDGE('',*,*,#190938,.T.); #256397=ORIENTED_EDGE('',*,*,#190940,.F.); #256398=ORIENTED_EDGE('',*,*,#190930,.F.); #256399=ORIENTED_EDGE('',*,*,#190940,.T.); #256400=ORIENTED_EDGE('',*,*,#190937,.T.); #256401=ORIENTED_EDGE('',*,*,#190934,.T.); #256402=ORIENTED_EDGE('',*,*,#190931,.T.); #256403=ORIENTED_EDGE('',*,*,#190939,.F.); #256404=ORIENTED_EDGE('',*,*,#190929,.F.); #256405=ORIENTED_EDGE('',*,*,#190933,.F.); #256406=ORIENTED_EDGE('',*,*,#190936,.F.); #256407=ORIENTED_EDGE('',*,*,#190941,.F.); #256408=ORIENTED_EDGE('',*,*,#190942,.T.); #256409=ORIENTED_EDGE('',*,*,#190943,.F.); #256410=ORIENTED_EDGE('',*,*,#190942,.F.); #256411=ORIENTED_EDGE('',*,*,#190944,.T.); #256412=ORIENTED_EDGE('',*,*,#190945,.T.); #256413=ORIENTED_EDGE('',*,*,#190946,.F.); #256414=ORIENTED_EDGE('',*,*,#190947,.F.); #256415=ORIENTED_EDGE('',*,*,#190948,.T.); #256416=ORIENTED_EDGE('',*,*,#190947,.T.); #256417=ORIENTED_EDGE('',*,*,#190949,.F.); #256418=ORIENTED_EDGE('',*,*,#190950,.F.); #256419=ORIENTED_EDGE('',*,*,#190951,.T.); #256420=ORIENTED_EDGE('',*,*,#190950,.T.); #256421=ORIENTED_EDGE('',*,*,#190952,.F.); #256422=ORIENTED_EDGE('',*,*,#190953,.F.); #256423=ORIENTED_EDGE('',*,*,#190954,.T.); #256424=ORIENTED_EDGE('',*,*,#190953,.T.); #256425=ORIENTED_EDGE('',*,*,#190955,.F.); #256426=ORIENTED_EDGE('',*,*,#190945,.F.); #256427=ORIENTED_EDGE('',*,*,#190955,.T.); #256428=ORIENTED_EDGE('',*,*,#190952,.T.); #256429=ORIENTED_EDGE('',*,*,#190949,.T.); #256430=ORIENTED_EDGE('',*,*,#190946,.T.); #256431=ORIENTED_EDGE('',*,*,#190943,.T.); #256432=ORIENTED_EDGE('',*,*,#190954,.F.); #256433=ORIENTED_EDGE('',*,*,#190944,.F.); #256434=ORIENTED_EDGE('',*,*,#190948,.F.); #256435=ORIENTED_EDGE('',*,*,#190951,.F.); #256436=ORIENTED_EDGE('',*,*,#190941,.T.); #256437=ORIENTED_EDGE('',*,*,#190956,.T.); #256438=ORIENTED_EDGE('',*,*,#190957,.T.); #256439=ORIENTED_EDGE('',*,*,#190958,.F.); #256440=ORIENTED_EDGE('',*,*,#190959,.F.); #256441=ORIENTED_EDGE('',*,*,#190960,.T.); #256442=ORIENTED_EDGE('',*,*,#190959,.T.); #256443=ORIENTED_EDGE('',*,*,#190961,.F.); #256444=ORIENTED_EDGE('',*,*,#190962,.F.); #256445=ORIENTED_EDGE('',*,*,#190963,.T.); #256446=ORIENTED_EDGE('',*,*,#190962,.T.); #256447=ORIENTED_EDGE('',*,*,#190964,.F.); #256448=ORIENTED_EDGE('',*,*,#190965,.F.); #256449=ORIENTED_EDGE('',*,*,#190966,.T.); #256450=ORIENTED_EDGE('',*,*,#190965,.T.); #256451=ORIENTED_EDGE('',*,*,#190967,.F.); #256452=ORIENTED_EDGE('',*,*,#190957,.F.); #256453=ORIENTED_EDGE('',*,*,#190967,.T.); #256454=ORIENTED_EDGE('',*,*,#190964,.T.); #256455=ORIENTED_EDGE('',*,*,#190961,.T.); #256456=ORIENTED_EDGE('',*,*,#190958,.T.); #256457=ORIENTED_EDGE('',*,*,#190966,.F.); #256458=ORIENTED_EDGE('',*,*,#190956,.F.); #256459=ORIENTED_EDGE('',*,*,#190960,.F.); #256460=ORIENTED_EDGE('',*,*,#190963,.F.); #256461=ORIENTED_EDGE('',*,*,#190968,.T.); #256462=ORIENTED_EDGE('',*,*,#190969,.T.); #256463=ORIENTED_EDGE('',*,*,#190970,.F.); #256464=ORIENTED_EDGE('',*,*,#190971,.F.); #256465=ORIENTED_EDGE('',*,*,#190972,.T.); #256466=ORIENTED_EDGE('',*,*,#190971,.T.); #256467=ORIENTED_EDGE('',*,*,#190973,.F.); #256468=ORIENTED_EDGE('',*,*,#190974,.F.); #256469=ORIENTED_EDGE('',*,*,#190975,.T.); #256470=ORIENTED_EDGE('',*,*,#190974,.T.); #256471=ORIENTED_EDGE('',*,*,#190976,.F.); #256472=ORIENTED_EDGE('',*,*,#190977,.F.); #256473=ORIENTED_EDGE('',*,*,#190978,.T.); #256474=ORIENTED_EDGE('',*,*,#190977,.T.); #256475=ORIENTED_EDGE('',*,*,#190979,.F.); #256476=ORIENTED_EDGE('',*,*,#190969,.F.); #256477=ORIENTED_EDGE('',*,*,#190979,.T.); #256478=ORIENTED_EDGE('',*,*,#190976,.T.); #256479=ORIENTED_EDGE('',*,*,#190973,.T.); #256480=ORIENTED_EDGE('',*,*,#190970,.T.); #256481=ORIENTED_EDGE('',*,*,#190978,.F.); #256482=ORIENTED_EDGE('',*,*,#190968,.F.); #256483=ORIENTED_EDGE('',*,*,#190972,.F.); #256484=ORIENTED_EDGE('',*,*,#190975,.F.); #256485=ORIENTED_EDGE('',*,*,#190980,.T.); #256486=ORIENTED_EDGE('',*,*,#190981,.T.); #256487=ORIENTED_EDGE('',*,*,#190982,.F.); #256488=ORIENTED_EDGE('',*,*,#190983,.F.); #256489=ORIENTED_EDGE('',*,*,#190984,.T.); #256490=ORIENTED_EDGE('',*,*,#190983,.T.); #256491=ORIENTED_EDGE('',*,*,#190985,.F.); #256492=ORIENTED_EDGE('',*,*,#190986,.F.); #256493=ORIENTED_EDGE('',*,*,#190987,.T.); #256494=ORIENTED_EDGE('',*,*,#190986,.T.); #256495=ORIENTED_EDGE('',*,*,#190988,.F.); #256496=ORIENTED_EDGE('',*,*,#190989,.F.); #256497=ORIENTED_EDGE('',*,*,#190990,.T.); #256498=ORIENTED_EDGE('',*,*,#190989,.T.); #256499=ORIENTED_EDGE('',*,*,#190991,.F.); #256500=ORIENTED_EDGE('',*,*,#190981,.F.); #256501=ORIENTED_EDGE('',*,*,#190991,.T.); #256502=ORIENTED_EDGE('',*,*,#190988,.T.); #256503=ORIENTED_EDGE('',*,*,#190985,.T.); #256504=ORIENTED_EDGE('',*,*,#190982,.T.); #256505=ORIENTED_EDGE('',*,*,#190990,.F.); #256506=ORIENTED_EDGE('',*,*,#190980,.F.); #256507=ORIENTED_EDGE('',*,*,#190984,.F.); #256508=ORIENTED_EDGE('',*,*,#190987,.F.); #256509=ORIENTED_EDGE('',*,*,#190992,.T.); #256510=ORIENTED_EDGE('',*,*,#190993,.T.); #256511=ORIENTED_EDGE('',*,*,#190994,.F.); #256512=ORIENTED_EDGE('',*,*,#190995,.F.); #256513=ORIENTED_EDGE('',*,*,#190996,.T.); #256514=ORIENTED_EDGE('',*,*,#190995,.T.); #256515=ORIENTED_EDGE('',*,*,#190997,.F.); #256516=ORIENTED_EDGE('',*,*,#190998,.F.); #256517=ORIENTED_EDGE('',*,*,#190999,.T.); #256518=ORIENTED_EDGE('',*,*,#190998,.T.); #256519=ORIENTED_EDGE('',*,*,#191000,.F.); #256520=ORIENTED_EDGE('',*,*,#191001,.F.); #256521=ORIENTED_EDGE('',*,*,#191002,.T.); #256522=ORIENTED_EDGE('',*,*,#191001,.T.); #256523=ORIENTED_EDGE('',*,*,#191003,.F.); #256524=ORIENTED_EDGE('',*,*,#190993,.F.); #256525=ORIENTED_EDGE('',*,*,#191003,.T.); #256526=ORIENTED_EDGE('',*,*,#191000,.T.); #256527=ORIENTED_EDGE('',*,*,#190997,.T.); #256528=ORIENTED_EDGE('',*,*,#190994,.T.); #256529=ORIENTED_EDGE('',*,*,#191002,.F.); #256530=ORIENTED_EDGE('',*,*,#190992,.F.); #256531=ORIENTED_EDGE('',*,*,#190996,.F.); #256532=ORIENTED_EDGE('',*,*,#190999,.F.); #256533=ORIENTED_EDGE('',*,*,#191004,.T.); #256534=ORIENTED_EDGE('',*,*,#191005,.T.); #256535=ORIENTED_EDGE('',*,*,#191006,.F.); #256536=ORIENTED_EDGE('',*,*,#191007,.F.); #256537=ORIENTED_EDGE('',*,*,#191008,.T.); #256538=ORIENTED_EDGE('',*,*,#191007,.T.); #256539=ORIENTED_EDGE('',*,*,#191009,.F.); #256540=ORIENTED_EDGE('',*,*,#191010,.F.); #256541=ORIENTED_EDGE('',*,*,#191011,.T.); #256542=ORIENTED_EDGE('',*,*,#191010,.T.); #256543=ORIENTED_EDGE('',*,*,#191012,.F.); #256544=ORIENTED_EDGE('',*,*,#191013,.F.); #256545=ORIENTED_EDGE('',*,*,#191014,.T.); #256546=ORIENTED_EDGE('',*,*,#191013,.T.); #256547=ORIENTED_EDGE('',*,*,#191015,.F.); #256548=ORIENTED_EDGE('',*,*,#191005,.F.); #256549=ORIENTED_EDGE('',*,*,#191015,.T.); #256550=ORIENTED_EDGE('',*,*,#191012,.T.); #256551=ORIENTED_EDGE('',*,*,#191009,.T.); #256552=ORIENTED_EDGE('',*,*,#191006,.T.); #256553=ORIENTED_EDGE('',*,*,#191014,.F.); #256554=ORIENTED_EDGE('',*,*,#191004,.F.); #256555=ORIENTED_EDGE('',*,*,#191008,.F.); #256556=ORIENTED_EDGE('',*,*,#191011,.F.); #256557=ORIENTED_EDGE('',*,*,#191016,.T.); #256558=ORIENTED_EDGE('',*,*,#191017,.T.); #256559=ORIENTED_EDGE('',*,*,#191018,.F.); #256560=ORIENTED_EDGE('',*,*,#191019,.F.); #256561=ORIENTED_EDGE('',*,*,#191020,.T.); #256562=ORIENTED_EDGE('',*,*,#191019,.T.); #256563=ORIENTED_EDGE('',*,*,#191021,.F.); #256564=ORIENTED_EDGE('',*,*,#191022,.F.); #256565=ORIENTED_EDGE('',*,*,#191023,.T.); #256566=ORIENTED_EDGE('',*,*,#191022,.T.); #256567=ORIENTED_EDGE('',*,*,#191024,.F.); #256568=ORIENTED_EDGE('',*,*,#191025,.F.); #256569=ORIENTED_EDGE('',*,*,#191026,.T.); #256570=ORIENTED_EDGE('',*,*,#191025,.T.); #256571=ORIENTED_EDGE('',*,*,#191027,.F.); #256572=ORIENTED_EDGE('',*,*,#191017,.F.); #256573=ORIENTED_EDGE('',*,*,#191027,.T.); #256574=ORIENTED_EDGE('',*,*,#191024,.T.); #256575=ORIENTED_EDGE('',*,*,#191021,.T.); #256576=ORIENTED_EDGE('',*,*,#191018,.T.); #256577=ORIENTED_EDGE('',*,*,#191026,.F.); #256578=ORIENTED_EDGE('',*,*,#191016,.F.); #256579=ORIENTED_EDGE('',*,*,#191020,.F.); #256580=ORIENTED_EDGE('',*,*,#191023,.F.); #256581=ORIENTED_EDGE('',*,*,#191028,.T.); #256582=ORIENTED_EDGE('',*,*,#191029,.T.); #256583=ORIENTED_EDGE('',*,*,#191030,.F.); #256584=ORIENTED_EDGE('',*,*,#191031,.F.); #256585=ORIENTED_EDGE('',*,*,#191032,.T.); #256586=ORIENTED_EDGE('',*,*,#191031,.T.); #256587=ORIENTED_EDGE('',*,*,#191033,.F.); #256588=ORIENTED_EDGE('',*,*,#191034,.F.); #256589=ORIENTED_EDGE('',*,*,#191035,.T.); #256590=ORIENTED_EDGE('',*,*,#191034,.T.); #256591=ORIENTED_EDGE('',*,*,#191036,.F.); #256592=ORIENTED_EDGE('',*,*,#191037,.F.); #256593=ORIENTED_EDGE('',*,*,#191038,.T.); #256594=ORIENTED_EDGE('',*,*,#191037,.T.); #256595=ORIENTED_EDGE('',*,*,#191039,.F.); #256596=ORIENTED_EDGE('',*,*,#191029,.F.); #256597=ORIENTED_EDGE('',*,*,#191039,.T.); #256598=ORIENTED_EDGE('',*,*,#191036,.T.); #256599=ORIENTED_EDGE('',*,*,#191033,.T.); #256600=ORIENTED_EDGE('',*,*,#191030,.T.); #256601=ORIENTED_EDGE('',*,*,#191038,.F.); #256602=ORIENTED_EDGE('',*,*,#191028,.F.); #256603=ORIENTED_EDGE('',*,*,#191032,.F.); #256604=ORIENTED_EDGE('',*,*,#191035,.F.); #256605=ORIENTED_EDGE('',*,*,#191040,.T.); #256606=ORIENTED_EDGE('',*,*,#191041,.T.); #256607=ORIENTED_EDGE('',*,*,#191042,.F.); #256608=ORIENTED_EDGE('',*,*,#191043,.F.); #256609=ORIENTED_EDGE('',*,*,#191044,.T.); #256610=ORIENTED_EDGE('',*,*,#191043,.T.); #256611=ORIENTED_EDGE('',*,*,#191045,.F.); #256612=ORIENTED_EDGE('',*,*,#191046,.F.); #256613=ORIENTED_EDGE('',*,*,#191047,.T.); #256614=ORIENTED_EDGE('',*,*,#191046,.T.); #256615=ORIENTED_EDGE('',*,*,#191048,.F.); #256616=ORIENTED_EDGE('',*,*,#191049,.F.); #256617=ORIENTED_EDGE('',*,*,#191050,.T.); #256618=ORIENTED_EDGE('',*,*,#191049,.T.); #256619=ORIENTED_EDGE('',*,*,#191051,.F.); #256620=ORIENTED_EDGE('',*,*,#191041,.F.); #256621=ORIENTED_EDGE('',*,*,#191051,.T.); #256622=ORIENTED_EDGE('',*,*,#191048,.T.); #256623=ORIENTED_EDGE('',*,*,#191045,.T.); #256624=ORIENTED_EDGE('',*,*,#191042,.T.); #256625=ORIENTED_EDGE('',*,*,#191050,.F.); #256626=ORIENTED_EDGE('',*,*,#191040,.F.); #256627=ORIENTED_EDGE('',*,*,#191044,.F.); #256628=ORIENTED_EDGE('',*,*,#191047,.F.); #256629=ORIENTED_EDGE('',*,*,#191052,.T.); #256630=ORIENTED_EDGE('',*,*,#191053,.T.); #256631=ORIENTED_EDGE('',*,*,#191054,.F.); #256632=ORIENTED_EDGE('',*,*,#191055,.F.); #256633=ORIENTED_EDGE('',*,*,#191056,.T.); #256634=ORIENTED_EDGE('',*,*,#191055,.T.); #256635=ORIENTED_EDGE('',*,*,#191057,.F.); #256636=ORIENTED_EDGE('',*,*,#191058,.F.); #256637=ORIENTED_EDGE('',*,*,#191059,.T.); #256638=ORIENTED_EDGE('',*,*,#191058,.T.); #256639=ORIENTED_EDGE('',*,*,#191060,.F.); #256640=ORIENTED_EDGE('',*,*,#191061,.F.); #256641=ORIENTED_EDGE('',*,*,#191062,.T.); #256642=ORIENTED_EDGE('',*,*,#191061,.T.); #256643=ORIENTED_EDGE('',*,*,#191063,.F.); #256644=ORIENTED_EDGE('',*,*,#191053,.F.); #256645=ORIENTED_EDGE('',*,*,#191063,.T.); #256646=ORIENTED_EDGE('',*,*,#191060,.T.); #256647=ORIENTED_EDGE('',*,*,#191057,.T.); #256648=ORIENTED_EDGE('',*,*,#191054,.T.); #256649=ORIENTED_EDGE('',*,*,#191062,.F.); #256650=ORIENTED_EDGE('',*,*,#191052,.F.); #256651=ORIENTED_EDGE('',*,*,#191056,.F.); #256652=ORIENTED_EDGE('',*,*,#191059,.F.); #256653=ORIENTED_EDGE('',*,*,#191064,.T.); #256654=ORIENTED_EDGE('',*,*,#191065,.T.); #256655=ORIENTED_EDGE('',*,*,#191066,.F.); #256656=ORIENTED_EDGE('',*,*,#191067,.F.); #256657=ORIENTED_EDGE('',*,*,#191068,.T.); #256658=ORIENTED_EDGE('',*,*,#191067,.T.); #256659=ORIENTED_EDGE('',*,*,#191069,.F.); #256660=ORIENTED_EDGE('',*,*,#191070,.F.); #256661=ORIENTED_EDGE('',*,*,#191071,.T.); #256662=ORIENTED_EDGE('',*,*,#191070,.T.); #256663=ORIENTED_EDGE('',*,*,#191072,.F.); #256664=ORIENTED_EDGE('',*,*,#191073,.F.); #256665=ORIENTED_EDGE('',*,*,#191074,.T.); #256666=ORIENTED_EDGE('',*,*,#191073,.T.); #256667=ORIENTED_EDGE('',*,*,#191075,.F.); #256668=ORIENTED_EDGE('',*,*,#191065,.F.); #256669=ORIENTED_EDGE('',*,*,#191075,.T.); #256670=ORIENTED_EDGE('',*,*,#191072,.T.); #256671=ORIENTED_EDGE('',*,*,#191069,.T.); #256672=ORIENTED_EDGE('',*,*,#191066,.T.); #256673=ORIENTED_EDGE('',*,*,#191074,.F.); #256674=ORIENTED_EDGE('',*,*,#191064,.F.); #256675=ORIENTED_EDGE('',*,*,#191068,.F.); #256676=ORIENTED_EDGE('',*,*,#191071,.F.); #256677=ORIENTED_EDGE('',*,*,#191076,.T.); #256678=ORIENTED_EDGE('',*,*,#191077,.T.); #256679=ORIENTED_EDGE('',*,*,#191078,.F.); #256680=ORIENTED_EDGE('',*,*,#191079,.F.); #256681=ORIENTED_EDGE('',*,*,#191080,.T.); #256682=ORIENTED_EDGE('',*,*,#191079,.T.); #256683=ORIENTED_EDGE('',*,*,#191081,.F.); #256684=ORIENTED_EDGE('',*,*,#191082,.F.); #256685=ORIENTED_EDGE('',*,*,#191083,.T.); #256686=ORIENTED_EDGE('',*,*,#191082,.T.); #256687=ORIENTED_EDGE('',*,*,#191084,.F.); #256688=ORIENTED_EDGE('',*,*,#191085,.F.); #256689=ORIENTED_EDGE('',*,*,#191086,.T.); #256690=ORIENTED_EDGE('',*,*,#191085,.T.); #256691=ORIENTED_EDGE('',*,*,#191087,.F.); #256692=ORIENTED_EDGE('',*,*,#191077,.F.); #256693=ORIENTED_EDGE('',*,*,#191087,.T.); #256694=ORIENTED_EDGE('',*,*,#191084,.T.); #256695=ORIENTED_EDGE('',*,*,#191081,.T.); #256696=ORIENTED_EDGE('',*,*,#191078,.T.); #256697=ORIENTED_EDGE('',*,*,#191086,.F.); #256698=ORIENTED_EDGE('',*,*,#191076,.F.); #256699=ORIENTED_EDGE('',*,*,#191080,.F.); #256700=ORIENTED_EDGE('',*,*,#191083,.F.); #256701=ORIENTED_EDGE('',*,*,#191088,.F.); #256702=ORIENTED_EDGE('',*,*,#191089,.F.); #256703=ORIENTED_EDGE('',*,*,#191090,.F.); #256704=ORIENTED_EDGE('',*,*,#191091,.F.); #256705=ORIENTED_EDGE('',*,*,#191092,.F.); #256706=ORIENTED_EDGE('',*,*,#191093,.F.); #256707=ORIENTED_EDGE('',*,*,#191094,.F.); #256708=ORIENTED_EDGE('',*,*,#191095,.F.); #256709=ORIENTED_EDGE('',*,*,#191096,.F.); #256710=ORIENTED_EDGE('',*,*,#191097,.T.); #256711=ORIENTED_EDGE('',*,*,#191098,.F.); #256712=ORIENTED_EDGE('',*,*,#191097,.F.); #256713=ORIENTED_EDGE('',*,*,#191099,.F.); #256714=ORIENTED_EDGE('',*,*,#191100,.F.); #256715=ORIENTED_EDGE('',*,*,#191101,.F.); #256716=ORIENTED_EDGE('',*,*,#191102,.F.); #256717=ORIENTED_EDGE('',*,*,#191103,.F.); #256718=ORIENTED_EDGE('',*,*,#191104,.F.); #256719=ORIENTED_EDGE('',*,*,#191105,.F.); #256720=ORIENTED_EDGE('',*,*,#191106,.F.); #256721=ORIENTED_EDGE('',*,*,#191107,.F.); #256722=ORIENTED_EDGE('',*,*,#191108,.F.); #256723=ORIENTED_EDGE('',*,*,#191109,.F.); #256724=ORIENTED_EDGE('',*,*,#191110,.F.); #256725=ORIENTED_EDGE('',*,*,#191111,.F.); #256726=ORIENTED_EDGE('',*,*,#191112,.F.); #256727=ORIENTED_EDGE('',*,*,#191113,.F.); #256728=ORIENTED_EDGE('',*,*,#191114,.F.); #256729=ORIENTED_EDGE('',*,*,#191115,.F.); #256730=ORIENTED_EDGE('',*,*,#191116,.F.); #256731=ORIENTED_EDGE('',*,*,#191117,.F.); #256732=ORIENTED_EDGE('',*,*,#191118,.F.); #256733=ORIENTED_EDGE('',*,*,#191119,.F.); #256734=ORIENTED_EDGE('',*,*,#191120,.F.); #256735=ORIENTED_EDGE('',*,*,#191121,.F.); #256736=ORIENTED_EDGE('',*,*,#191122,.F.); #256737=ORIENTED_EDGE('',*,*,#191088,.T.); #256738=ORIENTED_EDGE('',*,*,#191123,.F.); #256739=ORIENTED_EDGE('',*,*,#191124,.F.); #256740=ORIENTED_EDGE('',*,*,#191125,.T.); #256741=ORIENTED_EDGE('',*,*,#191090,.T.); #256742=ORIENTED_EDGE('',*,*,#191126,.T.); #256743=ORIENTED_EDGE('',*,*,#191092,.T.); #256744=ORIENTED_EDGE('',*,*,#191127,.F.); #256745=ORIENTED_EDGE('',*,*,#191107,.T.); #256746=ORIENTED_EDGE('',*,*,#191128,.F.); #256747=ORIENTED_EDGE('',*,*,#191129,.F.); #256748=ORIENTED_EDGE('',*,*,#191130,.T.); #256749=ORIENTED_EDGE('',*,*,#191109,.T.); #256750=ORIENTED_EDGE('',*,*,#191131,.T.); #256751=ORIENTED_EDGE('',*,*,#191111,.T.); #256752=ORIENTED_EDGE('',*,*,#191132,.F.); #256753=ORIENTED_EDGE('',*,*,#191103,.T.); #256754=ORIENTED_EDGE('',*,*,#191133,.F.); #256755=ORIENTED_EDGE('',*,*,#191113,.T.); #256756=ORIENTED_EDGE('',*,*,#191134,.T.); #256757=ORIENTED_EDGE('',*,*,#191105,.T.); #256758=ORIENTED_EDGE('',*,*,#191135,.T.); #256759=ORIENTED_EDGE('',*,*,#191124,.T.); #256760=ORIENTED_EDGE('',*,*,#191136,.F.); #256761=ORIENTED_EDGE('',*,*,#191099,.T.); #256762=ORIENTED_EDGE('',*,*,#191137,.F.); #256763=ORIENTED_EDGE('',*,*,#191117,.T.); #256764=ORIENTED_EDGE('',*,*,#191138,.T.); #256765=ORIENTED_EDGE('',*,*,#191101,.T.); #256766=ORIENTED_EDGE('',*,*,#191139,.T.); #256767=ORIENTED_EDGE('',*,*,#191129,.T.); #256768=ORIENTED_EDGE('',*,*,#191140,.F.); #256769=ORIENTED_EDGE('',*,*,#191115,.T.); #256770=ORIENTED_EDGE('',*,*,#191141,.F.); #256771=ORIENTED_EDGE('',*,*,#191119,.T.); #256772=ORIENTED_EDGE('',*,*,#191142,.T.); #256773=ORIENTED_EDGE('',*,*,#191094,.T.); #256774=ORIENTED_EDGE('',*,*,#191143,.T.); #256775=ORIENTED_EDGE('',*,*,#191121,.T.); #256776=ORIENTED_EDGE('',*,*,#191144,.F.); #256777=ORIENTED_EDGE('',*,*,#191089,.T.); #256778=ORIENTED_EDGE('',*,*,#191125,.F.); #256779=ORIENTED_EDGE('',*,*,#191135,.F.); #256780=ORIENTED_EDGE('',*,*,#191104,.T.); #256781=ORIENTED_EDGE('',*,*,#191134,.F.); #256782=ORIENTED_EDGE('',*,*,#191112,.T.); #256783=ORIENTED_EDGE('',*,*,#191131,.F.); #256784=ORIENTED_EDGE('',*,*,#191108,.T.); #256785=ORIENTED_EDGE('',*,*,#191130,.F.); #256786=ORIENTED_EDGE('',*,*,#191139,.F.); #256787=ORIENTED_EDGE('',*,*,#191100,.T.); #256788=ORIENTED_EDGE('',*,*,#191138,.F.); #256789=ORIENTED_EDGE('',*,*,#191116,.T.); #256790=ORIENTED_EDGE('',*,*,#191142,.F.); #256791=ORIENTED_EDGE('',*,*,#191122,.T.); #256792=ORIENTED_EDGE('',*,*,#191143,.F.); #256793=ORIENTED_EDGE('',*,*,#191093,.T.); #256794=ORIENTED_EDGE('',*,*,#191126,.F.); #256795=ORIENTED_EDGE('',*,*,#191096,.T.); #256796=ORIENTED_EDGE('',*,*,#191091,.T.); #256797=ORIENTED_EDGE('',*,*,#191127,.T.); #256798=ORIENTED_EDGE('',*,*,#191095,.T.); #256799=ORIENTED_EDGE('',*,*,#191144,.T.); #256800=ORIENTED_EDGE('',*,*,#191120,.T.); #256801=ORIENTED_EDGE('',*,*,#191141,.T.); #256802=ORIENTED_EDGE('',*,*,#191118,.T.); #256803=ORIENTED_EDGE('',*,*,#191137,.T.); #256804=ORIENTED_EDGE('',*,*,#191102,.T.); #256805=ORIENTED_EDGE('',*,*,#191140,.T.); #256806=ORIENTED_EDGE('',*,*,#191128,.T.); #256807=ORIENTED_EDGE('',*,*,#191110,.T.); #256808=ORIENTED_EDGE('',*,*,#191132,.T.); #256809=ORIENTED_EDGE('',*,*,#191114,.T.); #256810=ORIENTED_EDGE('',*,*,#191133,.T.); #256811=ORIENTED_EDGE('',*,*,#191106,.T.); #256812=ORIENTED_EDGE('',*,*,#191136,.T.); #256813=ORIENTED_EDGE('',*,*,#191123,.T.); #256814=ORIENTED_EDGE('',*,*,#191098,.T.); #256815=ORIENTED_EDGE('',*,*,#191145,.F.); #256816=ORIENTED_EDGE('',*,*,#191146,.T.); #256817=ORIENTED_EDGE('',*,*,#191147,.F.); #256818=ORIENTED_EDGE('',*,*,#191146,.F.); #256819=ORIENTED_EDGE('',*,*,#191148,.T.); #256820=ORIENTED_EDGE('',*,*,#191149,.T.); #256821=ORIENTED_EDGE('',*,*,#191150,.F.); #256822=ORIENTED_EDGE('',*,*,#191151,.F.); #256823=ORIENTED_EDGE('',*,*,#191152,.T.); #256824=ORIENTED_EDGE('',*,*,#191151,.T.); #256825=ORIENTED_EDGE('',*,*,#191153,.F.); #256826=ORIENTED_EDGE('',*,*,#191154,.F.); #256827=ORIENTED_EDGE('',*,*,#191155,.T.); #256828=ORIENTED_EDGE('',*,*,#191154,.T.); #256829=ORIENTED_EDGE('',*,*,#191156,.F.); #256830=ORIENTED_EDGE('',*,*,#191157,.F.); #256831=ORIENTED_EDGE('',*,*,#191158,.T.); #256832=ORIENTED_EDGE('',*,*,#191157,.T.); #256833=ORIENTED_EDGE('',*,*,#191159,.F.); #256834=ORIENTED_EDGE('',*,*,#191149,.F.); #256835=ORIENTED_EDGE('',*,*,#191159,.T.); #256836=ORIENTED_EDGE('',*,*,#191156,.T.); #256837=ORIENTED_EDGE('',*,*,#191153,.T.); #256838=ORIENTED_EDGE('',*,*,#191150,.T.); #256839=ORIENTED_EDGE('',*,*,#191147,.T.); #256840=ORIENTED_EDGE('',*,*,#191158,.F.); #256841=ORIENTED_EDGE('',*,*,#191148,.F.); #256842=ORIENTED_EDGE('',*,*,#191152,.F.); #256843=ORIENTED_EDGE('',*,*,#191155,.F.); #256844=ORIENTED_EDGE('',*,*,#191145,.T.); #256845=ORIENTED_EDGE('',*,*,#191160,.T.); #256846=ORIENTED_EDGE('',*,*,#191161,.T.); #256847=ORIENTED_EDGE('',*,*,#191162,.F.); #256848=ORIENTED_EDGE('',*,*,#191163,.F.); #256849=ORIENTED_EDGE('',*,*,#191164,.T.); #256850=ORIENTED_EDGE('',*,*,#191163,.T.); #256851=ORIENTED_EDGE('',*,*,#191165,.F.); #256852=ORIENTED_EDGE('',*,*,#191166,.F.); #256853=ORIENTED_EDGE('',*,*,#191167,.T.); #256854=ORIENTED_EDGE('',*,*,#191166,.T.); #256855=ORIENTED_EDGE('',*,*,#191168,.F.); #256856=ORIENTED_EDGE('',*,*,#191169,.F.); #256857=ORIENTED_EDGE('',*,*,#191170,.T.); #256858=ORIENTED_EDGE('',*,*,#191169,.T.); #256859=ORIENTED_EDGE('',*,*,#191171,.F.); #256860=ORIENTED_EDGE('',*,*,#191161,.F.); #256861=ORIENTED_EDGE('',*,*,#191171,.T.); #256862=ORIENTED_EDGE('',*,*,#191168,.T.); #256863=ORIENTED_EDGE('',*,*,#191165,.T.); #256864=ORIENTED_EDGE('',*,*,#191162,.T.); #256865=ORIENTED_EDGE('',*,*,#191170,.F.); #256866=ORIENTED_EDGE('',*,*,#191160,.F.); #256867=ORIENTED_EDGE('',*,*,#191164,.F.); #256868=ORIENTED_EDGE('',*,*,#191167,.F.); #256869=ORIENTED_EDGE('',*,*,#191172,.T.); #256870=ORIENTED_EDGE('',*,*,#191173,.T.); #256871=ORIENTED_EDGE('',*,*,#191174,.F.); #256872=ORIENTED_EDGE('',*,*,#191175,.F.); #256873=ORIENTED_EDGE('',*,*,#191176,.T.); #256874=ORIENTED_EDGE('',*,*,#191175,.T.); #256875=ORIENTED_EDGE('',*,*,#191177,.F.); #256876=ORIENTED_EDGE('',*,*,#191178,.F.); #256877=ORIENTED_EDGE('',*,*,#191179,.T.); #256878=ORIENTED_EDGE('',*,*,#191178,.T.); #256879=ORIENTED_EDGE('',*,*,#191180,.F.); #256880=ORIENTED_EDGE('',*,*,#191181,.F.); #256881=ORIENTED_EDGE('',*,*,#191182,.T.); #256882=ORIENTED_EDGE('',*,*,#191181,.T.); #256883=ORIENTED_EDGE('',*,*,#191183,.F.); #256884=ORIENTED_EDGE('',*,*,#191173,.F.); #256885=ORIENTED_EDGE('',*,*,#191183,.T.); #256886=ORIENTED_EDGE('',*,*,#191180,.T.); #256887=ORIENTED_EDGE('',*,*,#191177,.T.); #256888=ORIENTED_EDGE('',*,*,#191174,.T.); #256889=ORIENTED_EDGE('',*,*,#191182,.F.); #256890=ORIENTED_EDGE('',*,*,#191172,.F.); #256891=ORIENTED_EDGE('',*,*,#191176,.F.); #256892=ORIENTED_EDGE('',*,*,#191179,.F.); #256893=ORIENTED_EDGE('',*,*,#191184,.T.); #256894=ORIENTED_EDGE('',*,*,#191185,.T.); #256895=ORIENTED_EDGE('',*,*,#191186,.F.); #256896=ORIENTED_EDGE('',*,*,#191187,.F.); #256897=ORIENTED_EDGE('',*,*,#191188,.T.); #256898=ORIENTED_EDGE('',*,*,#191187,.T.); #256899=ORIENTED_EDGE('',*,*,#191189,.F.); #256900=ORIENTED_EDGE('',*,*,#191190,.F.); #256901=ORIENTED_EDGE('',*,*,#191191,.T.); #256902=ORIENTED_EDGE('',*,*,#191190,.T.); #256903=ORIENTED_EDGE('',*,*,#191192,.F.); #256904=ORIENTED_EDGE('',*,*,#191193,.F.); #256905=ORIENTED_EDGE('',*,*,#191194,.T.); #256906=ORIENTED_EDGE('',*,*,#191193,.T.); #256907=ORIENTED_EDGE('',*,*,#191195,.F.); #256908=ORIENTED_EDGE('',*,*,#191185,.F.); #256909=ORIENTED_EDGE('',*,*,#191195,.T.); #256910=ORIENTED_EDGE('',*,*,#191192,.T.); #256911=ORIENTED_EDGE('',*,*,#191189,.T.); #256912=ORIENTED_EDGE('',*,*,#191186,.T.); #256913=ORIENTED_EDGE('',*,*,#191194,.F.); #256914=ORIENTED_EDGE('',*,*,#191184,.F.); #256915=ORIENTED_EDGE('',*,*,#191188,.F.); #256916=ORIENTED_EDGE('',*,*,#191191,.F.); #256917=ORIENTED_EDGE('',*,*,#191196,.T.); #256918=ORIENTED_EDGE('',*,*,#191197,.T.); #256919=ORIENTED_EDGE('',*,*,#191198,.F.); #256920=ORIENTED_EDGE('',*,*,#191199,.F.); #256921=ORIENTED_EDGE('',*,*,#191200,.T.); #256922=ORIENTED_EDGE('',*,*,#191199,.T.); #256923=ORIENTED_EDGE('',*,*,#191201,.F.); #256924=ORIENTED_EDGE('',*,*,#191202,.F.); #256925=ORIENTED_EDGE('',*,*,#191203,.T.); #256926=ORIENTED_EDGE('',*,*,#191202,.T.); #256927=ORIENTED_EDGE('',*,*,#191204,.F.); #256928=ORIENTED_EDGE('',*,*,#191205,.F.); #256929=ORIENTED_EDGE('',*,*,#191206,.T.); #256930=ORIENTED_EDGE('',*,*,#191205,.T.); #256931=ORIENTED_EDGE('',*,*,#191207,.F.); #256932=ORIENTED_EDGE('',*,*,#191197,.F.); #256933=ORIENTED_EDGE('',*,*,#191207,.T.); #256934=ORIENTED_EDGE('',*,*,#191204,.T.); #256935=ORIENTED_EDGE('',*,*,#191201,.T.); #256936=ORIENTED_EDGE('',*,*,#191198,.T.); #256937=ORIENTED_EDGE('',*,*,#191206,.F.); #256938=ORIENTED_EDGE('',*,*,#191196,.F.); #256939=ORIENTED_EDGE('',*,*,#191200,.F.); #256940=ORIENTED_EDGE('',*,*,#191203,.F.); #256941=ORIENTED_EDGE('',*,*,#191208,.T.); #256942=ORIENTED_EDGE('',*,*,#191209,.T.); #256943=ORIENTED_EDGE('',*,*,#191210,.F.); #256944=ORIENTED_EDGE('',*,*,#191211,.F.); #256945=ORIENTED_EDGE('',*,*,#191212,.T.); #256946=ORIENTED_EDGE('',*,*,#191211,.T.); #256947=ORIENTED_EDGE('',*,*,#191213,.F.); #256948=ORIENTED_EDGE('',*,*,#191214,.F.); #256949=ORIENTED_EDGE('',*,*,#191215,.T.); #256950=ORIENTED_EDGE('',*,*,#191214,.T.); #256951=ORIENTED_EDGE('',*,*,#191216,.F.); #256952=ORIENTED_EDGE('',*,*,#191217,.F.); #256953=ORIENTED_EDGE('',*,*,#191218,.T.); #256954=ORIENTED_EDGE('',*,*,#191217,.T.); #256955=ORIENTED_EDGE('',*,*,#191219,.F.); #256956=ORIENTED_EDGE('',*,*,#191209,.F.); #256957=ORIENTED_EDGE('',*,*,#191219,.T.); #256958=ORIENTED_EDGE('',*,*,#191216,.T.); #256959=ORIENTED_EDGE('',*,*,#191213,.T.); #256960=ORIENTED_EDGE('',*,*,#191210,.T.); #256961=ORIENTED_EDGE('',*,*,#191218,.F.); #256962=ORIENTED_EDGE('',*,*,#191208,.F.); #256963=ORIENTED_EDGE('',*,*,#191212,.F.); #256964=ORIENTED_EDGE('',*,*,#191215,.F.); #256965=ORIENTED_EDGE('',*,*,#191220,.F.); #256966=ORIENTED_EDGE('',*,*,#191221,.T.); #256967=ORIENTED_EDGE('',*,*,#191222,.F.); #256968=ORIENTED_EDGE('',*,*,#191221,.F.); #256969=ORIENTED_EDGE('',*,*,#191223,.T.); #256970=ORIENTED_EDGE('',*,*,#191224,.T.); #256971=ORIENTED_EDGE('',*,*,#191225,.F.); #256972=ORIENTED_EDGE('',*,*,#191226,.F.); #256973=ORIENTED_EDGE('',*,*,#191227,.T.); #256974=ORIENTED_EDGE('',*,*,#191226,.T.); #256975=ORIENTED_EDGE('',*,*,#191228,.F.); #256976=ORIENTED_EDGE('',*,*,#191229,.F.); #256977=ORIENTED_EDGE('',*,*,#191230,.T.); #256978=ORIENTED_EDGE('',*,*,#191229,.T.); #256979=ORIENTED_EDGE('',*,*,#191231,.F.); #256980=ORIENTED_EDGE('',*,*,#191232,.F.); #256981=ORIENTED_EDGE('',*,*,#191233,.T.); #256982=ORIENTED_EDGE('',*,*,#191232,.T.); #256983=ORIENTED_EDGE('',*,*,#191234,.F.); #256984=ORIENTED_EDGE('',*,*,#191224,.F.); #256985=ORIENTED_EDGE('',*,*,#191234,.T.); #256986=ORIENTED_EDGE('',*,*,#191231,.T.); #256987=ORIENTED_EDGE('',*,*,#191228,.T.); #256988=ORIENTED_EDGE('',*,*,#191225,.T.); #256989=ORIENTED_EDGE('',*,*,#191222,.T.); #256990=ORIENTED_EDGE('',*,*,#191233,.F.); #256991=ORIENTED_EDGE('',*,*,#191223,.F.); #256992=ORIENTED_EDGE('',*,*,#191227,.F.); #256993=ORIENTED_EDGE('',*,*,#191230,.F.); #256994=ORIENTED_EDGE('',*,*,#191220,.T.); #256995=ORIENTED_EDGE('',*,*,#191235,.F.); #256996=ORIENTED_EDGE('',*,*,#191236,.T.); #256997=ORIENTED_EDGE('',*,*,#191237,.F.); #256998=ORIENTED_EDGE('',*,*,#191236,.F.); #256999=ORIENTED_EDGE('',*,*,#191238,.T.); #257000=ORIENTED_EDGE('',*,*,#191239,.T.); #257001=ORIENTED_EDGE('',*,*,#191240,.F.); #257002=ORIENTED_EDGE('',*,*,#191241,.F.); #257003=ORIENTED_EDGE('',*,*,#191242,.T.); #257004=ORIENTED_EDGE('',*,*,#191241,.T.); #257005=ORIENTED_EDGE('',*,*,#191243,.F.); #257006=ORIENTED_EDGE('',*,*,#191244,.F.); #257007=ORIENTED_EDGE('',*,*,#191245,.T.); #257008=ORIENTED_EDGE('',*,*,#191244,.T.); #257009=ORIENTED_EDGE('',*,*,#191246,.F.); #257010=ORIENTED_EDGE('',*,*,#191247,.F.); #257011=ORIENTED_EDGE('',*,*,#191248,.T.); #257012=ORIENTED_EDGE('',*,*,#191247,.T.); #257013=ORIENTED_EDGE('',*,*,#191249,.F.); #257014=ORIENTED_EDGE('',*,*,#191239,.F.); #257015=ORIENTED_EDGE('',*,*,#191249,.T.); #257016=ORIENTED_EDGE('',*,*,#191246,.T.); #257017=ORIENTED_EDGE('',*,*,#191243,.T.); #257018=ORIENTED_EDGE('',*,*,#191240,.T.); #257019=ORIENTED_EDGE('',*,*,#191237,.T.); #257020=ORIENTED_EDGE('',*,*,#191248,.F.); #257021=ORIENTED_EDGE('',*,*,#191238,.F.); #257022=ORIENTED_EDGE('',*,*,#191242,.F.); #257023=ORIENTED_EDGE('',*,*,#191245,.F.); #257024=ORIENTED_EDGE('',*,*,#191235,.T.); #257025=ORIENTED_EDGE('',*,*,#191250,.T.); #257026=ORIENTED_EDGE('',*,*,#191251,.T.); #257027=ORIENTED_EDGE('',*,*,#191252,.F.); #257028=ORIENTED_EDGE('',*,*,#191253,.F.); #257029=ORIENTED_EDGE('',*,*,#191254,.T.); #257030=ORIENTED_EDGE('',*,*,#191253,.T.); #257031=ORIENTED_EDGE('',*,*,#191255,.F.); #257032=ORIENTED_EDGE('',*,*,#191256,.F.); #257033=ORIENTED_EDGE('',*,*,#191257,.T.); #257034=ORIENTED_EDGE('',*,*,#191256,.T.); #257035=ORIENTED_EDGE('',*,*,#191258,.F.); #257036=ORIENTED_EDGE('',*,*,#191259,.F.); #257037=ORIENTED_EDGE('',*,*,#191260,.T.); #257038=ORIENTED_EDGE('',*,*,#191259,.T.); #257039=ORIENTED_EDGE('',*,*,#191261,.F.); #257040=ORIENTED_EDGE('',*,*,#191251,.F.); #257041=ORIENTED_EDGE('',*,*,#191261,.T.); #257042=ORIENTED_EDGE('',*,*,#191258,.T.); #257043=ORIENTED_EDGE('',*,*,#191255,.T.); #257044=ORIENTED_EDGE('',*,*,#191252,.T.); #257045=ORIENTED_EDGE('',*,*,#191260,.F.); #257046=ORIENTED_EDGE('',*,*,#191250,.F.); #257047=ORIENTED_EDGE('',*,*,#191254,.F.); #257048=ORIENTED_EDGE('',*,*,#191257,.F.); #257049=ORIENTED_EDGE('',*,*,#191262,.T.); #257050=ORIENTED_EDGE('',*,*,#191263,.T.); #257051=ORIENTED_EDGE('',*,*,#191264,.F.); #257052=ORIENTED_EDGE('',*,*,#191265,.F.); #257053=ORIENTED_EDGE('',*,*,#191266,.T.); #257054=ORIENTED_EDGE('',*,*,#191265,.T.); #257055=ORIENTED_EDGE('',*,*,#191267,.F.); #257056=ORIENTED_EDGE('',*,*,#191268,.F.); #257057=ORIENTED_EDGE('',*,*,#191269,.T.); #257058=ORIENTED_EDGE('',*,*,#191268,.T.); #257059=ORIENTED_EDGE('',*,*,#191270,.F.); #257060=ORIENTED_EDGE('',*,*,#191271,.F.); #257061=ORIENTED_EDGE('',*,*,#191272,.T.); #257062=ORIENTED_EDGE('',*,*,#191271,.T.); #257063=ORIENTED_EDGE('',*,*,#191273,.F.); #257064=ORIENTED_EDGE('',*,*,#191263,.F.); #257065=ORIENTED_EDGE('',*,*,#191273,.T.); #257066=ORIENTED_EDGE('',*,*,#191270,.T.); #257067=ORIENTED_EDGE('',*,*,#191267,.T.); #257068=ORIENTED_EDGE('',*,*,#191264,.T.); #257069=ORIENTED_EDGE('',*,*,#191272,.F.); #257070=ORIENTED_EDGE('',*,*,#191262,.F.); #257071=ORIENTED_EDGE('',*,*,#191266,.F.); #257072=ORIENTED_EDGE('',*,*,#191269,.F.); #257073=ORIENTED_EDGE('',*,*,#191274,.T.); #257074=ORIENTED_EDGE('',*,*,#191275,.T.); #257075=ORIENTED_EDGE('',*,*,#191276,.F.); #257076=ORIENTED_EDGE('',*,*,#191277,.F.); #257077=ORIENTED_EDGE('',*,*,#191278,.T.); #257078=ORIENTED_EDGE('',*,*,#191277,.T.); #257079=ORIENTED_EDGE('',*,*,#191279,.F.); #257080=ORIENTED_EDGE('',*,*,#191280,.F.); #257081=ORIENTED_EDGE('',*,*,#191281,.T.); #257082=ORIENTED_EDGE('',*,*,#191280,.T.); #257083=ORIENTED_EDGE('',*,*,#191282,.F.); #257084=ORIENTED_EDGE('',*,*,#191283,.F.); #257085=ORIENTED_EDGE('',*,*,#191284,.T.); #257086=ORIENTED_EDGE('',*,*,#191283,.T.); #257087=ORIENTED_EDGE('',*,*,#191285,.F.); #257088=ORIENTED_EDGE('',*,*,#191275,.F.); #257089=ORIENTED_EDGE('',*,*,#191285,.T.); #257090=ORIENTED_EDGE('',*,*,#191282,.T.); #257091=ORIENTED_EDGE('',*,*,#191279,.T.); #257092=ORIENTED_EDGE('',*,*,#191276,.T.); #257093=ORIENTED_EDGE('',*,*,#191284,.F.); #257094=ORIENTED_EDGE('',*,*,#191274,.F.); #257095=ORIENTED_EDGE('',*,*,#191278,.F.); #257096=ORIENTED_EDGE('',*,*,#191281,.F.); #257097=ORIENTED_EDGE('',*,*,#191286,.T.); #257098=ORIENTED_EDGE('',*,*,#191287,.T.); #257099=ORIENTED_EDGE('',*,*,#191288,.F.); #257100=ORIENTED_EDGE('',*,*,#191289,.F.); #257101=ORIENTED_EDGE('',*,*,#191290,.T.); #257102=ORIENTED_EDGE('',*,*,#191289,.T.); #257103=ORIENTED_EDGE('',*,*,#191291,.F.); #257104=ORIENTED_EDGE('',*,*,#191292,.F.); #257105=ORIENTED_EDGE('',*,*,#191293,.T.); #257106=ORIENTED_EDGE('',*,*,#191292,.T.); #257107=ORIENTED_EDGE('',*,*,#191294,.F.); #257108=ORIENTED_EDGE('',*,*,#191295,.F.); #257109=ORIENTED_EDGE('',*,*,#191296,.T.); #257110=ORIENTED_EDGE('',*,*,#191295,.T.); #257111=ORIENTED_EDGE('',*,*,#191297,.F.); #257112=ORIENTED_EDGE('',*,*,#191287,.F.); #257113=ORIENTED_EDGE('',*,*,#191297,.T.); #257114=ORIENTED_EDGE('',*,*,#191294,.T.); #257115=ORIENTED_EDGE('',*,*,#191291,.T.); #257116=ORIENTED_EDGE('',*,*,#191288,.T.); #257117=ORIENTED_EDGE('',*,*,#191296,.F.); #257118=ORIENTED_EDGE('',*,*,#191286,.F.); #257119=ORIENTED_EDGE('',*,*,#191290,.F.); #257120=ORIENTED_EDGE('',*,*,#191293,.F.); #257121=ORIENTED_EDGE('',*,*,#191298,.T.); #257122=ORIENTED_EDGE('',*,*,#191299,.T.); #257123=ORIENTED_EDGE('',*,*,#191300,.F.); #257124=ORIENTED_EDGE('',*,*,#191301,.F.); #257125=ORIENTED_EDGE('',*,*,#191302,.T.); #257126=ORIENTED_EDGE('',*,*,#191301,.T.); #257127=ORIENTED_EDGE('',*,*,#191303,.F.); #257128=ORIENTED_EDGE('',*,*,#191304,.F.); #257129=ORIENTED_EDGE('',*,*,#191305,.T.); #257130=ORIENTED_EDGE('',*,*,#191304,.T.); #257131=ORIENTED_EDGE('',*,*,#191306,.F.); #257132=ORIENTED_EDGE('',*,*,#191307,.F.); #257133=ORIENTED_EDGE('',*,*,#191308,.T.); #257134=ORIENTED_EDGE('',*,*,#191307,.T.); #257135=ORIENTED_EDGE('',*,*,#191309,.F.); #257136=ORIENTED_EDGE('',*,*,#191299,.F.); #257137=ORIENTED_EDGE('',*,*,#191309,.T.); #257138=ORIENTED_EDGE('',*,*,#191306,.T.); #257139=ORIENTED_EDGE('',*,*,#191303,.T.); #257140=ORIENTED_EDGE('',*,*,#191300,.T.); #257141=ORIENTED_EDGE('',*,*,#191308,.F.); #257142=ORIENTED_EDGE('',*,*,#191298,.F.); #257143=ORIENTED_EDGE('',*,*,#191302,.F.); #257144=ORIENTED_EDGE('',*,*,#191305,.F.); #257145=ORIENTED_EDGE('',*,*,#191310,.T.); #257146=ORIENTED_EDGE('',*,*,#191311,.T.); #257147=ORIENTED_EDGE('',*,*,#191312,.F.); #257148=ORIENTED_EDGE('',*,*,#191313,.F.); #257149=ORIENTED_EDGE('',*,*,#191314,.T.); #257150=ORIENTED_EDGE('',*,*,#191313,.T.); #257151=ORIENTED_EDGE('',*,*,#191315,.F.); #257152=ORIENTED_EDGE('',*,*,#191316,.F.); #257153=ORIENTED_EDGE('',*,*,#191317,.T.); #257154=ORIENTED_EDGE('',*,*,#191316,.T.); #257155=ORIENTED_EDGE('',*,*,#191318,.F.); #257156=ORIENTED_EDGE('',*,*,#191319,.F.); #257157=ORIENTED_EDGE('',*,*,#191320,.T.); #257158=ORIENTED_EDGE('',*,*,#191319,.T.); #257159=ORIENTED_EDGE('',*,*,#191321,.F.); #257160=ORIENTED_EDGE('',*,*,#191311,.F.); #257161=ORIENTED_EDGE('',*,*,#191321,.T.); #257162=ORIENTED_EDGE('',*,*,#191318,.T.); #257163=ORIENTED_EDGE('',*,*,#191315,.T.); #257164=ORIENTED_EDGE('',*,*,#191312,.T.); #257165=ORIENTED_EDGE('',*,*,#191320,.F.); #257166=ORIENTED_EDGE('',*,*,#191310,.F.); #257167=ORIENTED_EDGE('',*,*,#191314,.F.); #257168=ORIENTED_EDGE('',*,*,#191317,.F.); #257169=ORIENTED_EDGE('',*,*,#191322,.T.); #257170=ORIENTED_EDGE('',*,*,#191323,.T.); #257171=ORIENTED_EDGE('',*,*,#191324,.F.); #257172=ORIENTED_EDGE('',*,*,#191325,.F.); #257173=ORIENTED_EDGE('',*,*,#191326,.T.); #257174=ORIENTED_EDGE('',*,*,#191325,.T.); #257175=ORIENTED_EDGE('',*,*,#191327,.F.); #257176=ORIENTED_EDGE('',*,*,#191328,.F.); #257177=ORIENTED_EDGE('',*,*,#191329,.T.); #257178=ORIENTED_EDGE('',*,*,#191328,.T.); #257179=ORIENTED_EDGE('',*,*,#191330,.F.); #257180=ORIENTED_EDGE('',*,*,#191331,.F.); #257181=ORIENTED_EDGE('',*,*,#191332,.T.); #257182=ORIENTED_EDGE('',*,*,#191331,.T.); #257183=ORIENTED_EDGE('',*,*,#191333,.F.); #257184=ORIENTED_EDGE('',*,*,#191323,.F.); #257185=ORIENTED_EDGE('',*,*,#191333,.T.); #257186=ORIENTED_EDGE('',*,*,#191330,.T.); #257187=ORIENTED_EDGE('',*,*,#191327,.T.); #257188=ORIENTED_EDGE('',*,*,#191324,.T.); #257189=ORIENTED_EDGE('',*,*,#191332,.F.); #257190=ORIENTED_EDGE('',*,*,#191322,.F.); #257191=ORIENTED_EDGE('',*,*,#191326,.F.); #257192=ORIENTED_EDGE('',*,*,#191329,.F.); #257193=ORIENTED_EDGE('',*,*,#191334,.T.); #257194=ORIENTED_EDGE('',*,*,#191335,.T.); #257195=ORIENTED_EDGE('',*,*,#191336,.F.); #257196=ORIENTED_EDGE('',*,*,#191337,.F.); #257197=ORIENTED_EDGE('',*,*,#191338,.T.); #257198=ORIENTED_EDGE('',*,*,#191337,.T.); #257199=ORIENTED_EDGE('',*,*,#191339,.F.); #257200=ORIENTED_EDGE('',*,*,#191340,.F.); #257201=ORIENTED_EDGE('',*,*,#191341,.T.); #257202=ORIENTED_EDGE('',*,*,#191340,.T.); #257203=ORIENTED_EDGE('',*,*,#191342,.F.); #257204=ORIENTED_EDGE('',*,*,#191343,.F.); #257205=ORIENTED_EDGE('',*,*,#191344,.T.); #257206=ORIENTED_EDGE('',*,*,#191343,.T.); #257207=ORIENTED_EDGE('',*,*,#191345,.F.); #257208=ORIENTED_EDGE('',*,*,#191335,.F.); #257209=ORIENTED_EDGE('',*,*,#191345,.T.); #257210=ORIENTED_EDGE('',*,*,#191342,.T.); #257211=ORIENTED_EDGE('',*,*,#191339,.T.); #257212=ORIENTED_EDGE('',*,*,#191336,.T.); #257213=ORIENTED_EDGE('',*,*,#191344,.F.); #257214=ORIENTED_EDGE('',*,*,#191334,.F.); #257215=ORIENTED_EDGE('',*,*,#191338,.F.); #257216=ORIENTED_EDGE('',*,*,#191341,.F.); #257217=ORIENTED_EDGE('',*,*,#191346,.T.); #257218=ORIENTED_EDGE('',*,*,#191347,.T.); #257219=ORIENTED_EDGE('',*,*,#191348,.F.); #257220=ORIENTED_EDGE('',*,*,#191349,.F.); #257221=ORIENTED_EDGE('',*,*,#191350,.T.); #257222=ORIENTED_EDGE('',*,*,#191349,.T.); #257223=ORIENTED_EDGE('',*,*,#191351,.F.); #257224=ORIENTED_EDGE('',*,*,#191352,.F.); #257225=ORIENTED_EDGE('',*,*,#191353,.T.); #257226=ORIENTED_EDGE('',*,*,#191352,.T.); #257227=ORIENTED_EDGE('',*,*,#191354,.F.); #257228=ORIENTED_EDGE('',*,*,#191355,.F.); #257229=ORIENTED_EDGE('',*,*,#191356,.T.); #257230=ORIENTED_EDGE('',*,*,#191355,.T.); #257231=ORIENTED_EDGE('',*,*,#191357,.F.); #257232=ORIENTED_EDGE('',*,*,#191347,.F.); #257233=ORIENTED_EDGE('',*,*,#191357,.T.); #257234=ORIENTED_EDGE('',*,*,#191354,.T.); #257235=ORIENTED_EDGE('',*,*,#191351,.T.); #257236=ORIENTED_EDGE('',*,*,#191348,.T.); #257237=ORIENTED_EDGE('',*,*,#191356,.F.); #257238=ORIENTED_EDGE('',*,*,#191346,.F.); #257239=ORIENTED_EDGE('',*,*,#191350,.F.); #257240=ORIENTED_EDGE('',*,*,#191353,.F.); #257241=ORIENTED_EDGE('',*,*,#191358,.T.); #257242=ORIENTED_EDGE('',*,*,#191359,.T.); #257243=ORIENTED_EDGE('',*,*,#191360,.F.); #257244=ORIENTED_EDGE('',*,*,#191361,.F.); #257245=ORIENTED_EDGE('',*,*,#191362,.T.); #257246=ORIENTED_EDGE('',*,*,#191361,.T.); #257247=ORIENTED_EDGE('',*,*,#191363,.F.); #257248=ORIENTED_EDGE('',*,*,#191364,.F.); #257249=ORIENTED_EDGE('',*,*,#191365,.T.); #257250=ORIENTED_EDGE('',*,*,#191364,.T.); #257251=ORIENTED_EDGE('',*,*,#191366,.F.); #257252=ORIENTED_EDGE('',*,*,#191367,.F.); #257253=ORIENTED_EDGE('',*,*,#191368,.T.); #257254=ORIENTED_EDGE('',*,*,#191367,.T.); #257255=ORIENTED_EDGE('',*,*,#191369,.F.); #257256=ORIENTED_EDGE('',*,*,#191359,.F.); #257257=ORIENTED_EDGE('',*,*,#191369,.T.); #257258=ORIENTED_EDGE('',*,*,#191366,.T.); #257259=ORIENTED_EDGE('',*,*,#191363,.T.); #257260=ORIENTED_EDGE('',*,*,#191360,.T.); #257261=ORIENTED_EDGE('',*,*,#191368,.F.); #257262=ORIENTED_EDGE('',*,*,#191358,.F.); #257263=ORIENTED_EDGE('',*,*,#191362,.F.); #257264=ORIENTED_EDGE('',*,*,#191365,.F.); #257265=ORIENTED_EDGE('',*,*,#191370,.T.); #257266=ORIENTED_EDGE('',*,*,#191371,.T.); #257267=ORIENTED_EDGE('',*,*,#191372,.F.); #257268=ORIENTED_EDGE('',*,*,#191373,.F.); #257269=ORIENTED_EDGE('',*,*,#191374,.T.); #257270=ORIENTED_EDGE('',*,*,#191373,.T.); #257271=ORIENTED_EDGE('',*,*,#191375,.F.); #257272=ORIENTED_EDGE('',*,*,#191376,.F.); #257273=ORIENTED_EDGE('',*,*,#191377,.T.); #257274=ORIENTED_EDGE('',*,*,#191376,.T.); #257275=ORIENTED_EDGE('',*,*,#191378,.F.); #257276=ORIENTED_EDGE('',*,*,#191379,.F.); #257277=ORIENTED_EDGE('',*,*,#191380,.T.); #257278=ORIENTED_EDGE('',*,*,#191379,.T.); #257279=ORIENTED_EDGE('',*,*,#191381,.F.); #257280=ORIENTED_EDGE('',*,*,#191371,.F.); #257281=ORIENTED_EDGE('',*,*,#191381,.T.); #257282=ORIENTED_EDGE('',*,*,#191378,.T.); #257283=ORIENTED_EDGE('',*,*,#191375,.T.); #257284=ORIENTED_EDGE('',*,*,#191372,.T.); #257285=ORIENTED_EDGE('',*,*,#191380,.F.); #257286=ORIENTED_EDGE('',*,*,#191370,.F.); #257287=ORIENTED_EDGE('',*,*,#191374,.F.); #257288=ORIENTED_EDGE('',*,*,#191377,.F.); #257289=ORIENTED_EDGE('',*,*,#191382,.F.); #257290=ORIENTED_EDGE('',*,*,#191383,.T.); #257291=ORIENTED_EDGE('',*,*,#191384,.F.); #257292=ORIENTED_EDGE('',*,*,#191383,.F.); #257293=ORIENTED_EDGE('',*,*,#191385,.F.); #257294=ORIENTED_EDGE('',*,*,#191386,.T.); #257295=ORIENTED_EDGE('',*,*,#191387,.F.); #257296=ORIENTED_EDGE('',*,*,#191386,.F.); #257297=ORIENTED_EDGE('',*,*,#191388,.F.); #257298=ORIENTED_EDGE('',*,*,#191389,.T.); #257299=ORIENTED_EDGE('',*,*,#191390,.F.); #257300=ORIENTED_EDGE('',*,*,#191389,.F.); #257301=ORIENTED_EDGE('',*,*,#191391,.F.); #257302=ORIENTED_EDGE('',*,*,#191392,.T.); #257303=ORIENTED_EDGE('',*,*,#191393,.F.); #257304=ORIENTED_EDGE('',*,*,#191392,.F.); #257305=ORIENTED_EDGE('',*,*,#191394,.F.); #257306=ORIENTED_EDGE('',*,*,#191395,.T.); #257307=ORIENTED_EDGE('',*,*,#191396,.F.); #257308=ORIENTED_EDGE('',*,*,#191395,.F.); #257309=ORIENTED_EDGE('',*,*,#191397,.F.); #257310=ORIENTED_EDGE('',*,*,#191398,.T.); #257311=ORIENTED_EDGE('',*,*,#191399,.F.); #257312=ORIENTED_EDGE('',*,*,#191398,.F.); #257313=ORIENTED_EDGE('',*,*,#191400,.T.); #257314=ORIENTED_EDGE('',*,*,#191401,.T.); #257315=ORIENTED_EDGE('',*,*,#191402,.F.); #257316=ORIENTED_EDGE('',*,*,#191403,.F.); #257317=ORIENTED_EDGE('',*,*,#191404,.T.); #257318=ORIENTED_EDGE('',*,*,#191403,.T.); #257319=ORIENTED_EDGE('',*,*,#191405,.F.); #257320=ORIENTED_EDGE('',*,*,#191406,.F.); #257321=ORIENTED_EDGE('',*,*,#191407,.T.); #257322=ORIENTED_EDGE('',*,*,#191406,.T.); #257323=ORIENTED_EDGE('',*,*,#191408,.F.); #257324=ORIENTED_EDGE('',*,*,#191409,.F.); #257325=ORIENTED_EDGE('',*,*,#191410,.T.); #257326=ORIENTED_EDGE('',*,*,#191409,.T.); #257327=ORIENTED_EDGE('',*,*,#191411,.F.); #257328=ORIENTED_EDGE('',*,*,#191401,.F.); #257329=ORIENTED_EDGE('',*,*,#191411,.T.); #257330=ORIENTED_EDGE('',*,*,#191408,.T.); #257331=ORIENTED_EDGE('',*,*,#191405,.T.); #257332=ORIENTED_EDGE('',*,*,#191402,.T.); #257333=ORIENTED_EDGE('',*,*,#191384,.T.); #257334=ORIENTED_EDGE('',*,*,#191387,.T.); #257335=ORIENTED_EDGE('',*,*,#191390,.T.); #257336=ORIENTED_EDGE('',*,*,#191393,.T.); #257337=ORIENTED_EDGE('',*,*,#191396,.T.); #257338=ORIENTED_EDGE('',*,*,#191399,.T.); #257339=ORIENTED_EDGE('',*,*,#191410,.F.); #257340=ORIENTED_EDGE('',*,*,#191400,.F.); #257341=ORIENTED_EDGE('',*,*,#191404,.F.); #257342=ORIENTED_EDGE('',*,*,#191407,.F.); #257343=ORIENTED_EDGE('',*,*,#191382,.T.); #257344=ORIENTED_EDGE('',*,*,#191385,.T.); #257345=ORIENTED_EDGE('',*,*,#191388,.T.); #257346=ORIENTED_EDGE('',*,*,#191391,.T.); #257347=ORIENTED_EDGE('',*,*,#191394,.T.); #257348=ORIENTED_EDGE('',*,*,#191397,.T.); #257349=ORIENTED_EDGE('',*,*,#191412,.T.); #257350=ORIENTED_EDGE('',*,*,#191413,.T.); #257351=ORIENTED_EDGE('',*,*,#191414,.F.); #257352=ORIENTED_EDGE('',*,*,#191415,.F.); #257353=ORIENTED_EDGE('',*,*,#191416,.T.); #257354=ORIENTED_EDGE('',*,*,#191415,.T.); #257355=ORIENTED_EDGE('',*,*,#191417,.F.); #257356=ORIENTED_EDGE('',*,*,#191418,.F.); #257357=ORIENTED_EDGE('',*,*,#191419,.T.); #257358=ORIENTED_EDGE('',*,*,#191418,.T.); #257359=ORIENTED_EDGE('',*,*,#191420,.F.); #257360=ORIENTED_EDGE('',*,*,#191421,.F.); #257361=ORIENTED_EDGE('',*,*,#191422,.T.); #257362=ORIENTED_EDGE('',*,*,#191421,.T.); #257363=ORIENTED_EDGE('',*,*,#191423,.F.); #257364=ORIENTED_EDGE('',*,*,#191413,.F.); #257365=ORIENTED_EDGE('',*,*,#191423,.T.); #257366=ORIENTED_EDGE('',*,*,#191420,.T.); #257367=ORIENTED_EDGE('',*,*,#191417,.T.); #257368=ORIENTED_EDGE('',*,*,#191414,.T.); #257369=ORIENTED_EDGE('',*,*,#191422,.F.); #257370=ORIENTED_EDGE('',*,*,#191412,.F.); #257371=ORIENTED_EDGE('',*,*,#191416,.F.); #257372=ORIENTED_EDGE('',*,*,#191419,.F.); #257373=ORIENTED_EDGE('',*,*,#191424,.T.); #257374=ORIENTED_EDGE('',*,*,#191425,.T.); #257375=ORIENTED_EDGE('',*,*,#191426,.F.); #257376=ORIENTED_EDGE('',*,*,#191427,.F.); #257377=ORIENTED_EDGE('',*,*,#191428,.T.); #257378=ORIENTED_EDGE('',*,*,#191427,.T.); #257379=ORIENTED_EDGE('',*,*,#191429,.F.); #257380=ORIENTED_EDGE('',*,*,#191430,.F.); #257381=ORIENTED_EDGE('',*,*,#191431,.T.); #257382=ORIENTED_EDGE('',*,*,#191430,.T.); #257383=ORIENTED_EDGE('',*,*,#191432,.F.); #257384=ORIENTED_EDGE('',*,*,#191433,.F.); #257385=ORIENTED_EDGE('',*,*,#191434,.T.); #257386=ORIENTED_EDGE('',*,*,#191433,.T.); #257387=ORIENTED_EDGE('',*,*,#191435,.F.); #257388=ORIENTED_EDGE('',*,*,#191425,.F.); #257389=ORIENTED_EDGE('',*,*,#191435,.T.); #257390=ORIENTED_EDGE('',*,*,#191432,.T.); #257391=ORIENTED_EDGE('',*,*,#191429,.T.); #257392=ORIENTED_EDGE('',*,*,#191426,.T.); #257393=ORIENTED_EDGE('',*,*,#191434,.F.); #257394=ORIENTED_EDGE('',*,*,#191424,.F.); #257395=ORIENTED_EDGE('',*,*,#191428,.F.); #257396=ORIENTED_EDGE('',*,*,#191431,.F.); #257397=ORIENTED_EDGE('',*,*,#191436,.T.); #257398=ORIENTED_EDGE('',*,*,#191437,.T.); #257399=ORIENTED_EDGE('',*,*,#191438,.F.); #257400=ORIENTED_EDGE('',*,*,#191439,.F.); #257401=ORIENTED_EDGE('',*,*,#191440,.T.); #257402=ORIENTED_EDGE('',*,*,#191439,.T.); #257403=ORIENTED_EDGE('',*,*,#191441,.F.); #257404=ORIENTED_EDGE('',*,*,#191442,.F.); #257405=ORIENTED_EDGE('',*,*,#191443,.T.); #257406=ORIENTED_EDGE('',*,*,#191442,.T.); #257407=ORIENTED_EDGE('',*,*,#191444,.F.); #257408=ORIENTED_EDGE('',*,*,#191445,.F.); #257409=ORIENTED_EDGE('',*,*,#191446,.T.); #257410=ORIENTED_EDGE('',*,*,#191445,.T.); #257411=ORIENTED_EDGE('',*,*,#191447,.F.); #257412=ORIENTED_EDGE('',*,*,#191437,.F.); #257413=ORIENTED_EDGE('',*,*,#191447,.T.); #257414=ORIENTED_EDGE('',*,*,#191444,.T.); #257415=ORIENTED_EDGE('',*,*,#191441,.T.); #257416=ORIENTED_EDGE('',*,*,#191438,.T.); #257417=ORIENTED_EDGE('',*,*,#191446,.F.); #257418=ORIENTED_EDGE('',*,*,#191436,.F.); #257419=ORIENTED_EDGE('',*,*,#191440,.F.); #257420=ORIENTED_EDGE('',*,*,#191443,.F.); #257421=ORIENTED_EDGE('',*,*,#191448,.F.); #257422=ORIENTED_EDGE('',*,*,#191449,.F.); #257423=ORIENTED_EDGE('',*,*,#191450,.F.); #257424=ORIENTED_EDGE('',*,*,#191451,.F.); #257425=ORIENTED_EDGE('',*,*,#191452,.F.); #257426=ORIENTED_EDGE('',*,*,#191453,.T.); #257427=ORIENTED_EDGE('',*,*,#191454,.F.); #257428=ORIENTED_EDGE('',*,*,#191453,.F.); #257429=ORIENTED_EDGE('',*,*,#191455,.F.); #257430=ORIENTED_EDGE('',*,*,#191456,.F.); #257431=ORIENTED_EDGE('',*,*,#191457,.F.); #257432=ORIENTED_EDGE('',*,*,#191458,.F.); #257433=ORIENTED_EDGE('',*,*,#191459,.F.); #257434=ORIENTED_EDGE('',*,*,#191460,.F.); #257435=ORIENTED_EDGE('',*,*,#191461,.F.); #257436=ORIENTED_EDGE('',*,*,#191462,.F.); #257437=ORIENTED_EDGE('',*,*,#191463,.F.); #257438=ORIENTED_EDGE('',*,*,#191464,.F.); #257439=ORIENTED_EDGE('',*,*,#191465,.F.); #257440=ORIENTED_EDGE('',*,*,#191466,.F.); #257441=ORIENTED_EDGE('',*,*,#191467,.F.); #257442=ORIENTED_EDGE('',*,*,#191468,.T.); #257443=ORIENTED_EDGE('',*,*,#191469,.F.); #257444=ORIENTED_EDGE('',*,*,#191468,.F.); #257445=ORIENTED_EDGE('',*,*,#191461,.T.); #257446=ORIENTED_EDGE('',*,*,#191470,.T.); #257447=ORIENTED_EDGE('',*,*,#191471,.T.); #257448=ORIENTED_EDGE('',*,*,#191472,.F.); #257449=ORIENTED_EDGE('',*,*,#191455,.T.); #257450=ORIENTED_EDGE('',*,*,#191473,.F.); #257451=ORIENTED_EDGE('',*,*,#191474,.F.); #257452=ORIENTED_EDGE('',*,*,#191475,.T.); #257453=ORIENTED_EDGE('',*,*,#191457,.T.); #257454=ORIENTED_EDGE('',*,*,#191476,.T.); #257455=ORIENTED_EDGE('',*,*,#191459,.T.); #257456=ORIENTED_EDGE('',*,*,#191477,.F.); #257457=ORIENTED_EDGE('',*,*,#191465,.T.); #257458=ORIENTED_EDGE('',*,*,#191478,.T.); #257459=ORIENTED_EDGE('',*,*,#191479,.T.); #257460=ORIENTED_EDGE('',*,*,#191480,.F.); #257461=ORIENTED_EDGE('',*,*,#191448,.T.); #257462=ORIENTED_EDGE('',*,*,#191481,.F.); #257463=ORIENTED_EDGE('',*,*,#191482,.F.); #257464=ORIENTED_EDGE('',*,*,#191483,.T.); #257465=ORIENTED_EDGE('',*,*,#191450,.T.); #257466=ORIENTED_EDGE('',*,*,#191484,.T.); #257467=ORIENTED_EDGE('',*,*,#191463,.T.); #257468=ORIENTED_EDGE('',*,*,#191485,.F.); #257469=ORIENTED_EDGE('',*,*,#191449,.T.); #257470=ORIENTED_EDGE('',*,*,#191483,.F.); #257471=ORIENTED_EDGE('',*,*,#191486,.F.); #257472=ORIENTED_EDGE('',*,*,#191470,.F.); #257473=ORIENTED_EDGE('',*,*,#191460,.T.); #257474=ORIENTED_EDGE('',*,*,#191476,.F.); #257475=ORIENTED_EDGE('',*,*,#191456,.T.); #257476=ORIENTED_EDGE('',*,*,#191475,.F.); #257477=ORIENTED_EDGE('',*,*,#191487,.F.); #257478=ORIENTED_EDGE('',*,*,#191478,.F.); #257479=ORIENTED_EDGE('',*,*,#191464,.T.); #257480=ORIENTED_EDGE('',*,*,#191484,.F.); #257481=ORIENTED_EDGE('',*,*,#191467,.T.); #257482=ORIENTED_EDGE('',*,*,#191452,.T.); #257483=ORIENTED_EDGE('',*,*,#191486,.T.); #257484=ORIENTED_EDGE('',*,*,#191482,.T.); #257485=ORIENTED_EDGE('',*,*,#191488,.F.); #257486=ORIENTED_EDGE('',*,*,#191471,.F.); #257487=ORIENTED_EDGE('',*,*,#191487,.T.); #257488=ORIENTED_EDGE('',*,*,#191474,.T.); #257489=ORIENTED_EDGE('',*,*,#191489,.F.); #257490=ORIENTED_EDGE('',*,*,#191479,.F.); #257491=ORIENTED_EDGE('',*,*,#191451,.T.); #257492=ORIENTED_EDGE('',*,*,#191485,.T.); #257493=ORIENTED_EDGE('',*,*,#191466,.T.); #257494=ORIENTED_EDGE('',*,*,#191480,.T.); #257495=ORIENTED_EDGE('',*,*,#191489,.T.); #257496=ORIENTED_EDGE('',*,*,#191473,.T.); #257497=ORIENTED_EDGE('',*,*,#191458,.T.); #257498=ORIENTED_EDGE('',*,*,#191477,.T.); #257499=ORIENTED_EDGE('',*,*,#191462,.T.); #257500=ORIENTED_EDGE('',*,*,#191472,.T.); #257501=ORIENTED_EDGE('',*,*,#191488,.T.); #257502=ORIENTED_EDGE('',*,*,#191481,.T.); #257503=ORIENTED_EDGE('',*,*,#191454,.T.); #257504=ORIENTED_EDGE('',*,*,#191469,.T.); #257505=ORIENTED_EDGE('',*,*,#191490,.T.); #257506=ORIENTED_EDGE('',*,*,#191491,.T.); #257507=ORIENTED_EDGE('',*,*,#191492,.F.); #257508=ORIENTED_EDGE('',*,*,#191493,.F.); #257509=ORIENTED_EDGE('',*,*,#191494,.T.); #257510=ORIENTED_EDGE('',*,*,#191493,.T.); #257511=ORIENTED_EDGE('',*,*,#191495,.F.); #257512=ORIENTED_EDGE('',*,*,#191496,.F.); #257513=ORIENTED_EDGE('',*,*,#191497,.T.); #257514=ORIENTED_EDGE('',*,*,#191496,.T.); #257515=ORIENTED_EDGE('',*,*,#191498,.F.); #257516=ORIENTED_EDGE('',*,*,#191499,.F.); #257517=ORIENTED_EDGE('',*,*,#191500,.T.); #257518=ORIENTED_EDGE('',*,*,#191499,.T.); #257519=ORIENTED_EDGE('',*,*,#191501,.F.); #257520=ORIENTED_EDGE('',*,*,#191491,.F.); #257521=ORIENTED_EDGE('',*,*,#191501,.T.); #257522=ORIENTED_EDGE('',*,*,#191498,.T.); #257523=ORIENTED_EDGE('',*,*,#191495,.T.); #257524=ORIENTED_EDGE('',*,*,#191492,.T.); #257525=ORIENTED_EDGE('',*,*,#191500,.F.); #257526=ORIENTED_EDGE('',*,*,#191490,.F.); #257527=ORIENTED_EDGE('',*,*,#191494,.F.); #257528=ORIENTED_EDGE('',*,*,#191497,.F.); #257529=ORIENTED_EDGE('',*,*,#191502,.T.); #257530=ORIENTED_EDGE('',*,*,#191503,.T.); #257531=ORIENTED_EDGE('',*,*,#191504,.F.); #257532=ORIENTED_EDGE('',*,*,#191505,.F.); #257533=ORIENTED_EDGE('',*,*,#191506,.T.); #257534=ORIENTED_EDGE('',*,*,#191505,.T.); #257535=ORIENTED_EDGE('',*,*,#191507,.F.); #257536=ORIENTED_EDGE('',*,*,#191508,.F.); #257537=ORIENTED_EDGE('',*,*,#191509,.T.); #257538=ORIENTED_EDGE('',*,*,#191508,.T.); #257539=ORIENTED_EDGE('',*,*,#191510,.F.); #257540=ORIENTED_EDGE('',*,*,#191511,.F.); #257541=ORIENTED_EDGE('',*,*,#191512,.T.); #257542=ORIENTED_EDGE('',*,*,#191511,.T.); #257543=ORIENTED_EDGE('',*,*,#191513,.F.); #257544=ORIENTED_EDGE('',*,*,#191503,.F.); #257545=ORIENTED_EDGE('',*,*,#191513,.T.); #257546=ORIENTED_EDGE('',*,*,#191510,.T.); #257547=ORIENTED_EDGE('',*,*,#191507,.T.); #257548=ORIENTED_EDGE('',*,*,#191504,.T.); #257549=ORIENTED_EDGE('',*,*,#191512,.F.); #257550=ORIENTED_EDGE('',*,*,#191502,.F.); #257551=ORIENTED_EDGE('',*,*,#191506,.F.); #257552=ORIENTED_EDGE('',*,*,#191509,.F.); #257553=ORIENTED_EDGE('',*,*,#191514,.T.); #257554=ORIENTED_EDGE('',*,*,#191515,.T.); #257555=ORIENTED_EDGE('',*,*,#191516,.F.); #257556=ORIENTED_EDGE('',*,*,#191517,.F.); #257557=ORIENTED_EDGE('',*,*,#191518,.T.); #257558=ORIENTED_EDGE('',*,*,#191517,.T.); #257559=ORIENTED_EDGE('',*,*,#191519,.F.); #257560=ORIENTED_EDGE('',*,*,#191520,.F.); #257561=ORIENTED_EDGE('',*,*,#191521,.T.); #257562=ORIENTED_EDGE('',*,*,#191520,.T.); #257563=ORIENTED_EDGE('',*,*,#191522,.F.); #257564=ORIENTED_EDGE('',*,*,#191523,.F.); #257565=ORIENTED_EDGE('',*,*,#191524,.T.); #257566=ORIENTED_EDGE('',*,*,#191523,.T.); #257567=ORIENTED_EDGE('',*,*,#191525,.F.); #257568=ORIENTED_EDGE('',*,*,#191515,.F.); #257569=ORIENTED_EDGE('',*,*,#191525,.T.); #257570=ORIENTED_EDGE('',*,*,#191522,.T.); #257571=ORIENTED_EDGE('',*,*,#191519,.T.); #257572=ORIENTED_EDGE('',*,*,#191516,.T.); #257573=ORIENTED_EDGE('',*,*,#191524,.F.); #257574=ORIENTED_EDGE('',*,*,#191514,.F.); #257575=ORIENTED_EDGE('',*,*,#191518,.F.); #257576=ORIENTED_EDGE('',*,*,#191521,.F.); #257577=ORIENTED_EDGE('',*,*,#191526,.T.); #257578=ORIENTED_EDGE('',*,*,#191527,.T.); #257579=ORIENTED_EDGE('',*,*,#191528,.F.); #257580=ORIENTED_EDGE('',*,*,#191529,.F.); #257581=ORIENTED_EDGE('',*,*,#191530,.T.); #257582=ORIENTED_EDGE('',*,*,#191529,.T.); #257583=ORIENTED_EDGE('',*,*,#191531,.F.); #257584=ORIENTED_EDGE('',*,*,#191532,.F.); #257585=ORIENTED_EDGE('',*,*,#191533,.T.); #257586=ORIENTED_EDGE('',*,*,#191532,.T.); #257587=ORIENTED_EDGE('',*,*,#191534,.F.); #257588=ORIENTED_EDGE('',*,*,#191535,.F.); #257589=ORIENTED_EDGE('',*,*,#191536,.T.); #257590=ORIENTED_EDGE('',*,*,#191535,.T.); #257591=ORIENTED_EDGE('',*,*,#191537,.F.); #257592=ORIENTED_EDGE('',*,*,#191527,.F.); #257593=ORIENTED_EDGE('',*,*,#191537,.T.); #257594=ORIENTED_EDGE('',*,*,#191534,.T.); #257595=ORIENTED_EDGE('',*,*,#191531,.T.); #257596=ORIENTED_EDGE('',*,*,#191528,.T.); #257597=ORIENTED_EDGE('',*,*,#191536,.F.); #257598=ORIENTED_EDGE('',*,*,#191526,.F.); #257599=ORIENTED_EDGE('',*,*,#191530,.F.); #257600=ORIENTED_EDGE('',*,*,#191533,.F.); #257601=ORIENTED_EDGE('',*,*,#191538,.T.); #257602=ORIENTED_EDGE('',*,*,#191539,.T.); #257603=ORIENTED_EDGE('',*,*,#191540,.F.); #257604=ORIENTED_EDGE('',*,*,#191541,.F.); #257605=ORIENTED_EDGE('',*,*,#191542,.T.); #257606=ORIENTED_EDGE('',*,*,#191541,.T.); #257607=ORIENTED_EDGE('',*,*,#191543,.F.); #257608=ORIENTED_EDGE('',*,*,#191544,.F.); #257609=ORIENTED_EDGE('',*,*,#191545,.T.); #257610=ORIENTED_EDGE('',*,*,#191544,.T.); #257611=ORIENTED_EDGE('',*,*,#191546,.F.); #257612=ORIENTED_EDGE('',*,*,#191547,.F.); #257613=ORIENTED_EDGE('',*,*,#191548,.T.); #257614=ORIENTED_EDGE('',*,*,#191547,.T.); #257615=ORIENTED_EDGE('',*,*,#191549,.F.); #257616=ORIENTED_EDGE('',*,*,#191539,.F.); #257617=ORIENTED_EDGE('',*,*,#191549,.T.); #257618=ORIENTED_EDGE('',*,*,#191546,.T.); #257619=ORIENTED_EDGE('',*,*,#191543,.T.); #257620=ORIENTED_EDGE('',*,*,#191540,.T.); #257621=ORIENTED_EDGE('',*,*,#191548,.F.); #257622=ORIENTED_EDGE('',*,*,#191538,.F.); #257623=ORIENTED_EDGE('',*,*,#191542,.F.); #257624=ORIENTED_EDGE('',*,*,#191545,.F.); #257625=ORIENTED_EDGE('',*,*,#191550,.T.); #257626=ORIENTED_EDGE('',*,*,#191551,.T.); #257627=ORIENTED_EDGE('',*,*,#191552,.F.); #257628=ORIENTED_EDGE('',*,*,#191553,.F.); #257629=ORIENTED_EDGE('',*,*,#191554,.T.); #257630=ORIENTED_EDGE('',*,*,#191553,.T.); #257631=ORIENTED_EDGE('',*,*,#191555,.F.); #257632=ORIENTED_EDGE('',*,*,#191556,.F.); #257633=ORIENTED_EDGE('',*,*,#191557,.T.); #257634=ORIENTED_EDGE('',*,*,#191556,.T.); #257635=ORIENTED_EDGE('',*,*,#191558,.F.); #257636=ORIENTED_EDGE('',*,*,#191559,.F.); #257637=ORIENTED_EDGE('',*,*,#191560,.T.); #257638=ORIENTED_EDGE('',*,*,#191559,.T.); #257639=ORIENTED_EDGE('',*,*,#191561,.F.); #257640=ORIENTED_EDGE('',*,*,#191551,.F.); #257641=ORIENTED_EDGE('',*,*,#191561,.T.); #257642=ORIENTED_EDGE('',*,*,#191558,.T.); #257643=ORIENTED_EDGE('',*,*,#191555,.T.); #257644=ORIENTED_EDGE('',*,*,#191552,.T.); #257645=ORIENTED_EDGE('',*,*,#191560,.F.); #257646=ORIENTED_EDGE('',*,*,#191550,.F.); #257647=ORIENTED_EDGE('',*,*,#191554,.F.); #257648=ORIENTED_EDGE('',*,*,#191557,.F.); #257649=ORIENTED_EDGE('',*,*,#191562,.T.); #257650=ORIENTED_EDGE('',*,*,#191563,.T.); #257651=ORIENTED_EDGE('',*,*,#191564,.F.); #257652=ORIENTED_EDGE('',*,*,#191565,.F.); #257653=ORIENTED_EDGE('',*,*,#191566,.T.); #257654=ORIENTED_EDGE('',*,*,#191565,.T.); #257655=ORIENTED_EDGE('',*,*,#191567,.F.); #257656=ORIENTED_EDGE('',*,*,#191568,.F.); #257657=ORIENTED_EDGE('',*,*,#191569,.T.); #257658=ORIENTED_EDGE('',*,*,#191568,.T.); #257659=ORIENTED_EDGE('',*,*,#191570,.F.); #257660=ORIENTED_EDGE('',*,*,#191571,.F.); #257661=ORIENTED_EDGE('',*,*,#191572,.T.); #257662=ORIENTED_EDGE('',*,*,#191571,.T.); #257663=ORIENTED_EDGE('',*,*,#191573,.F.); #257664=ORIENTED_EDGE('',*,*,#191563,.F.); #257665=ORIENTED_EDGE('',*,*,#191573,.T.); #257666=ORIENTED_EDGE('',*,*,#191570,.T.); #257667=ORIENTED_EDGE('',*,*,#191567,.T.); #257668=ORIENTED_EDGE('',*,*,#191564,.T.); #257669=ORIENTED_EDGE('',*,*,#191572,.F.); #257670=ORIENTED_EDGE('',*,*,#191562,.F.); #257671=ORIENTED_EDGE('',*,*,#191566,.F.); #257672=ORIENTED_EDGE('',*,*,#191569,.F.); #257673=ORIENTED_EDGE('',*,*,#191574,.T.); #257674=ORIENTED_EDGE('',*,*,#191575,.T.); #257675=ORIENTED_EDGE('',*,*,#191576,.F.); #257676=ORIENTED_EDGE('',*,*,#191577,.F.); #257677=ORIENTED_EDGE('',*,*,#191578,.T.); #257678=ORIENTED_EDGE('',*,*,#191577,.T.); #257679=ORIENTED_EDGE('',*,*,#191579,.F.); #257680=ORIENTED_EDGE('',*,*,#191580,.F.); #257681=ORIENTED_EDGE('',*,*,#191581,.T.); #257682=ORIENTED_EDGE('',*,*,#191580,.T.); #257683=ORIENTED_EDGE('',*,*,#191582,.F.); #257684=ORIENTED_EDGE('',*,*,#191583,.F.); #257685=ORIENTED_EDGE('',*,*,#191584,.T.); #257686=ORIENTED_EDGE('',*,*,#191583,.T.); #257687=ORIENTED_EDGE('',*,*,#191585,.F.); #257688=ORIENTED_EDGE('',*,*,#191575,.F.); #257689=ORIENTED_EDGE('',*,*,#191585,.T.); #257690=ORIENTED_EDGE('',*,*,#191582,.T.); #257691=ORIENTED_EDGE('',*,*,#191579,.T.); #257692=ORIENTED_EDGE('',*,*,#191576,.T.); #257693=ORIENTED_EDGE('',*,*,#191584,.F.); #257694=ORIENTED_EDGE('',*,*,#191574,.F.); #257695=ORIENTED_EDGE('',*,*,#191578,.F.); #257696=ORIENTED_EDGE('',*,*,#191581,.F.); #257697=ORIENTED_EDGE('',*,*,#191586,.T.); #257698=ORIENTED_EDGE('',*,*,#191587,.T.); #257699=ORIENTED_EDGE('',*,*,#191588,.F.); #257700=ORIENTED_EDGE('',*,*,#191589,.F.); #257701=ORIENTED_EDGE('',*,*,#191590,.T.); #257702=ORIENTED_EDGE('',*,*,#191589,.T.); #257703=ORIENTED_EDGE('',*,*,#191591,.F.); #257704=ORIENTED_EDGE('',*,*,#191592,.F.); #257705=ORIENTED_EDGE('',*,*,#191593,.T.); #257706=ORIENTED_EDGE('',*,*,#191592,.T.); #257707=ORIENTED_EDGE('',*,*,#191594,.F.); #257708=ORIENTED_EDGE('',*,*,#191595,.F.); #257709=ORIENTED_EDGE('',*,*,#191596,.T.); #257710=ORIENTED_EDGE('',*,*,#191595,.T.); #257711=ORIENTED_EDGE('',*,*,#191597,.F.); #257712=ORIENTED_EDGE('',*,*,#191587,.F.); #257713=ORIENTED_EDGE('',*,*,#191597,.T.); #257714=ORIENTED_EDGE('',*,*,#191594,.T.); #257715=ORIENTED_EDGE('',*,*,#191591,.T.); #257716=ORIENTED_EDGE('',*,*,#191588,.T.); #257717=ORIENTED_EDGE('',*,*,#191596,.F.); #257718=ORIENTED_EDGE('',*,*,#191586,.F.); #257719=ORIENTED_EDGE('',*,*,#191590,.F.); #257720=ORIENTED_EDGE('',*,*,#191593,.F.); #257721=ORIENTED_EDGE('',*,*,#191598,.T.); #257722=ORIENTED_EDGE('',*,*,#191599,.T.); #257723=ORIENTED_EDGE('',*,*,#191600,.F.); #257724=ORIENTED_EDGE('',*,*,#191601,.F.); #257725=ORIENTED_EDGE('',*,*,#191602,.T.); #257726=ORIENTED_EDGE('',*,*,#191601,.T.); #257727=ORIENTED_EDGE('',*,*,#191603,.F.); #257728=ORIENTED_EDGE('',*,*,#191604,.F.); #257729=ORIENTED_EDGE('',*,*,#191605,.T.); #257730=ORIENTED_EDGE('',*,*,#191604,.T.); #257731=ORIENTED_EDGE('',*,*,#191606,.F.); #257732=ORIENTED_EDGE('',*,*,#191607,.F.); #257733=ORIENTED_EDGE('',*,*,#191608,.T.); #257734=ORIENTED_EDGE('',*,*,#191607,.T.); #257735=ORIENTED_EDGE('',*,*,#191609,.F.); #257736=ORIENTED_EDGE('',*,*,#191599,.F.); #257737=ORIENTED_EDGE('',*,*,#191609,.T.); #257738=ORIENTED_EDGE('',*,*,#191606,.T.); #257739=ORIENTED_EDGE('',*,*,#191603,.T.); #257740=ORIENTED_EDGE('',*,*,#191600,.T.); #257741=ORIENTED_EDGE('',*,*,#191608,.F.); #257742=ORIENTED_EDGE('',*,*,#191598,.F.); #257743=ORIENTED_EDGE('',*,*,#191602,.F.); #257744=ORIENTED_EDGE('',*,*,#191605,.F.); #257745=ORIENTED_EDGE('',*,*,#191610,.T.); #257746=ORIENTED_EDGE('',*,*,#191611,.T.); #257747=ORIENTED_EDGE('',*,*,#191612,.F.); #257748=ORIENTED_EDGE('',*,*,#191613,.F.); #257749=ORIENTED_EDGE('',*,*,#191614,.T.); #257750=ORIENTED_EDGE('',*,*,#191613,.T.); #257751=ORIENTED_EDGE('',*,*,#191615,.F.); #257752=ORIENTED_EDGE('',*,*,#191616,.F.); #257753=ORIENTED_EDGE('',*,*,#191617,.T.); #257754=ORIENTED_EDGE('',*,*,#191616,.T.); #257755=ORIENTED_EDGE('',*,*,#191618,.F.); #257756=ORIENTED_EDGE('',*,*,#191619,.F.); #257757=ORIENTED_EDGE('',*,*,#191620,.T.); #257758=ORIENTED_EDGE('',*,*,#191619,.T.); #257759=ORIENTED_EDGE('',*,*,#191621,.F.); #257760=ORIENTED_EDGE('',*,*,#191611,.F.); #257761=ORIENTED_EDGE('',*,*,#191621,.T.); #257762=ORIENTED_EDGE('',*,*,#191618,.T.); #257763=ORIENTED_EDGE('',*,*,#191615,.T.); #257764=ORIENTED_EDGE('',*,*,#191612,.T.); #257765=ORIENTED_EDGE('',*,*,#191620,.F.); #257766=ORIENTED_EDGE('',*,*,#191610,.F.); #257767=ORIENTED_EDGE('',*,*,#191614,.F.); #257768=ORIENTED_EDGE('',*,*,#191617,.F.); #257769=ORIENTED_EDGE('',*,*,#191622,.T.); #257770=ORIENTED_EDGE('',*,*,#191623,.T.); #257771=ORIENTED_EDGE('',*,*,#191624,.F.); #257772=ORIENTED_EDGE('',*,*,#191625,.F.); #257773=ORIENTED_EDGE('',*,*,#191626,.T.); #257774=ORIENTED_EDGE('',*,*,#191625,.T.); #257775=ORIENTED_EDGE('',*,*,#191627,.F.); #257776=ORIENTED_EDGE('',*,*,#191628,.F.); #257777=ORIENTED_EDGE('',*,*,#191629,.T.); #257778=ORIENTED_EDGE('',*,*,#191628,.T.); #257779=ORIENTED_EDGE('',*,*,#191630,.F.); #257780=ORIENTED_EDGE('',*,*,#191631,.F.); #257781=ORIENTED_EDGE('',*,*,#191632,.T.); #257782=ORIENTED_EDGE('',*,*,#191631,.T.); #257783=ORIENTED_EDGE('',*,*,#191633,.F.); #257784=ORIENTED_EDGE('',*,*,#191623,.F.); #257785=ORIENTED_EDGE('',*,*,#191633,.T.); #257786=ORIENTED_EDGE('',*,*,#191630,.T.); #257787=ORIENTED_EDGE('',*,*,#191627,.T.); #257788=ORIENTED_EDGE('',*,*,#191624,.T.); #257789=ORIENTED_EDGE('',*,*,#191632,.F.); #257790=ORIENTED_EDGE('',*,*,#191622,.F.); #257791=ORIENTED_EDGE('',*,*,#191626,.F.); #257792=ORIENTED_EDGE('',*,*,#191629,.F.); #257793=ORIENTED_EDGE('',*,*,#191634,.T.); #257794=ORIENTED_EDGE('',*,*,#191635,.T.); #257795=ORIENTED_EDGE('',*,*,#191636,.F.); #257796=ORIENTED_EDGE('',*,*,#191637,.F.); #257797=ORIENTED_EDGE('',*,*,#191638,.T.); #257798=ORIENTED_EDGE('',*,*,#191637,.T.); #257799=ORIENTED_EDGE('',*,*,#191639,.F.); #257800=ORIENTED_EDGE('',*,*,#191640,.F.); #257801=ORIENTED_EDGE('',*,*,#191641,.T.); #257802=ORIENTED_EDGE('',*,*,#191640,.T.); #257803=ORIENTED_EDGE('',*,*,#191642,.F.); #257804=ORIENTED_EDGE('',*,*,#191643,.F.); #257805=ORIENTED_EDGE('',*,*,#191644,.T.); #257806=ORIENTED_EDGE('',*,*,#191643,.T.); #257807=ORIENTED_EDGE('',*,*,#191645,.F.); #257808=ORIENTED_EDGE('',*,*,#191635,.F.); #257809=ORIENTED_EDGE('',*,*,#191645,.T.); #257810=ORIENTED_EDGE('',*,*,#191642,.T.); #257811=ORIENTED_EDGE('',*,*,#191639,.T.); #257812=ORIENTED_EDGE('',*,*,#191636,.T.); #257813=ORIENTED_EDGE('',*,*,#191644,.F.); #257814=ORIENTED_EDGE('',*,*,#191634,.F.); #257815=ORIENTED_EDGE('',*,*,#191638,.F.); #257816=ORIENTED_EDGE('',*,*,#191641,.F.); #257817=ORIENTED_EDGE('',*,*,#191646,.T.); #257818=ORIENTED_EDGE('',*,*,#191647,.T.); #257819=ORIENTED_EDGE('',*,*,#191648,.F.); #257820=ORIENTED_EDGE('',*,*,#191649,.F.); #257821=ORIENTED_EDGE('',*,*,#191650,.T.); #257822=ORIENTED_EDGE('',*,*,#191649,.T.); #257823=ORIENTED_EDGE('',*,*,#191651,.F.); #257824=ORIENTED_EDGE('',*,*,#191652,.F.); #257825=ORIENTED_EDGE('',*,*,#191653,.T.); #257826=ORIENTED_EDGE('',*,*,#191652,.T.); #257827=ORIENTED_EDGE('',*,*,#191654,.F.); #257828=ORIENTED_EDGE('',*,*,#191655,.F.); #257829=ORIENTED_EDGE('',*,*,#191656,.T.); #257830=ORIENTED_EDGE('',*,*,#191655,.T.); #257831=ORIENTED_EDGE('',*,*,#191657,.F.); #257832=ORIENTED_EDGE('',*,*,#191647,.F.); #257833=ORIENTED_EDGE('',*,*,#191657,.T.); #257834=ORIENTED_EDGE('',*,*,#191654,.T.); #257835=ORIENTED_EDGE('',*,*,#191651,.T.); #257836=ORIENTED_EDGE('',*,*,#191648,.T.); #257837=ORIENTED_EDGE('',*,*,#191656,.F.); #257838=ORIENTED_EDGE('',*,*,#191646,.F.); #257839=ORIENTED_EDGE('',*,*,#191650,.F.); #257840=ORIENTED_EDGE('',*,*,#191653,.F.); #257841=ORIENTED_EDGE('',*,*,#191658,.T.); #257842=ORIENTED_EDGE('',*,*,#191659,.T.); #257843=ORIENTED_EDGE('',*,*,#191660,.F.); #257844=ORIENTED_EDGE('',*,*,#191661,.F.); #257845=ORIENTED_EDGE('',*,*,#191662,.T.); #257846=ORIENTED_EDGE('',*,*,#191661,.T.); #257847=ORIENTED_EDGE('',*,*,#191663,.F.); #257848=ORIENTED_EDGE('',*,*,#191664,.F.); #257849=ORIENTED_EDGE('',*,*,#191665,.T.); #257850=ORIENTED_EDGE('',*,*,#191664,.T.); #257851=ORIENTED_EDGE('',*,*,#191666,.F.); #257852=ORIENTED_EDGE('',*,*,#191667,.F.); #257853=ORIENTED_EDGE('',*,*,#191668,.T.); #257854=ORIENTED_EDGE('',*,*,#191667,.T.); #257855=ORIENTED_EDGE('',*,*,#191669,.F.); #257856=ORIENTED_EDGE('',*,*,#191659,.F.); #257857=ORIENTED_EDGE('',*,*,#191669,.T.); #257858=ORIENTED_EDGE('',*,*,#191666,.T.); #257859=ORIENTED_EDGE('',*,*,#191663,.T.); #257860=ORIENTED_EDGE('',*,*,#191660,.T.); #257861=ORIENTED_EDGE('',*,*,#191668,.F.); #257862=ORIENTED_EDGE('',*,*,#191658,.F.); #257863=ORIENTED_EDGE('',*,*,#191662,.F.); #257864=ORIENTED_EDGE('',*,*,#191665,.F.); #257865=ORIENTED_EDGE('',*,*,#191670,.T.); #257866=ORIENTED_EDGE('',*,*,#191671,.T.); #257867=ORIENTED_EDGE('',*,*,#191672,.F.); #257868=ORIENTED_EDGE('',*,*,#191673,.F.); #257869=ORIENTED_EDGE('',*,*,#191674,.T.); #257870=ORIENTED_EDGE('',*,*,#191673,.T.); #257871=ORIENTED_EDGE('',*,*,#191675,.F.); #257872=ORIENTED_EDGE('',*,*,#191676,.F.); #257873=ORIENTED_EDGE('',*,*,#191677,.T.); #257874=ORIENTED_EDGE('',*,*,#191676,.T.); #257875=ORIENTED_EDGE('',*,*,#191678,.F.); #257876=ORIENTED_EDGE('',*,*,#191679,.F.); #257877=ORIENTED_EDGE('',*,*,#191680,.T.); #257878=ORIENTED_EDGE('',*,*,#191679,.T.); #257879=ORIENTED_EDGE('',*,*,#191681,.F.); #257880=ORIENTED_EDGE('',*,*,#191671,.F.); #257881=ORIENTED_EDGE('',*,*,#191681,.T.); #257882=ORIENTED_EDGE('',*,*,#191678,.T.); #257883=ORIENTED_EDGE('',*,*,#191675,.T.); #257884=ORIENTED_EDGE('',*,*,#191672,.T.); #257885=ORIENTED_EDGE('',*,*,#191680,.F.); #257886=ORIENTED_EDGE('',*,*,#191670,.F.); #257887=ORIENTED_EDGE('',*,*,#191674,.F.); #257888=ORIENTED_EDGE('',*,*,#191677,.F.); #257889=ORIENTED_EDGE('',*,*,#191682,.T.); #257890=ORIENTED_EDGE('',*,*,#191683,.T.); #257891=ORIENTED_EDGE('',*,*,#191684,.F.); #257892=ORIENTED_EDGE('',*,*,#191685,.F.); #257893=ORIENTED_EDGE('',*,*,#191686,.T.); #257894=ORIENTED_EDGE('',*,*,#191685,.T.); #257895=ORIENTED_EDGE('',*,*,#191687,.F.); #257896=ORIENTED_EDGE('',*,*,#191688,.F.); #257897=ORIENTED_EDGE('',*,*,#191689,.T.); #257898=ORIENTED_EDGE('',*,*,#191688,.T.); #257899=ORIENTED_EDGE('',*,*,#191690,.F.); #257900=ORIENTED_EDGE('',*,*,#191691,.F.); #257901=ORIENTED_EDGE('',*,*,#191692,.T.); #257902=ORIENTED_EDGE('',*,*,#191691,.T.); #257903=ORIENTED_EDGE('',*,*,#191693,.F.); #257904=ORIENTED_EDGE('',*,*,#191683,.F.); #257905=ORIENTED_EDGE('',*,*,#191693,.T.); #257906=ORIENTED_EDGE('',*,*,#191690,.T.); #257907=ORIENTED_EDGE('',*,*,#191687,.T.); #257908=ORIENTED_EDGE('',*,*,#191684,.T.); #257909=ORIENTED_EDGE('',*,*,#191692,.F.); #257910=ORIENTED_EDGE('',*,*,#191682,.F.); #257911=ORIENTED_EDGE('',*,*,#191686,.F.); #257912=ORIENTED_EDGE('',*,*,#191689,.F.); #257913=ORIENTED_EDGE('',*,*,#191694,.T.); #257914=ORIENTED_EDGE('',*,*,#191695,.T.); #257915=ORIENTED_EDGE('',*,*,#191696,.F.); #257916=ORIENTED_EDGE('',*,*,#191697,.F.); #257917=ORIENTED_EDGE('',*,*,#191698,.T.); #257918=ORIENTED_EDGE('',*,*,#191697,.T.); #257919=ORIENTED_EDGE('',*,*,#191699,.F.); #257920=ORIENTED_EDGE('',*,*,#191700,.F.); #257921=ORIENTED_EDGE('',*,*,#191701,.T.); #257922=ORIENTED_EDGE('',*,*,#191700,.T.); #257923=ORIENTED_EDGE('',*,*,#191702,.F.); #257924=ORIENTED_EDGE('',*,*,#191703,.F.); #257925=ORIENTED_EDGE('',*,*,#191704,.T.); #257926=ORIENTED_EDGE('',*,*,#191703,.T.); #257927=ORIENTED_EDGE('',*,*,#191705,.F.); #257928=ORIENTED_EDGE('',*,*,#191695,.F.); #257929=ORIENTED_EDGE('',*,*,#191705,.T.); #257930=ORIENTED_EDGE('',*,*,#191702,.T.); #257931=ORIENTED_EDGE('',*,*,#191699,.T.); #257932=ORIENTED_EDGE('',*,*,#191696,.T.); #257933=ORIENTED_EDGE('',*,*,#191704,.F.); #257934=ORIENTED_EDGE('',*,*,#191694,.F.); #257935=ORIENTED_EDGE('',*,*,#191698,.F.); #257936=ORIENTED_EDGE('',*,*,#191701,.F.); #257937=ORIENTED_EDGE('',*,*,#191706,.T.); #257938=ORIENTED_EDGE('',*,*,#191707,.T.); #257939=ORIENTED_EDGE('',*,*,#191708,.F.); #257940=ORIENTED_EDGE('',*,*,#191709,.F.); #257941=ORIENTED_EDGE('',*,*,#191710,.T.); #257942=ORIENTED_EDGE('',*,*,#191709,.T.); #257943=ORIENTED_EDGE('',*,*,#191711,.F.); #257944=ORIENTED_EDGE('',*,*,#191712,.F.); #257945=ORIENTED_EDGE('',*,*,#191713,.T.); #257946=ORIENTED_EDGE('',*,*,#191712,.T.); #257947=ORIENTED_EDGE('',*,*,#191714,.F.); #257948=ORIENTED_EDGE('',*,*,#191715,.F.); #257949=ORIENTED_EDGE('',*,*,#191716,.T.); #257950=ORIENTED_EDGE('',*,*,#191715,.T.); #257951=ORIENTED_EDGE('',*,*,#191717,.F.); #257952=ORIENTED_EDGE('',*,*,#191707,.F.); #257953=ORIENTED_EDGE('',*,*,#191717,.T.); #257954=ORIENTED_EDGE('',*,*,#191714,.T.); #257955=ORIENTED_EDGE('',*,*,#191711,.T.); #257956=ORIENTED_EDGE('',*,*,#191708,.T.); #257957=ORIENTED_EDGE('',*,*,#191716,.F.); #257958=ORIENTED_EDGE('',*,*,#191706,.F.); #257959=ORIENTED_EDGE('',*,*,#191710,.F.); #257960=ORIENTED_EDGE('',*,*,#191713,.F.); #257961=ORIENTED_EDGE('',*,*,#191718,.T.); #257962=ORIENTED_EDGE('',*,*,#191719,.T.); #257963=ORIENTED_EDGE('',*,*,#191720,.F.); #257964=ORIENTED_EDGE('',*,*,#191721,.F.); #257965=ORIENTED_EDGE('',*,*,#191722,.T.); #257966=ORIENTED_EDGE('',*,*,#191721,.T.); #257967=ORIENTED_EDGE('',*,*,#191723,.F.); #257968=ORIENTED_EDGE('',*,*,#191724,.F.); #257969=ORIENTED_EDGE('',*,*,#191725,.T.); #257970=ORIENTED_EDGE('',*,*,#191724,.T.); #257971=ORIENTED_EDGE('',*,*,#191726,.F.); #257972=ORIENTED_EDGE('',*,*,#191727,.F.); #257973=ORIENTED_EDGE('',*,*,#191728,.T.); #257974=ORIENTED_EDGE('',*,*,#191727,.T.); #257975=ORIENTED_EDGE('',*,*,#191729,.F.); #257976=ORIENTED_EDGE('',*,*,#191719,.F.); #257977=ORIENTED_EDGE('',*,*,#191729,.T.); #257978=ORIENTED_EDGE('',*,*,#191726,.T.); #257979=ORIENTED_EDGE('',*,*,#191723,.T.); #257980=ORIENTED_EDGE('',*,*,#191720,.T.); #257981=ORIENTED_EDGE('',*,*,#191728,.F.); #257982=ORIENTED_EDGE('',*,*,#191718,.F.); #257983=ORIENTED_EDGE('',*,*,#191722,.F.); #257984=ORIENTED_EDGE('',*,*,#191725,.F.); #257985=ORIENTED_EDGE('',*,*,#191730,.T.); #257986=ORIENTED_EDGE('',*,*,#191731,.T.); #257987=ORIENTED_EDGE('',*,*,#191732,.F.); #257988=ORIENTED_EDGE('',*,*,#191733,.F.); #257989=ORIENTED_EDGE('',*,*,#191734,.T.); #257990=ORIENTED_EDGE('',*,*,#191733,.T.); #257991=ORIENTED_EDGE('',*,*,#191735,.F.); #257992=ORIENTED_EDGE('',*,*,#191736,.F.); #257993=ORIENTED_EDGE('',*,*,#191737,.T.); #257994=ORIENTED_EDGE('',*,*,#191736,.T.); #257995=ORIENTED_EDGE('',*,*,#191738,.F.); #257996=ORIENTED_EDGE('',*,*,#191739,.F.); #257997=ORIENTED_EDGE('',*,*,#191740,.T.); #257998=ORIENTED_EDGE('',*,*,#191739,.T.); #257999=ORIENTED_EDGE('',*,*,#191741,.F.); #258000=ORIENTED_EDGE('',*,*,#191731,.F.); #258001=ORIENTED_EDGE('',*,*,#191741,.T.); #258002=ORIENTED_EDGE('',*,*,#191738,.T.); #258003=ORIENTED_EDGE('',*,*,#191735,.T.); #258004=ORIENTED_EDGE('',*,*,#191732,.T.); #258005=ORIENTED_EDGE('',*,*,#191740,.F.); #258006=ORIENTED_EDGE('',*,*,#191730,.F.); #258007=ORIENTED_EDGE('',*,*,#191734,.F.); #258008=ORIENTED_EDGE('',*,*,#191737,.F.); #258009=ORIENTED_EDGE('',*,*,#191742,.F.); #258010=ORIENTED_EDGE('',*,*,#191743,.T.); #258011=ORIENTED_EDGE('',*,*,#191744,.F.); #258012=ORIENTED_EDGE('',*,*,#191743,.F.); #258013=ORIENTED_EDGE('',*,*,#191745,.T.); #258014=ORIENTED_EDGE('',*,*,#191746,.T.); #258015=ORIENTED_EDGE('',*,*,#191747,.F.); #258016=ORIENTED_EDGE('',*,*,#191748,.F.); #258017=ORIENTED_EDGE('',*,*,#191749,.T.); #258018=ORIENTED_EDGE('',*,*,#191748,.T.); #258019=ORIENTED_EDGE('',*,*,#191750,.F.); #258020=ORIENTED_EDGE('',*,*,#191751,.F.); #258021=ORIENTED_EDGE('',*,*,#191752,.T.); #258022=ORIENTED_EDGE('',*,*,#191751,.T.); #258023=ORIENTED_EDGE('',*,*,#191753,.F.); #258024=ORIENTED_EDGE('',*,*,#191746,.F.); #258025=ORIENTED_EDGE('',*,*,#191753,.T.); #258026=ORIENTED_EDGE('',*,*,#191750,.T.); #258027=ORIENTED_EDGE('',*,*,#191747,.T.); #258028=ORIENTED_EDGE('',*,*,#191744,.T.); #258029=ORIENTED_EDGE('',*,*,#191752,.F.); #258030=ORIENTED_EDGE('',*,*,#191745,.F.); #258031=ORIENTED_EDGE('',*,*,#191749,.F.); #258032=ORIENTED_EDGE('',*,*,#191742,.T.); #258033=ORIENTED_EDGE('',*,*,#191754,.T.); #258034=ORIENTED_EDGE('',*,*,#191755,.T.); #258035=ORIENTED_EDGE('',*,*,#191756,.F.); #258036=ORIENTED_EDGE('',*,*,#191757,.F.); #258037=ORIENTED_EDGE('',*,*,#191758,.T.); #258038=ORIENTED_EDGE('',*,*,#191757,.T.); #258039=ORIENTED_EDGE('',*,*,#191759,.F.); #258040=ORIENTED_EDGE('',*,*,#191760,.F.); #258041=ORIENTED_EDGE('',*,*,#191761,.T.); #258042=ORIENTED_EDGE('',*,*,#191760,.T.); #258043=ORIENTED_EDGE('',*,*,#191762,.F.); #258044=ORIENTED_EDGE('',*,*,#191763,.F.); #258045=ORIENTED_EDGE('',*,*,#191764,.T.); #258046=ORIENTED_EDGE('',*,*,#191763,.T.); #258047=ORIENTED_EDGE('',*,*,#191765,.F.); #258048=ORIENTED_EDGE('',*,*,#191755,.F.); #258049=ORIENTED_EDGE('',*,*,#191765,.T.); #258050=ORIENTED_EDGE('',*,*,#191762,.T.); #258051=ORIENTED_EDGE('',*,*,#191759,.T.); #258052=ORIENTED_EDGE('',*,*,#191756,.T.); #258053=ORIENTED_EDGE('',*,*,#191764,.F.); #258054=ORIENTED_EDGE('',*,*,#191754,.F.); #258055=ORIENTED_EDGE('',*,*,#191758,.F.); #258056=ORIENTED_EDGE('',*,*,#191761,.F.); #258057=ORIENTED_EDGE('',*,*,#191766,.T.); #258058=ORIENTED_EDGE('',*,*,#191767,.T.); #258059=ORIENTED_EDGE('',*,*,#191768,.F.); #258060=ORIENTED_EDGE('',*,*,#191769,.F.); #258061=ORIENTED_EDGE('',*,*,#191770,.T.); #258062=ORIENTED_EDGE('',*,*,#191769,.T.); #258063=ORIENTED_EDGE('',*,*,#191771,.F.); #258064=ORIENTED_EDGE('',*,*,#191772,.F.); #258065=ORIENTED_EDGE('',*,*,#191773,.T.); #258066=ORIENTED_EDGE('',*,*,#191772,.T.); #258067=ORIENTED_EDGE('',*,*,#191774,.F.); #258068=ORIENTED_EDGE('',*,*,#191775,.F.); #258069=ORIENTED_EDGE('',*,*,#191776,.T.); #258070=ORIENTED_EDGE('',*,*,#191775,.T.); #258071=ORIENTED_EDGE('',*,*,#191777,.F.); #258072=ORIENTED_EDGE('',*,*,#191767,.F.); #258073=ORIENTED_EDGE('',*,*,#191777,.T.); #258074=ORIENTED_EDGE('',*,*,#191774,.T.); #258075=ORIENTED_EDGE('',*,*,#191771,.T.); #258076=ORIENTED_EDGE('',*,*,#191768,.T.); #258077=ORIENTED_EDGE('',*,*,#191776,.F.); #258078=ORIENTED_EDGE('',*,*,#191766,.F.); #258079=ORIENTED_EDGE('',*,*,#191770,.F.); #258080=ORIENTED_EDGE('',*,*,#191773,.F.); #258081=ORIENTED_EDGE('',*,*,#191778,.F.); #258082=ORIENTED_EDGE('',*,*,#191779,.T.); #258083=ORIENTED_EDGE('',*,*,#191780,.F.); #258084=ORIENTED_EDGE('',*,*,#191779,.F.); #258085=ORIENTED_EDGE('',*,*,#191781,.F.); #258086=ORIENTED_EDGE('',*,*,#191782,.T.); #258087=ORIENTED_EDGE('',*,*,#191783,.F.); #258088=ORIENTED_EDGE('',*,*,#191782,.F.); #258089=ORIENTED_EDGE('',*,*,#191784,.T.); #258090=ORIENTED_EDGE('',*,*,#191785,.T.); #258091=ORIENTED_EDGE('',*,*,#191786,.F.); #258092=ORIENTED_EDGE('',*,*,#191787,.F.); #258093=ORIENTED_EDGE('',*,*,#191788,.T.); #258094=ORIENTED_EDGE('',*,*,#191787,.T.); #258095=ORIENTED_EDGE('',*,*,#191789,.F.); #258096=ORIENTED_EDGE('',*,*,#191790,.F.); #258097=ORIENTED_EDGE('',*,*,#191791,.T.); #258098=ORIENTED_EDGE('',*,*,#191790,.T.); #258099=ORIENTED_EDGE('',*,*,#191792,.F.); #258100=ORIENTED_EDGE('',*,*,#191793,.F.); #258101=ORIENTED_EDGE('',*,*,#191794,.T.); #258102=ORIENTED_EDGE('',*,*,#191793,.T.); #258103=ORIENTED_EDGE('',*,*,#191795,.F.); #258104=ORIENTED_EDGE('',*,*,#191785,.F.); #258105=ORIENTED_EDGE('',*,*,#191795,.T.); #258106=ORIENTED_EDGE('',*,*,#191792,.T.); #258107=ORIENTED_EDGE('',*,*,#191789,.T.); #258108=ORIENTED_EDGE('',*,*,#191786,.T.); #258109=ORIENTED_EDGE('',*,*,#191780,.T.); #258110=ORIENTED_EDGE('',*,*,#191783,.T.); #258111=ORIENTED_EDGE('',*,*,#191794,.F.); #258112=ORIENTED_EDGE('',*,*,#191784,.F.); #258113=ORIENTED_EDGE('',*,*,#191788,.F.); #258114=ORIENTED_EDGE('',*,*,#191791,.F.); #258115=ORIENTED_EDGE('',*,*,#191778,.T.); #258116=ORIENTED_EDGE('',*,*,#191781,.T.); #258117=ORIENTED_EDGE('',*,*,#191796,.T.); #258118=ORIENTED_EDGE('',*,*,#191797,.T.); #258119=ORIENTED_EDGE('',*,*,#191798,.F.); #258120=ORIENTED_EDGE('',*,*,#191799,.F.); #258121=ORIENTED_EDGE('',*,*,#191800,.T.); #258122=ORIENTED_EDGE('',*,*,#191799,.T.); #258123=ORIENTED_EDGE('',*,*,#191801,.F.); #258124=ORIENTED_EDGE('',*,*,#191802,.F.); #258125=ORIENTED_EDGE('',*,*,#191803,.T.); #258126=ORIENTED_EDGE('',*,*,#191802,.T.); #258127=ORIENTED_EDGE('',*,*,#191804,.F.); #258128=ORIENTED_EDGE('',*,*,#191805,.F.); #258129=ORIENTED_EDGE('',*,*,#191806,.T.); #258130=ORIENTED_EDGE('',*,*,#191805,.T.); #258131=ORIENTED_EDGE('',*,*,#191807,.F.); #258132=ORIENTED_EDGE('',*,*,#191797,.F.); #258133=ORIENTED_EDGE('',*,*,#191807,.T.); #258134=ORIENTED_EDGE('',*,*,#191804,.T.); #258135=ORIENTED_EDGE('',*,*,#191801,.T.); #258136=ORIENTED_EDGE('',*,*,#191798,.T.); #258137=ORIENTED_EDGE('',*,*,#191806,.F.); #258138=ORIENTED_EDGE('',*,*,#191796,.F.); #258139=ORIENTED_EDGE('',*,*,#191800,.F.); #258140=ORIENTED_EDGE('',*,*,#191803,.F.); #258141=ORIENTED_EDGE('',*,*,#191808,.F.); #258142=ORIENTED_EDGE('',*,*,#191809,.T.); #258143=ORIENTED_EDGE('',*,*,#191810,.F.); #258144=ORIENTED_EDGE('',*,*,#191809,.F.); #258145=ORIENTED_EDGE('',*,*,#191811,.F.); #258146=ORIENTED_EDGE('',*,*,#191812,.T.); #258147=ORIENTED_EDGE('',*,*,#191813,.F.); #258148=ORIENTED_EDGE('',*,*,#191812,.F.); #258149=ORIENTED_EDGE('',*,*,#191814,.T.); #258150=ORIENTED_EDGE('',*,*,#191815,.T.); #258151=ORIENTED_EDGE('',*,*,#191816,.F.); #258152=ORIENTED_EDGE('',*,*,#191817,.F.); #258153=ORIENTED_EDGE('',*,*,#191818,.T.); #258154=ORIENTED_EDGE('',*,*,#191817,.T.); #258155=ORIENTED_EDGE('',*,*,#191819,.F.); #258156=ORIENTED_EDGE('',*,*,#191820,.F.); #258157=ORIENTED_EDGE('',*,*,#191821,.T.); #258158=ORIENTED_EDGE('',*,*,#191820,.T.); #258159=ORIENTED_EDGE('',*,*,#191822,.F.); #258160=ORIENTED_EDGE('',*,*,#191823,.F.); #258161=ORIENTED_EDGE('',*,*,#191824,.T.); #258162=ORIENTED_EDGE('',*,*,#191823,.T.); #258163=ORIENTED_EDGE('',*,*,#191825,.F.); #258164=ORIENTED_EDGE('',*,*,#191815,.F.); #258165=ORIENTED_EDGE('',*,*,#191825,.T.); #258166=ORIENTED_EDGE('',*,*,#191822,.T.); #258167=ORIENTED_EDGE('',*,*,#191819,.T.); #258168=ORIENTED_EDGE('',*,*,#191816,.T.); #258169=ORIENTED_EDGE('',*,*,#191810,.T.); #258170=ORIENTED_EDGE('',*,*,#191813,.T.); #258171=ORIENTED_EDGE('',*,*,#191824,.F.); #258172=ORIENTED_EDGE('',*,*,#191814,.F.); #258173=ORIENTED_EDGE('',*,*,#191818,.F.); #258174=ORIENTED_EDGE('',*,*,#191821,.F.); #258175=ORIENTED_EDGE('',*,*,#191808,.T.); #258176=ORIENTED_EDGE('',*,*,#191811,.T.); #258177=ORIENTED_EDGE('',*,*,#191826,.T.); #258178=ORIENTED_EDGE('',*,*,#191827,.T.); #258179=ORIENTED_EDGE('',*,*,#191828,.F.); #258180=ORIENTED_EDGE('',*,*,#191829,.F.); #258181=ORIENTED_EDGE('',*,*,#191830,.T.); #258182=ORIENTED_EDGE('',*,*,#191829,.T.); #258183=ORIENTED_EDGE('',*,*,#191831,.F.); #258184=ORIENTED_EDGE('',*,*,#191832,.F.); #258185=ORIENTED_EDGE('',*,*,#191833,.T.); #258186=ORIENTED_EDGE('',*,*,#191832,.T.); #258187=ORIENTED_EDGE('',*,*,#191834,.F.); #258188=ORIENTED_EDGE('',*,*,#191835,.F.); #258189=ORIENTED_EDGE('',*,*,#191836,.T.); #258190=ORIENTED_EDGE('',*,*,#191835,.T.); #258191=ORIENTED_EDGE('',*,*,#191837,.F.); #258192=ORIENTED_EDGE('',*,*,#191827,.F.); #258193=ORIENTED_EDGE('',*,*,#191837,.T.); #258194=ORIENTED_EDGE('',*,*,#191834,.T.); #258195=ORIENTED_EDGE('',*,*,#191831,.T.); #258196=ORIENTED_EDGE('',*,*,#191828,.T.); #258197=ORIENTED_EDGE('',*,*,#191836,.F.); #258198=ORIENTED_EDGE('',*,*,#191826,.F.); #258199=ORIENTED_EDGE('',*,*,#191830,.F.); #258200=ORIENTED_EDGE('',*,*,#191833,.F.); #258201=ORIENTED_EDGE('',*,*,#191838,.F.); #258202=ORIENTED_EDGE('',*,*,#191839,.T.); #258203=ORIENTED_EDGE('',*,*,#191840,.F.); #258204=ORIENTED_EDGE('',*,*,#191839,.F.); #258205=ORIENTED_EDGE('',*,*,#191841,.F.); #258206=ORIENTED_EDGE('',*,*,#191842,.T.); #258207=ORIENTED_EDGE('',*,*,#191843,.F.); #258208=ORIENTED_EDGE('',*,*,#191842,.F.); #258209=ORIENTED_EDGE('',*,*,#191844,.T.); #258210=ORIENTED_EDGE('',*,*,#191845,.T.); #258211=ORIENTED_EDGE('',*,*,#191846,.F.); #258212=ORIENTED_EDGE('',*,*,#191847,.F.); #258213=ORIENTED_EDGE('',*,*,#191848,.T.); #258214=ORIENTED_EDGE('',*,*,#191847,.T.); #258215=ORIENTED_EDGE('',*,*,#191849,.F.); #258216=ORIENTED_EDGE('',*,*,#191850,.F.); #258217=ORIENTED_EDGE('',*,*,#191851,.T.); #258218=ORIENTED_EDGE('',*,*,#191850,.T.); #258219=ORIENTED_EDGE('',*,*,#191852,.F.); #258220=ORIENTED_EDGE('',*,*,#191853,.F.); #258221=ORIENTED_EDGE('',*,*,#191854,.T.); #258222=ORIENTED_EDGE('',*,*,#191853,.T.); #258223=ORIENTED_EDGE('',*,*,#191855,.F.); #258224=ORIENTED_EDGE('',*,*,#191845,.F.); #258225=ORIENTED_EDGE('',*,*,#191855,.T.); #258226=ORIENTED_EDGE('',*,*,#191852,.T.); #258227=ORIENTED_EDGE('',*,*,#191849,.T.); #258228=ORIENTED_EDGE('',*,*,#191846,.T.); #258229=ORIENTED_EDGE('',*,*,#191840,.T.); #258230=ORIENTED_EDGE('',*,*,#191843,.T.); #258231=ORIENTED_EDGE('',*,*,#191854,.F.); #258232=ORIENTED_EDGE('',*,*,#191844,.F.); #258233=ORIENTED_EDGE('',*,*,#191848,.F.); #258234=ORIENTED_EDGE('',*,*,#191851,.F.); #258235=ORIENTED_EDGE('',*,*,#191838,.T.); #258236=ORIENTED_EDGE('',*,*,#191841,.T.); #258237=ORIENTED_EDGE('',*,*,#191856,.T.); #258238=ORIENTED_EDGE('',*,*,#191857,.T.); #258239=ORIENTED_EDGE('',*,*,#191858,.F.); #258240=ORIENTED_EDGE('',*,*,#191859,.F.); #258241=ORIENTED_EDGE('',*,*,#191860,.T.); #258242=ORIENTED_EDGE('',*,*,#191859,.T.); #258243=ORIENTED_EDGE('',*,*,#191861,.F.); #258244=ORIENTED_EDGE('',*,*,#191862,.F.); #258245=ORIENTED_EDGE('',*,*,#191863,.T.); #258246=ORIENTED_EDGE('',*,*,#191862,.T.); #258247=ORIENTED_EDGE('',*,*,#191864,.F.); #258248=ORIENTED_EDGE('',*,*,#191865,.F.); #258249=ORIENTED_EDGE('',*,*,#191866,.T.); #258250=ORIENTED_EDGE('',*,*,#191865,.T.); #258251=ORIENTED_EDGE('',*,*,#191867,.F.); #258252=ORIENTED_EDGE('',*,*,#191857,.F.); #258253=ORIENTED_EDGE('',*,*,#191867,.T.); #258254=ORIENTED_EDGE('',*,*,#191864,.T.); #258255=ORIENTED_EDGE('',*,*,#191861,.T.); #258256=ORIENTED_EDGE('',*,*,#191858,.T.); #258257=ORIENTED_EDGE('',*,*,#191866,.F.); #258258=ORIENTED_EDGE('',*,*,#191856,.F.); #258259=ORIENTED_EDGE('',*,*,#191860,.F.); #258260=ORIENTED_EDGE('',*,*,#191863,.F.); #258261=ORIENTED_EDGE('',*,*,#191868,.F.); #258262=ORIENTED_EDGE('',*,*,#191869,.T.); #258263=ORIENTED_EDGE('',*,*,#191870,.F.); #258264=ORIENTED_EDGE('',*,*,#191869,.F.); #258265=ORIENTED_EDGE('',*,*,#191871,.F.); #258266=ORIENTED_EDGE('',*,*,#191872,.T.); #258267=ORIENTED_EDGE('',*,*,#191873,.F.); #258268=ORIENTED_EDGE('',*,*,#191872,.F.); #258269=ORIENTED_EDGE('',*,*,#191874,.T.); #258270=ORIENTED_EDGE('',*,*,#191875,.T.); #258271=ORIENTED_EDGE('',*,*,#191876,.F.); #258272=ORIENTED_EDGE('',*,*,#191877,.F.); #258273=ORIENTED_EDGE('',*,*,#191878,.T.); #258274=ORIENTED_EDGE('',*,*,#191877,.T.); #258275=ORIENTED_EDGE('',*,*,#191879,.F.); #258276=ORIENTED_EDGE('',*,*,#191880,.F.); #258277=ORIENTED_EDGE('',*,*,#191881,.T.); #258278=ORIENTED_EDGE('',*,*,#191880,.T.); #258279=ORIENTED_EDGE('',*,*,#191882,.F.); #258280=ORIENTED_EDGE('',*,*,#191883,.F.); #258281=ORIENTED_EDGE('',*,*,#191884,.T.); #258282=ORIENTED_EDGE('',*,*,#191883,.T.); #258283=ORIENTED_EDGE('',*,*,#191885,.F.); #258284=ORIENTED_EDGE('',*,*,#191875,.F.); #258285=ORIENTED_EDGE('',*,*,#191885,.T.); #258286=ORIENTED_EDGE('',*,*,#191882,.T.); #258287=ORIENTED_EDGE('',*,*,#191879,.T.); #258288=ORIENTED_EDGE('',*,*,#191876,.T.); #258289=ORIENTED_EDGE('',*,*,#191870,.T.); #258290=ORIENTED_EDGE('',*,*,#191873,.T.); #258291=ORIENTED_EDGE('',*,*,#191884,.F.); #258292=ORIENTED_EDGE('',*,*,#191874,.F.); #258293=ORIENTED_EDGE('',*,*,#191878,.F.); #258294=ORIENTED_EDGE('',*,*,#191881,.F.); #258295=ORIENTED_EDGE('',*,*,#191868,.T.); #258296=ORIENTED_EDGE('',*,*,#191871,.T.); #258297=ORIENTED_EDGE('',*,*,#191886,.T.); #258298=ORIENTED_EDGE('',*,*,#191887,.T.); #258299=ORIENTED_EDGE('',*,*,#191888,.F.); #258300=ORIENTED_EDGE('',*,*,#191889,.F.); #258301=ORIENTED_EDGE('',*,*,#191890,.T.); #258302=ORIENTED_EDGE('',*,*,#191889,.T.); #258303=ORIENTED_EDGE('',*,*,#191891,.F.); #258304=ORIENTED_EDGE('',*,*,#191892,.F.); #258305=ORIENTED_EDGE('',*,*,#191893,.T.); #258306=ORIENTED_EDGE('',*,*,#191892,.T.); #258307=ORIENTED_EDGE('',*,*,#191894,.F.); #258308=ORIENTED_EDGE('',*,*,#191895,.F.); #258309=ORIENTED_EDGE('',*,*,#191896,.T.); #258310=ORIENTED_EDGE('',*,*,#191895,.T.); #258311=ORIENTED_EDGE('',*,*,#191897,.F.); #258312=ORIENTED_EDGE('',*,*,#191887,.F.); #258313=ORIENTED_EDGE('',*,*,#191897,.T.); #258314=ORIENTED_EDGE('',*,*,#191894,.T.); #258315=ORIENTED_EDGE('',*,*,#191891,.T.); #258316=ORIENTED_EDGE('',*,*,#191888,.T.); #258317=ORIENTED_EDGE('',*,*,#191896,.F.); #258318=ORIENTED_EDGE('',*,*,#191886,.F.); #258319=ORIENTED_EDGE('',*,*,#191890,.F.); #258320=ORIENTED_EDGE('',*,*,#191893,.F.); #258321=ORIENTED_EDGE('',*,*,#191898,.T.); #258322=ORIENTED_EDGE('',*,*,#191899,.T.); #258323=ORIENTED_EDGE('',*,*,#191900,.F.); #258324=ORIENTED_EDGE('',*,*,#191901,.F.); #258325=ORIENTED_EDGE('',*,*,#191902,.T.); #258326=ORIENTED_EDGE('',*,*,#191901,.T.); #258327=ORIENTED_EDGE('',*,*,#191903,.F.); #258328=ORIENTED_EDGE('',*,*,#191904,.F.); #258329=ORIENTED_EDGE('',*,*,#191905,.T.); #258330=ORIENTED_EDGE('',*,*,#191904,.T.); #258331=ORIENTED_EDGE('',*,*,#191906,.F.); #258332=ORIENTED_EDGE('',*,*,#191907,.F.); #258333=ORIENTED_EDGE('',*,*,#191908,.T.); #258334=ORIENTED_EDGE('',*,*,#191907,.T.); #258335=ORIENTED_EDGE('',*,*,#191909,.F.); #258336=ORIENTED_EDGE('',*,*,#191910,.F.); #258337=ORIENTED_EDGE('',*,*,#191911,.T.); #258338=ORIENTED_EDGE('',*,*,#191910,.T.); #258339=ORIENTED_EDGE('',*,*,#191912,.F.); #258340=ORIENTED_EDGE('',*,*,#191913,.F.); #258341=ORIENTED_EDGE('',*,*,#191914,.T.); #258342=ORIENTED_EDGE('',*,*,#191913,.T.); #258343=ORIENTED_EDGE('',*,*,#191915,.F.); #258344=ORIENTED_EDGE('',*,*,#191916,.F.); #258345=ORIENTED_EDGE('',*,*,#191917,.T.); #258346=ORIENTED_EDGE('',*,*,#191916,.T.); #258347=ORIENTED_EDGE('',*,*,#191918,.F.); #258348=ORIENTED_EDGE('',*,*,#191919,.F.); #258349=ORIENTED_EDGE('',*,*,#191920,.T.); #258350=ORIENTED_EDGE('',*,*,#191919,.T.); #258351=ORIENTED_EDGE('',*,*,#191921,.F.); #258352=ORIENTED_EDGE('',*,*,#191922,.F.); #258353=ORIENTED_EDGE('',*,*,#191923,.T.); #258354=ORIENTED_EDGE('',*,*,#191922,.T.); #258355=ORIENTED_EDGE('',*,*,#191924,.F.); #258356=ORIENTED_EDGE('',*,*,#191925,.F.); #258357=ORIENTED_EDGE('',*,*,#191926,.T.); #258358=ORIENTED_EDGE('',*,*,#191925,.T.); #258359=ORIENTED_EDGE('',*,*,#191927,.F.); #258360=ORIENTED_EDGE('',*,*,#191928,.F.); #258361=ORIENTED_EDGE('',*,*,#191929,.T.); #258362=ORIENTED_EDGE('',*,*,#191928,.T.); #258363=ORIENTED_EDGE('',*,*,#191930,.F.); #258364=ORIENTED_EDGE('',*,*,#191931,.F.); #258365=ORIENTED_EDGE('',*,*,#191932,.T.); #258366=ORIENTED_EDGE('',*,*,#191931,.T.); #258367=ORIENTED_EDGE('',*,*,#191933,.F.); #258368=ORIENTED_EDGE('',*,*,#191934,.F.); #258369=ORIENTED_EDGE('',*,*,#191935,.T.); #258370=ORIENTED_EDGE('',*,*,#191934,.T.); #258371=ORIENTED_EDGE('',*,*,#191936,.F.); #258372=ORIENTED_EDGE('',*,*,#191937,.F.); #258373=ORIENTED_EDGE('',*,*,#191938,.T.); #258374=ORIENTED_EDGE('',*,*,#191937,.T.); #258375=ORIENTED_EDGE('',*,*,#191939,.F.); #258376=ORIENTED_EDGE('',*,*,#191940,.F.); #258377=ORIENTED_EDGE('',*,*,#191941,.T.); #258378=ORIENTED_EDGE('',*,*,#191940,.T.); #258379=ORIENTED_EDGE('',*,*,#191942,.F.); #258380=ORIENTED_EDGE('',*,*,#191943,.F.); #258381=ORIENTED_EDGE('',*,*,#191944,.T.); #258382=ORIENTED_EDGE('',*,*,#191943,.T.); #258383=ORIENTED_EDGE('',*,*,#191945,.F.); #258384=ORIENTED_EDGE('',*,*,#191946,.F.); #258385=ORIENTED_EDGE('',*,*,#191947,.T.); #258386=ORIENTED_EDGE('',*,*,#191946,.T.); #258387=ORIENTED_EDGE('',*,*,#191948,.F.); #258388=ORIENTED_EDGE('',*,*,#191949,.F.); #258389=ORIENTED_EDGE('',*,*,#191950,.T.); #258390=ORIENTED_EDGE('',*,*,#191949,.T.); #258391=ORIENTED_EDGE('',*,*,#191951,.F.); #258392=ORIENTED_EDGE('',*,*,#191952,.F.); #258393=ORIENTED_EDGE('',*,*,#191953,.T.); #258394=ORIENTED_EDGE('',*,*,#191952,.T.); #258395=ORIENTED_EDGE('',*,*,#191954,.F.); #258396=ORIENTED_EDGE('',*,*,#191955,.F.); #258397=ORIENTED_EDGE('',*,*,#191956,.T.); #258398=ORIENTED_EDGE('',*,*,#191955,.T.); #258399=ORIENTED_EDGE('',*,*,#191957,.F.); #258400=ORIENTED_EDGE('',*,*,#191958,.F.); #258401=ORIENTED_EDGE('',*,*,#191959,.T.); #258402=ORIENTED_EDGE('',*,*,#191958,.T.); #258403=ORIENTED_EDGE('',*,*,#191960,.F.); #258404=ORIENTED_EDGE('',*,*,#191961,.F.); #258405=ORIENTED_EDGE('',*,*,#191962,.T.); #258406=ORIENTED_EDGE('',*,*,#191961,.T.); #258407=ORIENTED_EDGE('',*,*,#191963,.F.); #258408=ORIENTED_EDGE('',*,*,#191964,.F.); #258409=ORIENTED_EDGE('',*,*,#191965,.T.); #258410=ORIENTED_EDGE('',*,*,#191964,.T.); #258411=ORIENTED_EDGE('',*,*,#191966,.F.); #258412=ORIENTED_EDGE('',*,*,#191967,.F.); #258413=ORIENTED_EDGE('',*,*,#191968,.T.); #258414=ORIENTED_EDGE('',*,*,#191967,.T.); #258415=ORIENTED_EDGE('',*,*,#191969,.F.); #258416=ORIENTED_EDGE('',*,*,#191970,.F.); #258417=ORIENTED_EDGE('',*,*,#191971,.T.); #258418=ORIENTED_EDGE('',*,*,#191970,.T.); #258419=ORIENTED_EDGE('',*,*,#191972,.F.); #258420=ORIENTED_EDGE('',*,*,#191973,.F.); #258421=ORIENTED_EDGE('',*,*,#191974,.T.); #258422=ORIENTED_EDGE('',*,*,#191973,.T.); #258423=ORIENTED_EDGE('',*,*,#191975,.F.); #258424=ORIENTED_EDGE('',*,*,#191976,.F.); #258425=ORIENTED_EDGE('',*,*,#191977,.T.); #258426=ORIENTED_EDGE('',*,*,#191976,.T.); #258427=ORIENTED_EDGE('',*,*,#191978,.F.); #258428=ORIENTED_EDGE('',*,*,#191979,.F.); #258429=ORIENTED_EDGE('',*,*,#191980,.T.); #258430=ORIENTED_EDGE('',*,*,#191979,.T.); #258431=ORIENTED_EDGE('',*,*,#191981,.F.); #258432=ORIENTED_EDGE('',*,*,#191982,.F.); #258433=ORIENTED_EDGE('',*,*,#191983,.T.); #258434=ORIENTED_EDGE('',*,*,#191982,.T.); #258435=ORIENTED_EDGE('',*,*,#191984,.F.); #258436=ORIENTED_EDGE('',*,*,#191985,.F.); #258437=ORIENTED_EDGE('',*,*,#191986,.T.); #258438=ORIENTED_EDGE('',*,*,#191985,.T.); #258439=ORIENTED_EDGE('',*,*,#191987,.F.); #258440=ORIENTED_EDGE('',*,*,#191988,.F.); #258441=ORIENTED_EDGE('',*,*,#191989,.T.); #258442=ORIENTED_EDGE('',*,*,#191988,.T.); #258443=ORIENTED_EDGE('',*,*,#191990,.F.); #258444=ORIENTED_EDGE('',*,*,#191991,.F.); #258445=ORIENTED_EDGE('',*,*,#191992,.T.); #258446=ORIENTED_EDGE('',*,*,#191991,.T.); #258447=ORIENTED_EDGE('',*,*,#191993,.F.); #258448=ORIENTED_EDGE('',*,*,#191994,.F.); #258449=ORIENTED_EDGE('',*,*,#191995,.T.); #258450=ORIENTED_EDGE('',*,*,#191994,.T.); #258451=ORIENTED_EDGE('',*,*,#191996,.F.); #258452=ORIENTED_EDGE('',*,*,#191997,.F.); #258453=ORIENTED_EDGE('',*,*,#191998,.T.); #258454=ORIENTED_EDGE('',*,*,#191997,.T.); #258455=ORIENTED_EDGE('',*,*,#191999,.F.); #258456=ORIENTED_EDGE('',*,*,#192000,.F.); #258457=ORIENTED_EDGE('',*,*,#192001,.T.); #258458=ORIENTED_EDGE('',*,*,#192000,.T.); #258459=ORIENTED_EDGE('',*,*,#192002,.F.); #258460=ORIENTED_EDGE('',*,*,#192003,.F.); #258461=ORIENTED_EDGE('',*,*,#192004,.T.); #258462=ORIENTED_EDGE('',*,*,#192003,.T.); #258463=ORIENTED_EDGE('',*,*,#192005,.F.); #258464=ORIENTED_EDGE('',*,*,#192006,.F.); #258465=ORIENTED_EDGE('',*,*,#192007,.T.); #258466=ORIENTED_EDGE('',*,*,#192006,.T.); #258467=ORIENTED_EDGE('',*,*,#192008,.F.); #258468=ORIENTED_EDGE('',*,*,#192009,.F.); #258469=ORIENTED_EDGE('',*,*,#192010,.T.); #258470=ORIENTED_EDGE('',*,*,#192009,.T.); #258471=ORIENTED_EDGE('',*,*,#192011,.F.); #258472=ORIENTED_EDGE('',*,*,#192012,.F.); #258473=ORIENTED_EDGE('',*,*,#192013,.T.); #258474=ORIENTED_EDGE('',*,*,#192012,.T.); #258475=ORIENTED_EDGE('',*,*,#192014,.F.); #258476=ORIENTED_EDGE('',*,*,#192015,.F.); #258477=ORIENTED_EDGE('',*,*,#192016,.T.); #258478=ORIENTED_EDGE('',*,*,#192015,.T.); #258479=ORIENTED_EDGE('',*,*,#192017,.F.); #258480=ORIENTED_EDGE('',*,*,#192018,.F.); #258481=ORIENTED_EDGE('',*,*,#192019,.T.); #258482=ORIENTED_EDGE('',*,*,#192018,.T.); #258483=ORIENTED_EDGE('',*,*,#192020,.F.); #258484=ORIENTED_EDGE('',*,*,#192021,.F.); #258485=ORIENTED_EDGE('',*,*,#192022,.T.); #258486=ORIENTED_EDGE('',*,*,#192021,.T.); #258487=ORIENTED_EDGE('',*,*,#192023,.F.); #258488=ORIENTED_EDGE('',*,*,#192024,.F.); #258489=ORIENTED_EDGE('',*,*,#192025,.T.); #258490=ORIENTED_EDGE('',*,*,#192024,.T.); #258491=ORIENTED_EDGE('',*,*,#192026,.F.); #258492=ORIENTED_EDGE('',*,*,#192027,.F.); #258493=ORIENTED_EDGE('',*,*,#192028,.T.); #258494=ORIENTED_EDGE('',*,*,#192027,.T.); #258495=ORIENTED_EDGE('',*,*,#192029,.F.); #258496=ORIENTED_EDGE('',*,*,#192030,.F.); #258497=ORIENTED_EDGE('',*,*,#192031,.T.); #258498=ORIENTED_EDGE('',*,*,#192030,.T.); #258499=ORIENTED_EDGE('',*,*,#192032,.F.); #258500=ORIENTED_EDGE('',*,*,#192033,.F.); #258501=ORIENTED_EDGE('',*,*,#192034,.T.); #258502=ORIENTED_EDGE('',*,*,#192033,.T.); #258503=ORIENTED_EDGE('',*,*,#192035,.F.); #258504=ORIENTED_EDGE('',*,*,#192036,.F.); #258505=ORIENTED_EDGE('',*,*,#192037,.T.); #258506=ORIENTED_EDGE('',*,*,#192036,.T.); #258507=ORIENTED_EDGE('',*,*,#192038,.F.); #258508=ORIENTED_EDGE('',*,*,#192039,.F.); #258509=ORIENTED_EDGE('',*,*,#192040,.T.); #258510=ORIENTED_EDGE('',*,*,#192039,.T.); #258511=ORIENTED_EDGE('',*,*,#192041,.F.); #258512=ORIENTED_EDGE('',*,*,#192042,.F.); #258513=ORIENTED_EDGE('',*,*,#192043,.T.); #258514=ORIENTED_EDGE('',*,*,#192042,.T.); #258515=ORIENTED_EDGE('',*,*,#192044,.F.); #258516=ORIENTED_EDGE('',*,*,#192045,.F.); #258517=ORIENTED_EDGE('',*,*,#192046,.T.); #258518=ORIENTED_EDGE('',*,*,#192045,.T.); #258519=ORIENTED_EDGE('',*,*,#192047,.F.); #258520=ORIENTED_EDGE('',*,*,#192048,.F.); #258521=ORIENTED_EDGE('',*,*,#192049,.T.); #258522=ORIENTED_EDGE('',*,*,#192048,.T.); #258523=ORIENTED_EDGE('',*,*,#192050,.F.); #258524=ORIENTED_EDGE('',*,*,#192051,.F.); #258525=ORIENTED_EDGE('',*,*,#192052,.T.); #258526=ORIENTED_EDGE('',*,*,#192051,.T.); #258527=ORIENTED_EDGE('',*,*,#192053,.F.); #258528=ORIENTED_EDGE('',*,*,#192054,.F.); #258529=ORIENTED_EDGE('',*,*,#192055,.T.); #258530=ORIENTED_EDGE('',*,*,#192054,.T.); #258531=ORIENTED_EDGE('',*,*,#192056,.F.); #258532=ORIENTED_EDGE('',*,*,#192057,.F.); #258533=ORIENTED_EDGE('',*,*,#192058,.T.); #258534=ORIENTED_EDGE('',*,*,#192057,.T.); #258535=ORIENTED_EDGE('',*,*,#192059,.F.); #258536=ORIENTED_EDGE('',*,*,#192060,.F.); #258537=ORIENTED_EDGE('',*,*,#192061,.T.); #258538=ORIENTED_EDGE('',*,*,#192060,.T.); #258539=ORIENTED_EDGE('',*,*,#192062,.F.); #258540=ORIENTED_EDGE('',*,*,#192063,.F.); #258541=ORIENTED_EDGE('',*,*,#192064,.T.); #258542=ORIENTED_EDGE('',*,*,#192063,.T.); #258543=ORIENTED_EDGE('',*,*,#192065,.F.); #258544=ORIENTED_EDGE('',*,*,#192066,.F.); #258545=ORIENTED_EDGE('',*,*,#192067,.T.); #258546=ORIENTED_EDGE('',*,*,#192066,.T.); #258547=ORIENTED_EDGE('',*,*,#192068,.F.); #258548=ORIENTED_EDGE('',*,*,#192069,.F.); #258549=ORIENTED_EDGE('',*,*,#192070,.T.); #258550=ORIENTED_EDGE('',*,*,#192069,.T.); #258551=ORIENTED_EDGE('',*,*,#192071,.F.); #258552=ORIENTED_EDGE('',*,*,#191899,.F.); #258553=ORIENTED_EDGE('',*,*,#192071,.T.); #258554=ORIENTED_EDGE('',*,*,#192068,.T.); #258555=ORIENTED_EDGE('',*,*,#192065,.T.); #258556=ORIENTED_EDGE('',*,*,#192062,.T.); #258557=ORIENTED_EDGE('',*,*,#192059,.T.); #258558=ORIENTED_EDGE('',*,*,#192056,.T.); #258559=ORIENTED_EDGE('',*,*,#192053,.T.); #258560=ORIENTED_EDGE('',*,*,#192050,.T.); #258561=ORIENTED_EDGE('',*,*,#192047,.T.); #258562=ORIENTED_EDGE('',*,*,#192044,.T.); #258563=ORIENTED_EDGE('',*,*,#192041,.T.); #258564=ORIENTED_EDGE('',*,*,#192038,.T.); #258565=ORIENTED_EDGE('',*,*,#192035,.T.); #258566=ORIENTED_EDGE('',*,*,#192032,.T.); #258567=ORIENTED_EDGE('',*,*,#192029,.T.); #258568=ORIENTED_EDGE('',*,*,#192026,.T.); #258569=ORIENTED_EDGE('',*,*,#192023,.T.); #258570=ORIENTED_EDGE('',*,*,#192020,.T.); #258571=ORIENTED_EDGE('',*,*,#192017,.T.); #258572=ORIENTED_EDGE('',*,*,#192014,.T.); #258573=ORIENTED_EDGE('',*,*,#192011,.T.); #258574=ORIENTED_EDGE('',*,*,#192008,.T.); #258575=ORIENTED_EDGE('',*,*,#192005,.T.); #258576=ORIENTED_EDGE('',*,*,#192002,.T.); #258577=ORIENTED_EDGE('',*,*,#191999,.T.); #258578=ORIENTED_EDGE('',*,*,#191996,.T.); #258579=ORIENTED_EDGE('',*,*,#191993,.T.); #258580=ORIENTED_EDGE('',*,*,#191990,.T.); #258581=ORIENTED_EDGE('',*,*,#191987,.T.); #258582=ORIENTED_EDGE('',*,*,#191984,.T.); #258583=ORIENTED_EDGE('',*,*,#191981,.T.); #258584=ORIENTED_EDGE('',*,*,#191978,.T.); #258585=ORIENTED_EDGE('',*,*,#191975,.T.); #258586=ORIENTED_EDGE('',*,*,#191972,.T.); #258587=ORIENTED_EDGE('',*,*,#191969,.T.); #258588=ORIENTED_EDGE('',*,*,#191966,.T.); #258589=ORIENTED_EDGE('',*,*,#191963,.T.); #258590=ORIENTED_EDGE('',*,*,#191960,.T.); #258591=ORIENTED_EDGE('',*,*,#191957,.T.); #258592=ORIENTED_EDGE('',*,*,#191954,.T.); #258593=ORIENTED_EDGE('',*,*,#191951,.T.); #258594=ORIENTED_EDGE('',*,*,#191948,.T.); #258595=ORIENTED_EDGE('',*,*,#191945,.T.); #258596=ORIENTED_EDGE('',*,*,#191942,.T.); #258597=ORIENTED_EDGE('',*,*,#191939,.T.); #258598=ORIENTED_EDGE('',*,*,#191936,.T.); #258599=ORIENTED_EDGE('',*,*,#191933,.T.); #258600=ORIENTED_EDGE('',*,*,#191930,.T.); #258601=ORIENTED_EDGE('',*,*,#191927,.T.); #258602=ORIENTED_EDGE('',*,*,#191924,.T.); #258603=ORIENTED_EDGE('',*,*,#191921,.T.); #258604=ORIENTED_EDGE('',*,*,#191918,.T.); #258605=ORIENTED_EDGE('',*,*,#191915,.T.); #258606=ORIENTED_EDGE('',*,*,#191912,.T.); #258607=ORIENTED_EDGE('',*,*,#191909,.T.); #258608=ORIENTED_EDGE('',*,*,#191906,.T.); #258609=ORIENTED_EDGE('',*,*,#191903,.T.); #258610=ORIENTED_EDGE('',*,*,#191900,.T.); #258611=ORIENTED_EDGE('',*,*,#192070,.F.); #258612=ORIENTED_EDGE('',*,*,#191898,.F.); #258613=ORIENTED_EDGE('',*,*,#191902,.F.); #258614=ORIENTED_EDGE('',*,*,#191905,.F.); #258615=ORIENTED_EDGE('',*,*,#191908,.F.); #258616=ORIENTED_EDGE('',*,*,#191911,.F.); #258617=ORIENTED_EDGE('',*,*,#191914,.F.); #258618=ORIENTED_EDGE('',*,*,#191917,.F.); #258619=ORIENTED_EDGE('',*,*,#191920,.F.); #258620=ORIENTED_EDGE('',*,*,#191923,.F.); #258621=ORIENTED_EDGE('',*,*,#191926,.F.); #258622=ORIENTED_EDGE('',*,*,#191929,.F.); #258623=ORIENTED_EDGE('',*,*,#191932,.F.); #258624=ORIENTED_EDGE('',*,*,#191935,.F.); #258625=ORIENTED_EDGE('',*,*,#191938,.F.); #258626=ORIENTED_EDGE('',*,*,#191941,.F.); #258627=ORIENTED_EDGE('',*,*,#191944,.F.); #258628=ORIENTED_EDGE('',*,*,#191947,.F.); #258629=ORIENTED_EDGE('',*,*,#191950,.F.); #258630=ORIENTED_EDGE('',*,*,#191953,.F.); #258631=ORIENTED_EDGE('',*,*,#191956,.F.); #258632=ORIENTED_EDGE('',*,*,#191959,.F.); #258633=ORIENTED_EDGE('',*,*,#191962,.F.); #258634=ORIENTED_EDGE('',*,*,#191965,.F.); #258635=ORIENTED_EDGE('',*,*,#191968,.F.); #258636=ORIENTED_EDGE('',*,*,#191971,.F.); #258637=ORIENTED_EDGE('',*,*,#191974,.F.); #258638=ORIENTED_EDGE('',*,*,#191977,.F.); #258639=ORIENTED_EDGE('',*,*,#191980,.F.); #258640=ORIENTED_EDGE('',*,*,#191983,.F.); #258641=ORIENTED_EDGE('',*,*,#191986,.F.); #258642=ORIENTED_EDGE('',*,*,#191989,.F.); #258643=ORIENTED_EDGE('',*,*,#191992,.F.); #258644=ORIENTED_EDGE('',*,*,#191995,.F.); #258645=ORIENTED_EDGE('',*,*,#191998,.F.); #258646=ORIENTED_EDGE('',*,*,#192001,.F.); #258647=ORIENTED_EDGE('',*,*,#192004,.F.); #258648=ORIENTED_EDGE('',*,*,#192007,.F.); #258649=ORIENTED_EDGE('',*,*,#192010,.F.); #258650=ORIENTED_EDGE('',*,*,#192013,.F.); #258651=ORIENTED_EDGE('',*,*,#192016,.F.); #258652=ORIENTED_EDGE('',*,*,#192019,.F.); #258653=ORIENTED_EDGE('',*,*,#192022,.F.); #258654=ORIENTED_EDGE('',*,*,#192025,.F.); #258655=ORIENTED_EDGE('',*,*,#192028,.F.); #258656=ORIENTED_EDGE('',*,*,#192031,.F.); #258657=ORIENTED_EDGE('',*,*,#192034,.F.); #258658=ORIENTED_EDGE('',*,*,#192037,.F.); #258659=ORIENTED_EDGE('',*,*,#192040,.F.); #258660=ORIENTED_EDGE('',*,*,#192043,.F.); #258661=ORIENTED_EDGE('',*,*,#192046,.F.); #258662=ORIENTED_EDGE('',*,*,#192049,.F.); #258663=ORIENTED_EDGE('',*,*,#192052,.F.); #258664=ORIENTED_EDGE('',*,*,#192055,.F.); #258665=ORIENTED_EDGE('',*,*,#192058,.F.); #258666=ORIENTED_EDGE('',*,*,#192061,.F.); #258667=ORIENTED_EDGE('',*,*,#192064,.F.); #258668=ORIENTED_EDGE('',*,*,#192067,.F.); #258669=ORIENTED_EDGE('',*,*,#192072,.F.); #258670=ORIENTED_EDGE('',*,*,#192073,.T.); #258671=ORIENTED_EDGE('',*,*,#192074,.F.); #258672=ORIENTED_EDGE('',*,*,#192073,.F.); #258673=ORIENTED_EDGE('',*,*,#192075,.F.); #258674=ORIENTED_EDGE('',*,*,#192076,.T.); #258675=ORIENTED_EDGE('',*,*,#192077,.F.); #258676=ORIENTED_EDGE('',*,*,#192076,.F.); #258677=ORIENTED_EDGE('',*,*,#192078,.T.); #258678=ORIENTED_EDGE('',*,*,#192079,.T.); #258679=ORIENTED_EDGE('',*,*,#192080,.F.); #258680=ORIENTED_EDGE('',*,*,#192081,.F.); #258681=ORIENTED_EDGE('',*,*,#192082,.T.); #258682=ORIENTED_EDGE('',*,*,#192081,.T.); #258683=ORIENTED_EDGE('',*,*,#192083,.F.); #258684=ORIENTED_EDGE('',*,*,#192084,.F.); #258685=ORIENTED_EDGE('',*,*,#192085,.T.); #258686=ORIENTED_EDGE('',*,*,#192084,.T.); #258687=ORIENTED_EDGE('',*,*,#192086,.F.); #258688=ORIENTED_EDGE('',*,*,#192087,.F.); #258689=ORIENTED_EDGE('',*,*,#192088,.T.); #258690=ORIENTED_EDGE('',*,*,#192087,.T.); #258691=ORIENTED_EDGE('',*,*,#192089,.F.); #258692=ORIENTED_EDGE('',*,*,#192090,.F.); #258693=ORIENTED_EDGE('',*,*,#192091,.T.); #258694=ORIENTED_EDGE('',*,*,#192090,.T.); #258695=ORIENTED_EDGE('',*,*,#192092,.F.); #258696=ORIENTED_EDGE('',*,*,#192093,.F.); #258697=ORIENTED_EDGE('',*,*,#192094,.T.); #258698=ORIENTED_EDGE('',*,*,#192093,.T.); #258699=ORIENTED_EDGE('',*,*,#192095,.F.); #258700=ORIENTED_EDGE('',*,*,#192096,.F.); #258701=ORIENTED_EDGE('',*,*,#192097,.T.); #258702=ORIENTED_EDGE('',*,*,#192096,.T.); #258703=ORIENTED_EDGE('',*,*,#192098,.F.); #258704=ORIENTED_EDGE('',*,*,#192099,.F.); #258705=ORIENTED_EDGE('',*,*,#192100,.T.); #258706=ORIENTED_EDGE('',*,*,#192099,.T.); #258707=ORIENTED_EDGE('',*,*,#192101,.F.); #258708=ORIENTED_EDGE('',*,*,#192079,.F.); #258709=ORIENTED_EDGE('',*,*,#192101,.T.); #258710=ORIENTED_EDGE('',*,*,#192098,.T.); #258711=ORIENTED_EDGE('',*,*,#192095,.T.); #258712=ORIENTED_EDGE('',*,*,#192092,.T.); #258713=ORIENTED_EDGE('',*,*,#192089,.T.); #258714=ORIENTED_EDGE('',*,*,#192086,.T.); #258715=ORIENTED_EDGE('',*,*,#192083,.T.); #258716=ORIENTED_EDGE('',*,*,#192080,.T.); #258717=ORIENTED_EDGE('',*,*,#192074,.T.); #258718=ORIENTED_EDGE('',*,*,#192077,.T.); #258719=ORIENTED_EDGE('',*,*,#192100,.F.); #258720=ORIENTED_EDGE('',*,*,#192078,.F.); #258721=ORIENTED_EDGE('',*,*,#192082,.F.); #258722=ORIENTED_EDGE('',*,*,#192085,.F.); #258723=ORIENTED_EDGE('',*,*,#192088,.F.); #258724=ORIENTED_EDGE('',*,*,#192091,.F.); #258725=ORIENTED_EDGE('',*,*,#192094,.F.); #258726=ORIENTED_EDGE('',*,*,#192097,.F.); #258727=ORIENTED_EDGE('',*,*,#192072,.T.); #258728=ORIENTED_EDGE('',*,*,#192075,.T.); #258729=ORIENTED_EDGE('',*,*,#192102,.F.); #258730=ORIENTED_EDGE('',*,*,#192103,.T.); #258731=ORIENTED_EDGE('',*,*,#192104,.F.); #258732=ORIENTED_EDGE('',*,*,#192103,.F.); #258733=ORIENTED_EDGE('',*,*,#192105,.T.); #258734=ORIENTED_EDGE('',*,*,#192106,.T.); #258735=ORIENTED_EDGE('',*,*,#192107,.F.); #258736=ORIENTED_EDGE('',*,*,#192108,.F.); #258737=ORIENTED_EDGE('',*,*,#192109,.T.); #258738=ORIENTED_EDGE('',*,*,#192108,.T.); #258739=ORIENTED_EDGE('',*,*,#192110,.F.); #258740=ORIENTED_EDGE('',*,*,#192111,.F.); #258741=ORIENTED_EDGE('',*,*,#192112,.T.); #258742=ORIENTED_EDGE('',*,*,#192111,.T.); #258743=ORIENTED_EDGE('',*,*,#192113,.F.); #258744=ORIENTED_EDGE('',*,*,#192114,.F.); #258745=ORIENTED_EDGE('',*,*,#192115,.T.); #258746=ORIENTED_EDGE('',*,*,#192114,.T.); #258747=ORIENTED_EDGE('',*,*,#192116,.F.); #258748=ORIENTED_EDGE('',*,*,#192117,.F.); #258749=ORIENTED_EDGE('',*,*,#192118,.T.); #258750=ORIENTED_EDGE('',*,*,#192117,.T.); #258751=ORIENTED_EDGE('',*,*,#192119,.F.); #258752=ORIENTED_EDGE('',*,*,#192120,.F.); #258753=ORIENTED_EDGE('',*,*,#192121,.T.); #258754=ORIENTED_EDGE('',*,*,#192120,.T.); #258755=ORIENTED_EDGE('',*,*,#192122,.F.); #258756=ORIENTED_EDGE('',*,*,#192123,.F.); #258757=ORIENTED_EDGE('',*,*,#192124,.T.); #258758=ORIENTED_EDGE('',*,*,#192123,.T.); #258759=ORIENTED_EDGE('',*,*,#192125,.F.); #258760=ORIENTED_EDGE('',*,*,#192126,.F.); #258761=ORIENTED_EDGE('',*,*,#192127,.T.); #258762=ORIENTED_EDGE('',*,*,#192126,.T.); #258763=ORIENTED_EDGE('',*,*,#192128,.F.); #258764=ORIENTED_EDGE('',*,*,#192129,.F.); #258765=ORIENTED_EDGE('',*,*,#192130,.T.); #258766=ORIENTED_EDGE('',*,*,#192129,.T.); #258767=ORIENTED_EDGE('',*,*,#192131,.F.); #258768=ORIENTED_EDGE('',*,*,#192132,.F.); #258769=ORIENTED_EDGE('',*,*,#192133,.T.); #258770=ORIENTED_EDGE('',*,*,#192132,.T.); #258771=ORIENTED_EDGE('',*,*,#192134,.F.); #258772=ORIENTED_EDGE('',*,*,#192106,.F.); #258773=ORIENTED_EDGE('',*,*,#192134,.T.); #258774=ORIENTED_EDGE('',*,*,#192131,.T.); #258775=ORIENTED_EDGE('',*,*,#192128,.T.); #258776=ORIENTED_EDGE('',*,*,#192125,.T.); #258777=ORIENTED_EDGE('',*,*,#192122,.T.); #258778=ORIENTED_EDGE('',*,*,#192119,.T.); #258779=ORIENTED_EDGE('',*,*,#192116,.T.); #258780=ORIENTED_EDGE('',*,*,#192113,.T.); #258781=ORIENTED_EDGE('',*,*,#192110,.T.); #258782=ORIENTED_EDGE('',*,*,#192107,.T.); #258783=ORIENTED_EDGE('',*,*,#192104,.T.); #258784=ORIENTED_EDGE('',*,*,#192133,.F.); #258785=ORIENTED_EDGE('',*,*,#192105,.F.); #258786=ORIENTED_EDGE('',*,*,#192109,.F.); #258787=ORIENTED_EDGE('',*,*,#192112,.F.); #258788=ORIENTED_EDGE('',*,*,#192115,.F.); #258789=ORIENTED_EDGE('',*,*,#192118,.F.); #258790=ORIENTED_EDGE('',*,*,#192121,.F.); #258791=ORIENTED_EDGE('',*,*,#192124,.F.); #258792=ORIENTED_EDGE('',*,*,#192127,.F.); #258793=ORIENTED_EDGE('',*,*,#192130,.F.); #258794=ORIENTED_EDGE('',*,*,#192102,.T.); #258795=ORIENTED_EDGE('',*,*,#192135,.F.); #258796=ORIENTED_EDGE('',*,*,#192136,.T.); #258797=ORIENTED_EDGE('',*,*,#192137,.F.); #258798=ORIENTED_EDGE('',*,*,#192136,.F.); #258799=ORIENTED_EDGE('',*,*,#192138,.T.); #258800=ORIENTED_EDGE('',*,*,#192139,.T.); #258801=ORIENTED_EDGE('',*,*,#192140,.F.); #258802=ORIENTED_EDGE('',*,*,#192141,.F.); #258803=ORIENTED_EDGE('',*,*,#192142,.T.); #258804=ORIENTED_EDGE('',*,*,#192141,.T.); #258805=ORIENTED_EDGE('',*,*,#192143,.F.); #258806=ORIENTED_EDGE('',*,*,#192144,.F.); #258807=ORIENTED_EDGE('',*,*,#192145,.T.); #258808=ORIENTED_EDGE('',*,*,#192144,.T.); #258809=ORIENTED_EDGE('',*,*,#192146,.F.); #258810=ORIENTED_EDGE('',*,*,#192147,.F.); #258811=ORIENTED_EDGE('',*,*,#192148,.T.); #258812=ORIENTED_EDGE('',*,*,#192147,.T.); #258813=ORIENTED_EDGE('',*,*,#192149,.F.); #258814=ORIENTED_EDGE('',*,*,#192150,.F.); #258815=ORIENTED_EDGE('',*,*,#192151,.T.); #258816=ORIENTED_EDGE('',*,*,#192150,.T.); #258817=ORIENTED_EDGE('',*,*,#192152,.F.); #258818=ORIENTED_EDGE('',*,*,#192153,.F.); #258819=ORIENTED_EDGE('',*,*,#192154,.T.); #258820=ORIENTED_EDGE('',*,*,#192153,.T.); #258821=ORIENTED_EDGE('',*,*,#192155,.F.); #258822=ORIENTED_EDGE('',*,*,#192156,.F.); #258823=ORIENTED_EDGE('',*,*,#192157,.T.); #258824=ORIENTED_EDGE('',*,*,#192156,.T.); #258825=ORIENTED_EDGE('',*,*,#192158,.F.); #258826=ORIENTED_EDGE('',*,*,#192159,.F.); #258827=ORIENTED_EDGE('',*,*,#192160,.T.); #258828=ORIENTED_EDGE('',*,*,#192159,.T.); #258829=ORIENTED_EDGE('',*,*,#192161,.F.); #258830=ORIENTED_EDGE('',*,*,#192162,.F.); #258831=ORIENTED_EDGE('',*,*,#192163,.T.); #258832=ORIENTED_EDGE('',*,*,#192162,.T.); #258833=ORIENTED_EDGE('',*,*,#192164,.F.); #258834=ORIENTED_EDGE('',*,*,#192165,.F.); #258835=ORIENTED_EDGE('',*,*,#192166,.T.); #258836=ORIENTED_EDGE('',*,*,#192165,.T.); #258837=ORIENTED_EDGE('',*,*,#192167,.F.); #258838=ORIENTED_EDGE('',*,*,#192168,.F.); #258839=ORIENTED_EDGE('',*,*,#192169,.T.); #258840=ORIENTED_EDGE('',*,*,#192168,.T.); #258841=ORIENTED_EDGE('',*,*,#192170,.F.); #258842=ORIENTED_EDGE('',*,*,#192171,.F.); #258843=ORIENTED_EDGE('',*,*,#192172,.T.); #258844=ORIENTED_EDGE('',*,*,#192171,.T.); #258845=ORIENTED_EDGE('',*,*,#192173,.F.); #258846=ORIENTED_EDGE('',*,*,#192174,.F.); #258847=ORIENTED_EDGE('',*,*,#192175,.T.); #258848=ORIENTED_EDGE('',*,*,#192174,.T.); #258849=ORIENTED_EDGE('',*,*,#192176,.F.); #258850=ORIENTED_EDGE('',*,*,#192139,.F.); #258851=ORIENTED_EDGE('',*,*,#192176,.T.); #258852=ORIENTED_EDGE('',*,*,#192173,.T.); #258853=ORIENTED_EDGE('',*,*,#192170,.T.); #258854=ORIENTED_EDGE('',*,*,#192167,.T.); #258855=ORIENTED_EDGE('',*,*,#192164,.T.); #258856=ORIENTED_EDGE('',*,*,#192161,.T.); #258857=ORIENTED_EDGE('',*,*,#192158,.T.); #258858=ORIENTED_EDGE('',*,*,#192155,.T.); #258859=ORIENTED_EDGE('',*,*,#192152,.T.); #258860=ORIENTED_EDGE('',*,*,#192149,.T.); #258861=ORIENTED_EDGE('',*,*,#192146,.T.); #258862=ORIENTED_EDGE('',*,*,#192143,.T.); #258863=ORIENTED_EDGE('',*,*,#192140,.T.); #258864=ORIENTED_EDGE('',*,*,#192137,.T.); #258865=ORIENTED_EDGE('',*,*,#192175,.F.); #258866=ORIENTED_EDGE('',*,*,#192138,.F.); #258867=ORIENTED_EDGE('',*,*,#192142,.F.); #258868=ORIENTED_EDGE('',*,*,#192145,.F.); #258869=ORIENTED_EDGE('',*,*,#192148,.F.); #258870=ORIENTED_EDGE('',*,*,#192151,.F.); #258871=ORIENTED_EDGE('',*,*,#192154,.F.); #258872=ORIENTED_EDGE('',*,*,#192157,.F.); #258873=ORIENTED_EDGE('',*,*,#192160,.F.); #258874=ORIENTED_EDGE('',*,*,#192163,.F.); #258875=ORIENTED_EDGE('',*,*,#192166,.F.); #258876=ORIENTED_EDGE('',*,*,#192169,.F.); #258877=ORIENTED_EDGE('',*,*,#192172,.F.); #258878=ORIENTED_EDGE('',*,*,#192135,.T.); #258879=ORIENTED_EDGE('',*,*,#192177,.F.); #258880=ORIENTED_EDGE('',*,*,#192178,.T.); #258881=ORIENTED_EDGE('',*,*,#192179,.F.); #258882=ORIENTED_EDGE('',*,*,#192178,.F.); #258883=ORIENTED_EDGE('',*,*,#192180,.F.); #258884=ORIENTED_EDGE('',*,*,#192181,.T.); #258885=ORIENTED_EDGE('',*,*,#192182,.F.); #258886=ORIENTED_EDGE('',*,*,#192181,.F.); #258887=ORIENTED_EDGE('',*,*,#192183,.T.); #258888=ORIENTED_EDGE('',*,*,#192184,.T.); #258889=ORIENTED_EDGE('',*,*,#192185,.F.); #258890=ORIENTED_EDGE('',*,*,#192186,.F.); #258891=ORIENTED_EDGE('',*,*,#192187,.T.); #258892=ORIENTED_EDGE('',*,*,#192186,.T.); #258893=ORIENTED_EDGE('',*,*,#192188,.F.); #258894=ORIENTED_EDGE('',*,*,#192189,.F.); #258895=ORIENTED_EDGE('',*,*,#192190,.T.); #258896=ORIENTED_EDGE('',*,*,#192189,.T.); #258897=ORIENTED_EDGE('',*,*,#192191,.F.); #258898=ORIENTED_EDGE('',*,*,#192192,.F.); #258899=ORIENTED_EDGE('',*,*,#192193,.T.); #258900=ORIENTED_EDGE('',*,*,#192192,.T.); #258901=ORIENTED_EDGE('',*,*,#192194,.F.); #258902=ORIENTED_EDGE('',*,*,#192195,.F.); #258903=ORIENTED_EDGE('',*,*,#192196,.T.); #258904=ORIENTED_EDGE('',*,*,#192195,.T.); #258905=ORIENTED_EDGE('',*,*,#192197,.F.); #258906=ORIENTED_EDGE('',*,*,#192198,.F.); #258907=ORIENTED_EDGE('',*,*,#192199,.T.); #258908=ORIENTED_EDGE('',*,*,#192198,.T.); #258909=ORIENTED_EDGE('',*,*,#192200,.F.); #258910=ORIENTED_EDGE('',*,*,#192201,.F.); #258911=ORIENTED_EDGE('',*,*,#192202,.T.); #258912=ORIENTED_EDGE('',*,*,#192201,.T.); #258913=ORIENTED_EDGE('',*,*,#192203,.F.); #258914=ORIENTED_EDGE('',*,*,#192204,.F.); #258915=ORIENTED_EDGE('',*,*,#192205,.T.); #258916=ORIENTED_EDGE('',*,*,#192204,.T.); #258917=ORIENTED_EDGE('',*,*,#192206,.F.); #258918=ORIENTED_EDGE('',*,*,#192207,.F.); #258919=ORIENTED_EDGE('',*,*,#192208,.T.); #258920=ORIENTED_EDGE('',*,*,#192207,.T.); #258921=ORIENTED_EDGE('',*,*,#192209,.F.); #258922=ORIENTED_EDGE('',*,*,#192210,.F.); #258923=ORIENTED_EDGE('',*,*,#192211,.T.); #258924=ORIENTED_EDGE('',*,*,#192210,.T.); #258925=ORIENTED_EDGE('',*,*,#192212,.F.); #258926=ORIENTED_EDGE('',*,*,#192213,.F.); #258927=ORIENTED_EDGE('',*,*,#192214,.T.); #258928=ORIENTED_EDGE('',*,*,#192213,.T.); #258929=ORIENTED_EDGE('',*,*,#192215,.F.); #258930=ORIENTED_EDGE('',*,*,#192216,.F.); #258931=ORIENTED_EDGE('',*,*,#192217,.T.); #258932=ORIENTED_EDGE('',*,*,#192216,.T.); #258933=ORIENTED_EDGE('',*,*,#192218,.F.); #258934=ORIENTED_EDGE('',*,*,#192219,.F.); #258935=ORIENTED_EDGE('',*,*,#192220,.T.); #258936=ORIENTED_EDGE('',*,*,#192219,.T.); #258937=ORIENTED_EDGE('',*,*,#192221,.F.); #258938=ORIENTED_EDGE('',*,*,#192222,.F.); #258939=ORIENTED_EDGE('',*,*,#192223,.T.); #258940=ORIENTED_EDGE('',*,*,#192222,.T.); #258941=ORIENTED_EDGE('',*,*,#192224,.F.); #258942=ORIENTED_EDGE('',*,*,#192225,.F.); #258943=ORIENTED_EDGE('',*,*,#192226,.T.); #258944=ORIENTED_EDGE('',*,*,#192225,.T.); #258945=ORIENTED_EDGE('',*,*,#192227,.F.); #258946=ORIENTED_EDGE('',*,*,#192228,.F.); #258947=ORIENTED_EDGE('',*,*,#192229,.T.); #258948=ORIENTED_EDGE('',*,*,#192228,.T.); #258949=ORIENTED_EDGE('',*,*,#192230,.F.); #258950=ORIENTED_EDGE('',*,*,#192231,.F.); #258951=ORIENTED_EDGE('',*,*,#192232,.T.); #258952=ORIENTED_EDGE('',*,*,#192231,.T.); #258953=ORIENTED_EDGE('',*,*,#192233,.F.); #258954=ORIENTED_EDGE('',*,*,#192234,.F.); #258955=ORIENTED_EDGE('',*,*,#192235,.T.); #258956=ORIENTED_EDGE('',*,*,#192234,.T.); #258957=ORIENTED_EDGE('',*,*,#192236,.F.); #258958=ORIENTED_EDGE('',*,*,#192237,.F.); #258959=ORIENTED_EDGE('',*,*,#192238,.T.); #258960=ORIENTED_EDGE('',*,*,#192237,.T.); #258961=ORIENTED_EDGE('',*,*,#192239,.F.); #258962=ORIENTED_EDGE('',*,*,#192240,.F.); #258963=ORIENTED_EDGE('',*,*,#192241,.T.); #258964=ORIENTED_EDGE('',*,*,#192240,.T.); #258965=ORIENTED_EDGE('',*,*,#192242,.F.); #258966=ORIENTED_EDGE('',*,*,#192243,.F.); #258967=ORIENTED_EDGE('',*,*,#192244,.T.); #258968=ORIENTED_EDGE('',*,*,#192243,.T.); #258969=ORIENTED_EDGE('',*,*,#192245,.F.); #258970=ORIENTED_EDGE('',*,*,#192246,.F.); #258971=ORIENTED_EDGE('',*,*,#192247,.T.); #258972=ORIENTED_EDGE('',*,*,#192246,.T.); #258973=ORIENTED_EDGE('',*,*,#192248,.F.); #258974=ORIENTED_EDGE('',*,*,#192249,.F.); #258975=ORIENTED_EDGE('',*,*,#192250,.T.); #258976=ORIENTED_EDGE('',*,*,#192249,.T.); #258977=ORIENTED_EDGE('',*,*,#192251,.F.); #258978=ORIENTED_EDGE('',*,*,#192252,.F.); #258979=ORIENTED_EDGE('',*,*,#192253,.T.); #258980=ORIENTED_EDGE('',*,*,#192252,.T.); #258981=ORIENTED_EDGE('',*,*,#192254,.F.); #258982=ORIENTED_EDGE('',*,*,#192255,.F.); #258983=ORIENTED_EDGE('',*,*,#192256,.T.); #258984=ORIENTED_EDGE('',*,*,#192255,.T.); #258985=ORIENTED_EDGE('',*,*,#192257,.F.); #258986=ORIENTED_EDGE('',*,*,#192258,.F.); #258987=ORIENTED_EDGE('',*,*,#192259,.T.); #258988=ORIENTED_EDGE('',*,*,#192258,.T.); #258989=ORIENTED_EDGE('',*,*,#192260,.F.); #258990=ORIENTED_EDGE('',*,*,#192261,.F.); #258991=ORIENTED_EDGE('',*,*,#192262,.T.); #258992=ORIENTED_EDGE('',*,*,#192261,.T.); #258993=ORIENTED_EDGE('',*,*,#192263,.F.); #258994=ORIENTED_EDGE('',*,*,#192264,.F.); #258995=ORIENTED_EDGE('',*,*,#192265,.T.); #258996=ORIENTED_EDGE('',*,*,#192264,.T.); #258997=ORIENTED_EDGE('',*,*,#192266,.F.); #258998=ORIENTED_EDGE('',*,*,#192267,.F.); #258999=ORIENTED_EDGE('',*,*,#192268,.T.); #259000=ORIENTED_EDGE('',*,*,#192267,.T.); #259001=ORIENTED_EDGE('',*,*,#192269,.F.); #259002=ORIENTED_EDGE('',*,*,#192270,.F.); #259003=ORIENTED_EDGE('',*,*,#192271,.T.); #259004=ORIENTED_EDGE('',*,*,#192270,.T.); #259005=ORIENTED_EDGE('',*,*,#192272,.F.); #259006=ORIENTED_EDGE('',*,*,#192273,.F.); #259007=ORIENTED_EDGE('',*,*,#192274,.T.); #259008=ORIENTED_EDGE('',*,*,#192273,.T.); #259009=ORIENTED_EDGE('',*,*,#192275,.F.); #259010=ORIENTED_EDGE('',*,*,#192276,.F.); #259011=ORIENTED_EDGE('',*,*,#192277,.T.); #259012=ORIENTED_EDGE('',*,*,#192276,.T.); #259013=ORIENTED_EDGE('',*,*,#192278,.F.); #259014=ORIENTED_EDGE('',*,*,#192279,.F.); #259015=ORIENTED_EDGE('',*,*,#192280,.T.); #259016=ORIENTED_EDGE('',*,*,#192279,.T.); #259017=ORIENTED_EDGE('',*,*,#192281,.F.); #259018=ORIENTED_EDGE('',*,*,#192282,.F.); #259019=ORIENTED_EDGE('',*,*,#192283,.T.); #259020=ORIENTED_EDGE('',*,*,#192282,.T.); #259021=ORIENTED_EDGE('',*,*,#192284,.F.); #259022=ORIENTED_EDGE('',*,*,#192285,.F.); #259023=ORIENTED_EDGE('',*,*,#192286,.T.); #259024=ORIENTED_EDGE('',*,*,#192285,.T.); #259025=ORIENTED_EDGE('',*,*,#192287,.F.); #259026=ORIENTED_EDGE('',*,*,#192288,.F.); #259027=ORIENTED_EDGE('',*,*,#192289,.T.); #259028=ORIENTED_EDGE('',*,*,#192288,.T.); #259029=ORIENTED_EDGE('',*,*,#192290,.F.); #259030=ORIENTED_EDGE('',*,*,#192291,.F.); #259031=ORIENTED_EDGE('',*,*,#192292,.T.); #259032=ORIENTED_EDGE('',*,*,#192291,.T.); #259033=ORIENTED_EDGE('',*,*,#192293,.F.); #259034=ORIENTED_EDGE('',*,*,#192294,.F.); #259035=ORIENTED_EDGE('',*,*,#192295,.T.); #259036=ORIENTED_EDGE('',*,*,#192294,.T.); #259037=ORIENTED_EDGE('',*,*,#192296,.F.); #259038=ORIENTED_EDGE('',*,*,#192297,.F.); #259039=ORIENTED_EDGE('',*,*,#192298,.T.); #259040=ORIENTED_EDGE('',*,*,#192297,.T.); #259041=ORIENTED_EDGE('',*,*,#192299,.F.); #259042=ORIENTED_EDGE('',*,*,#192300,.F.); #259043=ORIENTED_EDGE('',*,*,#192301,.T.); #259044=ORIENTED_EDGE('',*,*,#192300,.T.); #259045=ORIENTED_EDGE('',*,*,#192302,.F.); #259046=ORIENTED_EDGE('',*,*,#192303,.F.); #259047=ORIENTED_EDGE('',*,*,#192304,.T.); #259048=ORIENTED_EDGE('',*,*,#192303,.T.); #259049=ORIENTED_EDGE('',*,*,#192305,.F.); #259050=ORIENTED_EDGE('',*,*,#192306,.F.); #259051=ORIENTED_EDGE('',*,*,#192307,.T.); #259052=ORIENTED_EDGE('',*,*,#192306,.T.); #259053=ORIENTED_EDGE('',*,*,#192308,.F.); #259054=ORIENTED_EDGE('',*,*,#192309,.F.); #259055=ORIENTED_EDGE('',*,*,#192310,.T.); #259056=ORIENTED_EDGE('',*,*,#192309,.T.); #259057=ORIENTED_EDGE('',*,*,#192311,.F.); #259058=ORIENTED_EDGE('',*,*,#192312,.F.); #259059=ORIENTED_EDGE('',*,*,#192313,.T.); #259060=ORIENTED_EDGE('',*,*,#192312,.T.); #259061=ORIENTED_EDGE('',*,*,#192314,.F.); #259062=ORIENTED_EDGE('',*,*,#192184,.F.); #259063=ORIENTED_EDGE('',*,*,#192314,.T.); #259064=ORIENTED_EDGE('',*,*,#192311,.T.); #259065=ORIENTED_EDGE('',*,*,#192308,.T.); #259066=ORIENTED_EDGE('',*,*,#192305,.T.); #259067=ORIENTED_EDGE('',*,*,#192302,.T.); #259068=ORIENTED_EDGE('',*,*,#192299,.T.); #259069=ORIENTED_EDGE('',*,*,#192296,.T.); #259070=ORIENTED_EDGE('',*,*,#192293,.T.); #259071=ORIENTED_EDGE('',*,*,#192290,.T.); #259072=ORIENTED_EDGE('',*,*,#192287,.T.); #259073=ORIENTED_EDGE('',*,*,#192284,.T.); #259074=ORIENTED_EDGE('',*,*,#192281,.T.); #259075=ORIENTED_EDGE('',*,*,#192278,.T.); #259076=ORIENTED_EDGE('',*,*,#192275,.T.); #259077=ORIENTED_EDGE('',*,*,#192272,.T.); #259078=ORIENTED_EDGE('',*,*,#192269,.T.); #259079=ORIENTED_EDGE('',*,*,#192266,.T.); #259080=ORIENTED_EDGE('',*,*,#192263,.T.); #259081=ORIENTED_EDGE('',*,*,#192260,.T.); #259082=ORIENTED_EDGE('',*,*,#192257,.T.); #259083=ORIENTED_EDGE('',*,*,#192254,.T.); #259084=ORIENTED_EDGE('',*,*,#192251,.T.); #259085=ORIENTED_EDGE('',*,*,#192248,.T.); #259086=ORIENTED_EDGE('',*,*,#192245,.T.); #259087=ORIENTED_EDGE('',*,*,#192242,.T.); #259088=ORIENTED_EDGE('',*,*,#192239,.T.); #259089=ORIENTED_EDGE('',*,*,#192236,.T.); #259090=ORIENTED_EDGE('',*,*,#192233,.T.); #259091=ORIENTED_EDGE('',*,*,#192230,.T.); #259092=ORIENTED_EDGE('',*,*,#192227,.T.); #259093=ORIENTED_EDGE('',*,*,#192224,.T.); #259094=ORIENTED_EDGE('',*,*,#192221,.T.); #259095=ORIENTED_EDGE('',*,*,#192218,.T.); #259096=ORIENTED_EDGE('',*,*,#192215,.T.); #259097=ORIENTED_EDGE('',*,*,#192212,.T.); #259098=ORIENTED_EDGE('',*,*,#192209,.T.); #259099=ORIENTED_EDGE('',*,*,#192206,.T.); #259100=ORIENTED_EDGE('',*,*,#192203,.T.); #259101=ORIENTED_EDGE('',*,*,#192200,.T.); #259102=ORIENTED_EDGE('',*,*,#192197,.T.); #259103=ORIENTED_EDGE('',*,*,#192194,.T.); #259104=ORIENTED_EDGE('',*,*,#192191,.T.); #259105=ORIENTED_EDGE('',*,*,#192188,.T.); #259106=ORIENTED_EDGE('',*,*,#192185,.T.); #259107=ORIENTED_EDGE('',*,*,#192179,.T.); #259108=ORIENTED_EDGE('',*,*,#192182,.T.); #259109=ORIENTED_EDGE('',*,*,#192313,.F.); #259110=ORIENTED_EDGE('',*,*,#192183,.F.); #259111=ORIENTED_EDGE('',*,*,#192187,.F.); #259112=ORIENTED_EDGE('',*,*,#192190,.F.); #259113=ORIENTED_EDGE('',*,*,#192193,.F.); #259114=ORIENTED_EDGE('',*,*,#192196,.F.); #259115=ORIENTED_EDGE('',*,*,#192199,.F.); #259116=ORIENTED_EDGE('',*,*,#192202,.F.); #259117=ORIENTED_EDGE('',*,*,#192205,.F.); #259118=ORIENTED_EDGE('',*,*,#192208,.F.); #259119=ORIENTED_EDGE('',*,*,#192211,.F.); #259120=ORIENTED_EDGE('',*,*,#192214,.F.); #259121=ORIENTED_EDGE('',*,*,#192217,.F.); #259122=ORIENTED_EDGE('',*,*,#192220,.F.); #259123=ORIENTED_EDGE('',*,*,#192223,.F.); #259124=ORIENTED_EDGE('',*,*,#192226,.F.); #259125=ORIENTED_EDGE('',*,*,#192229,.F.); #259126=ORIENTED_EDGE('',*,*,#192232,.F.); #259127=ORIENTED_EDGE('',*,*,#192235,.F.); #259128=ORIENTED_EDGE('',*,*,#192238,.F.); #259129=ORIENTED_EDGE('',*,*,#192241,.F.); #259130=ORIENTED_EDGE('',*,*,#192244,.F.); #259131=ORIENTED_EDGE('',*,*,#192247,.F.); #259132=ORIENTED_EDGE('',*,*,#192250,.F.); #259133=ORIENTED_EDGE('',*,*,#192253,.F.); #259134=ORIENTED_EDGE('',*,*,#192256,.F.); #259135=ORIENTED_EDGE('',*,*,#192259,.F.); #259136=ORIENTED_EDGE('',*,*,#192262,.F.); #259137=ORIENTED_EDGE('',*,*,#192265,.F.); #259138=ORIENTED_EDGE('',*,*,#192268,.F.); #259139=ORIENTED_EDGE('',*,*,#192271,.F.); #259140=ORIENTED_EDGE('',*,*,#192274,.F.); #259141=ORIENTED_EDGE('',*,*,#192277,.F.); #259142=ORIENTED_EDGE('',*,*,#192280,.F.); #259143=ORIENTED_EDGE('',*,*,#192283,.F.); #259144=ORIENTED_EDGE('',*,*,#192286,.F.); #259145=ORIENTED_EDGE('',*,*,#192289,.F.); #259146=ORIENTED_EDGE('',*,*,#192292,.F.); #259147=ORIENTED_EDGE('',*,*,#192295,.F.); #259148=ORIENTED_EDGE('',*,*,#192298,.F.); #259149=ORIENTED_EDGE('',*,*,#192301,.F.); #259150=ORIENTED_EDGE('',*,*,#192304,.F.); #259151=ORIENTED_EDGE('',*,*,#192307,.F.); #259152=ORIENTED_EDGE('',*,*,#192310,.F.); #259153=ORIENTED_EDGE('',*,*,#192177,.T.); #259154=ORIENTED_EDGE('',*,*,#192180,.T.); #259155=ORIENTED_EDGE('',*,*,#192315,.F.); #259156=ORIENTED_EDGE('',*,*,#192316,.T.); #259157=ORIENTED_EDGE('',*,*,#192317,.F.); #259158=ORIENTED_EDGE('',*,*,#192316,.F.); #259159=ORIENTED_EDGE('',*,*,#192318,.F.); #259160=ORIENTED_EDGE('',*,*,#192319,.T.); #259161=ORIENTED_EDGE('',*,*,#192320,.F.); #259162=ORIENTED_EDGE('',*,*,#192319,.F.); #259163=ORIENTED_EDGE('',*,*,#192321,.T.); #259164=ORIENTED_EDGE('',*,*,#192322,.T.); #259165=ORIENTED_EDGE('',*,*,#192323,.F.); #259166=ORIENTED_EDGE('',*,*,#192324,.F.); #259167=ORIENTED_EDGE('',*,*,#192325,.T.); #259168=ORIENTED_EDGE('',*,*,#192324,.T.); #259169=ORIENTED_EDGE('',*,*,#192326,.F.); #259170=ORIENTED_EDGE('',*,*,#192327,.F.); #259171=ORIENTED_EDGE('',*,*,#192328,.T.); #259172=ORIENTED_EDGE('',*,*,#192327,.T.); #259173=ORIENTED_EDGE('',*,*,#192329,.F.); #259174=ORIENTED_EDGE('',*,*,#192330,.F.); #259175=ORIENTED_EDGE('',*,*,#192331,.T.); #259176=ORIENTED_EDGE('',*,*,#192330,.T.); #259177=ORIENTED_EDGE('',*,*,#192332,.F.); #259178=ORIENTED_EDGE('',*,*,#192333,.F.); #259179=ORIENTED_EDGE('',*,*,#192334,.T.); #259180=ORIENTED_EDGE('',*,*,#192333,.T.); #259181=ORIENTED_EDGE('',*,*,#192335,.F.); #259182=ORIENTED_EDGE('',*,*,#192336,.F.); #259183=ORIENTED_EDGE('',*,*,#192337,.T.); #259184=ORIENTED_EDGE('',*,*,#192336,.T.); #259185=ORIENTED_EDGE('',*,*,#192338,.F.); #259186=ORIENTED_EDGE('',*,*,#192339,.F.); #259187=ORIENTED_EDGE('',*,*,#192340,.T.); #259188=ORIENTED_EDGE('',*,*,#192339,.T.); #259189=ORIENTED_EDGE('',*,*,#192341,.F.); #259190=ORIENTED_EDGE('',*,*,#192342,.F.); #259191=ORIENTED_EDGE('',*,*,#192343,.T.); #259192=ORIENTED_EDGE('',*,*,#192342,.T.); #259193=ORIENTED_EDGE('',*,*,#192344,.F.); #259194=ORIENTED_EDGE('',*,*,#192345,.F.); #259195=ORIENTED_EDGE('',*,*,#192346,.T.); #259196=ORIENTED_EDGE('',*,*,#192345,.T.); #259197=ORIENTED_EDGE('',*,*,#192347,.F.); #259198=ORIENTED_EDGE('',*,*,#192348,.F.); #259199=ORIENTED_EDGE('',*,*,#192349,.T.); #259200=ORIENTED_EDGE('',*,*,#192348,.T.); #259201=ORIENTED_EDGE('',*,*,#192350,.F.); #259202=ORIENTED_EDGE('',*,*,#192351,.F.); #259203=ORIENTED_EDGE('',*,*,#192352,.T.); #259204=ORIENTED_EDGE('',*,*,#192351,.T.); #259205=ORIENTED_EDGE('',*,*,#192353,.F.); #259206=ORIENTED_EDGE('',*,*,#192322,.F.); #259207=ORIENTED_EDGE('',*,*,#192353,.T.); #259208=ORIENTED_EDGE('',*,*,#192350,.T.); #259209=ORIENTED_EDGE('',*,*,#192347,.T.); #259210=ORIENTED_EDGE('',*,*,#192344,.T.); #259211=ORIENTED_EDGE('',*,*,#192341,.T.); #259212=ORIENTED_EDGE('',*,*,#192338,.T.); #259213=ORIENTED_EDGE('',*,*,#192335,.T.); #259214=ORIENTED_EDGE('',*,*,#192332,.T.); #259215=ORIENTED_EDGE('',*,*,#192329,.T.); #259216=ORIENTED_EDGE('',*,*,#192326,.T.); #259217=ORIENTED_EDGE('',*,*,#192323,.T.); #259218=ORIENTED_EDGE('',*,*,#192317,.T.); #259219=ORIENTED_EDGE('',*,*,#192320,.T.); #259220=ORIENTED_EDGE('',*,*,#192352,.F.); #259221=ORIENTED_EDGE('',*,*,#192321,.F.); #259222=ORIENTED_EDGE('',*,*,#192325,.F.); #259223=ORIENTED_EDGE('',*,*,#192328,.F.); #259224=ORIENTED_EDGE('',*,*,#192331,.F.); #259225=ORIENTED_EDGE('',*,*,#192334,.F.); #259226=ORIENTED_EDGE('',*,*,#192337,.F.); #259227=ORIENTED_EDGE('',*,*,#192340,.F.); #259228=ORIENTED_EDGE('',*,*,#192343,.F.); #259229=ORIENTED_EDGE('',*,*,#192346,.F.); #259230=ORIENTED_EDGE('',*,*,#192349,.F.); #259231=ORIENTED_EDGE('',*,*,#192315,.T.); #259232=ORIENTED_EDGE('',*,*,#192318,.T.); #259233=ORIENTED_EDGE('',*,*,#192354,.F.); #259234=ORIENTED_EDGE('',*,*,#192355,.T.); #259235=ORIENTED_EDGE('',*,*,#192356,.F.); #259236=ORIENTED_EDGE('',*,*,#192355,.F.); #259237=ORIENTED_EDGE('',*,*,#192357,.T.); #259238=ORIENTED_EDGE('',*,*,#192358,.T.); #259239=ORIENTED_EDGE('',*,*,#192359,.F.); #259240=ORIENTED_EDGE('',*,*,#192360,.F.); #259241=ORIENTED_EDGE('',*,*,#192361,.T.); #259242=ORIENTED_EDGE('',*,*,#192360,.T.); #259243=ORIENTED_EDGE('',*,*,#192362,.F.); #259244=ORIENTED_EDGE('',*,*,#192363,.F.); #259245=ORIENTED_EDGE('',*,*,#192364,.T.); #259246=ORIENTED_EDGE('',*,*,#192363,.T.); #259247=ORIENTED_EDGE('',*,*,#192365,.F.); #259248=ORIENTED_EDGE('',*,*,#192366,.F.); #259249=ORIENTED_EDGE('',*,*,#192367,.T.); #259250=ORIENTED_EDGE('',*,*,#192366,.T.); #259251=ORIENTED_EDGE('',*,*,#192368,.F.); #259252=ORIENTED_EDGE('',*,*,#192369,.F.); #259253=ORIENTED_EDGE('',*,*,#192370,.T.); #259254=ORIENTED_EDGE('',*,*,#192369,.T.); #259255=ORIENTED_EDGE('',*,*,#192371,.F.); #259256=ORIENTED_EDGE('',*,*,#192372,.F.); #259257=ORIENTED_EDGE('',*,*,#192373,.T.); #259258=ORIENTED_EDGE('',*,*,#192372,.T.); #259259=ORIENTED_EDGE('',*,*,#192374,.F.); #259260=ORIENTED_EDGE('',*,*,#192375,.F.); #259261=ORIENTED_EDGE('',*,*,#192376,.T.); #259262=ORIENTED_EDGE('',*,*,#192375,.T.); #259263=ORIENTED_EDGE('',*,*,#192377,.F.); #259264=ORIENTED_EDGE('',*,*,#192378,.F.); #259265=ORIENTED_EDGE('',*,*,#192379,.T.); #259266=ORIENTED_EDGE('',*,*,#192378,.T.); #259267=ORIENTED_EDGE('',*,*,#192380,.F.); #259268=ORIENTED_EDGE('',*,*,#192381,.F.); #259269=ORIENTED_EDGE('',*,*,#192382,.T.); #259270=ORIENTED_EDGE('',*,*,#192381,.T.); #259271=ORIENTED_EDGE('',*,*,#192383,.F.); #259272=ORIENTED_EDGE('',*,*,#192384,.F.); #259273=ORIENTED_EDGE('',*,*,#192385,.T.); #259274=ORIENTED_EDGE('',*,*,#192384,.T.); #259275=ORIENTED_EDGE('',*,*,#192386,.F.); #259276=ORIENTED_EDGE('',*,*,#192387,.F.); #259277=ORIENTED_EDGE('',*,*,#192388,.T.); #259278=ORIENTED_EDGE('',*,*,#192387,.T.); #259279=ORIENTED_EDGE('',*,*,#192389,.F.); #259280=ORIENTED_EDGE('',*,*,#192390,.F.); #259281=ORIENTED_EDGE('',*,*,#192391,.T.); #259282=ORIENTED_EDGE('',*,*,#192390,.T.); #259283=ORIENTED_EDGE('',*,*,#192392,.F.); #259284=ORIENTED_EDGE('',*,*,#192393,.F.); #259285=ORIENTED_EDGE('',*,*,#192394,.T.); #259286=ORIENTED_EDGE('',*,*,#192393,.T.); #259287=ORIENTED_EDGE('',*,*,#192395,.F.); #259288=ORIENTED_EDGE('',*,*,#192396,.F.); #259289=ORIENTED_EDGE('',*,*,#192397,.T.); #259290=ORIENTED_EDGE('',*,*,#192396,.T.); #259291=ORIENTED_EDGE('',*,*,#192398,.F.); #259292=ORIENTED_EDGE('',*,*,#192399,.F.); #259293=ORIENTED_EDGE('',*,*,#192400,.T.); #259294=ORIENTED_EDGE('',*,*,#192399,.T.); #259295=ORIENTED_EDGE('',*,*,#192401,.F.); #259296=ORIENTED_EDGE('',*,*,#192402,.F.); #259297=ORIENTED_EDGE('',*,*,#192403,.T.); #259298=ORIENTED_EDGE('',*,*,#192402,.T.); #259299=ORIENTED_EDGE('',*,*,#192404,.F.); #259300=ORIENTED_EDGE('',*,*,#192405,.F.); #259301=ORIENTED_EDGE('',*,*,#192406,.T.); #259302=ORIENTED_EDGE('',*,*,#192405,.T.); #259303=ORIENTED_EDGE('',*,*,#192407,.F.); #259304=ORIENTED_EDGE('',*,*,#192408,.F.); #259305=ORIENTED_EDGE('',*,*,#192409,.T.); #259306=ORIENTED_EDGE('',*,*,#192408,.T.); #259307=ORIENTED_EDGE('',*,*,#192410,.F.); #259308=ORIENTED_EDGE('',*,*,#192411,.F.); #259309=ORIENTED_EDGE('',*,*,#192412,.T.); #259310=ORIENTED_EDGE('',*,*,#192411,.T.); #259311=ORIENTED_EDGE('',*,*,#192413,.F.); #259312=ORIENTED_EDGE('',*,*,#192414,.F.); #259313=ORIENTED_EDGE('',*,*,#192415,.T.); #259314=ORIENTED_EDGE('',*,*,#192414,.T.); #259315=ORIENTED_EDGE('',*,*,#192416,.F.); #259316=ORIENTED_EDGE('',*,*,#192417,.F.); #259317=ORIENTED_EDGE('',*,*,#192418,.T.); #259318=ORIENTED_EDGE('',*,*,#192417,.T.); #259319=ORIENTED_EDGE('',*,*,#192419,.F.); #259320=ORIENTED_EDGE('',*,*,#192420,.F.); #259321=ORIENTED_EDGE('',*,*,#192421,.T.); #259322=ORIENTED_EDGE('',*,*,#192420,.T.); #259323=ORIENTED_EDGE('',*,*,#192422,.F.); #259324=ORIENTED_EDGE('',*,*,#192423,.F.); #259325=ORIENTED_EDGE('',*,*,#192424,.T.); #259326=ORIENTED_EDGE('',*,*,#192423,.T.); #259327=ORIENTED_EDGE('',*,*,#192425,.F.); #259328=ORIENTED_EDGE('',*,*,#192426,.F.); #259329=ORIENTED_EDGE('',*,*,#192427,.T.); #259330=ORIENTED_EDGE('',*,*,#192426,.T.); #259331=ORIENTED_EDGE('',*,*,#192428,.F.); #259332=ORIENTED_EDGE('',*,*,#192358,.F.); #259333=ORIENTED_EDGE('',*,*,#192428,.T.); #259334=ORIENTED_EDGE('',*,*,#192425,.T.); #259335=ORIENTED_EDGE('',*,*,#192422,.T.); #259336=ORIENTED_EDGE('',*,*,#192419,.T.); #259337=ORIENTED_EDGE('',*,*,#192416,.T.); #259338=ORIENTED_EDGE('',*,*,#192413,.T.); #259339=ORIENTED_EDGE('',*,*,#192410,.T.); #259340=ORIENTED_EDGE('',*,*,#192407,.T.); #259341=ORIENTED_EDGE('',*,*,#192404,.T.); #259342=ORIENTED_EDGE('',*,*,#192401,.T.); #259343=ORIENTED_EDGE('',*,*,#192398,.T.); #259344=ORIENTED_EDGE('',*,*,#192395,.T.); #259345=ORIENTED_EDGE('',*,*,#192392,.T.); #259346=ORIENTED_EDGE('',*,*,#192389,.T.); #259347=ORIENTED_EDGE('',*,*,#192386,.T.); #259348=ORIENTED_EDGE('',*,*,#192383,.T.); #259349=ORIENTED_EDGE('',*,*,#192380,.T.); #259350=ORIENTED_EDGE('',*,*,#192377,.T.); #259351=ORIENTED_EDGE('',*,*,#192374,.T.); #259352=ORIENTED_EDGE('',*,*,#192371,.T.); #259353=ORIENTED_EDGE('',*,*,#192368,.T.); #259354=ORIENTED_EDGE('',*,*,#192365,.T.); #259355=ORIENTED_EDGE('',*,*,#192362,.T.); #259356=ORIENTED_EDGE('',*,*,#192359,.T.); #259357=ORIENTED_EDGE('',*,*,#192356,.T.); #259358=ORIENTED_EDGE('',*,*,#192427,.F.); #259359=ORIENTED_EDGE('',*,*,#192357,.F.); #259360=ORIENTED_EDGE('',*,*,#192361,.F.); #259361=ORIENTED_EDGE('',*,*,#192364,.F.); #259362=ORIENTED_EDGE('',*,*,#192367,.F.); #259363=ORIENTED_EDGE('',*,*,#192370,.F.); #259364=ORIENTED_EDGE('',*,*,#192373,.F.); #259365=ORIENTED_EDGE('',*,*,#192376,.F.); #259366=ORIENTED_EDGE('',*,*,#192379,.F.); #259367=ORIENTED_EDGE('',*,*,#192382,.F.); #259368=ORIENTED_EDGE('',*,*,#192385,.F.); #259369=ORIENTED_EDGE('',*,*,#192388,.F.); #259370=ORIENTED_EDGE('',*,*,#192391,.F.); #259371=ORIENTED_EDGE('',*,*,#192394,.F.); #259372=ORIENTED_EDGE('',*,*,#192397,.F.); #259373=ORIENTED_EDGE('',*,*,#192400,.F.); #259374=ORIENTED_EDGE('',*,*,#192403,.F.); #259375=ORIENTED_EDGE('',*,*,#192406,.F.); #259376=ORIENTED_EDGE('',*,*,#192409,.F.); #259377=ORIENTED_EDGE('',*,*,#192412,.F.); #259378=ORIENTED_EDGE('',*,*,#192415,.F.); #259379=ORIENTED_EDGE('',*,*,#192418,.F.); #259380=ORIENTED_EDGE('',*,*,#192421,.F.); #259381=ORIENTED_EDGE('',*,*,#192424,.F.); #259382=ORIENTED_EDGE('',*,*,#192354,.T.); #259383=ORIENTED_EDGE('',*,*,#192429,.F.); #259384=ORIENTED_EDGE('',*,*,#192430,.T.); #259385=ORIENTED_EDGE('',*,*,#192431,.F.); #259386=ORIENTED_EDGE('',*,*,#192430,.F.); #259387=ORIENTED_EDGE('',*,*,#192432,.T.); #259388=ORIENTED_EDGE('',*,*,#192433,.T.); #259389=ORIENTED_EDGE('',*,*,#192434,.F.); #259390=ORIENTED_EDGE('',*,*,#192435,.F.); #259391=ORIENTED_EDGE('',*,*,#192436,.T.); #259392=ORIENTED_EDGE('',*,*,#192435,.T.); #259393=ORIENTED_EDGE('',*,*,#192437,.F.); #259394=ORIENTED_EDGE('',*,*,#192438,.F.); #259395=ORIENTED_EDGE('',*,*,#192439,.T.); #259396=ORIENTED_EDGE('',*,*,#192438,.T.); #259397=ORIENTED_EDGE('',*,*,#192440,.F.); #259398=ORIENTED_EDGE('',*,*,#192441,.F.); #259399=ORIENTED_EDGE('',*,*,#192442,.T.); #259400=ORIENTED_EDGE('',*,*,#192441,.T.); #259401=ORIENTED_EDGE('',*,*,#192443,.F.); #259402=ORIENTED_EDGE('',*,*,#192444,.F.); #259403=ORIENTED_EDGE('',*,*,#192445,.T.); #259404=ORIENTED_EDGE('',*,*,#192444,.T.); #259405=ORIENTED_EDGE('',*,*,#192446,.F.); #259406=ORIENTED_EDGE('',*,*,#192447,.F.); #259407=ORIENTED_EDGE('',*,*,#192448,.T.); #259408=ORIENTED_EDGE('',*,*,#192447,.T.); #259409=ORIENTED_EDGE('',*,*,#192449,.F.); #259410=ORIENTED_EDGE('',*,*,#192450,.F.); #259411=ORIENTED_EDGE('',*,*,#192451,.T.); #259412=ORIENTED_EDGE('',*,*,#192450,.T.); #259413=ORIENTED_EDGE('',*,*,#192452,.F.); #259414=ORIENTED_EDGE('',*,*,#192453,.F.); #259415=ORIENTED_EDGE('',*,*,#192454,.T.); #259416=ORIENTED_EDGE('',*,*,#192453,.T.); #259417=ORIENTED_EDGE('',*,*,#192455,.F.); #259418=ORIENTED_EDGE('',*,*,#192456,.F.); #259419=ORIENTED_EDGE('',*,*,#192457,.T.); #259420=ORIENTED_EDGE('',*,*,#192456,.T.); #259421=ORIENTED_EDGE('',*,*,#192458,.F.); #259422=ORIENTED_EDGE('',*,*,#192459,.F.); #259423=ORIENTED_EDGE('',*,*,#192460,.T.); #259424=ORIENTED_EDGE('',*,*,#192459,.T.); #259425=ORIENTED_EDGE('',*,*,#192461,.F.); #259426=ORIENTED_EDGE('',*,*,#192462,.F.); #259427=ORIENTED_EDGE('',*,*,#192463,.T.); #259428=ORIENTED_EDGE('',*,*,#192462,.T.); #259429=ORIENTED_EDGE('',*,*,#192464,.F.); #259430=ORIENTED_EDGE('',*,*,#192465,.F.); #259431=ORIENTED_EDGE('',*,*,#192466,.T.); #259432=ORIENTED_EDGE('',*,*,#192465,.T.); #259433=ORIENTED_EDGE('',*,*,#192467,.F.); #259434=ORIENTED_EDGE('',*,*,#192468,.F.); #259435=ORIENTED_EDGE('',*,*,#192469,.T.); #259436=ORIENTED_EDGE('',*,*,#192468,.T.); #259437=ORIENTED_EDGE('',*,*,#192470,.F.); #259438=ORIENTED_EDGE('',*,*,#192471,.F.); #259439=ORIENTED_EDGE('',*,*,#192472,.T.); #259440=ORIENTED_EDGE('',*,*,#192471,.T.); #259441=ORIENTED_EDGE('',*,*,#192473,.F.); #259442=ORIENTED_EDGE('',*,*,#192474,.F.); #259443=ORIENTED_EDGE('',*,*,#192475,.T.); #259444=ORIENTED_EDGE('',*,*,#192474,.T.); #259445=ORIENTED_EDGE('',*,*,#192476,.F.); #259446=ORIENTED_EDGE('',*,*,#192477,.F.); #259447=ORIENTED_EDGE('',*,*,#192478,.T.); #259448=ORIENTED_EDGE('',*,*,#192477,.T.); #259449=ORIENTED_EDGE('',*,*,#192479,.F.); #259450=ORIENTED_EDGE('',*,*,#192480,.F.); #259451=ORIENTED_EDGE('',*,*,#192481,.T.); #259452=ORIENTED_EDGE('',*,*,#192480,.T.); #259453=ORIENTED_EDGE('',*,*,#192482,.F.); #259454=ORIENTED_EDGE('',*,*,#192483,.F.); #259455=ORIENTED_EDGE('',*,*,#192484,.T.); #259456=ORIENTED_EDGE('',*,*,#192483,.T.); #259457=ORIENTED_EDGE('',*,*,#192485,.F.); #259458=ORIENTED_EDGE('',*,*,#192486,.F.); #259459=ORIENTED_EDGE('',*,*,#192487,.T.); #259460=ORIENTED_EDGE('',*,*,#192486,.T.); #259461=ORIENTED_EDGE('',*,*,#192488,.F.); #259462=ORIENTED_EDGE('',*,*,#192489,.F.); #259463=ORIENTED_EDGE('',*,*,#192490,.T.); #259464=ORIENTED_EDGE('',*,*,#192489,.T.); #259465=ORIENTED_EDGE('',*,*,#192491,.F.); #259466=ORIENTED_EDGE('',*,*,#192492,.F.); #259467=ORIENTED_EDGE('',*,*,#192493,.T.); #259468=ORIENTED_EDGE('',*,*,#192492,.T.); #259469=ORIENTED_EDGE('',*,*,#192494,.F.); #259470=ORIENTED_EDGE('',*,*,#192495,.F.); #259471=ORIENTED_EDGE('',*,*,#192496,.T.); #259472=ORIENTED_EDGE('',*,*,#192495,.T.); #259473=ORIENTED_EDGE('',*,*,#192497,.F.); #259474=ORIENTED_EDGE('',*,*,#192498,.F.); #259475=ORIENTED_EDGE('',*,*,#192499,.T.); #259476=ORIENTED_EDGE('',*,*,#192498,.T.); #259477=ORIENTED_EDGE('',*,*,#192500,.F.); #259478=ORIENTED_EDGE('',*,*,#192501,.F.); #259479=ORIENTED_EDGE('',*,*,#192502,.T.); #259480=ORIENTED_EDGE('',*,*,#192501,.T.); #259481=ORIENTED_EDGE('',*,*,#192503,.F.); #259482=ORIENTED_EDGE('',*,*,#192504,.F.); #259483=ORIENTED_EDGE('',*,*,#192505,.T.); #259484=ORIENTED_EDGE('',*,*,#192504,.T.); #259485=ORIENTED_EDGE('',*,*,#192506,.F.); #259486=ORIENTED_EDGE('',*,*,#192507,.F.); #259487=ORIENTED_EDGE('',*,*,#192508,.T.); #259488=ORIENTED_EDGE('',*,*,#192507,.T.); #259489=ORIENTED_EDGE('',*,*,#192509,.F.); #259490=ORIENTED_EDGE('',*,*,#192433,.F.); #259491=ORIENTED_EDGE('',*,*,#192509,.T.); #259492=ORIENTED_EDGE('',*,*,#192506,.T.); #259493=ORIENTED_EDGE('',*,*,#192503,.T.); #259494=ORIENTED_EDGE('',*,*,#192500,.T.); #259495=ORIENTED_EDGE('',*,*,#192497,.T.); #259496=ORIENTED_EDGE('',*,*,#192494,.T.); #259497=ORIENTED_EDGE('',*,*,#192491,.T.); #259498=ORIENTED_EDGE('',*,*,#192488,.T.); #259499=ORIENTED_EDGE('',*,*,#192485,.T.); #259500=ORIENTED_EDGE('',*,*,#192482,.T.); #259501=ORIENTED_EDGE('',*,*,#192479,.T.); #259502=ORIENTED_EDGE('',*,*,#192476,.T.); #259503=ORIENTED_EDGE('',*,*,#192473,.T.); #259504=ORIENTED_EDGE('',*,*,#192470,.T.); #259505=ORIENTED_EDGE('',*,*,#192467,.T.); #259506=ORIENTED_EDGE('',*,*,#192464,.T.); #259507=ORIENTED_EDGE('',*,*,#192461,.T.); #259508=ORIENTED_EDGE('',*,*,#192458,.T.); #259509=ORIENTED_EDGE('',*,*,#192455,.T.); #259510=ORIENTED_EDGE('',*,*,#192452,.T.); #259511=ORIENTED_EDGE('',*,*,#192449,.T.); #259512=ORIENTED_EDGE('',*,*,#192446,.T.); #259513=ORIENTED_EDGE('',*,*,#192443,.T.); #259514=ORIENTED_EDGE('',*,*,#192440,.T.); #259515=ORIENTED_EDGE('',*,*,#192437,.T.); #259516=ORIENTED_EDGE('',*,*,#192434,.T.); #259517=ORIENTED_EDGE('',*,*,#192431,.T.); #259518=ORIENTED_EDGE('',*,*,#192508,.F.); #259519=ORIENTED_EDGE('',*,*,#192432,.F.); #259520=ORIENTED_EDGE('',*,*,#192436,.F.); #259521=ORIENTED_EDGE('',*,*,#192439,.F.); #259522=ORIENTED_EDGE('',*,*,#192442,.F.); #259523=ORIENTED_EDGE('',*,*,#192445,.F.); #259524=ORIENTED_EDGE('',*,*,#192448,.F.); #259525=ORIENTED_EDGE('',*,*,#192451,.F.); #259526=ORIENTED_EDGE('',*,*,#192454,.F.); #259527=ORIENTED_EDGE('',*,*,#192457,.F.); #259528=ORIENTED_EDGE('',*,*,#192460,.F.); #259529=ORIENTED_EDGE('',*,*,#192463,.F.); #259530=ORIENTED_EDGE('',*,*,#192466,.F.); #259531=ORIENTED_EDGE('',*,*,#192469,.F.); #259532=ORIENTED_EDGE('',*,*,#192472,.F.); #259533=ORIENTED_EDGE('',*,*,#192475,.F.); #259534=ORIENTED_EDGE('',*,*,#192478,.F.); #259535=ORIENTED_EDGE('',*,*,#192481,.F.); #259536=ORIENTED_EDGE('',*,*,#192484,.F.); #259537=ORIENTED_EDGE('',*,*,#192487,.F.); #259538=ORIENTED_EDGE('',*,*,#192490,.F.); #259539=ORIENTED_EDGE('',*,*,#192493,.F.); #259540=ORIENTED_EDGE('',*,*,#192496,.F.); #259541=ORIENTED_EDGE('',*,*,#192499,.F.); #259542=ORIENTED_EDGE('',*,*,#192502,.F.); #259543=ORIENTED_EDGE('',*,*,#192505,.F.); #259544=ORIENTED_EDGE('',*,*,#192429,.T.); #259545=ORIENTED_EDGE('',*,*,#192510,.F.); #259546=ORIENTED_EDGE('',*,*,#192511,.T.); #259547=ORIENTED_EDGE('',*,*,#192512,.F.); #259548=ORIENTED_EDGE('',*,*,#192511,.F.); #259549=ORIENTED_EDGE('',*,*,#192513,.F.); #259550=ORIENTED_EDGE('',*,*,#192514,.T.); #259551=ORIENTED_EDGE('',*,*,#192515,.F.); #259552=ORIENTED_EDGE('',*,*,#192514,.F.); #259553=ORIENTED_EDGE('',*,*,#192516,.T.); #259554=ORIENTED_EDGE('',*,*,#192517,.T.); #259555=ORIENTED_EDGE('',*,*,#192518,.F.); #259556=ORIENTED_EDGE('',*,*,#192519,.F.); #259557=ORIENTED_EDGE('',*,*,#192520,.T.); #259558=ORIENTED_EDGE('',*,*,#192519,.T.); #259559=ORIENTED_EDGE('',*,*,#192521,.F.); #259560=ORIENTED_EDGE('',*,*,#192522,.F.); #259561=ORIENTED_EDGE('',*,*,#192523,.T.); #259562=ORIENTED_EDGE('',*,*,#192522,.T.); #259563=ORIENTED_EDGE('',*,*,#192524,.F.); #259564=ORIENTED_EDGE('',*,*,#192525,.F.); #259565=ORIENTED_EDGE('',*,*,#192526,.T.); #259566=ORIENTED_EDGE('',*,*,#192525,.T.); #259567=ORIENTED_EDGE('',*,*,#192527,.F.); #259568=ORIENTED_EDGE('',*,*,#192528,.F.); #259569=ORIENTED_EDGE('',*,*,#192529,.T.); #259570=ORIENTED_EDGE('',*,*,#192528,.T.); #259571=ORIENTED_EDGE('',*,*,#192530,.F.); #259572=ORIENTED_EDGE('',*,*,#192531,.F.); #259573=ORIENTED_EDGE('',*,*,#192532,.T.); #259574=ORIENTED_EDGE('',*,*,#192531,.T.); #259575=ORIENTED_EDGE('',*,*,#192533,.F.); #259576=ORIENTED_EDGE('',*,*,#192534,.F.); #259577=ORIENTED_EDGE('',*,*,#192535,.T.); #259578=ORIENTED_EDGE('',*,*,#192534,.T.); #259579=ORIENTED_EDGE('',*,*,#192536,.F.); #259580=ORIENTED_EDGE('',*,*,#192537,.F.); #259581=ORIENTED_EDGE('',*,*,#192538,.T.); #259582=ORIENTED_EDGE('',*,*,#192537,.T.); #259583=ORIENTED_EDGE('',*,*,#192539,.F.); #259584=ORIENTED_EDGE('',*,*,#192540,.F.); #259585=ORIENTED_EDGE('',*,*,#192541,.T.); #259586=ORIENTED_EDGE('',*,*,#192540,.T.); #259587=ORIENTED_EDGE('',*,*,#192542,.F.); #259588=ORIENTED_EDGE('',*,*,#192543,.F.); #259589=ORIENTED_EDGE('',*,*,#192544,.T.); #259590=ORIENTED_EDGE('',*,*,#192543,.T.); #259591=ORIENTED_EDGE('',*,*,#192545,.F.); #259592=ORIENTED_EDGE('',*,*,#192546,.F.); #259593=ORIENTED_EDGE('',*,*,#192547,.T.); #259594=ORIENTED_EDGE('',*,*,#192546,.T.); #259595=ORIENTED_EDGE('',*,*,#192548,.F.); #259596=ORIENTED_EDGE('',*,*,#192549,.F.); #259597=ORIENTED_EDGE('',*,*,#192550,.T.); #259598=ORIENTED_EDGE('',*,*,#192549,.T.); #259599=ORIENTED_EDGE('',*,*,#192551,.F.); #259600=ORIENTED_EDGE('',*,*,#192552,.F.); #259601=ORIENTED_EDGE('',*,*,#192553,.T.); #259602=ORIENTED_EDGE('',*,*,#192552,.T.); #259603=ORIENTED_EDGE('',*,*,#192554,.F.); #259604=ORIENTED_EDGE('',*,*,#192555,.F.); #259605=ORIENTED_EDGE('',*,*,#192556,.T.); #259606=ORIENTED_EDGE('',*,*,#192555,.T.); #259607=ORIENTED_EDGE('',*,*,#192557,.F.); #259608=ORIENTED_EDGE('',*,*,#192558,.F.); #259609=ORIENTED_EDGE('',*,*,#192559,.T.); #259610=ORIENTED_EDGE('',*,*,#192558,.T.); #259611=ORIENTED_EDGE('',*,*,#192560,.F.); #259612=ORIENTED_EDGE('',*,*,#192561,.F.); #259613=ORIENTED_EDGE('',*,*,#192562,.T.); #259614=ORIENTED_EDGE('',*,*,#192561,.T.); #259615=ORIENTED_EDGE('',*,*,#192563,.F.); #259616=ORIENTED_EDGE('',*,*,#192564,.F.); #259617=ORIENTED_EDGE('',*,*,#192565,.T.); #259618=ORIENTED_EDGE('',*,*,#192564,.T.); #259619=ORIENTED_EDGE('',*,*,#192566,.F.); #259620=ORIENTED_EDGE('',*,*,#192567,.F.); #259621=ORIENTED_EDGE('',*,*,#192568,.T.); #259622=ORIENTED_EDGE('',*,*,#192567,.T.); #259623=ORIENTED_EDGE('',*,*,#192569,.F.); #259624=ORIENTED_EDGE('',*,*,#192570,.F.); #259625=ORIENTED_EDGE('',*,*,#192571,.T.); #259626=ORIENTED_EDGE('',*,*,#192570,.T.); #259627=ORIENTED_EDGE('',*,*,#192572,.F.); #259628=ORIENTED_EDGE('',*,*,#192573,.F.); #259629=ORIENTED_EDGE('',*,*,#192574,.T.); #259630=ORIENTED_EDGE('',*,*,#192573,.T.); #259631=ORIENTED_EDGE('',*,*,#192575,.F.); #259632=ORIENTED_EDGE('',*,*,#192576,.F.); #259633=ORIENTED_EDGE('',*,*,#192577,.T.); #259634=ORIENTED_EDGE('',*,*,#192576,.T.); #259635=ORIENTED_EDGE('',*,*,#192578,.F.); #259636=ORIENTED_EDGE('',*,*,#192579,.F.); #259637=ORIENTED_EDGE('',*,*,#192580,.T.); #259638=ORIENTED_EDGE('',*,*,#192579,.T.); #259639=ORIENTED_EDGE('',*,*,#192581,.F.); #259640=ORIENTED_EDGE('',*,*,#192582,.F.); #259641=ORIENTED_EDGE('',*,*,#192583,.T.); #259642=ORIENTED_EDGE('',*,*,#192582,.T.); #259643=ORIENTED_EDGE('',*,*,#192584,.F.); #259644=ORIENTED_EDGE('',*,*,#192585,.F.); #259645=ORIENTED_EDGE('',*,*,#192586,.T.); #259646=ORIENTED_EDGE('',*,*,#192585,.T.); #259647=ORIENTED_EDGE('',*,*,#192587,.F.); #259648=ORIENTED_EDGE('',*,*,#192588,.F.); #259649=ORIENTED_EDGE('',*,*,#192589,.T.); #259650=ORIENTED_EDGE('',*,*,#192588,.T.); #259651=ORIENTED_EDGE('',*,*,#192590,.F.); #259652=ORIENTED_EDGE('',*,*,#192591,.F.); #259653=ORIENTED_EDGE('',*,*,#192592,.T.); #259654=ORIENTED_EDGE('',*,*,#192591,.T.); #259655=ORIENTED_EDGE('',*,*,#192593,.F.); #259656=ORIENTED_EDGE('',*,*,#192594,.F.); #259657=ORIENTED_EDGE('',*,*,#192595,.T.); #259658=ORIENTED_EDGE('',*,*,#192594,.T.); #259659=ORIENTED_EDGE('',*,*,#192596,.F.); #259660=ORIENTED_EDGE('',*,*,#192597,.F.); #259661=ORIENTED_EDGE('',*,*,#192598,.T.); #259662=ORIENTED_EDGE('',*,*,#192597,.T.); #259663=ORIENTED_EDGE('',*,*,#192599,.F.); #259664=ORIENTED_EDGE('',*,*,#192600,.F.); #259665=ORIENTED_EDGE('',*,*,#192601,.T.); #259666=ORIENTED_EDGE('',*,*,#192600,.T.); #259667=ORIENTED_EDGE('',*,*,#192602,.F.); #259668=ORIENTED_EDGE('',*,*,#192603,.F.); #259669=ORIENTED_EDGE('',*,*,#192604,.T.); #259670=ORIENTED_EDGE('',*,*,#192603,.T.); #259671=ORIENTED_EDGE('',*,*,#192605,.F.); #259672=ORIENTED_EDGE('',*,*,#192606,.F.); #259673=ORIENTED_EDGE('',*,*,#192607,.T.); #259674=ORIENTED_EDGE('',*,*,#192606,.T.); #259675=ORIENTED_EDGE('',*,*,#192608,.F.); #259676=ORIENTED_EDGE('',*,*,#192609,.F.); #259677=ORIENTED_EDGE('',*,*,#192610,.T.); #259678=ORIENTED_EDGE('',*,*,#192609,.T.); #259679=ORIENTED_EDGE('',*,*,#192611,.F.); #259680=ORIENTED_EDGE('',*,*,#192612,.F.); #259681=ORIENTED_EDGE('',*,*,#192613,.T.); #259682=ORIENTED_EDGE('',*,*,#192612,.T.); #259683=ORIENTED_EDGE('',*,*,#192614,.F.); #259684=ORIENTED_EDGE('',*,*,#192615,.F.); #259685=ORIENTED_EDGE('',*,*,#192616,.T.); #259686=ORIENTED_EDGE('',*,*,#192615,.T.); #259687=ORIENTED_EDGE('',*,*,#192617,.F.); #259688=ORIENTED_EDGE('',*,*,#192618,.F.); #259689=ORIENTED_EDGE('',*,*,#192619,.T.); #259690=ORIENTED_EDGE('',*,*,#192618,.T.); #259691=ORIENTED_EDGE('',*,*,#192620,.F.); #259692=ORIENTED_EDGE('',*,*,#192621,.F.); #259693=ORIENTED_EDGE('',*,*,#192622,.T.); #259694=ORIENTED_EDGE('',*,*,#192621,.T.); #259695=ORIENTED_EDGE('',*,*,#192623,.F.); #259696=ORIENTED_EDGE('',*,*,#192624,.F.); #259697=ORIENTED_EDGE('',*,*,#192625,.T.); #259698=ORIENTED_EDGE('',*,*,#192624,.T.); #259699=ORIENTED_EDGE('',*,*,#192626,.F.); #259700=ORIENTED_EDGE('',*,*,#192627,.F.); #259701=ORIENTED_EDGE('',*,*,#192628,.T.); #259702=ORIENTED_EDGE('',*,*,#192627,.T.); #259703=ORIENTED_EDGE('',*,*,#192629,.F.); #259704=ORIENTED_EDGE('',*,*,#192630,.F.); #259705=ORIENTED_EDGE('',*,*,#192631,.T.); #259706=ORIENTED_EDGE('',*,*,#192630,.T.); #259707=ORIENTED_EDGE('',*,*,#192632,.F.); #259708=ORIENTED_EDGE('',*,*,#192633,.F.); #259709=ORIENTED_EDGE('',*,*,#192634,.T.); #259710=ORIENTED_EDGE('',*,*,#192633,.T.); #259711=ORIENTED_EDGE('',*,*,#192635,.F.); #259712=ORIENTED_EDGE('',*,*,#192636,.F.); #259713=ORIENTED_EDGE('',*,*,#192637,.T.); #259714=ORIENTED_EDGE('',*,*,#192636,.T.); #259715=ORIENTED_EDGE('',*,*,#192638,.F.); #259716=ORIENTED_EDGE('',*,*,#192639,.F.); #259717=ORIENTED_EDGE('',*,*,#192640,.T.); #259718=ORIENTED_EDGE('',*,*,#192639,.T.); #259719=ORIENTED_EDGE('',*,*,#192641,.F.); #259720=ORIENTED_EDGE('',*,*,#192642,.F.); #259721=ORIENTED_EDGE('',*,*,#192643,.T.); #259722=ORIENTED_EDGE('',*,*,#192642,.T.); #259723=ORIENTED_EDGE('',*,*,#192644,.F.); #259724=ORIENTED_EDGE('',*,*,#192645,.F.); #259725=ORIENTED_EDGE('',*,*,#192646,.T.); #259726=ORIENTED_EDGE('',*,*,#192645,.T.); #259727=ORIENTED_EDGE('',*,*,#192647,.F.); #259728=ORIENTED_EDGE('',*,*,#192648,.F.); #259729=ORIENTED_EDGE('',*,*,#192649,.T.); #259730=ORIENTED_EDGE('',*,*,#192648,.T.); #259731=ORIENTED_EDGE('',*,*,#192650,.F.); #259732=ORIENTED_EDGE('',*,*,#192651,.F.); #259733=ORIENTED_EDGE('',*,*,#192652,.T.); #259734=ORIENTED_EDGE('',*,*,#192651,.T.); #259735=ORIENTED_EDGE('',*,*,#192653,.F.); #259736=ORIENTED_EDGE('',*,*,#192654,.F.); #259737=ORIENTED_EDGE('',*,*,#192655,.T.); #259738=ORIENTED_EDGE('',*,*,#192654,.T.); #259739=ORIENTED_EDGE('',*,*,#192656,.F.); #259740=ORIENTED_EDGE('',*,*,#192657,.F.); #259741=ORIENTED_EDGE('',*,*,#192658,.T.); #259742=ORIENTED_EDGE('',*,*,#192657,.T.); #259743=ORIENTED_EDGE('',*,*,#192659,.F.); #259744=ORIENTED_EDGE('',*,*,#192660,.F.); #259745=ORIENTED_EDGE('',*,*,#192661,.T.); #259746=ORIENTED_EDGE('',*,*,#192660,.T.); #259747=ORIENTED_EDGE('',*,*,#192662,.F.); #259748=ORIENTED_EDGE('',*,*,#192663,.F.); #259749=ORIENTED_EDGE('',*,*,#192664,.T.); #259750=ORIENTED_EDGE('',*,*,#192663,.T.); #259751=ORIENTED_EDGE('',*,*,#192665,.F.); #259752=ORIENTED_EDGE('',*,*,#192666,.F.); #259753=ORIENTED_EDGE('',*,*,#192667,.T.); #259754=ORIENTED_EDGE('',*,*,#192666,.T.); #259755=ORIENTED_EDGE('',*,*,#192668,.F.); #259756=ORIENTED_EDGE('',*,*,#192669,.F.); #259757=ORIENTED_EDGE('',*,*,#192670,.T.); #259758=ORIENTED_EDGE('',*,*,#192669,.T.); #259759=ORIENTED_EDGE('',*,*,#192671,.F.); #259760=ORIENTED_EDGE('',*,*,#192672,.F.); #259761=ORIENTED_EDGE('',*,*,#192673,.T.); #259762=ORIENTED_EDGE('',*,*,#192672,.T.); #259763=ORIENTED_EDGE('',*,*,#192674,.F.); #259764=ORIENTED_EDGE('',*,*,#192675,.F.); #259765=ORIENTED_EDGE('',*,*,#192676,.T.); #259766=ORIENTED_EDGE('',*,*,#192675,.T.); #259767=ORIENTED_EDGE('',*,*,#192677,.F.); #259768=ORIENTED_EDGE('',*,*,#192678,.F.); #259769=ORIENTED_EDGE('',*,*,#192679,.T.); #259770=ORIENTED_EDGE('',*,*,#192678,.T.); #259771=ORIENTED_EDGE('',*,*,#192680,.F.); #259772=ORIENTED_EDGE('',*,*,#192681,.F.); #259773=ORIENTED_EDGE('',*,*,#192682,.T.); #259774=ORIENTED_EDGE('',*,*,#192681,.T.); #259775=ORIENTED_EDGE('',*,*,#192683,.F.); #259776=ORIENTED_EDGE('',*,*,#192684,.F.); #259777=ORIENTED_EDGE('',*,*,#192685,.T.); #259778=ORIENTED_EDGE('',*,*,#192684,.T.); #259779=ORIENTED_EDGE('',*,*,#192686,.F.); #259780=ORIENTED_EDGE('',*,*,#192687,.F.); #259781=ORIENTED_EDGE('',*,*,#192688,.T.); #259782=ORIENTED_EDGE('',*,*,#192687,.T.); #259783=ORIENTED_EDGE('',*,*,#192689,.F.); #259784=ORIENTED_EDGE('',*,*,#192690,.F.); #259785=ORIENTED_EDGE('',*,*,#192691,.T.); #259786=ORIENTED_EDGE('',*,*,#192690,.T.); #259787=ORIENTED_EDGE('',*,*,#192692,.F.); #259788=ORIENTED_EDGE('',*,*,#192693,.F.); #259789=ORIENTED_EDGE('',*,*,#192694,.T.); #259790=ORIENTED_EDGE('',*,*,#192693,.T.); #259791=ORIENTED_EDGE('',*,*,#192695,.F.); #259792=ORIENTED_EDGE('',*,*,#192696,.F.); #259793=ORIENTED_EDGE('',*,*,#192697,.T.); #259794=ORIENTED_EDGE('',*,*,#192696,.T.); #259795=ORIENTED_EDGE('',*,*,#192698,.F.); #259796=ORIENTED_EDGE('',*,*,#192517,.F.); #259797=ORIENTED_EDGE('',*,*,#192698,.T.); #259798=ORIENTED_EDGE('',*,*,#192695,.T.); #259799=ORIENTED_EDGE('',*,*,#192692,.T.); #259800=ORIENTED_EDGE('',*,*,#192689,.T.); #259801=ORIENTED_EDGE('',*,*,#192686,.T.); #259802=ORIENTED_EDGE('',*,*,#192683,.T.); #259803=ORIENTED_EDGE('',*,*,#192680,.T.); #259804=ORIENTED_EDGE('',*,*,#192677,.T.); #259805=ORIENTED_EDGE('',*,*,#192674,.T.); #259806=ORIENTED_EDGE('',*,*,#192671,.T.); #259807=ORIENTED_EDGE('',*,*,#192668,.T.); #259808=ORIENTED_EDGE('',*,*,#192665,.T.); #259809=ORIENTED_EDGE('',*,*,#192662,.T.); #259810=ORIENTED_EDGE('',*,*,#192659,.T.); #259811=ORIENTED_EDGE('',*,*,#192656,.T.); #259812=ORIENTED_EDGE('',*,*,#192653,.T.); #259813=ORIENTED_EDGE('',*,*,#192650,.T.); #259814=ORIENTED_EDGE('',*,*,#192647,.T.); #259815=ORIENTED_EDGE('',*,*,#192644,.T.); #259816=ORIENTED_EDGE('',*,*,#192641,.T.); #259817=ORIENTED_EDGE('',*,*,#192638,.T.); #259818=ORIENTED_EDGE('',*,*,#192635,.T.); #259819=ORIENTED_EDGE('',*,*,#192632,.T.); #259820=ORIENTED_EDGE('',*,*,#192629,.T.); #259821=ORIENTED_EDGE('',*,*,#192626,.T.); #259822=ORIENTED_EDGE('',*,*,#192623,.T.); #259823=ORIENTED_EDGE('',*,*,#192620,.T.); #259824=ORIENTED_EDGE('',*,*,#192617,.T.); #259825=ORIENTED_EDGE('',*,*,#192614,.T.); #259826=ORIENTED_EDGE('',*,*,#192611,.T.); #259827=ORIENTED_EDGE('',*,*,#192608,.T.); #259828=ORIENTED_EDGE('',*,*,#192605,.T.); #259829=ORIENTED_EDGE('',*,*,#192602,.T.); #259830=ORIENTED_EDGE('',*,*,#192599,.T.); #259831=ORIENTED_EDGE('',*,*,#192596,.T.); #259832=ORIENTED_EDGE('',*,*,#192593,.T.); #259833=ORIENTED_EDGE('',*,*,#192590,.T.); #259834=ORIENTED_EDGE('',*,*,#192587,.T.); #259835=ORIENTED_EDGE('',*,*,#192584,.T.); #259836=ORIENTED_EDGE('',*,*,#192581,.T.); #259837=ORIENTED_EDGE('',*,*,#192578,.T.); #259838=ORIENTED_EDGE('',*,*,#192575,.T.); #259839=ORIENTED_EDGE('',*,*,#192572,.T.); #259840=ORIENTED_EDGE('',*,*,#192569,.T.); #259841=ORIENTED_EDGE('',*,*,#192566,.T.); #259842=ORIENTED_EDGE('',*,*,#192563,.T.); #259843=ORIENTED_EDGE('',*,*,#192560,.T.); #259844=ORIENTED_EDGE('',*,*,#192557,.T.); #259845=ORIENTED_EDGE('',*,*,#192554,.T.); #259846=ORIENTED_EDGE('',*,*,#192551,.T.); #259847=ORIENTED_EDGE('',*,*,#192548,.T.); #259848=ORIENTED_EDGE('',*,*,#192545,.T.); #259849=ORIENTED_EDGE('',*,*,#192542,.T.); #259850=ORIENTED_EDGE('',*,*,#192539,.T.); #259851=ORIENTED_EDGE('',*,*,#192536,.T.); #259852=ORIENTED_EDGE('',*,*,#192533,.T.); #259853=ORIENTED_EDGE('',*,*,#192530,.T.); #259854=ORIENTED_EDGE('',*,*,#192527,.T.); #259855=ORIENTED_EDGE('',*,*,#192524,.T.); #259856=ORIENTED_EDGE('',*,*,#192521,.T.); #259857=ORIENTED_EDGE('',*,*,#192518,.T.); #259858=ORIENTED_EDGE('',*,*,#192512,.T.); #259859=ORIENTED_EDGE('',*,*,#192515,.T.); #259860=ORIENTED_EDGE('',*,*,#192697,.F.); #259861=ORIENTED_EDGE('',*,*,#192516,.F.); #259862=ORIENTED_EDGE('',*,*,#192520,.F.); #259863=ORIENTED_EDGE('',*,*,#192523,.F.); #259864=ORIENTED_EDGE('',*,*,#192526,.F.); #259865=ORIENTED_EDGE('',*,*,#192529,.F.); #259866=ORIENTED_EDGE('',*,*,#192532,.F.); #259867=ORIENTED_EDGE('',*,*,#192535,.F.); #259868=ORIENTED_EDGE('',*,*,#192538,.F.); #259869=ORIENTED_EDGE('',*,*,#192541,.F.); #259870=ORIENTED_EDGE('',*,*,#192544,.F.); #259871=ORIENTED_EDGE('',*,*,#192547,.F.); #259872=ORIENTED_EDGE('',*,*,#192550,.F.); #259873=ORIENTED_EDGE('',*,*,#192553,.F.); #259874=ORIENTED_EDGE('',*,*,#192556,.F.); #259875=ORIENTED_EDGE('',*,*,#192559,.F.); #259876=ORIENTED_EDGE('',*,*,#192562,.F.); #259877=ORIENTED_EDGE('',*,*,#192565,.F.); #259878=ORIENTED_EDGE('',*,*,#192568,.F.); #259879=ORIENTED_EDGE('',*,*,#192571,.F.); #259880=ORIENTED_EDGE('',*,*,#192574,.F.); #259881=ORIENTED_EDGE('',*,*,#192577,.F.); #259882=ORIENTED_EDGE('',*,*,#192580,.F.); #259883=ORIENTED_EDGE('',*,*,#192583,.F.); #259884=ORIENTED_EDGE('',*,*,#192586,.F.); #259885=ORIENTED_EDGE('',*,*,#192589,.F.); #259886=ORIENTED_EDGE('',*,*,#192592,.F.); #259887=ORIENTED_EDGE('',*,*,#192595,.F.); #259888=ORIENTED_EDGE('',*,*,#192598,.F.); #259889=ORIENTED_EDGE('',*,*,#192601,.F.); #259890=ORIENTED_EDGE('',*,*,#192604,.F.); #259891=ORIENTED_EDGE('',*,*,#192607,.F.); #259892=ORIENTED_EDGE('',*,*,#192610,.F.); #259893=ORIENTED_EDGE('',*,*,#192613,.F.); #259894=ORIENTED_EDGE('',*,*,#192616,.F.); #259895=ORIENTED_EDGE('',*,*,#192619,.F.); #259896=ORIENTED_EDGE('',*,*,#192622,.F.); #259897=ORIENTED_EDGE('',*,*,#192625,.F.); #259898=ORIENTED_EDGE('',*,*,#192628,.F.); #259899=ORIENTED_EDGE('',*,*,#192631,.F.); #259900=ORIENTED_EDGE('',*,*,#192634,.F.); #259901=ORIENTED_EDGE('',*,*,#192637,.F.); #259902=ORIENTED_EDGE('',*,*,#192640,.F.); #259903=ORIENTED_EDGE('',*,*,#192643,.F.); #259904=ORIENTED_EDGE('',*,*,#192646,.F.); #259905=ORIENTED_EDGE('',*,*,#192649,.F.); #259906=ORIENTED_EDGE('',*,*,#192652,.F.); #259907=ORIENTED_EDGE('',*,*,#192655,.F.); #259908=ORIENTED_EDGE('',*,*,#192658,.F.); #259909=ORIENTED_EDGE('',*,*,#192661,.F.); #259910=ORIENTED_EDGE('',*,*,#192664,.F.); #259911=ORIENTED_EDGE('',*,*,#192667,.F.); #259912=ORIENTED_EDGE('',*,*,#192670,.F.); #259913=ORIENTED_EDGE('',*,*,#192673,.F.); #259914=ORIENTED_EDGE('',*,*,#192676,.F.); #259915=ORIENTED_EDGE('',*,*,#192679,.F.); #259916=ORIENTED_EDGE('',*,*,#192682,.F.); #259917=ORIENTED_EDGE('',*,*,#192685,.F.); #259918=ORIENTED_EDGE('',*,*,#192688,.F.); #259919=ORIENTED_EDGE('',*,*,#192691,.F.); #259920=ORIENTED_EDGE('',*,*,#192694,.F.); #259921=ORIENTED_EDGE('',*,*,#192510,.T.); #259922=ORIENTED_EDGE('',*,*,#192513,.T.); #259923=ORIENTED_EDGE('',*,*,#192699,.F.); #259924=ORIENTED_EDGE('',*,*,#192700,.T.); #259925=ORIENTED_EDGE('',*,*,#192701,.F.); #259926=ORIENTED_EDGE('',*,*,#192700,.F.); #259927=ORIENTED_EDGE('',*,*,#192702,.F.); #259928=ORIENTED_EDGE('',*,*,#192703,.T.); #259929=ORIENTED_EDGE('',*,*,#192704,.F.); #259930=ORIENTED_EDGE('',*,*,#192703,.F.); #259931=ORIENTED_EDGE('',*,*,#192705,.T.); #259932=ORIENTED_EDGE('',*,*,#192706,.T.); #259933=ORIENTED_EDGE('',*,*,#192707,.F.); #259934=ORIENTED_EDGE('',*,*,#192708,.F.); #259935=ORIENTED_EDGE('',*,*,#192709,.T.); #259936=ORIENTED_EDGE('',*,*,#192708,.T.); #259937=ORIENTED_EDGE('',*,*,#192710,.F.); #259938=ORIENTED_EDGE('',*,*,#192711,.F.); #259939=ORIENTED_EDGE('',*,*,#192712,.T.); #259940=ORIENTED_EDGE('',*,*,#192711,.T.); #259941=ORIENTED_EDGE('',*,*,#192713,.F.); #259942=ORIENTED_EDGE('',*,*,#192714,.F.); #259943=ORIENTED_EDGE('',*,*,#192715,.T.); #259944=ORIENTED_EDGE('',*,*,#192714,.T.); #259945=ORIENTED_EDGE('',*,*,#192716,.F.); #259946=ORIENTED_EDGE('',*,*,#192717,.F.); #259947=ORIENTED_EDGE('',*,*,#192718,.T.); #259948=ORIENTED_EDGE('',*,*,#192717,.T.); #259949=ORIENTED_EDGE('',*,*,#192719,.F.); #259950=ORIENTED_EDGE('',*,*,#192720,.F.); #259951=ORIENTED_EDGE('',*,*,#192721,.T.); #259952=ORIENTED_EDGE('',*,*,#192720,.T.); #259953=ORIENTED_EDGE('',*,*,#192722,.F.); #259954=ORIENTED_EDGE('',*,*,#192723,.F.); #259955=ORIENTED_EDGE('',*,*,#192724,.T.); #259956=ORIENTED_EDGE('',*,*,#192723,.T.); #259957=ORIENTED_EDGE('',*,*,#192725,.F.); #259958=ORIENTED_EDGE('',*,*,#192726,.F.); #259959=ORIENTED_EDGE('',*,*,#192727,.T.); #259960=ORIENTED_EDGE('',*,*,#192726,.T.); #259961=ORIENTED_EDGE('',*,*,#192728,.F.); #259962=ORIENTED_EDGE('',*,*,#192706,.F.); #259963=ORIENTED_EDGE('',*,*,#192728,.T.); #259964=ORIENTED_EDGE('',*,*,#192725,.T.); #259965=ORIENTED_EDGE('',*,*,#192722,.T.); #259966=ORIENTED_EDGE('',*,*,#192719,.T.); #259967=ORIENTED_EDGE('',*,*,#192716,.T.); #259968=ORIENTED_EDGE('',*,*,#192713,.T.); #259969=ORIENTED_EDGE('',*,*,#192710,.T.); #259970=ORIENTED_EDGE('',*,*,#192707,.T.); #259971=ORIENTED_EDGE('',*,*,#192701,.T.); #259972=ORIENTED_EDGE('',*,*,#192704,.T.); #259973=ORIENTED_EDGE('',*,*,#192727,.F.); #259974=ORIENTED_EDGE('',*,*,#192705,.F.); #259975=ORIENTED_EDGE('',*,*,#192709,.F.); #259976=ORIENTED_EDGE('',*,*,#192712,.F.); #259977=ORIENTED_EDGE('',*,*,#192715,.F.); #259978=ORIENTED_EDGE('',*,*,#192718,.F.); #259979=ORIENTED_EDGE('',*,*,#192721,.F.); #259980=ORIENTED_EDGE('',*,*,#192724,.F.); #259981=ORIENTED_EDGE('',*,*,#192699,.T.); #259982=ORIENTED_EDGE('',*,*,#192702,.T.); #259983=ORIENTED_EDGE('',*,*,#192729,.F.); #259984=ORIENTED_EDGE('',*,*,#192730,.T.); #259985=ORIENTED_EDGE('',*,*,#192731,.F.); #259986=ORIENTED_EDGE('',*,*,#192730,.F.); #259987=ORIENTED_EDGE('',*,*,#192732,.F.); #259988=ORIENTED_EDGE('',*,*,#192733,.T.); #259989=ORIENTED_EDGE('',*,*,#192734,.F.); #259990=ORIENTED_EDGE('',*,*,#192733,.F.); #259991=ORIENTED_EDGE('',*,*,#192735,.T.); #259992=ORIENTED_EDGE('',*,*,#192736,.T.); #259993=ORIENTED_EDGE('',*,*,#192737,.F.); #259994=ORIENTED_EDGE('',*,*,#192738,.F.); #259995=ORIENTED_EDGE('',*,*,#192739,.T.); #259996=ORIENTED_EDGE('',*,*,#192738,.T.); #259997=ORIENTED_EDGE('',*,*,#192740,.F.); #259998=ORIENTED_EDGE('',*,*,#192741,.F.); #259999=ORIENTED_EDGE('',*,*,#192742,.T.); #260000=ORIENTED_EDGE('',*,*,#192741,.T.); #260001=ORIENTED_EDGE('',*,*,#192743,.F.); #260002=ORIENTED_EDGE('',*,*,#192744,.F.); #260003=ORIENTED_EDGE('',*,*,#192745,.T.); #260004=ORIENTED_EDGE('',*,*,#192744,.T.); #260005=ORIENTED_EDGE('',*,*,#192746,.F.); #260006=ORIENTED_EDGE('',*,*,#192747,.F.); #260007=ORIENTED_EDGE('',*,*,#192748,.T.); #260008=ORIENTED_EDGE('',*,*,#192747,.T.); #260009=ORIENTED_EDGE('',*,*,#192749,.F.); #260010=ORIENTED_EDGE('',*,*,#192750,.F.); #260011=ORIENTED_EDGE('',*,*,#192751,.T.); #260012=ORIENTED_EDGE('',*,*,#192750,.T.); #260013=ORIENTED_EDGE('',*,*,#192752,.F.); #260014=ORIENTED_EDGE('',*,*,#192753,.F.); #260015=ORIENTED_EDGE('',*,*,#192754,.T.); #260016=ORIENTED_EDGE('',*,*,#192753,.T.); #260017=ORIENTED_EDGE('',*,*,#192755,.F.); #260018=ORIENTED_EDGE('',*,*,#192756,.F.); #260019=ORIENTED_EDGE('',*,*,#192757,.T.); #260020=ORIENTED_EDGE('',*,*,#192756,.T.); #260021=ORIENTED_EDGE('',*,*,#192758,.F.); #260022=ORIENTED_EDGE('',*,*,#192736,.F.); #260023=ORIENTED_EDGE('',*,*,#192758,.T.); #260024=ORIENTED_EDGE('',*,*,#192755,.T.); #260025=ORIENTED_EDGE('',*,*,#192752,.T.); #260026=ORIENTED_EDGE('',*,*,#192749,.T.); #260027=ORIENTED_EDGE('',*,*,#192746,.T.); #260028=ORIENTED_EDGE('',*,*,#192743,.T.); #260029=ORIENTED_EDGE('',*,*,#192740,.T.); #260030=ORIENTED_EDGE('',*,*,#192737,.T.); #260031=ORIENTED_EDGE('',*,*,#192731,.T.); #260032=ORIENTED_EDGE('',*,*,#192734,.T.); #260033=ORIENTED_EDGE('',*,*,#192757,.F.); #260034=ORIENTED_EDGE('',*,*,#192735,.F.); #260035=ORIENTED_EDGE('',*,*,#192739,.F.); #260036=ORIENTED_EDGE('',*,*,#192742,.F.); #260037=ORIENTED_EDGE('',*,*,#192745,.F.); #260038=ORIENTED_EDGE('',*,*,#192748,.F.); #260039=ORIENTED_EDGE('',*,*,#192751,.F.); #260040=ORIENTED_EDGE('',*,*,#192754,.F.); #260041=ORIENTED_EDGE('',*,*,#192729,.T.); #260042=ORIENTED_EDGE('',*,*,#192732,.T.); #260043=ORIENTED_EDGE('',*,*,#192759,.F.); #260044=ORIENTED_EDGE('',*,*,#192760,.T.); #260045=ORIENTED_EDGE('',*,*,#192761,.F.); #260046=ORIENTED_EDGE('',*,*,#192760,.F.); #260047=ORIENTED_EDGE('',*,*,#192762,.F.); #260048=ORIENTED_EDGE('',*,*,#192763,.T.); #260049=ORIENTED_EDGE('',*,*,#192764,.F.); #260050=ORIENTED_EDGE('',*,*,#192763,.F.); #260051=ORIENTED_EDGE('',*,*,#192765,.T.); #260052=ORIENTED_EDGE('',*,*,#192766,.T.); #260053=ORIENTED_EDGE('',*,*,#192767,.F.); #260054=ORIENTED_EDGE('',*,*,#192768,.F.); #260055=ORIENTED_EDGE('',*,*,#192769,.T.); #260056=ORIENTED_EDGE('',*,*,#192768,.T.); #260057=ORIENTED_EDGE('',*,*,#192770,.F.); #260058=ORIENTED_EDGE('',*,*,#192771,.F.); #260059=ORIENTED_EDGE('',*,*,#192772,.T.); #260060=ORIENTED_EDGE('',*,*,#192771,.T.); #260061=ORIENTED_EDGE('',*,*,#192773,.F.); #260062=ORIENTED_EDGE('',*,*,#192774,.F.); #260063=ORIENTED_EDGE('',*,*,#192775,.T.); #260064=ORIENTED_EDGE('',*,*,#192774,.T.); #260065=ORIENTED_EDGE('',*,*,#192776,.F.); #260066=ORIENTED_EDGE('',*,*,#192777,.F.); #260067=ORIENTED_EDGE('',*,*,#192778,.T.); #260068=ORIENTED_EDGE('',*,*,#192777,.T.); #260069=ORIENTED_EDGE('',*,*,#192779,.F.); #260070=ORIENTED_EDGE('',*,*,#192780,.F.); #260071=ORIENTED_EDGE('',*,*,#192781,.T.); #260072=ORIENTED_EDGE('',*,*,#192780,.T.); #260073=ORIENTED_EDGE('',*,*,#192782,.F.); #260074=ORIENTED_EDGE('',*,*,#192783,.F.); #260075=ORIENTED_EDGE('',*,*,#192784,.T.); #260076=ORIENTED_EDGE('',*,*,#192783,.T.); #260077=ORIENTED_EDGE('',*,*,#192785,.F.); #260078=ORIENTED_EDGE('',*,*,#192786,.F.); #260079=ORIENTED_EDGE('',*,*,#192787,.T.); #260080=ORIENTED_EDGE('',*,*,#192786,.T.); #260081=ORIENTED_EDGE('',*,*,#192788,.F.); #260082=ORIENTED_EDGE('',*,*,#192766,.F.); #260083=ORIENTED_EDGE('',*,*,#192788,.T.); #260084=ORIENTED_EDGE('',*,*,#192785,.T.); #260085=ORIENTED_EDGE('',*,*,#192782,.T.); #260086=ORIENTED_EDGE('',*,*,#192779,.T.); #260087=ORIENTED_EDGE('',*,*,#192776,.T.); #260088=ORIENTED_EDGE('',*,*,#192773,.T.); #260089=ORIENTED_EDGE('',*,*,#192770,.T.); #260090=ORIENTED_EDGE('',*,*,#192767,.T.); #260091=ORIENTED_EDGE('',*,*,#192761,.T.); #260092=ORIENTED_EDGE('',*,*,#192764,.T.); #260093=ORIENTED_EDGE('',*,*,#192787,.F.); #260094=ORIENTED_EDGE('',*,*,#192765,.F.); #260095=ORIENTED_EDGE('',*,*,#192769,.F.); #260096=ORIENTED_EDGE('',*,*,#192772,.F.); #260097=ORIENTED_EDGE('',*,*,#192775,.F.); #260098=ORIENTED_EDGE('',*,*,#192778,.F.); #260099=ORIENTED_EDGE('',*,*,#192781,.F.); #260100=ORIENTED_EDGE('',*,*,#192784,.F.); #260101=ORIENTED_EDGE('',*,*,#192759,.T.); #260102=ORIENTED_EDGE('',*,*,#192762,.T.); #260103=ORIENTED_EDGE('',*,*,#192789,.F.); #260104=ORIENTED_EDGE('',*,*,#192790,.T.); #260105=ORIENTED_EDGE('',*,*,#192791,.F.); #260106=ORIENTED_EDGE('',*,*,#192790,.F.); #260107=ORIENTED_EDGE('',*,*,#192792,.F.); #260108=ORIENTED_EDGE('',*,*,#192793,.T.); #260109=ORIENTED_EDGE('',*,*,#192794,.F.); #260110=ORIENTED_EDGE('',*,*,#192793,.F.); #260111=ORIENTED_EDGE('',*,*,#192795,.T.); #260112=ORIENTED_EDGE('',*,*,#192796,.T.); #260113=ORIENTED_EDGE('',*,*,#192797,.F.); #260114=ORIENTED_EDGE('',*,*,#192798,.F.); #260115=ORIENTED_EDGE('',*,*,#192799,.T.); #260116=ORIENTED_EDGE('',*,*,#192798,.T.); #260117=ORIENTED_EDGE('',*,*,#192800,.F.); #260118=ORIENTED_EDGE('',*,*,#192801,.F.); #260119=ORIENTED_EDGE('',*,*,#192802,.T.); #260120=ORIENTED_EDGE('',*,*,#192801,.T.); #260121=ORIENTED_EDGE('',*,*,#192803,.F.); #260122=ORIENTED_EDGE('',*,*,#192804,.F.); #260123=ORIENTED_EDGE('',*,*,#192805,.T.); #260124=ORIENTED_EDGE('',*,*,#192804,.T.); #260125=ORIENTED_EDGE('',*,*,#192806,.F.); #260126=ORIENTED_EDGE('',*,*,#192807,.F.); #260127=ORIENTED_EDGE('',*,*,#192808,.T.); #260128=ORIENTED_EDGE('',*,*,#192807,.T.); #260129=ORIENTED_EDGE('',*,*,#192809,.F.); #260130=ORIENTED_EDGE('',*,*,#192810,.F.); #260131=ORIENTED_EDGE('',*,*,#192811,.T.); #260132=ORIENTED_EDGE('',*,*,#192810,.T.); #260133=ORIENTED_EDGE('',*,*,#192812,.F.); #260134=ORIENTED_EDGE('',*,*,#192813,.F.); #260135=ORIENTED_EDGE('',*,*,#192814,.T.); #260136=ORIENTED_EDGE('',*,*,#192813,.T.); #260137=ORIENTED_EDGE('',*,*,#192815,.F.); #260138=ORIENTED_EDGE('',*,*,#192816,.F.); #260139=ORIENTED_EDGE('',*,*,#192817,.T.); #260140=ORIENTED_EDGE('',*,*,#192816,.T.); #260141=ORIENTED_EDGE('',*,*,#192818,.F.); #260142=ORIENTED_EDGE('',*,*,#192819,.F.); #260143=ORIENTED_EDGE('',*,*,#192820,.T.); #260144=ORIENTED_EDGE('',*,*,#192819,.T.); #260145=ORIENTED_EDGE('',*,*,#192821,.F.); #260146=ORIENTED_EDGE('',*,*,#192822,.F.); #260147=ORIENTED_EDGE('',*,*,#192823,.T.); #260148=ORIENTED_EDGE('',*,*,#192822,.T.); #260149=ORIENTED_EDGE('',*,*,#192824,.F.); #260150=ORIENTED_EDGE('',*,*,#192825,.F.); #260151=ORIENTED_EDGE('',*,*,#192826,.T.); #260152=ORIENTED_EDGE('',*,*,#192825,.T.); #260153=ORIENTED_EDGE('',*,*,#192827,.F.); #260154=ORIENTED_EDGE('',*,*,#192828,.F.); #260155=ORIENTED_EDGE('',*,*,#192829,.T.); #260156=ORIENTED_EDGE('',*,*,#192828,.T.); #260157=ORIENTED_EDGE('',*,*,#192830,.F.); #260158=ORIENTED_EDGE('',*,*,#192831,.F.); #260159=ORIENTED_EDGE('',*,*,#192832,.T.); #260160=ORIENTED_EDGE('',*,*,#192831,.T.); #260161=ORIENTED_EDGE('',*,*,#192833,.F.); #260162=ORIENTED_EDGE('',*,*,#192796,.F.); #260163=ORIENTED_EDGE('',*,*,#192833,.T.); #260164=ORIENTED_EDGE('',*,*,#192830,.T.); #260165=ORIENTED_EDGE('',*,*,#192827,.T.); #260166=ORIENTED_EDGE('',*,*,#192824,.T.); #260167=ORIENTED_EDGE('',*,*,#192821,.T.); #260168=ORIENTED_EDGE('',*,*,#192818,.T.); #260169=ORIENTED_EDGE('',*,*,#192815,.T.); #260170=ORIENTED_EDGE('',*,*,#192812,.T.); #260171=ORIENTED_EDGE('',*,*,#192809,.T.); #260172=ORIENTED_EDGE('',*,*,#192806,.T.); #260173=ORIENTED_EDGE('',*,*,#192803,.T.); #260174=ORIENTED_EDGE('',*,*,#192800,.T.); #260175=ORIENTED_EDGE('',*,*,#192797,.T.); #260176=ORIENTED_EDGE('',*,*,#192791,.T.); #260177=ORIENTED_EDGE('',*,*,#192794,.T.); #260178=ORIENTED_EDGE('',*,*,#192832,.F.); #260179=ORIENTED_EDGE('',*,*,#192795,.F.); #260180=ORIENTED_EDGE('',*,*,#192799,.F.); #260181=ORIENTED_EDGE('',*,*,#192802,.F.); #260182=ORIENTED_EDGE('',*,*,#192805,.F.); #260183=ORIENTED_EDGE('',*,*,#192808,.F.); #260184=ORIENTED_EDGE('',*,*,#192811,.F.); #260185=ORIENTED_EDGE('',*,*,#192814,.F.); #260186=ORIENTED_EDGE('',*,*,#192817,.F.); #260187=ORIENTED_EDGE('',*,*,#192820,.F.); #260188=ORIENTED_EDGE('',*,*,#192823,.F.); #260189=ORIENTED_EDGE('',*,*,#192826,.F.); #260190=ORIENTED_EDGE('',*,*,#192829,.F.); #260191=ORIENTED_EDGE('',*,*,#192789,.T.); #260192=ORIENTED_EDGE('',*,*,#192792,.T.); #260193=ORIENTED_EDGE('',*,*,#192834,.F.); #260194=ORIENTED_EDGE('',*,*,#192835,.T.); #260195=ORIENTED_EDGE('',*,*,#192836,.F.); #260196=ORIENTED_EDGE('',*,*,#192835,.F.); #260197=ORIENTED_EDGE('',*,*,#192837,.T.); #260198=ORIENTED_EDGE('',*,*,#192838,.T.); #260199=ORIENTED_EDGE('',*,*,#192839,.F.); #260200=ORIENTED_EDGE('',*,*,#192840,.F.); #260201=ORIENTED_EDGE('',*,*,#192841,.T.); #260202=ORIENTED_EDGE('',*,*,#192840,.T.); #260203=ORIENTED_EDGE('',*,*,#192842,.F.); #260204=ORIENTED_EDGE('',*,*,#192843,.F.); #260205=ORIENTED_EDGE('',*,*,#192844,.T.); #260206=ORIENTED_EDGE('',*,*,#192843,.T.); #260207=ORIENTED_EDGE('',*,*,#192845,.F.); #260208=ORIENTED_EDGE('',*,*,#192846,.F.); #260209=ORIENTED_EDGE('',*,*,#192847,.T.); #260210=ORIENTED_EDGE('',*,*,#192846,.T.); #260211=ORIENTED_EDGE('',*,*,#192848,.F.); #260212=ORIENTED_EDGE('',*,*,#192838,.F.); #260213=ORIENTED_EDGE('',*,*,#192848,.T.); #260214=ORIENTED_EDGE('',*,*,#192845,.T.); #260215=ORIENTED_EDGE('',*,*,#192842,.T.); #260216=ORIENTED_EDGE('',*,*,#192839,.T.); #260217=ORIENTED_EDGE('',*,*,#192836,.T.); #260218=ORIENTED_EDGE('',*,*,#192847,.F.); #260219=ORIENTED_EDGE('',*,*,#192837,.F.); #260220=ORIENTED_EDGE('',*,*,#192841,.F.); #260221=ORIENTED_EDGE('',*,*,#192844,.F.); #260222=ORIENTED_EDGE('',*,*,#192834,.T.); #260223=ORIENTED_EDGE('',*,*,#192849,.F.); #260224=ORIENTED_EDGE('',*,*,#192850,.T.); #260225=ORIENTED_EDGE('',*,*,#192851,.F.); #260226=ORIENTED_EDGE('',*,*,#192850,.F.); #260227=ORIENTED_EDGE('',*,*,#192852,.T.); #260228=ORIENTED_EDGE('',*,*,#192853,.T.); #260229=ORIENTED_EDGE('',*,*,#192854,.F.); #260230=ORIENTED_EDGE('',*,*,#192855,.F.); #260231=ORIENTED_EDGE('',*,*,#192856,.T.); #260232=ORIENTED_EDGE('',*,*,#192855,.T.); #260233=ORIENTED_EDGE('',*,*,#192857,.F.); #260234=ORIENTED_EDGE('',*,*,#192858,.F.); #260235=ORIENTED_EDGE('',*,*,#192859,.T.); #260236=ORIENTED_EDGE('',*,*,#192858,.T.); #260237=ORIENTED_EDGE('',*,*,#192860,.F.); #260238=ORIENTED_EDGE('',*,*,#192861,.F.); #260239=ORIENTED_EDGE('',*,*,#192862,.T.); #260240=ORIENTED_EDGE('',*,*,#192861,.T.); #260241=ORIENTED_EDGE('',*,*,#192863,.F.); #260242=ORIENTED_EDGE('',*,*,#192853,.F.); #260243=ORIENTED_EDGE('',*,*,#192863,.T.); #260244=ORIENTED_EDGE('',*,*,#192860,.T.); #260245=ORIENTED_EDGE('',*,*,#192857,.T.); #260246=ORIENTED_EDGE('',*,*,#192854,.T.); #260247=ORIENTED_EDGE('',*,*,#192851,.T.); #260248=ORIENTED_EDGE('',*,*,#192862,.F.); #260249=ORIENTED_EDGE('',*,*,#192852,.F.); #260250=ORIENTED_EDGE('',*,*,#192856,.F.); #260251=ORIENTED_EDGE('',*,*,#192859,.F.); #260252=ORIENTED_EDGE('',*,*,#192849,.T.); #260253=ORIENTED_EDGE('',*,*,#192864,.F.); #260254=ORIENTED_EDGE('',*,*,#192865,.T.); #260255=ORIENTED_EDGE('',*,*,#192866,.F.); #260256=ORIENTED_EDGE('',*,*,#192865,.F.); #260257=ORIENTED_EDGE('',*,*,#192867,.T.); #260258=ORIENTED_EDGE('',*,*,#192868,.T.); #260259=ORIENTED_EDGE('',*,*,#192869,.F.); #260260=ORIENTED_EDGE('',*,*,#192870,.F.); #260261=ORIENTED_EDGE('',*,*,#192871,.T.); #260262=ORIENTED_EDGE('',*,*,#192870,.T.); #260263=ORIENTED_EDGE('',*,*,#192872,.F.); #260264=ORIENTED_EDGE('',*,*,#192873,.F.); #260265=ORIENTED_EDGE('',*,*,#192874,.T.); #260266=ORIENTED_EDGE('',*,*,#192873,.T.); #260267=ORIENTED_EDGE('',*,*,#192875,.F.); #260268=ORIENTED_EDGE('',*,*,#192876,.F.); #260269=ORIENTED_EDGE('',*,*,#192877,.T.); #260270=ORIENTED_EDGE('',*,*,#192876,.T.); #260271=ORIENTED_EDGE('',*,*,#192878,.F.); #260272=ORIENTED_EDGE('',*,*,#192868,.F.); #260273=ORIENTED_EDGE('',*,*,#192878,.T.); #260274=ORIENTED_EDGE('',*,*,#192875,.T.); #260275=ORIENTED_EDGE('',*,*,#192872,.T.); #260276=ORIENTED_EDGE('',*,*,#192869,.T.); #260277=ORIENTED_EDGE('',*,*,#192866,.T.); #260278=ORIENTED_EDGE('',*,*,#192877,.F.); #260279=ORIENTED_EDGE('',*,*,#192867,.F.); #260280=ORIENTED_EDGE('',*,*,#192871,.F.); #260281=ORIENTED_EDGE('',*,*,#192874,.F.); #260282=ORIENTED_EDGE('',*,*,#192864,.T.); #260283=ORIENTED_EDGE('',*,*,#192879,.F.); #260284=ORIENTED_EDGE('',*,*,#192880,.T.); #260285=ORIENTED_EDGE('',*,*,#192881,.F.); #260286=ORIENTED_EDGE('',*,*,#192880,.F.); #260287=ORIENTED_EDGE('',*,*,#192882,.T.); #260288=ORIENTED_EDGE('',*,*,#192883,.T.); #260289=ORIENTED_EDGE('',*,*,#192884,.F.); #260290=ORIENTED_EDGE('',*,*,#192885,.F.); #260291=ORIENTED_EDGE('',*,*,#192886,.T.); #260292=ORIENTED_EDGE('',*,*,#192885,.T.); #260293=ORIENTED_EDGE('',*,*,#192887,.F.); #260294=ORIENTED_EDGE('',*,*,#192888,.F.); #260295=ORIENTED_EDGE('',*,*,#192889,.T.); #260296=ORIENTED_EDGE('',*,*,#192888,.T.); #260297=ORIENTED_EDGE('',*,*,#192890,.F.); #260298=ORIENTED_EDGE('',*,*,#192891,.F.); #260299=ORIENTED_EDGE('',*,*,#192892,.T.); #260300=ORIENTED_EDGE('',*,*,#192891,.T.); #260301=ORIENTED_EDGE('',*,*,#192893,.F.); #260302=ORIENTED_EDGE('',*,*,#192894,.F.); #260303=ORIENTED_EDGE('',*,*,#192895,.T.); #260304=ORIENTED_EDGE('',*,*,#192894,.T.); #260305=ORIENTED_EDGE('',*,*,#192896,.F.); #260306=ORIENTED_EDGE('',*,*,#192897,.F.); #260307=ORIENTED_EDGE('',*,*,#192898,.T.); #260308=ORIENTED_EDGE('',*,*,#192897,.T.); #260309=ORIENTED_EDGE('',*,*,#192899,.F.); #260310=ORIENTED_EDGE('',*,*,#192900,.F.); #260311=ORIENTED_EDGE('',*,*,#192901,.T.); #260312=ORIENTED_EDGE('',*,*,#192900,.T.); #260313=ORIENTED_EDGE('',*,*,#192902,.F.); #260314=ORIENTED_EDGE('',*,*,#192903,.F.); #260315=ORIENTED_EDGE('',*,*,#192904,.T.); #260316=ORIENTED_EDGE('',*,*,#192903,.T.); #260317=ORIENTED_EDGE('',*,*,#192905,.F.); #260318=ORIENTED_EDGE('',*,*,#192906,.F.); #260319=ORIENTED_EDGE('',*,*,#192907,.T.); #260320=ORIENTED_EDGE('',*,*,#192906,.T.); #260321=ORIENTED_EDGE('',*,*,#192908,.F.); #260322=ORIENTED_EDGE('',*,*,#192909,.F.); #260323=ORIENTED_EDGE('',*,*,#192910,.T.); #260324=ORIENTED_EDGE('',*,*,#192909,.T.); #260325=ORIENTED_EDGE('',*,*,#192911,.F.); #260326=ORIENTED_EDGE('',*,*,#192883,.F.); #260327=ORIENTED_EDGE('',*,*,#192911,.T.); #260328=ORIENTED_EDGE('',*,*,#192908,.T.); #260329=ORIENTED_EDGE('',*,*,#192905,.T.); #260330=ORIENTED_EDGE('',*,*,#192902,.T.); #260331=ORIENTED_EDGE('',*,*,#192899,.T.); #260332=ORIENTED_EDGE('',*,*,#192896,.T.); #260333=ORIENTED_EDGE('',*,*,#192893,.T.); #260334=ORIENTED_EDGE('',*,*,#192890,.T.); #260335=ORIENTED_EDGE('',*,*,#192887,.T.); #260336=ORIENTED_EDGE('',*,*,#192884,.T.); #260337=ORIENTED_EDGE('',*,*,#192881,.T.); #260338=ORIENTED_EDGE('',*,*,#192910,.F.); #260339=ORIENTED_EDGE('',*,*,#192882,.F.); #260340=ORIENTED_EDGE('',*,*,#192886,.F.); #260341=ORIENTED_EDGE('',*,*,#192889,.F.); #260342=ORIENTED_EDGE('',*,*,#192892,.F.); #260343=ORIENTED_EDGE('',*,*,#192895,.F.); #260344=ORIENTED_EDGE('',*,*,#192898,.F.); #260345=ORIENTED_EDGE('',*,*,#192901,.F.); #260346=ORIENTED_EDGE('',*,*,#192904,.F.); #260347=ORIENTED_EDGE('',*,*,#192907,.F.); #260348=ORIENTED_EDGE('',*,*,#192879,.T.); #260349=ORIENTED_EDGE('',*,*,#192912,.F.); #260350=ORIENTED_EDGE('',*,*,#192913,.T.); #260351=ORIENTED_EDGE('',*,*,#192914,.F.); #260352=ORIENTED_EDGE('',*,*,#192913,.F.); #260353=ORIENTED_EDGE('',*,*,#192915,.F.); #260354=ORIENTED_EDGE('',*,*,#192916,.T.); #260355=ORIENTED_EDGE('',*,*,#192917,.F.); #260356=ORIENTED_EDGE('',*,*,#192916,.F.); #260357=ORIENTED_EDGE('',*,*,#192918,.T.); #260358=ORIENTED_EDGE('',*,*,#192919,.T.); #260359=ORIENTED_EDGE('',*,*,#192920,.F.); #260360=ORIENTED_EDGE('',*,*,#192921,.F.); #260361=ORIENTED_EDGE('',*,*,#192922,.T.); #260362=ORIENTED_EDGE('',*,*,#192921,.T.); #260363=ORIENTED_EDGE('',*,*,#192923,.F.); #260364=ORIENTED_EDGE('',*,*,#192924,.F.); #260365=ORIENTED_EDGE('',*,*,#192925,.T.); #260366=ORIENTED_EDGE('',*,*,#192924,.T.); #260367=ORIENTED_EDGE('',*,*,#192926,.F.); #260368=ORIENTED_EDGE('',*,*,#192927,.F.); #260369=ORIENTED_EDGE('',*,*,#192928,.T.); #260370=ORIENTED_EDGE('',*,*,#192927,.T.); #260371=ORIENTED_EDGE('',*,*,#192929,.F.); #260372=ORIENTED_EDGE('',*,*,#192930,.F.); #260373=ORIENTED_EDGE('',*,*,#192931,.T.); #260374=ORIENTED_EDGE('',*,*,#192930,.T.); #260375=ORIENTED_EDGE('',*,*,#192932,.F.); #260376=ORIENTED_EDGE('',*,*,#192933,.F.); #260377=ORIENTED_EDGE('',*,*,#192934,.T.); #260378=ORIENTED_EDGE('',*,*,#192933,.T.); #260379=ORIENTED_EDGE('',*,*,#192935,.F.); #260380=ORIENTED_EDGE('',*,*,#192936,.F.); #260381=ORIENTED_EDGE('',*,*,#192937,.T.); #260382=ORIENTED_EDGE('',*,*,#192936,.T.); #260383=ORIENTED_EDGE('',*,*,#192938,.F.); #260384=ORIENTED_EDGE('',*,*,#192939,.F.); #260385=ORIENTED_EDGE('',*,*,#192940,.T.); #260386=ORIENTED_EDGE('',*,*,#192939,.T.); #260387=ORIENTED_EDGE('',*,*,#192941,.F.); #260388=ORIENTED_EDGE('',*,*,#192942,.F.); #260389=ORIENTED_EDGE('',*,*,#192943,.T.); #260390=ORIENTED_EDGE('',*,*,#192942,.T.); #260391=ORIENTED_EDGE('',*,*,#192944,.F.); #260392=ORIENTED_EDGE('',*,*,#192945,.F.); #260393=ORIENTED_EDGE('',*,*,#192946,.T.); #260394=ORIENTED_EDGE('',*,*,#192945,.T.); #260395=ORIENTED_EDGE('',*,*,#192947,.F.); #260396=ORIENTED_EDGE('',*,*,#192948,.F.); #260397=ORIENTED_EDGE('',*,*,#192949,.T.); #260398=ORIENTED_EDGE('',*,*,#192948,.T.); #260399=ORIENTED_EDGE('',*,*,#192950,.F.); #260400=ORIENTED_EDGE('',*,*,#192951,.F.); #260401=ORIENTED_EDGE('',*,*,#192952,.T.); #260402=ORIENTED_EDGE('',*,*,#192951,.T.); #260403=ORIENTED_EDGE('',*,*,#192953,.F.); #260404=ORIENTED_EDGE('',*,*,#192954,.F.); #260405=ORIENTED_EDGE('',*,*,#192955,.T.); #260406=ORIENTED_EDGE('',*,*,#192954,.T.); #260407=ORIENTED_EDGE('',*,*,#192956,.F.); #260408=ORIENTED_EDGE('',*,*,#192957,.F.); #260409=ORIENTED_EDGE('',*,*,#192958,.T.); #260410=ORIENTED_EDGE('',*,*,#192957,.T.); #260411=ORIENTED_EDGE('',*,*,#192959,.F.); #260412=ORIENTED_EDGE('',*,*,#192960,.F.); #260413=ORIENTED_EDGE('',*,*,#192961,.T.); #260414=ORIENTED_EDGE('',*,*,#192960,.T.); #260415=ORIENTED_EDGE('',*,*,#192962,.F.); #260416=ORIENTED_EDGE('',*,*,#192963,.F.); #260417=ORIENTED_EDGE('',*,*,#192964,.T.); #260418=ORIENTED_EDGE('',*,*,#192963,.T.); #260419=ORIENTED_EDGE('',*,*,#192965,.F.); #260420=ORIENTED_EDGE('',*,*,#192966,.F.); #260421=ORIENTED_EDGE('',*,*,#192967,.T.); #260422=ORIENTED_EDGE('',*,*,#192966,.T.); #260423=ORIENTED_EDGE('',*,*,#192968,.F.); #260424=ORIENTED_EDGE('',*,*,#192969,.F.); #260425=ORIENTED_EDGE('',*,*,#192970,.T.); #260426=ORIENTED_EDGE('',*,*,#192969,.T.); #260427=ORIENTED_EDGE('',*,*,#192971,.F.); #260428=ORIENTED_EDGE('',*,*,#192972,.F.); #260429=ORIENTED_EDGE('',*,*,#192973,.T.); #260430=ORIENTED_EDGE('',*,*,#192972,.T.); #260431=ORIENTED_EDGE('',*,*,#192974,.F.); #260432=ORIENTED_EDGE('',*,*,#192975,.F.); #260433=ORIENTED_EDGE('',*,*,#192976,.T.); #260434=ORIENTED_EDGE('',*,*,#192975,.T.); #260435=ORIENTED_EDGE('',*,*,#192977,.F.); #260436=ORIENTED_EDGE('',*,*,#192978,.F.); #260437=ORIENTED_EDGE('',*,*,#192979,.T.); #260438=ORIENTED_EDGE('',*,*,#192978,.T.); #260439=ORIENTED_EDGE('',*,*,#192980,.F.); #260440=ORIENTED_EDGE('',*,*,#192981,.F.); #260441=ORIENTED_EDGE('',*,*,#192982,.T.); #260442=ORIENTED_EDGE('',*,*,#192981,.T.); #260443=ORIENTED_EDGE('',*,*,#192983,.F.); #260444=ORIENTED_EDGE('',*,*,#192984,.F.); #260445=ORIENTED_EDGE('',*,*,#192985,.T.); #260446=ORIENTED_EDGE('',*,*,#192984,.T.); #260447=ORIENTED_EDGE('',*,*,#192986,.F.); #260448=ORIENTED_EDGE('',*,*,#192987,.F.); #260449=ORIENTED_EDGE('',*,*,#192988,.T.); #260450=ORIENTED_EDGE('',*,*,#192987,.T.); #260451=ORIENTED_EDGE('',*,*,#192989,.F.); #260452=ORIENTED_EDGE('',*,*,#192990,.F.); #260453=ORIENTED_EDGE('',*,*,#192991,.T.); #260454=ORIENTED_EDGE('',*,*,#192990,.T.); #260455=ORIENTED_EDGE('',*,*,#192992,.F.); #260456=ORIENTED_EDGE('',*,*,#192993,.F.); #260457=ORIENTED_EDGE('',*,*,#192994,.T.); #260458=ORIENTED_EDGE('',*,*,#192993,.T.); #260459=ORIENTED_EDGE('',*,*,#192995,.F.); #260460=ORIENTED_EDGE('',*,*,#192996,.F.); #260461=ORIENTED_EDGE('',*,*,#192997,.T.); #260462=ORIENTED_EDGE('',*,*,#192996,.T.); #260463=ORIENTED_EDGE('',*,*,#192998,.F.); #260464=ORIENTED_EDGE('',*,*,#192999,.F.); #260465=ORIENTED_EDGE('',*,*,#193000,.T.); #260466=ORIENTED_EDGE('',*,*,#192999,.T.); #260467=ORIENTED_EDGE('',*,*,#193001,.F.); #260468=ORIENTED_EDGE('',*,*,#193002,.F.); #260469=ORIENTED_EDGE('',*,*,#193003,.T.); #260470=ORIENTED_EDGE('',*,*,#193002,.T.); #260471=ORIENTED_EDGE('',*,*,#193004,.F.); #260472=ORIENTED_EDGE('',*,*,#193005,.F.); #260473=ORIENTED_EDGE('',*,*,#193006,.T.); #260474=ORIENTED_EDGE('',*,*,#193005,.T.); #260475=ORIENTED_EDGE('',*,*,#193007,.F.); #260476=ORIENTED_EDGE('',*,*,#193008,.F.); #260477=ORIENTED_EDGE('',*,*,#193009,.T.); #260478=ORIENTED_EDGE('',*,*,#193008,.T.); #260479=ORIENTED_EDGE('',*,*,#193010,.F.); #260480=ORIENTED_EDGE('',*,*,#193011,.F.); #260481=ORIENTED_EDGE('',*,*,#193012,.T.); #260482=ORIENTED_EDGE('',*,*,#193011,.T.); #260483=ORIENTED_EDGE('',*,*,#193013,.F.); #260484=ORIENTED_EDGE('',*,*,#193014,.F.); #260485=ORIENTED_EDGE('',*,*,#193015,.T.); #260486=ORIENTED_EDGE('',*,*,#193014,.T.); #260487=ORIENTED_EDGE('',*,*,#193016,.F.); #260488=ORIENTED_EDGE('',*,*,#193017,.F.); #260489=ORIENTED_EDGE('',*,*,#193018,.T.); #260490=ORIENTED_EDGE('',*,*,#193017,.T.); #260491=ORIENTED_EDGE('',*,*,#193019,.F.); #260492=ORIENTED_EDGE('',*,*,#193020,.F.); #260493=ORIENTED_EDGE('',*,*,#193021,.T.); #260494=ORIENTED_EDGE('',*,*,#193020,.T.); #260495=ORIENTED_EDGE('',*,*,#193022,.F.); #260496=ORIENTED_EDGE('',*,*,#193023,.F.); #260497=ORIENTED_EDGE('',*,*,#193024,.T.); #260498=ORIENTED_EDGE('',*,*,#193023,.T.); #260499=ORIENTED_EDGE('',*,*,#193025,.F.); #260500=ORIENTED_EDGE('',*,*,#193026,.F.); #260501=ORIENTED_EDGE('',*,*,#193027,.T.); #260502=ORIENTED_EDGE('',*,*,#193026,.T.); #260503=ORIENTED_EDGE('',*,*,#193028,.F.); #260504=ORIENTED_EDGE('',*,*,#193029,.F.); #260505=ORIENTED_EDGE('',*,*,#193030,.T.); #260506=ORIENTED_EDGE('',*,*,#193029,.T.); #260507=ORIENTED_EDGE('',*,*,#193031,.F.); #260508=ORIENTED_EDGE('',*,*,#193032,.F.); #260509=ORIENTED_EDGE('',*,*,#193033,.T.); #260510=ORIENTED_EDGE('',*,*,#193032,.T.); #260511=ORIENTED_EDGE('',*,*,#193034,.F.); #260512=ORIENTED_EDGE('',*,*,#193035,.F.); #260513=ORIENTED_EDGE('',*,*,#193036,.T.); #260514=ORIENTED_EDGE('',*,*,#193035,.T.); #260515=ORIENTED_EDGE('',*,*,#193037,.F.); #260516=ORIENTED_EDGE('',*,*,#193038,.F.); #260517=ORIENTED_EDGE('',*,*,#193039,.T.); #260518=ORIENTED_EDGE('',*,*,#193038,.T.); #260519=ORIENTED_EDGE('',*,*,#193040,.F.); #260520=ORIENTED_EDGE('',*,*,#193041,.F.); #260521=ORIENTED_EDGE('',*,*,#193042,.T.); #260522=ORIENTED_EDGE('',*,*,#193041,.T.); #260523=ORIENTED_EDGE('',*,*,#193043,.F.); #260524=ORIENTED_EDGE('',*,*,#193044,.F.); #260525=ORIENTED_EDGE('',*,*,#193045,.T.); #260526=ORIENTED_EDGE('',*,*,#193044,.T.); #260527=ORIENTED_EDGE('',*,*,#193046,.F.); #260528=ORIENTED_EDGE('',*,*,#193047,.F.); #260529=ORIENTED_EDGE('',*,*,#193048,.T.); #260530=ORIENTED_EDGE('',*,*,#193047,.T.); #260531=ORIENTED_EDGE('',*,*,#193049,.F.); #260532=ORIENTED_EDGE('',*,*,#193050,.F.); #260533=ORIENTED_EDGE('',*,*,#193051,.T.); #260534=ORIENTED_EDGE('',*,*,#193050,.T.); #260535=ORIENTED_EDGE('',*,*,#193052,.F.); #260536=ORIENTED_EDGE('',*,*,#193053,.F.); #260537=ORIENTED_EDGE('',*,*,#193054,.T.); #260538=ORIENTED_EDGE('',*,*,#193053,.T.); #260539=ORIENTED_EDGE('',*,*,#193055,.F.); #260540=ORIENTED_EDGE('',*,*,#193056,.F.); #260541=ORIENTED_EDGE('',*,*,#193057,.T.); #260542=ORIENTED_EDGE('',*,*,#193056,.T.); #260543=ORIENTED_EDGE('',*,*,#193058,.F.); #260544=ORIENTED_EDGE('',*,*,#193059,.F.); #260545=ORIENTED_EDGE('',*,*,#193060,.T.); #260546=ORIENTED_EDGE('',*,*,#193059,.T.); #260547=ORIENTED_EDGE('',*,*,#193061,.F.); #260548=ORIENTED_EDGE('',*,*,#193062,.F.); #260549=ORIENTED_EDGE('',*,*,#193063,.T.); #260550=ORIENTED_EDGE('',*,*,#193062,.T.); #260551=ORIENTED_EDGE('',*,*,#193064,.F.); #260552=ORIENTED_EDGE('',*,*,#193065,.F.); #260553=ORIENTED_EDGE('',*,*,#193066,.T.); #260554=ORIENTED_EDGE('',*,*,#193065,.T.); #260555=ORIENTED_EDGE('',*,*,#193067,.F.); #260556=ORIENTED_EDGE('',*,*,#193068,.F.); #260557=ORIENTED_EDGE('',*,*,#193069,.T.); #260558=ORIENTED_EDGE('',*,*,#193068,.T.); #260559=ORIENTED_EDGE('',*,*,#193070,.F.); #260560=ORIENTED_EDGE('',*,*,#193071,.F.); #260561=ORIENTED_EDGE('',*,*,#193072,.T.); #260562=ORIENTED_EDGE('',*,*,#193071,.T.); #260563=ORIENTED_EDGE('',*,*,#193073,.F.); #260564=ORIENTED_EDGE('',*,*,#193074,.F.); #260565=ORIENTED_EDGE('',*,*,#193075,.T.); #260566=ORIENTED_EDGE('',*,*,#193074,.T.); #260567=ORIENTED_EDGE('',*,*,#193076,.F.); #260568=ORIENTED_EDGE('',*,*,#193077,.F.); #260569=ORIENTED_EDGE('',*,*,#193078,.T.); #260570=ORIENTED_EDGE('',*,*,#193077,.T.); #260571=ORIENTED_EDGE('',*,*,#193079,.F.); #260572=ORIENTED_EDGE('',*,*,#193080,.F.); #260573=ORIENTED_EDGE('',*,*,#193081,.T.); #260574=ORIENTED_EDGE('',*,*,#193080,.T.); #260575=ORIENTED_EDGE('',*,*,#193082,.F.); #260576=ORIENTED_EDGE('',*,*,#193083,.F.); #260577=ORIENTED_EDGE('',*,*,#193084,.T.); #260578=ORIENTED_EDGE('',*,*,#193083,.T.); #260579=ORIENTED_EDGE('',*,*,#193085,.F.); #260580=ORIENTED_EDGE('',*,*,#193086,.F.); #260581=ORIENTED_EDGE('',*,*,#193087,.T.); #260582=ORIENTED_EDGE('',*,*,#193086,.T.); #260583=ORIENTED_EDGE('',*,*,#193088,.F.); #260584=ORIENTED_EDGE('',*,*,#193089,.F.); #260585=ORIENTED_EDGE('',*,*,#193090,.T.); #260586=ORIENTED_EDGE('',*,*,#193089,.T.); #260587=ORIENTED_EDGE('',*,*,#193091,.F.); #260588=ORIENTED_EDGE('',*,*,#193092,.F.); #260589=ORIENTED_EDGE('',*,*,#193093,.T.); #260590=ORIENTED_EDGE('',*,*,#193092,.T.); #260591=ORIENTED_EDGE('',*,*,#193094,.F.); #260592=ORIENTED_EDGE('',*,*,#193095,.F.); #260593=ORIENTED_EDGE('',*,*,#193096,.T.); #260594=ORIENTED_EDGE('',*,*,#193095,.T.); #260595=ORIENTED_EDGE('',*,*,#193097,.F.); #260596=ORIENTED_EDGE('',*,*,#193098,.F.); #260597=ORIENTED_EDGE('',*,*,#193099,.T.); #260598=ORIENTED_EDGE('',*,*,#193098,.T.); #260599=ORIENTED_EDGE('',*,*,#193100,.F.); #260600=ORIENTED_EDGE('',*,*,#192919,.F.); #260601=ORIENTED_EDGE('',*,*,#193100,.T.); #260602=ORIENTED_EDGE('',*,*,#193097,.T.); #260603=ORIENTED_EDGE('',*,*,#193094,.T.); #260604=ORIENTED_EDGE('',*,*,#193091,.T.); #260605=ORIENTED_EDGE('',*,*,#193088,.T.); #260606=ORIENTED_EDGE('',*,*,#193085,.T.); #260607=ORIENTED_EDGE('',*,*,#193082,.T.); #260608=ORIENTED_EDGE('',*,*,#193079,.T.); #260609=ORIENTED_EDGE('',*,*,#193076,.T.); #260610=ORIENTED_EDGE('',*,*,#193073,.T.); #260611=ORIENTED_EDGE('',*,*,#193070,.T.); #260612=ORIENTED_EDGE('',*,*,#193067,.T.); #260613=ORIENTED_EDGE('',*,*,#193064,.T.); #260614=ORIENTED_EDGE('',*,*,#193061,.T.); #260615=ORIENTED_EDGE('',*,*,#193058,.T.); #260616=ORIENTED_EDGE('',*,*,#193055,.T.); #260617=ORIENTED_EDGE('',*,*,#193052,.T.); #260618=ORIENTED_EDGE('',*,*,#193049,.T.); #260619=ORIENTED_EDGE('',*,*,#193046,.T.); #260620=ORIENTED_EDGE('',*,*,#193043,.T.); #260621=ORIENTED_EDGE('',*,*,#193040,.T.); #260622=ORIENTED_EDGE('',*,*,#193037,.T.); #260623=ORIENTED_EDGE('',*,*,#193034,.T.); #260624=ORIENTED_EDGE('',*,*,#193031,.T.); #260625=ORIENTED_EDGE('',*,*,#193028,.T.); #260626=ORIENTED_EDGE('',*,*,#193025,.T.); #260627=ORIENTED_EDGE('',*,*,#193022,.T.); #260628=ORIENTED_EDGE('',*,*,#193019,.T.); #260629=ORIENTED_EDGE('',*,*,#193016,.T.); #260630=ORIENTED_EDGE('',*,*,#193013,.T.); #260631=ORIENTED_EDGE('',*,*,#193010,.T.); #260632=ORIENTED_EDGE('',*,*,#193007,.T.); #260633=ORIENTED_EDGE('',*,*,#193004,.T.); #260634=ORIENTED_EDGE('',*,*,#193001,.T.); #260635=ORIENTED_EDGE('',*,*,#192998,.T.); #260636=ORIENTED_EDGE('',*,*,#192995,.T.); #260637=ORIENTED_EDGE('',*,*,#192992,.T.); #260638=ORIENTED_EDGE('',*,*,#192989,.T.); #260639=ORIENTED_EDGE('',*,*,#192986,.T.); #260640=ORIENTED_EDGE('',*,*,#192983,.T.); #260641=ORIENTED_EDGE('',*,*,#192980,.T.); #260642=ORIENTED_EDGE('',*,*,#192977,.T.); #260643=ORIENTED_EDGE('',*,*,#192974,.T.); #260644=ORIENTED_EDGE('',*,*,#192971,.T.); #260645=ORIENTED_EDGE('',*,*,#192968,.T.); #260646=ORIENTED_EDGE('',*,*,#192965,.T.); #260647=ORIENTED_EDGE('',*,*,#192962,.T.); #260648=ORIENTED_EDGE('',*,*,#192959,.T.); #260649=ORIENTED_EDGE('',*,*,#192956,.T.); #260650=ORIENTED_EDGE('',*,*,#192953,.T.); #260651=ORIENTED_EDGE('',*,*,#192950,.T.); #260652=ORIENTED_EDGE('',*,*,#192947,.T.); #260653=ORIENTED_EDGE('',*,*,#192944,.T.); #260654=ORIENTED_EDGE('',*,*,#192941,.T.); #260655=ORIENTED_EDGE('',*,*,#192938,.T.); #260656=ORIENTED_EDGE('',*,*,#192935,.T.); #260657=ORIENTED_EDGE('',*,*,#192932,.T.); #260658=ORIENTED_EDGE('',*,*,#192929,.T.); #260659=ORIENTED_EDGE('',*,*,#192926,.T.); #260660=ORIENTED_EDGE('',*,*,#192923,.T.); #260661=ORIENTED_EDGE('',*,*,#192920,.T.); #260662=ORIENTED_EDGE('',*,*,#192914,.T.); #260663=ORIENTED_EDGE('',*,*,#192917,.T.); #260664=ORIENTED_EDGE('',*,*,#193099,.F.); #260665=ORIENTED_EDGE('',*,*,#192918,.F.); #260666=ORIENTED_EDGE('',*,*,#192922,.F.); #260667=ORIENTED_EDGE('',*,*,#192925,.F.); #260668=ORIENTED_EDGE('',*,*,#192928,.F.); #260669=ORIENTED_EDGE('',*,*,#192931,.F.); #260670=ORIENTED_EDGE('',*,*,#192934,.F.); #260671=ORIENTED_EDGE('',*,*,#192937,.F.); #260672=ORIENTED_EDGE('',*,*,#192940,.F.); #260673=ORIENTED_EDGE('',*,*,#192943,.F.); #260674=ORIENTED_EDGE('',*,*,#192946,.F.); #260675=ORIENTED_EDGE('',*,*,#192949,.F.); #260676=ORIENTED_EDGE('',*,*,#192952,.F.); #260677=ORIENTED_EDGE('',*,*,#192955,.F.); #260678=ORIENTED_EDGE('',*,*,#192958,.F.); #260679=ORIENTED_EDGE('',*,*,#192961,.F.); #260680=ORIENTED_EDGE('',*,*,#192964,.F.); #260681=ORIENTED_EDGE('',*,*,#192967,.F.); #260682=ORIENTED_EDGE('',*,*,#192970,.F.); #260683=ORIENTED_EDGE('',*,*,#192973,.F.); #260684=ORIENTED_EDGE('',*,*,#192976,.F.); #260685=ORIENTED_EDGE('',*,*,#192979,.F.); #260686=ORIENTED_EDGE('',*,*,#192982,.F.); #260687=ORIENTED_EDGE('',*,*,#192985,.F.); #260688=ORIENTED_EDGE('',*,*,#192988,.F.); #260689=ORIENTED_EDGE('',*,*,#192991,.F.); #260690=ORIENTED_EDGE('',*,*,#192994,.F.); #260691=ORIENTED_EDGE('',*,*,#192997,.F.); #260692=ORIENTED_EDGE('',*,*,#193000,.F.); #260693=ORIENTED_EDGE('',*,*,#193003,.F.); #260694=ORIENTED_EDGE('',*,*,#193006,.F.); #260695=ORIENTED_EDGE('',*,*,#193009,.F.); #260696=ORIENTED_EDGE('',*,*,#193012,.F.); #260697=ORIENTED_EDGE('',*,*,#193015,.F.); #260698=ORIENTED_EDGE('',*,*,#193018,.F.); #260699=ORIENTED_EDGE('',*,*,#193021,.F.); #260700=ORIENTED_EDGE('',*,*,#193024,.F.); #260701=ORIENTED_EDGE('',*,*,#193027,.F.); #260702=ORIENTED_EDGE('',*,*,#193030,.F.); #260703=ORIENTED_EDGE('',*,*,#193033,.F.); #260704=ORIENTED_EDGE('',*,*,#193036,.F.); #260705=ORIENTED_EDGE('',*,*,#193039,.F.); #260706=ORIENTED_EDGE('',*,*,#193042,.F.); #260707=ORIENTED_EDGE('',*,*,#193045,.F.); #260708=ORIENTED_EDGE('',*,*,#193048,.F.); #260709=ORIENTED_EDGE('',*,*,#193051,.F.); #260710=ORIENTED_EDGE('',*,*,#193054,.F.); #260711=ORIENTED_EDGE('',*,*,#193057,.F.); #260712=ORIENTED_EDGE('',*,*,#193060,.F.); #260713=ORIENTED_EDGE('',*,*,#193063,.F.); #260714=ORIENTED_EDGE('',*,*,#193066,.F.); #260715=ORIENTED_EDGE('',*,*,#193069,.F.); #260716=ORIENTED_EDGE('',*,*,#193072,.F.); #260717=ORIENTED_EDGE('',*,*,#193075,.F.); #260718=ORIENTED_EDGE('',*,*,#193078,.F.); #260719=ORIENTED_EDGE('',*,*,#193081,.F.); #260720=ORIENTED_EDGE('',*,*,#193084,.F.); #260721=ORIENTED_EDGE('',*,*,#193087,.F.); #260722=ORIENTED_EDGE('',*,*,#193090,.F.); #260723=ORIENTED_EDGE('',*,*,#193093,.F.); #260724=ORIENTED_EDGE('',*,*,#193096,.F.); #260725=ORIENTED_EDGE('',*,*,#192912,.T.); #260726=ORIENTED_EDGE('',*,*,#192915,.T.); #260727=ORIENTED_EDGE('',*,*,#193101,.F.); #260728=ORIENTED_EDGE('',*,*,#193102,.T.); #260729=ORIENTED_EDGE('',*,*,#193103,.F.); #260730=ORIENTED_EDGE('',*,*,#193102,.F.); #260731=ORIENTED_EDGE('',*,*,#193104,.F.); #260732=ORIENTED_EDGE('',*,*,#193105,.T.); #260733=ORIENTED_EDGE('',*,*,#193106,.F.); #260734=ORIENTED_EDGE('',*,*,#193105,.F.); #260735=ORIENTED_EDGE('',*,*,#193107,.T.); #260736=ORIENTED_EDGE('',*,*,#193108,.T.); #260737=ORIENTED_EDGE('',*,*,#193109,.F.); #260738=ORIENTED_EDGE('',*,*,#193110,.F.); #260739=ORIENTED_EDGE('',*,*,#193111,.T.); #260740=ORIENTED_EDGE('',*,*,#193110,.T.); #260741=ORIENTED_EDGE('',*,*,#193112,.F.); #260742=ORIENTED_EDGE('',*,*,#193113,.F.); #260743=ORIENTED_EDGE('',*,*,#193114,.T.); #260744=ORIENTED_EDGE('',*,*,#193113,.T.); #260745=ORIENTED_EDGE('',*,*,#193115,.F.); #260746=ORIENTED_EDGE('',*,*,#193116,.F.); #260747=ORIENTED_EDGE('',*,*,#193117,.T.); #260748=ORIENTED_EDGE('',*,*,#193116,.T.); #260749=ORIENTED_EDGE('',*,*,#193118,.F.); #260750=ORIENTED_EDGE('',*,*,#193119,.F.); #260751=ORIENTED_EDGE('',*,*,#193120,.T.); #260752=ORIENTED_EDGE('',*,*,#193119,.T.); #260753=ORIENTED_EDGE('',*,*,#193121,.F.); #260754=ORIENTED_EDGE('',*,*,#193122,.F.); #260755=ORIENTED_EDGE('',*,*,#193123,.T.); #260756=ORIENTED_EDGE('',*,*,#193122,.T.); #260757=ORIENTED_EDGE('',*,*,#193124,.F.); #260758=ORIENTED_EDGE('',*,*,#193125,.F.); #260759=ORIENTED_EDGE('',*,*,#193126,.T.); #260760=ORIENTED_EDGE('',*,*,#193125,.T.); #260761=ORIENTED_EDGE('',*,*,#193127,.F.); #260762=ORIENTED_EDGE('',*,*,#193108,.F.); #260763=ORIENTED_EDGE('',*,*,#193127,.T.); #260764=ORIENTED_EDGE('',*,*,#193124,.T.); #260765=ORIENTED_EDGE('',*,*,#193121,.T.); #260766=ORIENTED_EDGE('',*,*,#193118,.T.); #260767=ORIENTED_EDGE('',*,*,#193115,.T.); #260768=ORIENTED_EDGE('',*,*,#193112,.T.); #260769=ORIENTED_EDGE('',*,*,#193109,.T.); #260770=ORIENTED_EDGE('',*,*,#193103,.T.); #260771=ORIENTED_EDGE('',*,*,#193106,.T.); #260772=ORIENTED_EDGE('',*,*,#193126,.F.); #260773=ORIENTED_EDGE('',*,*,#193107,.F.); #260774=ORIENTED_EDGE('',*,*,#193111,.F.); #260775=ORIENTED_EDGE('',*,*,#193114,.F.); #260776=ORIENTED_EDGE('',*,*,#193117,.F.); #260777=ORIENTED_EDGE('',*,*,#193120,.F.); #260778=ORIENTED_EDGE('',*,*,#193123,.F.); #260779=ORIENTED_EDGE('',*,*,#193101,.T.); #260780=ORIENTED_EDGE('',*,*,#193104,.T.); #260781=ORIENTED_EDGE('',*,*,#193128,.F.); #260782=ORIENTED_EDGE('',*,*,#193129,.T.); #260783=ORIENTED_EDGE('',*,*,#193130,.F.); #260784=ORIENTED_EDGE('',*,*,#193129,.F.); #260785=ORIENTED_EDGE('',*,*,#193131,.T.); #260786=ORIENTED_EDGE('',*,*,#193132,.T.); #260787=ORIENTED_EDGE('',*,*,#193133,.F.); #260788=ORIENTED_EDGE('',*,*,#193134,.F.); #260789=ORIENTED_EDGE('',*,*,#193135,.T.); #260790=ORIENTED_EDGE('',*,*,#193134,.T.); #260791=ORIENTED_EDGE('',*,*,#193136,.F.); #260792=ORIENTED_EDGE('',*,*,#193137,.F.); #260793=ORIENTED_EDGE('',*,*,#193138,.T.); #260794=ORIENTED_EDGE('',*,*,#193137,.T.); #260795=ORIENTED_EDGE('',*,*,#193139,.F.); #260796=ORIENTED_EDGE('',*,*,#193140,.F.); #260797=ORIENTED_EDGE('',*,*,#193141,.T.); #260798=ORIENTED_EDGE('',*,*,#193140,.T.); #260799=ORIENTED_EDGE('',*,*,#193142,.F.); #260800=ORIENTED_EDGE('',*,*,#193143,.F.); #260801=ORIENTED_EDGE('',*,*,#193144,.T.); #260802=ORIENTED_EDGE('',*,*,#193143,.T.); #260803=ORIENTED_EDGE('',*,*,#193145,.F.); #260804=ORIENTED_EDGE('',*,*,#193146,.F.); #260805=ORIENTED_EDGE('',*,*,#193147,.T.); #260806=ORIENTED_EDGE('',*,*,#193146,.T.); #260807=ORIENTED_EDGE('',*,*,#193148,.F.); #260808=ORIENTED_EDGE('',*,*,#193149,.F.); #260809=ORIENTED_EDGE('',*,*,#193150,.T.); #260810=ORIENTED_EDGE('',*,*,#193149,.T.); #260811=ORIENTED_EDGE('',*,*,#193151,.F.); #260812=ORIENTED_EDGE('',*,*,#193152,.F.); #260813=ORIENTED_EDGE('',*,*,#193153,.T.); #260814=ORIENTED_EDGE('',*,*,#193152,.T.); #260815=ORIENTED_EDGE('',*,*,#193154,.F.); #260816=ORIENTED_EDGE('',*,*,#193155,.F.); #260817=ORIENTED_EDGE('',*,*,#193156,.T.); #260818=ORIENTED_EDGE('',*,*,#193155,.T.); #260819=ORIENTED_EDGE('',*,*,#193157,.F.); #260820=ORIENTED_EDGE('',*,*,#193158,.F.); #260821=ORIENTED_EDGE('',*,*,#193159,.T.); #260822=ORIENTED_EDGE('',*,*,#193158,.T.); #260823=ORIENTED_EDGE('',*,*,#193160,.F.); #260824=ORIENTED_EDGE('',*,*,#193132,.F.); #260825=ORIENTED_EDGE('',*,*,#193160,.T.); #260826=ORIENTED_EDGE('',*,*,#193157,.T.); #260827=ORIENTED_EDGE('',*,*,#193154,.T.); #260828=ORIENTED_EDGE('',*,*,#193151,.T.); #260829=ORIENTED_EDGE('',*,*,#193148,.T.); #260830=ORIENTED_EDGE('',*,*,#193145,.T.); #260831=ORIENTED_EDGE('',*,*,#193142,.T.); #260832=ORIENTED_EDGE('',*,*,#193139,.T.); #260833=ORIENTED_EDGE('',*,*,#193136,.T.); #260834=ORIENTED_EDGE('',*,*,#193133,.T.); #260835=ORIENTED_EDGE('',*,*,#193130,.T.); #260836=ORIENTED_EDGE('',*,*,#193159,.F.); #260837=ORIENTED_EDGE('',*,*,#193131,.F.); #260838=ORIENTED_EDGE('',*,*,#193135,.F.); #260839=ORIENTED_EDGE('',*,*,#193138,.F.); #260840=ORIENTED_EDGE('',*,*,#193141,.F.); #260841=ORIENTED_EDGE('',*,*,#193144,.F.); #260842=ORIENTED_EDGE('',*,*,#193147,.F.); #260843=ORIENTED_EDGE('',*,*,#193150,.F.); #260844=ORIENTED_EDGE('',*,*,#193153,.F.); #260845=ORIENTED_EDGE('',*,*,#193156,.F.); #260846=ORIENTED_EDGE('',*,*,#193128,.T.); #260847=ORIENTED_EDGE('',*,*,#193161,.F.); #260848=ORIENTED_EDGE('',*,*,#193162,.T.); #260849=ORIENTED_EDGE('',*,*,#193163,.F.); #260850=ORIENTED_EDGE('',*,*,#193162,.F.); #260851=ORIENTED_EDGE('',*,*,#193164,.T.); #260852=ORIENTED_EDGE('',*,*,#193165,.T.); #260853=ORIENTED_EDGE('',*,*,#193166,.F.); #260854=ORIENTED_EDGE('',*,*,#193167,.F.); #260855=ORIENTED_EDGE('',*,*,#193168,.T.); #260856=ORIENTED_EDGE('',*,*,#193167,.T.); #260857=ORIENTED_EDGE('',*,*,#193169,.F.); #260858=ORIENTED_EDGE('',*,*,#193170,.F.); #260859=ORIENTED_EDGE('',*,*,#193171,.T.); #260860=ORIENTED_EDGE('',*,*,#193170,.T.); #260861=ORIENTED_EDGE('',*,*,#193172,.F.); #260862=ORIENTED_EDGE('',*,*,#193173,.F.); #260863=ORIENTED_EDGE('',*,*,#193174,.T.); #260864=ORIENTED_EDGE('',*,*,#193173,.T.); #260865=ORIENTED_EDGE('',*,*,#193175,.F.); #260866=ORIENTED_EDGE('',*,*,#193176,.F.); #260867=ORIENTED_EDGE('',*,*,#193177,.T.); #260868=ORIENTED_EDGE('',*,*,#193176,.T.); #260869=ORIENTED_EDGE('',*,*,#193178,.F.); #260870=ORIENTED_EDGE('',*,*,#193179,.F.); #260871=ORIENTED_EDGE('',*,*,#193180,.T.); #260872=ORIENTED_EDGE('',*,*,#193179,.T.); #260873=ORIENTED_EDGE('',*,*,#193181,.F.); #260874=ORIENTED_EDGE('',*,*,#193182,.F.); #260875=ORIENTED_EDGE('',*,*,#193183,.T.); #260876=ORIENTED_EDGE('',*,*,#193182,.T.); #260877=ORIENTED_EDGE('',*,*,#193184,.F.); #260878=ORIENTED_EDGE('',*,*,#193185,.F.); #260879=ORIENTED_EDGE('',*,*,#193186,.T.); #260880=ORIENTED_EDGE('',*,*,#193185,.T.); #260881=ORIENTED_EDGE('',*,*,#193187,.F.); #260882=ORIENTED_EDGE('',*,*,#193188,.F.); #260883=ORIENTED_EDGE('',*,*,#193189,.T.); #260884=ORIENTED_EDGE('',*,*,#193188,.T.); #260885=ORIENTED_EDGE('',*,*,#193190,.F.); #260886=ORIENTED_EDGE('',*,*,#193191,.F.); #260887=ORIENTED_EDGE('',*,*,#193192,.T.); #260888=ORIENTED_EDGE('',*,*,#193191,.T.); #260889=ORIENTED_EDGE('',*,*,#193193,.F.); #260890=ORIENTED_EDGE('',*,*,#193165,.F.); #260891=ORIENTED_EDGE('',*,*,#193193,.T.); #260892=ORIENTED_EDGE('',*,*,#193190,.T.); #260893=ORIENTED_EDGE('',*,*,#193187,.T.); #260894=ORIENTED_EDGE('',*,*,#193184,.T.); #260895=ORIENTED_EDGE('',*,*,#193181,.T.); #260896=ORIENTED_EDGE('',*,*,#193178,.T.); #260897=ORIENTED_EDGE('',*,*,#193175,.T.); #260898=ORIENTED_EDGE('',*,*,#193172,.T.); #260899=ORIENTED_EDGE('',*,*,#193169,.T.); #260900=ORIENTED_EDGE('',*,*,#193166,.T.); #260901=ORIENTED_EDGE('',*,*,#193163,.T.); #260902=ORIENTED_EDGE('',*,*,#193192,.F.); #260903=ORIENTED_EDGE('',*,*,#193164,.F.); #260904=ORIENTED_EDGE('',*,*,#193168,.F.); #260905=ORIENTED_EDGE('',*,*,#193171,.F.); #260906=ORIENTED_EDGE('',*,*,#193174,.F.); #260907=ORIENTED_EDGE('',*,*,#193177,.F.); #260908=ORIENTED_EDGE('',*,*,#193180,.F.); #260909=ORIENTED_EDGE('',*,*,#193183,.F.); #260910=ORIENTED_EDGE('',*,*,#193186,.F.); #260911=ORIENTED_EDGE('',*,*,#193189,.F.); #260912=ORIENTED_EDGE('',*,*,#193161,.T.); #260913=ORIENTED_EDGE('',*,*,#193194,.F.); #260914=ORIENTED_EDGE('',*,*,#193195,.T.); #260915=ORIENTED_EDGE('',*,*,#193196,.F.); #260916=ORIENTED_EDGE('',*,*,#193195,.F.); #260917=ORIENTED_EDGE('',*,*,#193197,.T.); #260918=ORIENTED_EDGE('',*,*,#193198,.T.); #260919=ORIENTED_EDGE('',*,*,#193199,.F.); #260920=ORIENTED_EDGE('',*,*,#193200,.F.); #260921=ORIENTED_EDGE('',*,*,#193201,.T.); #260922=ORIENTED_EDGE('',*,*,#193200,.T.); #260923=ORIENTED_EDGE('',*,*,#193202,.F.); #260924=ORIENTED_EDGE('',*,*,#193203,.F.); #260925=ORIENTED_EDGE('',*,*,#193204,.T.); #260926=ORIENTED_EDGE('',*,*,#193203,.T.); #260927=ORIENTED_EDGE('',*,*,#193205,.F.); #260928=ORIENTED_EDGE('',*,*,#193206,.F.); #260929=ORIENTED_EDGE('',*,*,#193207,.T.); #260930=ORIENTED_EDGE('',*,*,#193206,.T.); #260931=ORIENTED_EDGE('',*,*,#193208,.F.); #260932=ORIENTED_EDGE('',*,*,#193209,.F.); #260933=ORIENTED_EDGE('',*,*,#193210,.T.); #260934=ORIENTED_EDGE('',*,*,#193209,.T.); #260935=ORIENTED_EDGE('',*,*,#193211,.F.); #260936=ORIENTED_EDGE('',*,*,#193212,.F.); #260937=ORIENTED_EDGE('',*,*,#193213,.T.); #260938=ORIENTED_EDGE('',*,*,#193212,.T.); #260939=ORIENTED_EDGE('',*,*,#193214,.F.); #260940=ORIENTED_EDGE('',*,*,#193215,.F.); #260941=ORIENTED_EDGE('',*,*,#193216,.T.); #260942=ORIENTED_EDGE('',*,*,#193215,.T.); #260943=ORIENTED_EDGE('',*,*,#193217,.F.); #260944=ORIENTED_EDGE('',*,*,#193218,.F.); #260945=ORIENTED_EDGE('',*,*,#193219,.T.); #260946=ORIENTED_EDGE('',*,*,#193218,.T.); #260947=ORIENTED_EDGE('',*,*,#193220,.F.); #260948=ORIENTED_EDGE('',*,*,#193221,.F.); #260949=ORIENTED_EDGE('',*,*,#193222,.T.); #260950=ORIENTED_EDGE('',*,*,#193221,.T.); #260951=ORIENTED_EDGE('',*,*,#193223,.F.); #260952=ORIENTED_EDGE('',*,*,#193224,.F.); #260953=ORIENTED_EDGE('',*,*,#193225,.T.); #260954=ORIENTED_EDGE('',*,*,#193224,.T.); #260955=ORIENTED_EDGE('',*,*,#193226,.F.); #260956=ORIENTED_EDGE('',*,*,#193227,.F.); #260957=ORIENTED_EDGE('',*,*,#193228,.T.); #260958=ORIENTED_EDGE('',*,*,#193227,.T.); #260959=ORIENTED_EDGE('',*,*,#193229,.F.); #260960=ORIENTED_EDGE('',*,*,#193230,.F.); #260961=ORIENTED_EDGE('',*,*,#193231,.T.); #260962=ORIENTED_EDGE('',*,*,#193230,.T.); #260963=ORIENTED_EDGE('',*,*,#193232,.F.); #260964=ORIENTED_EDGE('',*,*,#193233,.F.); #260965=ORIENTED_EDGE('',*,*,#193234,.T.); #260966=ORIENTED_EDGE('',*,*,#193233,.T.); #260967=ORIENTED_EDGE('',*,*,#193235,.F.); #260968=ORIENTED_EDGE('',*,*,#193236,.F.); #260969=ORIENTED_EDGE('',*,*,#193237,.T.); #260970=ORIENTED_EDGE('',*,*,#193236,.T.); #260971=ORIENTED_EDGE('',*,*,#193238,.F.); #260972=ORIENTED_EDGE('',*,*,#193239,.F.); #260973=ORIENTED_EDGE('',*,*,#193240,.T.); #260974=ORIENTED_EDGE('',*,*,#193239,.T.); #260975=ORIENTED_EDGE('',*,*,#193241,.F.); #260976=ORIENTED_EDGE('',*,*,#193242,.F.); #260977=ORIENTED_EDGE('',*,*,#193243,.T.); #260978=ORIENTED_EDGE('',*,*,#193242,.T.); #260979=ORIENTED_EDGE('',*,*,#193244,.F.); #260980=ORIENTED_EDGE('',*,*,#193245,.F.); #260981=ORIENTED_EDGE('',*,*,#193246,.T.); #260982=ORIENTED_EDGE('',*,*,#193245,.T.); #260983=ORIENTED_EDGE('',*,*,#193247,.F.); #260984=ORIENTED_EDGE('',*,*,#193198,.F.); #260985=ORIENTED_EDGE('',*,*,#193247,.T.); #260986=ORIENTED_EDGE('',*,*,#193244,.T.); #260987=ORIENTED_EDGE('',*,*,#193241,.T.); #260988=ORIENTED_EDGE('',*,*,#193238,.T.); #260989=ORIENTED_EDGE('',*,*,#193235,.T.); #260990=ORIENTED_EDGE('',*,*,#193232,.T.); #260991=ORIENTED_EDGE('',*,*,#193229,.T.); #260992=ORIENTED_EDGE('',*,*,#193226,.T.); #260993=ORIENTED_EDGE('',*,*,#193223,.T.); #260994=ORIENTED_EDGE('',*,*,#193220,.T.); #260995=ORIENTED_EDGE('',*,*,#193217,.T.); #260996=ORIENTED_EDGE('',*,*,#193214,.T.); #260997=ORIENTED_EDGE('',*,*,#193211,.T.); #260998=ORIENTED_EDGE('',*,*,#193208,.T.); #260999=ORIENTED_EDGE('',*,*,#193205,.T.); #261000=ORIENTED_EDGE('',*,*,#193202,.T.); #261001=ORIENTED_EDGE('',*,*,#193199,.T.); #261002=ORIENTED_EDGE('',*,*,#193196,.T.); #261003=ORIENTED_EDGE('',*,*,#193246,.F.); #261004=ORIENTED_EDGE('',*,*,#193197,.F.); #261005=ORIENTED_EDGE('',*,*,#193201,.F.); #261006=ORIENTED_EDGE('',*,*,#193204,.F.); #261007=ORIENTED_EDGE('',*,*,#193207,.F.); #261008=ORIENTED_EDGE('',*,*,#193210,.F.); #261009=ORIENTED_EDGE('',*,*,#193213,.F.); #261010=ORIENTED_EDGE('',*,*,#193216,.F.); #261011=ORIENTED_EDGE('',*,*,#193219,.F.); #261012=ORIENTED_EDGE('',*,*,#193222,.F.); #261013=ORIENTED_EDGE('',*,*,#193225,.F.); #261014=ORIENTED_EDGE('',*,*,#193228,.F.); #261015=ORIENTED_EDGE('',*,*,#193231,.F.); #261016=ORIENTED_EDGE('',*,*,#193234,.F.); #261017=ORIENTED_EDGE('',*,*,#193237,.F.); #261018=ORIENTED_EDGE('',*,*,#193240,.F.); #261019=ORIENTED_EDGE('',*,*,#193243,.F.); #261020=ORIENTED_EDGE('',*,*,#193194,.T.); #261021=ORIENTED_EDGE('',*,*,#193248,.F.); #261022=ORIENTED_EDGE('',*,*,#193249,.T.); #261023=ORIENTED_EDGE('',*,*,#193250,.F.); #261024=ORIENTED_EDGE('',*,*,#193249,.F.); #261025=ORIENTED_EDGE('',*,*,#193251,.T.); #261026=ORIENTED_EDGE('',*,*,#193252,.T.); #261027=ORIENTED_EDGE('',*,*,#193253,.F.); #261028=ORIENTED_EDGE('',*,*,#193254,.F.); #261029=ORIENTED_EDGE('',*,*,#193255,.T.); #261030=ORIENTED_EDGE('',*,*,#193254,.T.); #261031=ORIENTED_EDGE('',*,*,#193256,.F.); #261032=ORIENTED_EDGE('',*,*,#193257,.F.); #261033=ORIENTED_EDGE('',*,*,#193258,.T.); #261034=ORIENTED_EDGE('',*,*,#193257,.T.); #261035=ORIENTED_EDGE('',*,*,#193259,.F.); #261036=ORIENTED_EDGE('',*,*,#193260,.F.); #261037=ORIENTED_EDGE('',*,*,#193261,.T.); #261038=ORIENTED_EDGE('',*,*,#193260,.T.); #261039=ORIENTED_EDGE('',*,*,#193262,.F.); #261040=ORIENTED_EDGE('',*,*,#193263,.F.); #261041=ORIENTED_EDGE('',*,*,#193264,.T.); #261042=ORIENTED_EDGE('',*,*,#193263,.T.); #261043=ORIENTED_EDGE('',*,*,#193265,.F.); #261044=ORIENTED_EDGE('',*,*,#193266,.F.); #261045=ORIENTED_EDGE('',*,*,#193267,.T.); #261046=ORIENTED_EDGE('',*,*,#193266,.T.); #261047=ORIENTED_EDGE('',*,*,#193268,.F.); #261048=ORIENTED_EDGE('',*,*,#193269,.F.); #261049=ORIENTED_EDGE('',*,*,#193270,.T.); #261050=ORIENTED_EDGE('',*,*,#193269,.T.); #261051=ORIENTED_EDGE('',*,*,#193271,.F.); #261052=ORIENTED_EDGE('',*,*,#193272,.F.); #261053=ORIENTED_EDGE('',*,*,#193273,.T.); #261054=ORIENTED_EDGE('',*,*,#193272,.T.); #261055=ORIENTED_EDGE('',*,*,#193274,.F.); #261056=ORIENTED_EDGE('',*,*,#193275,.F.); #261057=ORIENTED_EDGE('',*,*,#193276,.T.); #261058=ORIENTED_EDGE('',*,*,#193275,.T.); #261059=ORIENTED_EDGE('',*,*,#193277,.F.); #261060=ORIENTED_EDGE('',*,*,#193278,.F.); #261061=ORIENTED_EDGE('',*,*,#193279,.T.); #261062=ORIENTED_EDGE('',*,*,#193278,.T.); #261063=ORIENTED_EDGE('',*,*,#193280,.F.); #261064=ORIENTED_EDGE('',*,*,#193281,.F.); #261065=ORIENTED_EDGE('',*,*,#193282,.T.); #261066=ORIENTED_EDGE('',*,*,#193281,.T.); #261067=ORIENTED_EDGE('',*,*,#193283,.F.); #261068=ORIENTED_EDGE('',*,*,#193284,.F.); #261069=ORIENTED_EDGE('',*,*,#193285,.T.); #261070=ORIENTED_EDGE('',*,*,#193284,.T.); #261071=ORIENTED_EDGE('',*,*,#193286,.F.); #261072=ORIENTED_EDGE('',*,*,#193287,.F.); #261073=ORIENTED_EDGE('',*,*,#193288,.T.); #261074=ORIENTED_EDGE('',*,*,#193287,.T.); #261075=ORIENTED_EDGE('',*,*,#193289,.F.); #261076=ORIENTED_EDGE('',*,*,#193290,.F.); #261077=ORIENTED_EDGE('',*,*,#193291,.T.); #261078=ORIENTED_EDGE('',*,*,#193290,.T.); #261079=ORIENTED_EDGE('',*,*,#193292,.F.); #261080=ORIENTED_EDGE('',*,*,#193293,.F.); #261081=ORIENTED_EDGE('',*,*,#193294,.T.); #261082=ORIENTED_EDGE('',*,*,#193293,.T.); #261083=ORIENTED_EDGE('',*,*,#193295,.F.); #261084=ORIENTED_EDGE('',*,*,#193252,.F.); #261085=ORIENTED_EDGE('',*,*,#193295,.T.); #261086=ORIENTED_EDGE('',*,*,#193292,.T.); #261087=ORIENTED_EDGE('',*,*,#193289,.T.); #261088=ORIENTED_EDGE('',*,*,#193286,.T.); #261089=ORIENTED_EDGE('',*,*,#193283,.T.); #261090=ORIENTED_EDGE('',*,*,#193280,.T.); #261091=ORIENTED_EDGE('',*,*,#193277,.T.); #261092=ORIENTED_EDGE('',*,*,#193274,.T.); #261093=ORIENTED_EDGE('',*,*,#193271,.T.); #261094=ORIENTED_EDGE('',*,*,#193268,.T.); #261095=ORIENTED_EDGE('',*,*,#193265,.T.); #261096=ORIENTED_EDGE('',*,*,#193262,.T.); #261097=ORIENTED_EDGE('',*,*,#193259,.T.); #261098=ORIENTED_EDGE('',*,*,#193256,.T.); #261099=ORIENTED_EDGE('',*,*,#193253,.T.); #261100=ORIENTED_EDGE('',*,*,#193250,.T.); #261101=ORIENTED_EDGE('',*,*,#193294,.F.); #261102=ORIENTED_EDGE('',*,*,#193251,.F.); #261103=ORIENTED_EDGE('',*,*,#193255,.F.); #261104=ORIENTED_EDGE('',*,*,#193258,.F.); #261105=ORIENTED_EDGE('',*,*,#193261,.F.); #261106=ORIENTED_EDGE('',*,*,#193264,.F.); #261107=ORIENTED_EDGE('',*,*,#193267,.F.); #261108=ORIENTED_EDGE('',*,*,#193270,.F.); #261109=ORIENTED_EDGE('',*,*,#193273,.F.); #261110=ORIENTED_EDGE('',*,*,#193276,.F.); #261111=ORIENTED_EDGE('',*,*,#193279,.F.); #261112=ORIENTED_EDGE('',*,*,#193282,.F.); #261113=ORIENTED_EDGE('',*,*,#193285,.F.); #261114=ORIENTED_EDGE('',*,*,#193288,.F.); #261115=ORIENTED_EDGE('',*,*,#193291,.F.); #261116=ORIENTED_EDGE('',*,*,#193248,.T.); #261117=ORIENTED_EDGE('',*,*,#193296,.F.); #261118=ORIENTED_EDGE('',*,*,#193297,.T.); #261119=ORIENTED_EDGE('',*,*,#193298,.F.); #261120=ORIENTED_EDGE('',*,*,#193297,.F.); #261121=ORIENTED_EDGE('',*,*,#193299,.T.); #261122=ORIENTED_EDGE('',*,*,#193300,.T.); #261123=ORIENTED_EDGE('',*,*,#193301,.F.); #261124=ORIENTED_EDGE('',*,*,#193302,.F.); #261125=ORIENTED_EDGE('',*,*,#193303,.T.); #261126=ORIENTED_EDGE('',*,*,#193302,.T.); #261127=ORIENTED_EDGE('',*,*,#193304,.F.); #261128=ORIENTED_EDGE('',*,*,#193305,.F.); #261129=ORIENTED_EDGE('',*,*,#193306,.T.); #261130=ORIENTED_EDGE('',*,*,#193305,.T.); #261131=ORIENTED_EDGE('',*,*,#193307,.F.); #261132=ORIENTED_EDGE('',*,*,#193300,.F.); #261133=ORIENTED_EDGE('',*,*,#193307,.T.); #261134=ORIENTED_EDGE('',*,*,#193304,.T.); #261135=ORIENTED_EDGE('',*,*,#193301,.T.); #261136=ORIENTED_EDGE('',*,*,#193298,.T.); #261137=ORIENTED_EDGE('',*,*,#193306,.F.); #261138=ORIENTED_EDGE('',*,*,#193299,.F.); #261139=ORIENTED_EDGE('',*,*,#193303,.F.); #261140=ORIENTED_EDGE('',*,*,#193296,.T.); #261141=ORIENTED_EDGE('',*,*,#193308,.F.); #261142=ORIENTED_EDGE('',*,*,#193309,.T.); #261143=ORIENTED_EDGE('',*,*,#193310,.F.); #261144=ORIENTED_EDGE('',*,*,#193309,.F.); #261145=ORIENTED_EDGE('',*,*,#193311,.T.); #261146=ORIENTED_EDGE('',*,*,#193312,.T.); #261147=ORIENTED_EDGE('',*,*,#193313,.F.); #261148=ORIENTED_EDGE('',*,*,#193314,.F.); #261149=ORIENTED_EDGE('',*,*,#193315,.T.); #261150=ORIENTED_EDGE('',*,*,#193314,.T.); #261151=ORIENTED_EDGE('',*,*,#193316,.F.); #261152=ORIENTED_EDGE('',*,*,#193317,.F.); #261153=ORIENTED_EDGE('',*,*,#193318,.T.); #261154=ORIENTED_EDGE('',*,*,#193317,.T.); #261155=ORIENTED_EDGE('',*,*,#193319,.F.); #261156=ORIENTED_EDGE('',*,*,#193320,.F.); #261157=ORIENTED_EDGE('',*,*,#193321,.T.); #261158=ORIENTED_EDGE('',*,*,#193320,.T.); #261159=ORIENTED_EDGE('',*,*,#193322,.F.); #261160=ORIENTED_EDGE('',*,*,#193323,.F.); #261161=ORIENTED_EDGE('',*,*,#193324,.T.); #261162=ORIENTED_EDGE('',*,*,#193323,.T.); #261163=ORIENTED_EDGE('',*,*,#193325,.F.); #261164=ORIENTED_EDGE('',*,*,#193326,.F.); #261165=ORIENTED_EDGE('',*,*,#193327,.T.); #261166=ORIENTED_EDGE('',*,*,#193326,.T.); #261167=ORIENTED_EDGE('',*,*,#193328,.F.); #261168=ORIENTED_EDGE('',*,*,#193329,.F.); #261169=ORIENTED_EDGE('',*,*,#193330,.T.); #261170=ORIENTED_EDGE('',*,*,#193329,.T.); #261171=ORIENTED_EDGE('',*,*,#193331,.F.); #261172=ORIENTED_EDGE('',*,*,#193332,.F.); #261173=ORIENTED_EDGE('',*,*,#193333,.T.); #261174=ORIENTED_EDGE('',*,*,#193332,.T.); #261175=ORIENTED_EDGE('',*,*,#193334,.F.); #261176=ORIENTED_EDGE('',*,*,#193335,.F.); #261177=ORIENTED_EDGE('',*,*,#193336,.T.); #261178=ORIENTED_EDGE('',*,*,#193335,.T.); #261179=ORIENTED_EDGE('',*,*,#193337,.F.); #261180=ORIENTED_EDGE('',*,*,#193338,.F.); #261181=ORIENTED_EDGE('',*,*,#193339,.T.); #261182=ORIENTED_EDGE('',*,*,#193338,.T.); #261183=ORIENTED_EDGE('',*,*,#193340,.F.); #261184=ORIENTED_EDGE('',*,*,#193341,.F.); #261185=ORIENTED_EDGE('',*,*,#193342,.T.); #261186=ORIENTED_EDGE('',*,*,#193341,.T.); #261187=ORIENTED_EDGE('',*,*,#193343,.F.); #261188=ORIENTED_EDGE('',*,*,#193344,.F.); #261189=ORIENTED_EDGE('',*,*,#193345,.T.); #261190=ORIENTED_EDGE('',*,*,#193344,.T.); #261191=ORIENTED_EDGE('',*,*,#193346,.F.); #261192=ORIENTED_EDGE('',*,*,#193347,.F.); #261193=ORIENTED_EDGE('',*,*,#193348,.T.); #261194=ORIENTED_EDGE('',*,*,#193347,.T.); #261195=ORIENTED_EDGE('',*,*,#193349,.F.); #261196=ORIENTED_EDGE('',*,*,#193350,.F.); #261197=ORIENTED_EDGE('',*,*,#193351,.T.); #261198=ORIENTED_EDGE('',*,*,#193350,.T.); #261199=ORIENTED_EDGE('',*,*,#193352,.F.); #261200=ORIENTED_EDGE('',*,*,#193353,.F.); #261201=ORIENTED_EDGE('',*,*,#193354,.T.); #261202=ORIENTED_EDGE('',*,*,#193353,.T.); #261203=ORIENTED_EDGE('',*,*,#193355,.F.); #261204=ORIENTED_EDGE('',*,*,#193356,.F.); #261205=ORIENTED_EDGE('',*,*,#193357,.T.); #261206=ORIENTED_EDGE('',*,*,#193356,.T.); #261207=ORIENTED_EDGE('',*,*,#193358,.F.); #261208=ORIENTED_EDGE('',*,*,#193359,.F.); #261209=ORIENTED_EDGE('',*,*,#193360,.T.); #261210=ORIENTED_EDGE('',*,*,#193359,.T.); #261211=ORIENTED_EDGE('',*,*,#193361,.F.); #261212=ORIENTED_EDGE('',*,*,#193362,.F.); #261213=ORIENTED_EDGE('',*,*,#193363,.T.); #261214=ORIENTED_EDGE('',*,*,#193362,.T.); #261215=ORIENTED_EDGE('',*,*,#193364,.F.); #261216=ORIENTED_EDGE('',*,*,#193365,.F.); #261217=ORIENTED_EDGE('',*,*,#193366,.T.); #261218=ORIENTED_EDGE('',*,*,#193365,.T.); #261219=ORIENTED_EDGE('',*,*,#193367,.F.); #261220=ORIENTED_EDGE('',*,*,#193368,.F.); #261221=ORIENTED_EDGE('',*,*,#193369,.T.); #261222=ORIENTED_EDGE('',*,*,#193368,.T.); #261223=ORIENTED_EDGE('',*,*,#193370,.F.); #261224=ORIENTED_EDGE('',*,*,#193371,.F.); #261225=ORIENTED_EDGE('',*,*,#193372,.T.); #261226=ORIENTED_EDGE('',*,*,#193371,.T.); #261227=ORIENTED_EDGE('',*,*,#193373,.F.); #261228=ORIENTED_EDGE('',*,*,#193312,.F.); #261229=ORIENTED_EDGE('',*,*,#193373,.T.); #261230=ORIENTED_EDGE('',*,*,#193370,.T.); #261231=ORIENTED_EDGE('',*,*,#193367,.T.); #261232=ORIENTED_EDGE('',*,*,#193364,.T.); #261233=ORIENTED_EDGE('',*,*,#193361,.T.); #261234=ORIENTED_EDGE('',*,*,#193358,.T.); #261235=ORIENTED_EDGE('',*,*,#193355,.T.); #261236=ORIENTED_EDGE('',*,*,#193352,.T.); #261237=ORIENTED_EDGE('',*,*,#193349,.T.); #261238=ORIENTED_EDGE('',*,*,#193346,.T.); #261239=ORIENTED_EDGE('',*,*,#193343,.T.); #261240=ORIENTED_EDGE('',*,*,#193340,.T.); #261241=ORIENTED_EDGE('',*,*,#193337,.T.); #261242=ORIENTED_EDGE('',*,*,#193334,.T.); #261243=ORIENTED_EDGE('',*,*,#193331,.T.); #261244=ORIENTED_EDGE('',*,*,#193328,.T.); #261245=ORIENTED_EDGE('',*,*,#193325,.T.); #261246=ORIENTED_EDGE('',*,*,#193322,.T.); #261247=ORIENTED_EDGE('',*,*,#193319,.T.); #261248=ORIENTED_EDGE('',*,*,#193316,.T.); #261249=ORIENTED_EDGE('',*,*,#193313,.T.); #261250=ORIENTED_EDGE('',*,*,#193310,.T.); #261251=ORIENTED_EDGE('',*,*,#193372,.F.); #261252=ORIENTED_EDGE('',*,*,#193311,.F.); #261253=ORIENTED_EDGE('',*,*,#193315,.F.); #261254=ORIENTED_EDGE('',*,*,#193318,.F.); #261255=ORIENTED_EDGE('',*,*,#193321,.F.); #261256=ORIENTED_EDGE('',*,*,#193324,.F.); #261257=ORIENTED_EDGE('',*,*,#193327,.F.); #261258=ORIENTED_EDGE('',*,*,#193330,.F.); #261259=ORIENTED_EDGE('',*,*,#193333,.F.); #261260=ORIENTED_EDGE('',*,*,#193336,.F.); #261261=ORIENTED_EDGE('',*,*,#193339,.F.); #261262=ORIENTED_EDGE('',*,*,#193342,.F.); #261263=ORIENTED_EDGE('',*,*,#193345,.F.); #261264=ORIENTED_EDGE('',*,*,#193348,.F.); #261265=ORIENTED_EDGE('',*,*,#193351,.F.); #261266=ORIENTED_EDGE('',*,*,#193354,.F.); #261267=ORIENTED_EDGE('',*,*,#193357,.F.); #261268=ORIENTED_EDGE('',*,*,#193360,.F.); #261269=ORIENTED_EDGE('',*,*,#193363,.F.); #261270=ORIENTED_EDGE('',*,*,#193366,.F.); #261271=ORIENTED_EDGE('',*,*,#193369,.F.); #261272=ORIENTED_EDGE('',*,*,#193308,.T.); #261273=ORIENTED_EDGE('',*,*,#193374,.F.); #261274=ORIENTED_EDGE('',*,*,#193375,.T.); #261275=ORIENTED_EDGE('',*,*,#193376,.F.); #261276=ORIENTED_EDGE('',*,*,#193375,.F.); #261277=ORIENTED_EDGE('',*,*,#193377,.T.); #261278=ORIENTED_EDGE('',*,*,#193378,.T.); #261279=ORIENTED_EDGE('',*,*,#193379,.F.); #261280=ORIENTED_EDGE('',*,*,#193380,.F.); #261281=ORIENTED_EDGE('',*,*,#193381,.T.); #261282=ORIENTED_EDGE('',*,*,#193380,.T.); #261283=ORIENTED_EDGE('',*,*,#193382,.F.); #261284=ORIENTED_EDGE('',*,*,#193383,.F.); #261285=ORIENTED_EDGE('',*,*,#193384,.T.); #261286=ORIENTED_EDGE('',*,*,#193383,.T.); #261287=ORIENTED_EDGE('',*,*,#193385,.F.); #261288=ORIENTED_EDGE('',*,*,#193386,.F.); #261289=ORIENTED_EDGE('',*,*,#193387,.T.); #261290=ORIENTED_EDGE('',*,*,#193386,.T.); #261291=ORIENTED_EDGE('',*,*,#193388,.F.); #261292=ORIENTED_EDGE('',*,*,#193389,.F.); #261293=ORIENTED_EDGE('',*,*,#193390,.T.); #261294=ORIENTED_EDGE('',*,*,#193389,.T.); #261295=ORIENTED_EDGE('',*,*,#193391,.F.); #261296=ORIENTED_EDGE('',*,*,#193392,.F.); #261297=ORIENTED_EDGE('',*,*,#193393,.T.); #261298=ORIENTED_EDGE('',*,*,#193392,.T.); #261299=ORIENTED_EDGE('',*,*,#193394,.F.); #261300=ORIENTED_EDGE('',*,*,#193395,.F.); #261301=ORIENTED_EDGE('',*,*,#193396,.T.); #261302=ORIENTED_EDGE('',*,*,#193395,.T.); #261303=ORIENTED_EDGE('',*,*,#193397,.F.); #261304=ORIENTED_EDGE('',*,*,#193398,.F.); #261305=ORIENTED_EDGE('',*,*,#193399,.T.); #261306=ORIENTED_EDGE('',*,*,#193398,.T.); #261307=ORIENTED_EDGE('',*,*,#193400,.F.); #261308=ORIENTED_EDGE('',*,*,#193401,.F.); #261309=ORIENTED_EDGE('',*,*,#193402,.T.); #261310=ORIENTED_EDGE('',*,*,#193401,.T.); #261311=ORIENTED_EDGE('',*,*,#193403,.F.); #261312=ORIENTED_EDGE('',*,*,#193404,.F.); #261313=ORIENTED_EDGE('',*,*,#193405,.T.); #261314=ORIENTED_EDGE('',*,*,#193404,.T.); #261315=ORIENTED_EDGE('',*,*,#193406,.F.); #261316=ORIENTED_EDGE('',*,*,#193407,.F.); #261317=ORIENTED_EDGE('',*,*,#193408,.T.); #261318=ORIENTED_EDGE('',*,*,#193407,.T.); #261319=ORIENTED_EDGE('',*,*,#193409,.F.); #261320=ORIENTED_EDGE('',*,*,#193410,.F.); #261321=ORIENTED_EDGE('',*,*,#193411,.T.); #261322=ORIENTED_EDGE('',*,*,#193410,.T.); #261323=ORIENTED_EDGE('',*,*,#193412,.F.); #261324=ORIENTED_EDGE('',*,*,#193413,.F.); #261325=ORIENTED_EDGE('',*,*,#193414,.T.); #261326=ORIENTED_EDGE('',*,*,#193413,.T.); #261327=ORIENTED_EDGE('',*,*,#193415,.F.); #261328=ORIENTED_EDGE('',*,*,#193416,.F.); #261329=ORIENTED_EDGE('',*,*,#193417,.T.); #261330=ORIENTED_EDGE('',*,*,#193416,.T.); #261331=ORIENTED_EDGE('',*,*,#193418,.F.); #261332=ORIENTED_EDGE('',*,*,#193419,.F.); #261333=ORIENTED_EDGE('',*,*,#193420,.T.); #261334=ORIENTED_EDGE('',*,*,#193419,.T.); #261335=ORIENTED_EDGE('',*,*,#193421,.F.); #261336=ORIENTED_EDGE('',*,*,#193378,.F.); #261337=ORIENTED_EDGE('',*,*,#193421,.T.); #261338=ORIENTED_EDGE('',*,*,#193418,.T.); #261339=ORIENTED_EDGE('',*,*,#193415,.T.); #261340=ORIENTED_EDGE('',*,*,#193412,.T.); #261341=ORIENTED_EDGE('',*,*,#193409,.T.); #261342=ORIENTED_EDGE('',*,*,#193406,.T.); #261343=ORIENTED_EDGE('',*,*,#193403,.T.); #261344=ORIENTED_EDGE('',*,*,#193400,.T.); #261345=ORIENTED_EDGE('',*,*,#193397,.T.); #261346=ORIENTED_EDGE('',*,*,#193394,.T.); #261347=ORIENTED_EDGE('',*,*,#193391,.T.); #261348=ORIENTED_EDGE('',*,*,#193388,.T.); #261349=ORIENTED_EDGE('',*,*,#193385,.T.); #261350=ORIENTED_EDGE('',*,*,#193382,.T.); #261351=ORIENTED_EDGE('',*,*,#193379,.T.); #261352=ORIENTED_EDGE('',*,*,#193376,.T.); #261353=ORIENTED_EDGE('',*,*,#193420,.F.); #261354=ORIENTED_EDGE('',*,*,#193377,.F.); #261355=ORIENTED_EDGE('',*,*,#193381,.F.); #261356=ORIENTED_EDGE('',*,*,#193384,.F.); #261357=ORIENTED_EDGE('',*,*,#193387,.F.); #261358=ORIENTED_EDGE('',*,*,#193390,.F.); #261359=ORIENTED_EDGE('',*,*,#193393,.F.); #261360=ORIENTED_EDGE('',*,*,#193396,.F.); #261361=ORIENTED_EDGE('',*,*,#193399,.F.); #261362=ORIENTED_EDGE('',*,*,#193402,.F.); #261363=ORIENTED_EDGE('',*,*,#193405,.F.); #261364=ORIENTED_EDGE('',*,*,#193408,.F.); #261365=ORIENTED_EDGE('',*,*,#193411,.F.); #261366=ORIENTED_EDGE('',*,*,#193414,.F.); #261367=ORIENTED_EDGE('',*,*,#193417,.F.); #261368=ORIENTED_EDGE('',*,*,#193374,.T.); #261369=ORIENTED_EDGE('',*,*,#193422,.T.); #261370=ORIENTED_EDGE('',*,*,#193423,.T.); #261371=ORIENTED_EDGE('',*,*,#193424,.F.); #261372=ORIENTED_EDGE('',*,*,#193425,.F.); #261373=ORIENTED_EDGE('',*,*,#193426,.T.); #261374=ORIENTED_EDGE('',*,*,#193425,.T.); #261375=ORIENTED_EDGE('',*,*,#193427,.F.); #261376=ORIENTED_EDGE('',*,*,#193428,.F.); #261377=ORIENTED_EDGE('',*,*,#193429,.T.); #261378=ORIENTED_EDGE('',*,*,#193428,.T.); #261379=ORIENTED_EDGE('',*,*,#193430,.F.); #261380=ORIENTED_EDGE('',*,*,#193431,.F.); #261381=ORIENTED_EDGE('',*,*,#193432,.T.); #261382=ORIENTED_EDGE('',*,*,#193431,.T.); #261383=ORIENTED_EDGE('',*,*,#193433,.F.); #261384=ORIENTED_EDGE('',*,*,#193434,.F.); #261385=ORIENTED_EDGE('',*,*,#193435,.T.); #261386=ORIENTED_EDGE('',*,*,#193434,.T.); #261387=ORIENTED_EDGE('',*,*,#193436,.F.); #261388=ORIENTED_EDGE('',*,*,#193437,.F.); #261389=ORIENTED_EDGE('',*,*,#193438,.T.); #261390=ORIENTED_EDGE('',*,*,#193437,.T.); #261391=ORIENTED_EDGE('',*,*,#193439,.F.); #261392=ORIENTED_EDGE('',*,*,#193440,.F.); #261393=ORIENTED_EDGE('',*,*,#193441,.T.); #261394=ORIENTED_EDGE('',*,*,#193440,.T.); #261395=ORIENTED_EDGE('',*,*,#193442,.F.); #261396=ORIENTED_EDGE('',*,*,#193443,.F.); #261397=ORIENTED_EDGE('',*,*,#193444,.T.); #261398=ORIENTED_EDGE('',*,*,#193443,.T.); #261399=ORIENTED_EDGE('',*,*,#193445,.F.); #261400=ORIENTED_EDGE('',*,*,#193446,.F.); #261401=ORIENTED_EDGE('',*,*,#193447,.T.); #261402=ORIENTED_EDGE('',*,*,#193446,.T.); #261403=ORIENTED_EDGE('',*,*,#193448,.F.); #261404=ORIENTED_EDGE('',*,*,#193449,.F.); #261405=ORIENTED_EDGE('',*,*,#193450,.T.); #261406=ORIENTED_EDGE('',*,*,#193449,.T.); #261407=ORIENTED_EDGE('',*,*,#193451,.F.); #261408=ORIENTED_EDGE('',*,*,#193452,.F.); #261409=ORIENTED_EDGE('',*,*,#193453,.T.); #261410=ORIENTED_EDGE('',*,*,#193452,.T.); #261411=ORIENTED_EDGE('',*,*,#193454,.F.); #261412=ORIENTED_EDGE('',*,*,#193455,.F.); #261413=ORIENTED_EDGE('',*,*,#193456,.T.); #261414=ORIENTED_EDGE('',*,*,#193455,.T.); #261415=ORIENTED_EDGE('',*,*,#193457,.F.); #261416=ORIENTED_EDGE('',*,*,#193458,.F.); #261417=ORIENTED_EDGE('',*,*,#193459,.T.); #261418=ORIENTED_EDGE('',*,*,#193458,.T.); #261419=ORIENTED_EDGE('',*,*,#193460,.F.); #261420=ORIENTED_EDGE('',*,*,#193461,.F.); #261421=ORIENTED_EDGE('',*,*,#193462,.T.); #261422=ORIENTED_EDGE('',*,*,#193461,.T.); #261423=ORIENTED_EDGE('',*,*,#193463,.F.); #261424=ORIENTED_EDGE('',*,*,#193423,.F.); #261425=ORIENTED_EDGE('',*,*,#193463,.T.); #261426=ORIENTED_EDGE('',*,*,#193460,.T.); #261427=ORIENTED_EDGE('',*,*,#193457,.T.); #261428=ORIENTED_EDGE('',*,*,#193454,.T.); #261429=ORIENTED_EDGE('',*,*,#193451,.T.); #261430=ORIENTED_EDGE('',*,*,#193448,.T.); #261431=ORIENTED_EDGE('',*,*,#193445,.T.); #261432=ORIENTED_EDGE('',*,*,#193442,.T.); #261433=ORIENTED_EDGE('',*,*,#193439,.T.); #261434=ORIENTED_EDGE('',*,*,#193436,.T.); #261435=ORIENTED_EDGE('',*,*,#193433,.T.); #261436=ORIENTED_EDGE('',*,*,#193430,.T.); #261437=ORIENTED_EDGE('',*,*,#193427,.T.); #261438=ORIENTED_EDGE('',*,*,#193424,.T.); #261439=ORIENTED_EDGE('',*,*,#193462,.F.); #261440=ORIENTED_EDGE('',*,*,#193422,.F.); #261441=ORIENTED_EDGE('',*,*,#193426,.F.); #261442=ORIENTED_EDGE('',*,*,#193429,.F.); #261443=ORIENTED_EDGE('',*,*,#193432,.F.); #261444=ORIENTED_EDGE('',*,*,#193435,.F.); #261445=ORIENTED_EDGE('',*,*,#193438,.F.); #261446=ORIENTED_EDGE('',*,*,#193441,.F.); #261447=ORIENTED_EDGE('',*,*,#193444,.F.); #261448=ORIENTED_EDGE('',*,*,#193447,.F.); #261449=ORIENTED_EDGE('',*,*,#193450,.F.); #261450=ORIENTED_EDGE('',*,*,#193453,.F.); #261451=ORIENTED_EDGE('',*,*,#193456,.F.); #261452=ORIENTED_EDGE('',*,*,#193459,.F.); #261453=ORIENTED_EDGE('',*,*,#193464,.T.); #261454=ORIENTED_EDGE('',*,*,#193465,.T.); #261455=ORIENTED_EDGE('',*,*,#193466,.F.); #261456=ORIENTED_EDGE('',*,*,#193467,.F.); #261457=ORIENTED_EDGE('',*,*,#193468,.T.); #261458=ORIENTED_EDGE('',*,*,#193467,.T.); #261459=ORIENTED_EDGE('',*,*,#193469,.F.); #261460=ORIENTED_EDGE('',*,*,#193470,.F.); #261461=ORIENTED_EDGE('',*,*,#193471,.T.); #261462=ORIENTED_EDGE('',*,*,#193470,.T.); #261463=ORIENTED_EDGE('',*,*,#193472,.F.); #261464=ORIENTED_EDGE('',*,*,#193473,.F.); #261465=ORIENTED_EDGE('',*,*,#193474,.T.); #261466=ORIENTED_EDGE('',*,*,#193473,.T.); #261467=ORIENTED_EDGE('',*,*,#193475,.F.); #261468=ORIENTED_EDGE('',*,*,#193476,.F.); #261469=ORIENTED_EDGE('',*,*,#193477,.T.); #261470=ORIENTED_EDGE('',*,*,#193476,.T.); #261471=ORIENTED_EDGE('',*,*,#193478,.F.); #261472=ORIENTED_EDGE('',*,*,#193479,.F.); #261473=ORIENTED_EDGE('',*,*,#193480,.T.); #261474=ORIENTED_EDGE('',*,*,#193479,.T.); #261475=ORIENTED_EDGE('',*,*,#193481,.F.); #261476=ORIENTED_EDGE('',*,*,#193482,.F.); #261477=ORIENTED_EDGE('',*,*,#193483,.T.); #261478=ORIENTED_EDGE('',*,*,#193482,.T.); #261479=ORIENTED_EDGE('',*,*,#193484,.F.); #261480=ORIENTED_EDGE('',*,*,#193485,.F.); #261481=ORIENTED_EDGE('',*,*,#193486,.T.); #261482=ORIENTED_EDGE('',*,*,#193485,.T.); #261483=ORIENTED_EDGE('',*,*,#193487,.F.); #261484=ORIENTED_EDGE('',*,*,#193488,.F.); #261485=ORIENTED_EDGE('',*,*,#193489,.T.); #261486=ORIENTED_EDGE('',*,*,#193488,.T.); #261487=ORIENTED_EDGE('',*,*,#193490,.F.); #261488=ORIENTED_EDGE('',*,*,#193491,.F.); #261489=ORIENTED_EDGE('',*,*,#193492,.T.); #261490=ORIENTED_EDGE('',*,*,#193491,.T.); #261491=ORIENTED_EDGE('',*,*,#193493,.F.); #261492=ORIENTED_EDGE('',*,*,#193494,.F.); #261493=ORIENTED_EDGE('',*,*,#193495,.T.); #261494=ORIENTED_EDGE('',*,*,#193494,.T.); #261495=ORIENTED_EDGE('',*,*,#193496,.F.); #261496=ORIENTED_EDGE('',*,*,#193497,.F.); #261497=ORIENTED_EDGE('',*,*,#193498,.T.); #261498=ORIENTED_EDGE('',*,*,#193497,.T.); #261499=ORIENTED_EDGE('',*,*,#193499,.F.); #261500=ORIENTED_EDGE('',*,*,#193500,.F.); #261501=ORIENTED_EDGE('',*,*,#193501,.T.); #261502=ORIENTED_EDGE('',*,*,#193500,.T.); #261503=ORIENTED_EDGE('',*,*,#193502,.F.); #261504=ORIENTED_EDGE('',*,*,#193503,.F.); #261505=ORIENTED_EDGE('',*,*,#193504,.T.); #261506=ORIENTED_EDGE('',*,*,#193503,.T.); #261507=ORIENTED_EDGE('',*,*,#193505,.F.); #261508=ORIENTED_EDGE('',*,*,#193465,.F.); #261509=ORIENTED_EDGE('',*,*,#193505,.T.); #261510=ORIENTED_EDGE('',*,*,#193502,.T.); #261511=ORIENTED_EDGE('',*,*,#193499,.T.); #261512=ORIENTED_EDGE('',*,*,#193496,.T.); #261513=ORIENTED_EDGE('',*,*,#193493,.T.); #261514=ORIENTED_EDGE('',*,*,#193490,.T.); #261515=ORIENTED_EDGE('',*,*,#193487,.T.); #261516=ORIENTED_EDGE('',*,*,#193484,.T.); #261517=ORIENTED_EDGE('',*,*,#193481,.T.); #261518=ORIENTED_EDGE('',*,*,#193478,.T.); #261519=ORIENTED_EDGE('',*,*,#193475,.T.); #261520=ORIENTED_EDGE('',*,*,#193472,.T.); #261521=ORIENTED_EDGE('',*,*,#193469,.T.); #261522=ORIENTED_EDGE('',*,*,#193466,.T.); #261523=ORIENTED_EDGE('',*,*,#193504,.F.); #261524=ORIENTED_EDGE('',*,*,#193464,.F.); #261525=ORIENTED_EDGE('',*,*,#193468,.F.); #261526=ORIENTED_EDGE('',*,*,#193471,.F.); #261527=ORIENTED_EDGE('',*,*,#193474,.F.); #261528=ORIENTED_EDGE('',*,*,#193477,.F.); #261529=ORIENTED_EDGE('',*,*,#193480,.F.); #261530=ORIENTED_EDGE('',*,*,#193483,.F.); #261531=ORIENTED_EDGE('',*,*,#193486,.F.); #261532=ORIENTED_EDGE('',*,*,#193489,.F.); #261533=ORIENTED_EDGE('',*,*,#193492,.F.); #261534=ORIENTED_EDGE('',*,*,#193495,.F.); #261535=ORIENTED_EDGE('',*,*,#193498,.F.); #261536=ORIENTED_EDGE('',*,*,#193501,.F.); #261537=ORIENTED_EDGE('',*,*,#193506,.F.); #261538=ORIENTED_EDGE('',*,*,#193507,.T.); #261539=ORIENTED_EDGE('',*,*,#193508,.F.); #261540=ORIENTED_EDGE('',*,*,#193507,.F.); #261541=ORIENTED_EDGE('',*,*,#193509,.T.); #261542=ORIENTED_EDGE('',*,*,#193510,.T.); #261543=ORIENTED_EDGE('',*,*,#193511,.F.); #261544=ORIENTED_EDGE('',*,*,#193512,.F.); #261545=ORIENTED_EDGE('',*,*,#193513,.T.); #261546=ORIENTED_EDGE('',*,*,#193512,.T.); #261547=ORIENTED_EDGE('',*,*,#193514,.F.); #261548=ORIENTED_EDGE('',*,*,#193515,.F.); #261549=ORIENTED_EDGE('',*,*,#193516,.T.); #261550=ORIENTED_EDGE('',*,*,#193515,.T.); #261551=ORIENTED_EDGE('',*,*,#193517,.F.); #261552=ORIENTED_EDGE('',*,*,#193518,.F.); #261553=ORIENTED_EDGE('',*,*,#193519,.T.); #261554=ORIENTED_EDGE('',*,*,#193518,.T.); #261555=ORIENTED_EDGE('',*,*,#193520,.F.); #261556=ORIENTED_EDGE('',*,*,#193521,.F.); #261557=ORIENTED_EDGE('',*,*,#193522,.T.); #261558=ORIENTED_EDGE('',*,*,#193521,.T.); #261559=ORIENTED_EDGE('',*,*,#193523,.F.); #261560=ORIENTED_EDGE('',*,*,#193524,.F.); #261561=ORIENTED_EDGE('',*,*,#193525,.T.); #261562=ORIENTED_EDGE('',*,*,#193524,.T.); #261563=ORIENTED_EDGE('',*,*,#193526,.F.); #261564=ORIENTED_EDGE('',*,*,#193527,.F.); #261565=ORIENTED_EDGE('',*,*,#193528,.T.); #261566=ORIENTED_EDGE('',*,*,#193527,.T.); #261567=ORIENTED_EDGE('',*,*,#193529,.F.); #261568=ORIENTED_EDGE('',*,*,#193530,.F.); #261569=ORIENTED_EDGE('',*,*,#193531,.T.); #261570=ORIENTED_EDGE('',*,*,#193530,.T.); #261571=ORIENTED_EDGE('',*,*,#193532,.F.); #261572=ORIENTED_EDGE('',*,*,#193533,.F.); #261573=ORIENTED_EDGE('',*,*,#193534,.T.); #261574=ORIENTED_EDGE('',*,*,#193533,.T.); #261575=ORIENTED_EDGE('',*,*,#193535,.F.); #261576=ORIENTED_EDGE('',*,*,#193536,.F.); #261577=ORIENTED_EDGE('',*,*,#193537,.T.); #261578=ORIENTED_EDGE('',*,*,#193536,.T.); #261579=ORIENTED_EDGE('',*,*,#193538,.F.); #261580=ORIENTED_EDGE('',*,*,#193539,.F.); #261581=ORIENTED_EDGE('',*,*,#193540,.T.); #261582=ORIENTED_EDGE('',*,*,#193539,.T.); #261583=ORIENTED_EDGE('',*,*,#193541,.F.); #261584=ORIENTED_EDGE('',*,*,#193542,.F.); #261585=ORIENTED_EDGE('',*,*,#193543,.T.); #261586=ORIENTED_EDGE('',*,*,#193542,.T.); #261587=ORIENTED_EDGE('',*,*,#193544,.F.); #261588=ORIENTED_EDGE('',*,*,#193545,.F.); #261589=ORIENTED_EDGE('',*,*,#193546,.T.); #261590=ORIENTED_EDGE('',*,*,#193545,.T.); #261591=ORIENTED_EDGE('',*,*,#193547,.F.); #261592=ORIENTED_EDGE('',*,*,#193548,.F.); #261593=ORIENTED_EDGE('',*,*,#193549,.T.); #261594=ORIENTED_EDGE('',*,*,#193548,.T.); #261595=ORIENTED_EDGE('',*,*,#193550,.F.); #261596=ORIENTED_EDGE('',*,*,#193551,.F.); #261597=ORIENTED_EDGE('',*,*,#193552,.T.); #261598=ORIENTED_EDGE('',*,*,#193551,.T.); #261599=ORIENTED_EDGE('',*,*,#193553,.F.); #261600=ORIENTED_EDGE('',*,*,#193554,.F.); #261601=ORIENTED_EDGE('',*,*,#193555,.T.); #261602=ORIENTED_EDGE('',*,*,#193554,.T.); #261603=ORIENTED_EDGE('',*,*,#193556,.F.); #261604=ORIENTED_EDGE('',*,*,#193557,.F.); #261605=ORIENTED_EDGE('',*,*,#193558,.T.); #261606=ORIENTED_EDGE('',*,*,#193557,.T.); #261607=ORIENTED_EDGE('',*,*,#193559,.F.); #261608=ORIENTED_EDGE('',*,*,#193560,.F.); #261609=ORIENTED_EDGE('',*,*,#193561,.T.); #261610=ORIENTED_EDGE('',*,*,#193560,.T.); #261611=ORIENTED_EDGE('',*,*,#193562,.F.); #261612=ORIENTED_EDGE('',*,*,#193563,.F.); #261613=ORIENTED_EDGE('',*,*,#193564,.T.); #261614=ORIENTED_EDGE('',*,*,#193563,.T.); #261615=ORIENTED_EDGE('',*,*,#193565,.F.); #261616=ORIENTED_EDGE('',*,*,#193566,.F.); #261617=ORIENTED_EDGE('',*,*,#193567,.T.); #261618=ORIENTED_EDGE('',*,*,#193566,.T.); #261619=ORIENTED_EDGE('',*,*,#193568,.F.); #261620=ORIENTED_EDGE('',*,*,#193569,.F.); #261621=ORIENTED_EDGE('',*,*,#193570,.T.); #261622=ORIENTED_EDGE('',*,*,#193569,.T.); #261623=ORIENTED_EDGE('',*,*,#193571,.F.); #261624=ORIENTED_EDGE('',*,*,#193572,.F.); #261625=ORIENTED_EDGE('',*,*,#193573,.T.); #261626=ORIENTED_EDGE('',*,*,#193572,.T.); #261627=ORIENTED_EDGE('',*,*,#193574,.F.); #261628=ORIENTED_EDGE('',*,*,#193575,.F.); #261629=ORIENTED_EDGE('',*,*,#193576,.T.); #261630=ORIENTED_EDGE('',*,*,#193575,.T.); #261631=ORIENTED_EDGE('',*,*,#193577,.F.); #261632=ORIENTED_EDGE('',*,*,#193578,.F.); #261633=ORIENTED_EDGE('',*,*,#193579,.T.); #261634=ORIENTED_EDGE('',*,*,#193578,.T.); #261635=ORIENTED_EDGE('',*,*,#193580,.F.); #261636=ORIENTED_EDGE('',*,*,#193581,.F.); #261637=ORIENTED_EDGE('',*,*,#193582,.T.); #261638=ORIENTED_EDGE('',*,*,#193581,.T.); #261639=ORIENTED_EDGE('',*,*,#193583,.F.); #261640=ORIENTED_EDGE('',*,*,#193584,.F.); #261641=ORIENTED_EDGE('',*,*,#193585,.T.); #261642=ORIENTED_EDGE('',*,*,#193584,.T.); #261643=ORIENTED_EDGE('',*,*,#193586,.F.); #261644=ORIENTED_EDGE('',*,*,#193587,.F.); #261645=ORIENTED_EDGE('',*,*,#193588,.T.); #261646=ORIENTED_EDGE('',*,*,#193587,.T.); #261647=ORIENTED_EDGE('',*,*,#193589,.F.); #261648=ORIENTED_EDGE('',*,*,#193590,.F.); #261649=ORIENTED_EDGE('',*,*,#193591,.T.); #261650=ORIENTED_EDGE('',*,*,#193590,.T.); #261651=ORIENTED_EDGE('',*,*,#193592,.F.); #261652=ORIENTED_EDGE('',*,*,#193593,.F.); #261653=ORIENTED_EDGE('',*,*,#193594,.T.); #261654=ORIENTED_EDGE('',*,*,#193593,.T.); #261655=ORIENTED_EDGE('',*,*,#193595,.F.); #261656=ORIENTED_EDGE('',*,*,#193596,.F.); #261657=ORIENTED_EDGE('',*,*,#193597,.T.); #261658=ORIENTED_EDGE('',*,*,#193596,.T.); #261659=ORIENTED_EDGE('',*,*,#193598,.F.); #261660=ORIENTED_EDGE('',*,*,#193599,.F.); #261661=ORIENTED_EDGE('',*,*,#193600,.T.); #261662=ORIENTED_EDGE('',*,*,#193599,.T.); #261663=ORIENTED_EDGE('',*,*,#193601,.F.); #261664=ORIENTED_EDGE('',*,*,#193602,.F.); #261665=ORIENTED_EDGE('',*,*,#193603,.T.); #261666=ORIENTED_EDGE('',*,*,#193602,.T.); #261667=ORIENTED_EDGE('',*,*,#193604,.F.); #261668=ORIENTED_EDGE('',*,*,#193605,.F.); #261669=ORIENTED_EDGE('',*,*,#193606,.T.); #261670=ORIENTED_EDGE('',*,*,#193605,.T.); #261671=ORIENTED_EDGE('',*,*,#193607,.F.); #261672=ORIENTED_EDGE('',*,*,#193608,.F.); #261673=ORIENTED_EDGE('',*,*,#193609,.T.); #261674=ORIENTED_EDGE('',*,*,#193608,.T.); #261675=ORIENTED_EDGE('',*,*,#193610,.F.); #261676=ORIENTED_EDGE('',*,*,#193611,.F.); #261677=ORIENTED_EDGE('',*,*,#193612,.T.); #261678=ORIENTED_EDGE('',*,*,#193611,.T.); #261679=ORIENTED_EDGE('',*,*,#193613,.F.); #261680=ORIENTED_EDGE('',*,*,#193614,.F.); #261681=ORIENTED_EDGE('',*,*,#193615,.T.); #261682=ORIENTED_EDGE('',*,*,#193614,.T.); #261683=ORIENTED_EDGE('',*,*,#193616,.F.); #261684=ORIENTED_EDGE('',*,*,#193617,.F.); #261685=ORIENTED_EDGE('',*,*,#193618,.T.); #261686=ORIENTED_EDGE('',*,*,#193617,.T.); #261687=ORIENTED_EDGE('',*,*,#193619,.F.); #261688=ORIENTED_EDGE('',*,*,#193620,.F.); #261689=ORIENTED_EDGE('',*,*,#193621,.T.); #261690=ORIENTED_EDGE('',*,*,#193620,.T.); #261691=ORIENTED_EDGE('',*,*,#193622,.F.); #261692=ORIENTED_EDGE('',*,*,#193623,.F.); #261693=ORIENTED_EDGE('',*,*,#193624,.T.); #261694=ORIENTED_EDGE('',*,*,#193623,.T.); #261695=ORIENTED_EDGE('',*,*,#193625,.F.); #261696=ORIENTED_EDGE('',*,*,#193510,.F.); #261697=ORIENTED_EDGE('',*,*,#193625,.T.); #261698=ORIENTED_EDGE('',*,*,#193622,.T.); #261699=ORIENTED_EDGE('',*,*,#193619,.T.); #261700=ORIENTED_EDGE('',*,*,#193616,.T.); #261701=ORIENTED_EDGE('',*,*,#193613,.T.); #261702=ORIENTED_EDGE('',*,*,#193610,.T.); #261703=ORIENTED_EDGE('',*,*,#193607,.T.); #261704=ORIENTED_EDGE('',*,*,#193604,.T.); #261705=ORIENTED_EDGE('',*,*,#193601,.T.); #261706=ORIENTED_EDGE('',*,*,#193598,.T.); #261707=ORIENTED_EDGE('',*,*,#193595,.T.); #261708=ORIENTED_EDGE('',*,*,#193592,.T.); #261709=ORIENTED_EDGE('',*,*,#193589,.T.); #261710=ORIENTED_EDGE('',*,*,#193586,.T.); #261711=ORIENTED_EDGE('',*,*,#193583,.T.); #261712=ORIENTED_EDGE('',*,*,#193580,.T.); #261713=ORIENTED_EDGE('',*,*,#193577,.T.); #261714=ORIENTED_EDGE('',*,*,#193574,.T.); #261715=ORIENTED_EDGE('',*,*,#193571,.T.); #261716=ORIENTED_EDGE('',*,*,#193568,.T.); #261717=ORIENTED_EDGE('',*,*,#193565,.T.); #261718=ORIENTED_EDGE('',*,*,#193562,.T.); #261719=ORIENTED_EDGE('',*,*,#193559,.T.); #261720=ORIENTED_EDGE('',*,*,#193556,.T.); #261721=ORIENTED_EDGE('',*,*,#193553,.T.); #261722=ORIENTED_EDGE('',*,*,#193550,.T.); #261723=ORIENTED_EDGE('',*,*,#193547,.T.); #261724=ORIENTED_EDGE('',*,*,#193544,.T.); #261725=ORIENTED_EDGE('',*,*,#193541,.T.); #261726=ORIENTED_EDGE('',*,*,#193538,.T.); #261727=ORIENTED_EDGE('',*,*,#193535,.T.); #261728=ORIENTED_EDGE('',*,*,#193532,.T.); #261729=ORIENTED_EDGE('',*,*,#193529,.T.); #261730=ORIENTED_EDGE('',*,*,#193526,.T.); #261731=ORIENTED_EDGE('',*,*,#193523,.T.); #261732=ORIENTED_EDGE('',*,*,#193520,.T.); #261733=ORIENTED_EDGE('',*,*,#193517,.T.); #261734=ORIENTED_EDGE('',*,*,#193514,.T.); #261735=ORIENTED_EDGE('',*,*,#193511,.T.); #261736=ORIENTED_EDGE('',*,*,#193508,.T.); #261737=ORIENTED_EDGE('',*,*,#193624,.F.); #261738=ORIENTED_EDGE('',*,*,#193509,.F.); #261739=ORIENTED_EDGE('',*,*,#193513,.F.); #261740=ORIENTED_EDGE('',*,*,#193516,.F.); #261741=ORIENTED_EDGE('',*,*,#193519,.F.); #261742=ORIENTED_EDGE('',*,*,#193522,.F.); #261743=ORIENTED_EDGE('',*,*,#193525,.F.); #261744=ORIENTED_EDGE('',*,*,#193528,.F.); #261745=ORIENTED_EDGE('',*,*,#193531,.F.); #261746=ORIENTED_EDGE('',*,*,#193534,.F.); #261747=ORIENTED_EDGE('',*,*,#193537,.F.); #261748=ORIENTED_EDGE('',*,*,#193540,.F.); #261749=ORIENTED_EDGE('',*,*,#193543,.F.); #261750=ORIENTED_EDGE('',*,*,#193546,.F.); #261751=ORIENTED_EDGE('',*,*,#193549,.F.); #261752=ORIENTED_EDGE('',*,*,#193552,.F.); #261753=ORIENTED_EDGE('',*,*,#193555,.F.); #261754=ORIENTED_EDGE('',*,*,#193558,.F.); #261755=ORIENTED_EDGE('',*,*,#193561,.F.); #261756=ORIENTED_EDGE('',*,*,#193564,.F.); #261757=ORIENTED_EDGE('',*,*,#193567,.F.); #261758=ORIENTED_EDGE('',*,*,#193570,.F.); #261759=ORIENTED_EDGE('',*,*,#193573,.F.); #261760=ORIENTED_EDGE('',*,*,#193576,.F.); #261761=ORIENTED_EDGE('',*,*,#193579,.F.); #261762=ORIENTED_EDGE('',*,*,#193582,.F.); #261763=ORIENTED_EDGE('',*,*,#193585,.F.); #261764=ORIENTED_EDGE('',*,*,#193588,.F.); #261765=ORIENTED_EDGE('',*,*,#193591,.F.); #261766=ORIENTED_EDGE('',*,*,#193594,.F.); #261767=ORIENTED_EDGE('',*,*,#193597,.F.); #261768=ORIENTED_EDGE('',*,*,#193600,.F.); #261769=ORIENTED_EDGE('',*,*,#193603,.F.); #261770=ORIENTED_EDGE('',*,*,#193606,.F.); #261771=ORIENTED_EDGE('',*,*,#193609,.F.); #261772=ORIENTED_EDGE('',*,*,#193612,.F.); #261773=ORIENTED_EDGE('',*,*,#193615,.F.); #261774=ORIENTED_EDGE('',*,*,#193618,.F.); #261775=ORIENTED_EDGE('',*,*,#193621,.F.); #261776=ORIENTED_EDGE('',*,*,#193506,.T.); #261777=ORIENTED_EDGE('',*,*,#193626,.F.); #261778=ORIENTED_EDGE('',*,*,#193627,.T.); #261779=ORIENTED_EDGE('',*,*,#193628,.F.); #261780=ORIENTED_EDGE('',*,*,#193627,.F.); #261781=ORIENTED_EDGE('',*,*,#193629,.F.); #261782=ORIENTED_EDGE('',*,*,#193630,.T.); #261783=ORIENTED_EDGE('',*,*,#193631,.F.); #261784=ORIENTED_EDGE('',*,*,#193630,.F.); #261785=ORIENTED_EDGE('',*,*,#193632,.T.); #261786=ORIENTED_EDGE('',*,*,#193633,.T.); #261787=ORIENTED_EDGE('',*,*,#193634,.F.); #261788=ORIENTED_EDGE('',*,*,#193635,.F.); #261789=ORIENTED_EDGE('',*,*,#193636,.T.); #261790=ORIENTED_EDGE('',*,*,#193635,.T.); #261791=ORIENTED_EDGE('',*,*,#193637,.F.); #261792=ORIENTED_EDGE('',*,*,#193638,.F.); #261793=ORIENTED_EDGE('',*,*,#193639,.T.); #261794=ORIENTED_EDGE('',*,*,#193638,.T.); #261795=ORIENTED_EDGE('',*,*,#193640,.F.); #261796=ORIENTED_EDGE('',*,*,#193641,.F.); #261797=ORIENTED_EDGE('',*,*,#193642,.T.); #261798=ORIENTED_EDGE('',*,*,#193641,.T.); #261799=ORIENTED_EDGE('',*,*,#193643,.F.); #261800=ORIENTED_EDGE('',*,*,#193644,.F.); #261801=ORIENTED_EDGE('',*,*,#193645,.T.); #261802=ORIENTED_EDGE('',*,*,#193644,.T.); #261803=ORIENTED_EDGE('',*,*,#193646,.F.); #261804=ORIENTED_EDGE('',*,*,#193647,.F.); #261805=ORIENTED_EDGE('',*,*,#193648,.T.); #261806=ORIENTED_EDGE('',*,*,#193647,.T.); #261807=ORIENTED_EDGE('',*,*,#193649,.F.); #261808=ORIENTED_EDGE('',*,*,#193650,.F.); #261809=ORIENTED_EDGE('',*,*,#193651,.T.); #261810=ORIENTED_EDGE('',*,*,#193650,.T.); #261811=ORIENTED_EDGE('',*,*,#193652,.F.); #261812=ORIENTED_EDGE('',*,*,#193633,.F.); #261813=ORIENTED_EDGE('',*,*,#193652,.T.); #261814=ORIENTED_EDGE('',*,*,#193649,.T.); #261815=ORIENTED_EDGE('',*,*,#193646,.T.); #261816=ORIENTED_EDGE('',*,*,#193643,.T.); #261817=ORIENTED_EDGE('',*,*,#193640,.T.); #261818=ORIENTED_EDGE('',*,*,#193637,.T.); #261819=ORIENTED_EDGE('',*,*,#193634,.T.); #261820=ORIENTED_EDGE('',*,*,#193628,.T.); #261821=ORIENTED_EDGE('',*,*,#193631,.T.); #261822=ORIENTED_EDGE('',*,*,#193651,.F.); #261823=ORIENTED_EDGE('',*,*,#193632,.F.); #261824=ORIENTED_EDGE('',*,*,#193636,.F.); #261825=ORIENTED_EDGE('',*,*,#193639,.F.); #261826=ORIENTED_EDGE('',*,*,#193642,.F.); #261827=ORIENTED_EDGE('',*,*,#193645,.F.); #261828=ORIENTED_EDGE('',*,*,#193648,.F.); #261829=ORIENTED_EDGE('',*,*,#193626,.T.); #261830=ORIENTED_EDGE('',*,*,#193629,.T.); #261831=ORIENTED_EDGE('',*,*,#193653,.F.); #261832=ORIENTED_EDGE('',*,*,#193654,.T.); #261833=ORIENTED_EDGE('',*,*,#193655,.F.); #261834=ORIENTED_EDGE('',*,*,#193654,.F.); #261835=ORIENTED_EDGE('',*,*,#193656,.T.); #261836=ORIENTED_EDGE('',*,*,#193657,.T.); #261837=ORIENTED_EDGE('',*,*,#193658,.F.); #261838=ORIENTED_EDGE('',*,*,#193659,.F.); #261839=ORIENTED_EDGE('',*,*,#193660,.T.); #261840=ORIENTED_EDGE('',*,*,#193659,.T.); #261841=ORIENTED_EDGE('',*,*,#193661,.F.); #261842=ORIENTED_EDGE('',*,*,#193662,.F.); #261843=ORIENTED_EDGE('',*,*,#193663,.T.); #261844=ORIENTED_EDGE('',*,*,#193662,.T.); #261845=ORIENTED_EDGE('',*,*,#193664,.F.); #261846=ORIENTED_EDGE('',*,*,#193665,.F.); #261847=ORIENTED_EDGE('',*,*,#193666,.T.); #261848=ORIENTED_EDGE('',*,*,#193665,.T.); #261849=ORIENTED_EDGE('',*,*,#193667,.F.); #261850=ORIENTED_EDGE('',*,*,#193657,.F.); #261851=ORIENTED_EDGE('',*,*,#193667,.T.); #261852=ORIENTED_EDGE('',*,*,#193664,.T.); #261853=ORIENTED_EDGE('',*,*,#193661,.T.); #261854=ORIENTED_EDGE('',*,*,#193658,.T.); #261855=ORIENTED_EDGE('',*,*,#193655,.T.); #261856=ORIENTED_EDGE('',*,*,#193666,.F.); #261857=ORIENTED_EDGE('',*,*,#193656,.F.); #261858=ORIENTED_EDGE('',*,*,#193660,.F.); #261859=ORIENTED_EDGE('',*,*,#193663,.F.); #261860=ORIENTED_EDGE('',*,*,#193653,.T.); #261861=ORIENTED_EDGE('',*,*,#193668,.F.); #261862=ORIENTED_EDGE('',*,*,#193669,.T.); #261863=ORIENTED_EDGE('',*,*,#193670,.F.); #261864=ORIENTED_EDGE('',*,*,#193669,.F.); #261865=ORIENTED_EDGE('',*,*,#193671,.F.); #261866=ORIENTED_EDGE('',*,*,#193672,.T.); #261867=ORIENTED_EDGE('',*,*,#193673,.F.); #261868=ORIENTED_EDGE('',*,*,#193672,.F.); #261869=ORIENTED_EDGE('',*,*,#193674,.T.); #261870=ORIENTED_EDGE('',*,*,#193675,.T.); #261871=ORIENTED_EDGE('',*,*,#193676,.F.); #261872=ORIENTED_EDGE('',*,*,#193677,.F.); #261873=ORIENTED_EDGE('',*,*,#193678,.T.); #261874=ORIENTED_EDGE('',*,*,#193677,.T.); #261875=ORIENTED_EDGE('',*,*,#193679,.F.); #261876=ORIENTED_EDGE('',*,*,#193680,.F.); #261877=ORIENTED_EDGE('',*,*,#193681,.T.); #261878=ORIENTED_EDGE('',*,*,#193680,.T.); #261879=ORIENTED_EDGE('',*,*,#193682,.F.); #261880=ORIENTED_EDGE('',*,*,#193683,.F.); #261881=ORIENTED_EDGE('',*,*,#193684,.T.); #261882=ORIENTED_EDGE('',*,*,#193683,.T.); #261883=ORIENTED_EDGE('',*,*,#193685,.F.); #261884=ORIENTED_EDGE('',*,*,#193686,.F.); #261885=ORIENTED_EDGE('',*,*,#193687,.T.); #261886=ORIENTED_EDGE('',*,*,#193686,.T.); #261887=ORIENTED_EDGE('',*,*,#193688,.F.); #261888=ORIENTED_EDGE('',*,*,#193689,.F.); #261889=ORIENTED_EDGE('',*,*,#193690,.T.); #261890=ORIENTED_EDGE('',*,*,#193689,.T.); #261891=ORIENTED_EDGE('',*,*,#193691,.F.); #261892=ORIENTED_EDGE('',*,*,#193692,.F.); #261893=ORIENTED_EDGE('',*,*,#193693,.T.); #261894=ORIENTED_EDGE('',*,*,#193692,.T.); #261895=ORIENTED_EDGE('',*,*,#193694,.F.); #261896=ORIENTED_EDGE('',*,*,#193695,.F.); #261897=ORIENTED_EDGE('',*,*,#193696,.T.); #261898=ORIENTED_EDGE('',*,*,#193695,.T.); #261899=ORIENTED_EDGE('',*,*,#193697,.F.); #261900=ORIENTED_EDGE('',*,*,#193698,.F.); #261901=ORIENTED_EDGE('',*,*,#193699,.T.); #261902=ORIENTED_EDGE('',*,*,#193698,.T.); #261903=ORIENTED_EDGE('',*,*,#193700,.F.); #261904=ORIENTED_EDGE('',*,*,#193701,.F.); #261905=ORIENTED_EDGE('',*,*,#193702,.T.); #261906=ORIENTED_EDGE('',*,*,#193701,.T.); #261907=ORIENTED_EDGE('',*,*,#193703,.F.); #261908=ORIENTED_EDGE('',*,*,#193704,.F.); #261909=ORIENTED_EDGE('',*,*,#193705,.T.); #261910=ORIENTED_EDGE('',*,*,#193704,.T.); #261911=ORIENTED_EDGE('',*,*,#193706,.F.); #261912=ORIENTED_EDGE('',*,*,#193707,.F.); #261913=ORIENTED_EDGE('',*,*,#193708,.T.); #261914=ORIENTED_EDGE('',*,*,#193707,.T.); #261915=ORIENTED_EDGE('',*,*,#193709,.F.); #261916=ORIENTED_EDGE('',*,*,#193710,.F.); #261917=ORIENTED_EDGE('',*,*,#193711,.T.); #261918=ORIENTED_EDGE('',*,*,#193710,.T.); #261919=ORIENTED_EDGE('',*,*,#193712,.F.); #261920=ORIENTED_EDGE('',*,*,#193713,.F.); #261921=ORIENTED_EDGE('',*,*,#193714,.T.); #261922=ORIENTED_EDGE('',*,*,#193713,.T.); #261923=ORIENTED_EDGE('',*,*,#193715,.F.); #261924=ORIENTED_EDGE('',*,*,#193716,.F.); #261925=ORIENTED_EDGE('',*,*,#193717,.T.); #261926=ORIENTED_EDGE('',*,*,#193716,.T.); #261927=ORIENTED_EDGE('',*,*,#193718,.F.); #261928=ORIENTED_EDGE('',*,*,#193719,.F.); #261929=ORIENTED_EDGE('',*,*,#193720,.T.); #261930=ORIENTED_EDGE('',*,*,#193719,.T.); #261931=ORIENTED_EDGE('',*,*,#193721,.F.); #261932=ORIENTED_EDGE('',*,*,#193722,.F.); #261933=ORIENTED_EDGE('',*,*,#193723,.T.); #261934=ORIENTED_EDGE('',*,*,#193722,.T.); #261935=ORIENTED_EDGE('',*,*,#193724,.F.); #261936=ORIENTED_EDGE('',*,*,#193725,.F.); #261937=ORIENTED_EDGE('',*,*,#193726,.T.); #261938=ORIENTED_EDGE('',*,*,#193725,.T.); #261939=ORIENTED_EDGE('',*,*,#193727,.F.); #261940=ORIENTED_EDGE('',*,*,#193728,.F.); #261941=ORIENTED_EDGE('',*,*,#193729,.T.); #261942=ORIENTED_EDGE('',*,*,#193728,.T.); #261943=ORIENTED_EDGE('',*,*,#193730,.F.); #261944=ORIENTED_EDGE('',*,*,#193731,.F.); #261945=ORIENTED_EDGE('',*,*,#193732,.T.); #261946=ORIENTED_EDGE('',*,*,#193731,.T.); #261947=ORIENTED_EDGE('',*,*,#193733,.F.); #261948=ORIENTED_EDGE('',*,*,#193734,.F.); #261949=ORIENTED_EDGE('',*,*,#193735,.T.); #261950=ORIENTED_EDGE('',*,*,#193734,.T.); #261951=ORIENTED_EDGE('',*,*,#193736,.F.); #261952=ORIENTED_EDGE('',*,*,#193737,.F.); #261953=ORIENTED_EDGE('',*,*,#193738,.T.); #261954=ORIENTED_EDGE('',*,*,#193737,.T.); #261955=ORIENTED_EDGE('',*,*,#193739,.F.); #261956=ORIENTED_EDGE('',*,*,#193740,.F.); #261957=ORIENTED_EDGE('',*,*,#193741,.T.); #261958=ORIENTED_EDGE('',*,*,#193740,.T.); #261959=ORIENTED_EDGE('',*,*,#193742,.F.); #261960=ORIENTED_EDGE('',*,*,#193675,.F.); #261961=ORIENTED_EDGE('',*,*,#193742,.T.); #261962=ORIENTED_EDGE('',*,*,#193739,.T.); #261963=ORIENTED_EDGE('',*,*,#193736,.T.); #261964=ORIENTED_EDGE('',*,*,#193733,.T.); #261965=ORIENTED_EDGE('',*,*,#193730,.T.); #261966=ORIENTED_EDGE('',*,*,#193727,.T.); #261967=ORIENTED_EDGE('',*,*,#193724,.T.); #261968=ORIENTED_EDGE('',*,*,#193721,.T.); #261969=ORIENTED_EDGE('',*,*,#193718,.T.); #261970=ORIENTED_EDGE('',*,*,#193715,.T.); #261971=ORIENTED_EDGE('',*,*,#193712,.T.); #261972=ORIENTED_EDGE('',*,*,#193709,.T.); #261973=ORIENTED_EDGE('',*,*,#193706,.T.); #261974=ORIENTED_EDGE('',*,*,#193703,.T.); #261975=ORIENTED_EDGE('',*,*,#193700,.T.); #261976=ORIENTED_EDGE('',*,*,#193697,.T.); #261977=ORIENTED_EDGE('',*,*,#193694,.T.); #261978=ORIENTED_EDGE('',*,*,#193691,.T.); #261979=ORIENTED_EDGE('',*,*,#193688,.T.); #261980=ORIENTED_EDGE('',*,*,#193685,.T.); #261981=ORIENTED_EDGE('',*,*,#193682,.T.); #261982=ORIENTED_EDGE('',*,*,#193679,.T.); #261983=ORIENTED_EDGE('',*,*,#193676,.T.); #261984=ORIENTED_EDGE('',*,*,#193670,.T.); #261985=ORIENTED_EDGE('',*,*,#193673,.T.); #261986=ORIENTED_EDGE('',*,*,#193741,.F.); #261987=ORIENTED_EDGE('',*,*,#193674,.F.); #261988=ORIENTED_EDGE('',*,*,#193678,.F.); #261989=ORIENTED_EDGE('',*,*,#193681,.F.); #261990=ORIENTED_EDGE('',*,*,#193684,.F.); #261991=ORIENTED_EDGE('',*,*,#193687,.F.); #261992=ORIENTED_EDGE('',*,*,#193690,.F.); #261993=ORIENTED_EDGE('',*,*,#193693,.F.); #261994=ORIENTED_EDGE('',*,*,#193696,.F.); #261995=ORIENTED_EDGE('',*,*,#193699,.F.); #261996=ORIENTED_EDGE('',*,*,#193702,.F.); #261997=ORIENTED_EDGE('',*,*,#193705,.F.); #261998=ORIENTED_EDGE('',*,*,#193708,.F.); #261999=ORIENTED_EDGE('',*,*,#193711,.F.); #262000=ORIENTED_EDGE('',*,*,#193714,.F.); #262001=ORIENTED_EDGE('',*,*,#193717,.F.); #262002=ORIENTED_EDGE('',*,*,#193720,.F.); #262003=ORIENTED_EDGE('',*,*,#193723,.F.); #262004=ORIENTED_EDGE('',*,*,#193726,.F.); #262005=ORIENTED_EDGE('',*,*,#193729,.F.); #262006=ORIENTED_EDGE('',*,*,#193732,.F.); #262007=ORIENTED_EDGE('',*,*,#193735,.F.); #262008=ORIENTED_EDGE('',*,*,#193738,.F.); #262009=ORIENTED_EDGE('',*,*,#193668,.T.); #262010=ORIENTED_EDGE('',*,*,#193671,.T.); #262011=ORIENTED_EDGE('',*,*,#193743,.F.); #262012=ORIENTED_EDGE('',*,*,#193744,.T.); #262013=ORIENTED_EDGE('',*,*,#193745,.F.); #262014=ORIENTED_EDGE('',*,*,#193744,.F.); #262015=ORIENTED_EDGE('',*,*,#193746,.F.); #262016=ORIENTED_EDGE('',*,*,#193747,.T.); #262017=ORIENTED_EDGE('',*,*,#193748,.F.); #262018=ORIENTED_EDGE('',*,*,#193747,.F.); #262019=ORIENTED_EDGE('',*,*,#193749,.T.); #262020=ORIENTED_EDGE('',*,*,#193750,.T.); #262021=ORIENTED_EDGE('',*,*,#193751,.F.); #262022=ORIENTED_EDGE('',*,*,#193752,.F.); #262023=ORIENTED_EDGE('',*,*,#193753,.T.); #262024=ORIENTED_EDGE('',*,*,#193752,.T.); #262025=ORIENTED_EDGE('',*,*,#193754,.F.); #262026=ORIENTED_EDGE('',*,*,#193755,.F.); #262027=ORIENTED_EDGE('',*,*,#193756,.T.); #262028=ORIENTED_EDGE('',*,*,#193755,.T.); #262029=ORIENTED_EDGE('',*,*,#193757,.F.); #262030=ORIENTED_EDGE('',*,*,#193758,.F.); #262031=ORIENTED_EDGE('',*,*,#193759,.T.); #262032=ORIENTED_EDGE('',*,*,#193758,.T.); #262033=ORIENTED_EDGE('',*,*,#193760,.F.); #262034=ORIENTED_EDGE('',*,*,#193761,.F.); #262035=ORIENTED_EDGE('',*,*,#193762,.T.); #262036=ORIENTED_EDGE('',*,*,#193761,.T.); #262037=ORIENTED_EDGE('',*,*,#193763,.F.); #262038=ORIENTED_EDGE('',*,*,#193764,.F.); #262039=ORIENTED_EDGE('',*,*,#193765,.T.); #262040=ORIENTED_EDGE('',*,*,#193764,.T.); #262041=ORIENTED_EDGE('',*,*,#193766,.F.); #262042=ORIENTED_EDGE('',*,*,#193767,.F.); #262043=ORIENTED_EDGE('',*,*,#193768,.T.); #262044=ORIENTED_EDGE('',*,*,#193767,.T.); #262045=ORIENTED_EDGE('',*,*,#193769,.F.); #262046=ORIENTED_EDGE('',*,*,#193770,.F.); #262047=ORIENTED_EDGE('',*,*,#193771,.T.); #262048=ORIENTED_EDGE('',*,*,#193770,.T.); #262049=ORIENTED_EDGE('',*,*,#193772,.F.); #262050=ORIENTED_EDGE('',*,*,#193750,.F.); #262051=ORIENTED_EDGE('',*,*,#193772,.T.); #262052=ORIENTED_EDGE('',*,*,#193769,.T.); #262053=ORIENTED_EDGE('',*,*,#193766,.T.); #262054=ORIENTED_EDGE('',*,*,#193763,.T.); #262055=ORIENTED_EDGE('',*,*,#193760,.T.); #262056=ORIENTED_EDGE('',*,*,#193757,.T.); #262057=ORIENTED_EDGE('',*,*,#193754,.T.); #262058=ORIENTED_EDGE('',*,*,#193751,.T.); #262059=ORIENTED_EDGE('',*,*,#193745,.T.); #262060=ORIENTED_EDGE('',*,*,#193748,.T.); #262061=ORIENTED_EDGE('',*,*,#193771,.F.); #262062=ORIENTED_EDGE('',*,*,#193749,.F.); #262063=ORIENTED_EDGE('',*,*,#193753,.F.); #262064=ORIENTED_EDGE('',*,*,#193756,.F.); #262065=ORIENTED_EDGE('',*,*,#193759,.F.); #262066=ORIENTED_EDGE('',*,*,#193762,.F.); #262067=ORIENTED_EDGE('',*,*,#193765,.F.); #262068=ORIENTED_EDGE('',*,*,#193768,.F.); #262069=ORIENTED_EDGE('',*,*,#193743,.T.); #262070=ORIENTED_EDGE('',*,*,#193746,.T.); #262071=ORIENTED_EDGE('',*,*,#193773,.F.); #262072=ORIENTED_EDGE('',*,*,#193774,.T.); #262073=ORIENTED_EDGE('',*,*,#193775,.F.); #262074=ORIENTED_EDGE('',*,*,#193774,.F.); #262075=ORIENTED_EDGE('',*,*,#193776,.T.); #262076=ORIENTED_EDGE('',*,*,#193777,.T.); #262077=ORIENTED_EDGE('',*,*,#193778,.F.); #262078=ORIENTED_EDGE('',*,*,#193779,.F.); #262079=ORIENTED_EDGE('',*,*,#193780,.T.); #262080=ORIENTED_EDGE('',*,*,#193779,.T.); #262081=ORIENTED_EDGE('',*,*,#193781,.F.); #262082=ORIENTED_EDGE('',*,*,#193782,.F.); #262083=ORIENTED_EDGE('',*,*,#193783,.T.); #262084=ORIENTED_EDGE('',*,*,#193782,.T.); #262085=ORIENTED_EDGE('',*,*,#193784,.F.); #262086=ORIENTED_EDGE('',*,*,#193785,.F.); #262087=ORIENTED_EDGE('',*,*,#193786,.T.); #262088=ORIENTED_EDGE('',*,*,#193785,.T.); #262089=ORIENTED_EDGE('',*,*,#193787,.F.); #262090=ORIENTED_EDGE('',*,*,#193777,.F.); #262091=ORIENTED_EDGE('',*,*,#193787,.T.); #262092=ORIENTED_EDGE('',*,*,#193784,.T.); #262093=ORIENTED_EDGE('',*,*,#193781,.T.); #262094=ORIENTED_EDGE('',*,*,#193778,.T.); #262095=ORIENTED_EDGE('',*,*,#193775,.T.); #262096=ORIENTED_EDGE('',*,*,#193786,.F.); #262097=ORIENTED_EDGE('',*,*,#193776,.F.); #262098=ORIENTED_EDGE('',*,*,#193780,.F.); #262099=ORIENTED_EDGE('',*,*,#193783,.F.); #262100=ORIENTED_EDGE('',*,*,#193773,.T.); #262101=ORIENTED_EDGE('',*,*,#193788,.F.); #262102=ORIENTED_EDGE('',*,*,#193789,.T.); #262103=ORIENTED_EDGE('',*,*,#193790,.F.); #262104=ORIENTED_EDGE('',*,*,#193789,.F.); #262105=ORIENTED_EDGE('',*,*,#193791,.F.); #262106=ORIENTED_EDGE('',*,*,#193792,.T.); #262107=ORIENTED_EDGE('',*,*,#193793,.F.); #262108=ORIENTED_EDGE('',*,*,#193792,.F.); #262109=ORIENTED_EDGE('',*,*,#193794,.F.); #262110=ORIENTED_EDGE('',*,*,#193795,.T.); #262111=ORIENTED_EDGE('',*,*,#193796,.F.); #262112=ORIENTED_EDGE('',*,*,#193795,.F.); #262113=ORIENTED_EDGE('',*,*,#193797,.F.); #262114=ORIENTED_EDGE('',*,*,#193798,.T.); #262115=ORIENTED_EDGE('',*,*,#193799,.F.); #262116=ORIENTED_EDGE('',*,*,#193798,.F.); #262117=ORIENTED_EDGE('',*,*,#193800,.T.); #262118=ORIENTED_EDGE('',*,*,#193801,.T.); #262119=ORIENTED_EDGE('',*,*,#193802,.F.); #262120=ORIENTED_EDGE('',*,*,#193803,.F.); #262121=ORIENTED_EDGE('',*,*,#193804,.T.); #262122=ORIENTED_EDGE('',*,*,#193803,.T.); #262123=ORIENTED_EDGE('',*,*,#193805,.F.); #262124=ORIENTED_EDGE('',*,*,#193806,.F.); #262125=ORIENTED_EDGE('',*,*,#193807,.T.); #262126=ORIENTED_EDGE('',*,*,#193806,.T.); #262127=ORIENTED_EDGE('',*,*,#193808,.F.); #262128=ORIENTED_EDGE('',*,*,#193809,.F.); #262129=ORIENTED_EDGE('',*,*,#193810,.T.); #262130=ORIENTED_EDGE('',*,*,#193809,.T.); #262131=ORIENTED_EDGE('',*,*,#193811,.F.); #262132=ORIENTED_EDGE('',*,*,#193812,.F.); #262133=ORIENTED_EDGE('',*,*,#193813,.T.); #262134=ORIENTED_EDGE('',*,*,#193812,.T.); #262135=ORIENTED_EDGE('',*,*,#193814,.F.); #262136=ORIENTED_EDGE('',*,*,#193815,.F.); #262137=ORIENTED_EDGE('',*,*,#193816,.T.); #262138=ORIENTED_EDGE('',*,*,#193815,.T.); #262139=ORIENTED_EDGE('',*,*,#193817,.F.); #262140=ORIENTED_EDGE('',*,*,#193818,.F.); #262141=ORIENTED_EDGE('',*,*,#193819,.T.); #262142=ORIENTED_EDGE('',*,*,#193818,.T.); #262143=ORIENTED_EDGE('',*,*,#193820,.F.); #262144=ORIENTED_EDGE('',*,*,#193821,.F.); #262145=ORIENTED_EDGE('',*,*,#193822,.T.); #262146=ORIENTED_EDGE('',*,*,#193821,.T.); #262147=ORIENTED_EDGE('',*,*,#193823,.F.); #262148=ORIENTED_EDGE('',*,*,#193824,.F.); #262149=ORIENTED_EDGE('',*,*,#193825,.T.); #262150=ORIENTED_EDGE('',*,*,#193824,.T.); #262151=ORIENTED_EDGE('',*,*,#193826,.F.); #262152=ORIENTED_EDGE('',*,*,#193827,.F.); #262153=ORIENTED_EDGE('',*,*,#193828,.T.); #262154=ORIENTED_EDGE('',*,*,#193827,.T.); #262155=ORIENTED_EDGE('',*,*,#193829,.F.); #262156=ORIENTED_EDGE('',*,*,#193830,.F.); #262157=ORIENTED_EDGE('',*,*,#193831,.T.); #262158=ORIENTED_EDGE('',*,*,#193830,.T.); #262159=ORIENTED_EDGE('',*,*,#193832,.F.); #262160=ORIENTED_EDGE('',*,*,#193833,.F.); #262161=ORIENTED_EDGE('',*,*,#193834,.T.); #262162=ORIENTED_EDGE('',*,*,#193833,.T.); #262163=ORIENTED_EDGE('',*,*,#193835,.F.); #262164=ORIENTED_EDGE('',*,*,#193836,.F.); #262165=ORIENTED_EDGE('',*,*,#193837,.T.); #262166=ORIENTED_EDGE('',*,*,#193836,.T.); #262167=ORIENTED_EDGE('',*,*,#193838,.F.); #262168=ORIENTED_EDGE('',*,*,#193839,.F.); #262169=ORIENTED_EDGE('',*,*,#193840,.T.); #262170=ORIENTED_EDGE('',*,*,#193839,.T.); #262171=ORIENTED_EDGE('',*,*,#193841,.F.); #262172=ORIENTED_EDGE('',*,*,#193842,.F.); #262173=ORIENTED_EDGE('',*,*,#193843,.T.); #262174=ORIENTED_EDGE('',*,*,#193842,.T.); #262175=ORIENTED_EDGE('',*,*,#193844,.F.); #262176=ORIENTED_EDGE('',*,*,#193845,.F.); #262177=ORIENTED_EDGE('',*,*,#193846,.T.); #262178=ORIENTED_EDGE('',*,*,#193845,.T.); #262179=ORIENTED_EDGE('',*,*,#193847,.F.); #262180=ORIENTED_EDGE('',*,*,#193801,.F.); #262181=ORIENTED_EDGE('',*,*,#193848,.T.); #262182=ORIENTED_EDGE('',*,*,#193849,.T.); #262183=ORIENTED_EDGE('',*,*,#193850,.F.); #262184=ORIENTED_EDGE('',*,*,#193851,.F.); #262185=ORIENTED_EDGE('',*,*,#193852,.T.); #262186=ORIENTED_EDGE('',*,*,#193851,.T.); #262187=ORIENTED_EDGE('',*,*,#193853,.F.); #262188=ORIENTED_EDGE('',*,*,#193854,.F.); #262189=ORIENTED_EDGE('',*,*,#193855,.T.); #262190=ORIENTED_EDGE('',*,*,#193854,.T.); #262191=ORIENTED_EDGE('',*,*,#193856,.F.); #262192=ORIENTED_EDGE('',*,*,#193857,.F.); #262193=ORIENTED_EDGE('',*,*,#193858,.T.); #262194=ORIENTED_EDGE('',*,*,#193857,.T.); #262195=ORIENTED_EDGE('',*,*,#193859,.F.); #262196=ORIENTED_EDGE('',*,*,#193860,.F.); #262197=ORIENTED_EDGE('',*,*,#193861,.T.); #262198=ORIENTED_EDGE('',*,*,#193860,.T.); #262199=ORIENTED_EDGE('',*,*,#193862,.F.); #262200=ORIENTED_EDGE('',*,*,#193863,.F.); #262201=ORIENTED_EDGE('',*,*,#193864,.T.); #262202=ORIENTED_EDGE('',*,*,#193863,.T.); #262203=ORIENTED_EDGE('',*,*,#193865,.F.); #262204=ORIENTED_EDGE('',*,*,#193866,.F.); #262205=ORIENTED_EDGE('',*,*,#193867,.T.); #262206=ORIENTED_EDGE('',*,*,#193866,.T.); #262207=ORIENTED_EDGE('',*,*,#193868,.F.); #262208=ORIENTED_EDGE('',*,*,#193869,.F.); #262209=ORIENTED_EDGE('',*,*,#193870,.T.); #262210=ORIENTED_EDGE('',*,*,#193869,.T.); #262211=ORIENTED_EDGE('',*,*,#193871,.F.); #262212=ORIENTED_EDGE('',*,*,#193872,.F.); #262213=ORIENTED_EDGE('',*,*,#193873,.T.); #262214=ORIENTED_EDGE('',*,*,#193872,.T.); #262215=ORIENTED_EDGE('',*,*,#193874,.F.); #262216=ORIENTED_EDGE('',*,*,#193875,.F.); #262217=ORIENTED_EDGE('',*,*,#193876,.T.); #262218=ORIENTED_EDGE('',*,*,#193875,.T.); #262219=ORIENTED_EDGE('',*,*,#193877,.F.); #262220=ORIENTED_EDGE('',*,*,#193878,.F.); #262221=ORIENTED_EDGE('',*,*,#193879,.T.); #262222=ORIENTED_EDGE('',*,*,#193878,.T.); #262223=ORIENTED_EDGE('',*,*,#193880,.F.); #262224=ORIENTED_EDGE('',*,*,#193881,.F.); #262225=ORIENTED_EDGE('',*,*,#193882,.T.); #262226=ORIENTED_EDGE('',*,*,#193881,.T.); #262227=ORIENTED_EDGE('',*,*,#193883,.F.); #262228=ORIENTED_EDGE('',*,*,#193884,.F.); #262229=ORIENTED_EDGE('',*,*,#193885,.T.); #262230=ORIENTED_EDGE('',*,*,#193884,.T.); #262231=ORIENTED_EDGE('',*,*,#193886,.F.); #262232=ORIENTED_EDGE('',*,*,#193887,.F.); #262233=ORIENTED_EDGE('',*,*,#193888,.T.); #262234=ORIENTED_EDGE('',*,*,#193887,.T.); #262235=ORIENTED_EDGE('',*,*,#193889,.F.); #262236=ORIENTED_EDGE('',*,*,#193890,.F.); #262237=ORIENTED_EDGE('',*,*,#193891,.T.); #262238=ORIENTED_EDGE('',*,*,#193890,.T.); #262239=ORIENTED_EDGE('',*,*,#193892,.F.); #262240=ORIENTED_EDGE('',*,*,#193893,.F.); #262241=ORIENTED_EDGE('',*,*,#193894,.T.); #262242=ORIENTED_EDGE('',*,*,#193893,.T.); #262243=ORIENTED_EDGE('',*,*,#193895,.F.); #262244=ORIENTED_EDGE('',*,*,#193896,.F.); #262245=ORIENTED_EDGE('',*,*,#193897,.T.); #262246=ORIENTED_EDGE('',*,*,#193896,.T.); #262247=ORIENTED_EDGE('',*,*,#193898,.F.); #262248=ORIENTED_EDGE('',*,*,#193899,.F.); #262249=ORIENTED_EDGE('',*,*,#193900,.T.); #262250=ORIENTED_EDGE('',*,*,#193899,.T.); #262251=ORIENTED_EDGE('',*,*,#193901,.F.); #262252=ORIENTED_EDGE('',*,*,#193902,.F.); #262253=ORIENTED_EDGE('',*,*,#193903,.T.); #262254=ORIENTED_EDGE('',*,*,#193902,.T.); #262255=ORIENTED_EDGE('',*,*,#193904,.F.); #262256=ORIENTED_EDGE('',*,*,#193905,.F.); #262257=ORIENTED_EDGE('',*,*,#193906,.T.); #262258=ORIENTED_EDGE('',*,*,#193905,.T.); #262259=ORIENTED_EDGE('',*,*,#193907,.F.); #262260=ORIENTED_EDGE('',*,*,#193908,.F.); #262261=ORIENTED_EDGE('',*,*,#193909,.T.); #262262=ORIENTED_EDGE('',*,*,#193908,.T.); #262263=ORIENTED_EDGE('',*,*,#193910,.F.); #262264=ORIENTED_EDGE('',*,*,#193911,.F.); #262265=ORIENTED_EDGE('',*,*,#193912,.T.); #262266=ORIENTED_EDGE('',*,*,#193911,.T.); #262267=ORIENTED_EDGE('',*,*,#193913,.F.); #262268=ORIENTED_EDGE('',*,*,#193914,.F.); #262269=ORIENTED_EDGE('',*,*,#193915,.T.); #262270=ORIENTED_EDGE('',*,*,#193914,.T.); #262271=ORIENTED_EDGE('',*,*,#193916,.F.); #262272=ORIENTED_EDGE('',*,*,#193917,.F.); #262273=ORIENTED_EDGE('',*,*,#193918,.T.); #262274=ORIENTED_EDGE('',*,*,#193917,.T.); #262275=ORIENTED_EDGE('',*,*,#193919,.F.); #262276=ORIENTED_EDGE('',*,*,#193920,.F.); #262277=ORIENTED_EDGE('',*,*,#193921,.T.); #262278=ORIENTED_EDGE('',*,*,#193920,.T.); #262279=ORIENTED_EDGE('',*,*,#193922,.F.); #262280=ORIENTED_EDGE('',*,*,#193923,.F.); #262281=ORIENTED_EDGE('',*,*,#193924,.T.); #262282=ORIENTED_EDGE('',*,*,#193923,.T.); #262283=ORIENTED_EDGE('',*,*,#193925,.F.); #262284=ORIENTED_EDGE('',*,*,#193926,.F.); #262285=ORIENTED_EDGE('',*,*,#193927,.T.); #262286=ORIENTED_EDGE('',*,*,#193926,.T.); #262287=ORIENTED_EDGE('',*,*,#193928,.F.); #262288=ORIENTED_EDGE('',*,*,#193929,.F.); #262289=ORIENTED_EDGE('',*,*,#193930,.T.); #262290=ORIENTED_EDGE('',*,*,#193929,.T.); #262291=ORIENTED_EDGE('',*,*,#193931,.F.); #262292=ORIENTED_EDGE('',*,*,#193932,.F.); #262293=ORIENTED_EDGE('',*,*,#193933,.T.); #262294=ORIENTED_EDGE('',*,*,#193932,.T.); #262295=ORIENTED_EDGE('',*,*,#193934,.F.); #262296=ORIENTED_EDGE('',*,*,#193935,.F.); #262297=ORIENTED_EDGE('',*,*,#193936,.T.); #262298=ORIENTED_EDGE('',*,*,#193935,.T.); #262299=ORIENTED_EDGE('',*,*,#193937,.F.); #262300=ORIENTED_EDGE('',*,*,#193938,.F.); #262301=ORIENTED_EDGE('',*,*,#193939,.T.); #262302=ORIENTED_EDGE('',*,*,#193938,.T.); #262303=ORIENTED_EDGE('',*,*,#193940,.F.); #262304=ORIENTED_EDGE('',*,*,#193941,.F.); #262305=ORIENTED_EDGE('',*,*,#193942,.T.); #262306=ORIENTED_EDGE('',*,*,#193941,.T.); #262307=ORIENTED_EDGE('',*,*,#193943,.F.); #262308=ORIENTED_EDGE('',*,*,#193944,.F.); #262309=ORIENTED_EDGE('',*,*,#193945,.T.); #262310=ORIENTED_EDGE('',*,*,#193944,.T.); #262311=ORIENTED_EDGE('',*,*,#193946,.F.); #262312=ORIENTED_EDGE('',*,*,#193947,.F.); #262313=ORIENTED_EDGE('',*,*,#193948,.T.); #262314=ORIENTED_EDGE('',*,*,#193947,.T.); #262315=ORIENTED_EDGE('',*,*,#193949,.F.); #262316=ORIENTED_EDGE('',*,*,#193950,.F.); #262317=ORIENTED_EDGE('',*,*,#193951,.T.); #262318=ORIENTED_EDGE('',*,*,#193950,.T.); #262319=ORIENTED_EDGE('',*,*,#193952,.F.); #262320=ORIENTED_EDGE('',*,*,#193953,.F.); #262321=ORIENTED_EDGE('',*,*,#193954,.T.); #262322=ORIENTED_EDGE('',*,*,#193953,.T.); #262323=ORIENTED_EDGE('',*,*,#193955,.F.); #262324=ORIENTED_EDGE('',*,*,#193956,.F.); #262325=ORIENTED_EDGE('',*,*,#193957,.T.); #262326=ORIENTED_EDGE('',*,*,#193956,.T.); #262327=ORIENTED_EDGE('',*,*,#193958,.F.); #262328=ORIENTED_EDGE('',*,*,#193959,.F.); #262329=ORIENTED_EDGE('',*,*,#193960,.T.); #262330=ORIENTED_EDGE('',*,*,#193959,.T.); #262331=ORIENTED_EDGE('',*,*,#193961,.F.); #262332=ORIENTED_EDGE('',*,*,#193962,.F.); #262333=ORIENTED_EDGE('',*,*,#193963,.T.); #262334=ORIENTED_EDGE('',*,*,#193962,.T.); #262335=ORIENTED_EDGE('',*,*,#193964,.F.); #262336=ORIENTED_EDGE('',*,*,#193965,.F.); #262337=ORIENTED_EDGE('',*,*,#193966,.T.); #262338=ORIENTED_EDGE('',*,*,#193965,.T.); #262339=ORIENTED_EDGE('',*,*,#193967,.F.); #262340=ORIENTED_EDGE('',*,*,#193968,.F.); #262341=ORIENTED_EDGE('',*,*,#193969,.T.); #262342=ORIENTED_EDGE('',*,*,#193968,.T.); #262343=ORIENTED_EDGE('',*,*,#193970,.F.); #262344=ORIENTED_EDGE('',*,*,#193971,.F.); #262345=ORIENTED_EDGE('',*,*,#193972,.T.); #262346=ORIENTED_EDGE('',*,*,#193971,.T.); #262347=ORIENTED_EDGE('',*,*,#193973,.F.); #262348=ORIENTED_EDGE('',*,*,#193974,.F.); #262349=ORIENTED_EDGE('',*,*,#193975,.T.); #262350=ORIENTED_EDGE('',*,*,#193974,.T.); #262351=ORIENTED_EDGE('',*,*,#193976,.F.); #262352=ORIENTED_EDGE('',*,*,#193977,.F.); #262353=ORIENTED_EDGE('',*,*,#193978,.T.); #262354=ORIENTED_EDGE('',*,*,#193977,.T.); #262355=ORIENTED_EDGE('',*,*,#193979,.F.); #262356=ORIENTED_EDGE('',*,*,#193980,.F.); #262357=ORIENTED_EDGE('',*,*,#193981,.T.); #262358=ORIENTED_EDGE('',*,*,#193980,.T.); #262359=ORIENTED_EDGE('',*,*,#193982,.F.); #262360=ORIENTED_EDGE('',*,*,#193983,.F.); #262361=ORIENTED_EDGE('',*,*,#193984,.T.); #262362=ORIENTED_EDGE('',*,*,#193983,.T.); #262363=ORIENTED_EDGE('',*,*,#193985,.F.); #262364=ORIENTED_EDGE('',*,*,#193986,.F.); #262365=ORIENTED_EDGE('',*,*,#193987,.T.); #262366=ORIENTED_EDGE('',*,*,#193986,.T.); #262367=ORIENTED_EDGE('',*,*,#193988,.F.); #262368=ORIENTED_EDGE('',*,*,#193989,.F.); #262369=ORIENTED_EDGE('',*,*,#193990,.T.); #262370=ORIENTED_EDGE('',*,*,#193989,.T.); #262371=ORIENTED_EDGE('',*,*,#193991,.F.); #262372=ORIENTED_EDGE('',*,*,#193992,.F.); #262373=ORIENTED_EDGE('',*,*,#193993,.T.); #262374=ORIENTED_EDGE('',*,*,#193992,.T.); #262375=ORIENTED_EDGE('',*,*,#193994,.F.); #262376=ORIENTED_EDGE('',*,*,#193995,.F.); #262377=ORIENTED_EDGE('',*,*,#193996,.T.); #262378=ORIENTED_EDGE('',*,*,#193995,.T.); #262379=ORIENTED_EDGE('',*,*,#193997,.F.); #262380=ORIENTED_EDGE('',*,*,#193998,.F.); #262381=ORIENTED_EDGE('',*,*,#193999,.T.); #262382=ORIENTED_EDGE('',*,*,#193998,.T.); #262383=ORIENTED_EDGE('',*,*,#194000,.F.); #262384=ORIENTED_EDGE('',*,*,#194001,.F.); #262385=ORIENTED_EDGE('',*,*,#194002,.T.); #262386=ORIENTED_EDGE('',*,*,#194001,.T.); #262387=ORIENTED_EDGE('',*,*,#194003,.F.); #262388=ORIENTED_EDGE('',*,*,#194004,.F.); #262389=ORIENTED_EDGE('',*,*,#194005,.T.); #262390=ORIENTED_EDGE('',*,*,#194004,.T.); #262391=ORIENTED_EDGE('',*,*,#194006,.F.); #262392=ORIENTED_EDGE('',*,*,#194007,.F.); #262393=ORIENTED_EDGE('',*,*,#194008,.T.); #262394=ORIENTED_EDGE('',*,*,#194007,.T.); #262395=ORIENTED_EDGE('',*,*,#194009,.F.); #262396=ORIENTED_EDGE('',*,*,#194010,.F.); #262397=ORIENTED_EDGE('',*,*,#194011,.T.); #262398=ORIENTED_EDGE('',*,*,#194010,.T.); #262399=ORIENTED_EDGE('',*,*,#194012,.F.); #262400=ORIENTED_EDGE('',*,*,#194013,.F.); #262401=ORIENTED_EDGE('',*,*,#194014,.T.); #262402=ORIENTED_EDGE('',*,*,#194013,.T.); #262403=ORIENTED_EDGE('',*,*,#194015,.F.); #262404=ORIENTED_EDGE('',*,*,#194016,.F.); #262405=ORIENTED_EDGE('',*,*,#194017,.T.); #262406=ORIENTED_EDGE('',*,*,#194016,.T.); #262407=ORIENTED_EDGE('',*,*,#194018,.F.); #262408=ORIENTED_EDGE('',*,*,#194019,.F.); #262409=ORIENTED_EDGE('',*,*,#194020,.T.); #262410=ORIENTED_EDGE('',*,*,#194019,.T.); #262411=ORIENTED_EDGE('',*,*,#194021,.F.); #262412=ORIENTED_EDGE('',*,*,#194022,.F.); #262413=ORIENTED_EDGE('',*,*,#194023,.T.); #262414=ORIENTED_EDGE('',*,*,#194022,.T.); #262415=ORIENTED_EDGE('',*,*,#194024,.F.); #262416=ORIENTED_EDGE('',*,*,#194025,.F.); #262417=ORIENTED_EDGE('',*,*,#194026,.T.); #262418=ORIENTED_EDGE('',*,*,#194025,.T.); #262419=ORIENTED_EDGE('',*,*,#194027,.F.); #262420=ORIENTED_EDGE('',*,*,#194028,.F.); #262421=ORIENTED_EDGE('',*,*,#194029,.T.); #262422=ORIENTED_EDGE('',*,*,#194028,.T.); #262423=ORIENTED_EDGE('',*,*,#194030,.F.); #262424=ORIENTED_EDGE('',*,*,#194031,.F.); #262425=ORIENTED_EDGE('',*,*,#194032,.T.); #262426=ORIENTED_EDGE('',*,*,#194031,.T.); #262427=ORIENTED_EDGE('',*,*,#194033,.F.); #262428=ORIENTED_EDGE('',*,*,#194034,.F.); #262429=ORIENTED_EDGE('',*,*,#194035,.T.); #262430=ORIENTED_EDGE('',*,*,#194034,.T.); #262431=ORIENTED_EDGE('',*,*,#194036,.F.); #262432=ORIENTED_EDGE('',*,*,#194037,.F.); #262433=ORIENTED_EDGE('',*,*,#194038,.T.); #262434=ORIENTED_EDGE('',*,*,#194037,.T.); #262435=ORIENTED_EDGE('',*,*,#194039,.F.); #262436=ORIENTED_EDGE('',*,*,#194040,.F.); #262437=ORIENTED_EDGE('',*,*,#194041,.T.); #262438=ORIENTED_EDGE('',*,*,#194040,.T.); #262439=ORIENTED_EDGE('',*,*,#194042,.F.); #262440=ORIENTED_EDGE('',*,*,#194043,.F.); #262441=ORIENTED_EDGE('',*,*,#194044,.T.); #262442=ORIENTED_EDGE('',*,*,#194043,.T.); #262443=ORIENTED_EDGE('',*,*,#194045,.F.); #262444=ORIENTED_EDGE('',*,*,#194046,.F.); #262445=ORIENTED_EDGE('',*,*,#194047,.T.); #262446=ORIENTED_EDGE('',*,*,#194046,.T.); #262447=ORIENTED_EDGE('',*,*,#194048,.F.); #262448=ORIENTED_EDGE('',*,*,#194049,.F.); #262449=ORIENTED_EDGE('',*,*,#194050,.T.); #262450=ORIENTED_EDGE('',*,*,#194049,.T.); #262451=ORIENTED_EDGE('',*,*,#194051,.F.); #262452=ORIENTED_EDGE('',*,*,#194052,.F.); #262453=ORIENTED_EDGE('',*,*,#194053,.T.); #262454=ORIENTED_EDGE('',*,*,#194052,.T.); #262455=ORIENTED_EDGE('',*,*,#194054,.F.); #262456=ORIENTED_EDGE('',*,*,#194055,.F.); #262457=ORIENTED_EDGE('',*,*,#194056,.T.); #262458=ORIENTED_EDGE('',*,*,#194055,.T.); #262459=ORIENTED_EDGE('',*,*,#194057,.F.); #262460=ORIENTED_EDGE('',*,*,#194058,.F.); #262461=ORIENTED_EDGE('',*,*,#194059,.T.); #262462=ORIENTED_EDGE('',*,*,#194058,.T.); #262463=ORIENTED_EDGE('',*,*,#194060,.F.); #262464=ORIENTED_EDGE('',*,*,#194061,.F.); #262465=ORIENTED_EDGE('',*,*,#194062,.T.); #262466=ORIENTED_EDGE('',*,*,#194061,.T.); #262467=ORIENTED_EDGE('',*,*,#194063,.F.); #262468=ORIENTED_EDGE('',*,*,#194064,.F.); #262469=ORIENTED_EDGE('',*,*,#194065,.T.); #262470=ORIENTED_EDGE('',*,*,#194064,.T.); #262471=ORIENTED_EDGE('',*,*,#194066,.F.); #262472=ORIENTED_EDGE('',*,*,#194067,.F.); #262473=ORIENTED_EDGE('',*,*,#194068,.T.); #262474=ORIENTED_EDGE('',*,*,#194067,.T.); #262475=ORIENTED_EDGE('',*,*,#194069,.F.); #262476=ORIENTED_EDGE('',*,*,#193849,.F.); #262477=ORIENTED_EDGE('',*,*,#194069,.T.); #262478=ORIENTED_EDGE('',*,*,#194066,.T.); #262479=ORIENTED_EDGE('',*,*,#194063,.T.); #262480=ORIENTED_EDGE('',*,*,#194060,.T.); #262481=ORIENTED_EDGE('',*,*,#194057,.T.); #262482=ORIENTED_EDGE('',*,*,#194054,.T.); #262483=ORIENTED_EDGE('',*,*,#194051,.T.); #262484=ORIENTED_EDGE('',*,*,#194048,.T.); #262485=ORIENTED_EDGE('',*,*,#194045,.T.); #262486=ORIENTED_EDGE('',*,*,#194042,.T.); #262487=ORIENTED_EDGE('',*,*,#194039,.T.); #262488=ORIENTED_EDGE('',*,*,#194036,.T.); #262489=ORIENTED_EDGE('',*,*,#194033,.T.); #262490=ORIENTED_EDGE('',*,*,#194030,.T.); #262491=ORIENTED_EDGE('',*,*,#194027,.T.); #262492=ORIENTED_EDGE('',*,*,#194024,.T.); #262493=ORIENTED_EDGE('',*,*,#194021,.T.); #262494=ORIENTED_EDGE('',*,*,#194018,.T.); #262495=ORIENTED_EDGE('',*,*,#194015,.T.); #262496=ORIENTED_EDGE('',*,*,#194012,.T.); #262497=ORIENTED_EDGE('',*,*,#194009,.T.); #262498=ORIENTED_EDGE('',*,*,#194006,.T.); #262499=ORIENTED_EDGE('',*,*,#194003,.T.); #262500=ORIENTED_EDGE('',*,*,#194000,.T.); #262501=ORIENTED_EDGE('',*,*,#193997,.T.); #262502=ORIENTED_EDGE('',*,*,#193994,.T.); #262503=ORIENTED_EDGE('',*,*,#193991,.T.); #262504=ORIENTED_EDGE('',*,*,#193988,.T.); #262505=ORIENTED_EDGE('',*,*,#193985,.T.); #262506=ORIENTED_EDGE('',*,*,#193982,.T.); #262507=ORIENTED_EDGE('',*,*,#193979,.T.); #262508=ORIENTED_EDGE('',*,*,#193976,.T.); #262509=ORIENTED_EDGE('',*,*,#193973,.T.); #262510=ORIENTED_EDGE('',*,*,#193970,.T.); #262511=ORIENTED_EDGE('',*,*,#193967,.T.); #262512=ORIENTED_EDGE('',*,*,#193964,.T.); #262513=ORIENTED_EDGE('',*,*,#193961,.T.); #262514=ORIENTED_EDGE('',*,*,#193958,.T.); #262515=ORIENTED_EDGE('',*,*,#193955,.T.); #262516=ORIENTED_EDGE('',*,*,#193952,.T.); #262517=ORIENTED_EDGE('',*,*,#193949,.T.); #262518=ORIENTED_EDGE('',*,*,#193946,.T.); #262519=ORIENTED_EDGE('',*,*,#193943,.T.); #262520=ORIENTED_EDGE('',*,*,#193940,.T.); #262521=ORIENTED_EDGE('',*,*,#193937,.T.); #262522=ORIENTED_EDGE('',*,*,#193934,.T.); #262523=ORIENTED_EDGE('',*,*,#193931,.T.); #262524=ORIENTED_EDGE('',*,*,#193928,.T.); #262525=ORIENTED_EDGE('',*,*,#193925,.T.); #262526=ORIENTED_EDGE('',*,*,#193922,.T.); #262527=ORIENTED_EDGE('',*,*,#193919,.T.); #262528=ORIENTED_EDGE('',*,*,#193916,.T.); #262529=ORIENTED_EDGE('',*,*,#193913,.T.); #262530=ORIENTED_EDGE('',*,*,#193910,.T.); #262531=ORIENTED_EDGE('',*,*,#193907,.T.); #262532=ORIENTED_EDGE('',*,*,#193904,.T.); #262533=ORIENTED_EDGE('',*,*,#193901,.T.); #262534=ORIENTED_EDGE('',*,*,#193898,.T.); #262535=ORIENTED_EDGE('',*,*,#193895,.T.); #262536=ORIENTED_EDGE('',*,*,#193892,.T.); #262537=ORIENTED_EDGE('',*,*,#193889,.T.); #262538=ORIENTED_EDGE('',*,*,#193886,.T.); #262539=ORIENTED_EDGE('',*,*,#193883,.T.); #262540=ORIENTED_EDGE('',*,*,#193880,.T.); #262541=ORIENTED_EDGE('',*,*,#193877,.T.); #262542=ORIENTED_EDGE('',*,*,#193874,.T.); #262543=ORIENTED_EDGE('',*,*,#193871,.T.); #262544=ORIENTED_EDGE('',*,*,#193868,.T.); #262545=ORIENTED_EDGE('',*,*,#193865,.T.); #262546=ORIENTED_EDGE('',*,*,#193862,.T.); #262547=ORIENTED_EDGE('',*,*,#193859,.T.); #262548=ORIENTED_EDGE('',*,*,#193856,.T.); #262549=ORIENTED_EDGE('',*,*,#193853,.T.); #262550=ORIENTED_EDGE('',*,*,#193850,.T.); #262551=ORIENTED_EDGE('',*,*,#193790,.T.); #262552=ORIENTED_EDGE('',*,*,#193793,.T.); #262553=ORIENTED_EDGE('',*,*,#193796,.T.); #262554=ORIENTED_EDGE('',*,*,#193799,.T.); #262555=ORIENTED_EDGE('',*,*,#193847,.T.); #262556=ORIENTED_EDGE('',*,*,#193844,.T.); #262557=ORIENTED_EDGE('',*,*,#193841,.T.); #262558=ORIENTED_EDGE('',*,*,#193838,.T.); #262559=ORIENTED_EDGE('',*,*,#193835,.T.); #262560=ORIENTED_EDGE('',*,*,#193832,.T.); #262561=ORIENTED_EDGE('',*,*,#193829,.T.); #262562=ORIENTED_EDGE('',*,*,#193826,.T.); #262563=ORIENTED_EDGE('',*,*,#193823,.T.); #262564=ORIENTED_EDGE('',*,*,#193820,.T.); #262565=ORIENTED_EDGE('',*,*,#193817,.T.); #262566=ORIENTED_EDGE('',*,*,#193814,.T.); #262567=ORIENTED_EDGE('',*,*,#193811,.T.); #262568=ORIENTED_EDGE('',*,*,#193808,.T.); #262569=ORIENTED_EDGE('',*,*,#193805,.T.); #262570=ORIENTED_EDGE('',*,*,#193802,.T.); #262571=ORIENTED_EDGE('',*,*,#194068,.F.); #262572=ORIENTED_EDGE('',*,*,#193848,.F.); #262573=ORIENTED_EDGE('',*,*,#193852,.F.); #262574=ORIENTED_EDGE('',*,*,#193855,.F.); #262575=ORIENTED_EDGE('',*,*,#193858,.F.); #262576=ORIENTED_EDGE('',*,*,#193861,.F.); #262577=ORIENTED_EDGE('',*,*,#193864,.F.); #262578=ORIENTED_EDGE('',*,*,#193867,.F.); #262579=ORIENTED_EDGE('',*,*,#193870,.F.); #262580=ORIENTED_EDGE('',*,*,#193873,.F.); #262581=ORIENTED_EDGE('',*,*,#193876,.F.); #262582=ORIENTED_EDGE('',*,*,#193879,.F.); #262583=ORIENTED_EDGE('',*,*,#193882,.F.); #262584=ORIENTED_EDGE('',*,*,#193885,.F.); #262585=ORIENTED_EDGE('',*,*,#193888,.F.); #262586=ORIENTED_EDGE('',*,*,#193891,.F.); #262587=ORIENTED_EDGE('',*,*,#193894,.F.); #262588=ORIENTED_EDGE('',*,*,#193897,.F.); #262589=ORIENTED_EDGE('',*,*,#193900,.F.); #262590=ORIENTED_EDGE('',*,*,#193903,.F.); #262591=ORIENTED_EDGE('',*,*,#193906,.F.); #262592=ORIENTED_EDGE('',*,*,#193909,.F.); #262593=ORIENTED_EDGE('',*,*,#193912,.F.); #262594=ORIENTED_EDGE('',*,*,#193915,.F.); #262595=ORIENTED_EDGE('',*,*,#193918,.F.); #262596=ORIENTED_EDGE('',*,*,#193921,.F.); #262597=ORIENTED_EDGE('',*,*,#193924,.F.); #262598=ORIENTED_EDGE('',*,*,#193927,.F.); #262599=ORIENTED_EDGE('',*,*,#193930,.F.); #262600=ORIENTED_EDGE('',*,*,#193933,.F.); #262601=ORIENTED_EDGE('',*,*,#193936,.F.); #262602=ORIENTED_EDGE('',*,*,#193939,.F.); #262603=ORIENTED_EDGE('',*,*,#193942,.F.); #262604=ORIENTED_EDGE('',*,*,#193945,.F.); #262605=ORIENTED_EDGE('',*,*,#193948,.F.); #262606=ORIENTED_EDGE('',*,*,#193951,.F.); #262607=ORIENTED_EDGE('',*,*,#193954,.F.); #262608=ORIENTED_EDGE('',*,*,#193957,.F.); #262609=ORIENTED_EDGE('',*,*,#193960,.F.); #262610=ORIENTED_EDGE('',*,*,#193963,.F.); #262611=ORIENTED_EDGE('',*,*,#193966,.F.); #262612=ORIENTED_EDGE('',*,*,#193969,.F.); #262613=ORIENTED_EDGE('',*,*,#193972,.F.); #262614=ORIENTED_EDGE('',*,*,#193975,.F.); #262615=ORIENTED_EDGE('',*,*,#193978,.F.); #262616=ORIENTED_EDGE('',*,*,#193981,.F.); #262617=ORIENTED_EDGE('',*,*,#193984,.F.); #262618=ORIENTED_EDGE('',*,*,#193987,.F.); #262619=ORIENTED_EDGE('',*,*,#193990,.F.); #262620=ORIENTED_EDGE('',*,*,#193993,.F.); #262621=ORIENTED_EDGE('',*,*,#193996,.F.); #262622=ORIENTED_EDGE('',*,*,#193999,.F.); #262623=ORIENTED_EDGE('',*,*,#194002,.F.); #262624=ORIENTED_EDGE('',*,*,#194005,.F.); #262625=ORIENTED_EDGE('',*,*,#194008,.F.); #262626=ORIENTED_EDGE('',*,*,#194011,.F.); #262627=ORIENTED_EDGE('',*,*,#194014,.F.); #262628=ORIENTED_EDGE('',*,*,#194017,.F.); #262629=ORIENTED_EDGE('',*,*,#194020,.F.); #262630=ORIENTED_EDGE('',*,*,#194023,.F.); #262631=ORIENTED_EDGE('',*,*,#194026,.F.); #262632=ORIENTED_EDGE('',*,*,#194029,.F.); #262633=ORIENTED_EDGE('',*,*,#194032,.F.); #262634=ORIENTED_EDGE('',*,*,#194035,.F.); #262635=ORIENTED_EDGE('',*,*,#194038,.F.); #262636=ORIENTED_EDGE('',*,*,#194041,.F.); #262637=ORIENTED_EDGE('',*,*,#194044,.F.); #262638=ORIENTED_EDGE('',*,*,#194047,.F.); #262639=ORIENTED_EDGE('',*,*,#194050,.F.); #262640=ORIENTED_EDGE('',*,*,#194053,.F.); #262641=ORIENTED_EDGE('',*,*,#194056,.F.); #262642=ORIENTED_EDGE('',*,*,#194059,.F.); #262643=ORIENTED_EDGE('',*,*,#194062,.F.); #262644=ORIENTED_EDGE('',*,*,#194065,.F.); #262645=ORIENTED_EDGE('',*,*,#193788,.T.); #262646=ORIENTED_EDGE('',*,*,#193791,.T.); #262647=ORIENTED_EDGE('',*,*,#193794,.T.); #262648=ORIENTED_EDGE('',*,*,#193797,.T.); #262649=ORIENTED_EDGE('',*,*,#193846,.F.); #262650=ORIENTED_EDGE('',*,*,#193800,.F.); #262651=ORIENTED_EDGE('',*,*,#193804,.F.); #262652=ORIENTED_EDGE('',*,*,#193807,.F.); #262653=ORIENTED_EDGE('',*,*,#193810,.F.); #262654=ORIENTED_EDGE('',*,*,#193813,.F.); #262655=ORIENTED_EDGE('',*,*,#193816,.F.); #262656=ORIENTED_EDGE('',*,*,#193819,.F.); #262657=ORIENTED_EDGE('',*,*,#193822,.F.); #262658=ORIENTED_EDGE('',*,*,#193825,.F.); #262659=ORIENTED_EDGE('',*,*,#193828,.F.); #262660=ORIENTED_EDGE('',*,*,#193831,.F.); #262661=ORIENTED_EDGE('',*,*,#193834,.F.); #262662=ORIENTED_EDGE('',*,*,#193837,.F.); #262663=ORIENTED_EDGE('',*,*,#193840,.F.); #262664=ORIENTED_EDGE('',*,*,#193843,.F.); #262665=ORIENTED_EDGE('',*,*,#194070,.F.); #262666=ORIENTED_EDGE('',*,*,#194071,.T.); #262667=ORIENTED_EDGE('',*,*,#194072,.F.); #262668=ORIENTED_EDGE('',*,*,#194071,.F.); #262669=ORIENTED_EDGE('',*,*,#194073,.T.); #262670=ORIENTED_EDGE('',*,*,#194074,.T.); #262671=ORIENTED_EDGE('',*,*,#194075,.F.); #262672=ORIENTED_EDGE('',*,*,#194076,.F.); #262673=ORIENTED_EDGE('',*,*,#194077,.T.); #262674=ORIENTED_EDGE('',*,*,#194076,.T.); #262675=ORIENTED_EDGE('',*,*,#194078,.F.); #262676=ORIENTED_EDGE('',*,*,#194079,.F.); #262677=ORIENTED_EDGE('',*,*,#194080,.T.); #262678=ORIENTED_EDGE('',*,*,#194079,.T.); #262679=ORIENTED_EDGE('',*,*,#194081,.F.); #262680=ORIENTED_EDGE('',*,*,#194082,.F.); #262681=ORIENTED_EDGE('',*,*,#194083,.T.); #262682=ORIENTED_EDGE('',*,*,#194082,.T.); #262683=ORIENTED_EDGE('',*,*,#194084,.F.); #262684=ORIENTED_EDGE('',*,*,#194085,.F.); #262685=ORIENTED_EDGE('',*,*,#194086,.T.); #262686=ORIENTED_EDGE('',*,*,#194085,.T.); #262687=ORIENTED_EDGE('',*,*,#194087,.F.); #262688=ORIENTED_EDGE('',*,*,#194088,.F.); #262689=ORIENTED_EDGE('',*,*,#194089,.T.); #262690=ORIENTED_EDGE('',*,*,#194088,.T.); #262691=ORIENTED_EDGE('',*,*,#194090,.F.); #262692=ORIENTED_EDGE('',*,*,#194091,.F.); #262693=ORIENTED_EDGE('',*,*,#194092,.T.); #262694=ORIENTED_EDGE('',*,*,#194091,.T.); #262695=ORIENTED_EDGE('',*,*,#194093,.F.); #262696=ORIENTED_EDGE('',*,*,#194094,.F.); #262697=ORIENTED_EDGE('',*,*,#194095,.T.); #262698=ORIENTED_EDGE('',*,*,#194094,.T.); #262699=ORIENTED_EDGE('',*,*,#194096,.F.); #262700=ORIENTED_EDGE('',*,*,#194097,.F.); #262701=ORIENTED_EDGE('',*,*,#194098,.T.); #262702=ORIENTED_EDGE('',*,*,#194097,.T.); #262703=ORIENTED_EDGE('',*,*,#194099,.F.); #262704=ORIENTED_EDGE('',*,*,#194100,.F.); #262705=ORIENTED_EDGE('',*,*,#194101,.T.); #262706=ORIENTED_EDGE('',*,*,#194100,.T.); #262707=ORIENTED_EDGE('',*,*,#194102,.F.); #262708=ORIENTED_EDGE('',*,*,#194103,.F.); #262709=ORIENTED_EDGE('',*,*,#194104,.T.); #262710=ORIENTED_EDGE('',*,*,#194103,.T.); #262711=ORIENTED_EDGE('',*,*,#194105,.F.); #262712=ORIENTED_EDGE('',*,*,#194106,.F.); #262713=ORIENTED_EDGE('',*,*,#194107,.T.); #262714=ORIENTED_EDGE('',*,*,#194106,.T.); #262715=ORIENTED_EDGE('',*,*,#194108,.F.); #262716=ORIENTED_EDGE('',*,*,#194109,.F.); #262717=ORIENTED_EDGE('',*,*,#194110,.T.); #262718=ORIENTED_EDGE('',*,*,#194109,.T.); #262719=ORIENTED_EDGE('',*,*,#194111,.F.); #262720=ORIENTED_EDGE('',*,*,#194112,.F.); #262721=ORIENTED_EDGE('',*,*,#194113,.T.); #262722=ORIENTED_EDGE('',*,*,#194112,.T.); #262723=ORIENTED_EDGE('',*,*,#194114,.F.); #262724=ORIENTED_EDGE('',*,*,#194115,.F.); #262725=ORIENTED_EDGE('',*,*,#194116,.T.); #262726=ORIENTED_EDGE('',*,*,#194115,.T.); #262727=ORIENTED_EDGE('',*,*,#194117,.F.); #262728=ORIENTED_EDGE('',*,*,#194118,.F.); #262729=ORIENTED_EDGE('',*,*,#194119,.T.); #262730=ORIENTED_EDGE('',*,*,#194118,.T.); #262731=ORIENTED_EDGE('',*,*,#194120,.F.); #262732=ORIENTED_EDGE('',*,*,#194121,.F.); #262733=ORIENTED_EDGE('',*,*,#194122,.T.); #262734=ORIENTED_EDGE('',*,*,#194121,.T.); #262735=ORIENTED_EDGE('',*,*,#194123,.F.); #262736=ORIENTED_EDGE('',*,*,#194124,.F.); #262737=ORIENTED_EDGE('',*,*,#194125,.T.); #262738=ORIENTED_EDGE('',*,*,#194124,.T.); #262739=ORIENTED_EDGE('',*,*,#194126,.F.); #262740=ORIENTED_EDGE('',*,*,#194127,.F.); #262741=ORIENTED_EDGE('',*,*,#194128,.T.); #262742=ORIENTED_EDGE('',*,*,#194127,.T.); #262743=ORIENTED_EDGE('',*,*,#194129,.F.); #262744=ORIENTED_EDGE('',*,*,#194130,.F.); #262745=ORIENTED_EDGE('',*,*,#194131,.T.); #262746=ORIENTED_EDGE('',*,*,#194130,.T.); #262747=ORIENTED_EDGE('',*,*,#194132,.F.); #262748=ORIENTED_EDGE('',*,*,#194133,.F.); #262749=ORIENTED_EDGE('',*,*,#194134,.T.); #262750=ORIENTED_EDGE('',*,*,#194133,.T.); #262751=ORIENTED_EDGE('',*,*,#194135,.F.); #262752=ORIENTED_EDGE('',*,*,#194136,.F.); #262753=ORIENTED_EDGE('',*,*,#194137,.T.); #262754=ORIENTED_EDGE('',*,*,#194136,.T.); #262755=ORIENTED_EDGE('',*,*,#194138,.F.); #262756=ORIENTED_EDGE('',*,*,#194139,.F.); #262757=ORIENTED_EDGE('',*,*,#194140,.T.); #262758=ORIENTED_EDGE('',*,*,#194139,.T.); #262759=ORIENTED_EDGE('',*,*,#194141,.F.); #262760=ORIENTED_EDGE('',*,*,#194142,.F.); #262761=ORIENTED_EDGE('',*,*,#194143,.T.); #262762=ORIENTED_EDGE('',*,*,#194142,.T.); #262763=ORIENTED_EDGE('',*,*,#194144,.F.); #262764=ORIENTED_EDGE('',*,*,#194145,.F.); #262765=ORIENTED_EDGE('',*,*,#194146,.T.); #262766=ORIENTED_EDGE('',*,*,#194145,.T.); #262767=ORIENTED_EDGE('',*,*,#194147,.F.); #262768=ORIENTED_EDGE('',*,*,#194148,.F.); #262769=ORIENTED_EDGE('',*,*,#194149,.T.); #262770=ORIENTED_EDGE('',*,*,#194148,.T.); #262771=ORIENTED_EDGE('',*,*,#194150,.F.); #262772=ORIENTED_EDGE('',*,*,#194151,.F.); #262773=ORIENTED_EDGE('',*,*,#194152,.T.); #262774=ORIENTED_EDGE('',*,*,#194151,.T.); #262775=ORIENTED_EDGE('',*,*,#194153,.F.); #262776=ORIENTED_EDGE('',*,*,#194154,.F.); #262777=ORIENTED_EDGE('',*,*,#194155,.T.); #262778=ORIENTED_EDGE('',*,*,#194154,.T.); #262779=ORIENTED_EDGE('',*,*,#194156,.F.); #262780=ORIENTED_EDGE('',*,*,#194157,.F.); #262781=ORIENTED_EDGE('',*,*,#194158,.T.); #262782=ORIENTED_EDGE('',*,*,#194157,.T.); #262783=ORIENTED_EDGE('',*,*,#194159,.F.); #262784=ORIENTED_EDGE('',*,*,#194160,.F.); #262785=ORIENTED_EDGE('',*,*,#194161,.T.); #262786=ORIENTED_EDGE('',*,*,#194160,.T.); #262787=ORIENTED_EDGE('',*,*,#194162,.F.); #262788=ORIENTED_EDGE('',*,*,#194163,.F.); #262789=ORIENTED_EDGE('',*,*,#194164,.T.); #262790=ORIENTED_EDGE('',*,*,#194163,.T.); #262791=ORIENTED_EDGE('',*,*,#194165,.F.); #262792=ORIENTED_EDGE('',*,*,#194166,.F.); #262793=ORIENTED_EDGE('',*,*,#194167,.T.); #262794=ORIENTED_EDGE('',*,*,#194166,.T.); #262795=ORIENTED_EDGE('',*,*,#194168,.F.); #262796=ORIENTED_EDGE('',*,*,#194169,.F.); #262797=ORIENTED_EDGE('',*,*,#194170,.T.); #262798=ORIENTED_EDGE('',*,*,#194169,.T.); #262799=ORIENTED_EDGE('',*,*,#194171,.F.); #262800=ORIENTED_EDGE('',*,*,#194172,.F.); #262801=ORIENTED_EDGE('',*,*,#194173,.T.); #262802=ORIENTED_EDGE('',*,*,#194172,.T.); #262803=ORIENTED_EDGE('',*,*,#194174,.F.); #262804=ORIENTED_EDGE('',*,*,#194175,.F.); #262805=ORIENTED_EDGE('',*,*,#194176,.T.); #262806=ORIENTED_EDGE('',*,*,#194175,.T.); #262807=ORIENTED_EDGE('',*,*,#194177,.F.); #262808=ORIENTED_EDGE('',*,*,#194178,.F.); #262809=ORIENTED_EDGE('',*,*,#194179,.T.); #262810=ORIENTED_EDGE('',*,*,#194178,.T.); #262811=ORIENTED_EDGE('',*,*,#194180,.F.); #262812=ORIENTED_EDGE('',*,*,#194181,.F.); #262813=ORIENTED_EDGE('',*,*,#194182,.T.); #262814=ORIENTED_EDGE('',*,*,#194181,.T.); #262815=ORIENTED_EDGE('',*,*,#194183,.F.); #262816=ORIENTED_EDGE('',*,*,#194184,.F.); #262817=ORIENTED_EDGE('',*,*,#194185,.T.); #262818=ORIENTED_EDGE('',*,*,#194184,.T.); #262819=ORIENTED_EDGE('',*,*,#194186,.F.); #262820=ORIENTED_EDGE('',*,*,#194187,.F.); #262821=ORIENTED_EDGE('',*,*,#194188,.T.); #262822=ORIENTED_EDGE('',*,*,#194187,.T.); #262823=ORIENTED_EDGE('',*,*,#194189,.F.); #262824=ORIENTED_EDGE('',*,*,#194190,.F.); #262825=ORIENTED_EDGE('',*,*,#194191,.T.); #262826=ORIENTED_EDGE('',*,*,#194190,.T.); #262827=ORIENTED_EDGE('',*,*,#194192,.F.); #262828=ORIENTED_EDGE('',*,*,#194193,.F.); #262829=ORIENTED_EDGE('',*,*,#194194,.T.); #262830=ORIENTED_EDGE('',*,*,#194193,.T.); #262831=ORIENTED_EDGE('',*,*,#194195,.F.); #262832=ORIENTED_EDGE('',*,*,#194196,.F.); #262833=ORIENTED_EDGE('',*,*,#194197,.T.); #262834=ORIENTED_EDGE('',*,*,#194196,.T.); #262835=ORIENTED_EDGE('',*,*,#194198,.F.); #262836=ORIENTED_EDGE('',*,*,#194199,.F.); #262837=ORIENTED_EDGE('',*,*,#194200,.T.); #262838=ORIENTED_EDGE('',*,*,#194199,.T.); #262839=ORIENTED_EDGE('',*,*,#194201,.F.); #262840=ORIENTED_EDGE('',*,*,#194202,.F.); #262841=ORIENTED_EDGE('',*,*,#194203,.T.); #262842=ORIENTED_EDGE('',*,*,#194202,.T.); #262843=ORIENTED_EDGE('',*,*,#194204,.F.); #262844=ORIENTED_EDGE('',*,*,#194205,.F.); #262845=ORIENTED_EDGE('',*,*,#194206,.T.); #262846=ORIENTED_EDGE('',*,*,#194205,.T.); #262847=ORIENTED_EDGE('',*,*,#194207,.F.); #262848=ORIENTED_EDGE('',*,*,#194208,.F.); #262849=ORIENTED_EDGE('',*,*,#194209,.T.); #262850=ORIENTED_EDGE('',*,*,#194208,.T.); #262851=ORIENTED_EDGE('',*,*,#194210,.F.); #262852=ORIENTED_EDGE('',*,*,#194211,.F.); #262853=ORIENTED_EDGE('',*,*,#194212,.T.); #262854=ORIENTED_EDGE('',*,*,#194211,.T.); #262855=ORIENTED_EDGE('',*,*,#194213,.F.); #262856=ORIENTED_EDGE('',*,*,#194214,.F.); #262857=ORIENTED_EDGE('',*,*,#194215,.T.); #262858=ORIENTED_EDGE('',*,*,#194214,.T.); #262859=ORIENTED_EDGE('',*,*,#194216,.F.); #262860=ORIENTED_EDGE('',*,*,#194074,.F.); #262861=ORIENTED_EDGE('',*,*,#194216,.T.); #262862=ORIENTED_EDGE('',*,*,#194213,.T.); #262863=ORIENTED_EDGE('',*,*,#194210,.T.); #262864=ORIENTED_EDGE('',*,*,#194207,.T.); #262865=ORIENTED_EDGE('',*,*,#194204,.T.); #262866=ORIENTED_EDGE('',*,*,#194201,.T.); #262867=ORIENTED_EDGE('',*,*,#194198,.T.); #262868=ORIENTED_EDGE('',*,*,#194195,.T.); #262869=ORIENTED_EDGE('',*,*,#194192,.T.); #262870=ORIENTED_EDGE('',*,*,#194189,.T.); #262871=ORIENTED_EDGE('',*,*,#194186,.T.); #262872=ORIENTED_EDGE('',*,*,#194183,.T.); #262873=ORIENTED_EDGE('',*,*,#194180,.T.); #262874=ORIENTED_EDGE('',*,*,#194177,.T.); #262875=ORIENTED_EDGE('',*,*,#194174,.T.); #262876=ORIENTED_EDGE('',*,*,#194171,.T.); #262877=ORIENTED_EDGE('',*,*,#194168,.T.); #262878=ORIENTED_EDGE('',*,*,#194165,.T.); #262879=ORIENTED_EDGE('',*,*,#194162,.T.); #262880=ORIENTED_EDGE('',*,*,#194159,.T.); #262881=ORIENTED_EDGE('',*,*,#194156,.T.); #262882=ORIENTED_EDGE('',*,*,#194153,.T.); #262883=ORIENTED_EDGE('',*,*,#194150,.T.); #262884=ORIENTED_EDGE('',*,*,#194147,.T.); #262885=ORIENTED_EDGE('',*,*,#194144,.T.); #262886=ORIENTED_EDGE('',*,*,#194141,.T.); #262887=ORIENTED_EDGE('',*,*,#194138,.T.); #262888=ORIENTED_EDGE('',*,*,#194135,.T.); #262889=ORIENTED_EDGE('',*,*,#194132,.T.); #262890=ORIENTED_EDGE('',*,*,#194129,.T.); #262891=ORIENTED_EDGE('',*,*,#194126,.T.); #262892=ORIENTED_EDGE('',*,*,#194123,.T.); #262893=ORIENTED_EDGE('',*,*,#194120,.T.); #262894=ORIENTED_EDGE('',*,*,#194117,.T.); #262895=ORIENTED_EDGE('',*,*,#194114,.T.); #262896=ORIENTED_EDGE('',*,*,#194111,.T.); #262897=ORIENTED_EDGE('',*,*,#194108,.T.); #262898=ORIENTED_EDGE('',*,*,#194105,.T.); #262899=ORIENTED_EDGE('',*,*,#194102,.T.); #262900=ORIENTED_EDGE('',*,*,#194099,.T.); #262901=ORIENTED_EDGE('',*,*,#194096,.T.); #262902=ORIENTED_EDGE('',*,*,#194093,.T.); #262903=ORIENTED_EDGE('',*,*,#194090,.T.); #262904=ORIENTED_EDGE('',*,*,#194087,.T.); #262905=ORIENTED_EDGE('',*,*,#194084,.T.); #262906=ORIENTED_EDGE('',*,*,#194081,.T.); #262907=ORIENTED_EDGE('',*,*,#194078,.T.); #262908=ORIENTED_EDGE('',*,*,#194075,.T.); #262909=ORIENTED_EDGE('',*,*,#194072,.T.); #262910=ORIENTED_EDGE('',*,*,#194215,.F.); #262911=ORIENTED_EDGE('',*,*,#194073,.F.); #262912=ORIENTED_EDGE('',*,*,#194077,.F.); #262913=ORIENTED_EDGE('',*,*,#194080,.F.); #262914=ORIENTED_EDGE('',*,*,#194083,.F.); #262915=ORIENTED_EDGE('',*,*,#194086,.F.); #262916=ORIENTED_EDGE('',*,*,#194089,.F.); #262917=ORIENTED_EDGE('',*,*,#194092,.F.); #262918=ORIENTED_EDGE('',*,*,#194095,.F.); #262919=ORIENTED_EDGE('',*,*,#194098,.F.); #262920=ORIENTED_EDGE('',*,*,#194101,.F.); #262921=ORIENTED_EDGE('',*,*,#194104,.F.); #262922=ORIENTED_EDGE('',*,*,#194107,.F.); #262923=ORIENTED_EDGE('',*,*,#194110,.F.); #262924=ORIENTED_EDGE('',*,*,#194113,.F.); #262925=ORIENTED_EDGE('',*,*,#194116,.F.); #262926=ORIENTED_EDGE('',*,*,#194119,.F.); #262927=ORIENTED_EDGE('',*,*,#194122,.F.); #262928=ORIENTED_EDGE('',*,*,#194125,.F.); #262929=ORIENTED_EDGE('',*,*,#194128,.F.); #262930=ORIENTED_EDGE('',*,*,#194131,.F.); #262931=ORIENTED_EDGE('',*,*,#194134,.F.); #262932=ORIENTED_EDGE('',*,*,#194137,.F.); #262933=ORIENTED_EDGE('',*,*,#194140,.F.); #262934=ORIENTED_EDGE('',*,*,#194143,.F.); #262935=ORIENTED_EDGE('',*,*,#194146,.F.); #262936=ORIENTED_EDGE('',*,*,#194149,.F.); #262937=ORIENTED_EDGE('',*,*,#194152,.F.); #262938=ORIENTED_EDGE('',*,*,#194155,.F.); #262939=ORIENTED_EDGE('',*,*,#194158,.F.); #262940=ORIENTED_EDGE('',*,*,#194161,.F.); #262941=ORIENTED_EDGE('',*,*,#194164,.F.); #262942=ORIENTED_EDGE('',*,*,#194167,.F.); #262943=ORIENTED_EDGE('',*,*,#194170,.F.); #262944=ORIENTED_EDGE('',*,*,#194173,.F.); #262945=ORIENTED_EDGE('',*,*,#194176,.F.); #262946=ORIENTED_EDGE('',*,*,#194179,.F.); #262947=ORIENTED_EDGE('',*,*,#194182,.F.); #262948=ORIENTED_EDGE('',*,*,#194185,.F.); #262949=ORIENTED_EDGE('',*,*,#194188,.F.); #262950=ORIENTED_EDGE('',*,*,#194191,.F.); #262951=ORIENTED_EDGE('',*,*,#194194,.F.); #262952=ORIENTED_EDGE('',*,*,#194197,.F.); #262953=ORIENTED_EDGE('',*,*,#194200,.F.); #262954=ORIENTED_EDGE('',*,*,#194203,.F.); #262955=ORIENTED_EDGE('',*,*,#194206,.F.); #262956=ORIENTED_EDGE('',*,*,#194209,.F.); #262957=ORIENTED_EDGE('',*,*,#194212,.F.); #262958=ORIENTED_EDGE('',*,*,#194070,.T.); #262959=ORIENTED_EDGE('',*,*,#194217,.F.); #262960=ORIENTED_EDGE('',*,*,#194218,.T.); #262961=ORIENTED_EDGE('',*,*,#194219,.F.); #262962=ORIENTED_EDGE('',*,*,#194218,.F.); #262963=ORIENTED_EDGE('',*,*,#194220,.F.); #262964=ORIENTED_EDGE('',*,*,#194221,.T.); #262965=ORIENTED_EDGE('',*,*,#194222,.F.); #262966=ORIENTED_EDGE('',*,*,#194221,.F.); #262967=ORIENTED_EDGE('',*,*,#194223,.F.); #262968=ORIENTED_EDGE('',*,*,#194224,.T.); #262969=ORIENTED_EDGE('',*,*,#194225,.F.); #262970=ORIENTED_EDGE('',*,*,#194224,.F.); #262971=ORIENTED_EDGE('',*,*,#194226,.F.); #262972=ORIENTED_EDGE('',*,*,#194227,.T.); #262973=ORIENTED_EDGE('',*,*,#194228,.F.); #262974=ORIENTED_EDGE('',*,*,#194227,.F.); #262975=ORIENTED_EDGE('',*,*,#194229,.F.); #262976=ORIENTED_EDGE('',*,*,#194230,.T.); #262977=ORIENTED_EDGE('',*,*,#194231,.F.); #262978=ORIENTED_EDGE('',*,*,#194230,.F.); #262979=ORIENTED_EDGE('',*,*,#194232,.F.); #262980=ORIENTED_EDGE('',*,*,#194233,.T.); #262981=ORIENTED_EDGE('',*,*,#194234,.F.); #262982=ORIENTED_EDGE('',*,*,#194233,.F.); #262983=ORIENTED_EDGE('',*,*,#194235,.F.); #262984=ORIENTED_EDGE('',*,*,#194236,.T.); #262985=ORIENTED_EDGE('',*,*,#194237,.F.); #262986=ORIENTED_EDGE('',*,*,#194236,.F.); #262987=ORIENTED_EDGE('',*,*,#194238,.F.); #262988=ORIENTED_EDGE('',*,*,#194239,.T.); #262989=ORIENTED_EDGE('',*,*,#194240,.F.); #262990=ORIENTED_EDGE('',*,*,#194239,.F.); #262991=ORIENTED_EDGE('',*,*,#194241,.F.); #262992=ORIENTED_EDGE('',*,*,#194242,.T.); #262993=ORIENTED_EDGE('',*,*,#194243,.F.); #262994=ORIENTED_EDGE('',*,*,#194242,.F.); #262995=ORIENTED_EDGE('',*,*,#194244,.F.); #262996=ORIENTED_EDGE('',*,*,#194245,.T.); #262997=ORIENTED_EDGE('',*,*,#194246,.F.); #262998=ORIENTED_EDGE('',*,*,#194245,.F.); #262999=ORIENTED_EDGE('',*,*,#194247,.F.); #263000=ORIENTED_EDGE('',*,*,#194248,.T.); #263001=ORIENTED_EDGE('',*,*,#194249,.F.); #263002=ORIENTED_EDGE('',*,*,#194248,.F.); #263003=ORIENTED_EDGE('',*,*,#194250,.F.); #263004=ORIENTED_EDGE('',*,*,#194251,.T.); #263005=ORIENTED_EDGE('',*,*,#194252,.F.); #263006=ORIENTED_EDGE('',*,*,#194251,.F.); #263007=ORIENTED_EDGE('',*,*,#194253,.T.); #263008=ORIENTED_EDGE('',*,*,#194254,.T.); #263009=ORIENTED_EDGE('',*,*,#194255,.F.); #263010=ORIENTED_EDGE('',*,*,#194256,.F.); #263011=ORIENTED_EDGE('',*,*,#194257,.T.); #263012=ORIENTED_EDGE('',*,*,#194256,.T.); #263013=ORIENTED_EDGE('',*,*,#194258,.F.); #263014=ORIENTED_EDGE('',*,*,#194259,.F.); #263015=ORIENTED_EDGE('',*,*,#194260,.T.); #263016=ORIENTED_EDGE('',*,*,#194259,.T.); #263017=ORIENTED_EDGE('',*,*,#194261,.F.); #263018=ORIENTED_EDGE('',*,*,#194262,.F.); #263019=ORIENTED_EDGE('',*,*,#194263,.T.); #263020=ORIENTED_EDGE('',*,*,#194262,.T.); #263021=ORIENTED_EDGE('',*,*,#194264,.F.); #263022=ORIENTED_EDGE('',*,*,#194265,.F.); #263023=ORIENTED_EDGE('',*,*,#194266,.T.); #263024=ORIENTED_EDGE('',*,*,#194265,.T.); #263025=ORIENTED_EDGE('',*,*,#194267,.F.); #263026=ORIENTED_EDGE('',*,*,#194268,.F.); #263027=ORIENTED_EDGE('',*,*,#194269,.T.); #263028=ORIENTED_EDGE('',*,*,#194268,.T.); #263029=ORIENTED_EDGE('',*,*,#194270,.F.); #263030=ORIENTED_EDGE('',*,*,#194271,.F.); #263031=ORIENTED_EDGE('',*,*,#194272,.T.); #263032=ORIENTED_EDGE('',*,*,#194271,.T.); #263033=ORIENTED_EDGE('',*,*,#194273,.F.); #263034=ORIENTED_EDGE('',*,*,#194274,.F.); #263035=ORIENTED_EDGE('',*,*,#194275,.T.); #263036=ORIENTED_EDGE('',*,*,#194274,.T.); #263037=ORIENTED_EDGE('',*,*,#194276,.F.); #263038=ORIENTED_EDGE('',*,*,#194277,.F.); #263039=ORIENTED_EDGE('',*,*,#194278,.T.); #263040=ORIENTED_EDGE('',*,*,#194277,.T.); #263041=ORIENTED_EDGE('',*,*,#194279,.F.); #263042=ORIENTED_EDGE('',*,*,#194280,.F.); #263043=ORIENTED_EDGE('',*,*,#194281,.T.); #263044=ORIENTED_EDGE('',*,*,#194280,.T.); #263045=ORIENTED_EDGE('',*,*,#194282,.F.); #263046=ORIENTED_EDGE('',*,*,#194283,.F.); #263047=ORIENTED_EDGE('',*,*,#194284,.T.); #263048=ORIENTED_EDGE('',*,*,#194283,.T.); #263049=ORIENTED_EDGE('',*,*,#194285,.F.); #263050=ORIENTED_EDGE('',*,*,#194286,.F.); #263051=ORIENTED_EDGE('',*,*,#194287,.T.); #263052=ORIENTED_EDGE('',*,*,#194286,.T.); #263053=ORIENTED_EDGE('',*,*,#194288,.F.); #263054=ORIENTED_EDGE('',*,*,#194289,.F.); #263055=ORIENTED_EDGE('',*,*,#194290,.T.); #263056=ORIENTED_EDGE('',*,*,#194289,.T.); #263057=ORIENTED_EDGE('',*,*,#194291,.F.); #263058=ORIENTED_EDGE('',*,*,#194292,.F.); #263059=ORIENTED_EDGE('',*,*,#194293,.T.); #263060=ORIENTED_EDGE('',*,*,#194292,.T.); #263061=ORIENTED_EDGE('',*,*,#194294,.F.); #263062=ORIENTED_EDGE('',*,*,#194295,.F.); #263063=ORIENTED_EDGE('',*,*,#194296,.T.); #263064=ORIENTED_EDGE('',*,*,#194295,.T.); #263065=ORIENTED_EDGE('',*,*,#194297,.F.); #263066=ORIENTED_EDGE('',*,*,#194298,.F.); #263067=ORIENTED_EDGE('',*,*,#194299,.T.); #263068=ORIENTED_EDGE('',*,*,#194298,.T.); #263069=ORIENTED_EDGE('',*,*,#194300,.F.); #263070=ORIENTED_EDGE('',*,*,#194301,.F.); #263071=ORIENTED_EDGE('',*,*,#194302,.T.); #263072=ORIENTED_EDGE('',*,*,#194301,.T.); #263073=ORIENTED_EDGE('',*,*,#194303,.F.); #263074=ORIENTED_EDGE('',*,*,#194304,.F.); #263075=ORIENTED_EDGE('',*,*,#194305,.T.); #263076=ORIENTED_EDGE('',*,*,#194304,.T.); #263077=ORIENTED_EDGE('',*,*,#194306,.F.); #263078=ORIENTED_EDGE('',*,*,#194307,.F.); #263079=ORIENTED_EDGE('',*,*,#194308,.T.); #263080=ORIENTED_EDGE('',*,*,#194307,.T.); #263081=ORIENTED_EDGE('',*,*,#194309,.F.); #263082=ORIENTED_EDGE('',*,*,#194310,.F.); #263083=ORIENTED_EDGE('',*,*,#194311,.T.); #263084=ORIENTED_EDGE('',*,*,#194310,.T.); #263085=ORIENTED_EDGE('',*,*,#194312,.F.); #263086=ORIENTED_EDGE('',*,*,#194313,.F.); #263087=ORIENTED_EDGE('',*,*,#194314,.T.); #263088=ORIENTED_EDGE('',*,*,#194313,.T.); #263089=ORIENTED_EDGE('',*,*,#194315,.F.); #263090=ORIENTED_EDGE('',*,*,#194316,.F.); #263091=ORIENTED_EDGE('',*,*,#194317,.T.); #263092=ORIENTED_EDGE('',*,*,#194316,.T.); #263093=ORIENTED_EDGE('',*,*,#194318,.F.); #263094=ORIENTED_EDGE('',*,*,#194319,.F.); #263095=ORIENTED_EDGE('',*,*,#194320,.T.); #263096=ORIENTED_EDGE('',*,*,#194319,.T.); #263097=ORIENTED_EDGE('',*,*,#194321,.F.); #263098=ORIENTED_EDGE('',*,*,#194322,.F.); #263099=ORIENTED_EDGE('',*,*,#194323,.T.); #263100=ORIENTED_EDGE('',*,*,#194322,.T.); #263101=ORIENTED_EDGE('',*,*,#194324,.F.); #263102=ORIENTED_EDGE('',*,*,#194325,.F.); #263103=ORIENTED_EDGE('',*,*,#194326,.T.); #263104=ORIENTED_EDGE('',*,*,#194325,.T.); #263105=ORIENTED_EDGE('',*,*,#194327,.F.); #263106=ORIENTED_EDGE('',*,*,#194328,.F.); #263107=ORIENTED_EDGE('',*,*,#194329,.T.); #263108=ORIENTED_EDGE('',*,*,#194328,.T.); #263109=ORIENTED_EDGE('',*,*,#194330,.F.); #263110=ORIENTED_EDGE('',*,*,#194331,.F.); #263111=ORIENTED_EDGE('',*,*,#194332,.T.); #263112=ORIENTED_EDGE('',*,*,#194331,.T.); #263113=ORIENTED_EDGE('',*,*,#194333,.F.); #263114=ORIENTED_EDGE('',*,*,#194334,.F.); #263115=ORIENTED_EDGE('',*,*,#194335,.T.); #263116=ORIENTED_EDGE('',*,*,#194334,.T.); #263117=ORIENTED_EDGE('',*,*,#194336,.F.); #263118=ORIENTED_EDGE('',*,*,#194337,.F.); #263119=ORIENTED_EDGE('',*,*,#194338,.T.); #263120=ORIENTED_EDGE('',*,*,#194337,.T.); #263121=ORIENTED_EDGE('',*,*,#194339,.F.); #263122=ORIENTED_EDGE('',*,*,#194340,.F.); #263123=ORIENTED_EDGE('',*,*,#194341,.T.); #263124=ORIENTED_EDGE('',*,*,#194340,.T.); #263125=ORIENTED_EDGE('',*,*,#194342,.F.); #263126=ORIENTED_EDGE('',*,*,#194343,.F.); #263127=ORIENTED_EDGE('',*,*,#194344,.T.); #263128=ORIENTED_EDGE('',*,*,#194343,.T.); #263129=ORIENTED_EDGE('',*,*,#194345,.F.); #263130=ORIENTED_EDGE('',*,*,#194346,.F.); #263131=ORIENTED_EDGE('',*,*,#194347,.T.); #263132=ORIENTED_EDGE('',*,*,#194346,.T.); #263133=ORIENTED_EDGE('',*,*,#194348,.F.); #263134=ORIENTED_EDGE('',*,*,#194349,.F.); #263135=ORIENTED_EDGE('',*,*,#194350,.T.); #263136=ORIENTED_EDGE('',*,*,#194349,.T.); #263137=ORIENTED_EDGE('',*,*,#194351,.F.); #263138=ORIENTED_EDGE('',*,*,#194352,.F.); #263139=ORIENTED_EDGE('',*,*,#194353,.T.); #263140=ORIENTED_EDGE('',*,*,#194352,.T.); #263141=ORIENTED_EDGE('',*,*,#194354,.F.); #263142=ORIENTED_EDGE('',*,*,#194355,.F.); #263143=ORIENTED_EDGE('',*,*,#194356,.T.); #263144=ORIENTED_EDGE('',*,*,#194355,.T.); #263145=ORIENTED_EDGE('',*,*,#194357,.F.); #263146=ORIENTED_EDGE('',*,*,#194358,.F.); #263147=ORIENTED_EDGE('',*,*,#194359,.T.); #263148=ORIENTED_EDGE('',*,*,#194358,.T.); #263149=ORIENTED_EDGE('',*,*,#194360,.F.); #263150=ORIENTED_EDGE('',*,*,#194361,.F.); #263151=ORIENTED_EDGE('',*,*,#194362,.T.); #263152=ORIENTED_EDGE('',*,*,#194361,.T.); #263153=ORIENTED_EDGE('',*,*,#194363,.F.); #263154=ORIENTED_EDGE('',*,*,#194364,.F.); #263155=ORIENTED_EDGE('',*,*,#194365,.T.); #263156=ORIENTED_EDGE('',*,*,#194364,.T.); #263157=ORIENTED_EDGE('',*,*,#194366,.F.); #263158=ORIENTED_EDGE('',*,*,#194367,.F.); #263159=ORIENTED_EDGE('',*,*,#194368,.T.); #263160=ORIENTED_EDGE('',*,*,#194367,.T.); #263161=ORIENTED_EDGE('',*,*,#194369,.F.); #263162=ORIENTED_EDGE('',*,*,#194370,.F.); #263163=ORIENTED_EDGE('',*,*,#194371,.T.); #263164=ORIENTED_EDGE('',*,*,#194370,.T.); #263165=ORIENTED_EDGE('',*,*,#194372,.F.); #263166=ORIENTED_EDGE('',*,*,#194373,.F.); #263167=ORIENTED_EDGE('',*,*,#194374,.T.); #263168=ORIENTED_EDGE('',*,*,#194373,.T.); #263169=ORIENTED_EDGE('',*,*,#194375,.F.); #263170=ORIENTED_EDGE('',*,*,#194376,.F.); #263171=ORIENTED_EDGE('',*,*,#194377,.T.); #263172=ORIENTED_EDGE('',*,*,#194376,.T.); #263173=ORIENTED_EDGE('',*,*,#194378,.F.); #263174=ORIENTED_EDGE('',*,*,#194379,.F.); #263175=ORIENTED_EDGE('',*,*,#194380,.T.); #263176=ORIENTED_EDGE('',*,*,#194379,.T.); #263177=ORIENTED_EDGE('',*,*,#194381,.F.); #263178=ORIENTED_EDGE('',*,*,#194382,.F.); #263179=ORIENTED_EDGE('',*,*,#194383,.T.); #263180=ORIENTED_EDGE('',*,*,#194382,.T.); #263181=ORIENTED_EDGE('',*,*,#194384,.F.); #263182=ORIENTED_EDGE('',*,*,#194385,.F.); #263183=ORIENTED_EDGE('',*,*,#194386,.T.); #263184=ORIENTED_EDGE('',*,*,#194385,.T.); #263185=ORIENTED_EDGE('',*,*,#194387,.F.); #263186=ORIENTED_EDGE('',*,*,#194388,.F.); #263187=ORIENTED_EDGE('',*,*,#194389,.T.); #263188=ORIENTED_EDGE('',*,*,#194388,.T.); #263189=ORIENTED_EDGE('',*,*,#194390,.F.); #263190=ORIENTED_EDGE('',*,*,#194391,.F.); #263191=ORIENTED_EDGE('',*,*,#194392,.T.); #263192=ORIENTED_EDGE('',*,*,#194391,.T.); #263193=ORIENTED_EDGE('',*,*,#194393,.F.); #263194=ORIENTED_EDGE('',*,*,#194394,.F.); #263195=ORIENTED_EDGE('',*,*,#194395,.T.); #263196=ORIENTED_EDGE('',*,*,#194394,.T.); #263197=ORIENTED_EDGE('',*,*,#194396,.F.); #263198=ORIENTED_EDGE('',*,*,#194397,.F.); #263199=ORIENTED_EDGE('',*,*,#194398,.T.); #263200=ORIENTED_EDGE('',*,*,#194397,.T.); #263201=ORIENTED_EDGE('',*,*,#194399,.F.); #263202=ORIENTED_EDGE('',*,*,#194400,.F.); #263203=ORIENTED_EDGE('',*,*,#194401,.T.); #263204=ORIENTED_EDGE('',*,*,#194400,.T.); #263205=ORIENTED_EDGE('',*,*,#194402,.F.); #263206=ORIENTED_EDGE('',*,*,#194403,.F.); #263207=ORIENTED_EDGE('',*,*,#194404,.T.); #263208=ORIENTED_EDGE('',*,*,#194403,.T.); #263209=ORIENTED_EDGE('',*,*,#194405,.F.); #263210=ORIENTED_EDGE('',*,*,#194406,.F.); #263211=ORIENTED_EDGE('',*,*,#194407,.T.); #263212=ORIENTED_EDGE('',*,*,#194406,.T.); #263213=ORIENTED_EDGE('',*,*,#194408,.F.); #263214=ORIENTED_EDGE('',*,*,#194409,.F.); #263215=ORIENTED_EDGE('',*,*,#194410,.T.); #263216=ORIENTED_EDGE('',*,*,#194409,.T.); #263217=ORIENTED_EDGE('',*,*,#194411,.F.); #263218=ORIENTED_EDGE('',*,*,#194412,.F.); #263219=ORIENTED_EDGE('',*,*,#194413,.T.); #263220=ORIENTED_EDGE('',*,*,#194412,.T.); #263221=ORIENTED_EDGE('',*,*,#194414,.F.); #263222=ORIENTED_EDGE('',*,*,#194415,.F.); #263223=ORIENTED_EDGE('',*,*,#194416,.T.); #263224=ORIENTED_EDGE('',*,*,#194415,.T.); #263225=ORIENTED_EDGE('',*,*,#194417,.F.); #263226=ORIENTED_EDGE('',*,*,#194418,.F.); #263227=ORIENTED_EDGE('',*,*,#194419,.T.); #263228=ORIENTED_EDGE('',*,*,#194418,.T.); #263229=ORIENTED_EDGE('',*,*,#194420,.F.); #263230=ORIENTED_EDGE('',*,*,#194421,.F.); #263231=ORIENTED_EDGE('',*,*,#194422,.T.); #263232=ORIENTED_EDGE('',*,*,#194421,.T.); #263233=ORIENTED_EDGE('',*,*,#194423,.F.); #263234=ORIENTED_EDGE('',*,*,#194424,.F.); #263235=ORIENTED_EDGE('',*,*,#194425,.T.); #263236=ORIENTED_EDGE('',*,*,#194424,.T.); #263237=ORIENTED_EDGE('',*,*,#194426,.F.); #263238=ORIENTED_EDGE('',*,*,#194427,.F.); #263239=ORIENTED_EDGE('',*,*,#194428,.T.); #263240=ORIENTED_EDGE('',*,*,#194427,.T.); #263241=ORIENTED_EDGE('',*,*,#194429,.F.); #263242=ORIENTED_EDGE('',*,*,#194430,.F.); #263243=ORIENTED_EDGE('',*,*,#194431,.T.); #263244=ORIENTED_EDGE('',*,*,#194430,.T.); #263245=ORIENTED_EDGE('',*,*,#194432,.F.); #263246=ORIENTED_EDGE('',*,*,#194433,.F.); #263247=ORIENTED_EDGE('',*,*,#194434,.T.); #263248=ORIENTED_EDGE('',*,*,#194433,.T.); #263249=ORIENTED_EDGE('',*,*,#194435,.F.); #263250=ORIENTED_EDGE('',*,*,#194436,.F.); #263251=ORIENTED_EDGE('',*,*,#194437,.T.); #263252=ORIENTED_EDGE('',*,*,#194436,.T.); #263253=ORIENTED_EDGE('',*,*,#194438,.F.); #263254=ORIENTED_EDGE('',*,*,#194439,.F.); #263255=ORIENTED_EDGE('',*,*,#194440,.T.); #263256=ORIENTED_EDGE('',*,*,#194439,.T.); #263257=ORIENTED_EDGE('',*,*,#194441,.F.); #263258=ORIENTED_EDGE('',*,*,#194442,.F.); #263259=ORIENTED_EDGE('',*,*,#194443,.T.); #263260=ORIENTED_EDGE('',*,*,#194442,.T.); #263261=ORIENTED_EDGE('',*,*,#194444,.F.); #263262=ORIENTED_EDGE('',*,*,#194445,.F.); #263263=ORIENTED_EDGE('',*,*,#194446,.T.); #263264=ORIENTED_EDGE('',*,*,#194445,.T.); #263265=ORIENTED_EDGE('',*,*,#194447,.F.); #263266=ORIENTED_EDGE('',*,*,#194448,.F.); #263267=ORIENTED_EDGE('',*,*,#194449,.T.); #263268=ORIENTED_EDGE('',*,*,#194448,.T.); #263269=ORIENTED_EDGE('',*,*,#194450,.F.); #263270=ORIENTED_EDGE('',*,*,#194451,.F.); #263271=ORIENTED_EDGE('',*,*,#194452,.T.); #263272=ORIENTED_EDGE('',*,*,#194451,.T.); #263273=ORIENTED_EDGE('',*,*,#194453,.F.); #263274=ORIENTED_EDGE('',*,*,#194454,.F.); #263275=ORIENTED_EDGE('',*,*,#194455,.T.); #263276=ORIENTED_EDGE('',*,*,#194454,.T.); #263277=ORIENTED_EDGE('',*,*,#194456,.F.); #263278=ORIENTED_EDGE('',*,*,#194457,.F.); #263279=ORIENTED_EDGE('',*,*,#194458,.T.); #263280=ORIENTED_EDGE('',*,*,#194457,.T.); #263281=ORIENTED_EDGE('',*,*,#194459,.F.); #263282=ORIENTED_EDGE('',*,*,#194460,.F.); #263283=ORIENTED_EDGE('',*,*,#194461,.T.); #263284=ORIENTED_EDGE('',*,*,#194460,.T.); #263285=ORIENTED_EDGE('',*,*,#194462,.F.); #263286=ORIENTED_EDGE('',*,*,#194463,.F.); #263287=ORIENTED_EDGE('',*,*,#194464,.T.); #263288=ORIENTED_EDGE('',*,*,#194463,.T.); #263289=ORIENTED_EDGE('',*,*,#194465,.F.); #263290=ORIENTED_EDGE('',*,*,#194466,.F.); #263291=ORIENTED_EDGE('',*,*,#194467,.T.); #263292=ORIENTED_EDGE('',*,*,#194466,.T.); #263293=ORIENTED_EDGE('',*,*,#194468,.F.); #263294=ORIENTED_EDGE('',*,*,#194469,.F.); #263295=ORIENTED_EDGE('',*,*,#194470,.T.); #263296=ORIENTED_EDGE('',*,*,#194469,.T.); #263297=ORIENTED_EDGE('',*,*,#194471,.F.); #263298=ORIENTED_EDGE('',*,*,#194472,.F.); #263299=ORIENTED_EDGE('',*,*,#194473,.T.); #263300=ORIENTED_EDGE('',*,*,#194472,.T.); #263301=ORIENTED_EDGE('',*,*,#194474,.F.); #263302=ORIENTED_EDGE('',*,*,#194475,.F.); #263303=ORIENTED_EDGE('',*,*,#194476,.T.); #263304=ORIENTED_EDGE('',*,*,#194475,.T.); #263305=ORIENTED_EDGE('',*,*,#194477,.F.); #263306=ORIENTED_EDGE('',*,*,#194478,.F.); #263307=ORIENTED_EDGE('',*,*,#194479,.T.); #263308=ORIENTED_EDGE('',*,*,#194478,.T.); #263309=ORIENTED_EDGE('',*,*,#194480,.F.); #263310=ORIENTED_EDGE('',*,*,#194481,.F.); #263311=ORIENTED_EDGE('',*,*,#194482,.T.); #263312=ORIENTED_EDGE('',*,*,#194481,.T.); #263313=ORIENTED_EDGE('',*,*,#194483,.F.); #263314=ORIENTED_EDGE('',*,*,#194484,.F.); #263315=ORIENTED_EDGE('',*,*,#194485,.T.); #263316=ORIENTED_EDGE('',*,*,#194484,.T.); #263317=ORIENTED_EDGE('',*,*,#194486,.F.); #263318=ORIENTED_EDGE('',*,*,#194487,.F.); #263319=ORIENTED_EDGE('',*,*,#194488,.T.); #263320=ORIENTED_EDGE('',*,*,#194487,.T.); #263321=ORIENTED_EDGE('',*,*,#194489,.F.); #263322=ORIENTED_EDGE('',*,*,#194490,.F.); #263323=ORIENTED_EDGE('',*,*,#194491,.T.); #263324=ORIENTED_EDGE('',*,*,#194490,.T.); #263325=ORIENTED_EDGE('',*,*,#194492,.F.); #263326=ORIENTED_EDGE('',*,*,#194493,.F.); #263327=ORIENTED_EDGE('',*,*,#194494,.T.); #263328=ORIENTED_EDGE('',*,*,#194493,.T.); #263329=ORIENTED_EDGE('',*,*,#194495,.F.); #263330=ORIENTED_EDGE('',*,*,#194496,.F.); #263331=ORIENTED_EDGE('',*,*,#194497,.T.); #263332=ORIENTED_EDGE('',*,*,#194496,.T.); #263333=ORIENTED_EDGE('',*,*,#194498,.F.); #263334=ORIENTED_EDGE('',*,*,#194499,.F.); #263335=ORIENTED_EDGE('',*,*,#194500,.T.); #263336=ORIENTED_EDGE('',*,*,#194499,.T.); #263337=ORIENTED_EDGE('',*,*,#194501,.F.); #263338=ORIENTED_EDGE('',*,*,#194502,.F.); #263339=ORIENTED_EDGE('',*,*,#194503,.T.); #263340=ORIENTED_EDGE('',*,*,#194502,.T.); #263341=ORIENTED_EDGE('',*,*,#194504,.F.); #263342=ORIENTED_EDGE('',*,*,#194505,.F.); #263343=ORIENTED_EDGE('',*,*,#194506,.T.); #263344=ORIENTED_EDGE('',*,*,#194505,.T.); #263345=ORIENTED_EDGE('',*,*,#194507,.F.); #263346=ORIENTED_EDGE('',*,*,#194508,.F.); #263347=ORIENTED_EDGE('',*,*,#194509,.T.); #263348=ORIENTED_EDGE('',*,*,#194508,.T.); #263349=ORIENTED_EDGE('',*,*,#194510,.F.); #263350=ORIENTED_EDGE('',*,*,#194511,.F.); #263351=ORIENTED_EDGE('',*,*,#194512,.T.); #263352=ORIENTED_EDGE('',*,*,#194511,.T.); #263353=ORIENTED_EDGE('',*,*,#194513,.F.); #263354=ORIENTED_EDGE('',*,*,#194514,.F.); #263355=ORIENTED_EDGE('',*,*,#194515,.T.); #263356=ORIENTED_EDGE('',*,*,#194514,.T.); #263357=ORIENTED_EDGE('',*,*,#194516,.F.); #263358=ORIENTED_EDGE('',*,*,#194517,.F.); #263359=ORIENTED_EDGE('',*,*,#194518,.T.); #263360=ORIENTED_EDGE('',*,*,#194517,.T.); #263361=ORIENTED_EDGE('',*,*,#194519,.F.); #263362=ORIENTED_EDGE('',*,*,#194520,.F.); #263363=ORIENTED_EDGE('',*,*,#194521,.T.); #263364=ORIENTED_EDGE('',*,*,#194520,.T.); #263365=ORIENTED_EDGE('',*,*,#194522,.F.); #263366=ORIENTED_EDGE('',*,*,#194523,.F.); #263367=ORIENTED_EDGE('',*,*,#194524,.T.); #263368=ORIENTED_EDGE('',*,*,#194523,.T.); #263369=ORIENTED_EDGE('',*,*,#194525,.F.); #263370=ORIENTED_EDGE('',*,*,#194526,.F.); #263371=ORIENTED_EDGE('',*,*,#194527,.T.); #263372=ORIENTED_EDGE('',*,*,#194526,.T.); #263373=ORIENTED_EDGE('',*,*,#194528,.F.); #263374=ORIENTED_EDGE('',*,*,#194529,.F.); #263375=ORIENTED_EDGE('',*,*,#194530,.T.); #263376=ORIENTED_EDGE('',*,*,#194529,.T.); #263377=ORIENTED_EDGE('',*,*,#194531,.F.); #263378=ORIENTED_EDGE('',*,*,#194532,.F.); #263379=ORIENTED_EDGE('',*,*,#194533,.T.); #263380=ORIENTED_EDGE('',*,*,#194532,.T.); #263381=ORIENTED_EDGE('',*,*,#194534,.F.); #263382=ORIENTED_EDGE('',*,*,#194535,.F.); #263383=ORIENTED_EDGE('',*,*,#194536,.T.); #263384=ORIENTED_EDGE('',*,*,#194535,.T.); #263385=ORIENTED_EDGE('',*,*,#194537,.F.); #263386=ORIENTED_EDGE('',*,*,#194538,.F.); #263387=ORIENTED_EDGE('',*,*,#194539,.T.); #263388=ORIENTED_EDGE('',*,*,#194538,.T.); #263389=ORIENTED_EDGE('',*,*,#194540,.F.); #263390=ORIENTED_EDGE('',*,*,#194541,.F.); #263391=ORIENTED_EDGE('',*,*,#194542,.T.); #263392=ORIENTED_EDGE('',*,*,#194541,.T.); #263393=ORIENTED_EDGE('',*,*,#194543,.F.); #263394=ORIENTED_EDGE('',*,*,#194254,.F.); #263395=ORIENTED_EDGE('',*,*,#194543,.T.); #263396=ORIENTED_EDGE('',*,*,#194540,.T.); #263397=ORIENTED_EDGE('',*,*,#194537,.T.); #263398=ORIENTED_EDGE('',*,*,#194534,.T.); #263399=ORIENTED_EDGE('',*,*,#194531,.T.); #263400=ORIENTED_EDGE('',*,*,#194528,.T.); #263401=ORIENTED_EDGE('',*,*,#194525,.T.); #263402=ORIENTED_EDGE('',*,*,#194522,.T.); #263403=ORIENTED_EDGE('',*,*,#194519,.T.); #263404=ORIENTED_EDGE('',*,*,#194516,.T.); #263405=ORIENTED_EDGE('',*,*,#194513,.T.); #263406=ORIENTED_EDGE('',*,*,#194510,.T.); #263407=ORIENTED_EDGE('',*,*,#194507,.T.); #263408=ORIENTED_EDGE('',*,*,#194504,.T.); #263409=ORIENTED_EDGE('',*,*,#194501,.T.); #263410=ORIENTED_EDGE('',*,*,#194498,.T.); #263411=ORIENTED_EDGE('',*,*,#194495,.T.); #263412=ORIENTED_EDGE('',*,*,#194492,.T.); #263413=ORIENTED_EDGE('',*,*,#194489,.T.); #263414=ORIENTED_EDGE('',*,*,#194486,.T.); #263415=ORIENTED_EDGE('',*,*,#194483,.T.); #263416=ORIENTED_EDGE('',*,*,#194480,.T.); #263417=ORIENTED_EDGE('',*,*,#194477,.T.); #263418=ORIENTED_EDGE('',*,*,#194474,.T.); #263419=ORIENTED_EDGE('',*,*,#194471,.T.); #263420=ORIENTED_EDGE('',*,*,#194468,.T.); #263421=ORIENTED_EDGE('',*,*,#194465,.T.); #263422=ORIENTED_EDGE('',*,*,#194462,.T.); #263423=ORIENTED_EDGE('',*,*,#194459,.T.); #263424=ORIENTED_EDGE('',*,*,#194456,.T.); #263425=ORIENTED_EDGE('',*,*,#194453,.T.); #263426=ORIENTED_EDGE('',*,*,#194450,.T.); #263427=ORIENTED_EDGE('',*,*,#194447,.T.); #263428=ORIENTED_EDGE('',*,*,#194444,.T.); #263429=ORIENTED_EDGE('',*,*,#194441,.T.); #263430=ORIENTED_EDGE('',*,*,#194438,.T.); #263431=ORIENTED_EDGE('',*,*,#194435,.T.); #263432=ORIENTED_EDGE('',*,*,#194432,.T.); #263433=ORIENTED_EDGE('',*,*,#194429,.T.); #263434=ORIENTED_EDGE('',*,*,#194426,.T.); #263435=ORIENTED_EDGE('',*,*,#194423,.T.); #263436=ORIENTED_EDGE('',*,*,#194420,.T.); #263437=ORIENTED_EDGE('',*,*,#194417,.T.); #263438=ORIENTED_EDGE('',*,*,#194414,.T.); #263439=ORIENTED_EDGE('',*,*,#194411,.T.); #263440=ORIENTED_EDGE('',*,*,#194408,.T.); #263441=ORIENTED_EDGE('',*,*,#194405,.T.); #263442=ORIENTED_EDGE('',*,*,#194402,.T.); #263443=ORIENTED_EDGE('',*,*,#194399,.T.); #263444=ORIENTED_EDGE('',*,*,#194396,.T.); #263445=ORIENTED_EDGE('',*,*,#194393,.T.); #263446=ORIENTED_EDGE('',*,*,#194390,.T.); #263447=ORIENTED_EDGE('',*,*,#194387,.T.); #263448=ORIENTED_EDGE('',*,*,#194384,.T.); #263449=ORIENTED_EDGE('',*,*,#194381,.T.); #263450=ORIENTED_EDGE('',*,*,#194378,.T.); #263451=ORIENTED_EDGE('',*,*,#194375,.T.); #263452=ORIENTED_EDGE('',*,*,#194372,.T.); #263453=ORIENTED_EDGE('',*,*,#194369,.T.); #263454=ORIENTED_EDGE('',*,*,#194366,.T.); #263455=ORIENTED_EDGE('',*,*,#194363,.T.); #263456=ORIENTED_EDGE('',*,*,#194360,.T.); #263457=ORIENTED_EDGE('',*,*,#194357,.T.); #263458=ORIENTED_EDGE('',*,*,#194354,.T.); #263459=ORIENTED_EDGE('',*,*,#194351,.T.); #263460=ORIENTED_EDGE('',*,*,#194348,.T.); #263461=ORIENTED_EDGE('',*,*,#194345,.T.); #263462=ORIENTED_EDGE('',*,*,#194342,.T.); #263463=ORIENTED_EDGE('',*,*,#194339,.T.); #263464=ORIENTED_EDGE('',*,*,#194336,.T.); #263465=ORIENTED_EDGE('',*,*,#194333,.T.); #263466=ORIENTED_EDGE('',*,*,#194330,.T.); #263467=ORIENTED_EDGE('',*,*,#194327,.T.); #263468=ORIENTED_EDGE('',*,*,#194324,.T.); #263469=ORIENTED_EDGE('',*,*,#194321,.T.); #263470=ORIENTED_EDGE('',*,*,#194318,.T.); #263471=ORIENTED_EDGE('',*,*,#194315,.T.); #263472=ORIENTED_EDGE('',*,*,#194312,.T.); #263473=ORIENTED_EDGE('',*,*,#194309,.T.); #263474=ORIENTED_EDGE('',*,*,#194306,.T.); #263475=ORIENTED_EDGE('',*,*,#194303,.T.); #263476=ORIENTED_EDGE('',*,*,#194300,.T.); #263477=ORIENTED_EDGE('',*,*,#194297,.T.); #263478=ORIENTED_EDGE('',*,*,#194294,.T.); #263479=ORIENTED_EDGE('',*,*,#194291,.T.); #263480=ORIENTED_EDGE('',*,*,#194288,.T.); #263481=ORIENTED_EDGE('',*,*,#194285,.T.); #263482=ORIENTED_EDGE('',*,*,#194282,.T.); #263483=ORIENTED_EDGE('',*,*,#194279,.T.); #263484=ORIENTED_EDGE('',*,*,#194276,.T.); #263485=ORIENTED_EDGE('',*,*,#194273,.T.); #263486=ORIENTED_EDGE('',*,*,#194270,.T.); #263487=ORIENTED_EDGE('',*,*,#194267,.T.); #263488=ORIENTED_EDGE('',*,*,#194264,.T.); #263489=ORIENTED_EDGE('',*,*,#194261,.T.); #263490=ORIENTED_EDGE('',*,*,#194258,.T.); #263491=ORIENTED_EDGE('',*,*,#194255,.T.); #263492=ORIENTED_EDGE('',*,*,#194219,.T.); #263493=ORIENTED_EDGE('',*,*,#194222,.T.); #263494=ORIENTED_EDGE('',*,*,#194225,.T.); #263495=ORIENTED_EDGE('',*,*,#194228,.T.); #263496=ORIENTED_EDGE('',*,*,#194231,.T.); #263497=ORIENTED_EDGE('',*,*,#194234,.T.); #263498=ORIENTED_EDGE('',*,*,#194237,.T.); #263499=ORIENTED_EDGE('',*,*,#194240,.T.); #263500=ORIENTED_EDGE('',*,*,#194243,.T.); #263501=ORIENTED_EDGE('',*,*,#194246,.T.); #263502=ORIENTED_EDGE('',*,*,#194249,.T.); #263503=ORIENTED_EDGE('',*,*,#194252,.T.); #263504=ORIENTED_EDGE('',*,*,#194542,.F.); #263505=ORIENTED_EDGE('',*,*,#194253,.F.); #263506=ORIENTED_EDGE('',*,*,#194257,.F.); #263507=ORIENTED_EDGE('',*,*,#194260,.F.); #263508=ORIENTED_EDGE('',*,*,#194263,.F.); #263509=ORIENTED_EDGE('',*,*,#194266,.F.); #263510=ORIENTED_EDGE('',*,*,#194269,.F.); #263511=ORIENTED_EDGE('',*,*,#194272,.F.); #263512=ORIENTED_EDGE('',*,*,#194275,.F.); #263513=ORIENTED_EDGE('',*,*,#194278,.F.); #263514=ORIENTED_EDGE('',*,*,#194281,.F.); #263515=ORIENTED_EDGE('',*,*,#194284,.F.); #263516=ORIENTED_EDGE('',*,*,#194287,.F.); #263517=ORIENTED_EDGE('',*,*,#194290,.F.); #263518=ORIENTED_EDGE('',*,*,#194293,.F.); #263519=ORIENTED_EDGE('',*,*,#194296,.F.); #263520=ORIENTED_EDGE('',*,*,#194299,.F.); #263521=ORIENTED_EDGE('',*,*,#194302,.F.); #263522=ORIENTED_EDGE('',*,*,#194305,.F.); #263523=ORIENTED_EDGE('',*,*,#194308,.F.); #263524=ORIENTED_EDGE('',*,*,#194311,.F.); #263525=ORIENTED_EDGE('',*,*,#194314,.F.); #263526=ORIENTED_EDGE('',*,*,#194317,.F.); #263527=ORIENTED_EDGE('',*,*,#194320,.F.); #263528=ORIENTED_EDGE('',*,*,#194323,.F.); #263529=ORIENTED_EDGE('',*,*,#194326,.F.); #263530=ORIENTED_EDGE('',*,*,#194329,.F.); #263531=ORIENTED_EDGE('',*,*,#194332,.F.); #263532=ORIENTED_EDGE('',*,*,#194335,.F.); #263533=ORIENTED_EDGE('',*,*,#194338,.F.); #263534=ORIENTED_EDGE('',*,*,#194341,.F.); #263535=ORIENTED_EDGE('',*,*,#194344,.F.); #263536=ORIENTED_EDGE('',*,*,#194347,.F.); #263537=ORIENTED_EDGE('',*,*,#194350,.F.); #263538=ORIENTED_EDGE('',*,*,#194353,.F.); #263539=ORIENTED_EDGE('',*,*,#194356,.F.); #263540=ORIENTED_EDGE('',*,*,#194359,.F.); #263541=ORIENTED_EDGE('',*,*,#194362,.F.); #263542=ORIENTED_EDGE('',*,*,#194365,.F.); #263543=ORIENTED_EDGE('',*,*,#194368,.F.); #263544=ORIENTED_EDGE('',*,*,#194371,.F.); #263545=ORIENTED_EDGE('',*,*,#194374,.F.); #263546=ORIENTED_EDGE('',*,*,#194377,.F.); #263547=ORIENTED_EDGE('',*,*,#194380,.F.); #263548=ORIENTED_EDGE('',*,*,#194383,.F.); #263549=ORIENTED_EDGE('',*,*,#194386,.F.); #263550=ORIENTED_EDGE('',*,*,#194389,.F.); #263551=ORIENTED_EDGE('',*,*,#194392,.F.); #263552=ORIENTED_EDGE('',*,*,#194395,.F.); #263553=ORIENTED_EDGE('',*,*,#194398,.F.); #263554=ORIENTED_EDGE('',*,*,#194401,.F.); #263555=ORIENTED_EDGE('',*,*,#194404,.F.); #263556=ORIENTED_EDGE('',*,*,#194407,.F.); #263557=ORIENTED_EDGE('',*,*,#194410,.F.); #263558=ORIENTED_EDGE('',*,*,#194413,.F.); #263559=ORIENTED_EDGE('',*,*,#194416,.F.); #263560=ORIENTED_EDGE('',*,*,#194419,.F.); #263561=ORIENTED_EDGE('',*,*,#194422,.F.); #263562=ORIENTED_EDGE('',*,*,#194425,.F.); #263563=ORIENTED_EDGE('',*,*,#194428,.F.); #263564=ORIENTED_EDGE('',*,*,#194431,.F.); #263565=ORIENTED_EDGE('',*,*,#194434,.F.); #263566=ORIENTED_EDGE('',*,*,#194437,.F.); #263567=ORIENTED_EDGE('',*,*,#194440,.F.); #263568=ORIENTED_EDGE('',*,*,#194443,.F.); #263569=ORIENTED_EDGE('',*,*,#194446,.F.); #263570=ORIENTED_EDGE('',*,*,#194449,.F.); #263571=ORIENTED_EDGE('',*,*,#194452,.F.); #263572=ORIENTED_EDGE('',*,*,#194455,.F.); #263573=ORIENTED_EDGE('',*,*,#194458,.F.); #263574=ORIENTED_EDGE('',*,*,#194461,.F.); #263575=ORIENTED_EDGE('',*,*,#194464,.F.); #263576=ORIENTED_EDGE('',*,*,#194467,.F.); #263577=ORIENTED_EDGE('',*,*,#194470,.F.); #263578=ORIENTED_EDGE('',*,*,#194473,.F.); #263579=ORIENTED_EDGE('',*,*,#194476,.F.); #263580=ORIENTED_EDGE('',*,*,#194479,.F.); #263581=ORIENTED_EDGE('',*,*,#194482,.F.); #263582=ORIENTED_EDGE('',*,*,#194485,.F.); #263583=ORIENTED_EDGE('',*,*,#194488,.F.); #263584=ORIENTED_EDGE('',*,*,#194491,.F.); #263585=ORIENTED_EDGE('',*,*,#194494,.F.); #263586=ORIENTED_EDGE('',*,*,#194497,.F.); #263587=ORIENTED_EDGE('',*,*,#194500,.F.); #263588=ORIENTED_EDGE('',*,*,#194503,.F.); #263589=ORIENTED_EDGE('',*,*,#194506,.F.); #263590=ORIENTED_EDGE('',*,*,#194509,.F.); #263591=ORIENTED_EDGE('',*,*,#194512,.F.); #263592=ORIENTED_EDGE('',*,*,#194515,.F.); #263593=ORIENTED_EDGE('',*,*,#194518,.F.); #263594=ORIENTED_EDGE('',*,*,#194521,.F.); #263595=ORIENTED_EDGE('',*,*,#194524,.F.); #263596=ORIENTED_EDGE('',*,*,#194527,.F.); #263597=ORIENTED_EDGE('',*,*,#194530,.F.); #263598=ORIENTED_EDGE('',*,*,#194533,.F.); #263599=ORIENTED_EDGE('',*,*,#194536,.F.); #263600=ORIENTED_EDGE('',*,*,#194539,.F.); #263601=ORIENTED_EDGE('',*,*,#194217,.T.); #263602=ORIENTED_EDGE('',*,*,#194220,.T.); #263603=ORIENTED_EDGE('',*,*,#194223,.T.); #263604=ORIENTED_EDGE('',*,*,#194226,.T.); #263605=ORIENTED_EDGE('',*,*,#194229,.T.); #263606=ORIENTED_EDGE('',*,*,#194232,.T.); #263607=ORIENTED_EDGE('',*,*,#194235,.T.); #263608=ORIENTED_EDGE('',*,*,#194238,.T.); #263609=ORIENTED_EDGE('',*,*,#194241,.T.); #263610=ORIENTED_EDGE('',*,*,#194244,.T.); #263611=ORIENTED_EDGE('',*,*,#194247,.T.); #263612=ORIENTED_EDGE('',*,*,#194250,.T.); #263613=ORIENTED_EDGE('',*,*,#194544,.T.); #263614=ORIENTED_EDGE('',*,*,#194545,.T.); #263615=ORIENTED_EDGE('',*,*,#194546,.F.); #263616=ORIENTED_EDGE('',*,*,#194547,.F.); #263617=ORIENTED_EDGE('',*,*,#194548,.T.); #263618=ORIENTED_EDGE('',*,*,#194547,.T.); #263619=ORIENTED_EDGE('',*,*,#194549,.F.); #263620=ORIENTED_EDGE('',*,*,#194550,.F.); #263621=ORIENTED_EDGE('',*,*,#194551,.T.); #263622=ORIENTED_EDGE('',*,*,#194550,.T.); #263623=ORIENTED_EDGE('',*,*,#194552,.F.); #263624=ORIENTED_EDGE('',*,*,#194553,.F.); #263625=ORIENTED_EDGE('',*,*,#194554,.T.); #263626=ORIENTED_EDGE('',*,*,#194553,.T.); #263627=ORIENTED_EDGE('',*,*,#194555,.F.); #263628=ORIENTED_EDGE('',*,*,#194556,.F.); #263629=ORIENTED_EDGE('',*,*,#194557,.T.); #263630=ORIENTED_EDGE('',*,*,#194556,.T.); #263631=ORIENTED_EDGE('',*,*,#194558,.F.); #263632=ORIENTED_EDGE('',*,*,#194559,.F.); #263633=ORIENTED_EDGE('',*,*,#194560,.T.); #263634=ORIENTED_EDGE('',*,*,#194559,.T.); #263635=ORIENTED_EDGE('',*,*,#194561,.F.); #263636=ORIENTED_EDGE('',*,*,#194562,.F.); #263637=ORIENTED_EDGE('',*,*,#194563,.T.); #263638=ORIENTED_EDGE('',*,*,#194562,.T.); #263639=ORIENTED_EDGE('',*,*,#194564,.F.); #263640=ORIENTED_EDGE('',*,*,#194565,.F.); #263641=ORIENTED_EDGE('',*,*,#194566,.T.); #263642=ORIENTED_EDGE('',*,*,#194565,.T.); #263643=ORIENTED_EDGE('',*,*,#194567,.F.); #263644=ORIENTED_EDGE('',*,*,#194568,.F.); #263645=ORIENTED_EDGE('',*,*,#194569,.T.); #263646=ORIENTED_EDGE('',*,*,#194568,.T.); #263647=ORIENTED_EDGE('',*,*,#194570,.F.); #263648=ORIENTED_EDGE('',*,*,#194571,.F.); #263649=ORIENTED_EDGE('',*,*,#194572,.T.); #263650=ORIENTED_EDGE('',*,*,#194571,.T.); #263651=ORIENTED_EDGE('',*,*,#194573,.F.); #263652=ORIENTED_EDGE('',*,*,#194574,.F.); #263653=ORIENTED_EDGE('',*,*,#194575,.T.); #263654=ORIENTED_EDGE('',*,*,#194574,.T.); #263655=ORIENTED_EDGE('',*,*,#194576,.F.); #263656=ORIENTED_EDGE('',*,*,#194577,.F.); #263657=ORIENTED_EDGE('',*,*,#194578,.T.); #263658=ORIENTED_EDGE('',*,*,#194577,.T.); #263659=ORIENTED_EDGE('',*,*,#194579,.F.); #263660=ORIENTED_EDGE('',*,*,#194580,.F.); #263661=ORIENTED_EDGE('',*,*,#194581,.T.); #263662=ORIENTED_EDGE('',*,*,#194580,.T.); #263663=ORIENTED_EDGE('',*,*,#194582,.F.); #263664=ORIENTED_EDGE('',*,*,#194583,.F.); #263665=ORIENTED_EDGE('',*,*,#194584,.T.); #263666=ORIENTED_EDGE('',*,*,#194583,.T.); #263667=ORIENTED_EDGE('',*,*,#194585,.F.); #263668=ORIENTED_EDGE('',*,*,#194586,.F.); #263669=ORIENTED_EDGE('',*,*,#194587,.T.); #263670=ORIENTED_EDGE('',*,*,#194586,.T.); #263671=ORIENTED_EDGE('',*,*,#194588,.F.); #263672=ORIENTED_EDGE('',*,*,#194589,.F.); #263673=ORIENTED_EDGE('',*,*,#194590,.T.); #263674=ORIENTED_EDGE('',*,*,#194589,.T.); #263675=ORIENTED_EDGE('',*,*,#194591,.F.); #263676=ORIENTED_EDGE('',*,*,#194592,.F.); #263677=ORIENTED_EDGE('',*,*,#194593,.T.); #263678=ORIENTED_EDGE('',*,*,#194592,.T.); #263679=ORIENTED_EDGE('',*,*,#194594,.F.); #263680=ORIENTED_EDGE('',*,*,#194595,.F.); #263681=ORIENTED_EDGE('',*,*,#194596,.T.); #263682=ORIENTED_EDGE('',*,*,#194595,.T.); #263683=ORIENTED_EDGE('',*,*,#194597,.F.); #263684=ORIENTED_EDGE('',*,*,#194598,.F.); #263685=ORIENTED_EDGE('',*,*,#194599,.T.); #263686=ORIENTED_EDGE('',*,*,#194598,.T.); #263687=ORIENTED_EDGE('',*,*,#194600,.F.); #263688=ORIENTED_EDGE('',*,*,#194601,.F.); #263689=ORIENTED_EDGE('',*,*,#194602,.T.); #263690=ORIENTED_EDGE('',*,*,#194601,.T.); #263691=ORIENTED_EDGE('',*,*,#194603,.F.); #263692=ORIENTED_EDGE('',*,*,#194604,.F.); #263693=ORIENTED_EDGE('',*,*,#194605,.T.); #263694=ORIENTED_EDGE('',*,*,#194604,.T.); #263695=ORIENTED_EDGE('',*,*,#194606,.F.); #263696=ORIENTED_EDGE('',*,*,#194545,.F.); #263697=ORIENTED_EDGE('',*,*,#194606,.T.); #263698=ORIENTED_EDGE('',*,*,#194603,.T.); #263699=ORIENTED_EDGE('',*,*,#194600,.T.); #263700=ORIENTED_EDGE('',*,*,#194597,.T.); #263701=ORIENTED_EDGE('',*,*,#194594,.T.); #263702=ORIENTED_EDGE('',*,*,#194591,.T.); #263703=ORIENTED_EDGE('',*,*,#194588,.T.); #263704=ORIENTED_EDGE('',*,*,#194585,.T.); #263705=ORIENTED_EDGE('',*,*,#194582,.T.); #263706=ORIENTED_EDGE('',*,*,#194579,.T.); #263707=ORIENTED_EDGE('',*,*,#194576,.T.); #263708=ORIENTED_EDGE('',*,*,#194573,.T.); #263709=ORIENTED_EDGE('',*,*,#194570,.T.); #263710=ORIENTED_EDGE('',*,*,#194567,.T.); #263711=ORIENTED_EDGE('',*,*,#194564,.T.); #263712=ORIENTED_EDGE('',*,*,#194561,.T.); #263713=ORIENTED_EDGE('',*,*,#194558,.T.); #263714=ORIENTED_EDGE('',*,*,#194555,.T.); #263715=ORIENTED_EDGE('',*,*,#194552,.T.); #263716=ORIENTED_EDGE('',*,*,#194549,.T.); #263717=ORIENTED_EDGE('',*,*,#194546,.T.); #263718=ORIENTED_EDGE('',*,*,#194605,.F.); #263719=ORIENTED_EDGE('',*,*,#194544,.F.); #263720=ORIENTED_EDGE('',*,*,#194548,.F.); #263721=ORIENTED_EDGE('',*,*,#194551,.F.); #263722=ORIENTED_EDGE('',*,*,#194554,.F.); #263723=ORIENTED_EDGE('',*,*,#194557,.F.); #263724=ORIENTED_EDGE('',*,*,#194560,.F.); #263725=ORIENTED_EDGE('',*,*,#194563,.F.); #263726=ORIENTED_EDGE('',*,*,#194566,.F.); #263727=ORIENTED_EDGE('',*,*,#194569,.F.); #263728=ORIENTED_EDGE('',*,*,#194572,.F.); #263729=ORIENTED_EDGE('',*,*,#194575,.F.); #263730=ORIENTED_EDGE('',*,*,#194578,.F.); #263731=ORIENTED_EDGE('',*,*,#194581,.F.); #263732=ORIENTED_EDGE('',*,*,#194584,.F.); #263733=ORIENTED_EDGE('',*,*,#194587,.F.); #263734=ORIENTED_EDGE('',*,*,#194590,.F.); #263735=ORIENTED_EDGE('',*,*,#194593,.F.); #263736=ORIENTED_EDGE('',*,*,#194596,.F.); #263737=ORIENTED_EDGE('',*,*,#194599,.F.); #263738=ORIENTED_EDGE('',*,*,#194602,.F.); #263739=ORIENTED_EDGE('',*,*,#194607,.T.); #263740=ORIENTED_EDGE('',*,*,#194608,.T.); #263741=ORIENTED_EDGE('',*,*,#194609,.F.); #263742=ORIENTED_EDGE('',*,*,#194610,.F.); #263743=ORIENTED_EDGE('',*,*,#194611,.T.); #263744=ORIENTED_EDGE('',*,*,#194610,.T.); #263745=ORIENTED_EDGE('',*,*,#194612,.F.); #263746=ORIENTED_EDGE('',*,*,#194613,.F.); #263747=ORIENTED_EDGE('',*,*,#194614,.T.); #263748=ORIENTED_EDGE('',*,*,#194613,.T.); #263749=ORIENTED_EDGE('',*,*,#194615,.F.); #263750=ORIENTED_EDGE('',*,*,#194616,.F.); #263751=ORIENTED_EDGE('',*,*,#194617,.T.); #263752=ORIENTED_EDGE('',*,*,#194616,.T.); #263753=ORIENTED_EDGE('',*,*,#194618,.F.); #263754=ORIENTED_EDGE('',*,*,#194619,.F.); #263755=ORIENTED_EDGE('',*,*,#194620,.T.); #263756=ORIENTED_EDGE('',*,*,#194619,.T.); #263757=ORIENTED_EDGE('',*,*,#194621,.F.); #263758=ORIENTED_EDGE('',*,*,#194622,.F.); #263759=ORIENTED_EDGE('',*,*,#194623,.T.); #263760=ORIENTED_EDGE('',*,*,#194622,.T.); #263761=ORIENTED_EDGE('',*,*,#194624,.F.); #263762=ORIENTED_EDGE('',*,*,#194625,.F.); #263763=ORIENTED_EDGE('',*,*,#194626,.T.); #263764=ORIENTED_EDGE('',*,*,#194625,.T.); #263765=ORIENTED_EDGE('',*,*,#194627,.F.); #263766=ORIENTED_EDGE('',*,*,#194628,.F.); #263767=ORIENTED_EDGE('',*,*,#194629,.T.); #263768=ORIENTED_EDGE('',*,*,#194628,.T.); #263769=ORIENTED_EDGE('',*,*,#194630,.F.); #263770=ORIENTED_EDGE('',*,*,#194631,.F.); #263771=ORIENTED_EDGE('',*,*,#194632,.T.); #263772=ORIENTED_EDGE('',*,*,#194631,.T.); #263773=ORIENTED_EDGE('',*,*,#194633,.F.); #263774=ORIENTED_EDGE('',*,*,#194634,.F.); #263775=ORIENTED_EDGE('',*,*,#194635,.T.); #263776=ORIENTED_EDGE('',*,*,#194634,.T.); #263777=ORIENTED_EDGE('',*,*,#194636,.F.); #263778=ORIENTED_EDGE('',*,*,#194637,.F.); #263779=ORIENTED_EDGE('',*,*,#194638,.T.); #263780=ORIENTED_EDGE('',*,*,#194637,.T.); #263781=ORIENTED_EDGE('',*,*,#194639,.F.); #263782=ORIENTED_EDGE('',*,*,#194640,.F.); #263783=ORIENTED_EDGE('',*,*,#194641,.T.); #263784=ORIENTED_EDGE('',*,*,#194640,.T.); #263785=ORIENTED_EDGE('',*,*,#194642,.F.); #263786=ORIENTED_EDGE('',*,*,#194643,.F.); #263787=ORIENTED_EDGE('',*,*,#194644,.T.); #263788=ORIENTED_EDGE('',*,*,#194643,.T.); #263789=ORIENTED_EDGE('',*,*,#194645,.F.); #263790=ORIENTED_EDGE('',*,*,#194646,.F.); #263791=ORIENTED_EDGE('',*,*,#194647,.T.); #263792=ORIENTED_EDGE('',*,*,#194646,.T.); #263793=ORIENTED_EDGE('',*,*,#194648,.F.); #263794=ORIENTED_EDGE('',*,*,#194649,.F.); #263795=ORIENTED_EDGE('',*,*,#194650,.T.); #263796=ORIENTED_EDGE('',*,*,#194649,.T.); #263797=ORIENTED_EDGE('',*,*,#194651,.F.); #263798=ORIENTED_EDGE('',*,*,#194652,.F.); #263799=ORIENTED_EDGE('',*,*,#194653,.T.); #263800=ORIENTED_EDGE('',*,*,#194652,.T.); #263801=ORIENTED_EDGE('',*,*,#194654,.F.); #263802=ORIENTED_EDGE('',*,*,#194655,.F.); #263803=ORIENTED_EDGE('',*,*,#194656,.T.); #263804=ORIENTED_EDGE('',*,*,#194655,.T.); #263805=ORIENTED_EDGE('',*,*,#194657,.F.); #263806=ORIENTED_EDGE('',*,*,#194658,.F.); #263807=ORIENTED_EDGE('',*,*,#194659,.T.); #263808=ORIENTED_EDGE('',*,*,#194658,.T.); #263809=ORIENTED_EDGE('',*,*,#194660,.F.); #263810=ORIENTED_EDGE('',*,*,#194661,.F.); #263811=ORIENTED_EDGE('',*,*,#194662,.T.); #263812=ORIENTED_EDGE('',*,*,#194661,.T.); #263813=ORIENTED_EDGE('',*,*,#194663,.F.); #263814=ORIENTED_EDGE('',*,*,#194664,.F.); #263815=ORIENTED_EDGE('',*,*,#194665,.T.); #263816=ORIENTED_EDGE('',*,*,#194664,.T.); #263817=ORIENTED_EDGE('',*,*,#194666,.F.); #263818=ORIENTED_EDGE('',*,*,#194608,.F.); #263819=ORIENTED_EDGE('',*,*,#194666,.T.); #263820=ORIENTED_EDGE('',*,*,#194663,.T.); #263821=ORIENTED_EDGE('',*,*,#194660,.T.); #263822=ORIENTED_EDGE('',*,*,#194657,.T.); #263823=ORIENTED_EDGE('',*,*,#194654,.T.); #263824=ORIENTED_EDGE('',*,*,#194651,.T.); #263825=ORIENTED_EDGE('',*,*,#194648,.T.); #263826=ORIENTED_EDGE('',*,*,#194645,.T.); #263827=ORIENTED_EDGE('',*,*,#194642,.T.); #263828=ORIENTED_EDGE('',*,*,#194639,.T.); #263829=ORIENTED_EDGE('',*,*,#194636,.T.); #263830=ORIENTED_EDGE('',*,*,#194633,.T.); #263831=ORIENTED_EDGE('',*,*,#194630,.T.); #263832=ORIENTED_EDGE('',*,*,#194627,.T.); #263833=ORIENTED_EDGE('',*,*,#194624,.T.); #263834=ORIENTED_EDGE('',*,*,#194621,.T.); #263835=ORIENTED_EDGE('',*,*,#194618,.T.); #263836=ORIENTED_EDGE('',*,*,#194615,.T.); #263837=ORIENTED_EDGE('',*,*,#194612,.T.); #263838=ORIENTED_EDGE('',*,*,#194609,.T.); #263839=ORIENTED_EDGE('',*,*,#194665,.F.); #263840=ORIENTED_EDGE('',*,*,#194607,.F.); #263841=ORIENTED_EDGE('',*,*,#194611,.F.); #263842=ORIENTED_EDGE('',*,*,#194614,.F.); #263843=ORIENTED_EDGE('',*,*,#194617,.F.); #263844=ORIENTED_EDGE('',*,*,#194620,.F.); #263845=ORIENTED_EDGE('',*,*,#194623,.F.); #263846=ORIENTED_EDGE('',*,*,#194626,.F.); #263847=ORIENTED_EDGE('',*,*,#194629,.F.); #263848=ORIENTED_EDGE('',*,*,#194632,.F.); #263849=ORIENTED_EDGE('',*,*,#194635,.F.); #263850=ORIENTED_EDGE('',*,*,#194638,.F.); #263851=ORIENTED_EDGE('',*,*,#194641,.F.); #263852=ORIENTED_EDGE('',*,*,#194644,.F.); #263853=ORIENTED_EDGE('',*,*,#194647,.F.); #263854=ORIENTED_EDGE('',*,*,#194650,.F.); #263855=ORIENTED_EDGE('',*,*,#194653,.F.); #263856=ORIENTED_EDGE('',*,*,#194656,.F.); #263857=ORIENTED_EDGE('',*,*,#194659,.F.); #263858=ORIENTED_EDGE('',*,*,#194662,.F.); #263859=ORIENTED_EDGE('',*,*,#194667,.T.); #263860=ORIENTED_EDGE('',*,*,#194668,.T.); #263861=ORIENTED_EDGE('',*,*,#194669,.F.); #263862=ORIENTED_EDGE('',*,*,#194670,.F.); #263863=ORIENTED_EDGE('',*,*,#194671,.T.); #263864=ORIENTED_EDGE('',*,*,#194670,.T.); #263865=ORIENTED_EDGE('',*,*,#194672,.F.); #263866=ORIENTED_EDGE('',*,*,#194673,.F.); #263867=ORIENTED_EDGE('',*,*,#194674,.T.); #263868=ORIENTED_EDGE('',*,*,#194673,.T.); #263869=ORIENTED_EDGE('',*,*,#194675,.F.); #263870=ORIENTED_EDGE('',*,*,#194676,.F.); #263871=ORIENTED_EDGE('',*,*,#194677,.T.); #263872=ORIENTED_EDGE('',*,*,#194676,.T.); #263873=ORIENTED_EDGE('',*,*,#194678,.F.); #263874=ORIENTED_EDGE('',*,*,#194679,.F.); #263875=ORIENTED_EDGE('',*,*,#194680,.T.); #263876=ORIENTED_EDGE('',*,*,#194679,.T.); #263877=ORIENTED_EDGE('',*,*,#194681,.F.); #263878=ORIENTED_EDGE('',*,*,#194682,.F.); #263879=ORIENTED_EDGE('',*,*,#194683,.T.); #263880=ORIENTED_EDGE('',*,*,#194682,.T.); #263881=ORIENTED_EDGE('',*,*,#194684,.F.); #263882=ORIENTED_EDGE('',*,*,#194685,.F.); #263883=ORIENTED_EDGE('',*,*,#194686,.T.); #263884=ORIENTED_EDGE('',*,*,#194685,.T.); #263885=ORIENTED_EDGE('',*,*,#194687,.F.); #263886=ORIENTED_EDGE('',*,*,#194688,.F.); #263887=ORIENTED_EDGE('',*,*,#194689,.T.); #263888=ORIENTED_EDGE('',*,*,#194688,.T.); #263889=ORIENTED_EDGE('',*,*,#194690,.F.); #263890=ORIENTED_EDGE('',*,*,#194691,.F.); #263891=ORIENTED_EDGE('',*,*,#194692,.T.); #263892=ORIENTED_EDGE('',*,*,#194691,.T.); #263893=ORIENTED_EDGE('',*,*,#194693,.F.); #263894=ORIENTED_EDGE('',*,*,#194694,.F.); #263895=ORIENTED_EDGE('',*,*,#194695,.T.); #263896=ORIENTED_EDGE('',*,*,#194694,.T.); #263897=ORIENTED_EDGE('',*,*,#194696,.F.); #263898=ORIENTED_EDGE('',*,*,#194697,.F.); #263899=ORIENTED_EDGE('',*,*,#194698,.T.); #263900=ORIENTED_EDGE('',*,*,#194697,.T.); #263901=ORIENTED_EDGE('',*,*,#194699,.F.); #263902=ORIENTED_EDGE('',*,*,#194700,.F.); #263903=ORIENTED_EDGE('',*,*,#194701,.T.); #263904=ORIENTED_EDGE('',*,*,#194700,.T.); #263905=ORIENTED_EDGE('',*,*,#194702,.F.); #263906=ORIENTED_EDGE('',*,*,#194703,.F.); #263907=ORIENTED_EDGE('',*,*,#194704,.T.); #263908=ORIENTED_EDGE('',*,*,#194703,.T.); #263909=ORIENTED_EDGE('',*,*,#194705,.F.); #263910=ORIENTED_EDGE('',*,*,#194706,.F.); #263911=ORIENTED_EDGE('',*,*,#194707,.T.); #263912=ORIENTED_EDGE('',*,*,#194706,.T.); #263913=ORIENTED_EDGE('',*,*,#194708,.F.); #263914=ORIENTED_EDGE('',*,*,#194709,.F.); #263915=ORIENTED_EDGE('',*,*,#194710,.T.); #263916=ORIENTED_EDGE('',*,*,#194709,.T.); #263917=ORIENTED_EDGE('',*,*,#194711,.F.); #263918=ORIENTED_EDGE('',*,*,#194712,.F.); #263919=ORIENTED_EDGE('',*,*,#194713,.T.); #263920=ORIENTED_EDGE('',*,*,#194712,.T.); #263921=ORIENTED_EDGE('',*,*,#194714,.F.); #263922=ORIENTED_EDGE('',*,*,#194715,.F.); #263923=ORIENTED_EDGE('',*,*,#194716,.T.); #263924=ORIENTED_EDGE('',*,*,#194715,.T.); #263925=ORIENTED_EDGE('',*,*,#194717,.F.); #263926=ORIENTED_EDGE('',*,*,#194718,.F.); #263927=ORIENTED_EDGE('',*,*,#194719,.T.); #263928=ORIENTED_EDGE('',*,*,#194718,.T.); #263929=ORIENTED_EDGE('',*,*,#194720,.F.); #263930=ORIENTED_EDGE('',*,*,#194721,.F.); #263931=ORIENTED_EDGE('',*,*,#194722,.T.); #263932=ORIENTED_EDGE('',*,*,#194721,.T.); #263933=ORIENTED_EDGE('',*,*,#194723,.F.); #263934=ORIENTED_EDGE('',*,*,#194724,.F.); #263935=ORIENTED_EDGE('',*,*,#194725,.T.); #263936=ORIENTED_EDGE('',*,*,#194724,.T.); #263937=ORIENTED_EDGE('',*,*,#194726,.F.); #263938=ORIENTED_EDGE('',*,*,#194727,.F.); #263939=ORIENTED_EDGE('',*,*,#194728,.T.); #263940=ORIENTED_EDGE('',*,*,#194727,.T.); #263941=ORIENTED_EDGE('',*,*,#194729,.F.); #263942=ORIENTED_EDGE('',*,*,#194730,.F.); #263943=ORIENTED_EDGE('',*,*,#194731,.T.); #263944=ORIENTED_EDGE('',*,*,#194730,.T.); #263945=ORIENTED_EDGE('',*,*,#194732,.F.); #263946=ORIENTED_EDGE('',*,*,#194733,.F.); #263947=ORIENTED_EDGE('',*,*,#194734,.T.); #263948=ORIENTED_EDGE('',*,*,#194733,.T.); #263949=ORIENTED_EDGE('',*,*,#194735,.F.); #263950=ORIENTED_EDGE('',*,*,#194736,.F.); #263951=ORIENTED_EDGE('',*,*,#194737,.T.); #263952=ORIENTED_EDGE('',*,*,#194736,.T.); #263953=ORIENTED_EDGE('',*,*,#194738,.F.); #263954=ORIENTED_EDGE('',*,*,#194668,.F.); #263955=ORIENTED_EDGE('',*,*,#194738,.T.); #263956=ORIENTED_EDGE('',*,*,#194735,.T.); #263957=ORIENTED_EDGE('',*,*,#194732,.T.); #263958=ORIENTED_EDGE('',*,*,#194729,.T.); #263959=ORIENTED_EDGE('',*,*,#194726,.T.); #263960=ORIENTED_EDGE('',*,*,#194723,.T.); #263961=ORIENTED_EDGE('',*,*,#194720,.T.); #263962=ORIENTED_EDGE('',*,*,#194717,.T.); #263963=ORIENTED_EDGE('',*,*,#194714,.T.); #263964=ORIENTED_EDGE('',*,*,#194711,.T.); #263965=ORIENTED_EDGE('',*,*,#194708,.T.); #263966=ORIENTED_EDGE('',*,*,#194705,.T.); #263967=ORIENTED_EDGE('',*,*,#194702,.T.); #263968=ORIENTED_EDGE('',*,*,#194699,.T.); #263969=ORIENTED_EDGE('',*,*,#194696,.T.); #263970=ORIENTED_EDGE('',*,*,#194693,.T.); #263971=ORIENTED_EDGE('',*,*,#194690,.T.); #263972=ORIENTED_EDGE('',*,*,#194687,.T.); #263973=ORIENTED_EDGE('',*,*,#194684,.T.); #263974=ORIENTED_EDGE('',*,*,#194681,.T.); #263975=ORIENTED_EDGE('',*,*,#194678,.T.); #263976=ORIENTED_EDGE('',*,*,#194675,.T.); #263977=ORIENTED_EDGE('',*,*,#194672,.T.); #263978=ORIENTED_EDGE('',*,*,#194669,.T.); #263979=ORIENTED_EDGE('',*,*,#194737,.F.); #263980=ORIENTED_EDGE('',*,*,#194667,.F.); #263981=ORIENTED_EDGE('',*,*,#194671,.F.); #263982=ORIENTED_EDGE('',*,*,#194674,.F.); #263983=ORIENTED_EDGE('',*,*,#194677,.F.); #263984=ORIENTED_EDGE('',*,*,#194680,.F.); #263985=ORIENTED_EDGE('',*,*,#194683,.F.); #263986=ORIENTED_EDGE('',*,*,#194686,.F.); #263987=ORIENTED_EDGE('',*,*,#194689,.F.); #263988=ORIENTED_EDGE('',*,*,#194692,.F.); #263989=ORIENTED_EDGE('',*,*,#194695,.F.); #263990=ORIENTED_EDGE('',*,*,#194698,.F.); #263991=ORIENTED_EDGE('',*,*,#194701,.F.); #263992=ORIENTED_EDGE('',*,*,#194704,.F.); #263993=ORIENTED_EDGE('',*,*,#194707,.F.); #263994=ORIENTED_EDGE('',*,*,#194710,.F.); #263995=ORIENTED_EDGE('',*,*,#194713,.F.); #263996=ORIENTED_EDGE('',*,*,#194716,.F.); #263997=ORIENTED_EDGE('',*,*,#194719,.F.); #263998=ORIENTED_EDGE('',*,*,#194722,.F.); #263999=ORIENTED_EDGE('',*,*,#194725,.F.); #264000=ORIENTED_EDGE('',*,*,#194728,.F.); #264001=ORIENTED_EDGE('',*,*,#194731,.F.); #264002=ORIENTED_EDGE('',*,*,#194734,.F.); #264003=ORIENTED_EDGE('',*,*,#194739,.T.); #264004=ORIENTED_EDGE('',*,*,#194740,.T.); #264005=ORIENTED_EDGE('',*,*,#194741,.F.); #264006=ORIENTED_EDGE('',*,*,#194742,.F.); #264007=ORIENTED_EDGE('',*,*,#194743,.T.); #264008=ORIENTED_EDGE('',*,*,#194742,.T.); #264009=ORIENTED_EDGE('',*,*,#194744,.F.); #264010=ORIENTED_EDGE('',*,*,#194745,.F.); #264011=ORIENTED_EDGE('',*,*,#194746,.T.); #264012=ORIENTED_EDGE('',*,*,#194745,.T.); #264013=ORIENTED_EDGE('',*,*,#194747,.F.); #264014=ORIENTED_EDGE('',*,*,#194748,.F.); #264015=ORIENTED_EDGE('',*,*,#194749,.T.); #264016=ORIENTED_EDGE('',*,*,#194748,.T.); #264017=ORIENTED_EDGE('',*,*,#194750,.F.); #264018=ORIENTED_EDGE('',*,*,#194751,.F.); #264019=ORIENTED_EDGE('',*,*,#194752,.T.); #264020=ORIENTED_EDGE('',*,*,#194751,.T.); #264021=ORIENTED_EDGE('',*,*,#194753,.F.); #264022=ORIENTED_EDGE('',*,*,#194754,.F.); #264023=ORIENTED_EDGE('',*,*,#194755,.T.); #264024=ORIENTED_EDGE('',*,*,#194754,.T.); #264025=ORIENTED_EDGE('',*,*,#194756,.F.); #264026=ORIENTED_EDGE('',*,*,#194757,.F.); #264027=ORIENTED_EDGE('',*,*,#194758,.T.); #264028=ORIENTED_EDGE('',*,*,#194757,.T.); #264029=ORIENTED_EDGE('',*,*,#194759,.F.); #264030=ORIENTED_EDGE('',*,*,#194760,.F.); #264031=ORIENTED_EDGE('',*,*,#194761,.T.); #264032=ORIENTED_EDGE('',*,*,#194760,.T.); #264033=ORIENTED_EDGE('',*,*,#194762,.F.); #264034=ORIENTED_EDGE('',*,*,#194763,.F.); #264035=ORIENTED_EDGE('',*,*,#194764,.T.); #264036=ORIENTED_EDGE('',*,*,#194763,.T.); #264037=ORIENTED_EDGE('',*,*,#194765,.F.); #264038=ORIENTED_EDGE('',*,*,#194766,.F.); #264039=ORIENTED_EDGE('',*,*,#194767,.T.); #264040=ORIENTED_EDGE('',*,*,#194766,.T.); #264041=ORIENTED_EDGE('',*,*,#194768,.F.); #264042=ORIENTED_EDGE('',*,*,#194769,.F.); #264043=ORIENTED_EDGE('',*,*,#194770,.T.); #264044=ORIENTED_EDGE('',*,*,#194769,.T.); #264045=ORIENTED_EDGE('',*,*,#194771,.F.); #264046=ORIENTED_EDGE('',*,*,#194772,.F.); #264047=ORIENTED_EDGE('',*,*,#194773,.T.); #264048=ORIENTED_EDGE('',*,*,#194772,.T.); #264049=ORIENTED_EDGE('',*,*,#194774,.F.); #264050=ORIENTED_EDGE('',*,*,#194775,.F.); #264051=ORIENTED_EDGE('',*,*,#194776,.T.); #264052=ORIENTED_EDGE('',*,*,#194775,.T.); #264053=ORIENTED_EDGE('',*,*,#194777,.F.); #264054=ORIENTED_EDGE('',*,*,#194778,.F.); #264055=ORIENTED_EDGE('',*,*,#194779,.T.); #264056=ORIENTED_EDGE('',*,*,#194778,.T.); #264057=ORIENTED_EDGE('',*,*,#194780,.F.); #264058=ORIENTED_EDGE('',*,*,#194781,.F.); #264059=ORIENTED_EDGE('',*,*,#194782,.T.); #264060=ORIENTED_EDGE('',*,*,#194781,.T.); #264061=ORIENTED_EDGE('',*,*,#194783,.F.); #264062=ORIENTED_EDGE('',*,*,#194784,.F.); #264063=ORIENTED_EDGE('',*,*,#194785,.T.); #264064=ORIENTED_EDGE('',*,*,#194784,.T.); #264065=ORIENTED_EDGE('',*,*,#194786,.F.); #264066=ORIENTED_EDGE('',*,*,#194787,.F.); #264067=ORIENTED_EDGE('',*,*,#194788,.T.); #264068=ORIENTED_EDGE('',*,*,#194787,.T.); #264069=ORIENTED_EDGE('',*,*,#194789,.F.); #264070=ORIENTED_EDGE('',*,*,#194790,.F.); #264071=ORIENTED_EDGE('',*,*,#194791,.T.); #264072=ORIENTED_EDGE('',*,*,#194790,.T.); #264073=ORIENTED_EDGE('',*,*,#194792,.F.); #264074=ORIENTED_EDGE('',*,*,#194793,.F.); #264075=ORIENTED_EDGE('',*,*,#194794,.T.); #264076=ORIENTED_EDGE('',*,*,#194793,.T.); #264077=ORIENTED_EDGE('',*,*,#194795,.F.); #264078=ORIENTED_EDGE('',*,*,#194796,.F.); #264079=ORIENTED_EDGE('',*,*,#194797,.T.); #264080=ORIENTED_EDGE('',*,*,#194796,.T.); #264081=ORIENTED_EDGE('',*,*,#194798,.F.); #264082=ORIENTED_EDGE('',*,*,#194799,.F.); #264083=ORIENTED_EDGE('',*,*,#194800,.T.); #264084=ORIENTED_EDGE('',*,*,#194799,.T.); #264085=ORIENTED_EDGE('',*,*,#194801,.F.); #264086=ORIENTED_EDGE('',*,*,#194740,.F.); #264087=ORIENTED_EDGE('',*,*,#194801,.T.); #264088=ORIENTED_EDGE('',*,*,#194798,.T.); #264089=ORIENTED_EDGE('',*,*,#194795,.T.); #264090=ORIENTED_EDGE('',*,*,#194792,.T.); #264091=ORIENTED_EDGE('',*,*,#194789,.T.); #264092=ORIENTED_EDGE('',*,*,#194786,.T.); #264093=ORIENTED_EDGE('',*,*,#194783,.T.); #264094=ORIENTED_EDGE('',*,*,#194780,.T.); #264095=ORIENTED_EDGE('',*,*,#194777,.T.); #264096=ORIENTED_EDGE('',*,*,#194774,.T.); #264097=ORIENTED_EDGE('',*,*,#194771,.T.); #264098=ORIENTED_EDGE('',*,*,#194768,.T.); #264099=ORIENTED_EDGE('',*,*,#194765,.T.); #264100=ORIENTED_EDGE('',*,*,#194762,.T.); #264101=ORIENTED_EDGE('',*,*,#194759,.T.); #264102=ORIENTED_EDGE('',*,*,#194756,.T.); #264103=ORIENTED_EDGE('',*,*,#194753,.T.); #264104=ORIENTED_EDGE('',*,*,#194750,.T.); #264105=ORIENTED_EDGE('',*,*,#194747,.T.); #264106=ORIENTED_EDGE('',*,*,#194744,.T.); #264107=ORIENTED_EDGE('',*,*,#194741,.T.); #264108=ORIENTED_EDGE('',*,*,#194800,.F.); #264109=ORIENTED_EDGE('',*,*,#194739,.F.); #264110=ORIENTED_EDGE('',*,*,#194743,.F.); #264111=ORIENTED_EDGE('',*,*,#194746,.F.); #264112=ORIENTED_EDGE('',*,*,#194749,.F.); #264113=ORIENTED_EDGE('',*,*,#194752,.F.); #264114=ORIENTED_EDGE('',*,*,#194755,.F.); #264115=ORIENTED_EDGE('',*,*,#194758,.F.); #264116=ORIENTED_EDGE('',*,*,#194761,.F.); #264117=ORIENTED_EDGE('',*,*,#194764,.F.); #264118=ORIENTED_EDGE('',*,*,#194767,.F.); #264119=ORIENTED_EDGE('',*,*,#194770,.F.); #264120=ORIENTED_EDGE('',*,*,#194773,.F.); #264121=ORIENTED_EDGE('',*,*,#194776,.F.); #264122=ORIENTED_EDGE('',*,*,#194779,.F.); #264123=ORIENTED_EDGE('',*,*,#194782,.F.); #264124=ORIENTED_EDGE('',*,*,#194785,.F.); #264125=ORIENTED_EDGE('',*,*,#194788,.F.); #264126=ORIENTED_EDGE('',*,*,#194791,.F.); #264127=ORIENTED_EDGE('',*,*,#194794,.F.); #264128=ORIENTED_EDGE('',*,*,#194797,.F.); #264129=ORIENTED_EDGE('',*,*,#194802,.T.); #264130=ORIENTED_EDGE('',*,*,#194803,.T.); #264131=ORIENTED_EDGE('',*,*,#194804,.F.); #264132=ORIENTED_EDGE('',*,*,#194805,.F.); #264133=ORIENTED_EDGE('',*,*,#194806,.T.); #264134=ORIENTED_EDGE('',*,*,#194805,.T.); #264135=ORIENTED_EDGE('',*,*,#194807,.F.); #264136=ORIENTED_EDGE('',*,*,#194808,.F.); #264137=ORIENTED_EDGE('',*,*,#194809,.T.); #264138=ORIENTED_EDGE('',*,*,#194808,.T.); #264139=ORIENTED_EDGE('',*,*,#194810,.F.); #264140=ORIENTED_EDGE('',*,*,#194811,.F.); #264141=ORIENTED_EDGE('',*,*,#194812,.T.); #264142=ORIENTED_EDGE('',*,*,#194811,.T.); #264143=ORIENTED_EDGE('',*,*,#194813,.F.); #264144=ORIENTED_EDGE('',*,*,#194814,.F.); #264145=ORIENTED_EDGE('',*,*,#194815,.T.); #264146=ORIENTED_EDGE('',*,*,#194814,.T.); #264147=ORIENTED_EDGE('',*,*,#194816,.F.); #264148=ORIENTED_EDGE('',*,*,#194817,.F.); #264149=ORIENTED_EDGE('',*,*,#194818,.T.); #264150=ORIENTED_EDGE('',*,*,#194817,.T.); #264151=ORIENTED_EDGE('',*,*,#194819,.F.); #264152=ORIENTED_EDGE('',*,*,#194820,.F.); #264153=ORIENTED_EDGE('',*,*,#194821,.T.); #264154=ORIENTED_EDGE('',*,*,#194820,.T.); #264155=ORIENTED_EDGE('',*,*,#194822,.F.); #264156=ORIENTED_EDGE('',*,*,#194823,.F.); #264157=ORIENTED_EDGE('',*,*,#194824,.T.); #264158=ORIENTED_EDGE('',*,*,#194823,.T.); #264159=ORIENTED_EDGE('',*,*,#194825,.F.); #264160=ORIENTED_EDGE('',*,*,#194826,.F.); #264161=ORIENTED_EDGE('',*,*,#194827,.T.); #264162=ORIENTED_EDGE('',*,*,#194826,.T.); #264163=ORIENTED_EDGE('',*,*,#194828,.F.); #264164=ORIENTED_EDGE('',*,*,#194829,.F.); #264165=ORIENTED_EDGE('',*,*,#194830,.T.); #264166=ORIENTED_EDGE('',*,*,#194829,.T.); #264167=ORIENTED_EDGE('',*,*,#194831,.F.); #264168=ORIENTED_EDGE('',*,*,#194832,.F.); #264169=ORIENTED_EDGE('',*,*,#194833,.T.); #264170=ORIENTED_EDGE('',*,*,#194832,.T.); #264171=ORIENTED_EDGE('',*,*,#194834,.F.); #264172=ORIENTED_EDGE('',*,*,#194835,.F.); #264173=ORIENTED_EDGE('',*,*,#194836,.T.); #264174=ORIENTED_EDGE('',*,*,#194835,.T.); #264175=ORIENTED_EDGE('',*,*,#194837,.F.); #264176=ORIENTED_EDGE('',*,*,#194838,.F.); #264177=ORIENTED_EDGE('',*,*,#194839,.T.); #264178=ORIENTED_EDGE('',*,*,#194838,.T.); #264179=ORIENTED_EDGE('',*,*,#194840,.F.); #264180=ORIENTED_EDGE('',*,*,#194841,.F.); #264181=ORIENTED_EDGE('',*,*,#194842,.T.); #264182=ORIENTED_EDGE('',*,*,#194841,.T.); #264183=ORIENTED_EDGE('',*,*,#194843,.F.); #264184=ORIENTED_EDGE('',*,*,#194844,.F.); #264185=ORIENTED_EDGE('',*,*,#194845,.T.); #264186=ORIENTED_EDGE('',*,*,#194844,.T.); #264187=ORIENTED_EDGE('',*,*,#194846,.F.); #264188=ORIENTED_EDGE('',*,*,#194847,.F.); #264189=ORIENTED_EDGE('',*,*,#194848,.T.); #264190=ORIENTED_EDGE('',*,*,#194847,.T.); #264191=ORIENTED_EDGE('',*,*,#194849,.F.); #264192=ORIENTED_EDGE('',*,*,#194850,.F.); #264193=ORIENTED_EDGE('',*,*,#194851,.T.); #264194=ORIENTED_EDGE('',*,*,#194850,.T.); #264195=ORIENTED_EDGE('',*,*,#194852,.F.); #264196=ORIENTED_EDGE('',*,*,#194853,.F.); #264197=ORIENTED_EDGE('',*,*,#194854,.T.); #264198=ORIENTED_EDGE('',*,*,#194853,.T.); #264199=ORIENTED_EDGE('',*,*,#194855,.F.); #264200=ORIENTED_EDGE('',*,*,#194856,.F.); #264201=ORIENTED_EDGE('',*,*,#194857,.T.); #264202=ORIENTED_EDGE('',*,*,#194856,.T.); #264203=ORIENTED_EDGE('',*,*,#194858,.F.); #264204=ORIENTED_EDGE('',*,*,#194859,.F.); #264205=ORIENTED_EDGE('',*,*,#194860,.T.); #264206=ORIENTED_EDGE('',*,*,#194859,.T.); #264207=ORIENTED_EDGE('',*,*,#194861,.F.); #264208=ORIENTED_EDGE('',*,*,#194862,.F.); #264209=ORIENTED_EDGE('',*,*,#194863,.T.); #264210=ORIENTED_EDGE('',*,*,#194862,.T.); #264211=ORIENTED_EDGE('',*,*,#194864,.F.); #264212=ORIENTED_EDGE('',*,*,#194865,.F.); #264213=ORIENTED_EDGE('',*,*,#194866,.T.); #264214=ORIENTED_EDGE('',*,*,#194865,.T.); #264215=ORIENTED_EDGE('',*,*,#194867,.F.); #264216=ORIENTED_EDGE('',*,*,#194868,.F.); #264217=ORIENTED_EDGE('',*,*,#194869,.T.); #264218=ORIENTED_EDGE('',*,*,#194868,.T.); #264219=ORIENTED_EDGE('',*,*,#194870,.F.); #264220=ORIENTED_EDGE('',*,*,#194871,.F.); #264221=ORIENTED_EDGE('',*,*,#194872,.T.); #264222=ORIENTED_EDGE('',*,*,#194871,.T.); #264223=ORIENTED_EDGE('',*,*,#194873,.F.); #264224=ORIENTED_EDGE('',*,*,#194874,.F.); #264225=ORIENTED_EDGE('',*,*,#194875,.T.); #264226=ORIENTED_EDGE('',*,*,#194874,.T.); #264227=ORIENTED_EDGE('',*,*,#194876,.F.); #264228=ORIENTED_EDGE('',*,*,#194877,.F.); #264229=ORIENTED_EDGE('',*,*,#194878,.T.); #264230=ORIENTED_EDGE('',*,*,#194877,.T.); #264231=ORIENTED_EDGE('',*,*,#194879,.F.); #264232=ORIENTED_EDGE('',*,*,#194880,.F.); #264233=ORIENTED_EDGE('',*,*,#194881,.T.); #264234=ORIENTED_EDGE('',*,*,#194880,.T.); #264235=ORIENTED_EDGE('',*,*,#194882,.F.); #264236=ORIENTED_EDGE('',*,*,#194883,.F.); #264237=ORIENTED_EDGE('',*,*,#194884,.T.); #264238=ORIENTED_EDGE('',*,*,#194883,.T.); #264239=ORIENTED_EDGE('',*,*,#194885,.F.); #264240=ORIENTED_EDGE('',*,*,#194886,.F.); #264241=ORIENTED_EDGE('',*,*,#194887,.T.); #264242=ORIENTED_EDGE('',*,*,#194886,.T.); #264243=ORIENTED_EDGE('',*,*,#194888,.F.); #264244=ORIENTED_EDGE('',*,*,#194889,.F.); #264245=ORIENTED_EDGE('',*,*,#194890,.T.); #264246=ORIENTED_EDGE('',*,*,#194889,.T.); #264247=ORIENTED_EDGE('',*,*,#194891,.F.); #264248=ORIENTED_EDGE('',*,*,#194892,.F.); #264249=ORIENTED_EDGE('',*,*,#194893,.T.); #264250=ORIENTED_EDGE('',*,*,#194892,.T.); #264251=ORIENTED_EDGE('',*,*,#194894,.F.); #264252=ORIENTED_EDGE('',*,*,#194895,.F.); #264253=ORIENTED_EDGE('',*,*,#194896,.T.); #264254=ORIENTED_EDGE('',*,*,#194895,.T.); #264255=ORIENTED_EDGE('',*,*,#194897,.F.); #264256=ORIENTED_EDGE('',*,*,#194898,.F.); #264257=ORIENTED_EDGE('',*,*,#194899,.T.); #264258=ORIENTED_EDGE('',*,*,#194898,.T.); #264259=ORIENTED_EDGE('',*,*,#194900,.F.); #264260=ORIENTED_EDGE('',*,*,#194901,.F.); #264261=ORIENTED_EDGE('',*,*,#194902,.T.); #264262=ORIENTED_EDGE('',*,*,#194901,.T.); #264263=ORIENTED_EDGE('',*,*,#194903,.F.); #264264=ORIENTED_EDGE('',*,*,#194904,.F.); #264265=ORIENTED_EDGE('',*,*,#194905,.T.); #264266=ORIENTED_EDGE('',*,*,#194904,.T.); #264267=ORIENTED_EDGE('',*,*,#194906,.F.); #264268=ORIENTED_EDGE('',*,*,#194803,.F.); #264269=ORIENTED_EDGE('',*,*,#194906,.T.); #264270=ORIENTED_EDGE('',*,*,#194903,.T.); #264271=ORIENTED_EDGE('',*,*,#194900,.T.); #264272=ORIENTED_EDGE('',*,*,#194897,.T.); #264273=ORIENTED_EDGE('',*,*,#194894,.T.); #264274=ORIENTED_EDGE('',*,*,#194891,.T.); #264275=ORIENTED_EDGE('',*,*,#194888,.T.); #264276=ORIENTED_EDGE('',*,*,#194885,.T.); #264277=ORIENTED_EDGE('',*,*,#194882,.T.); #264278=ORIENTED_EDGE('',*,*,#194879,.T.); #264279=ORIENTED_EDGE('',*,*,#194876,.T.); #264280=ORIENTED_EDGE('',*,*,#194873,.T.); #264281=ORIENTED_EDGE('',*,*,#194870,.T.); #264282=ORIENTED_EDGE('',*,*,#194867,.T.); #264283=ORIENTED_EDGE('',*,*,#194864,.T.); #264284=ORIENTED_EDGE('',*,*,#194861,.T.); #264285=ORIENTED_EDGE('',*,*,#194858,.T.); #264286=ORIENTED_EDGE('',*,*,#194855,.T.); #264287=ORIENTED_EDGE('',*,*,#194852,.T.); #264288=ORIENTED_EDGE('',*,*,#194849,.T.); #264289=ORIENTED_EDGE('',*,*,#194846,.T.); #264290=ORIENTED_EDGE('',*,*,#194843,.T.); #264291=ORIENTED_EDGE('',*,*,#194840,.T.); #264292=ORIENTED_EDGE('',*,*,#194837,.T.); #264293=ORIENTED_EDGE('',*,*,#194834,.T.); #264294=ORIENTED_EDGE('',*,*,#194831,.T.); #264295=ORIENTED_EDGE('',*,*,#194828,.T.); #264296=ORIENTED_EDGE('',*,*,#194825,.T.); #264297=ORIENTED_EDGE('',*,*,#194822,.T.); #264298=ORIENTED_EDGE('',*,*,#194819,.T.); #264299=ORIENTED_EDGE('',*,*,#194816,.T.); #264300=ORIENTED_EDGE('',*,*,#194813,.T.); #264301=ORIENTED_EDGE('',*,*,#194810,.T.); #264302=ORIENTED_EDGE('',*,*,#194807,.T.); #264303=ORIENTED_EDGE('',*,*,#194804,.T.); #264304=ORIENTED_EDGE('',*,*,#194905,.F.); #264305=ORIENTED_EDGE('',*,*,#194802,.F.); #264306=ORIENTED_EDGE('',*,*,#194806,.F.); #264307=ORIENTED_EDGE('',*,*,#194809,.F.); #264308=ORIENTED_EDGE('',*,*,#194812,.F.); #264309=ORIENTED_EDGE('',*,*,#194815,.F.); #264310=ORIENTED_EDGE('',*,*,#194818,.F.); #264311=ORIENTED_EDGE('',*,*,#194821,.F.); #264312=ORIENTED_EDGE('',*,*,#194824,.F.); #264313=ORIENTED_EDGE('',*,*,#194827,.F.); #264314=ORIENTED_EDGE('',*,*,#194830,.F.); #264315=ORIENTED_EDGE('',*,*,#194833,.F.); #264316=ORIENTED_EDGE('',*,*,#194836,.F.); #264317=ORIENTED_EDGE('',*,*,#194839,.F.); #264318=ORIENTED_EDGE('',*,*,#194842,.F.); #264319=ORIENTED_EDGE('',*,*,#194845,.F.); #264320=ORIENTED_EDGE('',*,*,#194848,.F.); #264321=ORIENTED_EDGE('',*,*,#194851,.F.); #264322=ORIENTED_EDGE('',*,*,#194854,.F.); #264323=ORIENTED_EDGE('',*,*,#194857,.F.); #264324=ORIENTED_EDGE('',*,*,#194860,.F.); #264325=ORIENTED_EDGE('',*,*,#194863,.F.); #264326=ORIENTED_EDGE('',*,*,#194866,.F.); #264327=ORIENTED_EDGE('',*,*,#194869,.F.); #264328=ORIENTED_EDGE('',*,*,#194872,.F.); #264329=ORIENTED_EDGE('',*,*,#194875,.F.); #264330=ORIENTED_EDGE('',*,*,#194878,.F.); #264331=ORIENTED_EDGE('',*,*,#194881,.F.); #264332=ORIENTED_EDGE('',*,*,#194884,.F.); #264333=ORIENTED_EDGE('',*,*,#194887,.F.); #264334=ORIENTED_EDGE('',*,*,#194890,.F.); #264335=ORIENTED_EDGE('',*,*,#194893,.F.); #264336=ORIENTED_EDGE('',*,*,#194896,.F.); #264337=ORIENTED_EDGE('',*,*,#194899,.F.); #264338=ORIENTED_EDGE('',*,*,#194902,.F.); #264339=ORIENTED_EDGE('',*,*,#194907,.T.); #264340=ORIENTED_EDGE('',*,*,#194908,.T.); #264341=ORIENTED_EDGE('',*,*,#194909,.F.); #264342=ORIENTED_EDGE('',*,*,#194910,.F.); #264343=ORIENTED_EDGE('',*,*,#194911,.T.); #264344=ORIENTED_EDGE('',*,*,#194910,.T.); #264345=ORIENTED_EDGE('',*,*,#194912,.F.); #264346=ORIENTED_EDGE('',*,*,#194913,.F.); #264347=ORIENTED_EDGE('',*,*,#194914,.T.); #264348=ORIENTED_EDGE('',*,*,#194913,.T.); #264349=ORIENTED_EDGE('',*,*,#194915,.F.); #264350=ORIENTED_EDGE('',*,*,#194916,.F.); #264351=ORIENTED_EDGE('',*,*,#194917,.T.); #264352=ORIENTED_EDGE('',*,*,#194916,.T.); #264353=ORIENTED_EDGE('',*,*,#194918,.F.); #264354=ORIENTED_EDGE('',*,*,#194919,.F.); #264355=ORIENTED_EDGE('',*,*,#194920,.T.); #264356=ORIENTED_EDGE('',*,*,#194919,.T.); #264357=ORIENTED_EDGE('',*,*,#194921,.F.); #264358=ORIENTED_EDGE('',*,*,#194922,.F.); #264359=ORIENTED_EDGE('',*,*,#194923,.T.); #264360=ORIENTED_EDGE('',*,*,#194922,.T.); #264361=ORIENTED_EDGE('',*,*,#194924,.F.); #264362=ORIENTED_EDGE('',*,*,#194925,.F.); #264363=ORIENTED_EDGE('',*,*,#194926,.T.); #264364=ORIENTED_EDGE('',*,*,#194925,.T.); #264365=ORIENTED_EDGE('',*,*,#194927,.F.); #264366=ORIENTED_EDGE('',*,*,#194928,.F.); #264367=ORIENTED_EDGE('',*,*,#194929,.T.); #264368=ORIENTED_EDGE('',*,*,#194928,.T.); #264369=ORIENTED_EDGE('',*,*,#194930,.F.); #264370=ORIENTED_EDGE('',*,*,#194931,.F.); #264371=ORIENTED_EDGE('',*,*,#194932,.T.); #264372=ORIENTED_EDGE('',*,*,#194931,.T.); #264373=ORIENTED_EDGE('',*,*,#194933,.F.); #264374=ORIENTED_EDGE('',*,*,#194934,.F.); #264375=ORIENTED_EDGE('',*,*,#194935,.T.); #264376=ORIENTED_EDGE('',*,*,#194934,.T.); #264377=ORIENTED_EDGE('',*,*,#194936,.F.); #264378=ORIENTED_EDGE('',*,*,#194937,.F.); #264379=ORIENTED_EDGE('',*,*,#194938,.T.); #264380=ORIENTED_EDGE('',*,*,#194937,.T.); #264381=ORIENTED_EDGE('',*,*,#194939,.F.); #264382=ORIENTED_EDGE('',*,*,#194940,.F.); #264383=ORIENTED_EDGE('',*,*,#194941,.T.); #264384=ORIENTED_EDGE('',*,*,#194940,.T.); #264385=ORIENTED_EDGE('',*,*,#194942,.F.); #264386=ORIENTED_EDGE('',*,*,#194943,.F.); #264387=ORIENTED_EDGE('',*,*,#194944,.T.); #264388=ORIENTED_EDGE('',*,*,#194943,.T.); #264389=ORIENTED_EDGE('',*,*,#194945,.F.); #264390=ORIENTED_EDGE('',*,*,#194946,.F.); #264391=ORIENTED_EDGE('',*,*,#194947,.T.); #264392=ORIENTED_EDGE('',*,*,#194946,.T.); #264393=ORIENTED_EDGE('',*,*,#194948,.F.); #264394=ORIENTED_EDGE('',*,*,#194949,.F.); #264395=ORIENTED_EDGE('',*,*,#194950,.T.); #264396=ORIENTED_EDGE('',*,*,#194949,.T.); #264397=ORIENTED_EDGE('',*,*,#194951,.F.); #264398=ORIENTED_EDGE('',*,*,#194952,.F.); #264399=ORIENTED_EDGE('',*,*,#194953,.T.); #264400=ORIENTED_EDGE('',*,*,#194952,.T.); #264401=ORIENTED_EDGE('',*,*,#194954,.F.); #264402=ORIENTED_EDGE('',*,*,#194955,.F.); #264403=ORIENTED_EDGE('',*,*,#194956,.T.); #264404=ORIENTED_EDGE('',*,*,#194955,.T.); #264405=ORIENTED_EDGE('',*,*,#194957,.F.); #264406=ORIENTED_EDGE('',*,*,#194958,.F.); #264407=ORIENTED_EDGE('',*,*,#194959,.T.); #264408=ORIENTED_EDGE('',*,*,#194958,.T.); #264409=ORIENTED_EDGE('',*,*,#194960,.F.); #264410=ORIENTED_EDGE('',*,*,#194961,.F.); #264411=ORIENTED_EDGE('',*,*,#194962,.T.); #264412=ORIENTED_EDGE('',*,*,#194961,.T.); #264413=ORIENTED_EDGE('',*,*,#194963,.F.); #264414=ORIENTED_EDGE('',*,*,#194964,.F.); #264415=ORIENTED_EDGE('',*,*,#194965,.T.); #264416=ORIENTED_EDGE('',*,*,#194964,.T.); #264417=ORIENTED_EDGE('',*,*,#194966,.F.); #264418=ORIENTED_EDGE('',*,*,#194967,.F.); #264419=ORIENTED_EDGE('',*,*,#194968,.T.); #264420=ORIENTED_EDGE('',*,*,#194967,.T.); #264421=ORIENTED_EDGE('',*,*,#194969,.F.); #264422=ORIENTED_EDGE('',*,*,#194908,.F.); #264423=ORIENTED_EDGE('',*,*,#194969,.T.); #264424=ORIENTED_EDGE('',*,*,#194966,.T.); #264425=ORIENTED_EDGE('',*,*,#194963,.T.); #264426=ORIENTED_EDGE('',*,*,#194960,.T.); #264427=ORIENTED_EDGE('',*,*,#194957,.T.); #264428=ORIENTED_EDGE('',*,*,#194954,.T.); #264429=ORIENTED_EDGE('',*,*,#194951,.T.); #264430=ORIENTED_EDGE('',*,*,#194948,.T.); #264431=ORIENTED_EDGE('',*,*,#194945,.T.); #264432=ORIENTED_EDGE('',*,*,#194942,.T.); #264433=ORIENTED_EDGE('',*,*,#194939,.T.); #264434=ORIENTED_EDGE('',*,*,#194936,.T.); #264435=ORIENTED_EDGE('',*,*,#194933,.T.); #264436=ORIENTED_EDGE('',*,*,#194930,.T.); #264437=ORIENTED_EDGE('',*,*,#194927,.T.); #264438=ORIENTED_EDGE('',*,*,#194924,.T.); #264439=ORIENTED_EDGE('',*,*,#194921,.T.); #264440=ORIENTED_EDGE('',*,*,#194918,.T.); #264441=ORIENTED_EDGE('',*,*,#194915,.T.); #264442=ORIENTED_EDGE('',*,*,#194912,.T.); #264443=ORIENTED_EDGE('',*,*,#194909,.T.); #264444=ORIENTED_EDGE('',*,*,#194968,.F.); #264445=ORIENTED_EDGE('',*,*,#194907,.F.); #264446=ORIENTED_EDGE('',*,*,#194911,.F.); #264447=ORIENTED_EDGE('',*,*,#194914,.F.); #264448=ORIENTED_EDGE('',*,*,#194917,.F.); #264449=ORIENTED_EDGE('',*,*,#194920,.F.); #264450=ORIENTED_EDGE('',*,*,#194923,.F.); #264451=ORIENTED_EDGE('',*,*,#194926,.F.); #264452=ORIENTED_EDGE('',*,*,#194929,.F.); #264453=ORIENTED_EDGE('',*,*,#194932,.F.); #264454=ORIENTED_EDGE('',*,*,#194935,.F.); #264455=ORIENTED_EDGE('',*,*,#194938,.F.); #264456=ORIENTED_EDGE('',*,*,#194941,.F.); #264457=ORIENTED_EDGE('',*,*,#194944,.F.); #264458=ORIENTED_EDGE('',*,*,#194947,.F.); #264459=ORIENTED_EDGE('',*,*,#194950,.F.); #264460=ORIENTED_EDGE('',*,*,#194953,.F.); #264461=ORIENTED_EDGE('',*,*,#194956,.F.); #264462=ORIENTED_EDGE('',*,*,#194959,.F.); #264463=ORIENTED_EDGE('',*,*,#194962,.F.); #264464=ORIENTED_EDGE('',*,*,#194965,.F.); #264465=ORIENTED_EDGE('',*,*,#194970,.T.); #264466=ORIENTED_EDGE('',*,*,#194971,.T.); #264467=ORIENTED_EDGE('',*,*,#194972,.F.); #264468=ORIENTED_EDGE('',*,*,#194973,.F.); #264469=ORIENTED_EDGE('',*,*,#194974,.T.); #264470=ORIENTED_EDGE('',*,*,#194973,.T.); #264471=ORIENTED_EDGE('',*,*,#194975,.F.); #264472=ORIENTED_EDGE('',*,*,#194976,.F.); #264473=ORIENTED_EDGE('',*,*,#194977,.T.); #264474=ORIENTED_EDGE('',*,*,#194976,.T.); #264475=ORIENTED_EDGE('',*,*,#194978,.F.); #264476=ORIENTED_EDGE('',*,*,#194979,.F.); #264477=ORIENTED_EDGE('',*,*,#194980,.T.); #264478=ORIENTED_EDGE('',*,*,#194979,.T.); #264479=ORIENTED_EDGE('',*,*,#194981,.F.); #264480=ORIENTED_EDGE('',*,*,#194982,.F.); #264481=ORIENTED_EDGE('',*,*,#194983,.T.); #264482=ORIENTED_EDGE('',*,*,#194982,.T.); #264483=ORIENTED_EDGE('',*,*,#194984,.F.); #264484=ORIENTED_EDGE('',*,*,#194985,.F.); #264485=ORIENTED_EDGE('',*,*,#194986,.T.); #264486=ORIENTED_EDGE('',*,*,#194985,.T.); #264487=ORIENTED_EDGE('',*,*,#194987,.F.); #264488=ORIENTED_EDGE('',*,*,#194988,.F.); #264489=ORIENTED_EDGE('',*,*,#194989,.T.); #264490=ORIENTED_EDGE('',*,*,#194988,.T.); #264491=ORIENTED_EDGE('',*,*,#194990,.F.); #264492=ORIENTED_EDGE('',*,*,#194991,.F.); #264493=ORIENTED_EDGE('',*,*,#194992,.T.); #264494=ORIENTED_EDGE('',*,*,#194991,.T.); #264495=ORIENTED_EDGE('',*,*,#194993,.F.); #264496=ORIENTED_EDGE('',*,*,#194994,.F.); #264497=ORIENTED_EDGE('',*,*,#194995,.T.); #264498=ORIENTED_EDGE('',*,*,#194994,.T.); #264499=ORIENTED_EDGE('',*,*,#194996,.F.); #264500=ORIENTED_EDGE('',*,*,#194997,.F.); #264501=ORIENTED_EDGE('',*,*,#194998,.T.); #264502=ORIENTED_EDGE('',*,*,#194997,.T.); #264503=ORIENTED_EDGE('',*,*,#194999,.F.); #264504=ORIENTED_EDGE('',*,*,#195000,.F.); #264505=ORIENTED_EDGE('',*,*,#195001,.T.); #264506=ORIENTED_EDGE('',*,*,#195000,.T.); #264507=ORIENTED_EDGE('',*,*,#195002,.F.); #264508=ORIENTED_EDGE('',*,*,#195003,.F.); #264509=ORIENTED_EDGE('',*,*,#195004,.T.); #264510=ORIENTED_EDGE('',*,*,#195003,.T.); #264511=ORIENTED_EDGE('',*,*,#195005,.F.); #264512=ORIENTED_EDGE('',*,*,#195006,.F.); #264513=ORIENTED_EDGE('',*,*,#195007,.T.); #264514=ORIENTED_EDGE('',*,*,#195006,.T.); #264515=ORIENTED_EDGE('',*,*,#195008,.F.); #264516=ORIENTED_EDGE('',*,*,#195009,.F.); #264517=ORIENTED_EDGE('',*,*,#195010,.T.); #264518=ORIENTED_EDGE('',*,*,#195009,.T.); #264519=ORIENTED_EDGE('',*,*,#195011,.F.); #264520=ORIENTED_EDGE('',*,*,#195012,.F.); #264521=ORIENTED_EDGE('',*,*,#195013,.T.); #264522=ORIENTED_EDGE('',*,*,#195012,.T.); #264523=ORIENTED_EDGE('',*,*,#195014,.F.); #264524=ORIENTED_EDGE('',*,*,#195015,.F.); #264525=ORIENTED_EDGE('',*,*,#195016,.T.); #264526=ORIENTED_EDGE('',*,*,#195015,.T.); #264527=ORIENTED_EDGE('',*,*,#195017,.F.); #264528=ORIENTED_EDGE('',*,*,#195018,.F.); #264529=ORIENTED_EDGE('',*,*,#195019,.T.); #264530=ORIENTED_EDGE('',*,*,#195018,.T.); #264531=ORIENTED_EDGE('',*,*,#195020,.F.); #264532=ORIENTED_EDGE('',*,*,#195021,.F.); #264533=ORIENTED_EDGE('',*,*,#195022,.T.); #264534=ORIENTED_EDGE('',*,*,#195021,.T.); #264535=ORIENTED_EDGE('',*,*,#195023,.F.); #264536=ORIENTED_EDGE('',*,*,#195024,.F.); #264537=ORIENTED_EDGE('',*,*,#195025,.T.); #264538=ORIENTED_EDGE('',*,*,#195024,.T.); #264539=ORIENTED_EDGE('',*,*,#195026,.F.); #264540=ORIENTED_EDGE('',*,*,#195027,.F.); #264541=ORIENTED_EDGE('',*,*,#195028,.T.); #264542=ORIENTED_EDGE('',*,*,#195027,.T.); #264543=ORIENTED_EDGE('',*,*,#195029,.F.); #264544=ORIENTED_EDGE('',*,*,#195030,.F.); #264545=ORIENTED_EDGE('',*,*,#195031,.T.); #264546=ORIENTED_EDGE('',*,*,#195030,.T.); #264547=ORIENTED_EDGE('',*,*,#195032,.F.); #264548=ORIENTED_EDGE('',*,*,#195033,.F.); #264549=ORIENTED_EDGE('',*,*,#195034,.T.); #264550=ORIENTED_EDGE('',*,*,#195033,.T.); #264551=ORIENTED_EDGE('',*,*,#195035,.F.); #264552=ORIENTED_EDGE('',*,*,#195036,.F.); #264553=ORIENTED_EDGE('',*,*,#195037,.T.); #264554=ORIENTED_EDGE('',*,*,#195036,.T.); #264555=ORIENTED_EDGE('',*,*,#195038,.F.); #264556=ORIENTED_EDGE('',*,*,#195039,.F.); #264557=ORIENTED_EDGE('',*,*,#195040,.T.); #264558=ORIENTED_EDGE('',*,*,#195039,.T.); #264559=ORIENTED_EDGE('',*,*,#195041,.F.); #264560=ORIENTED_EDGE('',*,*,#195042,.F.); #264561=ORIENTED_EDGE('',*,*,#195043,.T.); #264562=ORIENTED_EDGE('',*,*,#195042,.T.); #264563=ORIENTED_EDGE('',*,*,#195044,.F.); #264564=ORIENTED_EDGE('',*,*,#195045,.F.); #264565=ORIENTED_EDGE('',*,*,#195046,.T.); #264566=ORIENTED_EDGE('',*,*,#195045,.T.); #264567=ORIENTED_EDGE('',*,*,#195047,.F.); #264568=ORIENTED_EDGE('',*,*,#195048,.F.); #264569=ORIENTED_EDGE('',*,*,#195049,.T.); #264570=ORIENTED_EDGE('',*,*,#195048,.T.); #264571=ORIENTED_EDGE('',*,*,#195050,.F.); #264572=ORIENTED_EDGE('',*,*,#195051,.F.); #264573=ORIENTED_EDGE('',*,*,#195052,.T.); #264574=ORIENTED_EDGE('',*,*,#195051,.T.); #264575=ORIENTED_EDGE('',*,*,#195053,.F.); #264576=ORIENTED_EDGE('',*,*,#195054,.F.); #264577=ORIENTED_EDGE('',*,*,#195055,.T.); #264578=ORIENTED_EDGE('',*,*,#195054,.T.); #264579=ORIENTED_EDGE('',*,*,#195056,.F.); #264580=ORIENTED_EDGE('',*,*,#195057,.F.); #264581=ORIENTED_EDGE('',*,*,#195058,.T.); #264582=ORIENTED_EDGE('',*,*,#195057,.T.); #264583=ORIENTED_EDGE('',*,*,#195059,.F.); #264584=ORIENTED_EDGE('',*,*,#195060,.F.); #264585=ORIENTED_EDGE('',*,*,#195061,.T.); #264586=ORIENTED_EDGE('',*,*,#195060,.T.); #264587=ORIENTED_EDGE('',*,*,#195062,.F.); #264588=ORIENTED_EDGE('',*,*,#194971,.F.); #264589=ORIENTED_EDGE('',*,*,#195062,.T.); #264590=ORIENTED_EDGE('',*,*,#195059,.T.); #264591=ORIENTED_EDGE('',*,*,#195056,.T.); #264592=ORIENTED_EDGE('',*,*,#195053,.T.); #264593=ORIENTED_EDGE('',*,*,#195050,.T.); #264594=ORIENTED_EDGE('',*,*,#195047,.T.); #264595=ORIENTED_EDGE('',*,*,#195044,.T.); #264596=ORIENTED_EDGE('',*,*,#195041,.T.); #264597=ORIENTED_EDGE('',*,*,#195038,.T.); #264598=ORIENTED_EDGE('',*,*,#195035,.T.); #264599=ORIENTED_EDGE('',*,*,#195032,.T.); #264600=ORIENTED_EDGE('',*,*,#195029,.T.); #264601=ORIENTED_EDGE('',*,*,#195026,.T.); #264602=ORIENTED_EDGE('',*,*,#195023,.T.); #264603=ORIENTED_EDGE('',*,*,#195020,.T.); #264604=ORIENTED_EDGE('',*,*,#195017,.T.); #264605=ORIENTED_EDGE('',*,*,#195014,.T.); #264606=ORIENTED_EDGE('',*,*,#195011,.T.); #264607=ORIENTED_EDGE('',*,*,#195008,.T.); #264608=ORIENTED_EDGE('',*,*,#195005,.T.); #264609=ORIENTED_EDGE('',*,*,#195002,.T.); #264610=ORIENTED_EDGE('',*,*,#194999,.T.); #264611=ORIENTED_EDGE('',*,*,#194996,.T.); #264612=ORIENTED_EDGE('',*,*,#194993,.T.); #264613=ORIENTED_EDGE('',*,*,#194990,.T.); #264614=ORIENTED_EDGE('',*,*,#194987,.T.); #264615=ORIENTED_EDGE('',*,*,#194984,.T.); #264616=ORIENTED_EDGE('',*,*,#194981,.T.); #264617=ORIENTED_EDGE('',*,*,#194978,.T.); #264618=ORIENTED_EDGE('',*,*,#194975,.T.); #264619=ORIENTED_EDGE('',*,*,#194972,.T.); #264620=ORIENTED_EDGE('',*,*,#195061,.F.); #264621=ORIENTED_EDGE('',*,*,#194970,.F.); #264622=ORIENTED_EDGE('',*,*,#194974,.F.); #264623=ORIENTED_EDGE('',*,*,#194977,.F.); #264624=ORIENTED_EDGE('',*,*,#194980,.F.); #264625=ORIENTED_EDGE('',*,*,#194983,.F.); #264626=ORIENTED_EDGE('',*,*,#194986,.F.); #264627=ORIENTED_EDGE('',*,*,#194989,.F.); #264628=ORIENTED_EDGE('',*,*,#194992,.F.); #264629=ORIENTED_EDGE('',*,*,#194995,.F.); #264630=ORIENTED_EDGE('',*,*,#194998,.F.); #264631=ORIENTED_EDGE('',*,*,#195001,.F.); #264632=ORIENTED_EDGE('',*,*,#195004,.F.); #264633=ORIENTED_EDGE('',*,*,#195007,.F.); #264634=ORIENTED_EDGE('',*,*,#195010,.F.); #264635=ORIENTED_EDGE('',*,*,#195013,.F.); #264636=ORIENTED_EDGE('',*,*,#195016,.F.); #264637=ORIENTED_EDGE('',*,*,#195019,.F.); #264638=ORIENTED_EDGE('',*,*,#195022,.F.); #264639=ORIENTED_EDGE('',*,*,#195025,.F.); #264640=ORIENTED_EDGE('',*,*,#195028,.F.); #264641=ORIENTED_EDGE('',*,*,#195031,.F.); #264642=ORIENTED_EDGE('',*,*,#195034,.F.); #264643=ORIENTED_EDGE('',*,*,#195037,.F.); #264644=ORIENTED_EDGE('',*,*,#195040,.F.); #264645=ORIENTED_EDGE('',*,*,#195043,.F.); #264646=ORIENTED_EDGE('',*,*,#195046,.F.); #264647=ORIENTED_EDGE('',*,*,#195049,.F.); #264648=ORIENTED_EDGE('',*,*,#195052,.F.); #264649=ORIENTED_EDGE('',*,*,#195055,.F.); #264650=ORIENTED_EDGE('',*,*,#195058,.F.); #264651=ORIENTED_EDGE('',*,*,#195063,.T.); #264652=ORIENTED_EDGE('',*,*,#195064,.T.); #264653=ORIENTED_EDGE('',*,*,#195065,.F.); #264654=ORIENTED_EDGE('',*,*,#195066,.F.); #264655=ORIENTED_EDGE('',*,*,#195067,.T.); #264656=ORIENTED_EDGE('',*,*,#195066,.T.); #264657=ORIENTED_EDGE('',*,*,#195068,.F.); #264658=ORIENTED_EDGE('',*,*,#195069,.F.); #264659=ORIENTED_EDGE('',*,*,#195070,.T.); #264660=ORIENTED_EDGE('',*,*,#195069,.T.); #264661=ORIENTED_EDGE('',*,*,#195071,.F.); #264662=ORIENTED_EDGE('',*,*,#195072,.F.); #264663=ORIENTED_EDGE('',*,*,#195073,.T.); #264664=ORIENTED_EDGE('',*,*,#195072,.T.); #264665=ORIENTED_EDGE('',*,*,#195074,.F.); #264666=ORIENTED_EDGE('',*,*,#195075,.F.); #264667=ORIENTED_EDGE('',*,*,#195076,.T.); #264668=ORIENTED_EDGE('',*,*,#195075,.T.); #264669=ORIENTED_EDGE('',*,*,#195077,.F.); #264670=ORIENTED_EDGE('',*,*,#195078,.F.); #264671=ORIENTED_EDGE('',*,*,#195079,.T.); #264672=ORIENTED_EDGE('',*,*,#195078,.T.); #264673=ORIENTED_EDGE('',*,*,#195080,.F.); #264674=ORIENTED_EDGE('',*,*,#195081,.F.); #264675=ORIENTED_EDGE('',*,*,#195082,.T.); #264676=ORIENTED_EDGE('',*,*,#195081,.T.); #264677=ORIENTED_EDGE('',*,*,#195083,.F.); #264678=ORIENTED_EDGE('',*,*,#195084,.F.); #264679=ORIENTED_EDGE('',*,*,#195085,.T.); #264680=ORIENTED_EDGE('',*,*,#195084,.T.); #264681=ORIENTED_EDGE('',*,*,#195086,.F.); #264682=ORIENTED_EDGE('',*,*,#195087,.F.); #264683=ORIENTED_EDGE('',*,*,#195088,.T.); #264684=ORIENTED_EDGE('',*,*,#195087,.T.); #264685=ORIENTED_EDGE('',*,*,#195089,.F.); #264686=ORIENTED_EDGE('',*,*,#195090,.F.); #264687=ORIENTED_EDGE('',*,*,#195091,.T.); #264688=ORIENTED_EDGE('',*,*,#195090,.T.); #264689=ORIENTED_EDGE('',*,*,#195092,.F.); #264690=ORIENTED_EDGE('',*,*,#195093,.F.); #264691=ORIENTED_EDGE('',*,*,#195094,.T.); #264692=ORIENTED_EDGE('',*,*,#195093,.T.); #264693=ORIENTED_EDGE('',*,*,#195095,.F.); #264694=ORIENTED_EDGE('',*,*,#195096,.F.); #264695=ORIENTED_EDGE('',*,*,#195097,.T.); #264696=ORIENTED_EDGE('',*,*,#195096,.T.); #264697=ORIENTED_EDGE('',*,*,#195098,.F.); #264698=ORIENTED_EDGE('',*,*,#195099,.F.); #264699=ORIENTED_EDGE('',*,*,#195100,.T.); #264700=ORIENTED_EDGE('',*,*,#195099,.T.); #264701=ORIENTED_EDGE('',*,*,#195101,.F.); #264702=ORIENTED_EDGE('',*,*,#195102,.F.); #264703=ORIENTED_EDGE('',*,*,#195103,.T.); #264704=ORIENTED_EDGE('',*,*,#195102,.T.); #264705=ORIENTED_EDGE('',*,*,#195104,.F.); #264706=ORIENTED_EDGE('',*,*,#195105,.F.); #264707=ORIENTED_EDGE('',*,*,#195106,.T.); #264708=ORIENTED_EDGE('',*,*,#195105,.T.); #264709=ORIENTED_EDGE('',*,*,#195107,.F.); #264710=ORIENTED_EDGE('',*,*,#195108,.F.); #264711=ORIENTED_EDGE('',*,*,#195109,.T.); #264712=ORIENTED_EDGE('',*,*,#195108,.T.); #264713=ORIENTED_EDGE('',*,*,#195110,.F.); #264714=ORIENTED_EDGE('',*,*,#195111,.F.); #264715=ORIENTED_EDGE('',*,*,#195112,.T.); #264716=ORIENTED_EDGE('',*,*,#195111,.T.); #264717=ORIENTED_EDGE('',*,*,#195113,.F.); #264718=ORIENTED_EDGE('',*,*,#195114,.F.); #264719=ORIENTED_EDGE('',*,*,#195115,.T.); #264720=ORIENTED_EDGE('',*,*,#195114,.T.); #264721=ORIENTED_EDGE('',*,*,#195116,.F.); #264722=ORIENTED_EDGE('',*,*,#195117,.F.); #264723=ORIENTED_EDGE('',*,*,#195118,.T.); #264724=ORIENTED_EDGE('',*,*,#195117,.T.); #264725=ORIENTED_EDGE('',*,*,#195119,.F.); #264726=ORIENTED_EDGE('',*,*,#195120,.F.); #264727=ORIENTED_EDGE('',*,*,#195121,.T.); #264728=ORIENTED_EDGE('',*,*,#195120,.T.); #264729=ORIENTED_EDGE('',*,*,#195122,.F.); #264730=ORIENTED_EDGE('',*,*,#195123,.F.); #264731=ORIENTED_EDGE('',*,*,#195124,.T.); #264732=ORIENTED_EDGE('',*,*,#195123,.T.); #264733=ORIENTED_EDGE('',*,*,#195125,.F.); #264734=ORIENTED_EDGE('',*,*,#195126,.F.); #264735=ORIENTED_EDGE('',*,*,#195127,.T.); #264736=ORIENTED_EDGE('',*,*,#195126,.T.); #264737=ORIENTED_EDGE('',*,*,#195128,.F.); #264738=ORIENTED_EDGE('',*,*,#195064,.F.); #264739=ORIENTED_EDGE('',*,*,#195128,.T.); #264740=ORIENTED_EDGE('',*,*,#195125,.T.); #264741=ORIENTED_EDGE('',*,*,#195122,.T.); #264742=ORIENTED_EDGE('',*,*,#195119,.T.); #264743=ORIENTED_EDGE('',*,*,#195116,.T.); #264744=ORIENTED_EDGE('',*,*,#195113,.T.); #264745=ORIENTED_EDGE('',*,*,#195110,.T.); #264746=ORIENTED_EDGE('',*,*,#195107,.T.); #264747=ORIENTED_EDGE('',*,*,#195104,.T.); #264748=ORIENTED_EDGE('',*,*,#195101,.T.); #264749=ORIENTED_EDGE('',*,*,#195098,.T.); #264750=ORIENTED_EDGE('',*,*,#195095,.T.); #264751=ORIENTED_EDGE('',*,*,#195092,.T.); #264752=ORIENTED_EDGE('',*,*,#195089,.T.); #264753=ORIENTED_EDGE('',*,*,#195086,.T.); #264754=ORIENTED_EDGE('',*,*,#195083,.T.); #264755=ORIENTED_EDGE('',*,*,#195080,.T.); #264756=ORIENTED_EDGE('',*,*,#195077,.T.); #264757=ORIENTED_EDGE('',*,*,#195074,.T.); #264758=ORIENTED_EDGE('',*,*,#195071,.T.); #264759=ORIENTED_EDGE('',*,*,#195068,.T.); #264760=ORIENTED_EDGE('',*,*,#195065,.T.); #264761=ORIENTED_EDGE('',*,*,#195127,.F.); #264762=ORIENTED_EDGE('',*,*,#195063,.F.); #264763=ORIENTED_EDGE('',*,*,#195067,.F.); #264764=ORIENTED_EDGE('',*,*,#195070,.F.); #264765=ORIENTED_EDGE('',*,*,#195073,.F.); #264766=ORIENTED_EDGE('',*,*,#195076,.F.); #264767=ORIENTED_EDGE('',*,*,#195079,.F.); #264768=ORIENTED_EDGE('',*,*,#195082,.F.); #264769=ORIENTED_EDGE('',*,*,#195085,.F.); #264770=ORIENTED_EDGE('',*,*,#195088,.F.); #264771=ORIENTED_EDGE('',*,*,#195091,.F.); #264772=ORIENTED_EDGE('',*,*,#195094,.F.); #264773=ORIENTED_EDGE('',*,*,#195097,.F.); #264774=ORIENTED_EDGE('',*,*,#195100,.F.); #264775=ORIENTED_EDGE('',*,*,#195103,.F.); #264776=ORIENTED_EDGE('',*,*,#195106,.F.); #264777=ORIENTED_EDGE('',*,*,#195109,.F.); #264778=ORIENTED_EDGE('',*,*,#195112,.F.); #264779=ORIENTED_EDGE('',*,*,#195115,.F.); #264780=ORIENTED_EDGE('',*,*,#195118,.F.); #264781=ORIENTED_EDGE('',*,*,#195121,.F.); #264782=ORIENTED_EDGE('',*,*,#195124,.F.); #264783=ORIENTED_EDGE('',*,*,#195129,.T.); #264784=ORIENTED_EDGE('',*,*,#195130,.T.); #264785=ORIENTED_EDGE('',*,*,#195131,.F.); #264786=ORIENTED_EDGE('',*,*,#195132,.F.); #264787=ORIENTED_EDGE('',*,*,#195133,.T.); #264788=ORIENTED_EDGE('',*,*,#195132,.T.); #264789=ORIENTED_EDGE('',*,*,#195134,.F.); #264790=ORIENTED_EDGE('',*,*,#195135,.F.); #264791=ORIENTED_EDGE('',*,*,#195136,.T.); #264792=ORIENTED_EDGE('',*,*,#195135,.T.); #264793=ORIENTED_EDGE('',*,*,#195137,.F.); #264794=ORIENTED_EDGE('',*,*,#195138,.F.); #264795=ORIENTED_EDGE('',*,*,#195139,.T.); #264796=ORIENTED_EDGE('',*,*,#195138,.T.); #264797=ORIENTED_EDGE('',*,*,#195140,.F.); #264798=ORIENTED_EDGE('',*,*,#195141,.F.); #264799=ORIENTED_EDGE('',*,*,#195142,.T.); #264800=ORIENTED_EDGE('',*,*,#195141,.T.); #264801=ORIENTED_EDGE('',*,*,#195143,.F.); #264802=ORIENTED_EDGE('',*,*,#195144,.F.); #264803=ORIENTED_EDGE('',*,*,#195145,.T.); #264804=ORIENTED_EDGE('',*,*,#195144,.T.); #264805=ORIENTED_EDGE('',*,*,#195146,.F.); #264806=ORIENTED_EDGE('',*,*,#195147,.F.); #264807=ORIENTED_EDGE('',*,*,#195148,.T.); #264808=ORIENTED_EDGE('',*,*,#195147,.T.); #264809=ORIENTED_EDGE('',*,*,#195149,.F.); #264810=ORIENTED_EDGE('',*,*,#195150,.F.); #264811=ORIENTED_EDGE('',*,*,#195151,.T.); #264812=ORIENTED_EDGE('',*,*,#195150,.T.); #264813=ORIENTED_EDGE('',*,*,#195152,.F.); #264814=ORIENTED_EDGE('',*,*,#195153,.F.); #264815=ORIENTED_EDGE('',*,*,#195154,.T.); #264816=ORIENTED_EDGE('',*,*,#195153,.T.); #264817=ORIENTED_EDGE('',*,*,#195155,.F.); #264818=ORIENTED_EDGE('',*,*,#195156,.F.); #264819=ORIENTED_EDGE('',*,*,#195157,.T.); #264820=ORIENTED_EDGE('',*,*,#195156,.T.); #264821=ORIENTED_EDGE('',*,*,#195158,.F.); #264822=ORIENTED_EDGE('',*,*,#195159,.F.); #264823=ORIENTED_EDGE('',*,*,#195160,.T.); #264824=ORIENTED_EDGE('',*,*,#195159,.T.); #264825=ORIENTED_EDGE('',*,*,#195161,.F.); #264826=ORIENTED_EDGE('',*,*,#195162,.F.); #264827=ORIENTED_EDGE('',*,*,#195163,.T.); #264828=ORIENTED_EDGE('',*,*,#195162,.T.); #264829=ORIENTED_EDGE('',*,*,#195164,.F.); #264830=ORIENTED_EDGE('',*,*,#195165,.F.); #264831=ORIENTED_EDGE('',*,*,#195166,.T.); #264832=ORIENTED_EDGE('',*,*,#195165,.T.); #264833=ORIENTED_EDGE('',*,*,#195167,.F.); #264834=ORIENTED_EDGE('',*,*,#195168,.F.); #264835=ORIENTED_EDGE('',*,*,#195169,.T.); #264836=ORIENTED_EDGE('',*,*,#195168,.T.); #264837=ORIENTED_EDGE('',*,*,#195170,.F.); #264838=ORIENTED_EDGE('',*,*,#195171,.F.); #264839=ORIENTED_EDGE('',*,*,#195172,.T.); #264840=ORIENTED_EDGE('',*,*,#195171,.T.); #264841=ORIENTED_EDGE('',*,*,#195173,.F.); #264842=ORIENTED_EDGE('',*,*,#195174,.F.); #264843=ORIENTED_EDGE('',*,*,#195175,.T.); #264844=ORIENTED_EDGE('',*,*,#195174,.T.); #264845=ORIENTED_EDGE('',*,*,#195176,.F.); #264846=ORIENTED_EDGE('',*,*,#195177,.F.); #264847=ORIENTED_EDGE('',*,*,#195178,.T.); #264848=ORIENTED_EDGE('',*,*,#195177,.T.); #264849=ORIENTED_EDGE('',*,*,#195179,.F.); #264850=ORIENTED_EDGE('',*,*,#195180,.F.); #264851=ORIENTED_EDGE('',*,*,#195181,.T.); #264852=ORIENTED_EDGE('',*,*,#195180,.T.); #264853=ORIENTED_EDGE('',*,*,#195182,.F.); #264854=ORIENTED_EDGE('',*,*,#195183,.F.); #264855=ORIENTED_EDGE('',*,*,#195184,.T.); #264856=ORIENTED_EDGE('',*,*,#195183,.T.); #264857=ORIENTED_EDGE('',*,*,#195185,.F.); #264858=ORIENTED_EDGE('',*,*,#195186,.F.); #264859=ORIENTED_EDGE('',*,*,#195187,.T.); #264860=ORIENTED_EDGE('',*,*,#195186,.T.); #264861=ORIENTED_EDGE('',*,*,#195188,.F.); #264862=ORIENTED_EDGE('',*,*,#195130,.F.); #264863=ORIENTED_EDGE('',*,*,#195188,.T.); #264864=ORIENTED_EDGE('',*,*,#195185,.T.); #264865=ORIENTED_EDGE('',*,*,#195182,.T.); #264866=ORIENTED_EDGE('',*,*,#195179,.T.); #264867=ORIENTED_EDGE('',*,*,#195176,.T.); #264868=ORIENTED_EDGE('',*,*,#195173,.T.); #264869=ORIENTED_EDGE('',*,*,#195170,.T.); #264870=ORIENTED_EDGE('',*,*,#195167,.T.); #264871=ORIENTED_EDGE('',*,*,#195164,.T.); #264872=ORIENTED_EDGE('',*,*,#195161,.T.); #264873=ORIENTED_EDGE('',*,*,#195158,.T.); #264874=ORIENTED_EDGE('',*,*,#195155,.T.); #264875=ORIENTED_EDGE('',*,*,#195152,.T.); #264876=ORIENTED_EDGE('',*,*,#195149,.T.); #264877=ORIENTED_EDGE('',*,*,#195146,.T.); #264878=ORIENTED_EDGE('',*,*,#195143,.T.); #264879=ORIENTED_EDGE('',*,*,#195140,.T.); #264880=ORIENTED_EDGE('',*,*,#195137,.T.); #264881=ORIENTED_EDGE('',*,*,#195134,.T.); #264882=ORIENTED_EDGE('',*,*,#195131,.T.); #264883=ORIENTED_EDGE('',*,*,#195187,.F.); #264884=ORIENTED_EDGE('',*,*,#195129,.F.); #264885=ORIENTED_EDGE('',*,*,#195133,.F.); #264886=ORIENTED_EDGE('',*,*,#195136,.F.); #264887=ORIENTED_EDGE('',*,*,#195139,.F.); #264888=ORIENTED_EDGE('',*,*,#195142,.F.); #264889=ORIENTED_EDGE('',*,*,#195145,.F.); #264890=ORIENTED_EDGE('',*,*,#195148,.F.); #264891=ORIENTED_EDGE('',*,*,#195151,.F.); #264892=ORIENTED_EDGE('',*,*,#195154,.F.); #264893=ORIENTED_EDGE('',*,*,#195157,.F.); #264894=ORIENTED_EDGE('',*,*,#195160,.F.); #264895=ORIENTED_EDGE('',*,*,#195163,.F.); #264896=ORIENTED_EDGE('',*,*,#195166,.F.); #264897=ORIENTED_EDGE('',*,*,#195169,.F.); #264898=ORIENTED_EDGE('',*,*,#195172,.F.); #264899=ORIENTED_EDGE('',*,*,#195175,.F.); #264900=ORIENTED_EDGE('',*,*,#195178,.F.); #264901=ORIENTED_EDGE('',*,*,#195181,.F.); #264902=ORIENTED_EDGE('',*,*,#195184,.F.); #264903=ORIENTED_EDGE('',*,*,#195189,.F.); #264904=ORIENTED_EDGE('',*,*,#195190,.T.); #264905=ORIENTED_EDGE('',*,*,#195191,.F.); #264906=ORIENTED_EDGE('',*,*,#195190,.F.); #264907=ORIENTED_EDGE('',*,*,#195192,.F.); #264908=ORIENTED_EDGE('',*,*,#195193,.T.); #264909=ORIENTED_EDGE('',*,*,#195194,.F.); #264910=ORIENTED_EDGE('',*,*,#195193,.F.); #264911=ORIENTED_EDGE('',*,*,#195195,.F.); #264912=ORIENTED_EDGE('',*,*,#195196,.T.); #264913=ORIENTED_EDGE('',*,*,#195197,.F.); #264914=ORIENTED_EDGE('',*,*,#195196,.F.); #264915=ORIENTED_EDGE('',*,*,#195198,.F.); #264916=ORIENTED_EDGE('',*,*,#195199,.T.); #264917=ORIENTED_EDGE('',*,*,#195200,.F.); #264918=ORIENTED_EDGE('',*,*,#195199,.F.); #264919=ORIENTED_EDGE('',*,*,#195201,.F.); #264920=ORIENTED_EDGE('',*,*,#195202,.T.); #264921=ORIENTED_EDGE('',*,*,#195203,.F.); #264922=ORIENTED_EDGE('',*,*,#195202,.F.); #264923=ORIENTED_EDGE('',*,*,#195204,.F.); #264924=ORIENTED_EDGE('',*,*,#195205,.T.); #264925=ORIENTED_EDGE('',*,*,#195206,.F.); #264926=ORIENTED_EDGE('',*,*,#195205,.F.); #264927=ORIENTED_EDGE('',*,*,#195207,.F.); #264928=ORIENTED_EDGE('',*,*,#195208,.T.); #264929=ORIENTED_EDGE('',*,*,#195209,.F.); #264930=ORIENTED_EDGE('',*,*,#195208,.F.); #264931=ORIENTED_EDGE('',*,*,#195210,.F.); #264932=ORIENTED_EDGE('',*,*,#195211,.T.); #264933=ORIENTED_EDGE('',*,*,#195212,.F.); #264934=ORIENTED_EDGE('',*,*,#195211,.F.); #264935=ORIENTED_EDGE('',*,*,#195213,.F.); #264936=ORIENTED_EDGE('',*,*,#195214,.T.); #264937=ORIENTED_EDGE('',*,*,#195215,.F.); #264938=ORIENTED_EDGE('',*,*,#195214,.F.); #264939=ORIENTED_EDGE('',*,*,#195216,.F.); #264940=ORIENTED_EDGE('',*,*,#195217,.T.); #264941=ORIENTED_EDGE('',*,*,#195218,.F.); #264942=ORIENTED_EDGE('',*,*,#195217,.F.); #264943=ORIENTED_EDGE('',*,*,#195219,.F.); #264944=ORIENTED_EDGE('',*,*,#195220,.T.); #264945=ORIENTED_EDGE('',*,*,#195221,.F.); #264946=ORIENTED_EDGE('',*,*,#195220,.F.); #264947=ORIENTED_EDGE('',*,*,#195222,.F.); #264948=ORIENTED_EDGE('',*,*,#195223,.T.); #264949=ORIENTED_EDGE('',*,*,#195224,.F.); #264950=ORIENTED_EDGE('',*,*,#195223,.F.); #264951=ORIENTED_EDGE('',*,*,#195225,.F.); #264952=ORIENTED_EDGE('',*,*,#195226,.T.); #264953=ORIENTED_EDGE('',*,*,#195227,.F.); #264954=ORIENTED_EDGE('',*,*,#195226,.F.); #264955=ORIENTED_EDGE('',*,*,#195228,.F.); #264956=ORIENTED_EDGE('',*,*,#195229,.T.); #264957=ORIENTED_EDGE('',*,*,#195230,.F.); #264958=ORIENTED_EDGE('',*,*,#195229,.F.); #264959=ORIENTED_EDGE('',*,*,#195231,.F.); #264960=ORIENTED_EDGE('',*,*,#195232,.T.); #264961=ORIENTED_EDGE('',*,*,#195233,.F.); #264962=ORIENTED_EDGE('',*,*,#195232,.F.); #264963=ORIENTED_EDGE('',*,*,#195234,.F.); #264964=ORIENTED_EDGE('',*,*,#195235,.T.); #264965=ORIENTED_EDGE('',*,*,#195236,.F.); #264966=ORIENTED_EDGE('',*,*,#195235,.F.); #264967=ORIENTED_EDGE('',*,*,#195237,.F.); #264968=ORIENTED_EDGE('',*,*,#195238,.T.); #264969=ORIENTED_EDGE('',*,*,#195239,.F.); #264970=ORIENTED_EDGE('',*,*,#195238,.F.); #264971=ORIENTED_EDGE('',*,*,#195240,.F.); #264972=ORIENTED_EDGE('',*,*,#195241,.T.); #264973=ORIENTED_EDGE('',*,*,#195242,.F.); #264974=ORIENTED_EDGE('',*,*,#195241,.F.); #264975=ORIENTED_EDGE('',*,*,#195243,.F.); #264976=ORIENTED_EDGE('',*,*,#195244,.T.); #264977=ORIENTED_EDGE('',*,*,#195245,.F.); #264978=ORIENTED_EDGE('',*,*,#195244,.F.); #264979=ORIENTED_EDGE('',*,*,#195246,.F.); #264980=ORIENTED_EDGE('',*,*,#195247,.T.); #264981=ORIENTED_EDGE('',*,*,#195248,.F.); #264982=ORIENTED_EDGE('',*,*,#195247,.F.); #264983=ORIENTED_EDGE('',*,*,#195249,.F.); #264984=ORIENTED_EDGE('',*,*,#195250,.T.); #264985=ORIENTED_EDGE('',*,*,#195251,.F.); #264986=ORIENTED_EDGE('',*,*,#195250,.F.); #264987=ORIENTED_EDGE('',*,*,#195252,.F.); #264988=ORIENTED_EDGE('',*,*,#195253,.T.); #264989=ORIENTED_EDGE('',*,*,#195254,.F.); #264990=ORIENTED_EDGE('',*,*,#195253,.F.); #264991=ORIENTED_EDGE('',*,*,#195255,.F.); #264992=ORIENTED_EDGE('',*,*,#195256,.T.); #264993=ORIENTED_EDGE('',*,*,#195257,.F.); #264994=ORIENTED_EDGE('',*,*,#195256,.F.); #264995=ORIENTED_EDGE('',*,*,#195258,.F.); #264996=ORIENTED_EDGE('',*,*,#195259,.T.); #264997=ORIENTED_EDGE('',*,*,#195260,.F.); #264998=ORIENTED_EDGE('',*,*,#195259,.F.); #264999=ORIENTED_EDGE('',*,*,#195261,.F.); #265000=ORIENTED_EDGE('',*,*,#195262,.T.); #265001=ORIENTED_EDGE('',*,*,#195263,.F.); #265002=ORIENTED_EDGE('',*,*,#195262,.F.); #265003=ORIENTED_EDGE('',*,*,#195264,.F.); #265004=ORIENTED_EDGE('',*,*,#195265,.T.); #265005=ORIENTED_EDGE('',*,*,#195266,.F.); #265006=ORIENTED_EDGE('',*,*,#195265,.F.); #265007=ORIENTED_EDGE('',*,*,#195267,.F.); #265008=ORIENTED_EDGE('',*,*,#195268,.T.); #265009=ORIENTED_EDGE('',*,*,#195269,.F.); #265010=ORIENTED_EDGE('',*,*,#195268,.F.); #265011=ORIENTED_EDGE('',*,*,#195270,.F.); #265012=ORIENTED_EDGE('',*,*,#195271,.T.); #265013=ORIENTED_EDGE('',*,*,#195272,.F.); #265014=ORIENTED_EDGE('',*,*,#195271,.F.); #265015=ORIENTED_EDGE('',*,*,#195273,.F.); #265016=ORIENTED_EDGE('',*,*,#195274,.T.); #265017=ORIENTED_EDGE('',*,*,#195275,.F.); #265018=ORIENTED_EDGE('',*,*,#195274,.F.); #265019=ORIENTED_EDGE('',*,*,#195276,.F.); #265020=ORIENTED_EDGE('',*,*,#195277,.T.); #265021=ORIENTED_EDGE('',*,*,#195278,.F.); #265022=ORIENTED_EDGE('',*,*,#195277,.F.); #265023=ORIENTED_EDGE('',*,*,#195279,.F.); #265024=ORIENTED_EDGE('',*,*,#195280,.T.); #265025=ORIENTED_EDGE('',*,*,#195281,.F.); #265026=ORIENTED_EDGE('',*,*,#195280,.F.); #265027=ORIENTED_EDGE('',*,*,#195282,.F.); #265028=ORIENTED_EDGE('',*,*,#195283,.T.); #265029=ORIENTED_EDGE('',*,*,#195284,.F.); #265030=ORIENTED_EDGE('',*,*,#195283,.F.); #265031=ORIENTED_EDGE('',*,*,#195285,.F.); #265032=ORIENTED_EDGE('',*,*,#195286,.T.); #265033=ORIENTED_EDGE('',*,*,#195287,.F.); #265034=ORIENTED_EDGE('',*,*,#195286,.F.); #265035=ORIENTED_EDGE('',*,*,#195288,.F.); #265036=ORIENTED_EDGE('',*,*,#195289,.T.); #265037=ORIENTED_EDGE('',*,*,#195290,.F.); #265038=ORIENTED_EDGE('',*,*,#195289,.F.); #265039=ORIENTED_EDGE('',*,*,#195291,.F.); #265040=ORIENTED_EDGE('',*,*,#195292,.T.); #265041=ORIENTED_EDGE('',*,*,#195293,.F.); #265042=ORIENTED_EDGE('',*,*,#195292,.F.); #265043=ORIENTED_EDGE('',*,*,#195294,.F.); #265044=ORIENTED_EDGE('',*,*,#195295,.T.); #265045=ORIENTED_EDGE('',*,*,#195296,.F.); #265046=ORIENTED_EDGE('',*,*,#195295,.F.); #265047=ORIENTED_EDGE('',*,*,#195297,.F.); #265048=ORIENTED_EDGE('',*,*,#195298,.T.); #265049=ORIENTED_EDGE('',*,*,#195299,.F.); #265050=ORIENTED_EDGE('',*,*,#195298,.F.); #265051=ORIENTED_EDGE('',*,*,#195300,.F.); #265052=ORIENTED_EDGE('',*,*,#195301,.T.); #265053=ORIENTED_EDGE('',*,*,#195302,.F.); #265054=ORIENTED_EDGE('',*,*,#195301,.F.); #265055=ORIENTED_EDGE('',*,*,#195303,.F.); #265056=ORIENTED_EDGE('',*,*,#195304,.T.); #265057=ORIENTED_EDGE('',*,*,#195305,.F.); #265058=ORIENTED_EDGE('',*,*,#195304,.F.); #265059=ORIENTED_EDGE('',*,*,#195306,.F.); #265060=ORIENTED_EDGE('',*,*,#195307,.T.); #265061=ORIENTED_EDGE('',*,*,#195308,.F.); #265062=ORIENTED_EDGE('',*,*,#195307,.F.); #265063=ORIENTED_EDGE('',*,*,#195309,.F.); #265064=ORIENTED_EDGE('',*,*,#195310,.T.); #265065=ORIENTED_EDGE('',*,*,#195311,.F.); #265066=ORIENTED_EDGE('',*,*,#195310,.F.); #265067=ORIENTED_EDGE('',*,*,#195312,.F.); #265068=ORIENTED_EDGE('',*,*,#195313,.T.); #265069=ORIENTED_EDGE('',*,*,#195314,.F.); #265070=ORIENTED_EDGE('',*,*,#195313,.F.); #265071=ORIENTED_EDGE('',*,*,#195315,.F.); #265072=ORIENTED_EDGE('',*,*,#195316,.T.); #265073=ORIENTED_EDGE('',*,*,#195317,.F.); #265074=ORIENTED_EDGE('',*,*,#195316,.F.); #265075=ORIENTED_EDGE('',*,*,#195318,.F.); #265076=ORIENTED_EDGE('',*,*,#195319,.T.); #265077=ORIENTED_EDGE('',*,*,#195320,.F.); #265078=ORIENTED_EDGE('',*,*,#195319,.F.); #265079=ORIENTED_EDGE('',*,*,#195321,.F.); #265080=ORIENTED_EDGE('',*,*,#195322,.T.); #265081=ORIENTED_EDGE('',*,*,#195323,.F.); #265082=ORIENTED_EDGE('',*,*,#195322,.F.); #265083=ORIENTED_EDGE('',*,*,#195324,.F.); #265084=ORIENTED_EDGE('',*,*,#195325,.T.); #265085=ORIENTED_EDGE('',*,*,#195326,.F.); #265086=ORIENTED_EDGE('',*,*,#195325,.F.); #265087=ORIENTED_EDGE('',*,*,#195327,.F.); #265088=ORIENTED_EDGE('',*,*,#195328,.T.); #265089=ORIENTED_EDGE('',*,*,#195329,.F.); #265090=ORIENTED_EDGE('',*,*,#195328,.F.); #265091=ORIENTED_EDGE('',*,*,#195330,.F.); #265092=ORIENTED_EDGE('',*,*,#195331,.T.); #265093=ORIENTED_EDGE('',*,*,#195332,.F.); #265094=ORIENTED_EDGE('',*,*,#195331,.F.); #265095=ORIENTED_EDGE('',*,*,#195333,.F.); #265096=ORIENTED_EDGE('',*,*,#195334,.T.); #265097=ORIENTED_EDGE('',*,*,#195335,.F.); #265098=ORIENTED_EDGE('',*,*,#195334,.F.); #265099=ORIENTED_EDGE('',*,*,#195336,.F.); #265100=ORIENTED_EDGE('',*,*,#195337,.T.); #265101=ORIENTED_EDGE('',*,*,#195338,.F.); #265102=ORIENTED_EDGE('',*,*,#195337,.F.); #265103=ORIENTED_EDGE('',*,*,#195339,.F.); #265104=ORIENTED_EDGE('',*,*,#195340,.T.); #265105=ORIENTED_EDGE('',*,*,#195341,.F.); #265106=ORIENTED_EDGE('',*,*,#195340,.F.); #265107=ORIENTED_EDGE('',*,*,#195342,.F.); #265108=ORIENTED_EDGE('',*,*,#195343,.T.); #265109=ORIENTED_EDGE('',*,*,#195344,.F.); #265110=ORIENTED_EDGE('',*,*,#195343,.F.); #265111=ORIENTED_EDGE('',*,*,#195345,.F.); #265112=ORIENTED_EDGE('',*,*,#195346,.T.); #265113=ORIENTED_EDGE('',*,*,#195347,.F.); #265114=ORIENTED_EDGE('',*,*,#195346,.F.); #265115=ORIENTED_EDGE('',*,*,#195348,.F.); #265116=ORIENTED_EDGE('',*,*,#195349,.T.); #265117=ORIENTED_EDGE('',*,*,#195350,.F.); #265118=ORIENTED_EDGE('',*,*,#195349,.F.); #265119=ORIENTED_EDGE('',*,*,#195351,.F.); #265120=ORIENTED_EDGE('',*,*,#195352,.T.); #265121=ORIENTED_EDGE('',*,*,#195353,.F.); #265122=ORIENTED_EDGE('',*,*,#195352,.F.); #265123=ORIENTED_EDGE('',*,*,#195354,.F.); #265124=ORIENTED_EDGE('',*,*,#195355,.T.); #265125=ORIENTED_EDGE('',*,*,#195356,.F.); #265126=ORIENTED_EDGE('',*,*,#195355,.F.); #265127=ORIENTED_EDGE('',*,*,#195357,.F.); #265128=ORIENTED_EDGE('',*,*,#195358,.T.); #265129=ORIENTED_EDGE('',*,*,#195359,.F.); #265130=ORIENTED_EDGE('',*,*,#195358,.F.); #265131=ORIENTED_EDGE('',*,*,#195360,.F.); #265132=ORIENTED_EDGE('',*,*,#195361,.T.); #265133=ORIENTED_EDGE('',*,*,#195362,.F.); #265134=ORIENTED_EDGE('',*,*,#195361,.F.); #265135=ORIENTED_EDGE('',*,*,#195363,.F.); #265136=ORIENTED_EDGE('',*,*,#195364,.T.); #265137=ORIENTED_EDGE('',*,*,#195365,.F.); #265138=ORIENTED_EDGE('',*,*,#195364,.F.); #265139=ORIENTED_EDGE('',*,*,#195366,.F.); #265140=ORIENTED_EDGE('',*,*,#195367,.T.); #265141=ORIENTED_EDGE('',*,*,#195368,.F.); #265142=ORIENTED_EDGE('',*,*,#195367,.F.); #265143=ORIENTED_EDGE('',*,*,#195369,.F.); #265144=ORIENTED_EDGE('',*,*,#195370,.T.); #265145=ORIENTED_EDGE('',*,*,#195371,.F.); #265146=ORIENTED_EDGE('',*,*,#195370,.F.); #265147=ORIENTED_EDGE('',*,*,#195372,.F.); #265148=ORIENTED_EDGE('',*,*,#195373,.T.); #265149=ORIENTED_EDGE('',*,*,#195374,.F.); #265150=ORIENTED_EDGE('',*,*,#195373,.F.); #265151=ORIENTED_EDGE('',*,*,#195375,.F.); #265152=ORIENTED_EDGE('',*,*,#195376,.T.); #265153=ORIENTED_EDGE('',*,*,#195377,.F.); #265154=ORIENTED_EDGE('',*,*,#195376,.F.); #265155=ORIENTED_EDGE('',*,*,#195378,.F.); #265156=ORIENTED_EDGE('',*,*,#195379,.T.); #265157=ORIENTED_EDGE('',*,*,#195380,.F.); #265158=ORIENTED_EDGE('',*,*,#195379,.F.); #265159=ORIENTED_EDGE('',*,*,#195381,.F.); #265160=ORIENTED_EDGE('',*,*,#195382,.F.); #265161=ORIENTED_EDGE('',*,*,#195383,.F.); #265162=ORIENTED_EDGE('',*,*,#195384,.T.); #265163=ORIENTED_EDGE('',*,*,#195385,.F.); #265164=ORIENTED_EDGE('',*,*,#195384,.F.); #265165=ORIENTED_EDGE('',*,*,#195386,.F.); #265166=ORIENTED_EDGE('',*,*,#195387,.T.); #265167=ORIENTED_EDGE('',*,*,#195388,.F.); #265168=ORIENTED_EDGE('',*,*,#195387,.F.); #265169=ORIENTED_EDGE('',*,*,#195389,.F.); #265170=ORIENTED_EDGE('',*,*,#195390,.T.); #265171=ORIENTED_EDGE('',*,*,#195391,.F.); #265172=ORIENTED_EDGE('',*,*,#195390,.F.); #265173=ORIENTED_EDGE('',*,*,#195392,.F.); #265174=ORIENTED_EDGE('',*,*,#195382,.T.); #265175=ORIENTED_EDGE('',*,*,#195393,.F.); #265176=ORIENTED_EDGE('',*,*,#195394,.F.); #265177=ORIENTED_EDGE('',*,*,#195395,.F.); #265178=ORIENTED_EDGE('',*,*,#195396,.T.); #265179=ORIENTED_EDGE('',*,*,#195397,.F.); #265180=ORIENTED_EDGE('',*,*,#195396,.F.); #265181=ORIENTED_EDGE('',*,*,#195398,.F.); #265182=ORIENTED_EDGE('',*,*,#195399,.T.); #265183=ORIENTED_EDGE('',*,*,#195400,.F.); #265184=ORIENTED_EDGE('',*,*,#195399,.F.); #265185=ORIENTED_EDGE('',*,*,#195401,.F.); #265186=ORIENTED_EDGE('',*,*,#195402,.T.); #265187=ORIENTED_EDGE('',*,*,#195403,.F.); #265188=ORIENTED_EDGE('',*,*,#195402,.F.); #265189=ORIENTED_EDGE('',*,*,#195404,.F.); #265190=ORIENTED_EDGE('',*,*,#195394,.T.); #265191=ORIENTED_EDGE('',*,*,#195405,.F.); #265192=ORIENTED_EDGE('',*,*,#195406,.T.); #265193=ORIENTED_EDGE('',*,*,#195407,.F.); #265194=ORIENTED_EDGE('',*,*,#195406,.F.); #265195=ORIENTED_EDGE('',*,*,#195408,.F.); #265196=ORIENTED_EDGE('',*,*,#195409,.T.); #265197=ORIENTED_EDGE('',*,*,#195410,.F.); #265198=ORIENTED_EDGE('',*,*,#195409,.F.); #265199=ORIENTED_EDGE('',*,*,#195411,.F.); #265200=ORIENTED_EDGE('',*,*,#195412,.F.); #265201=ORIENTED_EDGE('',*,*,#195413,.F.); #265202=ORIENTED_EDGE('',*,*,#195414,.T.); #265203=ORIENTED_EDGE('',*,*,#195415,.F.); #265204=ORIENTED_EDGE('',*,*,#195414,.F.); #265205=ORIENTED_EDGE('',*,*,#195416,.F.); #265206=ORIENTED_EDGE('',*,*,#195417,.T.); #265207=ORIENTED_EDGE('',*,*,#195418,.F.); #265208=ORIENTED_EDGE('',*,*,#195417,.F.); #265209=ORIENTED_EDGE('',*,*,#195419,.F.); #265210=ORIENTED_EDGE('',*,*,#195420,.T.); #265211=ORIENTED_EDGE('',*,*,#195421,.F.); #265212=ORIENTED_EDGE('',*,*,#195420,.F.); #265213=ORIENTED_EDGE('',*,*,#195422,.F.); #265214=ORIENTED_EDGE('',*,*,#195412,.T.); #265215=ORIENTED_EDGE('',*,*,#195423,.F.); #265216=ORIENTED_EDGE('',*,*,#195424,.T.); #265217=ORIENTED_EDGE('',*,*,#195425,.F.); #265218=ORIENTED_EDGE('',*,*,#195424,.F.); #265219=ORIENTED_EDGE('',*,*,#195426,.F.); #265220=ORIENTED_EDGE('',*,*,#195427,.T.); #265221=ORIENTED_EDGE('',*,*,#195428,.F.); #265222=ORIENTED_EDGE('',*,*,#195427,.F.); #265223=ORIENTED_EDGE('',*,*,#195429,.F.); #265224=ORIENTED_EDGE('',*,*,#195430,.T.); #265225=ORIENTED_EDGE('',*,*,#195431,.F.); #265226=ORIENTED_EDGE('',*,*,#195430,.F.); #265227=ORIENTED_EDGE('',*,*,#195432,.F.); #265228=ORIENTED_EDGE('',*,*,#195433,.T.); #265229=ORIENTED_EDGE('',*,*,#195434,.F.); #265230=ORIENTED_EDGE('',*,*,#195433,.F.); #265231=ORIENTED_EDGE('',*,*,#195435,.F.); #265232=ORIENTED_EDGE('',*,*,#195436,.T.); #265233=ORIENTED_EDGE('',*,*,#195437,.F.); #265234=ORIENTED_EDGE('',*,*,#195436,.F.); #265235=ORIENTED_EDGE('',*,*,#195438,.F.); #265236=ORIENTED_EDGE('',*,*,#195439,.F.); #265237=ORIENTED_EDGE('',*,*,#195440,.F.); #265238=ORIENTED_EDGE('',*,*,#195441,.T.); #265239=ORIENTED_EDGE('',*,*,#195442,.F.); #265240=ORIENTED_EDGE('',*,*,#195441,.F.); #265241=ORIENTED_EDGE('',*,*,#195443,.F.); #265242=ORIENTED_EDGE('',*,*,#195444,.T.); #265243=ORIENTED_EDGE('',*,*,#195445,.F.); #265244=ORIENTED_EDGE('',*,*,#195444,.F.); #265245=ORIENTED_EDGE('',*,*,#195446,.F.); #265246=ORIENTED_EDGE('',*,*,#195447,.T.); #265247=ORIENTED_EDGE('',*,*,#195448,.F.); #265248=ORIENTED_EDGE('',*,*,#195447,.F.); #265249=ORIENTED_EDGE('',*,*,#195449,.F.); #265250=ORIENTED_EDGE('',*,*,#195439,.T.); #265251=ORIENTED_EDGE('',*,*,#195450,.F.); #265252=ORIENTED_EDGE('',*,*,#195451,.T.); #265253=ORIENTED_EDGE('',*,*,#195452,.F.); #265254=ORIENTED_EDGE('',*,*,#195451,.F.); #265255=ORIENTED_EDGE('',*,*,#195453,.F.); #265256=ORIENTED_EDGE('',*,*,#195454,.F.); #265257=ORIENTED_EDGE('',*,*,#195455,.F.); #265258=ORIENTED_EDGE('',*,*,#195456,.T.); #265259=ORIENTED_EDGE('',*,*,#195457,.F.); #265260=ORIENTED_EDGE('',*,*,#195456,.F.); #265261=ORIENTED_EDGE('',*,*,#195458,.F.); #265262=ORIENTED_EDGE('',*,*,#195459,.T.); #265263=ORIENTED_EDGE('',*,*,#195460,.F.); #265264=ORIENTED_EDGE('',*,*,#195459,.F.); #265265=ORIENTED_EDGE('',*,*,#195461,.F.); #265266=ORIENTED_EDGE('',*,*,#195462,.T.); #265267=ORIENTED_EDGE('',*,*,#195463,.F.); #265268=ORIENTED_EDGE('',*,*,#195462,.F.); #265269=ORIENTED_EDGE('',*,*,#195464,.F.); #265270=ORIENTED_EDGE('',*,*,#195454,.T.); #265271=ORIENTED_EDGE('',*,*,#195465,.F.); #265272=ORIENTED_EDGE('',*,*,#195466,.F.); #265273=ORIENTED_EDGE('',*,*,#195467,.F.); #265274=ORIENTED_EDGE('',*,*,#195468,.T.); #265275=ORIENTED_EDGE('',*,*,#195469,.F.); #265276=ORIENTED_EDGE('',*,*,#195468,.F.); #265277=ORIENTED_EDGE('',*,*,#195470,.F.); #265278=ORIENTED_EDGE('',*,*,#195471,.T.); #265279=ORIENTED_EDGE('',*,*,#195472,.F.); #265280=ORIENTED_EDGE('',*,*,#195471,.F.); #265281=ORIENTED_EDGE('',*,*,#195473,.F.); #265282=ORIENTED_EDGE('',*,*,#195474,.T.); #265283=ORIENTED_EDGE('',*,*,#195475,.F.); #265284=ORIENTED_EDGE('',*,*,#195474,.F.); #265285=ORIENTED_EDGE('',*,*,#195476,.F.); #265286=ORIENTED_EDGE('',*,*,#195466,.T.); #265287=ORIENTED_EDGE('',*,*,#195477,.F.); #265288=ORIENTED_EDGE('',*,*,#195478,.T.); #265289=ORIENTED_EDGE('',*,*,#195479,.F.); #265290=ORIENTED_EDGE('',*,*,#195478,.F.); #265291=ORIENTED_EDGE('',*,*,#195480,.F.); #265292=ORIENTED_EDGE('',*,*,#195481,.T.); #265293=ORIENTED_EDGE('',*,*,#195482,.F.); #265294=ORIENTED_EDGE('',*,*,#195481,.F.); #265295=ORIENTED_EDGE('',*,*,#195483,.F.); #265296=ORIENTED_EDGE('',*,*,#195484,.T.); #265297=ORIENTED_EDGE('',*,*,#195485,.F.); #265298=ORIENTED_EDGE('',*,*,#195484,.F.); #265299=ORIENTED_EDGE('',*,*,#195486,.F.); #265300=ORIENTED_EDGE('',*,*,#195487,.T.); #265301=ORIENTED_EDGE('',*,*,#195488,.F.); #265302=ORIENTED_EDGE('',*,*,#195487,.F.); #265303=ORIENTED_EDGE('',*,*,#195489,.F.); #265304=ORIENTED_EDGE('',*,*,#195490,.T.); #265305=ORIENTED_EDGE('',*,*,#195491,.F.); #265306=ORIENTED_EDGE('',*,*,#195490,.F.); #265307=ORIENTED_EDGE('',*,*,#195492,.F.); #265308=ORIENTED_EDGE('',*,*,#195493,.T.); #265309=ORIENTED_EDGE('',*,*,#195494,.F.); #265310=ORIENTED_EDGE('',*,*,#195493,.F.); #265311=ORIENTED_EDGE('',*,*,#195495,.F.); #265312=ORIENTED_EDGE('',*,*,#195496,.T.); #265313=ORIENTED_EDGE('',*,*,#195497,.F.); #265314=ORIENTED_EDGE('',*,*,#195496,.F.); #265315=ORIENTED_EDGE('',*,*,#195498,.F.); #265316=ORIENTED_EDGE('',*,*,#195499,.T.); #265317=ORIENTED_EDGE('',*,*,#195500,.F.); #265318=ORIENTED_EDGE('',*,*,#195499,.F.); #265319=ORIENTED_EDGE('',*,*,#195501,.F.); #265320=ORIENTED_EDGE('',*,*,#195502,.T.); #265321=ORIENTED_EDGE('',*,*,#195503,.F.); #265322=ORIENTED_EDGE('',*,*,#195502,.F.); #265323=ORIENTED_EDGE('',*,*,#195504,.F.); #265324=ORIENTED_EDGE('',*,*,#195505,.T.); #265325=ORIENTED_EDGE('',*,*,#195506,.F.); #265326=ORIENTED_EDGE('',*,*,#195505,.F.); #265327=ORIENTED_EDGE('',*,*,#195507,.F.); #265328=ORIENTED_EDGE('',*,*,#195508,.T.); #265329=ORIENTED_EDGE('',*,*,#195509,.F.); #265330=ORIENTED_EDGE('',*,*,#195508,.F.); #265331=ORIENTED_EDGE('',*,*,#195510,.F.); #265332=ORIENTED_EDGE('',*,*,#195511,.T.); #265333=ORIENTED_EDGE('',*,*,#195512,.F.); #265334=ORIENTED_EDGE('',*,*,#195511,.F.); #265335=ORIENTED_EDGE('',*,*,#195513,.F.); #265336=ORIENTED_EDGE('',*,*,#195514,.T.); #265337=ORIENTED_EDGE('',*,*,#195515,.F.); #265338=ORIENTED_EDGE('',*,*,#195514,.F.); #265339=ORIENTED_EDGE('',*,*,#195516,.F.); #265340=ORIENTED_EDGE('',*,*,#195517,.T.); #265341=ORIENTED_EDGE('',*,*,#195518,.F.); #265342=ORIENTED_EDGE('',*,*,#195517,.F.); #265343=ORIENTED_EDGE('',*,*,#195519,.F.); #265344=ORIENTED_EDGE('',*,*,#195520,.T.); #265345=ORIENTED_EDGE('',*,*,#195521,.F.); #265346=ORIENTED_EDGE('',*,*,#195520,.F.); #265347=ORIENTED_EDGE('',*,*,#195522,.F.); #265348=ORIENTED_EDGE('',*,*,#195523,.T.); #265349=ORIENTED_EDGE('',*,*,#195524,.F.); #265350=ORIENTED_EDGE('',*,*,#195523,.F.); #265351=ORIENTED_EDGE('',*,*,#195525,.F.); #265352=ORIENTED_EDGE('',*,*,#195526,.T.); #265353=ORIENTED_EDGE('',*,*,#195527,.F.); #265354=ORIENTED_EDGE('',*,*,#195526,.F.); #265355=ORIENTED_EDGE('',*,*,#195528,.F.); #265356=ORIENTED_EDGE('',*,*,#195529,.T.); #265357=ORIENTED_EDGE('',*,*,#195530,.F.); #265358=ORIENTED_EDGE('',*,*,#195529,.F.); #265359=ORIENTED_EDGE('',*,*,#195531,.F.); #265360=ORIENTED_EDGE('',*,*,#195532,.T.); #265361=ORIENTED_EDGE('',*,*,#195533,.F.); #265362=ORIENTED_EDGE('',*,*,#195532,.F.); #265363=ORIENTED_EDGE('',*,*,#195534,.F.); #265364=ORIENTED_EDGE('',*,*,#195535,.T.); #265365=ORIENTED_EDGE('',*,*,#195536,.F.); #265366=ORIENTED_EDGE('',*,*,#195535,.F.); #265367=ORIENTED_EDGE('',*,*,#195537,.F.); #265368=ORIENTED_EDGE('',*,*,#195538,.T.); #265369=ORIENTED_EDGE('',*,*,#195539,.F.); #265370=ORIENTED_EDGE('',*,*,#195538,.F.); #265371=ORIENTED_EDGE('',*,*,#195540,.F.); #265372=ORIENTED_EDGE('',*,*,#195541,.T.); #265373=ORIENTED_EDGE('',*,*,#195542,.F.); #265374=ORIENTED_EDGE('',*,*,#195541,.F.); #265375=ORIENTED_EDGE('',*,*,#195543,.F.); #265376=ORIENTED_EDGE('',*,*,#195544,.T.); #265377=ORIENTED_EDGE('',*,*,#195545,.F.); #265378=ORIENTED_EDGE('',*,*,#195544,.F.); #265379=ORIENTED_EDGE('',*,*,#195546,.F.); #265380=ORIENTED_EDGE('',*,*,#195547,.T.); #265381=ORIENTED_EDGE('',*,*,#195548,.F.); #265382=ORIENTED_EDGE('',*,*,#195547,.F.); #265383=ORIENTED_EDGE('',*,*,#195549,.F.); #265384=ORIENTED_EDGE('',*,*,#195550,.T.); #265385=ORIENTED_EDGE('',*,*,#195551,.F.); #265386=ORIENTED_EDGE('',*,*,#195550,.F.); #265387=ORIENTED_EDGE('',*,*,#195552,.F.); #265388=ORIENTED_EDGE('',*,*,#195553,.T.); #265389=ORIENTED_EDGE('',*,*,#195554,.F.); #265390=ORIENTED_EDGE('',*,*,#195553,.F.); #265391=ORIENTED_EDGE('',*,*,#195555,.F.); #265392=ORIENTED_EDGE('',*,*,#195556,.T.); #265393=ORIENTED_EDGE('',*,*,#195557,.F.); #265394=ORIENTED_EDGE('',*,*,#195556,.F.); #265395=ORIENTED_EDGE('',*,*,#195558,.F.); #265396=ORIENTED_EDGE('',*,*,#195559,.T.); #265397=ORIENTED_EDGE('',*,*,#195560,.F.); #265398=ORIENTED_EDGE('',*,*,#195559,.F.); #265399=ORIENTED_EDGE('',*,*,#195561,.F.); #265400=ORIENTED_EDGE('',*,*,#195562,.T.); #265401=ORIENTED_EDGE('',*,*,#195563,.F.); #265402=ORIENTED_EDGE('',*,*,#195562,.F.); #265403=ORIENTED_EDGE('',*,*,#195564,.F.); #265404=ORIENTED_EDGE('',*,*,#195565,.T.); #265405=ORIENTED_EDGE('',*,*,#195566,.F.); #265406=ORIENTED_EDGE('',*,*,#195565,.F.); #265407=ORIENTED_EDGE('',*,*,#195567,.F.); #265408=ORIENTED_EDGE('',*,*,#195568,.T.); #265409=ORIENTED_EDGE('',*,*,#195569,.F.); #265410=ORIENTED_EDGE('',*,*,#195568,.F.); #265411=ORIENTED_EDGE('',*,*,#195570,.F.); #265412=ORIENTED_EDGE('',*,*,#195571,.T.); #265413=ORIENTED_EDGE('',*,*,#195572,.F.); #265414=ORIENTED_EDGE('',*,*,#195571,.F.); #265415=ORIENTED_EDGE('',*,*,#195573,.F.); #265416=ORIENTED_EDGE('',*,*,#195574,.T.); #265417=ORIENTED_EDGE('',*,*,#195575,.F.); #265418=ORIENTED_EDGE('',*,*,#195574,.F.); #265419=ORIENTED_EDGE('',*,*,#195576,.F.); #265420=ORIENTED_EDGE('',*,*,#195577,.T.); #265421=ORIENTED_EDGE('',*,*,#195578,.F.); #265422=ORIENTED_EDGE('',*,*,#195577,.F.); #265423=ORIENTED_EDGE('',*,*,#195579,.F.); #265424=ORIENTED_EDGE('',*,*,#195580,.T.); #265425=ORIENTED_EDGE('',*,*,#195581,.F.); #265426=ORIENTED_EDGE('',*,*,#195580,.F.); #265427=ORIENTED_EDGE('',*,*,#195582,.F.); #265428=ORIENTED_EDGE('',*,*,#195583,.T.); #265429=ORIENTED_EDGE('',*,*,#195584,.F.); #265430=ORIENTED_EDGE('',*,*,#195583,.F.); #265431=ORIENTED_EDGE('',*,*,#195585,.F.); #265432=ORIENTED_EDGE('',*,*,#195586,.T.); #265433=ORIENTED_EDGE('',*,*,#195587,.F.); #265434=ORIENTED_EDGE('',*,*,#195586,.F.); #265435=ORIENTED_EDGE('',*,*,#195588,.F.); #265436=ORIENTED_EDGE('',*,*,#195589,.T.); #265437=ORIENTED_EDGE('',*,*,#195590,.F.); #265438=ORIENTED_EDGE('',*,*,#195589,.F.); #265439=ORIENTED_EDGE('',*,*,#195591,.F.); #265440=ORIENTED_EDGE('',*,*,#195592,.T.); #265441=ORIENTED_EDGE('',*,*,#195593,.F.); #265442=ORIENTED_EDGE('',*,*,#195592,.F.); #265443=ORIENTED_EDGE('',*,*,#195594,.F.); #265444=ORIENTED_EDGE('',*,*,#195595,.T.); #265445=ORIENTED_EDGE('',*,*,#195596,.F.); #265446=ORIENTED_EDGE('',*,*,#195595,.F.); #265447=ORIENTED_EDGE('',*,*,#195597,.F.); #265448=ORIENTED_EDGE('',*,*,#195598,.T.); #265449=ORIENTED_EDGE('',*,*,#195599,.F.); #265450=ORIENTED_EDGE('',*,*,#195598,.F.); #265451=ORIENTED_EDGE('',*,*,#195600,.F.); #265452=ORIENTED_EDGE('',*,*,#195601,.T.); #265453=ORIENTED_EDGE('',*,*,#195602,.F.); #265454=ORIENTED_EDGE('',*,*,#195601,.F.); #265455=ORIENTED_EDGE('',*,*,#195603,.F.); #265456=ORIENTED_EDGE('',*,*,#195604,.T.); #265457=ORIENTED_EDGE('',*,*,#195605,.F.); #265458=ORIENTED_EDGE('',*,*,#195604,.F.); #265459=ORIENTED_EDGE('',*,*,#195606,.F.); #265460=ORIENTED_EDGE('',*,*,#195607,.T.); #265461=ORIENTED_EDGE('',*,*,#195608,.F.); #265462=ORIENTED_EDGE('',*,*,#195607,.F.); #265463=ORIENTED_EDGE('',*,*,#195609,.F.); #265464=ORIENTED_EDGE('',*,*,#195610,.T.); #265465=ORIENTED_EDGE('',*,*,#195611,.F.); #265466=ORIENTED_EDGE('',*,*,#195610,.F.); #265467=ORIENTED_EDGE('',*,*,#195612,.F.); #265468=ORIENTED_EDGE('',*,*,#195613,.T.); #265469=ORIENTED_EDGE('',*,*,#195614,.F.); #265470=ORIENTED_EDGE('',*,*,#195613,.F.); #265471=ORIENTED_EDGE('',*,*,#195615,.F.); #265472=ORIENTED_EDGE('',*,*,#195616,.T.); #265473=ORIENTED_EDGE('',*,*,#195617,.F.); #265474=ORIENTED_EDGE('',*,*,#195616,.F.); #265475=ORIENTED_EDGE('',*,*,#195618,.F.); #265476=ORIENTED_EDGE('',*,*,#195619,.T.); #265477=ORIENTED_EDGE('',*,*,#195620,.F.); #265478=ORIENTED_EDGE('',*,*,#195619,.F.); #265479=ORIENTED_EDGE('',*,*,#195621,.F.); #265480=ORIENTED_EDGE('',*,*,#195622,.T.); #265481=ORIENTED_EDGE('',*,*,#195623,.F.); #265482=ORIENTED_EDGE('',*,*,#195622,.F.); #265483=ORIENTED_EDGE('',*,*,#195624,.F.); #265484=ORIENTED_EDGE('',*,*,#195625,.T.); #265485=ORIENTED_EDGE('',*,*,#195626,.F.); #265486=ORIENTED_EDGE('',*,*,#195625,.F.); #265487=ORIENTED_EDGE('',*,*,#195627,.F.); #265488=ORIENTED_EDGE('',*,*,#195628,.T.); #265489=ORIENTED_EDGE('',*,*,#195629,.F.); #265490=ORIENTED_EDGE('',*,*,#195628,.F.); #265491=ORIENTED_EDGE('',*,*,#195630,.F.); #265492=ORIENTED_EDGE('',*,*,#195631,.T.); #265493=ORIENTED_EDGE('',*,*,#195632,.F.); #265494=ORIENTED_EDGE('',*,*,#195631,.F.); #265495=ORIENTED_EDGE('',*,*,#195633,.T.); #265496=ORIENTED_EDGE('',*,*,#195634,.T.); #265497=ORIENTED_EDGE('',*,*,#195635,.F.); #265498=ORIENTED_EDGE('',*,*,#195636,.F.); #265499=ORIENTED_EDGE('',*,*,#195637,.T.); #265500=ORIENTED_EDGE('',*,*,#195636,.T.); #265501=ORIENTED_EDGE('',*,*,#195638,.F.); #265502=ORIENTED_EDGE('',*,*,#195639,.F.); #265503=ORIENTED_EDGE('',*,*,#195640,.T.); #265504=ORIENTED_EDGE('',*,*,#195639,.T.); #265505=ORIENTED_EDGE('',*,*,#195641,.F.); #265506=ORIENTED_EDGE('',*,*,#195642,.F.); #265507=ORIENTED_EDGE('',*,*,#195643,.T.); #265508=ORIENTED_EDGE('',*,*,#195642,.T.); #265509=ORIENTED_EDGE('',*,*,#195644,.F.); #265510=ORIENTED_EDGE('',*,*,#195645,.F.); #265511=ORIENTED_EDGE('',*,*,#195646,.T.); #265512=ORIENTED_EDGE('',*,*,#195645,.T.); #265513=ORIENTED_EDGE('',*,*,#195647,.F.); #265514=ORIENTED_EDGE('',*,*,#195648,.F.); #265515=ORIENTED_EDGE('',*,*,#195649,.T.); #265516=ORIENTED_EDGE('',*,*,#195648,.T.); #265517=ORIENTED_EDGE('',*,*,#195650,.F.); #265518=ORIENTED_EDGE('',*,*,#195651,.F.); #265519=ORIENTED_EDGE('',*,*,#195652,.T.); #265520=ORIENTED_EDGE('',*,*,#195651,.T.); #265521=ORIENTED_EDGE('',*,*,#195653,.F.); #265522=ORIENTED_EDGE('',*,*,#195654,.F.); #265523=ORIENTED_EDGE('',*,*,#195655,.T.); #265524=ORIENTED_EDGE('',*,*,#195654,.T.); #265525=ORIENTED_EDGE('',*,*,#195656,.F.); #265526=ORIENTED_EDGE('',*,*,#195657,.F.); #265527=ORIENTED_EDGE('',*,*,#195658,.T.); #265528=ORIENTED_EDGE('',*,*,#195657,.T.); #265529=ORIENTED_EDGE('',*,*,#195659,.F.); #265530=ORIENTED_EDGE('',*,*,#195660,.F.); #265531=ORIENTED_EDGE('',*,*,#195661,.T.); #265532=ORIENTED_EDGE('',*,*,#195660,.T.); #265533=ORIENTED_EDGE('',*,*,#195662,.F.); #265534=ORIENTED_EDGE('',*,*,#195663,.F.); #265535=ORIENTED_EDGE('',*,*,#195664,.T.); #265536=ORIENTED_EDGE('',*,*,#195663,.T.); #265537=ORIENTED_EDGE('',*,*,#195665,.F.); #265538=ORIENTED_EDGE('',*,*,#195666,.F.); #265539=ORIENTED_EDGE('',*,*,#195667,.T.); #265540=ORIENTED_EDGE('',*,*,#195666,.T.); #265541=ORIENTED_EDGE('',*,*,#195668,.F.); #265542=ORIENTED_EDGE('',*,*,#195669,.F.); #265543=ORIENTED_EDGE('',*,*,#195670,.T.); #265544=ORIENTED_EDGE('',*,*,#195669,.T.); #265545=ORIENTED_EDGE('',*,*,#195671,.F.); #265546=ORIENTED_EDGE('',*,*,#195672,.F.); #265547=ORIENTED_EDGE('',*,*,#195673,.T.); #265548=ORIENTED_EDGE('',*,*,#195672,.T.); #265549=ORIENTED_EDGE('',*,*,#195674,.F.); #265550=ORIENTED_EDGE('',*,*,#195675,.F.); #265551=ORIENTED_EDGE('',*,*,#195676,.T.); #265552=ORIENTED_EDGE('',*,*,#195675,.T.); #265553=ORIENTED_EDGE('',*,*,#195677,.F.); #265554=ORIENTED_EDGE('',*,*,#195678,.F.); #265555=ORIENTED_EDGE('',*,*,#195679,.T.); #265556=ORIENTED_EDGE('',*,*,#195678,.T.); #265557=ORIENTED_EDGE('',*,*,#195680,.F.); #265558=ORIENTED_EDGE('',*,*,#195681,.F.); #265559=ORIENTED_EDGE('',*,*,#195682,.T.); #265560=ORIENTED_EDGE('',*,*,#195681,.T.); #265561=ORIENTED_EDGE('',*,*,#195683,.F.); #265562=ORIENTED_EDGE('',*,*,#195684,.F.); #265563=ORIENTED_EDGE('',*,*,#195685,.T.); #265564=ORIENTED_EDGE('',*,*,#195684,.T.); #265565=ORIENTED_EDGE('',*,*,#195686,.F.); #265566=ORIENTED_EDGE('',*,*,#195687,.F.); #265567=ORIENTED_EDGE('',*,*,#195688,.T.); #265568=ORIENTED_EDGE('',*,*,#195687,.T.); #265569=ORIENTED_EDGE('',*,*,#195689,.F.); #265570=ORIENTED_EDGE('',*,*,#195690,.F.); #265571=ORIENTED_EDGE('',*,*,#195691,.T.); #265572=ORIENTED_EDGE('',*,*,#195690,.T.); #265573=ORIENTED_EDGE('',*,*,#195692,.F.); #265574=ORIENTED_EDGE('',*,*,#195693,.F.); #265575=ORIENTED_EDGE('',*,*,#195694,.T.); #265576=ORIENTED_EDGE('',*,*,#195693,.T.); #265577=ORIENTED_EDGE('',*,*,#195695,.F.); #265578=ORIENTED_EDGE('',*,*,#195696,.F.); #265579=ORIENTED_EDGE('',*,*,#195697,.T.); #265580=ORIENTED_EDGE('',*,*,#195696,.T.); #265581=ORIENTED_EDGE('',*,*,#195698,.F.); #265582=ORIENTED_EDGE('',*,*,#195699,.F.); #265583=ORIENTED_EDGE('',*,*,#195700,.T.); #265584=ORIENTED_EDGE('',*,*,#195699,.T.); #265585=ORIENTED_EDGE('',*,*,#195701,.F.); #265586=ORIENTED_EDGE('',*,*,#195702,.F.); #265587=ORIENTED_EDGE('',*,*,#195703,.T.); #265588=ORIENTED_EDGE('',*,*,#195702,.T.); #265589=ORIENTED_EDGE('',*,*,#195704,.F.); #265590=ORIENTED_EDGE('',*,*,#195705,.F.); #265591=ORIENTED_EDGE('',*,*,#195706,.T.); #265592=ORIENTED_EDGE('',*,*,#195705,.T.); #265593=ORIENTED_EDGE('',*,*,#195707,.F.); #265594=ORIENTED_EDGE('',*,*,#195708,.F.); #265595=ORIENTED_EDGE('',*,*,#195709,.T.); #265596=ORIENTED_EDGE('',*,*,#195708,.T.); #265597=ORIENTED_EDGE('',*,*,#195710,.F.); #265598=ORIENTED_EDGE('',*,*,#195711,.F.); #265599=ORIENTED_EDGE('',*,*,#195712,.T.); #265600=ORIENTED_EDGE('',*,*,#195711,.T.); #265601=ORIENTED_EDGE('',*,*,#195713,.F.); #265602=ORIENTED_EDGE('',*,*,#195714,.F.); #265603=ORIENTED_EDGE('',*,*,#195715,.T.); #265604=ORIENTED_EDGE('',*,*,#195714,.T.); #265605=ORIENTED_EDGE('',*,*,#195716,.F.); #265606=ORIENTED_EDGE('',*,*,#195717,.F.); #265607=ORIENTED_EDGE('',*,*,#195718,.T.); #265608=ORIENTED_EDGE('',*,*,#195717,.T.); #265609=ORIENTED_EDGE('',*,*,#195719,.F.); #265610=ORIENTED_EDGE('',*,*,#195720,.F.); #265611=ORIENTED_EDGE('',*,*,#195721,.T.); #265612=ORIENTED_EDGE('',*,*,#195720,.T.); #265613=ORIENTED_EDGE('',*,*,#195722,.F.); #265614=ORIENTED_EDGE('',*,*,#195723,.F.); #265615=ORIENTED_EDGE('',*,*,#195724,.T.); #265616=ORIENTED_EDGE('',*,*,#195723,.T.); #265617=ORIENTED_EDGE('',*,*,#195725,.F.); #265618=ORIENTED_EDGE('',*,*,#195726,.F.); #265619=ORIENTED_EDGE('',*,*,#195727,.T.); #265620=ORIENTED_EDGE('',*,*,#195726,.T.); #265621=ORIENTED_EDGE('',*,*,#195728,.F.); #265622=ORIENTED_EDGE('',*,*,#195729,.F.); #265623=ORIENTED_EDGE('',*,*,#195730,.T.); #265624=ORIENTED_EDGE('',*,*,#195729,.T.); #265625=ORIENTED_EDGE('',*,*,#195731,.F.); #265626=ORIENTED_EDGE('',*,*,#195732,.F.); #265627=ORIENTED_EDGE('',*,*,#195733,.T.); #265628=ORIENTED_EDGE('',*,*,#195732,.T.); #265629=ORIENTED_EDGE('',*,*,#195734,.F.); #265630=ORIENTED_EDGE('',*,*,#195735,.F.); #265631=ORIENTED_EDGE('',*,*,#195736,.T.); #265632=ORIENTED_EDGE('',*,*,#195735,.T.); #265633=ORIENTED_EDGE('',*,*,#195737,.F.); #265634=ORIENTED_EDGE('',*,*,#195738,.F.); #265635=ORIENTED_EDGE('',*,*,#195739,.T.); #265636=ORIENTED_EDGE('',*,*,#195738,.T.); #265637=ORIENTED_EDGE('',*,*,#195740,.F.); #265638=ORIENTED_EDGE('',*,*,#195741,.F.); #265639=ORIENTED_EDGE('',*,*,#195742,.T.); #265640=ORIENTED_EDGE('',*,*,#195741,.T.); #265641=ORIENTED_EDGE('',*,*,#195743,.F.); #265642=ORIENTED_EDGE('',*,*,#195744,.F.); #265643=ORIENTED_EDGE('',*,*,#195745,.T.); #265644=ORIENTED_EDGE('',*,*,#195744,.T.); #265645=ORIENTED_EDGE('',*,*,#195746,.F.); #265646=ORIENTED_EDGE('',*,*,#195747,.F.); #265647=ORIENTED_EDGE('',*,*,#195748,.T.); #265648=ORIENTED_EDGE('',*,*,#195747,.T.); #265649=ORIENTED_EDGE('',*,*,#195749,.F.); #265650=ORIENTED_EDGE('',*,*,#195750,.F.); #265651=ORIENTED_EDGE('',*,*,#195751,.T.); #265652=ORIENTED_EDGE('',*,*,#195750,.T.); #265653=ORIENTED_EDGE('',*,*,#195752,.F.); #265654=ORIENTED_EDGE('',*,*,#195753,.F.); #265655=ORIENTED_EDGE('',*,*,#195754,.T.); #265656=ORIENTED_EDGE('',*,*,#195753,.T.); #265657=ORIENTED_EDGE('',*,*,#195755,.F.); #265658=ORIENTED_EDGE('',*,*,#195756,.F.); #265659=ORIENTED_EDGE('',*,*,#195757,.T.); #265660=ORIENTED_EDGE('',*,*,#195756,.T.); #265661=ORIENTED_EDGE('',*,*,#195758,.F.); #265662=ORIENTED_EDGE('',*,*,#195759,.F.); #265663=ORIENTED_EDGE('',*,*,#195760,.T.); #265664=ORIENTED_EDGE('',*,*,#195759,.T.); #265665=ORIENTED_EDGE('',*,*,#195761,.F.); #265666=ORIENTED_EDGE('',*,*,#195762,.F.); #265667=ORIENTED_EDGE('',*,*,#195763,.T.); #265668=ORIENTED_EDGE('',*,*,#195762,.T.); #265669=ORIENTED_EDGE('',*,*,#195764,.F.); #265670=ORIENTED_EDGE('',*,*,#195765,.F.); #265671=ORIENTED_EDGE('',*,*,#195766,.T.); #265672=ORIENTED_EDGE('',*,*,#195765,.T.); #265673=ORIENTED_EDGE('',*,*,#195767,.F.); #265674=ORIENTED_EDGE('',*,*,#195768,.F.); #265675=ORIENTED_EDGE('',*,*,#195769,.T.); #265676=ORIENTED_EDGE('',*,*,#195768,.T.); #265677=ORIENTED_EDGE('',*,*,#195770,.F.); #265678=ORIENTED_EDGE('',*,*,#195771,.F.); #265679=ORIENTED_EDGE('',*,*,#195772,.T.); #265680=ORIENTED_EDGE('',*,*,#195771,.T.); #265681=ORIENTED_EDGE('',*,*,#195773,.F.); #265682=ORIENTED_EDGE('',*,*,#195774,.F.); #265683=ORIENTED_EDGE('',*,*,#195775,.T.); #265684=ORIENTED_EDGE('',*,*,#195774,.T.); #265685=ORIENTED_EDGE('',*,*,#195776,.F.); #265686=ORIENTED_EDGE('',*,*,#195777,.F.); #265687=ORIENTED_EDGE('',*,*,#195778,.T.); #265688=ORIENTED_EDGE('',*,*,#195777,.T.); #265689=ORIENTED_EDGE('',*,*,#195779,.F.); #265690=ORIENTED_EDGE('',*,*,#195780,.F.); #265691=ORIENTED_EDGE('',*,*,#195781,.T.); #265692=ORIENTED_EDGE('',*,*,#195780,.T.); #265693=ORIENTED_EDGE('',*,*,#195782,.F.); #265694=ORIENTED_EDGE('',*,*,#195783,.F.); #265695=ORIENTED_EDGE('',*,*,#195784,.T.); #265696=ORIENTED_EDGE('',*,*,#195783,.T.); #265697=ORIENTED_EDGE('',*,*,#195785,.F.); #265698=ORIENTED_EDGE('',*,*,#195786,.F.); #265699=ORIENTED_EDGE('',*,*,#195787,.T.); #265700=ORIENTED_EDGE('',*,*,#195786,.T.); #265701=ORIENTED_EDGE('',*,*,#195788,.F.); #265702=ORIENTED_EDGE('',*,*,#195789,.F.); #265703=ORIENTED_EDGE('',*,*,#195790,.T.); #265704=ORIENTED_EDGE('',*,*,#195789,.T.); #265705=ORIENTED_EDGE('',*,*,#195791,.F.); #265706=ORIENTED_EDGE('',*,*,#195792,.F.); #265707=ORIENTED_EDGE('',*,*,#195793,.T.); #265708=ORIENTED_EDGE('',*,*,#195792,.T.); #265709=ORIENTED_EDGE('',*,*,#195794,.F.); #265710=ORIENTED_EDGE('',*,*,#195795,.F.); #265711=ORIENTED_EDGE('',*,*,#195796,.T.); #265712=ORIENTED_EDGE('',*,*,#195795,.T.); #265713=ORIENTED_EDGE('',*,*,#195797,.F.); #265714=ORIENTED_EDGE('',*,*,#195798,.F.); #265715=ORIENTED_EDGE('',*,*,#195799,.T.); #265716=ORIENTED_EDGE('',*,*,#195798,.T.); #265717=ORIENTED_EDGE('',*,*,#195800,.F.); #265718=ORIENTED_EDGE('',*,*,#195801,.F.); #265719=ORIENTED_EDGE('',*,*,#195802,.T.); #265720=ORIENTED_EDGE('',*,*,#195801,.T.); #265721=ORIENTED_EDGE('',*,*,#195803,.F.); #265722=ORIENTED_EDGE('',*,*,#195804,.F.); #265723=ORIENTED_EDGE('',*,*,#195805,.T.); #265724=ORIENTED_EDGE('',*,*,#195804,.T.); #265725=ORIENTED_EDGE('',*,*,#195806,.F.); #265726=ORIENTED_EDGE('',*,*,#195807,.F.); #265727=ORIENTED_EDGE('',*,*,#195808,.T.); #265728=ORIENTED_EDGE('',*,*,#195807,.T.); #265729=ORIENTED_EDGE('',*,*,#195809,.F.); #265730=ORIENTED_EDGE('',*,*,#195810,.F.); #265731=ORIENTED_EDGE('',*,*,#195811,.T.); #265732=ORIENTED_EDGE('',*,*,#195810,.T.); #265733=ORIENTED_EDGE('',*,*,#195812,.F.); #265734=ORIENTED_EDGE('',*,*,#195813,.F.); #265735=ORIENTED_EDGE('',*,*,#195814,.T.); #265736=ORIENTED_EDGE('',*,*,#195813,.T.); #265737=ORIENTED_EDGE('',*,*,#195815,.F.); #265738=ORIENTED_EDGE('',*,*,#195816,.F.); #265739=ORIENTED_EDGE('',*,*,#195817,.T.); #265740=ORIENTED_EDGE('',*,*,#195816,.T.); #265741=ORIENTED_EDGE('',*,*,#195818,.F.); #265742=ORIENTED_EDGE('',*,*,#195819,.F.); #265743=ORIENTED_EDGE('',*,*,#195820,.T.); #265744=ORIENTED_EDGE('',*,*,#195819,.T.); #265745=ORIENTED_EDGE('',*,*,#195821,.F.); #265746=ORIENTED_EDGE('',*,*,#195822,.F.); #265747=ORIENTED_EDGE('',*,*,#195823,.T.); #265748=ORIENTED_EDGE('',*,*,#195822,.T.); #265749=ORIENTED_EDGE('',*,*,#195824,.F.); #265750=ORIENTED_EDGE('',*,*,#195825,.F.); #265751=ORIENTED_EDGE('',*,*,#195826,.T.); #265752=ORIENTED_EDGE('',*,*,#195825,.T.); #265753=ORIENTED_EDGE('',*,*,#195827,.F.); #265754=ORIENTED_EDGE('',*,*,#195828,.F.); #265755=ORIENTED_EDGE('',*,*,#195829,.T.); #265756=ORIENTED_EDGE('',*,*,#195828,.T.); #265757=ORIENTED_EDGE('',*,*,#195830,.F.); #265758=ORIENTED_EDGE('',*,*,#195831,.F.); #265759=ORIENTED_EDGE('',*,*,#195832,.T.); #265760=ORIENTED_EDGE('',*,*,#195831,.T.); #265761=ORIENTED_EDGE('',*,*,#195833,.F.); #265762=ORIENTED_EDGE('',*,*,#195834,.F.); #265763=ORIENTED_EDGE('',*,*,#195835,.T.); #265764=ORIENTED_EDGE('',*,*,#195834,.T.); #265765=ORIENTED_EDGE('',*,*,#195836,.F.); #265766=ORIENTED_EDGE('',*,*,#195837,.F.); #265767=ORIENTED_EDGE('',*,*,#195838,.T.); #265768=ORIENTED_EDGE('',*,*,#195837,.T.); #265769=ORIENTED_EDGE('',*,*,#195839,.F.); #265770=ORIENTED_EDGE('',*,*,#195840,.F.); #265771=ORIENTED_EDGE('',*,*,#195841,.T.); #265772=ORIENTED_EDGE('',*,*,#195840,.T.); #265773=ORIENTED_EDGE('',*,*,#195842,.F.); #265774=ORIENTED_EDGE('',*,*,#195843,.F.); #265775=ORIENTED_EDGE('',*,*,#195844,.T.); #265776=ORIENTED_EDGE('',*,*,#195843,.T.); #265777=ORIENTED_EDGE('',*,*,#195845,.F.); #265778=ORIENTED_EDGE('',*,*,#195846,.F.); #265779=ORIENTED_EDGE('',*,*,#195847,.T.); #265780=ORIENTED_EDGE('',*,*,#195846,.T.); #265781=ORIENTED_EDGE('',*,*,#195848,.F.); #265782=ORIENTED_EDGE('',*,*,#195849,.F.); #265783=ORIENTED_EDGE('',*,*,#195850,.T.); #265784=ORIENTED_EDGE('',*,*,#195849,.T.); #265785=ORIENTED_EDGE('',*,*,#195851,.F.); #265786=ORIENTED_EDGE('',*,*,#195852,.F.); #265787=ORIENTED_EDGE('',*,*,#195853,.T.); #265788=ORIENTED_EDGE('',*,*,#195852,.T.); #265789=ORIENTED_EDGE('',*,*,#195854,.F.); #265790=ORIENTED_EDGE('',*,*,#195855,.F.); #265791=ORIENTED_EDGE('',*,*,#195856,.T.); #265792=ORIENTED_EDGE('',*,*,#195855,.T.); #265793=ORIENTED_EDGE('',*,*,#195857,.F.); #265794=ORIENTED_EDGE('',*,*,#195858,.F.); #265795=ORIENTED_EDGE('',*,*,#195859,.T.); #265796=ORIENTED_EDGE('',*,*,#195858,.T.); #265797=ORIENTED_EDGE('',*,*,#195860,.F.); #265798=ORIENTED_EDGE('',*,*,#195861,.F.); #265799=ORIENTED_EDGE('',*,*,#195862,.T.); #265800=ORIENTED_EDGE('',*,*,#195861,.T.); #265801=ORIENTED_EDGE('',*,*,#195863,.F.); #265802=ORIENTED_EDGE('',*,*,#195864,.F.); #265803=ORIENTED_EDGE('',*,*,#195865,.T.); #265804=ORIENTED_EDGE('',*,*,#195864,.T.); #265805=ORIENTED_EDGE('',*,*,#195866,.F.); #265806=ORIENTED_EDGE('',*,*,#195867,.F.); #265807=ORIENTED_EDGE('',*,*,#195868,.T.); #265808=ORIENTED_EDGE('',*,*,#195867,.T.); #265809=ORIENTED_EDGE('',*,*,#195869,.F.); #265810=ORIENTED_EDGE('',*,*,#195870,.F.); #265811=ORIENTED_EDGE('',*,*,#195871,.T.); #265812=ORIENTED_EDGE('',*,*,#195870,.T.); #265813=ORIENTED_EDGE('',*,*,#195872,.F.); #265814=ORIENTED_EDGE('',*,*,#195873,.F.); #265815=ORIENTED_EDGE('',*,*,#195874,.T.); #265816=ORIENTED_EDGE('',*,*,#195873,.T.); #265817=ORIENTED_EDGE('',*,*,#195875,.F.); #265818=ORIENTED_EDGE('',*,*,#195876,.F.); #265819=ORIENTED_EDGE('',*,*,#195877,.T.); #265820=ORIENTED_EDGE('',*,*,#195876,.T.); #265821=ORIENTED_EDGE('',*,*,#195878,.F.); #265822=ORIENTED_EDGE('',*,*,#195879,.F.); #265823=ORIENTED_EDGE('',*,*,#195880,.T.); #265824=ORIENTED_EDGE('',*,*,#195879,.T.); #265825=ORIENTED_EDGE('',*,*,#195881,.F.); #265826=ORIENTED_EDGE('',*,*,#195882,.F.); #265827=ORIENTED_EDGE('',*,*,#195883,.T.); #265828=ORIENTED_EDGE('',*,*,#195882,.T.); #265829=ORIENTED_EDGE('',*,*,#195884,.F.); #265830=ORIENTED_EDGE('',*,*,#195885,.F.); #265831=ORIENTED_EDGE('',*,*,#195886,.T.); #265832=ORIENTED_EDGE('',*,*,#195885,.T.); #265833=ORIENTED_EDGE('',*,*,#195887,.F.); #265834=ORIENTED_EDGE('',*,*,#195888,.F.); #265835=ORIENTED_EDGE('',*,*,#195889,.T.); #265836=ORIENTED_EDGE('',*,*,#195888,.T.); #265837=ORIENTED_EDGE('',*,*,#195890,.F.); #265838=ORIENTED_EDGE('',*,*,#195891,.F.); #265839=ORIENTED_EDGE('',*,*,#195892,.T.); #265840=ORIENTED_EDGE('',*,*,#195891,.T.); #265841=ORIENTED_EDGE('',*,*,#195893,.F.); #265842=ORIENTED_EDGE('',*,*,#195894,.F.); #265843=ORIENTED_EDGE('',*,*,#195895,.T.); #265844=ORIENTED_EDGE('',*,*,#195894,.T.); #265845=ORIENTED_EDGE('',*,*,#195896,.F.); #265846=ORIENTED_EDGE('',*,*,#195897,.F.); #265847=ORIENTED_EDGE('',*,*,#195898,.T.); #265848=ORIENTED_EDGE('',*,*,#195897,.T.); #265849=ORIENTED_EDGE('',*,*,#195899,.F.); #265850=ORIENTED_EDGE('',*,*,#195900,.F.); #265851=ORIENTED_EDGE('',*,*,#195901,.T.); #265852=ORIENTED_EDGE('',*,*,#195900,.T.); #265853=ORIENTED_EDGE('',*,*,#195902,.F.); #265854=ORIENTED_EDGE('',*,*,#195903,.F.); #265855=ORIENTED_EDGE('',*,*,#195904,.T.); #265856=ORIENTED_EDGE('',*,*,#195903,.T.); #265857=ORIENTED_EDGE('',*,*,#195905,.F.); #265858=ORIENTED_EDGE('',*,*,#195906,.F.); #265859=ORIENTED_EDGE('',*,*,#195907,.T.); #265860=ORIENTED_EDGE('',*,*,#195906,.T.); #265861=ORIENTED_EDGE('',*,*,#195908,.F.); #265862=ORIENTED_EDGE('',*,*,#195909,.F.); #265863=ORIENTED_EDGE('',*,*,#195910,.T.); #265864=ORIENTED_EDGE('',*,*,#195909,.T.); #265865=ORIENTED_EDGE('',*,*,#195911,.F.); #265866=ORIENTED_EDGE('',*,*,#195912,.F.); #265867=ORIENTED_EDGE('',*,*,#195913,.T.); #265868=ORIENTED_EDGE('',*,*,#195912,.T.); #265869=ORIENTED_EDGE('',*,*,#195914,.F.); #265870=ORIENTED_EDGE('',*,*,#195915,.F.); #265871=ORIENTED_EDGE('',*,*,#195916,.T.); #265872=ORIENTED_EDGE('',*,*,#195915,.T.); #265873=ORIENTED_EDGE('',*,*,#195917,.F.); #265874=ORIENTED_EDGE('',*,*,#195918,.F.); #265875=ORIENTED_EDGE('',*,*,#195919,.T.); #265876=ORIENTED_EDGE('',*,*,#195918,.T.); #265877=ORIENTED_EDGE('',*,*,#195920,.F.); #265878=ORIENTED_EDGE('',*,*,#195921,.F.); #265879=ORIENTED_EDGE('',*,*,#195922,.T.); #265880=ORIENTED_EDGE('',*,*,#195921,.T.); #265881=ORIENTED_EDGE('',*,*,#195923,.F.); #265882=ORIENTED_EDGE('',*,*,#195924,.F.); #265883=ORIENTED_EDGE('',*,*,#195925,.T.); #265884=ORIENTED_EDGE('',*,*,#195924,.T.); #265885=ORIENTED_EDGE('',*,*,#195926,.F.); #265886=ORIENTED_EDGE('',*,*,#195927,.F.); #265887=ORIENTED_EDGE('',*,*,#195928,.T.); #265888=ORIENTED_EDGE('',*,*,#195927,.T.); #265889=ORIENTED_EDGE('',*,*,#195929,.F.); #265890=ORIENTED_EDGE('',*,*,#195930,.F.); #265891=ORIENTED_EDGE('',*,*,#195931,.T.); #265892=ORIENTED_EDGE('',*,*,#195930,.T.); #265893=ORIENTED_EDGE('',*,*,#195932,.F.); #265894=ORIENTED_EDGE('',*,*,#195933,.F.); #265895=ORIENTED_EDGE('',*,*,#195934,.T.); #265896=ORIENTED_EDGE('',*,*,#195933,.T.); #265897=ORIENTED_EDGE('',*,*,#195935,.F.); #265898=ORIENTED_EDGE('',*,*,#195936,.F.); #265899=ORIENTED_EDGE('',*,*,#195937,.T.); #265900=ORIENTED_EDGE('',*,*,#195936,.T.); #265901=ORIENTED_EDGE('',*,*,#195938,.F.); #265902=ORIENTED_EDGE('',*,*,#195939,.F.); #265903=ORIENTED_EDGE('',*,*,#195940,.T.); #265904=ORIENTED_EDGE('',*,*,#195939,.T.); #265905=ORIENTED_EDGE('',*,*,#195941,.F.); #265906=ORIENTED_EDGE('',*,*,#195942,.F.); #265907=ORIENTED_EDGE('',*,*,#195943,.T.); #265908=ORIENTED_EDGE('',*,*,#195942,.T.); #265909=ORIENTED_EDGE('',*,*,#195944,.F.); #265910=ORIENTED_EDGE('',*,*,#195945,.F.); #265911=ORIENTED_EDGE('',*,*,#195946,.T.); #265912=ORIENTED_EDGE('',*,*,#195945,.T.); #265913=ORIENTED_EDGE('',*,*,#195947,.F.); #265914=ORIENTED_EDGE('',*,*,#195948,.F.); #265915=ORIENTED_EDGE('',*,*,#195949,.T.); #265916=ORIENTED_EDGE('',*,*,#195948,.T.); #265917=ORIENTED_EDGE('',*,*,#195950,.F.); #265918=ORIENTED_EDGE('',*,*,#195951,.F.); #265919=ORIENTED_EDGE('',*,*,#195952,.T.); #265920=ORIENTED_EDGE('',*,*,#195951,.T.); #265921=ORIENTED_EDGE('',*,*,#195953,.F.); #265922=ORIENTED_EDGE('',*,*,#195954,.F.); #265923=ORIENTED_EDGE('',*,*,#195955,.T.); #265924=ORIENTED_EDGE('',*,*,#195954,.T.); #265925=ORIENTED_EDGE('',*,*,#195956,.F.); #265926=ORIENTED_EDGE('',*,*,#195957,.F.); #265927=ORIENTED_EDGE('',*,*,#195958,.T.); #265928=ORIENTED_EDGE('',*,*,#195957,.T.); #265929=ORIENTED_EDGE('',*,*,#195959,.F.); #265930=ORIENTED_EDGE('',*,*,#195960,.F.); #265931=ORIENTED_EDGE('',*,*,#195961,.T.); #265932=ORIENTED_EDGE('',*,*,#195960,.T.); #265933=ORIENTED_EDGE('',*,*,#195962,.F.); #265934=ORIENTED_EDGE('',*,*,#195963,.F.); #265935=ORIENTED_EDGE('',*,*,#195964,.T.); #265936=ORIENTED_EDGE('',*,*,#195963,.T.); #265937=ORIENTED_EDGE('',*,*,#195965,.F.); #265938=ORIENTED_EDGE('',*,*,#195966,.F.); #265939=ORIENTED_EDGE('',*,*,#195967,.T.); #265940=ORIENTED_EDGE('',*,*,#195966,.T.); #265941=ORIENTED_EDGE('',*,*,#195968,.F.); #265942=ORIENTED_EDGE('',*,*,#195969,.F.); #265943=ORIENTED_EDGE('',*,*,#195970,.T.); #265944=ORIENTED_EDGE('',*,*,#195969,.T.); #265945=ORIENTED_EDGE('',*,*,#195971,.F.); #265946=ORIENTED_EDGE('',*,*,#195972,.F.); #265947=ORIENTED_EDGE('',*,*,#195973,.T.); #265948=ORIENTED_EDGE('',*,*,#195972,.T.); #265949=ORIENTED_EDGE('',*,*,#195974,.F.); #265950=ORIENTED_EDGE('',*,*,#195975,.F.); #265951=ORIENTED_EDGE('',*,*,#195976,.T.); #265952=ORIENTED_EDGE('',*,*,#195975,.T.); #265953=ORIENTED_EDGE('',*,*,#195977,.F.); #265954=ORIENTED_EDGE('',*,*,#195978,.F.); #265955=ORIENTED_EDGE('',*,*,#195979,.T.); #265956=ORIENTED_EDGE('',*,*,#195978,.T.); #265957=ORIENTED_EDGE('',*,*,#195980,.F.); #265958=ORIENTED_EDGE('',*,*,#195981,.F.); #265959=ORIENTED_EDGE('',*,*,#195982,.T.); #265960=ORIENTED_EDGE('',*,*,#195981,.T.); #265961=ORIENTED_EDGE('',*,*,#195983,.F.); #265962=ORIENTED_EDGE('',*,*,#195984,.F.); #265963=ORIENTED_EDGE('',*,*,#195985,.T.); #265964=ORIENTED_EDGE('',*,*,#195984,.T.); #265965=ORIENTED_EDGE('',*,*,#195986,.F.); #265966=ORIENTED_EDGE('',*,*,#195987,.F.); #265967=ORIENTED_EDGE('',*,*,#195988,.T.); #265968=ORIENTED_EDGE('',*,*,#195987,.T.); #265969=ORIENTED_EDGE('',*,*,#195989,.F.); #265970=ORIENTED_EDGE('',*,*,#195990,.F.); #265971=ORIENTED_EDGE('',*,*,#195991,.T.); #265972=ORIENTED_EDGE('',*,*,#195990,.T.); #265973=ORIENTED_EDGE('',*,*,#195992,.F.); #265974=ORIENTED_EDGE('',*,*,#195993,.F.); #265975=ORIENTED_EDGE('',*,*,#195994,.T.); #265976=ORIENTED_EDGE('',*,*,#195993,.T.); #265977=ORIENTED_EDGE('',*,*,#195995,.F.); #265978=ORIENTED_EDGE('',*,*,#195996,.F.); #265979=ORIENTED_EDGE('',*,*,#195997,.T.); #265980=ORIENTED_EDGE('',*,*,#195996,.T.); #265981=ORIENTED_EDGE('',*,*,#195998,.F.); #265982=ORIENTED_EDGE('',*,*,#195999,.F.); #265983=ORIENTED_EDGE('',*,*,#196000,.T.); #265984=ORIENTED_EDGE('',*,*,#195999,.T.); #265985=ORIENTED_EDGE('',*,*,#196001,.F.); #265986=ORIENTED_EDGE('',*,*,#196002,.F.); #265987=ORIENTED_EDGE('',*,*,#196003,.T.); #265988=ORIENTED_EDGE('',*,*,#196002,.T.); #265989=ORIENTED_EDGE('',*,*,#196004,.F.); #265990=ORIENTED_EDGE('',*,*,#196005,.F.); #265991=ORIENTED_EDGE('',*,*,#196006,.T.); #265992=ORIENTED_EDGE('',*,*,#196005,.T.); #265993=ORIENTED_EDGE('',*,*,#196007,.F.); #265994=ORIENTED_EDGE('',*,*,#196008,.F.); #265995=ORIENTED_EDGE('',*,*,#196009,.T.); #265996=ORIENTED_EDGE('',*,*,#196008,.T.); #265997=ORIENTED_EDGE('',*,*,#196010,.F.); #265998=ORIENTED_EDGE('',*,*,#196011,.F.); #265999=ORIENTED_EDGE('',*,*,#196012,.T.); #266000=ORIENTED_EDGE('',*,*,#196011,.T.); #266001=ORIENTED_EDGE('',*,*,#196013,.F.); #266002=ORIENTED_EDGE('',*,*,#196014,.F.); #266003=ORIENTED_EDGE('',*,*,#196015,.T.); #266004=ORIENTED_EDGE('',*,*,#196014,.T.); #266005=ORIENTED_EDGE('',*,*,#196016,.F.); #266006=ORIENTED_EDGE('',*,*,#196017,.F.); #266007=ORIENTED_EDGE('',*,*,#196018,.T.); #266008=ORIENTED_EDGE('',*,*,#196017,.T.); #266009=ORIENTED_EDGE('',*,*,#196019,.F.); #266010=ORIENTED_EDGE('',*,*,#196020,.F.); #266011=ORIENTED_EDGE('',*,*,#196021,.T.); #266012=ORIENTED_EDGE('',*,*,#196020,.T.); #266013=ORIENTED_EDGE('',*,*,#196022,.F.); #266014=ORIENTED_EDGE('',*,*,#196023,.F.); #266015=ORIENTED_EDGE('',*,*,#196024,.T.); #266016=ORIENTED_EDGE('',*,*,#196023,.T.); #266017=ORIENTED_EDGE('',*,*,#196025,.F.); #266018=ORIENTED_EDGE('',*,*,#196026,.F.); #266019=ORIENTED_EDGE('',*,*,#196027,.T.); #266020=ORIENTED_EDGE('',*,*,#196026,.T.); #266021=ORIENTED_EDGE('',*,*,#196028,.F.); #266022=ORIENTED_EDGE('',*,*,#195634,.F.); #266023=ORIENTED_EDGE('',*,*,#196029,.T.); #266024=ORIENTED_EDGE('',*,*,#196030,.T.); #266025=ORIENTED_EDGE('',*,*,#196031,.F.); #266026=ORIENTED_EDGE('',*,*,#196032,.F.); #266027=ORIENTED_EDGE('',*,*,#196033,.T.); #266028=ORIENTED_EDGE('',*,*,#196032,.T.); #266029=ORIENTED_EDGE('',*,*,#196034,.F.); #266030=ORIENTED_EDGE('',*,*,#196035,.F.); #266031=ORIENTED_EDGE('',*,*,#196036,.T.); #266032=ORIENTED_EDGE('',*,*,#196035,.T.); #266033=ORIENTED_EDGE('',*,*,#196037,.F.); #266034=ORIENTED_EDGE('',*,*,#196038,.F.); #266035=ORIENTED_EDGE('',*,*,#196039,.T.); #266036=ORIENTED_EDGE('',*,*,#196038,.T.); #266037=ORIENTED_EDGE('',*,*,#196040,.F.); #266038=ORIENTED_EDGE('',*,*,#196041,.F.); #266039=ORIENTED_EDGE('',*,*,#196042,.T.); #266040=ORIENTED_EDGE('',*,*,#196041,.T.); #266041=ORIENTED_EDGE('',*,*,#196043,.F.); #266042=ORIENTED_EDGE('',*,*,#196044,.F.); #266043=ORIENTED_EDGE('',*,*,#196045,.T.); #266044=ORIENTED_EDGE('',*,*,#196044,.T.); #266045=ORIENTED_EDGE('',*,*,#196046,.F.); #266046=ORIENTED_EDGE('',*,*,#196047,.F.); #266047=ORIENTED_EDGE('',*,*,#196048,.T.); #266048=ORIENTED_EDGE('',*,*,#196047,.T.); #266049=ORIENTED_EDGE('',*,*,#196049,.F.); #266050=ORIENTED_EDGE('',*,*,#196050,.F.); #266051=ORIENTED_EDGE('',*,*,#196051,.T.); #266052=ORIENTED_EDGE('',*,*,#196050,.T.); #266053=ORIENTED_EDGE('',*,*,#196052,.F.); #266054=ORIENTED_EDGE('',*,*,#196030,.F.); #266055=ORIENTED_EDGE('',*,*,#196053,.T.); #266056=ORIENTED_EDGE('',*,*,#196054,.T.); #266057=ORIENTED_EDGE('',*,*,#196055,.F.); #266058=ORIENTED_EDGE('',*,*,#196056,.F.); #266059=ORIENTED_EDGE('',*,*,#196057,.T.); #266060=ORIENTED_EDGE('',*,*,#196056,.T.); #266061=ORIENTED_EDGE('',*,*,#196058,.F.); #266062=ORIENTED_EDGE('',*,*,#196059,.F.); #266063=ORIENTED_EDGE('',*,*,#196060,.T.); #266064=ORIENTED_EDGE('',*,*,#196059,.T.); #266065=ORIENTED_EDGE('',*,*,#196061,.F.); #266066=ORIENTED_EDGE('',*,*,#196062,.F.); #266067=ORIENTED_EDGE('',*,*,#196063,.T.); #266068=ORIENTED_EDGE('',*,*,#196062,.T.); #266069=ORIENTED_EDGE('',*,*,#196064,.F.); #266070=ORIENTED_EDGE('',*,*,#196065,.F.); #266071=ORIENTED_EDGE('',*,*,#196066,.T.); #266072=ORIENTED_EDGE('',*,*,#196065,.T.); #266073=ORIENTED_EDGE('',*,*,#196067,.F.); #266074=ORIENTED_EDGE('',*,*,#196068,.F.); #266075=ORIENTED_EDGE('',*,*,#196069,.T.); #266076=ORIENTED_EDGE('',*,*,#196068,.T.); #266077=ORIENTED_EDGE('',*,*,#196070,.F.); #266078=ORIENTED_EDGE('',*,*,#196071,.F.); #266079=ORIENTED_EDGE('',*,*,#196072,.T.); #266080=ORIENTED_EDGE('',*,*,#196071,.T.); #266081=ORIENTED_EDGE('',*,*,#196073,.F.); #266082=ORIENTED_EDGE('',*,*,#196074,.F.); #266083=ORIENTED_EDGE('',*,*,#196075,.T.); #266084=ORIENTED_EDGE('',*,*,#196074,.T.); #266085=ORIENTED_EDGE('',*,*,#196076,.F.); #266086=ORIENTED_EDGE('',*,*,#196054,.F.); #266087=ORIENTED_EDGE('',*,*,#196077,.T.); #266088=ORIENTED_EDGE('',*,*,#196078,.T.); #266089=ORIENTED_EDGE('',*,*,#196079,.F.); #266090=ORIENTED_EDGE('',*,*,#196080,.F.); #266091=ORIENTED_EDGE('',*,*,#196081,.T.); #266092=ORIENTED_EDGE('',*,*,#196080,.T.); #266093=ORIENTED_EDGE('',*,*,#196082,.F.); #266094=ORIENTED_EDGE('',*,*,#196083,.F.); #266095=ORIENTED_EDGE('',*,*,#196084,.T.); #266096=ORIENTED_EDGE('',*,*,#196083,.T.); #266097=ORIENTED_EDGE('',*,*,#196085,.F.); #266098=ORIENTED_EDGE('',*,*,#196086,.F.); #266099=ORIENTED_EDGE('',*,*,#196087,.T.); #266100=ORIENTED_EDGE('',*,*,#196086,.T.); #266101=ORIENTED_EDGE('',*,*,#196088,.F.); #266102=ORIENTED_EDGE('',*,*,#196089,.F.); #266103=ORIENTED_EDGE('',*,*,#196090,.T.); #266104=ORIENTED_EDGE('',*,*,#196089,.T.); #266105=ORIENTED_EDGE('',*,*,#196091,.F.); #266106=ORIENTED_EDGE('',*,*,#196092,.F.); #266107=ORIENTED_EDGE('',*,*,#196093,.T.); #266108=ORIENTED_EDGE('',*,*,#196092,.T.); #266109=ORIENTED_EDGE('',*,*,#196094,.F.); #266110=ORIENTED_EDGE('',*,*,#196095,.F.); #266111=ORIENTED_EDGE('',*,*,#196096,.T.); #266112=ORIENTED_EDGE('',*,*,#196095,.T.); #266113=ORIENTED_EDGE('',*,*,#196097,.F.); #266114=ORIENTED_EDGE('',*,*,#196098,.F.); #266115=ORIENTED_EDGE('',*,*,#196099,.T.); #266116=ORIENTED_EDGE('',*,*,#196098,.T.); #266117=ORIENTED_EDGE('',*,*,#196100,.F.); #266118=ORIENTED_EDGE('',*,*,#196078,.F.); #266119=ORIENTED_EDGE('',*,*,#196101,.T.); #266120=ORIENTED_EDGE('',*,*,#196102,.T.); #266121=ORIENTED_EDGE('',*,*,#196103,.F.); #266122=ORIENTED_EDGE('',*,*,#196104,.F.); #266123=ORIENTED_EDGE('',*,*,#196105,.T.); #266124=ORIENTED_EDGE('',*,*,#196104,.T.); #266125=ORIENTED_EDGE('',*,*,#196106,.F.); #266126=ORIENTED_EDGE('',*,*,#196107,.F.); #266127=ORIENTED_EDGE('',*,*,#196108,.T.); #266128=ORIENTED_EDGE('',*,*,#196107,.T.); #266129=ORIENTED_EDGE('',*,*,#196109,.F.); #266130=ORIENTED_EDGE('',*,*,#196110,.F.); #266131=ORIENTED_EDGE('',*,*,#196111,.T.); #266132=ORIENTED_EDGE('',*,*,#196110,.T.); #266133=ORIENTED_EDGE('',*,*,#196112,.F.); #266134=ORIENTED_EDGE('',*,*,#196113,.F.); #266135=ORIENTED_EDGE('',*,*,#196114,.T.); #266136=ORIENTED_EDGE('',*,*,#196113,.T.); #266137=ORIENTED_EDGE('',*,*,#196115,.F.); #266138=ORIENTED_EDGE('',*,*,#196116,.F.); #266139=ORIENTED_EDGE('',*,*,#196117,.T.); #266140=ORIENTED_EDGE('',*,*,#196116,.T.); #266141=ORIENTED_EDGE('',*,*,#196118,.F.); #266142=ORIENTED_EDGE('',*,*,#196119,.F.); #266143=ORIENTED_EDGE('',*,*,#196120,.T.); #266144=ORIENTED_EDGE('',*,*,#196119,.T.); #266145=ORIENTED_EDGE('',*,*,#196121,.F.); #266146=ORIENTED_EDGE('',*,*,#196122,.F.); #266147=ORIENTED_EDGE('',*,*,#196123,.T.); #266148=ORIENTED_EDGE('',*,*,#196122,.T.); #266149=ORIENTED_EDGE('',*,*,#196124,.F.); #266150=ORIENTED_EDGE('',*,*,#196125,.F.); #266151=ORIENTED_EDGE('',*,*,#196126,.T.); #266152=ORIENTED_EDGE('',*,*,#196125,.T.); #266153=ORIENTED_EDGE('',*,*,#196127,.F.); #266154=ORIENTED_EDGE('',*,*,#196128,.F.); #266155=ORIENTED_EDGE('',*,*,#196129,.T.); #266156=ORIENTED_EDGE('',*,*,#196128,.T.); #266157=ORIENTED_EDGE('',*,*,#196130,.F.); #266158=ORIENTED_EDGE('',*,*,#196131,.F.); #266159=ORIENTED_EDGE('',*,*,#196132,.T.); #266160=ORIENTED_EDGE('',*,*,#196131,.T.); #266161=ORIENTED_EDGE('',*,*,#196133,.F.); #266162=ORIENTED_EDGE('',*,*,#196134,.F.); #266163=ORIENTED_EDGE('',*,*,#196135,.T.); #266164=ORIENTED_EDGE('',*,*,#196134,.T.); #266165=ORIENTED_EDGE('',*,*,#196136,.F.); #266166=ORIENTED_EDGE('',*,*,#196137,.F.); #266167=ORIENTED_EDGE('',*,*,#196138,.T.); #266168=ORIENTED_EDGE('',*,*,#196137,.T.); #266169=ORIENTED_EDGE('',*,*,#196139,.F.); #266170=ORIENTED_EDGE('',*,*,#196140,.F.); #266171=ORIENTED_EDGE('',*,*,#196141,.T.); #266172=ORIENTED_EDGE('',*,*,#196140,.T.); #266173=ORIENTED_EDGE('',*,*,#196142,.F.); #266174=ORIENTED_EDGE('',*,*,#196143,.F.); #266175=ORIENTED_EDGE('',*,*,#196144,.T.); #266176=ORIENTED_EDGE('',*,*,#196143,.T.); #266177=ORIENTED_EDGE('',*,*,#196145,.F.); #266178=ORIENTED_EDGE('',*,*,#196146,.F.); #266179=ORIENTED_EDGE('',*,*,#196147,.T.); #266180=ORIENTED_EDGE('',*,*,#196146,.T.); #266181=ORIENTED_EDGE('',*,*,#196148,.F.); #266182=ORIENTED_EDGE('',*,*,#196149,.F.); #266183=ORIENTED_EDGE('',*,*,#196150,.T.); #266184=ORIENTED_EDGE('',*,*,#196149,.T.); #266185=ORIENTED_EDGE('',*,*,#196151,.F.); #266186=ORIENTED_EDGE('',*,*,#196152,.F.); #266187=ORIENTED_EDGE('',*,*,#196153,.T.); #266188=ORIENTED_EDGE('',*,*,#196152,.T.); #266189=ORIENTED_EDGE('',*,*,#196154,.F.); #266190=ORIENTED_EDGE('',*,*,#196155,.F.); #266191=ORIENTED_EDGE('',*,*,#196156,.T.); #266192=ORIENTED_EDGE('',*,*,#196155,.T.); #266193=ORIENTED_EDGE('',*,*,#196157,.F.); #266194=ORIENTED_EDGE('',*,*,#196158,.F.); #266195=ORIENTED_EDGE('',*,*,#196159,.T.); #266196=ORIENTED_EDGE('',*,*,#196158,.T.); #266197=ORIENTED_EDGE('',*,*,#196160,.F.); #266198=ORIENTED_EDGE('',*,*,#196161,.F.); #266199=ORIENTED_EDGE('',*,*,#196162,.T.); #266200=ORIENTED_EDGE('',*,*,#196161,.T.); #266201=ORIENTED_EDGE('',*,*,#196163,.F.); #266202=ORIENTED_EDGE('',*,*,#196164,.F.); #266203=ORIENTED_EDGE('',*,*,#196165,.T.); #266204=ORIENTED_EDGE('',*,*,#196164,.T.); #266205=ORIENTED_EDGE('',*,*,#196166,.F.); #266206=ORIENTED_EDGE('',*,*,#196167,.F.); #266207=ORIENTED_EDGE('',*,*,#196168,.T.); #266208=ORIENTED_EDGE('',*,*,#196167,.T.); #266209=ORIENTED_EDGE('',*,*,#196169,.F.); #266210=ORIENTED_EDGE('',*,*,#196170,.F.); #266211=ORIENTED_EDGE('',*,*,#196171,.T.); #266212=ORIENTED_EDGE('',*,*,#196170,.T.); #266213=ORIENTED_EDGE('',*,*,#196172,.F.); #266214=ORIENTED_EDGE('',*,*,#196173,.F.); #266215=ORIENTED_EDGE('',*,*,#196174,.T.); #266216=ORIENTED_EDGE('',*,*,#196173,.T.); #266217=ORIENTED_EDGE('',*,*,#196175,.F.); #266218=ORIENTED_EDGE('',*,*,#196176,.F.); #266219=ORIENTED_EDGE('',*,*,#196177,.T.); #266220=ORIENTED_EDGE('',*,*,#196176,.T.); #266221=ORIENTED_EDGE('',*,*,#196178,.F.); #266222=ORIENTED_EDGE('',*,*,#196179,.F.); #266223=ORIENTED_EDGE('',*,*,#196180,.T.); #266224=ORIENTED_EDGE('',*,*,#196179,.T.); #266225=ORIENTED_EDGE('',*,*,#196181,.F.); #266226=ORIENTED_EDGE('',*,*,#196182,.F.); #266227=ORIENTED_EDGE('',*,*,#196183,.T.); #266228=ORIENTED_EDGE('',*,*,#196182,.T.); #266229=ORIENTED_EDGE('',*,*,#196184,.F.); #266230=ORIENTED_EDGE('',*,*,#196185,.F.); #266231=ORIENTED_EDGE('',*,*,#196186,.T.); #266232=ORIENTED_EDGE('',*,*,#196185,.T.); #266233=ORIENTED_EDGE('',*,*,#196187,.F.); #266234=ORIENTED_EDGE('',*,*,#196188,.F.); #266235=ORIENTED_EDGE('',*,*,#196189,.T.); #266236=ORIENTED_EDGE('',*,*,#196188,.T.); #266237=ORIENTED_EDGE('',*,*,#196190,.F.); #266238=ORIENTED_EDGE('',*,*,#196191,.F.); #266239=ORIENTED_EDGE('',*,*,#196192,.T.); #266240=ORIENTED_EDGE('',*,*,#196191,.T.); #266241=ORIENTED_EDGE('',*,*,#196193,.F.); #266242=ORIENTED_EDGE('',*,*,#196194,.F.); #266243=ORIENTED_EDGE('',*,*,#196195,.T.); #266244=ORIENTED_EDGE('',*,*,#196194,.T.); #266245=ORIENTED_EDGE('',*,*,#196196,.F.); #266246=ORIENTED_EDGE('',*,*,#196197,.F.); #266247=ORIENTED_EDGE('',*,*,#196198,.T.); #266248=ORIENTED_EDGE('',*,*,#196197,.T.); #266249=ORIENTED_EDGE('',*,*,#196199,.F.); #266250=ORIENTED_EDGE('',*,*,#196200,.F.); #266251=ORIENTED_EDGE('',*,*,#196201,.T.); #266252=ORIENTED_EDGE('',*,*,#196200,.T.); #266253=ORIENTED_EDGE('',*,*,#196202,.F.); #266254=ORIENTED_EDGE('',*,*,#196102,.F.); #266255=ORIENTED_EDGE('',*,*,#196203,.T.); #266256=ORIENTED_EDGE('',*,*,#196204,.T.); #266257=ORIENTED_EDGE('',*,*,#196205,.F.); #266258=ORIENTED_EDGE('',*,*,#196206,.F.); #266259=ORIENTED_EDGE('',*,*,#196207,.T.); #266260=ORIENTED_EDGE('',*,*,#196206,.T.); #266261=ORIENTED_EDGE('',*,*,#196208,.F.); #266262=ORIENTED_EDGE('',*,*,#196209,.F.); #266263=ORIENTED_EDGE('',*,*,#196210,.T.); #266264=ORIENTED_EDGE('',*,*,#196209,.T.); #266265=ORIENTED_EDGE('',*,*,#196211,.F.); #266266=ORIENTED_EDGE('',*,*,#196212,.F.); #266267=ORIENTED_EDGE('',*,*,#196213,.T.); #266268=ORIENTED_EDGE('',*,*,#196212,.T.); #266269=ORIENTED_EDGE('',*,*,#196214,.F.); #266270=ORIENTED_EDGE('',*,*,#196215,.F.); #266271=ORIENTED_EDGE('',*,*,#196216,.T.); #266272=ORIENTED_EDGE('',*,*,#196215,.T.); #266273=ORIENTED_EDGE('',*,*,#196217,.F.); #266274=ORIENTED_EDGE('',*,*,#196218,.F.); #266275=ORIENTED_EDGE('',*,*,#196219,.T.); #266276=ORIENTED_EDGE('',*,*,#196218,.T.); #266277=ORIENTED_EDGE('',*,*,#196220,.F.); #266278=ORIENTED_EDGE('',*,*,#196221,.F.); #266279=ORIENTED_EDGE('',*,*,#196222,.T.); #266280=ORIENTED_EDGE('',*,*,#196221,.T.); #266281=ORIENTED_EDGE('',*,*,#196223,.F.); #266282=ORIENTED_EDGE('',*,*,#196224,.F.); #266283=ORIENTED_EDGE('',*,*,#196225,.T.); #266284=ORIENTED_EDGE('',*,*,#196224,.T.); #266285=ORIENTED_EDGE('',*,*,#196226,.F.); #266286=ORIENTED_EDGE('',*,*,#196227,.F.); #266287=ORIENTED_EDGE('',*,*,#196228,.T.); #266288=ORIENTED_EDGE('',*,*,#196227,.T.); #266289=ORIENTED_EDGE('',*,*,#196229,.F.); #266290=ORIENTED_EDGE('',*,*,#196230,.F.); #266291=ORIENTED_EDGE('',*,*,#196231,.T.); #266292=ORIENTED_EDGE('',*,*,#196230,.T.); #266293=ORIENTED_EDGE('',*,*,#196232,.F.); #266294=ORIENTED_EDGE('',*,*,#196233,.F.); #266295=ORIENTED_EDGE('',*,*,#196234,.T.); #266296=ORIENTED_EDGE('',*,*,#196233,.T.); #266297=ORIENTED_EDGE('',*,*,#196235,.F.); #266298=ORIENTED_EDGE('',*,*,#196236,.F.); #266299=ORIENTED_EDGE('',*,*,#196237,.T.); #266300=ORIENTED_EDGE('',*,*,#196236,.T.); #266301=ORIENTED_EDGE('',*,*,#196238,.F.); #266302=ORIENTED_EDGE('',*,*,#196239,.F.); #266303=ORIENTED_EDGE('',*,*,#196240,.T.); #266304=ORIENTED_EDGE('',*,*,#196239,.T.); #266305=ORIENTED_EDGE('',*,*,#196241,.F.); #266306=ORIENTED_EDGE('',*,*,#196242,.F.); #266307=ORIENTED_EDGE('',*,*,#196243,.T.); #266308=ORIENTED_EDGE('',*,*,#196242,.T.); #266309=ORIENTED_EDGE('',*,*,#196244,.F.); #266310=ORIENTED_EDGE('',*,*,#196245,.F.); #266311=ORIENTED_EDGE('',*,*,#196246,.T.); #266312=ORIENTED_EDGE('',*,*,#196245,.T.); #266313=ORIENTED_EDGE('',*,*,#196247,.F.); #266314=ORIENTED_EDGE('',*,*,#196248,.F.); #266315=ORIENTED_EDGE('',*,*,#196249,.T.); #266316=ORIENTED_EDGE('',*,*,#196248,.T.); #266317=ORIENTED_EDGE('',*,*,#196250,.F.); #266318=ORIENTED_EDGE('',*,*,#196251,.F.); #266319=ORIENTED_EDGE('',*,*,#196252,.T.); #266320=ORIENTED_EDGE('',*,*,#196251,.T.); #266321=ORIENTED_EDGE('',*,*,#196253,.F.); #266322=ORIENTED_EDGE('',*,*,#196254,.F.); #266323=ORIENTED_EDGE('',*,*,#196255,.T.); #266324=ORIENTED_EDGE('',*,*,#196254,.T.); #266325=ORIENTED_EDGE('',*,*,#196256,.F.); #266326=ORIENTED_EDGE('',*,*,#196257,.F.); #266327=ORIENTED_EDGE('',*,*,#196258,.T.); #266328=ORIENTED_EDGE('',*,*,#196257,.T.); #266329=ORIENTED_EDGE('',*,*,#196259,.F.); #266330=ORIENTED_EDGE('',*,*,#196260,.F.); #266331=ORIENTED_EDGE('',*,*,#196261,.T.); #266332=ORIENTED_EDGE('',*,*,#196260,.T.); #266333=ORIENTED_EDGE('',*,*,#196262,.F.); #266334=ORIENTED_EDGE('',*,*,#196263,.F.); #266335=ORIENTED_EDGE('',*,*,#196264,.T.); #266336=ORIENTED_EDGE('',*,*,#196263,.T.); #266337=ORIENTED_EDGE('',*,*,#196265,.F.); #266338=ORIENTED_EDGE('',*,*,#196266,.F.); #266339=ORIENTED_EDGE('',*,*,#196267,.T.); #266340=ORIENTED_EDGE('',*,*,#196266,.T.); #266341=ORIENTED_EDGE('',*,*,#196268,.F.); #266342=ORIENTED_EDGE('',*,*,#196269,.F.); #266343=ORIENTED_EDGE('',*,*,#196270,.T.); #266344=ORIENTED_EDGE('',*,*,#196269,.T.); #266345=ORIENTED_EDGE('',*,*,#196271,.F.); #266346=ORIENTED_EDGE('',*,*,#196272,.F.); #266347=ORIENTED_EDGE('',*,*,#196273,.T.); #266348=ORIENTED_EDGE('',*,*,#196272,.T.); #266349=ORIENTED_EDGE('',*,*,#196274,.F.); #266350=ORIENTED_EDGE('',*,*,#196275,.F.); #266351=ORIENTED_EDGE('',*,*,#196276,.T.); #266352=ORIENTED_EDGE('',*,*,#196275,.T.); #266353=ORIENTED_EDGE('',*,*,#196277,.F.); #266354=ORIENTED_EDGE('',*,*,#196278,.F.); #266355=ORIENTED_EDGE('',*,*,#196279,.T.); #266356=ORIENTED_EDGE('',*,*,#196278,.T.); #266357=ORIENTED_EDGE('',*,*,#196280,.F.); #266358=ORIENTED_EDGE('',*,*,#196281,.F.); #266359=ORIENTED_EDGE('',*,*,#196282,.T.); #266360=ORIENTED_EDGE('',*,*,#196281,.T.); #266361=ORIENTED_EDGE('',*,*,#196283,.F.); #266362=ORIENTED_EDGE('',*,*,#196284,.F.); #266363=ORIENTED_EDGE('',*,*,#196285,.T.); #266364=ORIENTED_EDGE('',*,*,#196284,.T.); #266365=ORIENTED_EDGE('',*,*,#196286,.F.); #266366=ORIENTED_EDGE('',*,*,#196287,.F.); #266367=ORIENTED_EDGE('',*,*,#196288,.T.); #266368=ORIENTED_EDGE('',*,*,#196287,.T.); #266369=ORIENTED_EDGE('',*,*,#196289,.F.); #266370=ORIENTED_EDGE('',*,*,#196290,.F.); #266371=ORIENTED_EDGE('',*,*,#196291,.T.); #266372=ORIENTED_EDGE('',*,*,#196290,.T.); #266373=ORIENTED_EDGE('',*,*,#196292,.F.); #266374=ORIENTED_EDGE('',*,*,#196293,.F.); #266375=ORIENTED_EDGE('',*,*,#196294,.T.); #266376=ORIENTED_EDGE('',*,*,#196293,.T.); #266377=ORIENTED_EDGE('',*,*,#196295,.F.); #266378=ORIENTED_EDGE('',*,*,#196296,.F.); #266379=ORIENTED_EDGE('',*,*,#196297,.T.); #266380=ORIENTED_EDGE('',*,*,#196296,.T.); #266381=ORIENTED_EDGE('',*,*,#196298,.F.); #266382=ORIENTED_EDGE('',*,*,#196299,.F.); #266383=ORIENTED_EDGE('',*,*,#196300,.T.); #266384=ORIENTED_EDGE('',*,*,#196299,.T.); #266385=ORIENTED_EDGE('',*,*,#196301,.F.); #266386=ORIENTED_EDGE('',*,*,#196302,.F.); #266387=ORIENTED_EDGE('',*,*,#196303,.T.); #266388=ORIENTED_EDGE('',*,*,#196302,.T.); #266389=ORIENTED_EDGE('',*,*,#196304,.F.); #266390=ORIENTED_EDGE('',*,*,#196204,.F.); #266391=ORIENTED_EDGE('',*,*,#196305,.T.); #266392=ORIENTED_EDGE('',*,*,#196306,.T.); #266393=ORIENTED_EDGE('',*,*,#196307,.F.); #266394=ORIENTED_EDGE('',*,*,#196308,.F.); #266395=ORIENTED_EDGE('',*,*,#196309,.T.); #266396=ORIENTED_EDGE('',*,*,#196308,.T.); #266397=ORIENTED_EDGE('',*,*,#196310,.F.); #266398=ORIENTED_EDGE('',*,*,#196311,.F.); #266399=ORIENTED_EDGE('',*,*,#196312,.T.); #266400=ORIENTED_EDGE('',*,*,#196311,.T.); #266401=ORIENTED_EDGE('',*,*,#196313,.F.); #266402=ORIENTED_EDGE('',*,*,#196314,.F.); #266403=ORIENTED_EDGE('',*,*,#196315,.T.); #266404=ORIENTED_EDGE('',*,*,#196314,.T.); #266405=ORIENTED_EDGE('',*,*,#196316,.F.); #266406=ORIENTED_EDGE('',*,*,#196317,.F.); #266407=ORIENTED_EDGE('',*,*,#196318,.T.); #266408=ORIENTED_EDGE('',*,*,#196317,.T.); #266409=ORIENTED_EDGE('',*,*,#196319,.F.); #266410=ORIENTED_EDGE('',*,*,#196320,.F.); #266411=ORIENTED_EDGE('',*,*,#196321,.T.); #266412=ORIENTED_EDGE('',*,*,#196320,.T.); #266413=ORIENTED_EDGE('',*,*,#196322,.F.); #266414=ORIENTED_EDGE('',*,*,#196323,.F.); #266415=ORIENTED_EDGE('',*,*,#196324,.T.); #266416=ORIENTED_EDGE('',*,*,#196323,.T.); #266417=ORIENTED_EDGE('',*,*,#196325,.F.); #266418=ORIENTED_EDGE('',*,*,#196326,.F.); #266419=ORIENTED_EDGE('',*,*,#196327,.T.); #266420=ORIENTED_EDGE('',*,*,#196326,.T.); #266421=ORIENTED_EDGE('',*,*,#196328,.F.); #266422=ORIENTED_EDGE('',*,*,#196329,.F.); #266423=ORIENTED_EDGE('',*,*,#196330,.T.); #266424=ORIENTED_EDGE('',*,*,#196329,.T.); #266425=ORIENTED_EDGE('',*,*,#196331,.F.); #266426=ORIENTED_EDGE('',*,*,#196332,.F.); #266427=ORIENTED_EDGE('',*,*,#196333,.T.); #266428=ORIENTED_EDGE('',*,*,#196332,.T.); #266429=ORIENTED_EDGE('',*,*,#196334,.F.); #266430=ORIENTED_EDGE('',*,*,#196335,.F.); #266431=ORIENTED_EDGE('',*,*,#196336,.T.); #266432=ORIENTED_EDGE('',*,*,#196335,.T.); #266433=ORIENTED_EDGE('',*,*,#196337,.F.); #266434=ORIENTED_EDGE('',*,*,#196338,.F.); #266435=ORIENTED_EDGE('',*,*,#196339,.T.); #266436=ORIENTED_EDGE('',*,*,#196338,.T.); #266437=ORIENTED_EDGE('',*,*,#196340,.F.); #266438=ORIENTED_EDGE('',*,*,#196341,.F.); #266439=ORIENTED_EDGE('',*,*,#196342,.T.); #266440=ORIENTED_EDGE('',*,*,#196341,.T.); #266441=ORIENTED_EDGE('',*,*,#196343,.F.); #266442=ORIENTED_EDGE('',*,*,#196344,.F.); #266443=ORIENTED_EDGE('',*,*,#196345,.T.); #266444=ORIENTED_EDGE('',*,*,#196344,.T.); #266445=ORIENTED_EDGE('',*,*,#196346,.F.); #266446=ORIENTED_EDGE('',*,*,#196347,.F.); #266447=ORIENTED_EDGE('',*,*,#196348,.T.); #266448=ORIENTED_EDGE('',*,*,#196347,.T.); #266449=ORIENTED_EDGE('',*,*,#196349,.F.); #266450=ORIENTED_EDGE('',*,*,#196350,.F.); #266451=ORIENTED_EDGE('',*,*,#196351,.T.); #266452=ORIENTED_EDGE('',*,*,#196350,.T.); #266453=ORIENTED_EDGE('',*,*,#196352,.F.); #266454=ORIENTED_EDGE('',*,*,#196353,.F.); #266455=ORIENTED_EDGE('',*,*,#196354,.T.); #266456=ORIENTED_EDGE('',*,*,#196353,.T.); #266457=ORIENTED_EDGE('',*,*,#196355,.F.); #266458=ORIENTED_EDGE('',*,*,#196356,.F.); #266459=ORIENTED_EDGE('',*,*,#196357,.T.); #266460=ORIENTED_EDGE('',*,*,#196356,.T.); #266461=ORIENTED_EDGE('',*,*,#196358,.F.); #266462=ORIENTED_EDGE('',*,*,#196359,.F.); #266463=ORIENTED_EDGE('',*,*,#196360,.T.); #266464=ORIENTED_EDGE('',*,*,#196359,.T.); #266465=ORIENTED_EDGE('',*,*,#196361,.F.); #266466=ORIENTED_EDGE('',*,*,#196362,.F.); #266467=ORIENTED_EDGE('',*,*,#196363,.T.); #266468=ORIENTED_EDGE('',*,*,#196362,.T.); #266469=ORIENTED_EDGE('',*,*,#196364,.F.); #266470=ORIENTED_EDGE('',*,*,#196365,.F.); #266471=ORIENTED_EDGE('',*,*,#196366,.T.); #266472=ORIENTED_EDGE('',*,*,#196365,.T.); #266473=ORIENTED_EDGE('',*,*,#196367,.F.); #266474=ORIENTED_EDGE('',*,*,#196368,.F.); #266475=ORIENTED_EDGE('',*,*,#196369,.T.); #266476=ORIENTED_EDGE('',*,*,#196368,.T.); #266477=ORIENTED_EDGE('',*,*,#196370,.F.); #266478=ORIENTED_EDGE('',*,*,#196371,.F.); #266479=ORIENTED_EDGE('',*,*,#196372,.T.); #266480=ORIENTED_EDGE('',*,*,#196371,.T.); #266481=ORIENTED_EDGE('',*,*,#196373,.F.); #266482=ORIENTED_EDGE('',*,*,#196374,.F.); #266483=ORIENTED_EDGE('',*,*,#196375,.T.); #266484=ORIENTED_EDGE('',*,*,#196374,.T.); #266485=ORIENTED_EDGE('',*,*,#196376,.F.); #266486=ORIENTED_EDGE('',*,*,#196377,.F.); #266487=ORIENTED_EDGE('',*,*,#196378,.T.); #266488=ORIENTED_EDGE('',*,*,#196377,.T.); #266489=ORIENTED_EDGE('',*,*,#196379,.F.); #266490=ORIENTED_EDGE('',*,*,#196380,.F.); #266491=ORIENTED_EDGE('',*,*,#196381,.T.); #266492=ORIENTED_EDGE('',*,*,#196380,.T.); #266493=ORIENTED_EDGE('',*,*,#196382,.F.); #266494=ORIENTED_EDGE('',*,*,#196383,.F.); #266495=ORIENTED_EDGE('',*,*,#196384,.T.); #266496=ORIENTED_EDGE('',*,*,#196383,.T.); #266497=ORIENTED_EDGE('',*,*,#196385,.F.); #266498=ORIENTED_EDGE('',*,*,#196386,.F.); #266499=ORIENTED_EDGE('',*,*,#196387,.T.); #266500=ORIENTED_EDGE('',*,*,#196386,.T.); #266501=ORIENTED_EDGE('',*,*,#196388,.F.); #266502=ORIENTED_EDGE('',*,*,#196389,.F.); #266503=ORIENTED_EDGE('',*,*,#196390,.T.); #266504=ORIENTED_EDGE('',*,*,#196389,.T.); #266505=ORIENTED_EDGE('',*,*,#196391,.F.); #266506=ORIENTED_EDGE('',*,*,#196392,.F.); #266507=ORIENTED_EDGE('',*,*,#196393,.T.); #266508=ORIENTED_EDGE('',*,*,#196392,.T.); #266509=ORIENTED_EDGE('',*,*,#196394,.F.); #266510=ORIENTED_EDGE('',*,*,#196395,.F.); #266511=ORIENTED_EDGE('',*,*,#196396,.T.); #266512=ORIENTED_EDGE('',*,*,#196395,.T.); #266513=ORIENTED_EDGE('',*,*,#196397,.F.); #266514=ORIENTED_EDGE('',*,*,#196398,.F.); #266515=ORIENTED_EDGE('',*,*,#196399,.T.); #266516=ORIENTED_EDGE('',*,*,#196398,.T.); #266517=ORIENTED_EDGE('',*,*,#196400,.F.); #266518=ORIENTED_EDGE('',*,*,#196401,.F.); #266519=ORIENTED_EDGE('',*,*,#196402,.T.); #266520=ORIENTED_EDGE('',*,*,#196401,.T.); #266521=ORIENTED_EDGE('',*,*,#196403,.F.); #266522=ORIENTED_EDGE('',*,*,#196404,.F.); #266523=ORIENTED_EDGE('',*,*,#196405,.T.); #266524=ORIENTED_EDGE('',*,*,#196404,.T.); #266525=ORIENTED_EDGE('',*,*,#196406,.F.); #266526=ORIENTED_EDGE('',*,*,#196407,.F.); #266527=ORIENTED_EDGE('',*,*,#196408,.T.); #266528=ORIENTED_EDGE('',*,*,#196407,.T.); #266529=ORIENTED_EDGE('',*,*,#196409,.F.); #266530=ORIENTED_EDGE('',*,*,#196410,.F.); #266531=ORIENTED_EDGE('',*,*,#196411,.T.); #266532=ORIENTED_EDGE('',*,*,#196410,.T.); #266533=ORIENTED_EDGE('',*,*,#196412,.F.); #266534=ORIENTED_EDGE('',*,*,#196413,.F.); #266535=ORIENTED_EDGE('',*,*,#196414,.T.); #266536=ORIENTED_EDGE('',*,*,#196413,.T.); #266537=ORIENTED_EDGE('',*,*,#196415,.F.); #266538=ORIENTED_EDGE('',*,*,#196416,.F.); #266539=ORIENTED_EDGE('',*,*,#196417,.T.); #266540=ORIENTED_EDGE('',*,*,#196416,.T.); #266541=ORIENTED_EDGE('',*,*,#196418,.F.); #266542=ORIENTED_EDGE('',*,*,#196419,.F.); #266543=ORIENTED_EDGE('',*,*,#196420,.T.); #266544=ORIENTED_EDGE('',*,*,#196419,.T.); #266545=ORIENTED_EDGE('',*,*,#196421,.F.); #266546=ORIENTED_EDGE('',*,*,#196422,.F.); #266547=ORIENTED_EDGE('',*,*,#196423,.T.); #266548=ORIENTED_EDGE('',*,*,#196422,.T.); #266549=ORIENTED_EDGE('',*,*,#196424,.F.); #266550=ORIENTED_EDGE('',*,*,#196306,.F.); #266551=ORIENTED_EDGE('',*,*,#196425,.T.); #266552=ORIENTED_EDGE('',*,*,#196426,.T.); #266553=ORIENTED_EDGE('',*,*,#196427,.F.); #266554=ORIENTED_EDGE('',*,*,#196428,.F.); #266555=ORIENTED_EDGE('',*,*,#196429,.T.); #266556=ORIENTED_EDGE('',*,*,#196428,.T.); #266557=ORIENTED_EDGE('',*,*,#196430,.F.); #266558=ORIENTED_EDGE('',*,*,#196431,.F.); #266559=ORIENTED_EDGE('',*,*,#196432,.T.); #266560=ORIENTED_EDGE('',*,*,#196431,.T.); #266561=ORIENTED_EDGE('',*,*,#196433,.F.); #266562=ORIENTED_EDGE('',*,*,#196434,.F.); #266563=ORIENTED_EDGE('',*,*,#196435,.T.); #266564=ORIENTED_EDGE('',*,*,#196434,.T.); #266565=ORIENTED_EDGE('',*,*,#196436,.F.); #266566=ORIENTED_EDGE('',*,*,#196437,.F.); #266567=ORIENTED_EDGE('',*,*,#196438,.T.); #266568=ORIENTED_EDGE('',*,*,#196437,.T.); #266569=ORIENTED_EDGE('',*,*,#196439,.F.); #266570=ORIENTED_EDGE('',*,*,#196440,.F.); #266571=ORIENTED_EDGE('',*,*,#196441,.T.); #266572=ORIENTED_EDGE('',*,*,#196440,.T.); #266573=ORIENTED_EDGE('',*,*,#196442,.F.); #266574=ORIENTED_EDGE('',*,*,#196443,.F.); #266575=ORIENTED_EDGE('',*,*,#196444,.T.); #266576=ORIENTED_EDGE('',*,*,#196443,.T.); #266577=ORIENTED_EDGE('',*,*,#196445,.F.); #266578=ORIENTED_EDGE('',*,*,#196446,.F.); #266579=ORIENTED_EDGE('',*,*,#196447,.T.); #266580=ORIENTED_EDGE('',*,*,#196446,.T.); #266581=ORIENTED_EDGE('',*,*,#196448,.F.); #266582=ORIENTED_EDGE('',*,*,#196449,.F.); #266583=ORIENTED_EDGE('',*,*,#196450,.T.); #266584=ORIENTED_EDGE('',*,*,#196449,.T.); #266585=ORIENTED_EDGE('',*,*,#196451,.F.); #266586=ORIENTED_EDGE('',*,*,#196452,.F.); #266587=ORIENTED_EDGE('',*,*,#196453,.T.); #266588=ORIENTED_EDGE('',*,*,#196452,.T.); #266589=ORIENTED_EDGE('',*,*,#196454,.F.); #266590=ORIENTED_EDGE('',*,*,#196455,.F.); #266591=ORIENTED_EDGE('',*,*,#196456,.T.); #266592=ORIENTED_EDGE('',*,*,#196455,.T.); #266593=ORIENTED_EDGE('',*,*,#196457,.F.); #266594=ORIENTED_EDGE('',*,*,#196458,.F.); #266595=ORIENTED_EDGE('',*,*,#196459,.T.); #266596=ORIENTED_EDGE('',*,*,#196458,.T.); #266597=ORIENTED_EDGE('',*,*,#196460,.F.); #266598=ORIENTED_EDGE('',*,*,#196461,.F.); #266599=ORIENTED_EDGE('',*,*,#196462,.T.); #266600=ORIENTED_EDGE('',*,*,#196461,.T.); #266601=ORIENTED_EDGE('',*,*,#196463,.F.); #266602=ORIENTED_EDGE('',*,*,#196464,.F.); #266603=ORIENTED_EDGE('',*,*,#196465,.T.); #266604=ORIENTED_EDGE('',*,*,#196464,.T.); #266605=ORIENTED_EDGE('',*,*,#196466,.F.); #266606=ORIENTED_EDGE('',*,*,#196467,.F.); #266607=ORIENTED_EDGE('',*,*,#196468,.T.); #266608=ORIENTED_EDGE('',*,*,#196467,.T.); #266609=ORIENTED_EDGE('',*,*,#196469,.F.); #266610=ORIENTED_EDGE('',*,*,#196470,.F.); #266611=ORIENTED_EDGE('',*,*,#196471,.T.); #266612=ORIENTED_EDGE('',*,*,#196470,.T.); #266613=ORIENTED_EDGE('',*,*,#196472,.F.); #266614=ORIENTED_EDGE('',*,*,#196473,.F.); #266615=ORIENTED_EDGE('',*,*,#196474,.T.); #266616=ORIENTED_EDGE('',*,*,#196473,.T.); #266617=ORIENTED_EDGE('',*,*,#196475,.F.); #266618=ORIENTED_EDGE('',*,*,#196476,.F.); #266619=ORIENTED_EDGE('',*,*,#196477,.T.); #266620=ORIENTED_EDGE('',*,*,#196476,.T.); #266621=ORIENTED_EDGE('',*,*,#196478,.F.); #266622=ORIENTED_EDGE('',*,*,#196479,.F.); #266623=ORIENTED_EDGE('',*,*,#196480,.T.); #266624=ORIENTED_EDGE('',*,*,#196479,.T.); #266625=ORIENTED_EDGE('',*,*,#196481,.F.); #266626=ORIENTED_EDGE('',*,*,#196482,.F.); #266627=ORIENTED_EDGE('',*,*,#196483,.T.); #266628=ORIENTED_EDGE('',*,*,#196482,.T.); #266629=ORIENTED_EDGE('',*,*,#196484,.F.); #266630=ORIENTED_EDGE('',*,*,#196485,.F.); #266631=ORIENTED_EDGE('',*,*,#196486,.T.); #266632=ORIENTED_EDGE('',*,*,#196485,.T.); #266633=ORIENTED_EDGE('',*,*,#196487,.F.); #266634=ORIENTED_EDGE('',*,*,#196488,.F.); #266635=ORIENTED_EDGE('',*,*,#196489,.T.); #266636=ORIENTED_EDGE('',*,*,#196488,.T.); #266637=ORIENTED_EDGE('',*,*,#196490,.F.); #266638=ORIENTED_EDGE('',*,*,#196491,.F.); #266639=ORIENTED_EDGE('',*,*,#196492,.T.); #266640=ORIENTED_EDGE('',*,*,#196491,.T.); #266641=ORIENTED_EDGE('',*,*,#196493,.F.); #266642=ORIENTED_EDGE('',*,*,#196494,.F.); #266643=ORIENTED_EDGE('',*,*,#196495,.T.); #266644=ORIENTED_EDGE('',*,*,#196494,.T.); #266645=ORIENTED_EDGE('',*,*,#196496,.F.); #266646=ORIENTED_EDGE('',*,*,#196497,.F.); #266647=ORIENTED_EDGE('',*,*,#196498,.T.); #266648=ORIENTED_EDGE('',*,*,#196497,.T.); #266649=ORIENTED_EDGE('',*,*,#196499,.F.); #266650=ORIENTED_EDGE('',*,*,#196500,.F.); #266651=ORIENTED_EDGE('',*,*,#196501,.T.); #266652=ORIENTED_EDGE('',*,*,#196500,.T.); #266653=ORIENTED_EDGE('',*,*,#196502,.F.); #266654=ORIENTED_EDGE('',*,*,#196503,.F.); #266655=ORIENTED_EDGE('',*,*,#196504,.T.); #266656=ORIENTED_EDGE('',*,*,#196503,.T.); #266657=ORIENTED_EDGE('',*,*,#196505,.F.); #266658=ORIENTED_EDGE('',*,*,#196506,.F.); #266659=ORIENTED_EDGE('',*,*,#196507,.T.); #266660=ORIENTED_EDGE('',*,*,#196506,.T.); #266661=ORIENTED_EDGE('',*,*,#196508,.F.); #266662=ORIENTED_EDGE('',*,*,#196509,.F.); #266663=ORIENTED_EDGE('',*,*,#196510,.T.); #266664=ORIENTED_EDGE('',*,*,#196509,.T.); #266665=ORIENTED_EDGE('',*,*,#196511,.F.); #266666=ORIENTED_EDGE('',*,*,#196512,.F.); #266667=ORIENTED_EDGE('',*,*,#196513,.T.); #266668=ORIENTED_EDGE('',*,*,#196512,.T.); #266669=ORIENTED_EDGE('',*,*,#196514,.F.); #266670=ORIENTED_EDGE('',*,*,#196515,.F.); #266671=ORIENTED_EDGE('',*,*,#196516,.T.); #266672=ORIENTED_EDGE('',*,*,#196515,.T.); #266673=ORIENTED_EDGE('',*,*,#196517,.F.); #266674=ORIENTED_EDGE('',*,*,#196518,.F.); #266675=ORIENTED_EDGE('',*,*,#196519,.T.); #266676=ORIENTED_EDGE('',*,*,#196518,.T.); #266677=ORIENTED_EDGE('',*,*,#196520,.F.); #266678=ORIENTED_EDGE('',*,*,#196521,.F.); #266679=ORIENTED_EDGE('',*,*,#196522,.T.); #266680=ORIENTED_EDGE('',*,*,#196521,.T.); #266681=ORIENTED_EDGE('',*,*,#196523,.F.); #266682=ORIENTED_EDGE('',*,*,#196524,.F.); #266683=ORIENTED_EDGE('',*,*,#196525,.T.); #266684=ORIENTED_EDGE('',*,*,#196524,.T.); #266685=ORIENTED_EDGE('',*,*,#196526,.F.); #266686=ORIENTED_EDGE('',*,*,#196527,.F.); #266687=ORIENTED_EDGE('',*,*,#196528,.T.); #266688=ORIENTED_EDGE('',*,*,#196527,.T.); #266689=ORIENTED_EDGE('',*,*,#196529,.F.); #266690=ORIENTED_EDGE('',*,*,#196530,.F.); #266691=ORIENTED_EDGE('',*,*,#196531,.T.); #266692=ORIENTED_EDGE('',*,*,#196530,.T.); #266693=ORIENTED_EDGE('',*,*,#196532,.F.); #266694=ORIENTED_EDGE('',*,*,#196533,.F.); #266695=ORIENTED_EDGE('',*,*,#196534,.T.); #266696=ORIENTED_EDGE('',*,*,#196533,.T.); #266697=ORIENTED_EDGE('',*,*,#196535,.F.); #266698=ORIENTED_EDGE('',*,*,#196536,.F.); #266699=ORIENTED_EDGE('',*,*,#196537,.T.); #266700=ORIENTED_EDGE('',*,*,#196536,.T.); #266701=ORIENTED_EDGE('',*,*,#196538,.F.); #266702=ORIENTED_EDGE('',*,*,#196426,.F.); #266703=ORIENTED_EDGE('',*,*,#196539,.T.); #266704=ORIENTED_EDGE('',*,*,#196540,.T.); #266705=ORIENTED_EDGE('',*,*,#196541,.F.); #266706=ORIENTED_EDGE('',*,*,#196542,.F.); #266707=ORIENTED_EDGE('',*,*,#196543,.T.); #266708=ORIENTED_EDGE('',*,*,#196542,.T.); #266709=ORIENTED_EDGE('',*,*,#196544,.F.); #266710=ORIENTED_EDGE('',*,*,#196545,.F.); #266711=ORIENTED_EDGE('',*,*,#196546,.T.); #266712=ORIENTED_EDGE('',*,*,#196545,.T.); #266713=ORIENTED_EDGE('',*,*,#196547,.F.); #266714=ORIENTED_EDGE('',*,*,#196548,.F.); #266715=ORIENTED_EDGE('',*,*,#196549,.T.); #266716=ORIENTED_EDGE('',*,*,#196548,.T.); #266717=ORIENTED_EDGE('',*,*,#196550,.F.); #266718=ORIENTED_EDGE('',*,*,#196551,.F.); #266719=ORIENTED_EDGE('',*,*,#196552,.T.); #266720=ORIENTED_EDGE('',*,*,#196551,.T.); #266721=ORIENTED_EDGE('',*,*,#196553,.F.); #266722=ORIENTED_EDGE('',*,*,#196554,.F.); #266723=ORIENTED_EDGE('',*,*,#196555,.T.); #266724=ORIENTED_EDGE('',*,*,#196554,.T.); #266725=ORIENTED_EDGE('',*,*,#196556,.F.); #266726=ORIENTED_EDGE('',*,*,#196557,.F.); #266727=ORIENTED_EDGE('',*,*,#196558,.T.); #266728=ORIENTED_EDGE('',*,*,#196557,.T.); #266729=ORIENTED_EDGE('',*,*,#196559,.F.); #266730=ORIENTED_EDGE('',*,*,#196560,.F.); #266731=ORIENTED_EDGE('',*,*,#196561,.T.); #266732=ORIENTED_EDGE('',*,*,#196560,.T.); #266733=ORIENTED_EDGE('',*,*,#196562,.F.); #266734=ORIENTED_EDGE('',*,*,#196563,.F.); #266735=ORIENTED_EDGE('',*,*,#196564,.T.); #266736=ORIENTED_EDGE('',*,*,#196563,.T.); #266737=ORIENTED_EDGE('',*,*,#196565,.F.); #266738=ORIENTED_EDGE('',*,*,#196566,.F.); #266739=ORIENTED_EDGE('',*,*,#196567,.T.); #266740=ORIENTED_EDGE('',*,*,#196566,.T.); #266741=ORIENTED_EDGE('',*,*,#196568,.F.); #266742=ORIENTED_EDGE('',*,*,#196569,.F.); #266743=ORIENTED_EDGE('',*,*,#196570,.T.); #266744=ORIENTED_EDGE('',*,*,#196569,.T.); #266745=ORIENTED_EDGE('',*,*,#196571,.F.); #266746=ORIENTED_EDGE('',*,*,#196572,.F.); #266747=ORIENTED_EDGE('',*,*,#196573,.T.); #266748=ORIENTED_EDGE('',*,*,#196572,.T.); #266749=ORIENTED_EDGE('',*,*,#196574,.F.); #266750=ORIENTED_EDGE('',*,*,#196575,.F.); #266751=ORIENTED_EDGE('',*,*,#196576,.T.); #266752=ORIENTED_EDGE('',*,*,#196575,.T.); #266753=ORIENTED_EDGE('',*,*,#196577,.F.); #266754=ORIENTED_EDGE('',*,*,#196578,.F.); #266755=ORIENTED_EDGE('',*,*,#196579,.T.); #266756=ORIENTED_EDGE('',*,*,#196578,.T.); #266757=ORIENTED_EDGE('',*,*,#196580,.F.); #266758=ORIENTED_EDGE('',*,*,#196581,.F.); #266759=ORIENTED_EDGE('',*,*,#196582,.T.); #266760=ORIENTED_EDGE('',*,*,#196581,.T.); #266761=ORIENTED_EDGE('',*,*,#196583,.F.); #266762=ORIENTED_EDGE('',*,*,#196584,.F.); #266763=ORIENTED_EDGE('',*,*,#196585,.T.); #266764=ORIENTED_EDGE('',*,*,#196584,.T.); #266765=ORIENTED_EDGE('',*,*,#196586,.F.); #266766=ORIENTED_EDGE('',*,*,#196587,.F.); #266767=ORIENTED_EDGE('',*,*,#196588,.T.); #266768=ORIENTED_EDGE('',*,*,#196587,.T.); #266769=ORIENTED_EDGE('',*,*,#196589,.F.); #266770=ORIENTED_EDGE('',*,*,#196590,.F.); #266771=ORIENTED_EDGE('',*,*,#196591,.T.); #266772=ORIENTED_EDGE('',*,*,#196590,.T.); #266773=ORIENTED_EDGE('',*,*,#196592,.F.); #266774=ORIENTED_EDGE('',*,*,#196593,.F.); #266775=ORIENTED_EDGE('',*,*,#196594,.T.); #266776=ORIENTED_EDGE('',*,*,#196593,.T.); #266777=ORIENTED_EDGE('',*,*,#196595,.F.); #266778=ORIENTED_EDGE('',*,*,#196596,.F.); #266779=ORIENTED_EDGE('',*,*,#196597,.T.); #266780=ORIENTED_EDGE('',*,*,#196596,.T.); #266781=ORIENTED_EDGE('',*,*,#196598,.F.); #266782=ORIENTED_EDGE('',*,*,#196599,.F.); #266783=ORIENTED_EDGE('',*,*,#196600,.T.); #266784=ORIENTED_EDGE('',*,*,#196599,.T.); #266785=ORIENTED_EDGE('',*,*,#196601,.F.); #266786=ORIENTED_EDGE('',*,*,#196602,.F.); #266787=ORIENTED_EDGE('',*,*,#196603,.T.); #266788=ORIENTED_EDGE('',*,*,#196602,.T.); #266789=ORIENTED_EDGE('',*,*,#196604,.F.); #266790=ORIENTED_EDGE('',*,*,#196605,.F.); #266791=ORIENTED_EDGE('',*,*,#196606,.T.); #266792=ORIENTED_EDGE('',*,*,#196605,.T.); #266793=ORIENTED_EDGE('',*,*,#196607,.F.); #266794=ORIENTED_EDGE('',*,*,#196608,.F.); #266795=ORIENTED_EDGE('',*,*,#196609,.T.); #266796=ORIENTED_EDGE('',*,*,#196608,.T.); #266797=ORIENTED_EDGE('',*,*,#196610,.F.); #266798=ORIENTED_EDGE('',*,*,#196540,.F.); #266799=ORIENTED_EDGE('',*,*,#196611,.T.); #266800=ORIENTED_EDGE('',*,*,#196612,.T.); #266801=ORIENTED_EDGE('',*,*,#196613,.F.); #266802=ORIENTED_EDGE('',*,*,#196614,.F.); #266803=ORIENTED_EDGE('',*,*,#196615,.T.); #266804=ORIENTED_EDGE('',*,*,#196614,.T.); #266805=ORIENTED_EDGE('',*,*,#196616,.F.); #266806=ORIENTED_EDGE('',*,*,#196617,.F.); #266807=ORIENTED_EDGE('',*,*,#196618,.T.); #266808=ORIENTED_EDGE('',*,*,#196617,.T.); #266809=ORIENTED_EDGE('',*,*,#196619,.F.); #266810=ORIENTED_EDGE('',*,*,#196620,.F.); #266811=ORIENTED_EDGE('',*,*,#196621,.T.); #266812=ORIENTED_EDGE('',*,*,#196620,.T.); #266813=ORIENTED_EDGE('',*,*,#196622,.F.); #266814=ORIENTED_EDGE('',*,*,#196623,.F.); #266815=ORIENTED_EDGE('',*,*,#196624,.T.); #266816=ORIENTED_EDGE('',*,*,#196623,.T.); #266817=ORIENTED_EDGE('',*,*,#196625,.F.); #266818=ORIENTED_EDGE('',*,*,#196626,.F.); #266819=ORIENTED_EDGE('',*,*,#196627,.T.); #266820=ORIENTED_EDGE('',*,*,#196626,.T.); #266821=ORIENTED_EDGE('',*,*,#196628,.F.); #266822=ORIENTED_EDGE('',*,*,#196629,.F.); #266823=ORIENTED_EDGE('',*,*,#196630,.T.); #266824=ORIENTED_EDGE('',*,*,#196629,.T.); #266825=ORIENTED_EDGE('',*,*,#196631,.F.); #266826=ORIENTED_EDGE('',*,*,#196632,.F.); #266827=ORIENTED_EDGE('',*,*,#196633,.T.); #266828=ORIENTED_EDGE('',*,*,#196632,.T.); #266829=ORIENTED_EDGE('',*,*,#196634,.F.); #266830=ORIENTED_EDGE('',*,*,#196635,.F.); #266831=ORIENTED_EDGE('',*,*,#196636,.T.); #266832=ORIENTED_EDGE('',*,*,#196635,.T.); #266833=ORIENTED_EDGE('',*,*,#196637,.F.); #266834=ORIENTED_EDGE('',*,*,#196638,.F.); #266835=ORIENTED_EDGE('',*,*,#196639,.T.); #266836=ORIENTED_EDGE('',*,*,#196638,.T.); #266837=ORIENTED_EDGE('',*,*,#196640,.F.); #266838=ORIENTED_EDGE('',*,*,#196641,.F.); #266839=ORIENTED_EDGE('',*,*,#196642,.T.); #266840=ORIENTED_EDGE('',*,*,#196641,.T.); #266841=ORIENTED_EDGE('',*,*,#196643,.F.); #266842=ORIENTED_EDGE('',*,*,#196644,.F.); #266843=ORIENTED_EDGE('',*,*,#196645,.T.); #266844=ORIENTED_EDGE('',*,*,#196644,.T.); #266845=ORIENTED_EDGE('',*,*,#196646,.F.); #266846=ORIENTED_EDGE('',*,*,#196647,.F.); #266847=ORIENTED_EDGE('',*,*,#196648,.T.); #266848=ORIENTED_EDGE('',*,*,#196647,.T.); #266849=ORIENTED_EDGE('',*,*,#196649,.F.); #266850=ORIENTED_EDGE('',*,*,#196650,.F.); #266851=ORIENTED_EDGE('',*,*,#196651,.T.); #266852=ORIENTED_EDGE('',*,*,#196650,.T.); #266853=ORIENTED_EDGE('',*,*,#196652,.F.); #266854=ORIENTED_EDGE('',*,*,#196653,.F.); #266855=ORIENTED_EDGE('',*,*,#196654,.T.); #266856=ORIENTED_EDGE('',*,*,#196653,.T.); #266857=ORIENTED_EDGE('',*,*,#196655,.F.); #266858=ORIENTED_EDGE('',*,*,#196656,.F.); #266859=ORIENTED_EDGE('',*,*,#196657,.T.); #266860=ORIENTED_EDGE('',*,*,#196656,.T.); #266861=ORIENTED_EDGE('',*,*,#196658,.F.); #266862=ORIENTED_EDGE('',*,*,#196659,.F.); #266863=ORIENTED_EDGE('',*,*,#196660,.T.); #266864=ORIENTED_EDGE('',*,*,#196659,.T.); #266865=ORIENTED_EDGE('',*,*,#196661,.F.); #266866=ORIENTED_EDGE('',*,*,#196662,.F.); #266867=ORIENTED_EDGE('',*,*,#196663,.T.); #266868=ORIENTED_EDGE('',*,*,#196662,.T.); #266869=ORIENTED_EDGE('',*,*,#196664,.F.); #266870=ORIENTED_EDGE('',*,*,#196665,.F.); #266871=ORIENTED_EDGE('',*,*,#196666,.T.); #266872=ORIENTED_EDGE('',*,*,#196665,.T.); #266873=ORIENTED_EDGE('',*,*,#196667,.F.); #266874=ORIENTED_EDGE('',*,*,#196668,.F.); #266875=ORIENTED_EDGE('',*,*,#196669,.T.); #266876=ORIENTED_EDGE('',*,*,#196668,.T.); #266877=ORIENTED_EDGE('',*,*,#196670,.F.); #266878=ORIENTED_EDGE('',*,*,#196671,.F.); #266879=ORIENTED_EDGE('',*,*,#196672,.T.); #266880=ORIENTED_EDGE('',*,*,#196671,.T.); #266881=ORIENTED_EDGE('',*,*,#196673,.F.); #266882=ORIENTED_EDGE('',*,*,#196674,.F.); #266883=ORIENTED_EDGE('',*,*,#196675,.T.); #266884=ORIENTED_EDGE('',*,*,#196674,.T.); #266885=ORIENTED_EDGE('',*,*,#196676,.F.); #266886=ORIENTED_EDGE('',*,*,#196677,.F.); #266887=ORIENTED_EDGE('',*,*,#196678,.T.); #266888=ORIENTED_EDGE('',*,*,#196677,.T.); #266889=ORIENTED_EDGE('',*,*,#196679,.F.); #266890=ORIENTED_EDGE('',*,*,#196680,.F.); #266891=ORIENTED_EDGE('',*,*,#196681,.T.); #266892=ORIENTED_EDGE('',*,*,#196680,.T.); #266893=ORIENTED_EDGE('',*,*,#196682,.F.); #266894=ORIENTED_EDGE('',*,*,#196683,.F.); #266895=ORIENTED_EDGE('',*,*,#196684,.T.); #266896=ORIENTED_EDGE('',*,*,#196683,.T.); #266897=ORIENTED_EDGE('',*,*,#196685,.F.); #266898=ORIENTED_EDGE('',*,*,#196686,.F.); #266899=ORIENTED_EDGE('',*,*,#196687,.T.); #266900=ORIENTED_EDGE('',*,*,#196686,.T.); #266901=ORIENTED_EDGE('',*,*,#196688,.F.); #266902=ORIENTED_EDGE('',*,*,#196689,.F.); #266903=ORIENTED_EDGE('',*,*,#196690,.T.); #266904=ORIENTED_EDGE('',*,*,#196689,.T.); #266905=ORIENTED_EDGE('',*,*,#196691,.F.); #266906=ORIENTED_EDGE('',*,*,#196692,.F.); #266907=ORIENTED_EDGE('',*,*,#196693,.T.); #266908=ORIENTED_EDGE('',*,*,#196692,.T.); #266909=ORIENTED_EDGE('',*,*,#196694,.F.); #266910=ORIENTED_EDGE('',*,*,#196695,.F.); #266911=ORIENTED_EDGE('',*,*,#196696,.T.); #266912=ORIENTED_EDGE('',*,*,#196695,.T.); #266913=ORIENTED_EDGE('',*,*,#196697,.F.); #266914=ORIENTED_EDGE('',*,*,#196698,.F.); #266915=ORIENTED_EDGE('',*,*,#196699,.T.); #266916=ORIENTED_EDGE('',*,*,#196698,.T.); #266917=ORIENTED_EDGE('',*,*,#196700,.F.); #266918=ORIENTED_EDGE('',*,*,#196701,.F.); #266919=ORIENTED_EDGE('',*,*,#196702,.T.); #266920=ORIENTED_EDGE('',*,*,#196701,.T.); #266921=ORIENTED_EDGE('',*,*,#196703,.F.); #266922=ORIENTED_EDGE('',*,*,#196704,.F.); #266923=ORIENTED_EDGE('',*,*,#196705,.T.); #266924=ORIENTED_EDGE('',*,*,#196704,.T.); #266925=ORIENTED_EDGE('',*,*,#196706,.F.); #266926=ORIENTED_EDGE('',*,*,#196707,.F.); #266927=ORIENTED_EDGE('',*,*,#196708,.T.); #266928=ORIENTED_EDGE('',*,*,#196707,.T.); #266929=ORIENTED_EDGE('',*,*,#196709,.F.); #266930=ORIENTED_EDGE('',*,*,#196710,.F.); #266931=ORIENTED_EDGE('',*,*,#196711,.T.); #266932=ORIENTED_EDGE('',*,*,#196710,.T.); #266933=ORIENTED_EDGE('',*,*,#196712,.F.); #266934=ORIENTED_EDGE('',*,*,#196713,.F.); #266935=ORIENTED_EDGE('',*,*,#196714,.T.); #266936=ORIENTED_EDGE('',*,*,#196713,.T.); #266937=ORIENTED_EDGE('',*,*,#196715,.F.); #266938=ORIENTED_EDGE('',*,*,#196716,.F.); #266939=ORIENTED_EDGE('',*,*,#196717,.T.); #266940=ORIENTED_EDGE('',*,*,#196716,.T.); #266941=ORIENTED_EDGE('',*,*,#196718,.F.); #266942=ORIENTED_EDGE('',*,*,#196719,.F.); #266943=ORIENTED_EDGE('',*,*,#196720,.T.); #266944=ORIENTED_EDGE('',*,*,#196719,.T.); #266945=ORIENTED_EDGE('',*,*,#196721,.F.); #266946=ORIENTED_EDGE('',*,*,#196722,.F.); #266947=ORIENTED_EDGE('',*,*,#196723,.T.); #266948=ORIENTED_EDGE('',*,*,#196722,.T.); #266949=ORIENTED_EDGE('',*,*,#196724,.F.); #266950=ORIENTED_EDGE('',*,*,#196725,.F.); #266951=ORIENTED_EDGE('',*,*,#196726,.T.); #266952=ORIENTED_EDGE('',*,*,#196725,.T.); #266953=ORIENTED_EDGE('',*,*,#196727,.F.); #266954=ORIENTED_EDGE('',*,*,#196728,.F.); #266955=ORIENTED_EDGE('',*,*,#196729,.T.); #266956=ORIENTED_EDGE('',*,*,#196728,.T.); #266957=ORIENTED_EDGE('',*,*,#196730,.F.); #266958=ORIENTED_EDGE('',*,*,#196731,.F.); #266959=ORIENTED_EDGE('',*,*,#196732,.T.); #266960=ORIENTED_EDGE('',*,*,#196731,.T.); #266961=ORIENTED_EDGE('',*,*,#196733,.F.); #266962=ORIENTED_EDGE('',*,*,#196734,.F.); #266963=ORIENTED_EDGE('',*,*,#196735,.T.); #266964=ORIENTED_EDGE('',*,*,#196734,.T.); #266965=ORIENTED_EDGE('',*,*,#196736,.F.); #266966=ORIENTED_EDGE('',*,*,#196737,.F.); #266967=ORIENTED_EDGE('',*,*,#196738,.T.); #266968=ORIENTED_EDGE('',*,*,#196737,.T.); #266969=ORIENTED_EDGE('',*,*,#196739,.F.); #266970=ORIENTED_EDGE('',*,*,#196740,.F.); #266971=ORIENTED_EDGE('',*,*,#196741,.T.); #266972=ORIENTED_EDGE('',*,*,#196740,.T.); #266973=ORIENTED_EDGE('',*,*,#196742,.F.); #266974=ORIENTED_EDGE('',*,*,#196743,.F.); #266975=ORIENTED_EDGE('',*,*,#196744,.T.); #266976=ORIENTED_EDGE('',*,*,#196743,.T.); #266977=ORIENTED_EDGE('',*,*,#196745,.F.); #266978=ORIENTED_EDGE('',*,*,#196746,.F.); #266979=ORIENTED_EDGE('',*,*,#196747,.T.); #266980=ORIENTED_EDGE('',*,*,#196746,.T.); #266981=ORIENTED_EDGE('',*,*,#196748,.F.); #266982=ORIENTED_EDGE('',*,*,#196749,.F.); #266983=ORIENTED_EDGE('',*,*,#196750,.T.); #266984=ORIENTED_EDGE('',*,*,#196749,.T.); #266985=ORIENTED_EDGE('',*,*,#196751,.F.); #266986=ORIENTED_EDGE('',*,*,#196752,.F.); #266987=ORIENTED_EDGE('',*,*,#196753,.T.); #266988=ORIENTED_EDGE('',*,*,#196752,.T.); #266989=ORIENTED_EDGE('',*,*,#196754,.F.); #266990=ORIENTED_EDGE('',*,*,#196755,.F.); #266991=ORIENTED_EDGE('',*,*,#196756,.T.); #266992=ORIENTED_EDGE('',*,*,#196755,.T.); #266993=ORIENTED_EDGE('',*,*,#196757,.F.); #266994=ORIENTED_EDGE('',*,*,#196758,.F.); #266995=ORIENTED_EDGE('',*,*,#196759,.T.); #266996=ORIENTED_EDGE('',*,*,#196758,.T.); #266997=ORIENTED_EDGE('',*,*,#196760,.F.); #266998=ORIENTED_EDGE('',*,*,#196761,.F.); #266999=ORIENTED_EDGE('',*,*,#196762,.T.); #267000=ORIENTED_EDGE('',*,*,#196761,.T.); #267001=ORIENTED_EDGE('',*,*,#196763,.F.); #267002=ORIENTED_EDGE('',*,*,#196764,.F.); #267003=ORIENTED_EDGE('',*,*,#196765,.T.); #267004=ORIENTED_EDGE('',*,*,#196764,.T.); #267005=ORIENTED_EDGE('',*,*,#196766,.F.); #267006=ORIENTED_EDGE('',*,*,#196767,.F.); #267007=ORIENTED_EDGE('',*,*,#196768,.T.); #267008=ORIENTED_EDGE('',*,*,#196767,.T.); #267009=ORIENTED_EDGE('',*,*,#196769,.F.); #267010=ORIENTED_EDGE('',*,*,#196770,.F.); #267011=ORIENTED_EDGE('',*,*,#196771,.T.); #267012=ORIENTED_EDGE('',*,*,#196770,.T.); #267013=ORIENTED_EDGE('',*,*,#196772,.F.); #267014=ORIENTED_EDGE('',*,*,#196773,.F.); #267015=ORIENTED_EDGE('',*,*,#196774,.T.); #267016=ORIENTED_EDGE('',*,*,#196773,.T.); #267017=ORIENTED_EDGE('',*,*,#196775,.F.); #267018=ORIENTED_EDGE('',*,*,#196776,.F.); #267019=ORIENTED_EDGE('',*,*,#196777,.T.); #267020=ORIENTED_EDGE('',*,*,#196776,.T.); #267021=ORIENTED_EDGE('',*,*,#196778,.F.); #267022=ORIENTED_EDGE('',*,*,#196779,.F.); #267023=ORIENTED_EDGE('',*,*,#196780,.T.); #267024=ORIENTED_EDGE('',*,*,#196779,.T.); #267025=ORIENTED_EDGE('',*,*,#196781,.F.); #267026=ORIENTED_EDGE('',*,*,#196782,.F.); #267027=ORIENTED_EDGE('',*,*,#196783,.T.); #267028=ORIENTED_EDGE('',*,*,#196782,.T.); #267029=ORIENTED_EDGE('',*,*,#196784,.F.); #267030=ORIENTED_EDGE('',*,*,#196785,.F.); #267031=ORIENTED_EDGE('',*,*,#196786,.T.); #267032=ORIENTED_EDGE('',*,*,#196785,.T.); #267033=ORIENTED_EDGE('',*,*,#196787,.F.); #267034=ORIENTED_EDGE('',*,*,#196788,.F.); #267035=ORIENTED_EDGE('',*,*,#196789,.T.); #267036=ORIENTED_EDGE('',*,*,#196788,.T.); #267037=ORIENTED_EDGE('',*,*,#196790,.F.); #267038=ORIENTED_EDGE('',*,*,#196791,.F.); #267039=ORIENTED_EDGE('',*,*,#196792,.T.); #267040=ORIENTED_EDGE('',*,*,#196791,.T.); #267041=ORIENTED_EDGE('',*,*,#196793,.F.); #267042=ORIENTED_EDGE('',*,*,#196794,.F.); #267043=ORIENTED_EDGE('',*,*,#196795,.T.); #267044=ORIENTED_EDGE('',*,*,#196794,.T.); #267045=ORIENTED_EDGE('',*,*,#196796,.F.); #267046=ORIENTED_EDGE('',*,*,#196797,.F.); #267047=ORIENTED_EDGE('',*,*,#196798,.T.); #267048=ORIENTED_EDGE('',*,*,#196797,.T.); #267049=ORIENTED_EDGE('',*,*,#196799,.F.); #267050=ORIENTED_EDGE('',*,*,#196800,.F.); #267051=ORIENTED_EDGE('',*,*,#196801,.T.); #267052=ORIENTED_EDGE('',*,*,#196800,.T.); #267053=ORIENTED_EDGE('',*,*,#196802,.F.); #267054=ORIENTED_EDGE('',*,*,#196803,.F.); #267055=ORIENTED_EDGE('',*,*,#196804,.T.); #267056=ORIENTED_EDGE('',*,*,#196803,.T.); #267057=ORIENTED_EDGE('',*,*,#196805,.F.); #267058=ORIENTED_EDGE('',*,*,#196806,.F.); #267059=ORIENTED_EDGE('',*,*,#196807,.T.); #267060=ORIENTED_EDGE('',*,*,#196806,.T.); #267061=ORIENTED_EDGE('',*,*,#196808,.F.); #267062=ORIENTED_EDGE('',*,*,#196809,.F.); #267063=ORIENTED_EDGE('',*,*,#196810,.T.); #267064=ORIENTED_EDGE('',*,*,#196809,.T.); #267065=ORIENTED_EDGE('',*,*,#196811,.F.); #267066=ORIENTED_EDGE('',*,*,#196812,.F.); #267067=ORIENTED_EDGE('',*,*,#196813,.T.); #267068=ORIENTED_EDGE('',*,*,#196812,.T.); #267069=ORIENTED_EDGE('',*,*,#196814,.F.); #267070=ORIENTED_EDGE('',*,*,#196815,.F.); #267071=ORIENTED_EDGE('',*,*,#196816,.T.); #267072=ORIENTED_EDGE('',*,*,#196815,.T.); #267073=ORIENTED_EDGE('',*,*,#196817,.F.); #267074=ORIENTED_EDGE('',*,*,#196818,.F.); #267075=ORIENTED_EDGE('',*,*,#196819,.T.); #267076=ORIENTED_EDGE('',*,*,#196818,.T.); #267077=ORIENTED_EDGE('',*,*,#196820,.F.); #267078=ORIENTED_EDGE('',*,*,#196821,.F.); #267079=ORIENTED_EDGE('',*,*,#196822,.T.); #267080=ORIENTED_EDGE('',*,*,#196821,.T.); #267081=ORIENTED_EDGE('',*,*,#196823,.F.); #267082=ORIENTED_EDGE('',*,*,#196824,.F.); #267083=ORIENTED_EDGE('',*,*,#196825,.T.); #267084=ORIENTED_EDGE('',*,*,#196824,.T.); #267085=ORIENTED_EDGE('',*,*,#196826,.F.); #267086=ORIENTED_EDGE('',*,*,#196827,.F.); #267087=ORIENTED_EDGE('',*,*,#196828,.T.); #267088=ORIENTED_EDGE('',*,*,#196827,.T.); #267089=ORIENTED_EDGE('',*,*,#196829,.F.); #267090=ORIENTED_EDGE('',*,*,#196830,.F.); #267091=ORIENTED_EDGE('',*,*,#196831,.T.); #267092=ORIENTED_EDGE('',*,*,#196830,.T.); #267093=ORIENTED_EDGE('',*,*,#196832,.F.); #267094=ORIENTED_EDGE('',*,*,#196833,.F.); #267095=ORIENTED_EDGE('',*,*,#196834,.T.); #267096=ORIENTED_EDGE('',*,*,#196833,.T.); #267097=ORIENTED_EDGE('',*,*,#196835,.F.); #267098=ORIENTED_EDGE('',*,*,#196836,.F.); #267099=ORIENTED_EDGE('',*,*,#196837,.T.); #267100=ORIENTED_EDGE('',*,*,#196836,.T.); #267101=ORIENTED_EDGE('',*,*,#196838,.F.); #267102=ORIENTED_EDGE('',*,*,#196839,.F.); #267103=ORIENTED_EDGE('',*,*,#196840,.T.); #267104=ORIENTED_EDGE('',*,*,#196839,.T.); #267105=ORIENTED_EDGE('',*,*,#196841,.F.); #267106=ORIENTED_EDGE('',*,*,#196842,.F.); #267107=ORIENTED_EDGE('',*,*,#196843,.T.); #267108=ORIENTED_EDGE('',*,*,#196842,.T.); #267109=ORIENTED_EDGE('',*,*,#196844,.F.); #267110=ORIENTED_EDGE('',*,*,#196845,.F.); #267111=ORIENTED_EDGE('',*,*,#196846,.T.); #267112=ORIENTED_EDGE('',*,*,#196845,.T.); #267113=ORIENTED_EDGE('',*,*,#196847,.F.); #267114=ORIENTED_EDGE('',*,*,#196848,.F.); #267115=ORIENTED_EDGE('',*,*,#196849,.T.); #267116=ORIENTED_EDGE('',*,*,#196848,.T.); #267117=ORIENTED_EDGE('',*,*,#196850,.F.); #267118=ORIENTED_EDGE('',*,*,#196851,.F.); #267119=ORIENTED_EDGE('',*,*,#196852,.T.); #267120=ORIENTED_EDGE('',*,*,#196851,.T.); #267121=ORIENTED_EDGE('',*,*,#196853,.F.); #267122=ORIENTED_EDGE('',*,*,#196854,.F.); #267123=ORIENTED_EDGE('',*,*,#196855,.T.); #267124=ORIENTED_EDGE('',*,*,#196854,.T.); #267125=ORIENTED_EDGE('',*,*,#196856,.F.); #267126=ORIENTED_EDGE('',*,*,#196857,.F.); #267127=ORIENTED_EDGE('',*,*,#196858,.T.); #267128=ORIENTED_EDGE('',*,*,#196857,.T.); #267129=ORIENTED_EDGE('',*,*,#196859,.F.); #267130=ORIENTED_EDGE('',*,*,#196860,.F.); #267131=ORIENTED_EDGE('',*,*,#196861,.T.); #267132=ORIENTED_EDGE('',*,*,#196860,.T.); #267133=ORIENTED_EDGE('',*,*,#196862,.F.); #267134=ORIENTED_EDGE('',*,*,#196863,.F.); #267135=ORIENTED_EDGE('',*,*,#196864,.T.); #267136=ORIENTED_EDGE('',*,*,#196863,.T.); #267137=ORIENTED_EDGE('',*,*,#196865,.F.); #267138=ORIENTED_EDGE('',*,*,#196866,.F.); #267139=ORIENTED_EDGE('',*,*,#196867,.T.); #267140=ORIENTED_EDGE('',*,*,#196866,.T.); #267141=ORIENTED_EDGE('',*,*,#196868,.F.); #267142=ORIENTED_EDGE('',*,*,#196869,.F.); #267143=ORIENTED_EDGE('',*,*,#196870,.T.); #267144=ORIENTED_EDGE('',*,*,#196869,.T.); #267145=ORIENTED_EDGE('',*,*,#196871,.F.); #267146=ORIENTED_EDGE('',*,*,#196872,.F.); #267147=ORIENTED_EDGE('',*,*,#196873,.T.); #267148=ORIENTED_EDGE('',*,*,#196872,.T.); #267149=ORIENTED_EDGE('',*,*,#196874,.F.); #267150=ORIENTED_EDGE('',*,*,#196875,.F.); #267151=ORIENTED_EDGE('',*,*,#196876,.T.); #267152=ORIENTED_EDGE('',*,*,#196875,.T.); #267153=ORIENTED_EDGE('',*,*,#196877,.F.); #267154=ORIENTED_EDGE('',*,*,#196878,.F.); #267155=ORIENTED_EDGE('',*,*,#196879,.T.); #267156=ORIENTED_EDGE('',*,*,#196878,.T.); #267157=ORIENTED_EDGE('',*,*,#196880,.F.); #267158=ORIENTED_EDGE('',*,*,#196881,.F.); #267159=ORIENTED_EDGE('',*,*,#196882,.T.); #267160=ORIENTED_EDGE('',*,*,#196881,.T.); #267161=ORIENTED_EDGE('',*,*,#196883,.F.); #267162=ORIENTED_EDGE('',*,*,#196884,.F.); #267163=ORIENTED_EDGE('',*,*,#196885,.T.); #267164=ORIENTED_EDGE('',*,*,#196884,.T.); #267165=ORIENTED_EDGE('',*,*,#196886,.F.); #267166=ORIENTED_EDGE('',*,*,#196887,.F.); #267167=ORIENTED_EDGE('',*,*,#196888,.T.); #267168=ORIENTED_EDGE('',*,*,#196887,.T.); #267169=ORIENTED_EDGE('',*,*,#196889,.F.); #267170=ORIENTED_EDGE('',*,*,#196890,.F.); #267171=ORIENTED_EDGE('',*,*,#196891,.T.); #267172=ORIENTED_EDGE('',*,*,#196890,.T.); #267173=ORIENTED_EDGE('',*,*,#196892,.F.); #267174=ORIENTED_EDGE('',*,*,#196893,.F.); #267175=ORIENTED_EDGE('',*,*,#196894,.T.); #267176=ORIENTED_EDGE('',*,*,#196893,.T.); #267177=ORIENTED_EDGE('',*,*,#196895,.F.); #267178=ORIENTED_EDGE('',*,*,#196896,.F.); #267179=ORIENTED_EDGE('',*,*,#196897,.T.); #267180=ORIENTED_EDGE('',*,*,#196896,.T.); #267181=ORIENTED_EDGE('',*,*,#196898,.F.); #267182=ORIENTED_EDGE('',*,*,#196899,.F.); #267183=ORIENTED_EDGE('',*,*,#196900,.T.); #267184=ORIENTED_EDGE('',*,*,#196899,.T.); #267185=ORIENTED_EDGE('',*,*,#196901,.F.); #267186=ORIENTED_EDGE('',*,*,#196902,.F.); #267187=ORIENTED_EDGE('',*,*,#196903,.T.); #267188=ORIENTED_EDGE('',*,*,#196902,.T.); #267189=ORIENTED_EDGE('',*,*,#196904,.F.); #267190=ORIENTED_EDGE('',*,*,#196905,.F.); #267191=ORIENTED_EDGE('',*,*,#196906,.T.); #267192=ORIENTED_EDGE('',*,*,#196905,.T.); #267193=ORIENTED_EDGE('',*,*,#196907,.F.); #267194=ORIENTED_EDGE('',*,*,#196908,.F.); #267195=ORIENTED_EDGE('',*,*,#196909,.T.); #267196=ORIENTED_EDGE('',*,*,#196908,.T.); #267197=ORIENTED_EDGE('',*,*,#196910,.F.); #267198=ORIENTED_EDGE('',*,*,#196911,.F.); #267199=ORIENTED_EDGE('',*,*,#196912,.T.); #267200=ORIENTED_EDGE('',*,*,#196911,.T.); #267201=ORIENTED_EDGE('',*,*,#196913,.F.); #267202=ORIENTED_EDGE('',*,*,#196914,.F.); #267203=ORIENTED_EDGE('',*,*,#196915,.T.); #267204=ORIENTED_EDGE('',*,*,#196914,.T.); #267205=ORIENTED_EDGE('',*,*,#196916,.F.); #267206=ORIENTED_EDGE('',*,*,#196917,.F.); #267207=ORIENTED_EDGE('',*,*,#196918,.T.); #267208=ORIENTED_EDGE('',*,*,#196917,.T.); #267209=ORIENTED_EDGE('',*,*,#196919,.F.); #267210=ORIENTED_EDGE('',*,*,#196920,.F.); #267211=ORIENTED_EDGE('',*,*,#196921,.T.); #267212=ORIENTED_EDGE('',*,*,#196920,.T.); #267213=ORIENTED_EDGE('',*,*,#196922,.F.); #267214=ORIENTED_EDGE('',*,*,#196923,.F.); #267215=ORIENTED_EDGE('',*,*,#196924,.T.); #267216=ORIENTED_EDGE('',*,*,#196923,.T.); #267217=ORIENTED_EDGE('',*,*,#196925,.F.); #267218=ORIENTED_EDGE('',*,*,#196926,.F.); #267219=ORIENTED_EDGE('',*,*,#196927,.T.); #267220=ORIENTED_EDGE('',*,*,#196926,.T.); #267221=ORIENTED_EDGE('',*,*,#196928,.F.); #267222=ORIENTED_EDGE('',*,*,#196929,.F.); #267223=ORIENTED_EDGE('',*,*,#196930,.T.); #267224=ORIENTED_EDGE('',*,*,#196929,.T.); #267225=ORIENTED_EDGE('',*,*,#196931,.F.); #267226=ORIENTED_EDGE('',*,*,#196932,.F.); #267227=ORIENTED_EDGE('',*,*,#196933,.T.); #267228=ORIENTED_EDGE('',*,*,#196932,.T.); #267229=ORIENTED_EDGE('',*,*,#196934,.F.); #267230=ORIENTED_EDGE('',*,*,#196935,.F.); #267231=ORIENTED_EDGE('',*,*,#196936,.T.); #267232=ORIENTED_EDGE('',*,*,#196935,.T.); #267233=ORIENTED_EDGE('',*,*,#196937,.F.); #267234=ORIENTED_EDGE('',*,*,#196938,.F.); #267235=ORIENTED_EDGE('',*,*,#196939,.T.); #267236=ORIENTED_EDGE('',*,*,#196938,.T.); #267237=ORIENTED_EDGE('',*,*,#196940,.F.); #267238=ORIENTED_EDGE('',*,*,#196941,.F.); #267239=ORIENTED_EDGE('',*,*,#196942,.T.); #267240=ORIENTED_EDGE('',*,*,#196941,.T.); #267241=ORIENTED_EDGE('',*,*,#196943,.F.); #267242=ORIENTED_EDGE('',*,*,#196944,.F.); #267243=ORIENTED_EDGE('',*,*,#196945,.T.); #267244=ORIENTED_EDGE('',*,*,#196944,.T.); #267245=ORIENTED_EDGE('',*,*,#196946,.F.); #267246=ORIENTED_EDGE('',*,*,#196947,.F.); #267247=ORIENTED_EDGE('',*,*,#196948,.T.); #267248=ORIENTED_EDGE('',*,*,#196947,.T.); #267249=ORIENTED_EDGE('',*,*,#196949,.F.); #267250=ORIENTED_EDGE('',*,*,#196950,.F.); #267251=ORIENTED_EDGE('',*,*,#196951,.T.); #267252=ORIENTED_EDGE('',*,*,#196950,.T.); #267253=ORIENTED_EDGE('',*,*,#196952,.F.); #267254=ORIENTED_EDGE('',*,*,#196953,.F.); #267255=ORIENTED_EDGE('',*,*,#196954,.T.); #267256=ORIENTED_EDGE('',*,*,#196953,.T.); #267257=ORIENTED_EDGE('',*,*,#196955,.F.); #267258=ORIENTED_EDGE('',*,*,#196956,.F.); #267259=ORIENTED_EDGE('',*,*,#196957,.T.); #267260=ORIENTED_EDGE('',*,*,#196956,.T.); #267261=ORIENTED_EDGE('',*,*,#196958,.F.); #267262=ORIENTED_EDGE('',*,*,#196959,.F.); #267263=ORIENTED_EDGE('',*,*,#196960,.T.); #267264=ORIENTED_EDGE('',*,*,#196959,.T.); #267265=ORIENTED_EDGE('',*,*,#196961,.F.); #267266=ORIENTED_EDGE('',*,*,#196962,.F.); #267267=ORIENTED_EDGE('',*,*,#196963,.T.); #267268=ORIENTED_EDGE('',*,*,#196962,.T.); #267269=ORIENTED_EDGE('',*,*,#196964,.F.); #267270=ORIENTED_EDGE('',*,*,#196965,.F.); #267271=ORIENTED_EDGE('',*,*,#196966,.T.); #267272=ORIENTED_EDGE('',*,*,#196965,.T.); #267273=ORIENTED_EDGE('',*,*,#196967,.F.); #267274=ORIENTED_EDGE('',*,*,#196968,.F.); #267275=ORIENTED_EDGE('',*,*,#196969,.T.); #267276=ORIENTED_EDGE('',*,*,#196968,.T.); #267277=ORIENTED_EDGE('',*,*,#196970,.F.); #267278=ORIENTED_EDGE('',*,*,#196971,.F.); #267279=ORIENTED_EDGE('',*,*,#196972,.T.); #267280=ORIENTED_EDGE('',*,*,#196971,.T.); #267281=ORIENTED_EDGE('',*,*,#196973,.F.); #267282=ORIENTED_EDGE('',*,*,#196974,.F.); #267283=ORIENTED_EDGE('',*,*,#196975,.T.); #267284=ORIENTED_EDGE('',*,*,#196974,.T.); #267285=ORIENTED_EDGE('',*,*,#196976,.F.); #267286=ORIENTED_EDGE('',*,*,#196977,.F.); #267287=ORIENTED_EDGE('',*,*,#196978,.T.); #267288=ORIENTED_EDGE('',*,*,#196977,.T.); #267289=ORIENTED_EDGE('',*,*,#196979,.F.); #267290=ORIENTED_EDGE('',*,*,#196980,.F.); #267291=ORIENTED_EDGE('',*,*,#196981,.T.); #267292=ORIENTED_EDGE('',*,*,#196980,.T.); #267293=ORIENTED_EDGE('',*,*,#196982,.F.); #267294=ORIENTED_EDGE('',*,*,#196983,.F.); #267295=ORIENTED_EDGE('',*,*,#196984,.T.); #267296=ORIENTED_EDGE('',*,*,#196983,.T.); #267297=ORIENTED_EDGE('',*,*,#196985,.F.); #267298=ORIENTED_EDGE('',*,*,#196986,.F.); #267299=ORIENTED_EDGE('',*,*,#196987,.T.); #267300=ORIENTED_EDGE('',*,*,#196986,.T.); #267301=ORIENTED_EDGE('',*,*,#196988,.F.); #267302=ORIENTED_EDGE('',*,*,#196989,.F.); #267303=ORIENTED_EDGE('',*,*,#196990,.T.); #267304=ORIENTED_EDGE('',*,*,#196989,.T.); #267305=ORIENTED_EDGE('',*,*,#196991,.F.); #267306=ORIENTED_EDGE('',*,*,#196992,.F.); #267307=ORIENTED_EDGE('',*,*,#196993,.T.); #267308=ORIENTED_EDGE('',*,*,#196992,.T.); #267309=ORIENTED_EDGE('',*,*,#196994,.F.); #267310=ORIENTED_EDGE('',*,*,#196995,.F.); #267311=ORIENTED_EDGE('',*,*,#196996,.T.); #267312=ORIENTED_EDGE('',*,*,#196995,.T.); #267313=ORIENTED_EDGE('',*,*,#196997,.F.); #267314=ORIENTED_EDGE('',*,*,#196998,.F.); #267315=ORIENTED_EDGE('',*,*,#196999,.T.); #267316=ORIENTED_EDGE('',*,*,#196998,.T.); #267317=ORIENTED_EDGE('',*,*,#197000,.F.); #267318=ORIENTED_EDGE('',*,*,#197001,.F.); #267319=ORIENTED_EDGE('',*,*,#197002,.T.); #267320=ORIENTED_EDGE('',*,*,#197001,.T.); #267321=ORIENTED_EDGE('',*,*,#197003,.F.); #267322=ORIENTED_EDGE('',*,*,#197004,.F.); #267323=ORIENTED_EDGE('',*,*,#197005,.T.); #267324=ORIENTED_EDGE('',*,*,#197004,.T.); #267325=ORIENTED_EDGE('',*,*,#197006,.F.); #267326=ORIENTED_EDGE('',*,*,#197007,.F.); #267327=ORIENTED_EDGE('',*,*,#197008,.T.); #267328=ORIENTED_EDGE('',*,*,#197007,.T.); #267329=ORIENTED_EDGE('',*,*,#197009,.F.); #267330=ORIENTED_EDGE('',*,*,#197010,.F.); #267331=ORIENTED_EDGE('',*,*,#197011,.T.); #267332=ORIENTED_EDGE('',*,*,#197010,.T.); #267333=ORIENTED_EDGE('',*,*,#197012,.F.); #267334=ORIENTED_EDGE('',*,*,#197013,.F.); #267335=ORIENTED_EDGE('',*,*,#197014,.T.); #267336=ORIENTED_EDGE('',*,*,#197013,.T.); #267337=ORIENTED_EDGE('',*,*,#197015,.F.); #267338=ORIENTED_EDGE('',*,*,#197016,.F.); #267339=ORIENTED_EDGE('',*,*,#197017,.T.); #267340=ORIENTED_EDGE('',*,*,#197016,.T.); #267341=ORIENTED_EDGE('',*,*,#197018,.F.); #267342=ORIENTED_EDGE('',*,*,#197019,.F.); #267343=ORIENTED_EDGE('',*,*,#197020,.T.); #267344=ORIENTED_EDGE('',*,*,#197019,.T.); #267345=ORIENTED_EDGE('',*,*,#197021,.F.); #267346=ORIENTED_EDGE('',*,*,#197022,.F.); #267347=ORIENTED_EDGE('',*,*,#197023,.T.); #267348=ORIENTED_EDGE('',*,*,#197022,.T.); #267349=ORIENTED_EDGE('',*,*,#197024,.F.); #267350=ORIENTED_EDGE('',*,*,#197025,.F.); #267351=ORIENTED_EDGE('',*,*,#197026,.T.); #267352=ORIENTED_EDGE('',*,*,#197025,.T.); #267353=ORIENTED_EDGE('',*,*,#197027,.F.); #267354=ORIENTED_EDGE('',*,*,#197028,.F.); #267355=ORIENTED_EDGE('',*,*,#197029,.T.); #267356=ORIENTED_EDGE('',*,*,#197028,.T.); #267357=ORIENTED_EDGE('',*,*,#197030,.F.); #267358=ORIENTED_EDGE('',*,*,#197031,.F.); #267359=ORIENTED_EDGE('',*,*,#197032,.T.); #267360=ORIENTED_EDGE('',*,*,#197031,.T.); #267361=ORIENTED_EDGE('',*,*,#197033,.F.); #267362=ORIENTED_EDGE('',*,*,#197034,.F.); #267363=ORIENTED_EDGE('',*,*,#197035,.T.); #267364=ORIENTED_EDGE('',*,*,#197034,.T.); #267365=ORIENTED_EDGE('',*,*,#197036,.F.); #267366=ORIENTED_EDGE('',*,*,#197037,.F.); #267367=ORIENTED_EDGE('',*,*,#197038,.T.); #267368=ORIENTED_EDGE('',*,*,#197037,.T.); #267369=ORIENTED_EDGE('',*,*,#197039,.F.); #267370=ORIENTED_EDGE('',*,*,#197040,.F.); #267371=ORIENTED_EDGE('',*,*,#197041,.T.); #267372=ORIENTED_EDGE('',*,*,#197040,.T.); #267373=ORIENTED_EDGE('',*,*,#197042,.F.); #267374=ORIENTED_EDGE('',*,*,#197043,.F.); #267375=ORIENTED_EDGE('',*,*,#197044,.T.); #267376=ORIENTED_EDGE('',*,*,#197043,.T.); #267377=ORIENTED_EDGE('',*,*,#197045,.F.); #267378=ORIENTED_EDGE('',*,*,#197046,.F.); #267379=ORIENTED_EDGE('',*,*,#197047,.T.); #267380=ORIENTED_EDGE('',*,*,#197046,.T.); #267381=ORIENTED_EDGE('',*,*,#197048,.F.); #267382=ORIENTED_EDGE('',*,*,#197049,.F.); #267383=ORIENTED_EDGE('',*,*,#197050,.T.); #267384=ORIENTED_EDGE('',*,*,#197049,.T.); #267385=ORIENTED_EDGE('',*,*,#197051,.F.); #267386=ORIENTED_EDGE('',*,*,#197052,.F.); #267387=ORIENTED_EDGE('',*,*,#197053,.T.); #267388=ORIENTED_EDGE('',*,*,#197052,.T.); #267389=ORIENTED_EDGE('',*,*,#197054,.F.); #267390=ORIENTED_EDGE('',*,*,#197055,.F.); #267391=ORIENTED_EDGE('',*,*,#197056,.T.); #267392=ORIENTED_EDGE('',*,*,#197055,.T.); #267393=ORIENTED_EDGE('',*,*,#197057,.F.); #267394=ORIENTED_EDGE('',*,*,#197058,.F.); #267395=ORIENTED_EDGE('',*,*,#197059,.T.); #267396=ORIENTED_EDGE('',*,*,#197058,.T.); #267397=ORIENTED_EDGE('',*,*,#197060,.F.); #267398=ORIENTED_EDGE('',*,*,#197061,.F.); #267399=ORIENTED_EDGE('',*,*,#197062,.T.); #267400=ORIENTED_EDGE('',*,*,#197061,.T.); #267401=ORIENTED_EDGE('',*,*,#197063,.F.); #267402=ORIENTED_EDGE('',*,*,#197064,.F.); #267403=ORIENTED_EDGE('',*,*,#197065,.T.); #267404=ORIENTED_EDGE('',*,*,#197064,.T.); #267405=ORIENTED_EDGE('',*,*,#197066,.F.); #267406=ORIENTED_EDGE('',*,*,#197067,.F.); #267407=ORIENTED_EDGE('',*,*,#197068,.T.); #267408=ORIENTED_EDGE('',*,*,#197067,.T.); #267409=ORIENTED_EDGE('',*,*,#197069,.F.); #267410=ORIENTED_EDGE('',*,*,#197070,.F.); #267411=ORIENTED_EDGE('',*,*,#197071,.T.); #267412=ORIENTED_EDGE('',*,*,#197070,.T.); #267413=ORIENTED_EDGE('',*,*,#197072,.F.); #267414=ORIENTED_EDGE('',*,*,#197073,.F.); #267415=ORIENTED_EDGE('',*,*,#197074,.T.); #267416=ORIENTED_EDGE('',*,*,#197073,.T.); #267417=ORIENTED_EDGE('',*,*,#197075,.F.); #267418=ORIENTED_EDGE('',*,*,#197076,.F.); #267419=ORIENTED_EDGE('',*,*,#197077,.T.); #267420=ORIENTED_EDGE('',*,*,#197076,.T.); #267421=ORIENTED_EDGE('',*,*,#197078,.F.); #267422=ORIENTED_EDGE('',*,*,#197079,.F.); #267423=ORIENTED_EDGE('',*,*,#197080,.T.); #267424=ORIENTED_EDGE('',*,*,#197079,.T.); #267425=ORIENTED_EDGE('',*,*,#197081,.F.); #267426=ORIENTED_EDGE('',*,*,#197082,.F.); #267427=ORIENTED_EDGE('',*,*,#197083,.T.); #267428=ORIENTED_EDGE('',*,*,#197082,.T.); #267429=ORIENTED_EDGE('',*,*,#197084,.F.); #267430=ORIENTED_EDGE('',*,*,#197085,.F.); #267431=ORIENTED_EDGE('',*,*,#197086,.T.); #267432=ORIENTED_EDGE('',*,*,#197085,.T.); #267433=ORIENTED_EDGE('',*,*,#197087,.F.); #267434=ORIENTED_EDGE('',*,*,#197088,.F.); #267435=ORIENTED_EDGE('',*,*,#197089,.T.); #267436=ORIENTED_EDGE('',*,*,#197088,.T.); #267437=ORIENTED_EDGE('',*,*,#197090,.F.); #267438=ORIENTED_EDGE('',*,*,#197091,.F.); #267439=ORIENTED_EDGE('',*,*,#197092,.T.); #267440=ORIENTED_EDGE('',*,*,#197091,.T.); #267441=ORIENTED_EDGE('',*,*,#197093,.F.); #267442=ORIENTED_EDGE('',*,*,#197094,.F.); #267443=ORIENTED_EDGE('',*,*,#197095,.T.); #267444=ORIENTED_EDGE('',*,*,#197094,.T.); #267445=ORIENTED_EDGE('',*,*,#197096,.F.); #267446=ORIENTED_EDGE('',*,*,#197097,.F.); #267447=ORIENTED_EDGE('',*,*,#197098,.T.); #267448=ORIENTED_EDGE('',*,*,#197097,.T.); #267449=ORIENTED_EDGE('',*,*,#197099,.F.); #267450=ORIENTED_EDGE('',*,*,#197100,.F.); #267451=ORIENTED_EDGE('',*,*,#197101,.T.); #267452=ORIENTED_EDGE('',*,*,#197100,.T.); #267453=ORIENTED_EDGE('',*,*,#197102,.F.); #267454=ORIENTED_EDGE('',*,*,#197103,.F.); #267455=ORIENTED_EDGE('',*,*,#197104,.T.); #267456=ORIENTED_EDGE('',*,*,#197103,.T.); #267457=ORIENTED_EDGE('',*,*,#197105,.F.); #267458=ORIENTED_EDGE('',*,*,#197106,.F.); #267459=ORIENTED_EDGE('',*,*,#197107,.T.); #267460=ORIENTED_EDGE('',*,*,#197106,.T.); #267461=ORIENTED_EDGE('',*,*,#197108,.F.); #267462=ORIENTED_EDGE('',*,*,#197109,.F.); #267463=ORIENTED_EDGE('',*,*,#197110,.T.); #267464=ORIENTED_EDGE('',*,*,#197109,.T.); #267465=ORIENTED_EDGE('',*,*,#197111,.F.); #267466=ORIENTED_EDGE('',*,*,#197112,.F.); #267467=ORIENTED_EDGE('',*,*,#197113,.T.); #267468=ORIENTED_EDGE('',*,*,#197112,.T.); #267469=ORIENTED_EDGE('',*,*,#197114,.F.); #267470=ORIENTED_EDGE('',*,*,#197115,.F.); #267471=ORIENTED_EDGE('',*,*,#197116,.T.); #267472=ORIENTED_EDGE('',*,*,#197115,.T.); #267473=ORIENTED_EDGE('',*,*,#197117,.F.); #267474=ORIENTED_EDGE('',*,*,#197118,.F.); #267475=ORIENTED_EDGE('',*,*,#197119,.T.); #267476=ORIENTED_EDGE('',*,*,#197118,.T.); #267477=ORIENTED_EDGE('',*,*,#197120,.F.); #267478=ORIENTED_EDGE('',*,*,#197121,.F.); #267479=ORIENTED_EDGE('',*,*,#197122,.T.); #267480=ORIENTED_EDGE('',*,*,#197121,.T.); #267481=ORIENTED_EDGE('',*,*,#197123,.F.); #267482=ORIENTED_EDGE('',*,*,#197124,.F.); #267483=ORIENTED_EDGE('',*,*,#197125,.T.); #267484=ORIENTED_EDGE('',*,*,#197124,.T.); #267485=ORIENTED_EDGE('',*,*,#197126,.F.); #267486=ORIENTED_EDGE('',*,*,#197127,.F.); #267487=ORIENTED_EDGE('',*,*,#197128,.T.); #267488=ORIENTED_EDGE('',*,*,#197127,.T.); #267489=ORIENTED_EDGE('',*,*,#197129,.F.); #267490=ORIENTED_EDGE('',*,*,#197130,.F.); #267491=ORIENTED_EDGE('',*,*,#197131,.T.); #267492=ORIENTED_EDGE('',*,*,#197130,.T.); #267493=ORIENTED_EDGE('',*,*,#197132,.F.); #267494=ORIENTED_EDGE('',*,*,#197133,.F.); #267495=ORIENTED_EDGE('',*,*,#197134,.T.); #267496=ORIENTED_EDGE('',*,*,#197133,.T.); #267497=ORIENTED_EDGE('',*,*,#197135,.F.); #267498=ORIENTED_EDGE('',*,*,#197136,.F.); #267499=ORIENTED_EDGE('',*,*,#197137,.T.); #267500=ORIENTED_EDGE('',*,*,#197136,.T.); #267501=ORIENTED_EDGE('',*,*,#197138,.F.); #267502=ORIENTED_EDGE('',*,*,#197139,.F.); #267503=ORIENTED_EDGE('',*,*,#197140,.T.); #267504=ORIENTED_EDGE('',*,*,#197139,.T.); #267505=ORIENTED_EDGE('',*,*,#197141,.F.); #267506=ORIENTED_EDGE('',*,*,#197142,.F.); #267507=ORIENTED_EDGE('',*,*,#197143,.T.); #267508=ORIENTED_EDGE('',*,*,#197142,.T.); #267509=ORIENTED_EDGE('',*,*,#197144,.F.); #267510=ORIENTED_EDGE('',*,*,#197145,.F.); #267511=ORIENTED_EDGE('',*,*,#197146,.T.); #267512=ORIENTED_EDGE('',*,*,#197145,.T.); #267513=ORIENTED_EDGE('',*,*,#197147,.F.); #267514=ORIENTED_EDGE('',*,*,#197148,.F.); #267515=ORIENTED_EDGE('',*,*,#197149,.T.); #267516=ORIENTED_EDGE('',*,*,#197148,.T.); #267517=ORIENTED_EDGE('',*,*,#197150,.F.); #267518=ORIENTED_EDGE('',*,*,#197151,.F.); #267519=ORIENTED_EDGE('',*,*,#197152,.T.); #267520=ORIENTED_EDGE('',*,*,#197151,.T.); #267521=ORIENTED_EDGE('',*,*,#197153,.F.); #267522=ORIENTED_EDGE('',*,*,#197154,.F.); #267523=ORIENTED_EDGE('',*,*,#197155,.T.); #267524=ORIENTED_EDGE('',*,*,#197154,.T.); #267525=ORIENTED_EDGE('',*,*,#197156,.F.); #267526=ORIENTED_EDGE('',*,*,#197157,.F.); #267527=ORIENTED_EDGE('',*,*,#197158,.T.); #267528=ORIENTED_EDGE('',*,*,#197157,.T.); #267529=ORIENTED_EDGE('',*,*,#197159,.F.); #267530=ORIENTED_EDGE('',*,*,#197160,.F.); #267531=ORIENTED_EDGE('',*,*,#197161,.T.); #267532=ORIENTED_EDGE('',*,*,#197160,.T.); #267533=ORIENTED_EDGE('',*,*,#197162,.F.); #267534=ORIENTED_EDGE('',*,*,#197163,.F.); #267535=ORIENTED_EDGE('',*,*,#197164,.T.); #267536=ORIENTED_EDGE('',*,*,#197163,.T.); #267537=ORIENTED_EDGE('',*,*,#197165,.F.); #267538=ORIENTED_EDGE('',*,*,#197166,.F.); #267539=ORIENTED_EDGE('',*,*,#197167,.T.); #267540=ORIENTED_EDGE('',*,*,#197166,.T.); #267541=ORIENTED_EDGE('',*,*,#197168,.F.); #267542=ORIENTED_EDGE('',*,*,#197169,.F.); #267543=ORIENTED_EDGE('',*,*,#197170,.T.); #267544=ORIENTED_EDGE('',*,*,#197169,.T.); #267545=ORIENTED_EDGE('',*,*,#197171,.F.); #267546=ORIENTED_EDGE('',*,*,#197172,.F.); #267547=ORIENTED_EDGE('',*,*,#197173,.T.); #267548=ORIENTED_EDGE('',*,*,#197172,.T.); #267549=ORIENTED_EDGE('',*,*,#197174,.F.); #267550=ORIENTED_EDGE('',*,*,#197175,.F.); #267551=ORIENTED_EDGE('',*,*,#197176,.T.); #267552=ORIENTED_EDGE('',*,*,#197175,.T.); #267553=ORIENTED_EDGE('',*,*,#197177,.F.); #267554=ORIENTED_EDGE('',*,*,#197178,.F.); #267555=ORIENTED_EDGE('',*,*,#197179,.T.); #267556=ORIENTED_EDGE('',*,*,#197178,.T.); #267557=ORIENTED_EDGE('',*,*,#197180,.F.); #267558=ORIENTED_EDGE('',*,*,#197181,.F.); #267559=ORIENTED_EDGE('',*,*,#197182,.T.); #267560=ORIENTED_EDGE('',*,*,#197181,.T.); #267561=ORIENTED_EDGE('',*,*,#197183,.F.); #267562=ORIENTED_EDGE('',*,*,#197184,.F.); #267563=ORIENTED_EDGE('',*,*,#197185,.T.); #267564=ORIENTED_EDGE('',*,*,#197184,.T.); #267565=ORIENTED_EDGE('',*,*,#197186,.F.); #267566=ORIENTED_EDGE('',*,*,#197187,.F.); #267567=ORIENTED_EDGE('',*,*,#197188,.T.); #267568=ORIENTED_EDGE('',*,*,#197187,.T.); #267569=ORIENTED_EDGE('',*,*,#197189,.F.); #267570=ORIENTED_EDGE('',*,*,#197190,.F.); #267571=ORIENTED_EDGE('',*,*,#197191,.T.); #267572=ORIENTED_EDGE('',*,*,#197190,.T.); #267573=ORIENTED_EDGE('',*,*,#197192,.F.); #267574=ORIENTED_EDGE('',*,*,#197193,.F.); #267575=ORIENTED_EDGE('',*,*,#197194,.T.); #267576=ORIENTED_EDGE('',*,*,#197193,.T.); #267577=ORIENTED_EDGE('',*,*,#197195,.F.); #267578=ORIENTED_EDGE('',*,*,#197196,.F.); #267579=ORIENTED_EDGE('',*,*,#197197,.T.); #267580=ORIENTED_EDGE('',*,*,#197196,.T.); #267581=ORIENTED_EDGE('',*,*,#197198,.F.); #267582=ORIENTED_EDGE('',*,*,#197199,.F.); #267583=ORIENTED_EDGE('',*,*,#197200,.T.); #267584=ORIENTED_EDGE('',*,*,#197199,.T.); #267585=ORIENTED_EDGE('',*,*,#197201,.F.); #267586=ORIENTED_EDGE('',*,*,#197202,.F.); #267587=ORIENTED_EDGE('',*,*,#197203,.T.); #267588=ORIENTED_EDGE('',*,*,#197202,.T.); #267589=ORIENTED_EDGE('',*,*,#197204,.F.); #267590=ORIENTED_EDGE('',*,*,#197205,.F.); #267591=ORIENTED_EDGE('',*,*,#197206,.T.); #267592=ORIENTED_EDGE('',*,*,#197205,.T.); #267593=ORIENTED_EDGE('',*,*,#197207,.F.); #267594=ORIENTED_EDGE('',*,*,#197208,.F.); #267595=ORIENTED_EDGE('',*,*,#197209,.T.); #267596=ORIENTED_EDGE('',*,*,#197208,.T.); #267597=ORIENTED_EDGE('',*,*,#197210,.F.); #267598=ORIENTED_EDGE('',*,*,#197211,.F.); #267599=ORIENTED_EDGE('',*,*,#197212,.T.); #267600=ORIENTED_EDGE('',*,*,#197211,.T.); #267601=ORIENTED_EDGE('',*,*,#197213,.F.); #267602=ORIENTED_EDGE('',*,*,#197214,.F.); #267603=ORIENTED_EDGE('',*,*,#197215,.T.); #267604=ORIENTED_EDGE('',*,*,#197214,.T.); #267605=ORIENTED_EDGE('',*,*,#197216,.F.); #267606=ORIENTED_EDGE('',*,*,#197217,.F.); #267607=ORIENTED_EDGE('',*,*,#197218,.T.); #267608=ORIENTED_EDGE('',*,*,#197217,.T.); #267609=ORIENTED_EDGE('',*,*,#197219,.F.); #267610=ORIENTED_EDGE('',*,*,#197220,.F.); #267611=ORIENTED_EDGE('',*,*,#197221,.T.); #267612=ORIENTED_EDGE('',*,*,#197220,.T.); #267613=ORIENTED_EDGE('',*,*,#197222,.F.); #267614=ORIENTED_EDGE('',*,*,#197223,.F.); #267615=ORIENTED_EDGE('',*,*,#197224,.T.); #267616=ORIENTED_EDGE('',*,*,#197223,.T.); #267617=ORIENTED_EDGE('',*,*,#197225,.F.); #267618=ORIENTED_EDGE('',*,*,#197226,.F.); #267619=ORIENTED_EDGE('',*,*,#197227,.T.); #267620=ORIENTED_EDGE('',*,*,#197226,.T.); #267621=ORIENTED_EDGE('',*,*,#197228,.F.); #267622=ORIENTED_EDGE('',*,*,#197229,.F.); #267623=ORIENTED_EDGE('',*,*,#197230,.T.); #267624=ORIENTED_EDGE('',*,*,#197229,.T.); #267625=ORIENTED_EDGE('',*,*,#197231,.F.); #267626=ORIENTED_EDGE('',*,*,#197232,.F.); #267627=ORIENTED_EDGE('',*,*,#197233,.T.); #267628=ORIENTED_EDGE('',*,*,#197232,.T.); #267629=ORIENTED_EDGE('',*,*,#197234,.F.); #267630=ORIENTED_EDGE('',*,*,#197235,.F.); #267631=ORIENTED_EDGE('',*,*,#197236,.T.); #267632=ORIENTED_EDGE('',*,*,#197235,.T.); #267633=ORIENTED_EDGE('',*,*,#197237,.F.); #267634=ORIENTED_EDGE('',*,*,#197238,.F.); #267635=ORIENTED_EDGE('',*,*,#197239,.T.); #267636=ORIENTED_EDGE('',*,*,#197238,.T.); #267637=ORIENTED_EDGE('',*,*,#197240,.F.); #267638=ORIENTED_EDGE('',*,*,#197241,.F.); #267639=ORIENTED_EDGE('',*,*,#197242,.T.); #267640=ORIENTED_EDGE('',*,*,#197241,.T.); #267641=ORIENTED_EDGE('',*,*,#197243,.F.); #267642=ORIENTED_EDGE('',*,*,#197244,.F.); #267643=ORIENTED_EDGE('',*,*,#197245,.T.); #267644=ORIENTED_EDGE('',*,*,#197244,.T.); #267645=ORIENTED_EDGE('',*,*,#197246,.F.); #267646=ORIENTED_EDGE('',*,*,#197247,.F.); #267647=ORIENTED_EDGE('',*,*,#197248,.T.); #267648=ORIENTED_EDGE('',*,*,#197247,.T.); #267649=ORIENTED_EDGE('',*,*,#197249,.F.); #267650=ORIENTED_EDGE('',*,*,#197250,.F.); #267651=ORIENTED_EDGE('',*,*,#197251,.T.); #267652=ORIENTED_EDGE('',*,*,#197250,.T.); #267653=ORIENTED_EDGE('',*,*,#197252,.F.); #267654=ORIENTED_EDGE('',*,*,#197253,.F.); #267655=ORIENTED_EDGE('',*,*,#197254,.T.); #267656=ORIENTED_EDGE('',*,*,#197253,.T.); #267657=ORIENTED_EDGE('',*,*,#197255,.F.); #267658=ORIENTED_EDGE('',*,*,#197256,.F.); #267659=ORIENTED_EDGE('',*,*,#197257,.T.); #267660=ORIENTED_EDGE('',*,*,#197256,.T.); #267661=ORIENTED_EDGE('',*,*,#197258,.F.); #267662=ORIENTED_EDGE('',*,*,#197259,.F.); #267663=ORIENTED_EDGE('',*,*,#197260,.T.); #267664=ORIENTED_EDGE('',*,*,#197259,.T.); #267665=ORIENTED_EDGE('',*,*,#197261,.F.); #267666=ORIENTED_EDGE('',*,*,#197262,.F.); #267667=ORIENTED_EDGE('',*,*,#197263,.T.); #267668=ORIENTED_EDGE('',*,*,#197262,.T.); #267669=ORIENTED_EDGE('',*,*,#197264,.F.); #267670=ORIENTED_EDGE('',*,*,#197265,.F.); #267671=ORIENTED_EDGE('',*,*,#197266,.T.); #267672=ORIENTED_EDGE('',*,*,#197265,.T.); #267673=ORIENTED_EDGE('',*,*,#197267,.F.); #267674=ORIENTED_EDGE('',*,*,#197268,.F.); #267675=ORIENTED_EDGE('',*,*,#197269,.T.); #267676=ORIENTED_EDGE('',*,*,#197268,.T.); #267677=ORIENTED_EDGE('',*,*,#197270,.F.); #267678=ORIENTED_EDGE('',*,*,#197271,.F.); #267679=ORIENTED_EDGE('',*,*,#197272,.T.); #267680=ORIENTED_EDGE('',*,*,#197271,.T.); #267681=ORIENTED_EDGE('',*,*,#197273,.F.); #267682=ORIENTED_EDGE('',*,*,#197274,.F.); #267683=ORIENTED_EDGE('',*,*,#197275,.T.); #267684=ORIENTED_EDGE('',*,*,#197274,.T.); #267685=ORIENTED_EDGE('',*,*,#197276,.F.); #267686=ORIENTED_EDGE('',*,*,#197277,.F.); #267687=ORIENTED_EDGE('',*,*,#197278,.T.); #267688=ORIENTED_EDGE('',*,*,#197277,.T.); #267689=ORIENTED_EDGE('',*,*,#197279,.F.); #267690=ORIENTED_EDGE('',*,*,#197280,.F.); #267691=ORIENTED_EDGE('',*,*,#197281,.T.); #267692=ORIENTED_EDGE('',*,*,#197280,.T.); #267693=ORIENTED_EDGE('',*,*,#197282,.F.); #267694=ORIENTED_EDGE('',*,*,#197283,.F.); #267695=ORIENTED_EDGE('',*,*,#197284,.T.); #267696=ORIENTED_EDGE('',*,*,#197283,.T.); #267697=ORIENTED_EDGE('',*,*,#197285,.F.); #267698=ORIENTED_EDGE('',*,*,#197286,.F.); #267699=ORIENTED_EDGE('',*,*,#197287,.T.); #267700=ORIENTED_EDGE('',*,*,#197286,.T.); #267701=ORIENTED_EDGE('',*,*,#197288,.F.); #267702=ORIENTED_EDGE('',*,*,#197289,.F.); #267703=ORIENTED_EDGE('',*,*,#197290,.T.); #267704=ORIENTED_EDGE('',*,*,#197289,.T.); #267705=ORIENTED_EDGE('',*,*,#197291,.F.); #267706=ORIENTED_EDGE('',*,*,#197292,.F.); #267707=ORIENTED_EDGE('',*,*,#197293,.T.); #267708=ORIENTED_EDGE('',*,*,#197292,.T.); #267709=ORIENTED_EDGE('',*,*,#197294,.F.); #267710=ORIENTED_EDGE('',*,*,#197295,.F.); #267711=ORIENTED_EDGE('',*,*,#197296,.T.); #267712=ORIENTED_EDGE('',*,*,#197295,.T.); #267713=ORIENTED_EDGE('',*,*,#197297,.F.); #267714=ORIENTED_EDGE('',*,*,#197298,.F.); #267715=ORIENTED_EDGE('',*,*,#197299,.T.); #267716=ORIENTED_EDGE('',*,*,#197298,.T.); #267717=ORIENTED_EDGE('',*,*,#197300,.F.); #267718=ORIENTED_EDGE('',*,*,#197301,.F.); #267719=ORIENTED_EDGE('',*,*,#197302,.T.); #267720=ORIENTED_EDGE('',*,*,#197301,.T.); #267721=ORIENTED_EDGE('',*,*,#197303,.F.); #267722=ORIENTED_EDGE('',*,*,#197304,.F.); #267723=ORIENTED_EDGE('',*,*,#197305,.T.); #267724=ORIENTED_EDGE('',*,*,#197304,.T.); #267725=ORIENTED_EDGE('',*,*,#197306,.F.); #267726=ORIENTED_EDGE('',*,*,#197307,.F.); #267727=ORIENTED_EDGE('',*,*,#197308,.T.); #267728=ORIENTED_EDGE('',*,*,#197307,.T.); #267729=ORIENTED_EDGE('',*,*,#197309,.F.); #267730=ORIENTED_EDGE('',*,*,#197310,.F.); #267731=ORIENTED_EDGE('',*,*,#197311,.T.); #267732=ORIENTED_EDGE('',*,*,#197310,.T.); #267733=ORIENTED_EDGE('',*,*,#197312,.F.); #267734=ORIENTED_EDGE('',*,*,#197313,.F.); #267735=ORIENTED_EDGE('',*,*,#197314,.T.); #267736=ORIENTED_EDGE('',*,*,#197313,.T.); #267737=ORIENTED_EDGE('',*,*,#197315,.F.); #267738=ORIENTED_EDGE('',*,*,#197316,.F.); #267739=ORIENTED_EDGE('',*,*,#197317,.T.); #267740=ORIENTED_EDGE('',*,*,#197316,.T.); #267741=ORIENTED_EDGE('',*,*,#197318,.F.); #267742=ORIENTED_EDGE('',*,*,#197319,.F.); #267743=ORIENTED_EDGE('',*,*,#197320,.T.); #267744=ORIENTED_EDGE('',*,*,#197319,.T.); #267745=ORIENTED_EDGE('',*,*,#197321,.F.); #267746=ORIENTED_EDGE('',*,*,#197322,.F.); #267747=ORIENTED_EDGE('',*,*,#197323,.T.); #267748=ORIENTED_EDGE('',*,*,#197322,.T.); #267749=ORIENTED_EDGE('',*,*,#197324,.F.); #267750=ORIENTED_EDGE('',*,*,#197325,.F.); #267751=ORIENTED_EDGE('',*,*,#197326,.T.); #267752=ORIENTED_EDGE('',*,*,#197325,.T.); #267753=ORIENTED_EDGE('',*,*,#197327,.F.); #267754=ORIENTED_EDGE('',*,*,#197328,.F.); #267755=ORIENTED_EDGE('',*,*,#197329,.T.); #267756=ORIENTED_EDGE('',*,*,#197328,.T.); #267757=ORIENTED_EDGE('',*,*,#197330,.F.); #267758=ORIENTED_EDGE('',*,*,#197331,.F.); #267759=ORIENTED_EDGE('',*,*,#197332,.T.); #267760=ORIENTED_EDGE('',*,*,#197331,.T.); #267761=ORIENTED_EDGE('',*,*,#197333,.F.); #267762=ORIENTED_EDGE('',*,*,#197334,.F.); #267763=ORIENTED_EDGE('',*,*,#197335,.T.); #267764=ORIENTED_EDGE('',*,*,#197334,.T.); #267765=ORIENTED_EDGE('',*,*,#197336,.F.); #267766=ORIENTED_EDGE('',*,*,#197337,.F.); #267767=ORIENTED_EDGE('',*,*,#197338,.T.); #267768=ORIENTED_EDGE('',*,*,#197337,.T.); #267769=ORIENTED_EDGE('',*,*,#197339,.F.); #267770=ORIENTED_EDGE('',*,*,#197340,.F.); #267771=ORIENTED_EDGE('',*,*,#197341,.T.); #267772=ORIENTED_EDGE('',*,*,#197340,.T.); #267773=ORIENTED_EDGE('',*,*,#197342,.F.); #267774=ORIENTED_EDGE('',*,*,#197343,.F.); #267775=ORIENTED_EDGE('',*,*,#197344,.T.); #267776=ORIENTED_EDGE('',*,*,#197343,.T.); #267777=ORIENTED_EDGE('',*,*,#197345,.F.); #267778=ORIENTED_EDGE('',*,*,#197346,.F.); #267779=ORIENTED_EDGE('',*,*,#197347,.T.); #267780=ORIENTED_EDGE('',*,*,#197346,.T.); #267781=ORIENTED_EDGE('',*,*,#197348,.F.); #267782=ORIENTED_EDGE('',*,*,#197349,.F.); #267783=ORIENTED_EDGE('',*,*,#197350,.T.); #267784=ORIENTED_EDGE('',*,*,#197349,.T.); #267785=ORIENTED_EDGE('',*,*,#197351,.F.); #267786=ORIENTED_EDGE('',*,*,#197352,.F.); #267787=ORIENTED_EDGE('',*,*,#197353,.T.); #267788=ORIENTED_EDGE('',*,*,#197352,.T.); #267789=ORIENTED_EDGE('',*,*,#197354,.F.); #267790=ORIENTED_EDGE('',*,*,#197355,.F.); #267791=ORIENTED_EDGE('',*,*,#197356,.T.); #267792=ORIENTED_EDGE('',*,*,#197355,.T.); #267793=ORIENTED_EDGE('',*,*,#197357,.F.); #267794=ORIENTED_EDGE('',*,*,#197358,.F.); #267795=ORIENTED_EDGE('',*,*,#197359,.T.); #267796=ORIENTED_EDGE('',*,*,#197358,.T.); #267797=ORIENTED_EDGE('',*,*,#197360,.F.); #267798=ORIENTED_EDGE('',*,*,#197361,.F.); #267799=ORIENTED_EDGE('',*,*,#197362,.T.); #267800=ORIENTED_EDGE('',*,*,#197361,.T.); #267801=ORIENTED_EDGE('',*,*,#197363,.F.); #267802=ORIENTED_EDGE('',*,*,#197364,.F.); #267803=ORIENTED_EDGE('',*,*,#197365,.T.); #267804=ORIENTED_EDGE('',*,*,#197364,.T.); #267805=ORIENTED_EDGE('',*,*,#197366,.F.); #267806=ORIENTED_EDGE('',*,*,#197367,.F.); #267807=ORIENTED_EDGE('',*,*,#197368,.T.); #267808=ORIENTED_EDGE('',*,*,#197367,.T.); #267809=ORIENTED_EDGE('',*,*,#197369,.F.); #267810=ORIENTED_EDGE('',*,*,#197370,.F.); #267811=ORIENTED_EDGE('',*,*,#197371,.T.); #267812=ORIENTED_EDGE('',*,*,#197370,.T.); #267813=ORIENTED_EDGE('',*,*,#197372,.F.); #267814=ORIENTED_EDGE('',*,*,#197373,.F.); #267815=ORIENTED_EDGE('',*,*,#197374,.T.); #267816=ORIENTED_EDGE('',*,*,#197373,.T.); #267817=ORIENTED_EDGE('',*,*,#197375,.F.); #267818=ORIENTED_EDGE('',*,*,#197376,.F.); #267819=ORIENTED_EDGE('',*,*,#197377,.T.); #267820=ORIENTED_EDGE('',*,*,#197376,.T.); #267821=ORIENTED_EDGE('',*,*,#197378,.F.); #267822=ORIENTED_EDGE('',*,*,#197379,.F.); #267823=ORIENTED_EDGE('',*,*,#197380,.T.); #267824=ORIENTED_EDGE('',*,*,#197379,.T.); #267825=ORIENTED_EDGE('',*,*,#197381,.F.); #267826=ORIENTED_EDGE('',*,*,#197382,.F.); #267827=ORIENTED_EDGE('',*,*,#197383,.T.); #267828=ORIENTED_EDGE('',*,*,#197382,.T.); #267829=ORIENTED_EDGE('',*,*,#197384,.F.); #267830=ORIENTED_EDGE('',*,*,#197385,.F.); #267831=ORIENTED_EDGE('',*,*,#197386,.T.); #267832=ORIENTED_EDGE('',*,*,#197385,.T.); #267833=ORIENTED_EDGE('',*,*,#197387,.F.); #267834=ORIENTED_EDGE('',*,*,#197388,.F.); #267835=ORIENTED_EDGE('',*,*,#197389,.T.); #267836=ORIENTED_EDGE('',*,*,#197388,.T.); #267837=ORIENTED_EDGE('',*,*,#197390,.F.); #267838=ORIENTED_EDGE('',*,*,#197391,.F.); #267839=ORIENTED_EDGE('',*,*,#197392,.T.); #267840=ORIENTED_EDGE('',*,*,#197391,.T.); #267841=ORIENTED_EDGE('',*,*,#197393,.F.); #267842=ORIENTED_EDGE('',*,*,#197394,.F.); #267843=ORIENTED_EDGE('',*,*,#197395,.T.); #267844=ORIENTED_EDGE('',*,*,#197394,.T.); #267845=ORIENTED_EDGE('',*,*,#197396,.F.); #267846=ORIENTED_EDGE('',*,*,#197397,.F.); #267847=ORIENTED_EDGE('',*,*,#197398,.T.); #267848=ORIENTED_EDGE('',*,*,#197397,.T.); #267849=ORIENTED_EDGE('',*,*,#197399,.F.); #267850=ORIENTED_EDGE('',*,*,#197400,.F.); #267851=ORIENTED_EDGE('',*,*,#197401,.T.); #267852=ORIENTED_EDGE('',*,*,#197400,.T.); #267853=ORIENTED_EDGE('',*,*,#197402,.F.); #267854=ORIENTED_EDGE('',*,*,#197403,.F.); #267855=ORIENTED_EDGE('',*,*,#197404,.T.); #267856=ORIENTED_EDGE('',*,*,#197403,.T.); #267857=ORIENTED_EDGE('',*,*,#197405,.F.); #267858=ORIENTED_EDGE('',*,*,#197406,.F.); #267859=ORIENTED_EDGE('',*,*,#197407,.T.); #267860=ORIENTED_EDGE('',*,*,#197406,.T.); #267861=ORIENTED_EDGE('',*,*,#197408,.F.); #267862=ORIENTED_EDGE('',*,*,#197409,.F.); #267863=ORIENTED_EDGE('',*,*,#197410,.T.); #267864=ORIENTED_EDGE('',*,*,#197409,.T.); #267865=ORIENTED_EDGE('',*,*,#197411,.F.); #267866=ORIENTED_EDGE('',*,*,#197412,.F.); #267867=ORIENTED_EDGE('',*,*,#197413,.T.); #267868=ORIENTED_EDGE('',*,*,#197412,.T.); #267869=ORIENTED_EDGE('',*,*,#197414,.F.); #267870=ORIENTED_EDGE('',*,*,#197415,.F.); #267871=ORIENTED_EDGE('',*,*,#197416,.T.); #267872=ORIENTED_EDGE('',*,*,#197415,.T.); #267873=ORIENTED_EDGE('',*,*,#197417,.F.); #267874=ORIENTED_EDGE('',*,*,#197418,.F.); #267875=ORIENTED_EDGE('',*,*,#197419,.T.); #267876=ORIENTED_EDGE('',*,*,#197418,.T.); #267877=ORIENTED_EDGE('',*,*,#197420,.F.); #267878=ORIENTED_EDGE('',*,*,#197421,.F.); #267879=ORIENTED_EDGE('',*,*,#197422,.T.); #267880=ORIENTED_EDGE('',*,*,#197421,.T.); #267881=ORIENTED_EDGE('',*,*,#197423,.F.); #267882=ORIENTED_EDGE('',*,*,#197424,.F.); #267883=ORIENTED_EDGE('',*,*,#197425,.T.); #267884=ORIENTED_EDGE('',*,*,#197424,.T.); #267885=ORIENTED_EDGE('',*,*,#197426,.F.); #267886=ORIENTED_EDGE('',*,*,#197427,.F.); #267887=ORIENTED_EDGE('',*,*,#197428,.T.); #267888=ORIENTED_EDGE('',*,*,#197427,.T.); #267889=ORIENTED_EDGE('',*,*,#197429,.F.); #267890=ORIENTED_EDGE('',*,*,#197430,.F.); #267891=ORIENTED_EDGE('',*,*,#197431,.T.); #267892=ORIENTED_EDGE('',*,*,#197430,.T.); #267893=ORIENTED_EDGE('',*,*,#197432,.F.); #267894=ORIENTED_EDGE('',*,*,#197433,.F.); #267895=ORIENTED_EDGE('',*,*,#197434,.T.); #267896=ORIENTED_EDGE('',*,*,#197433,.T.); #267897=ORIENTED_EDGE('',*,*,#197435,.F.); #267898=ORIENTED_EDGE('',*,*,#197436,.F.); #267899=ORIENTED_EDGE('',*,*,#197437,.T.); #267900=ORIENTED_EDGE('',*,*,#197436,.T.); #267901=ORIENTED_EDGE('',*,*,#197438,.F.); #267902=ORIENTED_EDGE('',*,*,#197439,.F.); #267903=ORIENTED_EDGE('',*,*,#197440,.T.); #267904=ORIENTED_EDGE('',*,*,#197439,.T.); #267905=ORIENTED_EDGE('',*,*,#197441,.F.); #267906=ORIENTED_EDGE('',*,*,#197442,.F.); #267907=ORIENTED_EDGE('',*,*,#197443,.T.); #267908=ORIENTED_EDGE('',*,*,#197442,.T.); #267909=ORIENTED_EDGE('',*,*,#197444,.F.); #267910=ORIENTED_EDGE('',*,*,#197445,.F.); #267911=ORIENTED_EDGE('',*,*,#197446,.T.); #267912=ORIENTED_EDGE('',*,*,#197445,.T.); #267913=ORIENTED_EDGE('',*,*,#197447,.F.); #267914=ORIENTED_EDGE('',*,*,#197448,.F.); #267915=ORIENTED_EDGE('',*,*,#197449,.T.); #267916=ORIENTED_EDGE('',*,*,#197448,.T.); #267917=ORIENTED_EDGE('',*,*,#197450,.F.); #267918=ORIENTED_EDGE('',*,*,#197451,.F.); #267919=ORIENTED_EDGE('',*,*,#197452,.T.); #267920=ORIENTED_EDGE('',*,*,#197451,.T.); #267921=ORIENTED_EDGE('',*,*,#197453,.F.); #267922=ORIENTED_EDGE('',*,*,#197454,.F.); #267923=ORIENTED_EDGE('',*,*,#197455,.T.); #267924=ORIENTED_EDGE('',*,*,#197454,.T.); #267925=ORIENTED_EDGE('',*,*,#197456,.F.); #267926=ORIENTED_EDGE('',*,*,#197457,.F.); #267927=ORIENTED_EDGE('',*,*,#197458,.T.); #267928=ORIENTED_EDGE('',*,*,#197457,.T.); #267929=ORIENTED_EDGE('',*,*,#197459,.F.); #267930=ORIENTED_EDGE('',*,*,#197460,.F.); #267931=ORIENTED_EDGE('',*,*,#197461,.T.); #267932=ORIENTED_EDGE('',*,*,#197460,.T.); #267933=ORIENTED_EDGE('',*,*,#197462,.F.); #267934=ORIENTED_EDGE('',*,*,#197463,.F.); #267935=ORIENTED_EDGE('',*,*,#197464,.T.); #267936=ORIENTED_EDGE('',*,*,#197463,.T.); #267937=ORIENTED_EDGE('',*,*,#197465,.F.); #267938=ORIENTED_EDGE('',*,*,#197466,.F.); #267939=ORIENTED_EDGE('',*,*,#197467,.T.); #267940=ORIENTED_EDGE('',*,*,#197466,.T.); #267941=ORIENTED_EDGE('',*,*,#197468,.F.); #267942=ORIENTED_EDGE('',*,*,#197469,.F.); #267943=ORIENTED_EDGE('',*,*,#197470,.T.); #267944=ORIENTED_EDGE('',*,*,#197469,.T.); #267945=ORIENTED_EDGE('',*,*,#197471,.F.); #267946=ORIENTED_EDGE('',*,*,#197472,.F.); #267947=ORIENTED_EDGE('',*,*,#197473,.T.); #267948=ORIENTED_EDGE('',*,*,#197472,.T.); #267949=ORIENTED_EDGE('',*,*,#197474,.F.); #267950=ORIENTED_EDGE('',*,*,#197475,.F.); #267951=ORIENTED_EDGE('',*,*,#197476,.T.); #267952=ORIENTED_EDGE('',*,*,#197475,.T.); #267953=ORIENTED_EDGE('',*,*,#197477,.F.); #267954=ORIENTED_EDGE('',*,*,#197478,.F.); #267955=ORIENTED_EDGE('',*,*,#197479,.T.); #267956=ORIENTED_EDGE('',*,*,#197478,.T.); #267957=ORIENTED_EDGE('',*,*,#197480,.F.); #267958=ORIENTED_EDGE('',*,*,#197481,.F.); #267959=ORIENTED_EDGE('',*,*,#197482,.T.); #267960=ORIENTED_EDGE('',*,*,#197481,.T.); #267961=ORIENTED_EDGE('',*,*,#197483,.F.); #267962=ORIENTED_EDGE('',*,*,#197484,.F.); #267963=ORIENTED_EDGE('',*,*,#197485,.T.); #267964=ORIENTED_EDGE('',*,*,#197484,.T.); #267965=ORIENTED_EDGE('',*,*,#197486,.F.); #267966=ORIENTED_EDGE('',*,*,#197487,.F.); #267967=ORIENTED_EDGE('',*,*,#197488,.T.); #267968=ORIENTED_EDGE('',*,*,#197487,.T.); #267969=ORIENTED_EDGE('',*,*,#197489,.F.); #267970=ORIENTED_EDGE('',*,*,#197490,.F.); #267971=ORIENTED_EDGE('',*,*,#197491,.T.); #267972=ORIENTED_EDGE('',*,*,#197490,.T.); #267973=ORIENTED_EDGE('',*,*,#197492,.F.); #267974=ORIENTED_EDGE('',*,*,#197493,.F.); #267975=ORIENTED_EDGE('',*,*,#197494,.T.); #267976=ORIENTED_EDGE('',*,*,#197493,.T.); #267977=ORIENTED_EDGE('',*,*,#197495,.F.); #267978=ORIENTED_EDGE('',*,*,#197496,.F.); #267979=ORIENTED_EDGE('',*,*,#197497,.T.); #267980=ORIENTED_EDGE('',*,*,#197496,.T.); #267981=ORIENTED_EDGE('',*,*,#197498,.F.); #267982=ORIENTED_EDGE('',*,*,#197499,.F.); #267983=ORIENTED_EDGE('',*,*,#197500,.T.); #267984=ORIENTED_EDGE('',*,*,#197499,.T.); #267985=ORIENTED_EDGE('',*,*,#197501,.F.); #267986=ORIENTED_EDGE('',*,*,#197502,.F.); #267987=ORIENTED_EDGE('',*,*,#197503,.T.); #267988=ORIENTED_EDGE('',*,*,#197502,.T.); #267989=ORIENTED_EDGE('',*,*,#197504,.F.); #267990=ORIENTED_EDGE('',*,*,#197505,.F.); #267991=ORIENTED_EDGE('',*,*,#197506,.T.); #267992=ORIENTED_EDGE('',*,*,#197505,.T.); #267993=ORIENTED_EDGE('',*,*,#197507,.F.); #267994=ORIENTED_EDGE('',*,*,#197508,.F.); #267995=ORIENTED_EDGE('',*,*,#197509,.T.); #267996=ORIENTED_EDGE('',*,*,#197508,.T.); #267997=ORIENTED_EDGE('',*,*,#197510,.F.); #267998=ORIENTED_EDGE('',*,*,#197511,.F.); #267999=ORIENTED_EDGE('',*,*,#197512,.T.); #268000=ORIENTED_EDGE('',*,*,#197511,.T.); #268001=ORIENTED_EDGE('',*,*,#197513,.F.); #268002=ORIENTED_EDGE('',*,*,#197514,.F.); #268003=ORIENTED_EDGE('',*,*,#197515,.T.); #268004=ORIENTED_EDGE('',*,*,#197514,.T.); #268005=ORIENTED_EDGE('',*,*,#197516,.F.); #268006=ORIENTED_EDGE('',*,*,#197517,.F.); #268007=ORIENTED_EDGE('',*,*,#197518,.T.); #268008=ORIENTED_EDGE('',*,*,#197517,.T.); #268009=ORIENTED_EDGE('',*,*,#197519,.F.); #268010=ORIENTED_EDGE('',*,*,#197520,.F.); #268011=ORIENTED_EDGE('',*,*,#197521,.T.); #268012=ORIENTED_EDGE('',*,*,#197520,.T.); #268013=ORIENTED_EDGE('',*,*,#197522,.F.); #268014=ORIENTED_EDGE('',*,*,#197523,.F.); #268015=ORIENTED_EDGE('',*,*,#197524,.T.); #268016=ORIENTED_EDGE('',*,*,#197523,.T.); #268017=ORIENTED_EDGE('',*,*,#197525,.F.); #268018=ORIENTED_EDGE('',*,*,#197526,.F.); #268019=ORIENTED_EDGE('',*,*,#197527,.T.); #268020=ORIENTED_EDGE('',*,*,#197526,.T.); #268021=ORIENTED_EDGE('',*,*,#197528,.F.); #268022=ORIENTED_EDGE('',*,*,#197529,.F.); #268023=ORIENTED_EDGE('',*,*,#197530,.T.); #268024=ORIENTED_EDGE('',*,*,#197529,.T.); #268025=ORIENTED_EDGE('',*,*,#197531,.F.); #268026=ORIENTED_EDGE('',*,*,#197532,.F.); #268027=ORIENTED_EDGE('',*,*,#197533,.T.); #268028=ORIENTED_EDGE('',*,*,#197532,.T.); #268029=ORIENTED_EDGE('',*,*,#197534,.F.); #268030=ORIENTED_EDGE('',*,*,#197535,.F.); #268031=ORIENTED_EDGE('',*,*,#197536,.T.); #268032=ORIENTED_EDGE('',*,*,#197535,.T.); #268033=ORIENTED_EDGE('',*,*,#197537,.F.); #268034=ORIENTED_EDGE('',*,*,#197538,.F.); #268035=ORIENTED_EDGE('',*,*,#197539,.T.); #268036=ORIENTED_EDGE('',*,*,#197538,.T.); #268037=ORIENTED_EDGE('',*,*,#197540,.F.); #268038=ORIENTED_EDGE('',*,*,#197541,.F.); #268039=ORIENTED_EDGE('',*,*,#197542,.T.); #268040=ORIENTED_EDGE('',*,*,#197541,.T.); #268041=ORIENTED_EDGE('',*,*,#197543,.F.); #268042=ORIENTED_EDGE('',*,*,#197544,.F.); #268043=ORIENTED_EDGE('',*,*,#197545,.T.); #268044=ORIENTED_EDGE('',*,*,#197544,.T.); #268045=ORIENTED_EDGE('',*,*,#197546,.F.); #268046=ORIENTED_EDGE('',*,*,#197547,.F.); #268047=ORIENTED_EDGE('',*,*,#197548,.T.); #268048=ORIENTED_EDGE('',*,*,#197547,.T.); #268049=ORIENTED_EDGE('',*,*,#197549,.F.); #268050=ORIENTED_EDGE('',*,*,#197550,.F.); #268051=ORIENTED_EDGE('',*,*,#197551,.T.); #268052=ORIENTED_EDGE('',*,*,#197550,.T.); #268053=ORIENTED_EDGE('',*,*,#197552,.F.); #268054=ORIENTED_EDGE('',*,*,#197553,.F.); #268055=ORIENTED_EDGE('',*,*,#197554,.T.); #268056=ORIENTED_EDGE('',*,*,#197553,.T.); #268057=ORIENTED_EDGE('',*,*,#197555,.F.); #268058=ORIENTED_EDGE('',*,*,#197556,.F.); #268059=ORIENTED_EDGE('',*,*,#197557,.T.); #268060=ORIENTED_EDGE('',*,*,#197556,.T.); #268061=ORIENTED_EDGE('',*,*,#197558,.F.); #268062=ORIENTED_EDGE('',*,*,#197559,.F.); #268063=ORIENTED_EDGE('',*,*,#197560,.T.); #268064=ORIENTED_EDGE('',*,*,#197559,.T.); #268065=ORIENTED_EDGE('',*,*,#197561,.F.); #268066=ORIENTED_EDGE('',*,*,#197562,.F.); #268067=ORIENTED_EDGE('',*,*,#197563,.T.); #268068=ORIENTED_EDGE('',*,*,#197562,.T.); #268069=ORIENTED_EDGE('',*,*,#197564,.F.); #268070=ORIENTED_EDGE('',*,*,#197565,.F.); #268071=ORIENTED_EDGE('',*,*,#197566,.T.); #268072=ORIENTED_EDGE('',*,*,#197565,.T.); #268073=ORIENTED_EDGE('',*,*,#197567,.F.); #268074=ORIENTED_EDGE('',*,*,#197568,.F.); #268075=ORIENTED_EDGE('',*,*,#197569,.T.); #268076=ORIENTED_EDGE('',*,*,#197568,.T.); #268077=ORIENTED_EDGE('',*,*,#197570,.F.); #268078=ORIENTED_EDGE('',*,*,#197571,.F.); #268079=ORIENTED_EDGE('',*,*,#197572,.T.); #268080=ORIENTED_EDGE('',*,*,#197571,.T.); #268081=ORIENTED_EDGE('',*,*,#197573,.F.); #268082=ORIENTED_EDGE('',*,*,#197574,.F.); #268083=ORIENTED_EDGE('',*,*,#197575,.T.); #268084=ORIENTED_EDGE('',*,*,#197574,.T.); #268085=ORIENTED_EDGE('',*,*,#197576,.F.); #268086=ORIENTED_EDGE('',*,*,#197577,.F.); #268087=ORIENTED_EDGE('',*,*,#197578,.T.); #268088=ORIENTED_EDGE('',*,*,#197577,.T.); #268089=ORIENTED_EDGE('',*,*,#197579,.F.); #268090=ORIENTED_EDGE('',*,*,#197580,.F.); #268091=ORIENTED_EDGE('',*,*,#197581,.T.); #268092=ORIENTED_EDGE('',*,*,#197580,.T.); #268093=ORIENTED_EDGE('',*,*,#197582,.F.); #268094=ORIENTED_EDGE('',*,*,#197583,.F.); #268095=ORIENTED_EDGE('',*,*,#197584,.T.); #268096=ORIENTED_EDGE('',*,*,#197583,.T.); #268097=ORIENTED_EDGE('',*,*,#197585,.F.); #268098=ORIENTED_EDGE('',*,*,#197586,.F.); #268099=ORIENTED_EDGE('',*,*,#197587,.T.); #268100=ORIENTED_EDGE('',*,*,#197586,.T.); #268101=ORIENTED_EDGE('',*,*,#197588,.F.); #268102=ORIENTED_EDGE('',*,*,#197589,.F.); #268103=ORIENTED_EDGE('',*,*,#197590,.T.); #268104=ORIENTED_EDGE('',*,*,#197589,.T.); #268105=ORIENTED_EDGE('',*,*,#197591,.F.); #268106=ORIENTED_EDGE('',*,*,#197592,.F.); #268107=ORIENTED_EDGE('',*,*,#197593,.T.); #268108=ORIENTED_EDGE('',*,*,#197592,.T.); #268109=ORIENTED_EDGE('',*,*,#197594,.F.); #268110=ORIENTED_EDGE('',*,*,#197595,.F.); #268111=ORIENTED_EDGE('',*,*,#197596,.T.); #268112=ORIENTED_EDGE('',*,*,#197595,.T.); #268113=ORIENTED_EDGE('',*,*,#197597,.F.); #268114=ORIENTED_EDGE('',*,*,#197598,.F.); #268115=ORIENTED_EDGE('',*,*,#197599,.T.); #268116=ORIENTED_EDGE('',*,*,#197598,.T.); #268117=ORIENTED_EDGE('',*,*,#197600,.F.); #268118=ORIENTED_EDGE('',*,*,#197601,.F.); #268119=ORIENTED_EDGE('',*,*,#197602,.T.); #268120=ORIENTED_EDGE('',*,*,#197601,.T.); #268121=ORIENTED_EDGE('',*,*,#197603,.F.); #268122=ORIENTED_EDGE('',*,*,#197604,.F.); #268123=ORIENTED_EDGE('',*,*,#197605,.T.); #268124=ORIENTED_EDGE('',*,*,#197604,.T.); #268125=ORIENTED_EDGE('',*,*,#197606,.F.); #268126=ORIENTED_EDGE('',*,*,#197607,.F.); #268127=ORIENTED_EDGE('',*,*,#197608,.T.); #268128=ORIENTED_EDGE('',*,*,#197607,.T.); #268129=ORIENTED_EDGE('',*,*,#197609,.F.); #268130=ORIENTED_EDGE('',*,*,#197610,.F.); #268131=ORIENTED_EDGE('',*,*,#197611,.T.); #268132=ORIENTED_EDGE('',*,*,#197610,.T.); #268133=ORIENTED_EDGE('',*,*,#197612,.F.); #268134=ORIENTED_EDGE('',*,*,#197613,.F.); #268135=ORIENTED_EDGE('',*,*,#197614,.T.); #268136=ORIENTED_EDGE('',*,*,#197613,.T.); #268137=ORIENTED_EDGE('',*,*,#197615,.F.); #268138=ORIENTED_EDGE('',*,*,#197616,.F.); #268139=ORIENTED_EDGE('',*,*,#197617,.T.); #268140=ORIENTED_EDGE('',*,*,#197616,.T.); #268141=ORIENTED_EDGE('',*,*,#197618,.F.); #268142=ORIENTED_EDGE('',*,*,#197619,.F.); #268143=ORIENTED_EDGE('',*,*,#197620,.T.); #268144=ORIENTED_EDGE('',*,*,#197619,.T.); #268145=ORIENTED_EDGE('',*,*,#197621,.F.); #268146=ORIENTED_EDGE('',*,*,#197622,.F.); #268147=ORIENTED_EDGE('',*,*,#197623,.T.); #268148=ORIENTED_EDGE('',*,*,#197622,.T.); #268149=ORIENTED_EDGE('',*,*,#197624,.F.); #268150=ORIENTED_EDGE('',*,*,#197625,.F.); #268151=ORIENTED_EDGE('',*,*,#197626,.T.); #268152=ORIENTED_EDGE('',*,*,#197625,.T.); #268153=ORIENTED_EDGE('',*,*,#197627,.F.); #268154=ORIENTED_EDGE('',*,*,#197628,.F.); #268155=ORIENTED_EDGE('',*,*,#197629,.T.); #268156=ORIENTED_EDGE('',*,*,#197628,.T.); #268157=ORIENTED_EDGE('',*,*,#197630,.F.); #268158=ORIENTED_EDGE('',*,*,#197631,.F.); #268159=ORIENTED_EDGE('',*,*,#197632,.T.); #268160=ORIENTED_EDGE('',*,*,#197631,.T.); #268161=ORIENTED_EDGE('',*,*,#197633,.F.); #268162=ORIENTED_EDGE('',*,*,#197634,.F.); #268163=ORIENTED_EDGE('',*,*,#197635,.T.); #268164=ORIENTED_EDGE('',*,*,#197634,.T.); #268165=ORIENTED_EDGE('',*,*,#197636,.F.); #268166=ORIENTED_EDGE('',*,*,#197637,.F.); #268167=ORIENTED_EDGE('',*,*,#197638,.T.); #268168=ORIENTED_EDGE('',*,*,#197637,.T.); #268169=ORIENTED_EDGE('',*,*,#197639,.F.); #268170=ORIENTED_EDGE('',*,*,#197640,.F.); #268171=ORIENTED_EDGE('',*,*,#197641,.T.); #268172=ORIENTED_EDGE('',*,*,#197640,.T.); #268173=ORIENTED_EDGE('',*,*,#197642,.F.); #268174=ORIENTED_EDGE('',*,*,#197643,.F.); #268175=ORIENTED_EDGE('',*,*,#197644,.T.); #268176=ORIENTED_EDGE('',*,*,#197643,.T.); #268177=ORIENTED_EDGE('',*,*,#197645,.F.); #268178=ORIENTED_EDGE('',*,*,#197646,.F.); #268179=ORIENTED_EDGE('',*,*,#197647,.T.); #268180=ORIENTED_EDGE('',*,*,#197646,.T.); #268181=ORIENTED_EDGE('',*,*,#197648,.F.); #268182=ORIENTED_EDGE('',*,*,#197649,.F.); #268183=ORIENTED_EDGE('',*,*,#197650,.T.); #268184=ORIENTED_EDGE('',*,*,#197649,.T.); #268185=ORIENTED_EDGE('',*,*,#197651,.F.); #268186=ORIENTED_EDGE('',*,*,#197652,.F.); #268187=ORIENTED_EDGE('',*,*,#197653,.T.); #268188=ORIENTED_EDGE('',*,*,#197652,.T.); #268189=ORIENTED_EDGE('',*,*,#197654,.F.); #268190=ORIENTED_EDGE('',*,*,#197655,.F.); #268191=ORIENTED_EDGE('',*,*,#197656,.T.); #268192=ORIENTED_EDGE('',*,*,#197655,.T.); #268193=ORIENTED_EDGE('',*,*,#197657,.F.); #268194=ORIENTED_EDGE('',*,*,#197658,.F.); #268195=ORIENTED_EDGE('',*,*,#197659,.T.); #268196=ORIENTED_EDGE('',*,*,#197658,.T.); #268197=ORIENTED_EDGE('',*,*,#197660,.F.); #268198=ORIENTED_EDGE('',*,*,#197661,.F.); #268199=ORIENTED_EDGE('',*,*,#197662,.T.); #268200=ORIENTED_EDGE('',*,*,#197661,.T.); #268201=ORIENTED_EDGE('',*,*,#197663,.F.); #268202=ORIENTED_EDGE('',*,*,#197664,.F.); #268203=ORIENTED_EDGE('',*,*,#197665,.T.); #268204=ORIENTED_EDGE('',*,*,#197664,.T.); #268205=ORIENTED_EDGE('',*,*,#197666,.F.); #268206=ORIENTED_EDGE('',*,*,#197667,.F.); #268207=ORIENTED_EDGE('',*,*,#197668,.T.); #268208=ORIENTED_EDGE('',*,*,#197667,.T.); #268209=ORIENTED_EDGE('',*,*,#197669,.F.); #268210=ORIENTED_EDGE('',*,*,#197670,.F.); #268211=ORIENTED_EDGE('',*,*,#197671,.T.); #268212=ORIENTED_EDGE('',*,*,#197670,.T.); #268213=ORIENTED_EDGE('',*,*,#197672,.F.); #268214=ORIENTED_EDGE('',*,*,#197673,.F.); #268215=ORIENTED_EDGE('',*,*,#197674,.T.); #268216=ORIENTED_EDGE('',*,*,#197673,.T.); #268217=ORIENTED_EDGE('',*,*,#197675,.F.); #268218=ORIENTED_EDGE('',*,*,#197676,.F.); #268219=ORIENTED_EDGE('',*,*,#197677,.T.); #268220=ORIENTED_EDGE('',*,*,#197676,.T.); #268221=ORIENTED_EDGE('',*,*,#197678,.F.); #268222=ORIENTED_EDGE('',*,*,#197679,.F.); #268223=ORIENTED_EDGE('',*,*,#197680,.T.); #268224=ORIENTED_EDGE('',*,*,#197679,.T.); #268225=ORIENTED_EDGE('',*,*,#197681,.F.); #268226=ORIENTED_EDGE('',*,*,#197682,.F.); #268227=ORIENTED_EDGE('',*,*,#197683,.T.); #268228=ORIENTED_EDGE('',*,*,#197682,.T.); #268229=ORIENTED_EDGE('',*,*,#197684,.F.); #268230=ORIENTED_EDGE('',*,*,#197685,.F.); #268231=ORIENTED_EDGE('',*,*,#197686,.T.); #268232=ORIENTED_EDGE('',*,*,#197685,.T.); #268233=ORIENTED_EDGE('',*,*,#197687,.F.); #268234=ORIENTED_EDGE('',*,*,#197688,.F.); #268235=ORIENTED_EDGE('',*,*,#197689,.T.); #268236=ORIENTED_EDGE('',*,*,#197688,.T.); #268237=ORIENTED_EDGE('',*,*,#197690,.F.); #268238=ORIENTED_EDGE('',*,*,#197691,.F.); #268239=ORIENTED_EDGE('',*,*,#197692,.T.); #268240=ORIENTED_EDGE('',*,*,#197691,.T.); #268241=ORIENTED_EDGE('',*,*,#197693,.F.); #268242=ORIENTED_EDGE('',*,*,#197694,.F.); #268243=ORIENTED_EDGE('',*,*,#197695,.T.); #268244=ORIENTED_EDGE('',*,*,#197694,.T.); #268245=ORIENTED_EDGE('',*,*,#197696,.F.); #268246=ORIENTED_EDGE('',*,*,#197697,.F.); #268247=ORIENTED_EDGE('',*,*,#197698,.T.); #268248=ORIENTED_EDGE('',*,*,#197697,.T.); #268249=ORIENTED_EDGE('',*,*,#197699,.F.); #268250=ORIENTED_EDGE('',*,*,#197700,.F.); #268251=ORIENTED_EDGE('',*,*,#197701,.T.); #268252=ORIENTED_EDGE('',*,*,#197700,.T.); #268253=ORIENTED_EDGE('',*,*,#197702,.F.); #268254=ORIENTED_EDGE('',*,*,#197703,.F.); #268255=ORIENTED_EDGE('',*,*,#197704,.T.); #268256=ORIENTED_EDGE('',*,*,#197703,.T.); #268257=ORIENTED_EDGE('',*,*,#197705,.F.); #268258=ORIENTED_EDGE('',*,*,#197706,.F.); #268259=ORIENTED_EDGE('',*,*,#197707,.T.); #268260=ORIENTED_EDGE('',*,*,#197706,.T.); #268261=ORIENTED_EDGE('',*,*,#197708,.F.); #268262=ORIENTED_EDGE('',*,*,#197709,.F.); #268263=ORIENTED_EDGE('',*,*,#197710,.T.); #268264=ORIENTED_EDGE('',*,*,#197709,.T.); #268265=ORIENTED_EDGE('',*,*,#197711,.F.); #268266=ORIENTED_EDGE('',*,*,#197712,.F.); #268267=ORIENTED_EDGE('',*,*,#197713,.T.); #268268=ORIENTED_EDGE('',*,*,#197712,.T.); #268269=ORIENTED_EDGE('',*,*,#197714,.F.); #268270=ORIENTED_EDGE('',*,*,#197715,.F.); #268271=ORIENTED_EDGE('',*,*,#197716,.T.); #268272=ORIENTED_EDGE('',*,*,#197715,.T.); #268273=ORIENTED_EDGE('',*,*,#197717,.F.); #268274=ORIENTED_EDGE('',*,*,#197718,.F.); #268275=ORIENTED_EDGE('',*,*,#197719,.T.); #268276=ORIENTED_EDGE('',*,*,#197718,.T.); #268277=ORIENTED_EDGE('',*,*,#197720,.F.); #268278=ORIENTED_EDGE('',*,*,#197721,.F.); #268279=ORIENTED_EDGE('',*,*,#197722,.T.); #268280=ORIENTED_EDGE('',*,*,#197721,.T.); #268281=ORIENTED_EDGE('',*,*,#197723,.F.); #268282=ORIENTED_EDGE('',*,*,#197724,.F.); #268283=ORIENTED_EDGE('',*,*,#197725,.T.); #268284=ORIENTED_EDGE('',*,*,#197724,.T.); #268285=ORIENTED_EDGE('',*,*,#197726,.F.); #268286=ORIENTED_EDGE('',*,*,#197727,.F.); #268287=ORIENTED_EDGE('',*,*,#197728,.T.); #268288=ORIENTED_EDGE('',*,*,#197727,.T.); #268289=ORIENTED_EDGE('',*,*,#197729,.F.); #268290=ORIENTED_EDGE('',*,*,#197730,.F.); #268291=ORIENTED_EDGE('',*,*,#197731,.T.); #268292=ORIENTED_EDGE('',*,*,#197730,.T.); #268293=ORIENTED_EDGE('',*,*,#197732,.F.); #268294=ORIENTED_EDGE('',*,*,#197733,.F.); #268295=ORIENTED_EDGE('',*,*,#197734,.T.); #268296=ORIENTED_EDGE('',*,*,#197733,.T.); #268297=ORIENTED_EDGE('',*,*,#197735,.F.); #268298=ORIENTED_EDGE('',*,*,#197736,.F.); #268299=ORIENTED_EDGE('',*,*,#197737,.T.); #268300=ORIENTED_EDGE('',*,*,#197736,.T.); #268301=ORIENTED_EDGE('',*,*,#197738,.F.); #268302=ORIENTED_EDGE('',*,*,#197739,.F.); #268303=ORIENTED_EDGE('',*,*,#197740,.T.); #268304=ORIENTED_EDGE('',*,*,#197739,.T.); #268305=ORIENTED_EDGE('',*,*,#197741,.F.); #268306=ORIENTED_EDGE('',*,*,#197742,.F.); #268307=ORIENTED_EDGE('',*,*,#197743,.T.); #268308=ORIENTED_EDGE('',*,*,#197742,.T.); #268309=ORIENTED_EDGE('',*,*,#197744,.F.); #268310=ORIENTED_EDGE('',*,*,#197745,.F.); #268311=ORIENTED_EDGE('',*,*,#197746,.T.); #268312=ORIENTED_EDGE('',*,*,#197745,.T.); #268313=ORIENTED_EDGE('',*,*,#197747,.F.); #268314=ORIENTED_EDGE('',*,*,#197748,.F.); #268315=ORIENTED_EDGE('',*,*,#197749,.T.); #268316=ORIENTED_EDGE('',*,*,#197748,.T.); #268317=ORIENTED_EDGE('',*,*,#197750,.F.); #268318=ORIENTED_EDGE('',*,*,#197751,.F.); #268319=ORIENTED_EDGE('',*,*,#197752,.T.); #268320=ORIENTED_EDGE('',*,*,#197751,.T.); #268321=ORIENTED_EDGE('',*,*,#197753,.F.); #268322=ORIENTED_EDGE('',*,*,#197754,.F.); #268323=ORIENTED_EDGE('',*,*,#197755,.T.); #268324=ORIENTED_EDGE('',*,*,#197754,.T.); #268325=ORIENTED_EDGE('',*,*,#197756,.F.); #268326=ORIENTED_EDGE('',*,*,#197757,.F.); #268327=ORIENTED_EDGE('',*,*,#197758,.T.); #268328=ORIENTED_EDGE('',*,*,#197757,.T.); #268329=ORIENTED_EDGE('',*,*,#197759,.F.); #268330=ORIENTED_EDGE('',*,*,#197760,.F.); #268331=ORIENTED_EDGE('',*,*,#197761,.T.); #268332=ORIENTED_EDGE('',*,*,#197760,.T.); #268333=ORIENTED_EDGE('',*,*,#197762,.F.); #268334=ORIENTED_EDGE('',*,*,#197763,.F.); #268335=ORIENTED_EDGE('',*,*,#197764,.T.); #268336=ORIENTED_EDGE('',*,*,#197763,.T.); #268337=ORIENTED_EDGE('',*,*,#197765,.F.); #268338=ORIENTED_EDGE('',*,*,#197766,.F.); #268339=ORIENTED_EDGE('',*,*,#197767,.T.); #268340=ORIENTED_EDGE('',*,*,#197766,.T.); #268341=ORIENTED_EDGE('',*,*,#197768,.F.); #268342=ORIENTED_EDGE('',*,*,#197769,.F.); #268343=ORIENTED_EDGE('',*,*,#197770,.T.); #268344=ORIENTED_EDGE('',*,*,#197769,.T.); #268345=ORIENTED_EDGE('',*,*,#197771,.F.); #268346=ORIENTED_EDGE('',*,*,#197772,.F.); #268347=ORIENTED_EDGE('',*,*,#197773,.T.); #268348=ORIENTED_EDGE('',*,*,#197772,.T.); #268349=ORIENTED_EDGE('',*,*,#197774,.F.); #268350=ORIENTED_EDGE('',*,*,#197775,.F.); #268351=ORIENTED_EDGE('',*,*,#197776,.T.); #268352=ORIENTED_EDGE('',*,*,#197775,.T.); #268353=ORIENTED_EDGE('',*,*,#197777,.F.); #268354=ORIENTED_EDGE('',*,*,#197778,.F.); #268355=ORIENTED_EDGE('',*,*,#197779,.T.); #268356=ORIENTED_EDGE('',*,*,#197778,.T.); #268357=ORIENTED_EDGE('',*,*,#197780,.F.); #268358=ORIENTED_EDGE('',*,*,#197781,.F.); #268359=ORIENTED_EDGE('',*,*,#197782,.T.); #268360=ORIENTED_EDGE('',*,*,#197781,.T.); #268361=ORIENTED_EDGE('',*,*,#197783,.F.); #268362=ORIENTED_EDGE('',*,*,#197784,.F.); #268363=ORIENTED_EDGE('',*,*,#197785,.T.); #268364=ORIENTED_EDGE('',*,*,#197784,.T.); #268365=ORIENTED_EDGE('',*,*,#197786,.F.); #268366=ORIENTED_EDGE('',*,*,#197787,.F.); #268367=ORIENTED_EDGE('',*,*,#197788,.T.); #268368=ORIENTED_EDGE('',*,*,#197787,.T.); #268369=ORIENTED_EDGE('',*,*,#197789,.F.); #268370=ORIENTED_EDGE('',*,*,#197790,.F.); #268371=ORIENTED_EDGE('',*,*,#197791,.T.); #268372=ORIENTED_EDGE('',*,*,#197790,.T.); #268373=ORIENTED_EDGE('',*,*,#197792,.F.); #268374=ORIENTED_EDGE('',*,*,#197793,.F.); #268375=ORIENTED_EDGE('',*,*,#197794,.T.); #268376=ORIENTED_EDGE('',*,*,#197793,.T.); #268377=ORIENTED_EDGE('',*,*,#197795,.F.); #268378=ORIENTED_EDGE('',*,*,#197796,.F.); #268379=ORIENTED_EDGE('',*,*,#197797,.T.); #268380=ORIENTED_EDGE('',*,*,#197796,.T.); #268381=ORIENTED_EDGE('',*,*,#197798,.F.); #268382=ORIENTED_EDGE('',*,*,#197799,.F.); #268383=ORIENTED_EDGE('',*,*,#197800,.T.); #268384=ORIENTED_EDGE('',*,*,#197799,.T.); #268385=ORIENTED_EDGE('',*,*,#197801,.F.); #268386=ORIENTED_EDGE('',*,*,#197802,.F.); #268387=ORIENTED_EDGE('',*,*,#197803,.T.); #268388=ORIENTED_EDGE('',*,*,#197802,.T.); #268389=ORIENTED_EDGE('',*,*,#197804,.F.); #268390=ORIENTED_EDGE('',*,*,#197805,.F.); #268391=ORIENTED_EDGE('',*,*,#197806,.T.); #268392=ORIENTED_EDGE('',*,*,#197805,.T.); #268393=ORIENTED_EDGE('',*,*,#197807,.F.); #268394=ORIENTED_EDGE('',*,*,#197808,.F.); #268395=ORIENTED_EDGE('',*,*,#197809,.T.); #268396=ORIENTED_EDGE('',*,*,#197808,.T.); #268397=ORIENTED_EDGE('',*,*,#197810,.F.); #268398=ORIENTED_EDGE('',*,*,#197811,.F.); #268399=ORIENTED_EDGE('',*,*,#197812,.T.); #268400=ORIENTED_EDGE('',*,*,#197811,.T.); #268401=ORIENTED_EDGE('',*,*,#197813,.F.); #268402=ORIENTED_EDGE('',*,*,#197814,.F.); #268403=ORIENTED_EDGE('',*,*,#197815,.T.); #268404=ORIENTED_EDGE('',*,*,#197814,.T.); #268405=ORIENTED_EDGE('',*,*,#197816,.F.); #268406=ORIENTED_EDGE('',*,*,#197817,.F.); #268407=ORIENTED_EDGE('',*,*,#197818,.T.); #268408=ORIENTED_EDGE('',*,*,#197817,.T.); #268409=ORIENTED_EDGE('',*,*,#197819,.F.); #268410=ORIENTED_EDGE('',*,*,#197820,.F.); #268411=ORIENTED_EDGE('',*,*,#197821,.T.); #268412=ORIENTED_EDGE('',*,*,#197820,.T.); #268413=ORIENTED_EDGE('',*,*,#197822,.F.); #268414=ORIENTED_EDGE('',*,*,#197823,.F.); #268415=ORIENTED_EDGE('',*,*,#197824,.T.); #268416=ORIENTED_EDGE('',*,*,#197823,.T.); #268417=ORIENTED_EDGE('',*,*,#197825,.F.); #268418=ORIENTED_EDGE('',*,*,#197826,.F.); #268419=ORIENTED_EDGE('',*,*,#197827,.T.); #268420=ORIENTED_EDGE('',*,*,#197826,.T.); #268421=ORIENTED_EDGE('',*,*,#197828,.F.); #268422=ORIENTED_EDGE('',*,*,#197829,.F.); #268423=ORIENTED_EDGE('',*,*,#197830,.T.); #268424=ORIENTED_EDGE('',*,*,#197829,.T.); #268425=ORIENTED_EDGE('',*,*,#197831,.F.); #268426=ORIENTED_EDGE('',*,*,#197832,.F.); #268427=ORIENTED_EDGE('',*,*,#197833,.T.); #268428=ORIENTED_EDGE('',*,*,#197832,.T.); #268429=ORIENTED_EDGE('',*,*,#197834,.F.); #268430=ORIENTED_EDGE('',*,*,#197835,.F.); #268431=ORIENTED_EDGE('',*,*,#197836,.T.); #268432=ORIENTED_EDGE('',*,*,#197835,.T.); #268433=ORIENTED_EDGE('',*,*,#197837,.F.); #268434=ORIENTED_EDGE('',*,*,#197838,.F.); #268435=ORIENTED_EDGE('',*,*,#197839,.T.); #268436=ORIENTED_EDGE('',*,*,#197838,.T.); #268437=ORIENTED_EDGE('',*,*,#197840,.F.); #268438=ORIENTED_EDGE('',*,*,#197841,.F.); #268439=ORIENTED_EDGE('',*,*,#197842,.T.); #268440=ORIENTED_EDGE('',*,*,#197841,.T.); #268441=ORIENTED_EDGE('',*,*,#197843,.F.); #268442=ORIENTED_EDGE('',*,*,#197844,.F.); #268443=ORIENTED_EDGE('',*,*,#197845,.T.); #268444=ORIENTED_EDGE('',*,*,#197844,.T.); #268445=ORIENTED_EDGE('',*,*,#197846,.F.); #268446=ORIENTED_EDGE('',*,*,#197847,.F.); #268447=ORIENTED_EDGE('',*,*,#197848,.T.); #268448=ORIENTED_EDGE('',*,*,#197847,.T.); #268449=ORIENTED_EDGE('',*,*,#197849,.F.); #268450=ORIENTED_EDGE('',*,*,#197850,.F.); #268451=ORIENTED_EDGE('',*,*,#197851,.T.); #268452=ORIENTED_EDGE('',*,*,#197850,.T.); #268453=ORIENTED_EDGE('',*,*,#197852,.F.); #268454=ORIENTED_EDGE('',*,*,#197853,.F.); #268455=ORIENTED_EDGE('',*,*,#197854,.T.); #268456=ORIENTED_EDGE('',*,*,#197853,.T.); #268457=ORIENTED_EDGE('',*,*,#197855,.F.); #268458=ORIENTED_EDGE('',*,*,#197856,.F.); #268459=ORIENTED_EDGE('',*,*,#197857,.T.); #268460=ORIENTED_EDGE('',*,*,#197856,.T.); #268461=ORIENTED_EDGE('',*,*,#197858,.F.); #268462=ORIENTED_EDGE('',*,*,#197859,.F.); #268463=ORIENTED_EDGE('',*,*,#197860,.T.); #268464=ORIENTED_EDGE('',*,*,#197859,.T.); #268465=ORIENTED_EDGE('',*,*,#197861,.F.); #268466=ORIENTED_EDGE('',*,*,#197862,.F.); #268467=ORIENTED_EDGE('',*,*,#197863,.T.); #268468=ORIENTED_EDGE('',*,*,#197862,.T.); #268469=ORIENTED_EDGE('',*,*,#197864,.F.); #268470=ORIENTED_EDGE('',*,*,#197865,.F.); #268471=ORIENTED_EDGE('',*,*,#197866,.T.); #268472=ORIENTED_EDGE('',*,*,#197865,.T.); #268473=ORIENTED_EDGE('',*,*,#197867,.F.); #268474=ORIENTED_EDGE('',*,*,#197868,.F.); #268475=ORIENTED_EDGE('',*,*,#197869,.T.); #268476=ORIENTED_EDGE('',*,*,#197868,.T.); #268477=ORIENTED_EDGE('',*,*,#197870,.F.); #268478=ORIENTED_EDGE('',*,*,#197871,.F.); #268479=ORIENTED_EDGE('',*,*,#197872,.T.); #268480=ORIENTED_EDGE('',*,*,#197871,.T.); #268481=ORIENTED_EDGE('',*,*,#197873,.F.); #268482=ORIENTED_EDGE('',*,*,#197874,.F.); #268483=ORIENTED_EDGE('',*,*,#197875,.T.); #268484=ORIENTED_EDGE('',*,*,#197874,.T.); #268485=ORIENTED_EDGE('',*,*,#197876,.F.); #268486=ORIENTED_EDGE('',*,*,#197877,.F.); #268487=ORIENTED_EDGE('',*,*,#197878,.T.); #268488=ORIENTED_EDGE('',*,*,#197877,.T.); #268489=ORIENTED_EDGE('',*,*,#197879,.F.); #268490=ORIENTED_EDGE('',*,*,#197880,.F.); #268491=ORIENTED_EDGE('',*,*,#197881,.T.); #268492=ORIENTED_EDGE('',*,*,#197880,.T.); #268493=ORIENTED_EDGE('',*,*,#197882,.F.); #268494=ORIENTED_EDGE('',*,*,#197883,.F.); #268495=ORIENTED_EDGE('',*,*,#197884,.T.); #268496=ORIENTED_EDGE('',*,*,#197883,.T.); #268497=ORIENTED_EDGE('',*,*,#197885,.F.); #268498=ORIENTED_EDGE('',*,*,#197886,.F.); #268499=ORIENTED_EDGE('',*,*,#197887,.T.); #268500=ORIENTED_EDGE('',*,*,#197886,.T.); #268501=ORIENTED_EDGE('',*,*,#197888,.F.); #268502=ORIENTED_EDGE('',*,*,#197889,.F.); #268503=ORIENTED_EDGE('',*,*,#197890,.T.); #268504=ORIENTED_EDGE('',*,*,#197889,.T.); #268505=ORIENTED_EDGE('',*,*,#197891,.F.); #268506=ORIENTED_EDGE('',*,*,#197892,.F.); #268507=ORIENTED_EDGE('',*,*,#197893,.T.); #268508=ORIENTED_EDGE('',*,*,#197892,.T.); #268509=ORIENTED_EDGE('',*,*,#197894,.F.); #268510=ORIENTED_EDGE('',*,*,#197895,.F.); #268511=ORIENTED_EDGE('',*,*,#197896,.T.); #268512=ORIENTED_EDGE('',*,*,#197895,.T.); #268513=ORIENTED_EDGE('',*,*,#197897,.F.); #268514=ORIENTED_EDGE('',*,*,#197898,.F.); #268515=ORIENTED_EDGE('',*,*,#197899,.T.); #268516=ORIENTED_EDGE('',*,*,#197898,.T.); #268517=ORIENTED_EDGE('',*,*,#197900,.F.); #268518=ORIENTED_EDGE('',*,*,#197901,.F.); #268519=ORIENTED_EDGE('',*,*,#197902,.T.); #268520=ORIENTED_EDGE('',*,*,#197901,.T.); #268521=ORIENTED_EDGE('',*,*,#197903,.F.); #268522=ORIENTED_EDGE('',*,*,#197904,.F.); #268523=ORIENTED_EDGE('',*,*,#197905,.T.); #268524=ORIENTED_EDGE('',*,*,#197904,.T.); #268525=ORIENTED_EDGE('',*,*,#197906,.F.); #268526=ORIENTED_EDGE('',*,*,#197907,.F.); #268527=ORIENTED_EDGE('',*,*,#197908,.T.); #268528=ORIENTED_EDGE('',*,*,#197907,.T.); #268529=ORIENTED_EDGE('',*,*,#197909,.F.); #268530=ORIENTED_EDGE('',*,*,#197910,.F.); #268531=ORIENTED_EDGE('',*,*,#197911,.T.); #268532=ORIENTED_EDGE('',*,*,#197910,.T.); #268533=ORIENTED_EDGE('',*,*,#197912,.F.); #268534=ORIENTED_EDGE('',*,*,#197913,.F.); #268535=ORIENTED_EDGE('',*,*,#197914,.T.); #268536=ORIENTED_EDGE('',*,*,#197913,.T.); #268537=ORIENTED_EDGE('',*,*,#197915,.F.); #268538=ORIENTED_EDGE('',*,*,#197916,.F.); #268539=ORIENTED_EDGE('',*,*,#197917,.T.); #268540=ORIENTED_EDGE('',*,*,#197916,.T.); #268541=ORIENTED_EDGE('',*,*,#197918,.F.); #268542=ORIENTED_EDGE('',*,*,#197919,.F.); #268543=ORIENTED_EDGE('',*,*,#197920,.T.); #268544=ORIENTED_EDGE('',*,*,#197919,.T.); #268545=ORIENTED_EDGE('',*,*,#197921,.F.); #268546=ORIENTED_EDGE('',*,*,#197922,.F.); #268547=ORIENTED_EDGE('',*,*,#197923,.T.); #268548=ORIENTED_EDGE('',*,*,#197922,.T.); #268549=ORIENTED_EDGE('',*,*,#197924,.F.); #268550=ORIENTED_EDGE('',*,*,#197925,.F.); #268551=ORIENTED_EDGE('',*,*,#197926,.T.); #268552=ORIENTED_EDGE('',*,*,#197925,.T.); #268553=ORIENTED_EDGE('',*,*,#197927,.F.); #268554=ORIENTED_EDGE('',*,*,#197928,.F.); #268555=ORIENTED_EDGE('',*,*,#197929,.T.); #268556=ORIENTED_EDGE('',*,*,#197928,.T.); #268557=ORIENTED_EDGE('',*,*,#197930,.F.); #268558=ORIENTED_EDGE('',*,*,#197931,.F.); #268559=ORIENTED_EDGE('',*,*,#197932,.T.); #268560=ORIENTED_EDGE('',*,*,#197931,.T.); #268561=ORIENTED_EDGE('',*,*,#197933,.F.); #268562=ORIENTED_EDGE('',*,*,#197934,.F.); #268563=ORIENTED_EDGE('',*,*,#197935,.T.); #268564=ORIENTED_EDGE('',*,*,#197934,.T.); #268565=ORIENTED_EDGE('',*,*,#197936,.F.); #268566=ORIENTED_EDGE('',*,*,#197937,.F.); #268567=ORIENTED_EDGE('',*,*,#197938,.T.); #268568=ORIENTED_EDGE('',*,*,#197937,.T.); #268569=ORIENTED_EDGE('',*,*,#197939,.F.); #268570=ORIENTED_EDGE('',*,*,#197940,.F.); #268571=ORIENTED_EDGE('',*,*,#197941,.T.); #268572=ORIENTED_EDGE('',*,*,#197940,.T.); #268573=ORIENTED_EDGE('',*,*,#197942,.F.); #268574=ORIENTED_EDGE('',*,*,#197943,.F.); #268575=ORIENTED_EDGE('',*,*,#197944,.T.); #268576=ORIENTED_EDGE('',*,*,#197943,.T.); #268577=ORIENTED_EDGE('',*,*,#197945,.F.); #268578=ORIENTED_EDGE('',*,*,#197946,.F.); #268579=ORIENTED_EDGE('',*,*,#197947,.T.); #268580=ORIENTED_EDGE('',*,*,#197946,.T.); #268581=ORIENTED_EDGE('',*,*,#197948,.F.); #268582=ORIENTED_EDGE('',*,*,#197949,.F.); #268583=ORIENTED_EDGE('',*,*,#197950,.T.); #268584=ORIENTED_EDGE('',*,*,#197949,.T.); #268585=ORIENTED_EDGE('',*,*,#197951,.F.); #268586=ORIENTED_EDGE('',*,*,#197952,.F.); #268587=ORIENTED_EDGE('',*,*,#197953,.T.); #268588=ORIENTED_EDGE('',*,*,#197952,.T.); #268589=ORIENTED_EDGE('',*,*,#197954,.F.); #268590=ORIENTED_EDGE('',*,*,#197955,.F.); #268591=ORIENTED_EDGE('',*,*,#197956,.T.); #268592=ORIENTED_EDGE('',*,*,#197955,.T.); #268593=ORIENTED_EDGE('',*,*,#197957,.F.); #268594=ORIENTED_EDGE('',*,*,#197958,.F.); #268595=ORIENTED_EDGE('',*,*,#197959,.T.); #268596=ORIENTED_EDGE('',*,*,#197958,.T.); #268597=ORIENTED_EDGE('',*,*,#197960,.F.); #268598=ORIENTED_EDGE('',*,*,#197961,.F.); #268599=ORIENTED_EDGE('',*,*,#197962,.T.); #268600=ORIENTED_EDGE('',*,*,#197961,.T.); #268601=ORIENTED_EDGE('',*,*,#197963,.F.); #268602=ORIENTED_EDGE('',*,*,#197964,.F.); #268603=ORIENTED_EDGE('',*,*,#197965,.T.); #268604=ORIENTED_EDGE('',*,*,#197964,.T.); #268605=ORIENTED_EDGE('',*,*,#197966,.F.); #268606=ORIENTED_EDGE('',*,*,#197967,.F.); #268607=ORIENTED_EDGE('',*,*,#197968,.T.); #268608=ORIENTED_EDGE('',*,*,#197967,.T.); #268609=ORIENTED_EDGE('',*,*,#197969,.F.); #268610=ORIENTED_EDGE('',*,*,#197970,.F.); #268611=ORIENTED_EDGE('',*,*,#197971,.T.); #268612=ORIENTED_EDGE('',*,*,#197970,.T.); #268613=ORIENTED_EDGE('',*,*,#197972,.F.); #268614=ORIENTED_EDGE('',*,*,#197973,.F.); #268615=ORIENTED_EDGE('',*,*,#197974,.T.); #268616=ORIENTED_EDGE('',*,*,#197973,.T.); #268617=ORIENTED_EDGE('',*,*,#197975,.F.); #268618=ORIENTED_EDGE('',*,*,#197976,.F.); #268619=ORIENTED_EDGE('',*,*,#197977,.T.); #268620=ORIENTED_EDGE('',*,*,#197976,.T.); #268621=ORIENTED_EDGE('',*,*,#197978,.F.); #268622=ORIENTED_EDGE('',*,*,#197979,.F.); #268623=ORIENTED_EDGE('',*,*,#197980,.T.); #268624=ORIENTED_EDGE('',*,*,#197979,.T.); #268625=ORIENTED_EDGE('',*,*,#197981,.F.); #268626=ORIENTED_EDGE('',*,*,#197982,.F.); #268627=ORIENTED_EDGE('',*,*,#197983,.T.); #268628=ORIENTED_EDGE('',*,*,#197982,.T.); #268629=ORIENTED_EDGE('',*,*,#197984,.F.); #268630=ORIENTED_EDGE('',*,*,#197985,.F.); #268631=ORIENTED_EDGE('',*,*,#197986,.T.); #268632=ORIENTED_EDGE('',*,*,#197985,.T.); #268633=ORIENTED_EDGE('',*,*,#197987,.F.); #268634=ORIENTED_EDGE('',*,*,#197988,.F.); #268635=ORIENTED_EDGE('',*,*,#197989,.T.); #268636=ORIENTED_EDGE('',*,*,#197988,.T.); #268637=ORIENTED_EDGE('',*,*,#197990,.F.); #268638=ORIENTED_EDGE('',*,*,#197991,.F.); #268639=ORIENTED_EDGE('',*,*,#197992,.T.); #268640=ORIENTED_EDGE('',*,*,#197991,.T.); #268641=ORIENTED_EDGE('',*,*,#197993,.F.); #268642=ORIENTED_EDGE('',*,*,#197994,.F.); #268643=ORIENTED_EDGE('',*,*,#197995,.T.); #268644=ORIENTED_EDGE('',*,*,#197994,.T.); #268645=ORIENTED_EDGE('',*,*,#197996,.F.); #268646=ORIENTED_EDGE('',*,*,#197997,.F.); #268647=ORIENTED_EDGE('',*,*,#197998,.T.); #268648=ORIENTED_EDGE('',*,*,#197997,.T.); #268649=ORIENTED_EDGE('',*,*,#197999,.F.); #268650=ORIENTED_EDGE('',*,*,#198000,.F.); #268651=ORIENTED_EDGE('',*,*,#198001,.T.); #268652=ORIENTED_EDGE('',*,*,#198000,.T.); #268653=ORIENTED_EDGE('',*,*,#198002,.F.); #268654=ORIENTED_EDGE('',*,*,#198003,.F.); #268655=ORIENTED_EDGE('',*,*,#198004,.T.); #268656=ORIENTED_EDGE('',*,*,#198003,.T.); #268657=ORIENTED_EDGE('',*,*,#198005,.F.); #268658=ORIENTED_EDGE('',*,*,#198006,.F.); #268659=ORIENTED_EDGE('',*,*,#198007,.T.); #268660=ORIENTED_EDGE('',*,*,#198006,.T.); #268661=ORIENTED_EDGE('',*,*,#198008,.F.); #268662=ORIENTED_EDGE('',*,*,#198009,.F.); #268663=ORIENTED_EDGE('',*,*,#198010,.T.); #268664=ORIENTED_EDGE('',*,*,#198009,.T.); #268665=ORIENTED_EDGE('',*,*,#198011,.F.); #268666=ORIENTED_EDGE('',*,*,#198012,.F.); #268667=ORIENTED_EDGE('',*,*,#198013,.T.); #268668=ORIENTED_EDGE('',*,*,#198012,.T.); #268669=ORIENTED_EDGE('',*,*,#198014,.F.); #268670=ORIENTED_EDGE('',*,*,#198015,.F.); #268671=ORIENTED_EDGE('',*,*,#198016,.T.); #268672=ORIENTED_EDGE('',*,*,#198015,.T.); #268673=ORIENTED_EDGE('',*,*,#198017,.F.); #268674=ORIENTED_EDGE('',*,*,#198018,.F.); #268675=ORIENTED_EDGE('',*,*,#198019,.T.); #268676=ORIENTED_EDGE('',*,*,#198018,.T.); #268677=ORIENTED_EDGE('',*,*,#198020,.F.); #268678=ORIENTED_EDGE('',*,*,#198021,.F.); #268679=ORIENTED_EDGE('',*,*,#198022,.T.); #268680=ORIENTED_EDGE('',*,*,#198021,.T.); #268681=ORIENTED_EDGE('',*,*,#198023,.F.); #268682=ORIENTED_EDGE('',*,*,#198024,.F.); #268683=ORIENTED_EDGE('',*,*,#198025,.T.); #268684=ORIENTED_EDGE('',*,*,#198024,.T.); #268685=ORIENTED_EDGE('',*,*,#198026,.F.); #268686=ORIENTED_EDGE('',*,*,#198027,.F.); #268687=ORIENTED_EDGE('',*,*,#198028,.T.); #268688=ORIENTED_EDGE('',*,*,#198027,.T.); #268689=ORIENTED_EDGE('',*,*,#198029,.F.); #268690=ORIENTED_EDGE('',*,*,#198030,.F.); #268691=ORIENTED_EDGE('',*,*,#198031,.T.); #268692=ORIENTED_EDGE('',*,*,#198030,.T.); #268693=ORIENTED_EDGE('',*,*,#198032,.F.); #268694=ORIENTED_EDGE('',*,*,#198033,.F.); #268695=ORIENTED_EDGE('',*,*,#198034,.T.); #268696=ORIENTED_EDGE('',*,*,#198033,.T.); #268697=ORIENTED_EDGE('',*,*,#198035,.F.); #268698=ORIENTED_EDGE('',*,*,#198036,.F.); #268699=ORIENTED_EDGE('',*,*,#198037,.T.); #268700=ORIENTED_EDGE('',*,*,#198036,.T.); #268701=ORIENTED_EDGE('',*,*,#198038,.F.); #268702=ORIENTED_EDGE('',*,*,#198039,.F.); #268703=ORIENTED_EDGE('',*,*,#198040,.T.); #268704=ORIENTED_EDGE('',*,*,#198039,.T.); #268705=ORIENTED_EDGE('',*,*,#198041,.F.); #268706=ORIENTED_EDGE('',*,*,#198042,.F.); #268707=ORIENTED_EDGE('',*,*,#198043,.T.); #268708=ORIENTED_EDGE('',*,*,#198042,.T.); #268709=ORIENTED_EDGE('',*,*,#198044,.F.); #268710=ORIENTED_EDGE('',*,*,#198045,.F.); #268711=ORIENTED_EDGE('',*,*,#198046,.T.); #268712=ORIENTED_EDGE('',*,*,#198045,.T.); #268713=ORIENTED_EDGE('',*,*,#198047,.F.); #268714=ORIENTED_EDGE('',*,*,#198048,.F.); #268715=ORIENTED_EDGE('',*,*,#198049,.T.); #268716=ORIENTED_EDGE('',*,*,#198048,.T.); #268717=ORIENTED_EDGE('',*,*,#198050,.F.); #268718=ORIENTED_EDGE('',*,*,#198051,.F.); #268719=ORIENTED_EDGE('',*,*,#198052,.T.); #268720=ORIENTED_EDGE('',*,*,#198051,.T.); #268721=ORIENTED_EDGE('',*,*,#198053,.F.); #268722=ORIENTED_EDGE('',*,*,#198054,.F.); #268723=ORIENTED_EDGE('',*,*,#198055,.T.); #268724=ORIENTED_EDGE('',*,*,#198054,.T.); #268725=ORIENTED_EDGE('',*,*,#198056,.F.); #268726=ORIENTED_EDGE('',*,*,#198057,.F.); #268727=ORIENTED_EDGE('',*,*,#198058,.T.); #268728=ORIENTED_EDGE('',*,*,#198057,.T.); #268729=ORIENTED_EDGE('',*,*,#198059,.F.); #268730=ORIENTED_EDGE('',*,*,#198060,.F.); #268731=ORIENTED_EDGE('',*,*,#198061,.T.); #268732=ORIENTED_EDGE('',*,*,#198060,.T.); #268733=ORIENTED_EDGE('',*,*,#198062,.F.); #268734=ORIENTED_EDGE('',*,*,#198063,.F.); #268735=ORIENTED_EDGE('',*,*,#198064,.T.); #268736=ORIENTED_EDGE('',*,*,#198063,.T.); #268737=ORIENTED_EDGE('',*,*,#198065,.F.); #268738=ORIENTED_EDGE('',*,*,#198066,.F.); #268739=ORIENTED_EDGE('',*,*,#198067,.T.); #268740=ORIENTED_EDGE('',*,*,#198066,.T.); #268741=ORIENTED_EDGE('',*,*,#198068,.F.); #268742=ORIENTED_EDGE('',*,*,#198069,.F.); #268743=ORIENTED_EDGE('',*,*,#198070,.T.); #268744=ORIENTED_EDGE('',*,*,#198069,.T.); #268745=ORIENTED_EDGE('',*,*,#198071,.F.); #268746=ORIENTED_EDGE('',*,*,#198072,.F.); #268747=ORIENTED_EDGE('',*,*,#198073,.T.); #268748=ORIENTED_EDGE('',*,*,#198072,.T.); #268749=ORIENTED_EDGE('',*,*,#198074,.F.); #268750=ORIENTED_EDGE('',*,*,#198075,.F.); #268751=ORIENTED_EDGE('',*,*,#198076,.T.); #268752=ORIENTED_EDGE('',*,*,#198075,.T.); #268753=ORIENTED_EDGE('',*,*,#198077,.F.); #268754=ORIENTED_EDGE('',*,*,#198078,.F.); #268755=ORIENTED_EDGE('',*,*,#198079,.T.); #268756=ORIENTED_EDGE('',*,*,#198078,.T.); #268757=ORIENTED_EDGE('',*,*,#198080,.F.); #268758=ORIENTED_EDGE('',*,*,#198081,.F.); #268759=ORIENTED_EDGE('',*,*,#198082,.T.); #268760=ORIENTED_EDGE('',*,*,#198081,.T.); #268761=ORIENTED_EDGE('',*,*,#198083,.F.); #268762=ORIENTED_EDGE('',*,*,#198084,.F.); #268763=ORIENTED_EDGE('',*,*,#198085,.T.); #268764=ORIENTED_EDGE('',*,*,#198084,.T.); #268765=ORIENTED_EDGE('',*,*,#198086,.F.); #268766=ORIENTED_EDGE('',*,*,#198087,.F.); #268767=ORIENTED_EDGE('',*,*,#198088,.T.); #268768=ORIENTED_EDGE('',*,*,#198087,.T.); #268769=ORIENTED_EDGE('',*,*,#198089,.F.); #268770=ORIENTED_EDGE('',*,*,#198090,.F.); #268771=ORIENTED_EDGE('',*,*,#198091,.T.); #268772=ORIENTED_EDGE('',*,*,#198090,.T.); #268773=ORIENTED_EDGE('',*,*,#198092,.F.); #268774=ORIENTED_EDGE('',*,*,#198093,.F.); #268775=ORIENTED_EDGE('',*,*,#198094,.T.); #268776=ORIENTED_EDGE('',*,*,#198093,.T.); #268777=ORIENTED_EDGE('',*,*,#198095,.F.); #268778=ORIENTED_EDGE('',*,*,#198096,.F.); #268779=ORIENTED_EDGE('',*,*,#198097,.T.); #268780=ORIENTED_EDGE('',*,*,#198096,.T.); #268781=ORIENTED_EDGE('',*,*,#198098,.F.); #268782=ORIENTED_EDGE('',*,*,#198099,.F.); #268783=ORIENTED_EDGE('',*,*,#198100,.T.); #268784=ORIENTED_EDGE('',*,*,#198099,.T.); #268785=ORIENTED_EDGE('',*,*,#198101,.F.); #268786=ORIENTED_EDGE('',*,*,#198102,.F.); #268787=ORIENTED_EDGE('',*,*,#198103,.T.); #268788=ORIENTED_EDGE('',*,*,#198102,.T.); #268789=ORIENTED_EDGE('',*,*,#198104,.F.); #268790=ORIENTED_EDGE('',*,*,#198105,.F.); #268791=ORIENTED_EDGE('',*,*,#198106,.T.); #268792=ORIENTED_EDGE('',*,*,#198105,.T.); #268793=ORIENTED_EDGE('',*,*,#198107,.F.); #268794=ORIENTED_EDGE('',*,*,#198108,.F.); #268795=ORIENTED_EDGE('',*,*,#198109,.T.); #268796=ORIENTED_EDGE('',*,*,#198108,.T.); #268797=ORIENTED_EDGE('',*,*,#198110,.F.); #268798=ORIENTED_EDGE('',*,*,#198111,.F.); #268799=ORIENTED_EDGE('',*,*,#198112,.T.); #268800=ORIENTED_EDGE('',*,*,#198111,.T.); #268801=ORIENTED_EDGE('',*,*,#198113,.F.); #268802=ORIENTED_EDGE('',*,*,#198114,.F.); #268803=ORIENTED_EDGE('',*,*,#198115,.T.); #268804=ORIENTED_EDGE('',*,*,#198114,.T.); #268805=ORIENTED_EDGE('',*,*,#198116,.F.); #268806=ORIENTED_EDGE('',*,*,#198117,.F.); #268807=ORIENTED_EDGE('',*,*,#198118,.T.); #268808=ORIENTED_EDGE('',*,*,#198117,.T.); #268809=ORIENTED_EDGE('',*,*,#198119,.F.); #268810=ORIENTED_EDGE('',*,*,#198120,.F.); #268811=ORIENTED_EDGE('',*,*,#198121,.T.); #268812=ORIENTED_EDGE('',*,*,#198120,.T.); #268813=ORIENTED_EDGE('',*,*,#198122,.F.); #268814=ORIENTED_EDGE('',*,*,#198123,.F.); #268815=ORIENTED_EDGE('',*,*,#198124,.T.); #268816=ORIENTED_EDGE('',*,*,#198123,.T.); #268817=ORIENTED_EDGE('',*,*,#198125,.F.); #268818=ORIENTED_EDGE('',*,*,#198126,.F.); #268819=ORIENTED_EDGE('',*,*,#198127,.T.); #268820=ORIENTED_EDGE('',*,*,#198126,.T.); #268821=ORIENTED_EDGE('',*,*,#198128,.F.); #268822=ORIENTED_EDGE('',*,*,#198129,.F.); #268823=ORIENTED_EDGE('',*,*,#198130,.T.); #268824=ORIENTED_EDGE('',*,*,#198129,.T.); #268825=ORIENTED_EDGE('',*,*,#198131,.F.); #268826=ORIENTED_EDGE('',*,*,#198132,.F.); #268827=ORIENTED_EDGE('',*,*,#198133,.T.); #268828=ORIENTED_EDGE('',*,*,#198132,.T.); #268829=ORIENTED_EDGE('',*,*,#198134,.F.); #268830=ORIENTED_EDGE('',*,*,#198135,.F.); #268831=ORIENTED_EDGE('',*,*,#198136,.T.); #268832=ORIENTED_EDGE('',*,*,#198135,.T.); #268833=ORIENTED_EDGE('',*,*,#198137,.F.); #268834=ORIENTED_EDGE('',*,*,#198138,.F.); #268835=ORIENTED_EDGE('',*,*,#198139,.T.); #268836=ORIENTED_EDGE('',*,*,#198138,.T.); #268837=ORIENTED_EDGE('',*,*,#198140,.F.); #268838=ORIENTED_EDGE('',*,*,#198141,.F.); #268839=ORIENTED_EDGE('',*,*,#198142,.T.); #268840=ORIENTED_EDGE('',*,*,#198141,.T.); #268841=ORIENTED_EDGE('',*,*,#198143,.F.); #268842=ORIENTED_EDGE('',*,*,#198144,.F.); #268843=ORIENTED_EDGE('',*,*,#198145,.T.); #268844=ORIENTED_EDGE('',*,*,#198144,.T.); #268845=ORIENTED_EDGE('',*,*,#198146,.F.); #268846=ORIENTED_EDGE('',*,*,#198147,.F.); #268847=ORIENTED_EDGE('',*,*,#198148,.T.); #268848=ORIENTED_EDGE('',*,*,#198147,.T.); #268849=ORIENTED_EDGE('',*,*,#198149,.F.); #268850=ORIENTED_EDGE('',*,*,#198150,.F.); #268851=ORIENTED_EDGE('',*,*,#198151,.T.); #268852=ORIENTED_EDGE('',*,*,#198150,.T.); #268853=ORIENTED_EDGE('',*,*,#198152,.F.); #268854=ORIENTED_EDGE('',*,*,#198153,.F.); #268855=ORIENTED_EDGE('',*,*,#198154,.T.); #268856=ORIENTED_EDGE('',*,*,#198153,.T.); #268857=ORIENTED_EDGE('',*,*,#198155,.F.); #268858=ORIENTED_EDGE('',*,*,#198156,.F.); #268859=ORIENTED_EDGE('',*,*,#198157,.T.); #268860=ORIENTED_EDGE('',*,*,#198156,.T.); #268861=ORIENTED_EDGE('',*,*,#198158,.F.); #268862=ORIENTED_EDGE('',*,*,#198159,.F.); #268863=ORIENTED_EDGE('',*,*,#198160,.T.); #268864=ORIENTED_EDGE('',*,*,#198159,.T.); #268865=ORIENTED_EDGE('',*,*,#198161,.F.); #268866=ORIENTED_EDGE('',*,*,#198162,.F.); #268867=ORIENTED_EDGE('',*,*,#198163,.T.); #268868=ORIENTED_EDGE('',*,*,#198162,.T.); #268869=ORIENTED_EDGE('',*,*,#198164,.F.); #268870=ORIENTED_EDGE('',*,*,#198165,.F.); #268871=ORIENTED_EDGE('',*,*,#198166,.T.); #268872=ORIENTED_EDGE('',*,*,#198165,.T.); #268873=ORIENTED_EDGE('',*,*,#198167,.F.); #268874=ORIENTED_EDGE('',*,*,#198168,.F.); #268875=ORIENTED_EDGE('',*,*,#198169,.T.); #268876=ORIENTED_EDGE('',*,*,#198168,.T.); #268877=ORIENTED_EDGE('',*,*,#198170,.F.); #268878=ORIENTED_EDGE('',*,*,#198171,.F.); #268879=ORIENTED_EDGE('',*,*,#198172,.T.); #268880=ORIENTED_EDGE('',*,*,#198171,.T.); #268881=ORIENTED_EDGE('',*,*,#198173,.F.); #268882=ORIENTED_EDGE('',*,*,#198174,.F.); #268883=ORIENTED_EDGE('',*,*,#198175,.T.); #268884=ORIENTED_EDGE('',*,*,#198174,.T.); #268885=ORIENTED_EDGE('',*,*,#198176,.F.); #268886=ORIENTED_EDGE('',*,*,#198177,.F.); #268887=ORIENTED_EDGE('',*,*,#198178,.T.); #268888=ORIENTED_EDGE('',*,*,#198177,.T.); #268889=ORIENTED_EDGE('',*,*,#198179,.F.); #268890=ORIENTED_EDGE('',*,*,#198180,.F.); #268891=ORIENTED_EDGE('',*,*,#198181,.T.); #268892=ORIENTED_EDGE('',*,*,#198180,.T.); #268893=ORIENTED_EDGE('',*,*,#198182,.F.); #268894=ORIENTED_EDGE('',*,*,#198183,.F.); #268895=ORIENTED_EDGE('',*,*,#198184,.T.); #268896=ORIENTED_EDGE('',*,*,#198183,.T.); #268897=ORIENTED_EDGE('',*,*,#198185,.F.); #268898=ORIENTED_EDGE('',*,*,#198186,.F.); #268899=ORIENTED_EDGE('',*,*,#198187,.T.); #268900=ORIENTED_EDGE('',*,*,#198186,.T.); #268901=ORIENTED_EDGE('',*,*,#198188,.F.); #268902=ORIENTED_EDGE('',*,*,#198189,.F.); #268903=ORIENTED_EDGE('',*,*,#198190,.T.); #268904=ORIENTED_EDGE('',*,*,#198189,.T.); #268905=ORIENTED_EDGE('',*,*,#198191,.F.); #268906=ORIENTED_EDGE('',*,*,#198192,.F.); #268907=ORIENTED_EDGE('',*,*,#198193,.T.); #268908=ORIENTED_EDGE('',*,*,#198192,.T.); #268909=ORIENTED_EDGE('',*,*,#198194,.F.); #268910=ORIENTED_EDGE('',*,*,#198195,.F.); #268911=ORIENTED_EDGE('',*,*,#198196,.T.); #268912=ORIENTED_EDGE('',*,*,#198195,.T.); #268913=ORIENTED_EDGE('',*,*,#198197,.F.); #268914=ORIENTED_EDGE('',*,*,#198198,.F.); #268915=ORIENTED_EDGE('',*,*,#198199,.T.); #268916=ORIENTED_EDGE('',*,*,#198198,.T.); #268917=ORIENTED_EDGE('',*,*,#198200,.F.); #268918=ORIENTED_EDGE('',*,*,#198201,.F.); #268919=ORIENTED_EDGE('',*,*,#198202,.T.); #268920=ORIENTED_EDGE('',*,*,#198201,.T.); #268921=ORIENTED_EDGE('',*,*,#198203,.F.); #268922=ORIENTED_EDGE('',*,*,#198204,.F.); #268923=ORIENTED_EDGE('',*,*,#198205,.T.); #268924=ORIENTED_EDGE('',*,*,#198204,.T.); #268925=ORIENTED_EDGE('',*,*,#198206,.F.); #268926=ORIENTED_EDGE('',*,*,#198207,.F.); #268927=ORIENTED_EDGE('',*,*,#198208,.T.); #268928=ORIENTED_EDGE('',*,*,#198207,.T.); #268929=ORIENTED_EDGE('',*,*,#198209,.F.); #268930=ORIENTED_EDGE('',*,*,#198210,.F.); #268931=ORIENTED_EDGE('',*,*,#198211,.T.); #268932=ORIENTED_EDGE('',*,*,#198210,.T.); #268933=ORIENTED_EDGE('',*,*,#198212,.F.); #268934=ORIENTED_EDGE('',*,*,#198213,.F.); #268935=ORIENTED_EDGE('',*,*,#198214,.T.); #268936=ORIENTED_EDGE('',*,*,#198213,.T.); #268937=ORIENTED_EDGE('',*,*,#198215,.F.); #268938=ORIENTED_EDGE('',*,*,#198216,.F.); #268939=ORIENTED_EDGE('',*,*,#198217,.T.); #268940=ORIENTED_EDGE('',*,*,#198216,.T.); #268941=ORIENTED_EDGE('',*,*,#198218,.F.); #268942=ORIENTED_EDGE('',*,*,#198219,.F.); #268943=ORIENTED_EDGE('',*,*,#198220,.T.); #268944=ORIENTED_EDGE('',*,*,#198219,.T.); #268945=ORIENTED_EDGE('',*,*,#198221,.F.); #268946=ORIENTED_EDGE('',*,*,#198222,.F.); #268947=ORIENTED_EDGE('',*,*,#198223,.T.); #268948=ORIENTED_EDGE('',*,*,#198222,.T.); #268949=ORIENTED_EDGE('',*,*,#198224,.F.); #268950=ORIENTED_EDGE('',*,*,#198225,.F.); #268951=ORIENTED_EDGE('',*,*,#198226,.T.); #268952=ORIENTED_EDGE('',*,*,#198225,.T.); #268953=ORIENTED_EDGE('',*,*,#198227,.F.); #268954=ORIENTED_EDGE('',*,*,#198228,.F.); #268955=ORIENTED_EDGE('',*,*,#198229,.T.); #268956=ORIENTED_EDGE('',*,*,#198228,.T.); #268957=ORIENTED_EDGE('',*,*,#198230,.F.); #268958=ORIENTED_EDGE('',*,*,#198231,.F.); #268959=ORIENTED_EDGE('',*,*,#198232,.T.); #268960=ORIENTED_EDGE('',*,*,#198231,.T.); #268961=ORIENTED_EDGE('',*,*,#198233,.F.); #268962=ORIENTED_EDGE('',*,*,#198234,.F.); #268963=ORIENTED_EDGE('',*,*,#198235,.T.); #268964=ORIENTED_EDGE('',*,*,#198234,.T.); #268965=ORIENTED_EDGE('',*,*,#198236,.F.); #268966=ORIENTED_EDGE('',*,*,#198237,.F.); #268967=ORIENTED_EDGE('',*,*,#198238,.T.); #268968=ORIENTED_EDGE('',*,*,#198237,.T.); #268969=ORIENTED_EDGE('',*,*,#198239,.F.); #268970=ORIENTED_EDGE('',*,*,#198240,.F.); #268971=ORIENTED_EDGE('',*,*,#198241,.T.); #268972=ORIENTED_EDGE('',*,*,#198240,.T.); #268973=ORIENTED_EDGE('',*,*,#198242,.F.); #268974=ORIENTED_EDGE('',*,*,#198243,.F.); #268975=ORIENTED_EDGE('',*,*,#198244,.T.); #268976=ORIENTED_EDGE('',*,*,#198243,.T.); #268977=ORIENTED_EDGE('',*,*,#198245,.F.); #268978=ORIENTED_EDGE('',*,*,#198246,.F.); #268979=ORIENTED_EDGE('',*,*,#198247,.T.); #268980=ORIENTED_EDGE('',*,*,#198246,.T.); #268981=ORIENTED_EDGE('',*,*,#198248,.F.); #268982=ORIENTED_EDGE('',*,*,#198249,.F.); #268983=ORIENTED_EDGE('',*,*,#198250,.T.); #268984=ORIENTED_EDGE('',*,*,#198249,.T.); #268985=ORIENTED_EDGE('',*,*,#198251,.F.); #268986=ORIENTED_EDGE('',*,*,#198252,.F.); #268987=ORIENTED_EDGE('',*,*,#198253,.T.); #268988=ORIENTED_EDGE('',*,*,#198252,.T.); #268989=ORIENTED_EDGE('',*,*,#198254,.F.); #268990=ORIENTED_EDGE('',*,*,#198255,.F.); #268991=ORIENTED_EDGE('',*,*,#198256,.T.); #268992=ORIENTED_EDGE('',*,*,#198255,.T.); #268993=ORIENTED_EDGE('',*,*,#198257,.F.); #268994=ORIENTED_EDGE('',*,*,#198258,.F.); #268995=ORIENTED_EDGE('',*,*,#198259,.T.); #268996=ORIENTED_EDGE('',*,*,#198258,.T.); #268997=ORIENTED_EDGE('',*,*,#198260,.F.); #268998=ORIENTED_EDGE('',*,*,#198261,.F.); #268999=ORIENTED_EDGE('',*,*,#198262,.T.); #269000=ORIENTED_EDGE('',*,*,#198261,.T.); #269001=ORIENTED_EDGE('',*,*,#198263,.F.); #269002=ORIENTED_EDGE('',*,*,#198264,.F.); #269003=ORIENTED_EDGE('',*,*,#198265,.T.); #269004=ORIENTED_EDGE('',*,*,#198264,.T.); #269005=ORIENTED_EDGE('',*,*,#198266,.F.); #269006=ORIENTED_EDGE('',*,*,#198267,.F.); #269007=ORIENTED_EDGE('',*,*,#198268,.T.); #269008=ORIENTED_EDGE('',*,*,#198267,.T.); #269009=ORIENTED_EDGE('',*,*,#198269,.F.); #269010=ORIENTED_EDGE('',*,*,#198270,.F.); #269011=ORIENTED_EDGE('',*,*,#198271,.T.); #269012=ORIENTED_EDGE('',*,*,#198270,.T.); #269013=ORIENTED_EDGE('',*,*,#198272,.F.); #269014=ORIENTED_EDGE('',*,*,#198273,.F.); #269015=ORIENTED_EDGE('',*,*,#198274,.T.); #269016=ORIENTED_EDGE('',*,*,#198273,.T.); #269017=ORIENTED_EDGE('',*,*,#198275,.F.); #269018=ORIENTED_EDGE('',*,*,#198276,.F.); #269019=ORIENTED_EDGE('',*,*,#198277,.T.); #269020=ORIENTED_EDGE('',*,*,#198276,.T.); #269021=ORIENTED_EDGE('',*,*,#198278,.F.); #269022=ORIENTED_EDGE('',*,*,#198279,.F.); #269023=ORIENTED_EDGE('',*,*,#198280,.T.); #269024=ORIENTED_EDGE('',*,*,#198279,.T.); #269025=ORIENTED_EDGE('',*,*,#198281,.F.); #269026=ORIENTED_EDGE('',*,*,#198282,.F.); #269027=ORIENTED_EDGE('',*,*,#198283,.T.); #269028=ORIENTED_EDGE('',*,*,#198282,.T.); #269029=ORIENTED_EDGE('',*,*,#198284,.F.); #269030=ORIENTED_EDGE('',*,*,#198285,.F.); #269031=ORIENTED_EDGE('',*,*,#198286,.T.); #269032=ORIENTED_EDGE('',*,*,#198285,.T.); #269033=ORIENTED_EDGE('',*,*,#198287,.F.); #269034=ORIENTED_EDGE('',*,*,#198288,.F.); #269035=ORIENTED_EDGE('',*,*,#198289,.T.); #269036=ORIENTED_EDGE('',*,*,#198288,.T.); #269037=ORIENTED_EDGE('',*,*,#198290,.F.); #269038=ORIENTED_EDGE('',*,*,#198291,.F.); #269039=ORIENTED_EDGE('',*,*,#198292,.T.); #269040=ORIENTED_EDGE('',*,*,#198291,.T.); #269041=ORIENTED_EDGE('',*,*,#198293,.F.); #269042=ORIENTED_EDGE('',*,*,#198294,.F.); #269043=ORIENTED_EDGE('',*,*,#198295,.T.); #269044=ORIENTED_EDGE('',*,*,#198294,.T.); #269045=ORIENTED_EDGE('',*,*,#198296,.F.); #269046=ORIENTED_EDGE('',*,*,#198297,.F.); #269047=ORIENTED_EDGE('',*,*,#198298,.T.); #269048=ORIENTED_EDGE('',*,*,#198297,.T.); #269049=ORIENTED_EDGE('',*,*,#198299,.F.); #269050=ORIENTED_EDGE('',*,*,#198300,.F.); #269051=ORIENTED_EDGE('',*,*,#198301,.T.); #269052=ORIENTED_EDGE('',*,*,#198300,.T.); #269053=ORIENTED_EDGE('',*,*,#198302,.F.); #269054=ORIENTED_EDGE('',*,*,#198303,.F.); #269055=ORIENTED_EDGE('',*,*,#198304,.T.); #269056=ORIENTED_EDGE('',*,*,#198303,.T.); #269057=ORIENTED_EDGE('',*,*,#198305,.F.); #269058=ORIENTED_EDGE('',*,*,#198306,.F.); #269059=ORIENTED_EDGE('',*,*,#198307,.T.); #269060=ORIENTED_EDGE('',*,*,#198306,.T.); #269061=ORIENTED_EDGE('',*,*,#198308,.F.); #269062=ORIENTED_EDGE('',*,*,#198309,.F.); #269063=ORIENTED_EDGE('',*,*,#198310,.T.); #269064=ORIENTED_EDGE('',*,*,#198309,.T.); #269065=ORIENTED_EDGE('',*,*,#198311,.F.); #269066=ORIENTED_EDGE('',*,*,#198312,.F.); #269067=ORIENTED_EDGE('',*,*,#198313,.T.); #269068=ORIENTED_EDGE('',*,*,#198312,.T.); #269069=ORIENTED_EDGE('',*,*,#198314,.F.); #269070=ORIENTED_EDGE('',*,*,#198315,.F.); #269071=ORIENTED_EDGE('',*,*,#198316,.T.); #269072=ORIENTED_EDGE('',*,*,#198315,.T.); #269073=ORIENTED_EDGE('',*,*,#198317,.F.); #269074=ORIENTED_EDGE('',*,*,#198318,.F.); #269075=ORIENTED_EDGE('',*,*,#198319,.T.); #269076=ORIENTED_EDGE('',*,*,#198318,.T.); #269077=ORIENTED_EDGE('',*,*,#198320,.F.); #269078=ORIENTED_EDGE('',*,*,#198321,.F.); #269079=ORIENTED_EDGE('',*,*,#198322,.T.); #269080=ORIENTED_EDGE('',*,*,#198321,.T.); #269081=ORIENTED_EDGE('',*,*,#198323,.F.); #269082=ORIENTED_EDGE('',*,*,#198324,.F.); #269083=ORIENTED_EDGE('',*,*,#198325,.T.); #269084=ORIENTED_EDGE('',*,*,#198324,.T.); #269085=ORIENTED_EDGE('',*,*,#198326,.F.); #269086=ORIENTED_EDGE('',*,*,#198327,.F.); #269087=ORIENTED_EDGE('',*,*,#198328,.T.); #269088=ORIENTED_EDGE('',*,*,#198327,.T.); #269089=ORIENTED_EDGE('',*,*,#198329,.F.); #269090=ORIENTED_EDGE('',*,*,#198330,.F.); #269091=ORIENTED_EDGE('',*,*,#198331,.T.); #269092=ORIENTED_EDGE('',*,*,#198330,.T.); #269093=ORIENTED_EDGE('',*,*,#198332,.F.); #269094=ORIENTED_EDGE('',*,*,#198333,.F.); #269095=ORIENTED_EDGE('',*,*,#198334,.T.); #269096=ORIENTED_EDGE('',*,*,#198333,.T.); #269097=ORIENTED_EDGE('',*,*,#198335,.F.); #269098=ORIENTED_EDGE('',*,*,#198336,.F.); #269099=ORIENTED_EDGE('',*,*,#198337,.T.); #269100=ORIENTED_EDGE('',*,*,#198336,.T.); #269101=ORIENTED_EDGE('',*,*,#198338,.F.); #269102=ORIENTED_EDGE('',*,*,#198339,.F.); #269103=ORIENTED_EDGE('',*,*,#198340,.T.); #269104=ORIENTED_EDGE('',*,*,#198339,.T.); #269105=ORIENTED_EDGE('',*,*,#198341,.F.); #269106=ORIENTED_EDGE('',*,*,#198342,.F.); #269107=ORIENTED_EDGE('',*,*,#198343,.T.); #269108=ORIENTED_EDGE('',*,*,#198342,.T.); #269109=ORIENTED_EDGE('',*,*,#198344,.F.); #269110=ORIENTED_EDGE('',*,*,#198345,.F.); #269111=ORIENTED_EDGE('',*,*,#198346,.T.); #269112=ORIENTED_EDGE('',*,*,#198345,.T.); #269113=ORIENTED_EDGE('',*,*,#198347,.F.); #269114=ORIENTED_EDGE('',*,*,#198348,.F.); #269115=ORIENTED_EDGE('',*,*,#198349,.T.); #269116=ORIENTED_EDGE('',*,*,#198348,.T.); #269117=ORIENTED_EDGE('',*,*,#198350,.F.); #269118=ORIENTED_EDGE('',*,*,#198351,.F.); #269119=ORIENTED_EDGE('',*,*,#198352,.T.); #269120=ORIENTED_EDGE('',*,*,#198351,.T.); #269121=ORIENTED_EDGE('',*,*,#198353,.F.); #269122=ORIENTED_EDGE('',*,*,#198354,.F.); #269123=ORIENTED_EDGE('',*,*,#198355,.T.); #269124=ORIENTED_EDGE('',*,*,#198354,.T.); #269125=ORIENTED_EDGE('',*,*,#198356,.F.); #269126=ORIENTED_EDGE('',*,*,#198357,.F.); #269127=ORIENTED_EDGE('',*,*,#198358,.T.); #269128=ORIENTED_EDGE('',*,*,#198357,.T.); #269129=ORIENTED_EDGE('',*,*,#198359,.F.); #269130=ORIENTED_EDGE('',*,*,#198360,.F.); #269131=ORIENTED_EDGE('',*,*,#198361,.T.); #269132=ORIENTED_EDGE('',*,*,#198360,.T.); #269133=ORIENTED_EDGE('',*,*,#198362,.F.); #269134=ORIENTED_EDGE('',*,*,#198363,.F.); #269135=ORIENTED_EDGE('',*,*,#198364,.T.); #269136=ORIENTED_EDGE('',*,*,#198363,.T.); #269137=ORIENTED_EDGE('',*,*,#198365,.F.); #269138=ORIENTED_EDGE('',*,*,#198366,.F.); #269139=ORIENTED_EDGE('',*,*,#198367,.T.); #269140=ORIENTED_EDGE('',*,*,#198366,.T.); #269141=ORIENTED_EDGE('',*,*,#198368,.F.); #269142=ORIENTED_EDGE('',*,*,#198369,.F.); #269143=ORIENTED_EDGE('',*,*,#198370,.T.); #269144=ORIENTED_EDGE('',*,*,#198369,.T.); #269145=ORIENTED_EDGE('',*,*,#198371,.F.); #269146=ORIENTED_EDGE('',*,*,#198372,.F.); #269147=ORIENTED_EDGE('',*,*,#198373,.T.); #269148=ORIENTED_EDGE('',*,*,#198372,.T.); #269149=ORIENTED_EDGE('',*,*,#198374,.F.); #269150=ORIENTED_EDGE('',*,*,#198375,.F.); #269151=ORIENTED_EDGE('',*,*,#198376,.T.); #269152=ORIENTED_EDGE('',*,*,#198375,.T.); #269153=ORIENTED_EDGE('',*,*,#198377,.F.); #269154=ORIENTED_EDGE('',*,*,#198378,.F.); #269155=ORIENTED_EDGE('',*,*,#198379,.T.); #269156=ORIENTED_EDGE('',*,*,#198378,.T.); #269157=ORIENTED_EDGE('',*,*,#198380,.F.); #269158=ORIENTED_EDGE('',*,*,#198381,.F.); #269159=ORIENTED_EDGE('',*,*,#198382,.T.); #269160=ORIENTED_EDGE('',*,*,#198381,.T.); #269161=ORIENTED_EDGE('',*,*,#198383,.F.); #269162=ORIENTED_EDGE('',*,*,#198384,.F.); #269163=ORIENTED_EDGE('',*,*,#198385,.T.); #269164=ORIENTED_EDGE('',*,*,#198384,.T.); #269165=ORIENTED_EDGE('',*,*,#198386,.F.); #269166=ORIENTED_EDGE('',*,*,#198387,.F.); #269167=ORIENTED_EDGE('',*,*,#198388,.T.); #269168=ORIENTED_EDGE('',*,*,#198387,.T.); #269169=ORIENTED_EDGE('',*,*,#198389,.F.); #269170=ORIENTED_EDGE('',*,*,#198390,.F.); #269171=ORIENTED_EDGE('',*,*,#198391,.T.); #269172=ORIENTED_EDGE('',*,*,#198390,.T.); #269173=ORIENTED_EDGE('',*,*,#198392,.F.); #269174=ORIENTED_EDGE('',*,*,#198393,.F.); #269175=ORIENTED_EDGE('',*,*,#198394,.T.); #269176=ORIENTED_EDGE('',*,*,#198393,.T.); #269177=ORIENTED_EDGE('',*,*,#198395,.F.); #269178=ORIENTED_EDGE('',*,*,#198396,.F.); #269179=ORIENTED_EDGE('',*,*,#198397,.T.); #269180=ORIENTED_EDGE('',*,*,#198396,.T.); #269181=ORIENTED_EDGE('',*,*,#198398,.F.); #269182=ORIENTED_EDGE('',*,*,#198399,.F.); #269183=ORIENTED_EDGE('',*,*,#198400,.T.); #269184=ORIENTED_EDGE('',*,*,#198399,.T.); #269185=ORIENTED_EDGE('',*,*,#198401,.F.); #269186=ORIENTED_EDGE('',*,*,#198402,.F.); #269187=ORIENTED_EDGE('',*,*,#198403,.T.); #269188=ORIENTED_EDGE('',*,*,#198402,.T.); #269189=ORIENTED_EDGE('',*,*,#198404,.F.); #269190=ORIENTED_EDGE('',*,*,#198405,.F.); #269191=ORIENTED_EDGE('',*,*,#198406,.T.); #269192=ORIENTED_EDGE('',*,*,#198405,.T.); #269193=ORIENTED_EDGE('',*,*,#198407,.F.); #269194=ORIENTED_EDGE('',*,*,#198408,.F.); #269195=ORIENTED_EDGE('',*,*,#198409,.T.); #269196=ORIENTED_EDGE('',*,*,#198408,.T.); #269197=ORIENTED_EDGE('',*,*,#198410,.F.); #269198=ORIENTED_EDGE('',*,*,#198411,.F.); #269199=ORIENTED_EDGE('',*,*,#198412,.T.); #269200=ORIENTED_EDGE('',*,*,#198411,.T.); #269201=ORIENTED_EDGE('',*,*,#198413,.F.); #269202=ORIENTED_EDGE('',*,*,#198414,.F.); #269203=ORIENTED_EDGE('',*,*,#198415,.T.); #269204=ORIENTED_EDGE('',*,*,#198414,.T.); #269205=ORIENTED_EDGE('',*,*,#198416,.F.); #269206=ORIENTED_EDGE('',*,*,#198417,.F.); #269207=ORIENTED_EDGE('',*,*,#198418,.T.); #269208=ORIENTED_EDGE('',*,*,#198417,.T.); #269209=ORIENTED_EDGE('',*,*,#198419,.F.); #269210=ORIENTED_EDGE('',*,*,#198420,.F.); #269211=ORIENTED_EDGE('',*,*,#198421,.T.); #269212=ORIENTED_EDGE('',*,*,#198420,.T.); #269213=ORIENTED_EDGE('',*,*,#198422,.F.); #269214=ORIENTED_EDGE('',*,*,#198423,.F.); #269215=ORIENTED_EDGE('',*,*,#198424,.T.); #269216=ORIENTED_EDGE('',*,*,#198423,.T.); #269217=ORIENTED_EDGE('',*,*,#198425,.F.); #269218=ORIENTED_EDGE('',*,*,#198426,.F.); #269219=ORIENTED_EDGE('',*,*,#198427,.T.); #269220=ORIENTED_EDGE('',*,*,#198426,.T.); #269221=ORIENTED_EDGE('',*,*,#198428,.F.); #269222=ORIENTED_EDGE('',*,*,#198429,.F.); #269223=ORIENTED_EDGE('',*,*,#198430,.T.); #269224=ORIENTED_EDGE('',*,*,#198429,.T.); #269225=ORIENTED_EDGE('',*,*,#198431,.F.); #269226=ORIENTED_EDGE('',*,*,#198432,.F.); #269227=ORIENTED_EDGE('',*,*,#198433,.T.); #269228=ORIENTED_EDGE('',*,*,#198432,.T.); #269229=ORIENTED_EDGE('',*,*,#198434,.F.); #269230=ORIENTED_EDGE('',*,*,#198435,.F.); #269231=ORIENTED_EDGE('',*,*,#198436,.T.); #269232=ORIENTED_EDGE('',*,*,#198435,.T.); #269233=ORIENTED_EDGE('',*,*,#198437,.F.); #269234=ORIENTED_EDGE('',*,*,#198438,.F.); #269235=ORIENTED_EDGE('',*,*,#198439,.T.); #269236=ORIENTED_EDGE('',*,*,#198438,.T.); #269237=ORIENTED_EDGE('',*,*,#198440,.F.); #269238=ORIENTED_EDGE('',*,*,#198441,.F.); #269239=ORIENTED_EDGE('',*,*,#198442,.T.); #269240=ORIENTED_EDGE('',*,*,#198441,.T.); #269241=ORIENTED_EDGE('',*,*,#198443,.F.); #269242=ORIENTED_EDGE('',*,*,#198444,.F.); #269243=ORIENTED_EDGE('',*,*,#198445,.T.); #269244=ORIENTED_EDGE('',*,*,#198444,.T.); #269245=ORIENTED_EDGE('',*,*,#198446,.F.); #269246=ORIENTED_EDGE('',*,*,#198447,.F.); #269247=ORIENTED_EDGE('',*,*,#198448,.T.); #269248=ORIENTED_EDGE('',*,*,#198447,.T.); #269249=ORIENTED_EDGE('',*,*,#198449,.F.); #269250=ORIENTED_EDGE('',*,*,#198450,.F.); #269251=ORIENTED_EDGE('',*,*,#198451,.T.); #269252=ORIENTED_EDGE('',*,*,#198450,.T.); #269253=ORIENTED_EDGE('',*,*,#198452,.F.); #269254=ORIENTED_EDGE('',*,*,#198453,.F.); #269255=ORIENTED_EDGE('',*,*,#198454,.T.); #269256=ORIENTED_EDGE('',*,*,#198453,.T.); #269257=ORIENTED_EDGE('',*,*,#198455,.F.); #269258=ORIENTED_EDGE('',*,*,#198456,.F.); #269259=ORIENTED_EDGE('',*,*,#198457,.T.); #269260=ORIENTED_EDGE('',*,*,#198456,.T.); #269261=ORIENTED_EDGE('',*,*,#198458,.F.); #269262=ORIENTED_EDGE('',*,*,#198459,.F.); #269263=ORIENTED_EDGE('',*,*,#198460,.T.); #269264=ORIENTED_EDGE('',*,*,#198459,.T.); #269265=ORIENTED_EDGE('',*,*,#198461,.F.); #269266=ORIENTED_EDGE('',*,*,#198462,.F.); #269267=ORIENTED_EDGE('',*,*,#198463,.T.); #269268=ORIENTED_EDGE('',*,*,#198462,.T.); #269269=ORIENTED_EDGE('',*,*,#198464,.F.); #269270=ORIENTED_EDGE('',*,*,#198465,.F.); #269271=ORIENTED_EDGE('',*,*,#198466,.T.); #269272=ORIENTED_EDGE('',*,*,#198465,.T.); #269273=ORIENTED_EDGE('',*,*,#198467,.F.); #269274=ORIENTED_EDGE('',*,*,#198468,.F.); #269275=ORIENTED_EDGE('',*,*,#198469,.T.); #269276=ORIENTED_EDGE('',*,*,#198468,.T.); #269277=ORIENTED_EDGE('',*,*,#198470,.F.); #269278=ORIENTED_EDGE('',*,*,#198471,.F.); #269279=ORIENTED_EDGE('',*,*,#198472,.T.); #269280=ORIENTED_EDGE('',*,*,#198471,.T.); #269281=ORIENTED_EDGE('',*,*,#198473,.F.); #269282=ORIENTED_EDGE('',*,*,#198474,.F.); #269283=ORIENTED_EDGE('',*,*,#198475,.T.); #269284=ORIENTED_EDGE('',*,*,#198474,.T.); #269285=ORIENTED_EDGE('',*,*,#198476,.F.); #269286=ORIENTED_EDGE('',*,*,#198477,.F.); #269287=ORIENTED_EDGE('',*,*,#198478,.T.); #269288=ORIENTED_EDGE('',*,*,#198477,.T.); #269289=ORIENTED_EDGE('',*,*,#198479,.F.); #269290=ORIENTED_EDGE('',*,*,#198480,.F.); #269291=ORIENTED_EDGE('',*,*,#198481,.T.); #269292=ORIENTED_EDGE('',*,*,#198480,.T.); #269293=ORIENTED_EDGE('',*,*,#198482,.F.); #269294=ORIENTED_EDGE('',*,*,#198483,.F.); #269295=ORIENTED_EDGE('',*,*,#198484,.T.); #269296=ORIENTED_EDGE('',*,*,#198483,.T.); #269297=ORIENTED_EDGE('',*,*,#198485,.F.); #269298=ORIENTED_EDGE('',*,*,#198486,.F.); #269299=ORIENTED_EDGE('',*,*,#198487,.T.); #269300=ORIENTED_EDGE('',*,*,#198486,.T.); #269301=ORIENTED_EDGE('',*,*,#198488,.F.); #269302=ORIENTED_EDGE('',*,*,#198489,.F.); #269303=ORIENTED_EDGE('',*,*,#198490,.T.); #269304=ORIENTED_EDGE('',*,*,#198489,.T.); #269305=ORIENTED_EDGE('',*,*,#198491,.F.); #269306=ORIENTED_EDGE('',*,*,#198492,.F.); #269307=ORIENTED_EDGE('',*,*,#198493,.T.); #269308=ORIENTED_EDGE('',*,*,#198492,.T.); #269309=ORIENTED_EDGE('',*,*,#198494,.F.); #269310=ORIENTED_EDGE('',*,*,#198495,.F.); #269311=ORIENTED_EDGE('',*,*,#198496,.T.); #269312=ORIENTED_EDGE('',*,*,#198495,.T.); #269313=ORIENTED_EDGE('',*,*,#198497,.F.); #269314=ORIENTED_EDGE('',*,*,#198498,.F.); #269315=ORIENTED_EDGE('',*,*,#198499,.T.); #269316=ORIENTED_EDGE('',*,*,#198498,.T.); #269317=ORIENTED_EDGE('',*,*,#198500,.F.); #269318=ORIENTED_EDGE('',*,*,#198501,.F.); #269319=ORIENTED_EDGE('',*,*,#198502,.T.); #269320=ORIENTED_EDGE('',*,*,#198501,.T.); #269321=ORIENTED_EDGE('',*,*,#198503,.F.); #269322=ORIENTED_EDGE('',*,*,#198504,.F.); #269323=ORIENTED_EDGE('',*,*,#198505,.T.); #269324=ORIENTED_EDGE('',*,*,#198504,.T.); #269325=ORIENTED_EDGE('',*,*,#198506,.F.); #269326=ORIENTED_EDGE('',*,*,#198507,.F.); #269327=ORIENTED_EDGE('',*,*,#198508,.T.); #269328=ORIENTED_EDGE('',*,*,#198507,.T.); #269329=ORIENTED_EDGE('',*,*,#198509,.F.); #269330=ORIENTED_EDGE('',*,*,#198510,.F.); #269331=ORIENTED_EDGE('',*,*,#198511,.T.); #269332=ORIENTED_EDGE('',*,*,#198510,.T.); #269333=ORIENTED_EDGE('',*,*,#198512,.F.); #269334=ORIENTED_EDGE('',*,*,#198513,.F.); #269335=ORIENTED_EDGE('',*,*,#198514,.T.); #269336=ORIENTED_EDGE('',*,*,#198513,.T.); #269337=ORIENTED_EDGE('',*,*,#198515,.F.); #269338=ORIENTED_EDGE('',*,*,#198516,.F.); #269339=ORIENTED_EDGE('',*,*,#198517,.T.); #269340=ORIENTED_EDGE('',*,*,#198516,.T.); #269341=ORIENTED_EDGE('',*,*,#198518,.F.); #269342=ORIENTED_EDGE('',*,*,#198519,.F.); #269343=ORIENTED_EDGE('',*,*,#198520,.T.); #269344=ORIENTED_EDGE('',*,*,#198519,.T.); #269345=ORIENTED_EDGE('',*,*,#198521,.F.); #269346=ORIENTED_EDGE('',*,*,#198522,.F.); #269347=ORIENTED_EDGE('',*,*,#198523,.T.); #269348=ORIENTED_EDGE('',*,*,#198522,.T.); #269349=ORIENTED_EDGE('',*,*,#198524,.F.); #269350=ORIENTED_EDGE('',*,*,#198525,.F.); #269351=ORIENTED_EDGE('',*,*,#198526,.T.); #269352=ORIENTED_EDGE('',*,*,#198525,.T.); #269353=ORIENTED_EDGE('',*,*,#198527,.F.); #269354=ORIENTED_EDGE('',*,*,#198528,.F.); #269355=ORIENTED_EDGE('',*,*,#198529,.T.); #269356=ORIENTED_EDGE('',*,*,#198528,.T.); #269357=ORIENTED_EDGE('',*,*,#198530,.F.); #269358=ORIENTED_EDGE('',*,*,#198531,.F.); #269359=ORIENTED_EDGE('',*,*,#198532,.T.); #269360=ORIENTED_EDGE('',*,*,#198531,.T.); #269361=ORIENTED_EDGE('',*,*,#198533,.F.); #269362=ORIENTED_EDGE('',*,*,#198534,.F.); #269363=ORIENTED_EDGE('',*,*,#198535,.T.); #269364=ORIENTED_EDGE('',*,*,#198534,.T.); #269365=ORIENTED_EDGE('',*,*,#198536,.F.); #269366=ORIENTED_EDGE('',*,*,#198537,.F.); #269367=ORIENTED_EDGE('',*,*,#198538,.T.); #269368=ORIENTED_EDGE('',*,*,#198537,.T.); #269369=ORIENTED_EDGE('',*,*,#198539,.F.); #269370=ORIENTED_EDGE('',*,*,#198540,.F.); #269371=ORIENTED_EDGE('',*,*,#198541,.T.); #269372=ORIENTED_EDGE('',*,*,#198540,.T.); #269373=ORIENTED_EDGE('',*,*,#198542,.F.); #269374=ORIENTED_EDGE('',*,*,#198543,.F.); #269375=ORIENTED_EDGE('',*,*,#198544,.T.); #269376=ORIENTED_EDGE('',*,*,#198543,.T.); #269377=ORIENTED_EDGE('',*,*,#198545,.F.); #269378=ORIENTED_EDGE('',*,*,#198546,.F.); #269379=ORIENTED_EDGE('',*,*,#198547,.T.); #269380=ORIENTED_EDGE('',*,*,#198546,.T.); #269381=ORIENTED_EDGE('',*,*,#198548,.F.); #269382=ORIENTED_EDGE('',*,*,#196612,.F.); #269383=ORIENTED_EDGE('',*,*,#198549,.T.); #269384=ORIENTED_EDGE('',*,*,#198550,.T.); #269385=ORIENTED_EDGE('',*,*,#198551,.F.); #269386=ORIENTED_EDGE('',*,*,#198552,.F.); #269387=ORIENTED_EDGE('',*,*,#198553,.T.); #269388=ORIENTED_EDGE('',*,*,#198552,.T.); #269389=ORIENTED_EDGE('',*,*,#198554,.F.); #269390=ORIENTED_EDGE('',*,*,#198555,.F.); #269391=ORIENTED_EDGE('',*,*,#198556,.T.); #269392=ORIENTED_EDGE('',*,*,#198555,.T.); #269393=ORIENTED_EDGE('',*,*,#198557,.F.); #269394=ORIENTED_EDGE('',*,*,#198558,.F.); #269395=ORIENTED_EDGE('',*,*,#198559,.T.); #269396=ORIENTED_EDGE('',*,*,#198558,.T.); #269397=ORIENTED_EDGE('',*,*,#198560,.F.); #269398=ORIENTED_EDGE('',*,*,#198561,.F.); #269399=ORIENTED_EDGE('',*,*,#198562,.T.); #269400=ORIENTED_EDGE('',*,*,#198561,.T.); #269401=ORIENTED_EDGE('',*,*,#198563,.F.); #269402=ORIENTED_EDGE('',*,*,#198564,.F.); #269403=ORIENTED_EDGE('',*,*,#198565,.T.); #269404=ORIENTED_EDGE('',*,*,#198564,.T.); #269405=ORIENTED_EDGE('',*,*,#198566,.F.); #269406=ORIENTED_EDGE('',*,*,#198567,.F.); #269407=ORIENTED_EDGE('',*,*,#198568,.T.); #269408=ORIENTED_EDGE('',*,*,#198567,.T.); #269409=ORIENTED_EDGE('',*,*,#198569,.F.); #269410=ORIENTED_EDGE('',*,*,#198570,.F.); #269411=ORIENTED_EDGE('',*,*,#198571,.T.); #269412=ORIENTED_EDGE('',*,*,#198570,.T.); #269413=ORIENTED_EDGE('',*,*,#198572,.F.); #269414=ORIENTED_EDGE('',*,*,#198573,.F.); #269415=ORIENTED_EDGE('',*,*,#198574,.T.); #269416=ORIENTED_EDGE('',*,*,#198573,.T.); #269417=ORIENTED_EDGE('',*,*,#198575,.F.); #269418=ORIENTED_EDGE('',*,*,#198576,.F.); #269419=ORIENTED_EDGE('',*,*,#198577,.T.); #269420=ORIENTED_EDGE('',*,*,#198576,.T.); #269421=ORIENTED_EDGE('',*,*,#198578,.F.); #269422=ORIENTED_EDGE('',*,*,#198579,.F.); #269423=ORIENTED_EDGE('',*,*,#198580,.T.); #269424=ORIENTED_EDGE('',*,*,#198579,.T.); #269425=ORIENTED_EDGE('',*,*,#198581,.F.); #269426=ORIENTED_EDGE('',*,*,#198582,.F.); #269427=ORIENTED_EDGE('',*,*,#198583,.T.); #269428=ORIENTED_EDGE('',*,*,#198582,.T.); #269429=ORIENTED_EDGE('',*,*,#198584,.F.); #269430=ORIENTED_EDGE('',*,*,#198585,.F.); #269431=ORIENTED_EDGE('',*,*,#198586,.T.); #269432=ORIENTED_EDGE('',*,*,#198585,.T.); #269433=ORIENTED_EDGE('',*,*,#198587,.F.); #269434=ORIENTED_EDGE('',*,*,#198588,.F.); #269435=ORIENTED_EDGE('',*,*,#198589,.T.); #269436=ORIENTED_EDGE('',*,*,#198588,.T.); #269437=ORIENTED_EDGE('',*,*,#198590,.F.); #269438=ORIENTED_EDGE('',*,*,#198591,.F.); #269439=ORIENTED_EDGE('',*,*,#198592,.T.); #269440=ORIENTED_EDGE('',*,*,#198591,.T.); #269441=ORIENTED_EDGE('',*,*,#198593,.F.); #269442=ORIENTED_EDGE('',*,*,#198594,.F.); #269443=ORIENTED_EDGE('',*,*,#198595,.T.); #269444=ORIENTED_EDGE('',*,*,#198594,.T.); #269445=ORIENTED_EDGE('',*,*,#198596,.F.); #269446=ORIENTED_EDGE('',*,*,#198597,.F.); #269447=ORIENTED_EDGE('',*,*,#198598,.T.); #269448=ORIENTED_EDGE('',*,*,#198597,.T.); #269449=ORIENTED_EDGE('',*,*,#198599,.F.); #269450=ORIENTED_EDGE('',*,*,#198600,.F.); #269451=ORIENTED_EDGE('',*,*,#198601,.T.); #269452=ORIENTED_EDGE('',*,*,#198600,.T.); #269453=ORIENTED_EDGE('',*,*,#198602,.F.); #269454=ORIENTED_EDGE('',*,*,#198603,.F.); #269455=ORIENTED_EDGE('',*,*,#198604,.T.); #269456=ORIENTED_EDGE('',*,*,#198603,.T.); #269457=ORIENTED_EDGE('',*,*,#198605,.F.); #269458=ORIENTED_EDGE('',*,*,#198606,.F.); #269459=ORIENTED_EDGE('',*,*,#198607,.T.); #269460=ORIENTED_EDGE('',*,*,#198606,.T.); #269461=ORIENTED_EDGE('',*,*,#198608,.F.); #269462=ORIENTED_EDGE('',*,*,#198609,.F.); #269463=ORIENTED_EDGE('',*,*,#198610,.T.); #269464=ORIENTED_EDGE('',*,*,#198609,.T.); #269465=ORIENTED_EDGE('',*,*,#198611,.F.); #269466=ORIENTED_EDGE('',*,*,#198612,.F.); #269467=ORIENTED_EDGE('',*,*,#198613,.T.); #269468=ORIENTED_EDGE('',*,*,#198612,.T.); #269469=ORIENTED_EDGE('',*,*,#198614,.F.); #269470=ORIENTED_EDGE('',*,*,#198615,.F.); #269471=ORIENTED_EDGE('',*,*,#198616,.T.); #269472=ORIENTED_EDGE('',*,*,#198615,.T.); #269473=ORIENTED_EDGE('',*,*,#198617,.F.); #269474=ORIENTED_EDGE('',*,*,#198618,.F.); #269475=ORIENTED_EDGE('',*,*,#198619,.T.); #269476=ORIENTED_EDGE('',*,*,#198618,.T.); #269477=ORIENTED_EDGE('',*,*,#198620,.F.); #269478=ORIENTED_EDGE('',*,*,#198621,.F.); #269479=ORIENTED_EDGE('',*,*,#198622,.T.); #269480=ORIENTED_EDGE('',*,*,#198621,.T.); #269481=ORIENTED_EDGE('',*,*,#198623,.F.); #269482=ORIENTED_EDGE('',*,*,#198624,.F.); #269483=ORIENTED_EDGE('',*,*,#198625,.T.); #269484=ORIENTED_EDGE('',*,*,#198624,.T.); #269485=ORIENTED_EDGE('',*,*,#198626,.F.); #269486=ORIENTED_EDGE('',*,*,#198627,.F.); #269487=ORIENTED_EDGE('',*,*,#198628,.T.); #269488=ORIENTED_EDGE('',*,*,#198627,.T.); #269489=ORIENTED_EDGE('',*,*,#198629,.F.); #269490=ORIENTED_EDGE('',*,*,#198630,.F.); #269491=ORIENTED_EDGE('',*,*,#198631,.T.); #269492=ORIENTED_EDGE('',*,*,#198630,.T.); #269493=ORIENTED_EDGE('',*,*,#198632,.F.); #269494=ORIENTED_EDGE('',*,*,#198633,.F.); #269495=ORIENTED_EDGE('',*,*,#198634,.T.); #269496=ORIENTED_EDGE('',*,*,#198633,.T.); #269497=ORIENTED_EDGE('',*,*,#198635,.F.); #269498=ORIENTED_EDGE('',*,*,#198636,.F.); #269499=ORIENTED_EDGE('',*,*,#198637,.T.); #269500=ORIENTED_EDGE('',*,*,#198636,.T.); #269501=ORIENTED_EDGE('',*,*,#198638,.F.); #269502=ORIENTED_EDGE('',*,*,#198639,.F.); #269503=ORIENTED_EDGE('',*,*,#198640,.T.); #269504=ORIENTED_EDGE('',*,*,#198639,.T.); #269505=ORIENTED_EDGE('',*,*,#198641,.F.); #269506=ORIENTED_EDGE('',*,*,#198642,.F.); #269507=ORIENTED_EDGE('',*,*,#198643,.T.); #269508=ORIENTED_EDGE('',*,*,#198642,.T.); #269509=ORIENTED_EDGE('',*,*,#198644,.F.); #269510=ORIENTED_EDGE('',*,*,#198645,.F.); #269511=ORIENTED_EDGE('',*,*,#198646,.T.); #269512=ORIENTED_EDGE('',*,*,#198645,.T.); #269513=ORIENTED_EDGE('',*,*,#198647,.F.); #269514=ORIENTED_EDGE('',*,*,#198648,.F.); #269515=ORIENTED_EDGE('',*,*,#198649,.T.); #269516=ORIENTED_EDGE('',*,*,#198648,.T.); #269517=ORIENTED_EDGE('',*,*,#198650,.F.); #269518=ORIENTED_EDGE('',*,*,#198651,.F.); #269519=ORIENTED_EDGE('',*,*,#198652,.T.); #269520=ORIENTED_EDGE('',*,*,#198651,.T.); #269521=ORIENTED_EDGE('',*,*,#198653,.F.); #269522=ORIENTED_EDGE('',*,*,#198654,.F.); #269523=ORIENTED_EDGE('',*,*,#198655,.T.); #269524=ORIENTED_EDGE('',*,*,#198654,.T.); #269525=ORIENTED_EDGE('',*,*,#198656,.F.); #269526=ORIENTED_EDGE('',*,*,#198657,.F.); #269527=ORIENTED_EDGE('',*,*,#198658,.T.); #269528=ORIENTED_EDGE('',*,*,#198657,.T.); #269529=ORIENTED_EDGE('',*,*,#198659,.F.); #269530=ORIENTED_EDGE('',*,*,#198660,.F.); #269531=ORIENTED_EDGE('',*,*,#198661,.T.); #269532=ORIENTED_EDGE('',*,*,#198660,.T.); #269533=ORIENTED_EDGE('',*,*,#198662,.F.); #269534=ORIENTED_EDGE('',*,*,#198663,.F.); #269535=ORIENTED_EDGE('',*,*,#198664,.T.); #269536=ORIENTED_EDGE('',*,*,#198663,.T.); #269537=ORIENTED_EDGE('',*,*,#198665,.F.); #269538=ORIENTED_EDGE('',*,*,#198666,.F.); #269539=ORIENTED_EDGE('',*,*,#198667,.T.); #269540=ORIENTED_EDGE('',*,*,#198666,.T.); #269541=ORIENTED_EDGE('',*,*,#198668,.F.); #269542=ORIENTED_EDGE('',*,*,#198669,.F.); #269543=ORIENTED_EDGE('',*,*,#198670,.T.); #269544=ORIENTED_EDGE('',*,*,#198669,.T.); #269545=ORIENTED_EDGE('',*,*,#198671,.F.); #269546=ORIENTED_EDGE('',*,*,#198672,.F.); #269547=ORIENTED_EDGE('',*,*,#198673,.T.); #269548=ORIENTED_EDGE('',*,*,#198672,.T.); #269549=ORIENTED_EDGE('',*,*,#198674,.F.); #269550=ORIENTED_EDGE('',*,*,#198675,.F.); #269551=ORIENTED_EDGE('',*,*,#198676,.T.); #269552=ORIENTED_EDGE('',*,*,#198675,.T.); #269553=ORIENTED_EDGE('',*,*,#198677,.F.); #269554=ORIENTED_EDGE('',*,*,#198678,.F.); #269555=ORIENTED_EDGE('',*,*,#198679,.T.); #269556=ORIENTED_EDGE('',*,*,#198678,.T.); #269557=ORIENTED_EDGE('',*,*,#198680,.F.); #269558=ORIENTED_EDGE('',*,*,#198681,.F.); #269559=ORIENTED_EDGE('',*,*,#198682,.T.); #269560=ORIENTED_EDGE('',*,*,#198681,.T.); #269561=ORIENTED_EDGE('',*,*,#198683,.F.); #269562=ORIENTED_EDGE('',*,*,#198684,.F.); #269563=ORIENTED_EDGE('',*,*,#198685,.T.); #269564=ORIENTED_EDGE('',*,*,#198684,.T.); #269565=ORIENTED_EDGE('',*,*,#198686,.F.); #269566=ORIENTED_EDGE('',*,*,#198687,.F.); #269567=ORIENTED_EDGE('',*,*,#198688,.T.); #269568=ORIENTED_EDGE('',*,*,#198687,.T.); #269569=ORIENTED_EDGE('',*,*,#198689,.F.); #269570=ORIENTED_EDGE('',*,*,#198690,.F.); #269571=ORIENTED_EDGE('',*,*,#198691,.T.); #269572=ORIENTED_EDGE('',*,*,#198690,.T.); #269573=ORIENTED_EDGE('',*,*,#198692,.F.); #269574=ORIENTED_EDGE('',*,*,#198693,.F.); #269575=ORIENTED_EDGE('',*,*,#198694,.T.); #269576=ORIENTED_EDGE('',*,*,#198693,.T.); #269577=ORIENTED_EDGE('',*,*,#198695,.F.); #269578=ORIENTED_EDGE('',*,*,#198696,.F.); #269579=ORIENTED_EDGE('',*,*,#198697,.T.); #269580=ORIENTED_EDGE('',*,*,#198696,.T.); #269581=ORIENTED_EDGE('',*,*,#198698,.F.); #269582=ORIENTED_EDGE('',*,*,#198699,.F.); #269583=ORIENTED_EDGE('',*,*,#198700,.T.); #269584=ORIENTED_EDGE('',*,*,#198699,.T.); #269585=ORIENTED_EDGE('',*,*,#198701,.F.); #269586=ORIENTED_EDGE('',*,*,#198702,.F.); #269587=ORIENTED_EDGE('',*,*,#198703,.T.); #269588=ORIENTED_EDGE('',*,*,#198702,.T.); #269589=ORIENTED_EDGE('',*,*,#198704,.F.); #269590=ORIENTED_EDGE('',*,*,#198705,.F.); #269591=ORIENTED_EDGE('',*,*,#198706,.T.); #269592=ORIENTED_EDGE('',*,*,#198705,.T.); #269593=ORIENTED_EDGE('',*,*,#198707,.F.); #269594=ORIENTED_EDGE('',*,*,#198708,.F.); #269595=ORIENTED_EDGE('',*,*,#198709,.T.); #269596=ORIENTED_EDGE('',*,*,#198708,.T.); #269597=ORIENTED_EDGE('',*,*,#198710,.F.); #269598=ORIENTED_EDGE('',*,*,#198711,.F.); #269599=ORIENTED_EDGE('',*,*,#198712,.T.); #269600=ORIENTED_EDGE('',*,*,#198711,.T.); #269601=ORIENTED_EDGE('',*,*,#198713,.F.); #269602=ORIENTED_EDGE('',*,*,#198714,.F.); #269603=ORIENTED_EDGE('',*,*,#198715,.T.); #269604=ORIENTED_EDGE('',*,*,#198714,.T.); #269605=ORIENTED_EDGE('',*,*,#198716,.F.); #269606=ORIENTED_EDGE('',*,*,#198717,.F.); #269607=ORIENTED_EDGE('',*,*,#198718,.T.); #269608=ORIENTED_EDGE('',*,*,#198717,.T.); #269609=ORIENTED_EDGE('',*,*,#198719,.F.); #269610=ORIENTED_EDGE('',*,*,#198720,.F.); #269611=ORIENTED_EDGE('',*,*,#198721,.T.); #269612=ORIENTED_EDGE('',*,*,#198720,.T.); #269613=ORIENTED_EDGE('',*,*,#198722,.F.); #269614=ORIENTED_EDGE('',*,*,#198723,.F.); #269615=ORIENTED_EDGE('',*,*,#198724,.T.); #269616=ORIENTED_EDGE('',*,*,#198723,.T.); #269617=ORIENTED_EDGE('',*,*,#198725,.F.); #269618=ORIENTED_EDGE('',*,*,#198726,.F.); #269619=ORIENTED_EDGE('',*,*,#198727,.T.); #269620=ORIENTED_EDGE('',*,*,#198726,.T.); #269621=ORIENTED_EDGE('',*,*,#198728,.F.); #269622=ORIENTED_EDGE('',*,*,#198729,.F.); #269623=ORIENTED_EDGE('',*,*,#198730,.T.); #269624=ORIENTED_EDGE('',*,*,#198729,.T.); #269625=ORIENTED_EDGE('',*,*,#198731,.F.); #269626=ORIENTED_EDGE('',*,*,#198732,.F.); #269627=ORIENTED_EDGE('',*,*,#198733,.T.); #269628=ORIENTED_EDGE('',*,*,#198732,.T.); #269629=ORIENTED_EDGE('',*,*,#198734,.F.); #269630=ORIENTED_EDGE('',*,*,#198735,.F.); #269631=ORIENTED_EDGE('',*,*,#198736,.T.); #269632=ORIENTED_EDGE('',*,*,#198735,.T.); #269633=ORIENTED_EDGE('',*,*,#198737,.F.); #269634=ORIENTED_EDGE('',*,*,#198738,.F.); #269635=ORIENTED_EDGE('',*,*,#198739,.T.); #269636=ORIENTED_EDGE('',*,*,#198738,.T.); #269637=ORIENTED_EDGE('',*,*,#198740,.F.); #269638=ORIENTED_EDGE('',*,*,#198741,.F.); #269639=ORIENTED_EDGE('',*,*,#198742,.T.); #269640=ORIENTED_EDGE('',*,*,#198741,.T.); #269641=ORIENTED_EDGE('',*,*,#198743,.F.); #269642=ORIENTED_EDGE('',*,*,#198744,.F.); #269643=ORIENTED_EDGE('',*,*,#198745,.T.); #269644=ORIENTED_EDGE('',*,*,#198744,.T.); #269645=ORIENTED_EDGE('',*,*,#198746,.F.); #269646=ORIENTED_EDGE('',*,*,#198747,.F.); #269647=ORIENTED_EDGE('',*,*,#198748,.T.); #269648=ORIENTED_EDGE('',*,*,#198747,.T.); #269649=ORIENTED_EDGE('',*,*,#198749,.F.); #269650=ORIENTED_EDGE('',*,*,#198750,.F.); #269651=ORIENTED_EDGE('',*,*,#198751,.T.); #269652=ORIENTED_EDGE('',*,*,#198750,.T.); #269653=ORIENTED_EDGE('',*,*,#198752,.F.); #269654=ORIENTED_EDGE('',*,*,#198753,.F.); #269655=ORIENTED_EDGE('',*,*,#198754,.T.); #269656=ORIENTED_EDGE('',*,*,#198753,.T.); #269657=ORIENTED_EDGE('',*,*,#198755,.F.); #269658=ORIENTED_EDGE('',*,*,#198756,.F.); #269659=ORIENTED_EDGE('',*,*,#198757,.T.); #269660=ORIENTED_EDGE('',*,*,#198756,.T.); #269661=ORIENTED_EDGE('',*,*,#198758,.F.); #269662=ORIENTED_EDGE('',*,*,#198759,.F.); #269663=ORIENTED_EDGE('',*,*,#198760,.T.); #269664=ORIENTED_EDGE('',*,*,#198759,.T.); #269665=ORIENTED_EDGE('',*,*,#198761,.F.); #269666=ORIENTED_EDGE('',*,*,#198762,.F.); #269667=ORIENTED_EDGE('',*,*,#198763,.T.); #269668=ORIENTED_EDGE('',*,*,#198762,.T.); #269669=ORIENTED_EDGE('',*,*,#198764,.F.); #269670=ORIENTED_EDGE('',*,*,#198765,.F.); #269671=ORIENTED_EDGE('',*,*,#198766,.T.); #269672=ORIENTED_EDGE('',*,*,#198765,.T.); #269673=ORIENTED_EDGE('',*,*,#198767,.F.); #269674=ORIENTED_EDGE('',*,*,#198768,.F.); #269675=ORIENTED_EDGE('',*,*,#198769,.T.); #269676=ORIENTED_EDGE('',*,*,#198768,.T.); #269677=ORIENTED_EDGE('',*,*,#198770,.F.); #269678=ORIENTED_EDGE('',*,*,#198771,.F.); #269679=ORIENTED_EDGE('',*,*,#198772,.T.); #269680=ORIENTED_EDGE('',*,*,#198771,.T.); #269681=ORIENTED_EDGE('',*,*,#198773,.F.); #269682=ORIENTED_EDGE('',*,*,#198774,.F.); #269683=ORIENTED_EDGE('',*,*,#198775,.T.); #269684=ORIENTED_EDGE('',*,*,#198774,.T.); #269685=ORIENTED_EDGE('',*,*,#198776,.F.); #269686=ORIENTED_EDGE('',*,*,#198777,.F.); #269687=ORIENTED_EDGE('',*,*,#198778,.T.); #269688=ORIENTED_EDGE('',*,*,#198777,.T.); #269689=ORIENTED_EDGE('',*,*,#198779,.F.); #269690=ORIENTED_EDGE('',*,*,#198780,.F.); #269691=ORIENTED_EDGE('',*,*,#198781,.T.); #269692=ORIENTED_EDGE('',*,*,#198780,.T.); #269693=ORIENTED_EDGE('',*,*,#198782,.F.); #269694=ORIENTED_EDGE('',*,*,#198783,.F.); #269695=ORIENTED_EDGE('',*,*,#198784,.T.); #269696=ORIENTED_EDGE('',*,*,#198783,.T.); #269697=ORIENTED_EDGE('',*,*,#198785,.F.); #269698=ORIENTED_EDGE('',*,*,#198786,.F.); #269699=ORIENTED_EDGE('',*,*,#198787,.T.); #269700=ORIENTED_EDGE('',*,*,#198786,.T.); #269701=ORIENTED_EDGE('',*,*,#198788,.F.); #269702=ORIENTED_EDGE('',*,*,#198789,.F.); #269703=ORIENTED_EDGE('',*,*,#198790,.T.); #269704=ORIENTED_EDGE('',*,*,#198789,.T.); #269705=ORIENTED_EDGE('',*,*,#198791,.F.); #269706=ORIENTED_EDGE('',*,*,#198792,.F.); #269707=ORIENTED_EDGE('',*,*,#198793,.T.); #269708=ORIENTED_EDGE('',*,*,#198792,.T.); #269709=ORIENTED_EDGE('',*,*,#198794,.F.); #269710=ORIENTED_EDGE('',*,*,#198795,.F.); #269711=ORIENTED_EDGE('',*,*,#198796,.T.); #269712=ORIENTED_EDGE('',*,*,#198795,.T.); #269713=ORIENTED_EDGE('',*,*,#198797,.F.); #269714=ORIENTED_EDGE('',*,*,#198798,.F.); #269715=ORIENTED_EDGE('',*,*,#198799,.T.); #269716=ORIENTED_EDGE('',*,*,#198798,.T.); #269717=ORIENTED_EDGE('',*,*,#198800,.F.); #269718=ORIENTED_EDGE('',*,*,#198801,.F.); #269719=ORIENTED_EDGE('',*,*,#198802,.T.); #269720=ORIENTED_EDGE('',*,*,#198801,.T.); #269721=ORIENTED_EDGE('',*,*,#198803,.F.); #269722=ORIENTED_EDGE('',*,*,#198804,.F.); #269723=ORIENTED_EDGE('',*,*,#198805,.T.); #269724=ORIENTED_EDGE('',*,*,#198804,.T.); #269725=ORIENTED_EDGE('',*,*,#198806,.F.); #269726=ORIENTED_EDGE('',*,*,#198807,.F.); #269727=ORIENTED_EDGE('',*,*,#198808,.T.); #269728=ORIENTED_EDGE('',*,*,#198807,.T.); #269729=ORIENTED_EDGE('',*,*,#198809,.F.); #269730=ORIENTED_EDGE('',*,*,#198810,.F.); #269731=ORIENTED_EDGE('',*,*,#198811,.T.); #269732=ORIENTED_EDGE('',*,*,#198810,.T.); #269733=ORIENTED_EDGE('',*,*,#198812,.F.); #269734=ORIENTED_EDGE('',*,*,#198813,.F.); #269735=ORIENTED_EDGE('',*,*,#198814,.T.); #269736=ORIENTED_EDGE('',*,*,#198813,.T.); #269737=ORIENTED_EDGE('',*,*,#198815,.F.); #269738=ORIENTED_EDGE('',*,*,#198816,.F.); #269739=ORIENTED_EDGE('',*,*,#198817,.T.); #269740=ORIENTED_EDGE('',*,*,#198816,.T.); #269741=ORIENTED_EDGE('',*,*,#198818,.F.); #269742=ORIENTED_EDGE('',*,*,#198819,.F.); #269743=ORIENTED_EDGE('',*,*,#198820,.T.); #269744=ORIENTED_EDGE('',*,*,#198819,.T.); #269745=ORIENTED_EDGE('',*,*,#198821,.F.); #269746=ORIENTED_EDGE('',*,*,#198822,.F.); #269747=ORIENTED_EDGE('',*,*,#198823,.T.); #269748=ORIENTED_EDGE('',*,*,#198822,.T.); #269749=ORIENTED_EDGE('',*,*,#198824,.F.); #269750=ORIENTED_EDGE('',*,*,#198825,.F.); #269751=ORIENTED_EDGE('',*,*,#198826,.T.); #269752=ORIENTED_EDGE('',*,*,#198825,.T.); #269753=ORIENTED_EDGE('',*,*,#198827,.F.); #269754=ORIENTED_EDGE('',*,*,#198828,.F.); #269755=ORIENTED_EDGE('',*,*,#198829,.T.); #269756=ORIENTED_EDGE('',*,*,#198828,.T.); #269757=ORIENTED_EDGE('',*,*,#198830,.F.); #269758=ORIENTED_EDGE('',*,*,#198831,.F.); #269759=ORIENTED_EDGE('',*,*,#198832,.T.); #269760=ORIENTED_EDGE('',*,*,#198831,.T.); #269761=ORIENTED_EDGE('',*,*,#198833,.F.); #269762=ORIENTED_EDGE('',*,*,#198834,.F.); #269763=ORIENTED_EDGE('',*,*,#198835,.T.); #269764=ORIENTED_EDGE('',*,*,#198834,.T.); #269765=ORIENTED_EDGE('',*,*,#198836,.F.); #269766=ORIENTED_EDGE('',*,*,#198837,.F.); #269767=ORIENTED_EDGE('',*,*,#198838,.T.); #269768=ORIENTED_EDGE('',*,*,#198837,.T.); #269769=ORIENTED_EDGE('',*,*,#198839,.F.); #269770=ORIENTED_EDGE('',*,*,#198840,.F.); #269771=ORIENTED_EDGE('',*,*,#198841,.T.); #269772=ORIENTED_EDGE('',*,*,#198840,.T.); #269773=ORIENTED_EDGE('',*,*,#198842,.F.); #269774=ORIENTED_EDGE('',*,*,#198843,.F.); #269775=ORIENTED_EDGE('',*,*,#198844,.T.); #269776=ORIENTED_EDGE('',*,*,#198843,.T.); #269777=ORIENTED_EDGE('',*,*,#198845,.F.); #269778=ORIENTED_EDGE('',*,*,#198846,.F.); #269779=ORIENTED_EDGE('',*,*,#198847,.T.); #269780=ORIENTED_EDGE('',*,*,#198846,.T.); #269781=ORIENTED_EDGE('',*,*,#198848,.F.); #269782=ORIENTED_EDGE('',*,*,#198550,.F.); #269783=ORIENTED_EDGE('',*,*,#198849,.T.); #269784=ORIENTED_EDGE('',*,*,#198850,.T.); #269785=ORIENTED_EDGE('',*,*,#198851,.F.); #269786=ORIENTED_EDGE('',*,*,#198852,.F.); #269787=ORIENTED_EDGE('',*,*,#198853,.T.); #269788=ORIENTED_EDGE('',*,*,#198852,.T.); #269789=ORIENTED_EDGE('',*,*,#198854,.F.); #269790=ORIENTED_EDGE('',*,*,#198855,.F.); #269791=ORIENTED_EDGE('',*,*,#198856,.T.); #269792=ORIENTED_EDGE('',*,*,#198855,.T.); #269793=ORIENTED_EDGE('',*,*,#198857,.F.); #269794=ORIENTED_EDGE('',*,*,#198858,.F.); #269795=ORIENTED_EDGE('',*,*,#198859,.T.); #269796=ORIENTED_EDGE('',*,*,#198858,.T.); #269797=ORIENTED_EDGE('',*,*,#198860,.F.); #269798=ORIENTED_EDGE('',*,*,#198861,.F.); #269799=ORIENTED_EDGE('',*,*,#198862,.T.); #269800=ORIENTED_EDGE('',*,*,#198861,.T.); #269801=ORIENTED_EDGE('',*,*,#198863,.F.); #269802=ORIENTED_EDGE('',*,*,#198864,.F.); #269803=ORIENTED_EDGE('',*,*,#198865,.T.); #269804=ORIENTED_EDGE('',*,*,#198864,.T.); #269805=ORIENTED_EDGE('',*,*,#198866,.F.); #269806=ORIENTED_EDGE('',*,*,#198867,.F.); #269807=ORIENTED_EDGE('',*,*,#198868,.T.); #269808=ORIENTED_EDGE('',*,*,#198867,.T.); #269809=ORIENTED_EDGE('',*,*,#198869,.F.); #269810=ORIENTED_EDGE('',*,*,#198870,.F.); #269811=ORIENTED_EDGE('',*,*,#198871,.T.); #269812=ORIENTED_EDGE('',*,*,#198870,.T.); #269813=ORIENTED_EDGE('',*,*,#198872,.F.); #269814=ORIENTED_EDGE('',*,*,#198873,.F.); #269815=ORIENTED_EDGE('',*,*,#198874,.T.); #269816=ORIENTED_EDGE('',*,*,#198873,.T.); #269817=ORIENTED_EDGE('',*,*,#198875,.F.); #269818=ORIENTED_EDGE('',*,*,#198876,.F.); #269819=ORIENTED_EDGE('',*,*,#198877,.T.); #269820=ORIENTED_EDGE('',*,*,#198876,.T.); #269821=ORIENTED_EDGE('',*,*,#198878,.F.); #269822=ORIENTED_EDGE('',*,*,#198879,.F.); #269823=ORIENTED_EDGE('',*,*,#198880,.T.); #269824=ORIENTED_EDGE('',*,*,#198879,.T.); #269825=ORIENTED_EDGE('',*,*,#198881,.F.); #269826=ORIENTED_EDGE('',*,*,#198882,.F.); #269827=ORIENTED_EDGE('',*,*,#198883,.T.); #269828=ORIENTED_EDGE('',*,*,#198882,.T.); #269829=ORIENTED_EDGE('',*,*,#198884,.F.); #269830=ORIENTED_EDGE('',*,*,#198885,.F.); #269831=ORIENTED_EDGE('',*,*,#198886,.T.); #269832=ORIENTED_EDGE('',*,*,#198885,.T.); #269833=ORIENTED_EDGE('',*,*,#198887,.F.); #269834=ORIENTED_EDGE('',*,*,#198888,.F.); #269835=ORIENTED_EDGE('',*,*,#198889,.T.); #269836=ORIENTED_EDGE('',*,*,#198888,.T.); #269837=ORIENTED_EDGE('',*,*,#198890,.F.); #269838=ORIENTED_EDGE('',*,*,#198891,.F.); #269839=ORIENTED_EDGE('',*,*,#198892,.T.); #269840=ORIENTED_EDGE('',*,*,#198891,.T.); #269841=ORIENTED_EDGE('',*,*,#198893,.F.); #269842=ORIENTED_EDGE('',*,*,#198894,.F.); #269843=ORIENTED_EDGE('',*,*,#198895,.T.); #269844=ORIENTED_EDGE('',*,*,#198894,.T.); #269845=ORIENTED_EDGE('',*,*,#198896,.F.); #269846=ORIENTED_EDGE('',*,*,#198897,.F.); #269847=ORIENTED_EDGE('',*,*,#198898,.T.); #269848=ORIENTED_EDGE('',*,*,#198897,.T.); #269849=ORIENTED_EDGE('',*,*,#198899,.F.); #269850=ORIENTED_EDGE('',*,*,#198900,.F.); #269851=ORIENTED_EDGE('',*,*,#198901,.T.); #269852=ORIENTED_EDGE('',*,*,#198900,.T.); #269853=ORIENTED_EDGE('',*,*,#198902,.F.); #269854=ORIENTED_EDGE('',*,*,#198903,.F.); #269855=ORIENTED_EDGE('',*,*,#198904,.T.); #269856=ORIENTED_EDGE('',*,*,#198903,.T.); #269857=ORIENTED_EDGE('',*,*,#198905,.F.); #269858=ORIENTED_EDGE('',*,*,#198906,.F.); #269859=ORIENTED_EDGE('',*,*,#198907,.T.); #269860=ORIENTED_EDGE('',*,*,#198906,.T.); #269861=ORIENTED_EDGE('',*,*,#198908,.F.); #269862=ORIENTED_EDGE('',*,*,#198909,.F.); #269863=ORIENTED_EDGE('',*,*,#198910,.T.); #269864=ORIENTED_EDGE('',*,*,#198909,.T.); #269865=ORIENTED_EDGE('',*,*,#198911,.F.); #269866=ORIENTED_EDGE('',*,*,#198912,.F.); #269867=ORIENTED_EDGE('',*,*,#198913,.T.); #269868=ORIENTED_EDGE('',*,*,#198912,.T.); #269869=ORIENTED_EDGE('',*,*,#198914,.F.); #269870=ORIENTED_EDGE('',*,*,#198915,.F.); #269871=ORIENTED_EDGE('',*,*,#198916,.T.); #269872=ORIENTED_EDGE('',*,*,#198915,.T.); #269873=ORIENTED_EDGE('',*,*,#198917,.F.); #269874=ORIENTED_EDGE('',*,*,#198918,.F.); #269875=ORIENTED_EDGE('',*,*,#198919,.T.); #269876=ORIENTED_EDGE('',*,*,#198918,.T.); #269877=ORIENTED_EDGE('',*,*,#198920,.F.); #269878=ORIENTED_EDGE('',*,*,#198921,.F.); #269879=ORIENTED_EDGE('',*,*,#198922,.T.); #269880=ORIENTED_EDGE('',*,*,#198921,.T.); #269881=ORIENTED_EDGE('',*,*,#198923,.F.); #269882=ORIENTED_EDGE('',*,*,#198924,.F.); #269883=ORIENTED_EDGE('',*,*,#198925,.T.); #269884=ORIENTED_EDGE('',*,*,#198924,.T.); #269885=ORIENTED_EDGE('',*,*,#198926,.F.); #269886=ORIENTED_EDGE('',*,*,#198927,.F.); #269887=ORIENTED_EDGE('',*,*,#198928,.T.); #269888=ORIENTED_EDGE('',*,*,#198927,.T.); #269889=ORIENTED_EDGE('',*,*,#198929,.F.); #269890=ORIENTED_EDGE('',*,*,#198930,.F.); #269891=ORIENTED_EDGE('',*,*,#198931,.T.); #269892=ORIENTED_EDGE('',*,*,#198930,.T.); #269893=ORIENTED_EDGE('',*,*,#198932,.F.); #269894=ORIENTED_EDGE('',*,*,#198933,.F.); #269895=ORIENTED_EDGE('',*,*,#198934,.T.); #269896=ORIENTED_EDGE('',*,*,#198933,.T.); #269897=ORIENTED_EDGE('',*,*,#198935,.F.); #269898=ORIENTED_EDGE('',*,*,#198936,.F.); #269899=ORIENTED_EDGE('',*,*,#198937,.T.); #269900=ORIENTED_EDGE('',*,*,#198936,.T.); #269901=ORIENTED_EDGE('',*,*,#198938,.F.); #269902=ORIENTED_EDGE('',*,*,#198939,.F.); #269903=ORIENTED_EDGE('',*,*,#198940,.T.); #269904=ORIENTED_EDGE('',*,*,#198939,.T.); #269905=ORIENTED_EDGE('',*,*,#198941,.F.); #269906=ORIENTED_EDGE('',*,*,#198942,.F.); #269907=ORIENTED_EDGE('',*,*,#198943,.T.); #269908=ORIENTED_EDGE('',*,*,#198942,.T.); #269909=ORIENTED_EDGE('',*,*,#198944,.F.); #269910=ORIENTED_EDGE('',*,*,#198945,.F.); #269911=ORIENTED_EDGE('',*,*,#198946,.T.); #269912=ORIENTED_EDGE('',*,*,#198945,.T.); #269913=ORIENTED_EDGE('',*,*,#198947,.F.); #269914=ORIENTED_EDGE('',*,*,#198948,.F.); #269915=ORIENTED_EDGE('',*,*,#198949,.T.); #269916=ORIENTED_EDGE('',*,*,#198948,.T.); #269917=ORIENTED_EDGE('',*,*,#198950,.F.); #269918=ORIENTED_EDGE('',*,*,#198951,.F.); #269919=ORIENTED_EDGE('',*,*,#198952,.T.); #269920=ORIENTED_EDGE('',*,*,#198951,.T.); #269921=ORIENTED_EDGE('',*,*,#198953,.F.); #269922=ORIENTED_EDGE('',*,*,#198954,.F.); #269923=ORIENTED_EDGE('',*,*,#198955,.T.); #269924=ORIENTED_EDGE('',*,*,#198954,.T.); #269925=ORIENTED_EDGE('',*,*,#198956,.F.); #269926=ORIENTED_EDGE('',*,*,#198957,.F.); #269927=ORIENTED_EDGE('',*,*,#198958,.T.); #269928=ORIENTED_EDGE('',*,*,#198957,.T.); #269929=ORIENTED_EDGE('',*,*,#198959,.F.); #269930=ORIENTED_EDGE('',*,*,#198960,.F.); #269931=ORIENTED_EDGE('',*,*,#198961,.T.); #269932=ORIENTED_EDGE('',*,*,#198960,.T.); #269933=ORIENTED_EDGE('',*,*,#198962,.F.); #269934=ORIENTED_EDGE('',*,*,#198963,.F.); #269935=ORIENTED_EDGE('',*,*,#198964,.T.); #269936=ORIENTED_EDGE('',*,*,#198963,.T.); #269937=ORIENTED_EDGE('',*,*,#198965,.F.); #269938=ORIENTED_EDGE('',*,*,#198966,.F.); #269939=ORIENTED_EDGE('',*,*,#198967,.T.); #269940=ORIENTED_EDGE('',*,*,#198966,.T.); #269941=ORIENTED_EDGE('',*,*,#198968,.F.); #269942=ORIENTED_EDGE('',*,*,#198969,.F.); #269943=ORIENTED_EDGE('',*,*,#198970,.T.); #269944=ORIENTED_EDGE('',*,*,#198969,.T.); #269945=ORIENTED_EDGE('',*,*,#198971,.F.); #269946=ORIENTED_EDGE('',*,*,#198972,.F.); #269947=ORIENTED_EDGE('',*,*,#198973,.T.); #269948=ORIENTED_EDGE('',*,*,#198972,.T.); #269949=ORIENTED_EDGE('',*,*,#198974,.F.); #269950=ORIENTED_EDGE('',*,*,#198975,.F.); #269951=ORIENTED_EDGE('',*,*,#198976,.T.); #269952=ORIENTED_EDGE('',*,*,#198975,.T.); #269953=ORIENTED_EDGE('',*,*,#198977,.F.); #269954=ORIENTED_EDGE('',*,*,#198978,.F.); #269955=ORIENTED_EDGE('',*,*,#198979,.T.); #269956=ORIENTED_EDGE('',*,*,#198978,.T.); #269957=ORIENTED_EDGE('',*,*,#198980,.F.); #269958=ORIENTED_EDGE('',*,*,#198981,.F.); #269959=ORIENTED_EDGE('',*,*,#198982,.T.); #269960=ORIENTED_EDGE('',*,*,#198981,.T.); #269961=ORIENTED_EDGE('',*,*,#198983,.F.); #269962=ORIENTED_EDGE('',*,*,#198984,.F.); #269963=ORIENTED_EDGE('',*,*,#198985,.T.); #269964=ORIENTED_EDGE('',*,*,#198984,.T.); #269965=ORIENTED_EDGE('',*,*,#198986,.F.); #269966=ORIENTED_EDGE('',*,*,#198987,.F.); #269967=ORIENTED_EDGE('',*,*,#198988,.T.); #269968=ORIENTED_EDGE('',*,*,#198987,.T.); #269969=ORIENTED_EDGE('',*,*,#198989,.F.); #269970=ORIENTED_EDGE('',*,*,#198990,.F.); #269971=ORIENTED_EDGE('',*,*,#198991,.T.); #269972=ORIENTED_EDGE('',*,*,#198990,.T.); #269973=ORIENTED_EDGE('',*,*,#198992,.F.); #269974=ORIENTED_EDGE('',*,*,#198993,.F.); #269975=ORIENTED_EDGE('',*,*,#198994,.T.); #269976=ORIENTED_EDGE('',*,*,#198993,.T.); #269977=ORIENTED_EDGE('',*,*,#198995,.F.); #269978=ORIENTED_EDGE('',*,*,#198996,.F.); #269979=ORIENTED_EDGE('',*,*,#198997,.T.); #269980=ORIENTED_EDGE('',*,*,#198996,.T.); #269981=ORIENTED_EDGE('',*,*,#198998,.F.); #269982=ORIENTED_EDGE('',*,*,#198999,.F.); #269983=ORIENTED_EDGE('',*,*,#199000,.T.); #269984=ORIENTED_EDGE('',*,*,#198999,.T.); #269985=ORIENTED_EDGE('',*,*,#199001,.F.); #269986=ORIENTED_EDGE('',*,*,#199002,.F.); #269987=ORIENTED_EDGE('',*,*,#199003,.T.); #269988=ORIENTED_EDGE('',*,*,#199002,.T.); #269989=ORIENTED_EDGE('',*,*,#199004,.F.); #269990=ORIENTED_EDGE('',*,*,#199005,.F.); #269991=ORIENTED_EDGE('',*,*,#199006,.T.); #269992=ORIENTED_EDGE('',*,*,#199005,.T.); #269993=ORIENTED_EDGE('',*,*,#199007,.F.); #269994=ORIENTED_EDGE('',*,*,#199008,.F.); #269995=ORIENTED_EDGE('',*,*,#199009,.T.); #269996=ORIENTED_EDGE('',*,*,#199008,.T.); #269997=ORIENTED_EDGE('',*,*,#199010,.F.); #269998=ORIENTED_EDGE('',*,*,#199011,.F.); #269999=ORIENTED_EDGE('',*,*,#199012,.T.); #270000=ORIENTED_EDGE('',*,*,#199011,.T.); #270001=ORIENTED_EDGE('',*,*,#199013,.F.); #270002=ORIENTED_EDGE('',*,*,#199014,.F.); #270003=ORIENTED_EDGE('',*,*,#199015,.T.); #270004=ORIENTED_EDGE('',*,*,#199014,.T.); #270005=ORIENTED_EDGE('',*,*,#199016,.F.); #270006=ORIENTED_EDGE('',*,*,#199017,.F.); #270007=ORIENTED_EDGE('',*,*,#199018,.T.); #270008=ORIENTED_EDGE('',*,*,#199017,.T.); #270009=ORIENTED_EDGE('',*,*,#199019,.F.); #270010=ORIENTED_EDGE('',*,*,#199020,.F.); #270011=ORIENTED_EDGE('',*,*,#199021,.T.); #270012=ORIENTED_EDGE('',*,*,#199020,.T.); #270013=ORIENTED_EDGE('',*,*,#199022,.F.); #270014=ORIENTED_EDGE('',*,*,#199023,.F.); #270015=ORIENTED_EDGE('',*,*,#199024,.T.); #270016=ORIENTED_EDGE('',*,*,#199023,.T.); #270017=ORIENTED_EDGE('',*,*,#199025,.F.); #270018=ORIENTED_EDGE('',*,*,#199026,.F.); #270019=ORIENTED_EDGE('',*,*,#199027,.T.); #270020=ORIENTED_EDGE('',*,*,#199026,.T.); #270021=ORIENTED_EDGE('',*,*,#199028,.F.); #270022=ORIENTED_EDGE('',*,*,#199029,.F.); #270023=ORIENTED_EDGE('',*,*,#199030,.T.); #270024=ORIENTED_EDGE('',*,*,#199029,.T.); #270025=ORIENTED_EDGE('',*,*,#199031,.F.); #270026=ORIENTED_EDGE('',*,*,#199032,.F.); #270027=ORIENTED_EDGE('',*,*,#199033,.T.); #270028=ORIENTED_EDGE('',*,*,#199032,.T.); #270029=ORIENTED_EDGE('',*,*,#199034,.F.); #270030=ORIENTED_EDGE('',*,*,#199035,.F.); #270031=ORIENTED_EDGE('',*,*,#199036,.T.); #270032=ORIENTED_EDGE('',*,*,#199035,.T.); #270033=ORIENTED_EDGE('',*,*,#199037,.F.); #270034=ORIENTED_EDGE('',*,*,#199038,.F.); #270035=ORIENTED_EDGE('',*,*,#199039,.T.); #270036=ORIENTED_EDGE('',*,*,#199038,.T.); #270037=ORIENTED_EDGE('',*,*,#199040,.F.); #270038=ORIENTED_EDGE('',*,*,#199041,.F.); #270039=ORIENTED_EDGE('',*,*,#199042,.T.); #270040=ORIENTED_EDGE('',*,*,#199041,.T.); #270041=ORIENTED_EDGE('',*,*,#199043,.F.); #270042=ORIENTED_EDGE('',*,*,#199044,.F.); #270043=ORIENTED_EDGE('',*,*,#199045,.T.); #270044=ORIENTED_EDGE('',*,*,#199044,.T.); #270045=ORIENTED_EDGE('',*,*,#199046,.F.); #270046=ORIENTED_EDGE('',*,*,#199047,.F.); #270047=ORIENTED_EDGE('',*,*,#199048,.T.); #270048=ORIENTED_EDGE('',*,*,#199047,.T.); #270049=ORIENTED_EDGE('',*,*,#199049,.F.); #270050=ORIENTED_EDGE('',*,*,#199050,.F.); #270051=ORIENTED_EDGE('',*,*,#199051,.T.); #270052=ORIENTED_EDGE('',*,*,#199050,.T.); #270053=ORIENTED_EDGE('',*,*,#199052,.F.); #270054=ORIENTED_EDGE('',*,*,#199053,.F.); #270055=ORIENTED_EDGE('',*,*,#199054,.T.); #270056=ORIENTED_EDGE('',*,*,#199053,.T.); #270057=ORIENTED_EDGE('',*,*,#199055,.F.); #270058=ORIENTED_EDGE('',*,*,#199056,.F.); #270059=ORIENTED_EDGE('',*,*,#199057,.T.); #270060=ORIENTED_EDGE('',*,*,#199056,.T.); #270061=ORIENTED_EDGE('',*,*,#199058,.F.); #270062=ORIENTED_EDGE('',*,*,#199059,.F.); #270063=ORIENTED_EDGE('',*,*,#199060,.T.); #270064=ORIENTED_EDGE('',*,*,#199059,.T.); #270065=ORIENTED_EDGE('',*,*,#199061,.F.); #270066=ORIENTED_EDGE('',*,*,#199062,.F.); #270067=ORIENTED_EDGE('',*,*,#199063,.T.); #270068=ORIENTED_EDGE('',*,*,#199062,.T.); #270069=ORIENTED_EDGE('',*,*,#199064,.F.); #270070=ORIENTED_EDGE('',*,*,#199065,.F.); #270071=ORIENTED_EDGE('',*,*,#199066,.T.); #270072=ORIENTED_EDGE('',*,*,#199065,.T.); #270073=ORIENTED_EDGE('',*,*,#199067,.F.); #270074=ORIENTED_EDGE('',*,*,#199068,.F.); #270075=ORIENTED_EDGE('',*,*,#199069,.T.); #270076=ORIENTED_EDGE('',*,*,#199068,.T.); #270077=ORIENTED_EDGE('',*,*,#199070,.F.); #270078=ORIENTED_EDGE('',*,*,#199071,.F.); #270079=ORIENTED_EDGE('',*,*,#199072,.T.); #270080=ORIENTED_EDGE('',*,*,#199071,.T.); #270081=ORIENTED_EDGE('',*,*,#199073,.F.); #270082=ORIENTED_EDGE('',*,*,#199074,.F.); #270083=ORIENTED_EDGE('',*,*,#199075,.T.); #270084=ORIENTED_EDGE('',*,*,#199074,.T.); #270085=ORIENTED_EDGE('',*,*,#199076,.F.); #270086=ORIENTED_EDGE('',*,*,#199077,.F.); #270087=ORIENTED_EDGE('',*,*,#199078,.T.); #270088=ORIENTED_EDGE('',*,*,#199077,.T.); #270089=ORIENTED_EDGE('',*,*,#199079,.F.); #270090=ORIENTED_EDGE('',*,*,#199080,.F.); #270091=ORIENTED_EDGE('',*,*,#199081,.T.); #270092=ORIENTED_EDGE('',*,*,#199080,.T.); #270093=ORIENTED_EDGE('',*,*,#199082,.F.); #270094=ORIENTED_EDGE('',*,*,#199083,.F.); #270095=ORIENTED_EDGE('',*,*,#199084,.T.); #270096=ORIENTED_EDGE('',*,*,#199083,.T.); #270097=ORIENTED_EDGE('',*,*,#199085,.F.); #270098=ORIENTED_EDGE('',*,*,#199086,.F.); #270099=ORIENTED_EDGE('',*,*,#199087,.T.); #270100=ORIENTED_EDGE('',*,*,#199086,.T.); #270101=ORIENTED_EDGE('',*,*,#199088,.F.); #270102=ORIENTED_EDGE('',*,*,#199089,.F.); #270103=ORIENTED_EDGE('',*,*,#199090,.T.); #270104=ORIENTED_EDGE('',*,*,#199089,.T.); #270105=ORIENTED_EDGE('',*,*,#199091,.F.); #270106=ORIENTED_EDGE('',*,*,#199092,.F.); #270107=ORIENTED_EDGE('',*,*,#199093,.T.); #270108=ORIENTED_EDGE('',*,*,#199092,.T.); #270109=ORIENTED_EDGE('',*,*,#199094,.F.); #270110=ORIENTED_EDGE('',*,*,#199095,.F.); #270111=ORIENTED_EDGE('',*,*,#199096,.T.); #270112=ORIENTED_EDGE('',*,*,#199095,.T.); #270113=ORIENTED_EDGE('',*,*,#199097,.F.); #270114=ORIENTED_EDGE('',*,*,#199098,.F.); #270115=ORIENTED_EDGE('',*,*,#199099,.T.); #270116=ORIENTED_EDGE('',*,*,#199098,.T.); #270117=ORIENTED_EDGE('',*,*,#199100,.F.); #270118=ORIENTED_EDGE('',*,*,#199101,.F.); #270119=ORIENTED_EDGE('',*,*,#199102,.T.); #270120=ORIENTED_EDGE('',*,*,#199101,.T.); #270121=ORIENTED_EDGE('',*,*,#199103,.F.); #270122=ORIENTED_EDGE('',*,*,#199104,.F.); #270123=ORIENTED_EDGE('',*,*,#199105,.T.); #270124=ORIENTED_EDGE('',*,*,#199104,.T.); #270125=ORIENTED_EDGE('',*,*,#199106,.F.); #270126=ORIENTED_EDGE('',*,*,#199107,.F.); #270127=ORIENTED_EDGE('',*,*,#199108,.T.); #270128=ORIENTED_EDGE('',*,*,#199107,.T.); #270129=ORIENTED_EDGE('',*,*,#199109,.F.); #270130=ORIENTED_EDGE('',*,*,#199110,.F.); #270131=ORIENTED_EDGE('',*,*,#199111,.T.); #270132=ORIENTED_EDGE('',*,*,#199110,.T.); #270133=ORIENTED_EDGE('',*,*,#199112,.F.); #270134=ORIENTED_EDGE('',*,*,#199113,.F.); #270135=ORIENTED_EDGE('',*,*,#199114,.T.); #270136=ORIENTED_EDGE('',*,*,#199113,.T.); #270137=ORIENTED_EDGE('',*,*,#199115,.F.); #270138=ORIENTED_EDGE('',*,*,#199116,.F.); #270139=ORIENTED_EDGE('',*,*,#199117,.T.); #270140=ORIENTED_EDGE('',*,*,#199116,.T.); #270141=ORIENTED_EDGE('',*,*,#199118,.F.); #270142=ORIENTED_EDGE('',*,*,#199119,.F.); #270143=ORIENTED_EDGE('',*,*,#199120,.T.); #270144=ORIENTED_EDGE('',*,*,#199119,.T.); #270145=ORIENTED_EDGE('',*,*,#199121,.F.); #270146=ORIENTED_EDGE('',*,*,#199122,.F.); #270147=ORIENTED_EDGE('',*,*,#199123,.T.); #270148=ORIENTED_EDGE('',*,*,#199122,.T.); #270149=ORIENTED_EDGE('',*,*,#199124,.F.); #270150=ORIENTED_EDGE('',*,*,#199125,.F.); #270151=ORIENTED_EDGE('',*,*,#199126,.T.); #270152=ORIENTED_EDGE('',*,*,#199125,.T.); #270153=ORIENTED_EDGE('',*,*,#199127,.F.); #270154=ORIENTED_EDGE('',*,*,#199128,.F.); #270155=ORIENTED_EDGE('',*,*,#199129,.T.); #270156=ORIENTED_EDGE('',*,*,#199128,.T.); #270157=ORIENTED_EDGE('',*,*,#199130,.F.); #270158=ORIENTED_EDGE('',*,*,#199131,.F.); #270159=ORIENTED_EDGE('',*,*,#199132,.T.); #270160=ORIENTED_EDGE('',*,*,#199131,.T.); #270161=ORIENTED_EDGE('',*,*,#199133,.F.); #270162=ORIENTED_EDGE('',*,*,#199134,.F.); #270163=ORIENTED_EDGE('',*,*,#199135,.T.); #270164=ORIENTED_EDGE('',*,*,#199134,.T.); #270165=ORIENTED_EDGE('',*,*,#199136,.F.); #270166=ORIENTED_EDGE('',*,*,#199137,.F.); #270167=ORIENTED_EDGE('',*,*,#199138,.T.); #270168=ORIENTED_EDGE('',*,*,#199137,.T.); #270169=ORIENTED_EDGE('',*,*,#199139,.F.); #270170=ORIENTED_EDGE('',*,*,#199140,.F.); #270171=ORIENTED_EDGE('',*,*,#199141,.T.); #270172=ORIENTED_EDGE('',*,*,#199140,.T.); #270173=ORIENTED_EDGE('',*,*,#199142,.F.); #270174=ORIENTED_EDGE('',*,*,#199143,.F.); #270175=ORIENTED_EDGE('',*,*,#199144,.T.); #270176=ORIENTED_EDGE('',*,*,#199143,.T.); #270177=ORIENTED_EDGE('',*,*,#199145,.F.); #270178=ORIENTED_EDGE('',*,*,#199146,.F.); #270179=ORIENTED_EDGE('',*,*,#199147,.T.); #270180=ORIENTED_EDGE('',*,*,#199146,.T.); #270181=ORIENTED_EDGE('',*,*,#199148,.F.); #270182=ORIENTED_EDGE('',*,*,#199149,.F.); #270183=ORIENTED_EDGE('',*,*,#199150,.T.); #270184=ORIENTED_EDGE('',*,*,#199149,.T.); #270185=ORIENTED_EDGE('',*,*,#199151,.F.); #270186=ORIENTED_EDGE('',*,*,#199152,.F.); #270187=ORIENTED_EDGE('',*,*,#199153,.T.); #270188=ORIENTED_EDGE('',*,*,#199152,.T.); #270189=ORIENTED_EDGE('',*,*,#199154,.F.); #270190=ORIENTED_EDGE('',*,*,#199155,.F.); #270191=ORIENTED_EDGE('',*,*,#199156,.T.); #270192=ORIENTED_EDGE('',*,*,#199155,.T.); #270193=ORIENTED_EDGE('',*,*,#199157,.F.); #270194=ORIENTED_EDGE('',*,*,#199158,.F.); #270195=ORIENTED_EDGE('',*,*,#199159,.T.); #270196=ORIENTED_EDGE('',*,*,#199158,.T.); #270197=ORIENTED_EDGE('',*,*,#199160,.F.); #270198=ORIENTED_EDGE('',*,*,#199161,.F.); #270199=ORIENTED_EDGE('',*,*,#199162,.T.); #270200=ORIENTED_EDGE('',*,*,#199161,.T.); #270201=ORIENTED_EDGE('',*,*,#199163,.F.); #270202=ORIENTED_EDGE('',*,*,#199164,.F.); #270203=ORIENTED_EDGE('',*,*,#199165,.T.); #270204=ORIENTED_EDGE('',*,*,#199164,.T.); #270205=ORIENTED_EDGE('',*,*,#199166,.F.); #270206=ORIENTED_EDGE('',*,*,#199167,.F.); #270207=ORIENTED_EDGE('',*,*,#199168,.T.); #270208=ORIENTED_EDGE('',*,*,#199167,.T.); #270209=ORIENTED_EDGE('',*,*,#199169,.F.); #270210=ORIENTED_EDGE('',*,*,#199170,.F.); #270211=ORIENTED_EDGE('',*,*,#199171,.T.); #270212=ORIENTED_EDGE('',*,*,#199170,.T.); #270213=ORIENTED_EDGE('',*,*,#199172,.F.); #270214=ORIENTED_EDGE('',*,*,#199173,.F.); #270215=ORIENTED_EDGE('',*,*,#199174,.T.); #270216=ORIENTED_EDGE('',*,*,#199173,.T.); #270217=ORIENTED_EDGE('',*,*,#199175,.F.); #270218=ORIENTED_EDGE('',*,*,#199176,.F.); #270219=ORIENTED_EDGE('',*,*,#199177,.T.); #270220=ORIENTED_EDGE('',*,*,#199176,.T.); #270221=ORIENTED_EDGE('',*,*,#199178,.F.); #270222=ORIENTED_EDGE('',*,*,#199179,.F.); #270223=ORIENTED_EDGE('',*,*,#199180,.T.); #270224=ORIENTED_EDGE('',*,*,#199179,.T.); #270225=ORIENTED_EDGE('',*,*,#199181,.F.); #270226=ORIENTED_EDGE('',*,*,#199182,.F.); #270227=ORIENTED_EDGE('',*,*,#199183,.T.); #270228=ORIENTED_EDGE('',*,*,#199182,.T.); #270229=ORIENTED_EDGE('',*,*,#199184,.F.); #270230=ORIENTED_EDGE('',*,*,#199185,.F.); #270231=ORIENTED_EDGE('',*,*,#199186,.T.); #270232=ORIENTED_EDGE('',*,*,#199185,.T.); #270233=ORIENTED_EDGE('',*,*,#199187,.F.); #270234=ORIENTED_EDGE('',*,*,#199188,.F.); #270235=ORIENTED_EDGE('',*,*,#199189,.T.); #270236=ORIENTED_EDGE('',*,*,#199188,.T.); #270237=ORIENTED_EDGE('',*,*,#199190,.F.); #270238=ORIENTED_EDGE('',*,*,#199191,.F.); #270239=ORIENTED_EDGE('',*,*,#199192,.T.); #270240=ORIENTED_EDGE('',*,*,#199191,.T.); #270241=ORIENTED_EDGE('',*,*,#199193,.F.); #270242=ORIENTED_EDGE('',*,*,#199194,.F.); #270243=ORIENTED_EDGE('',*,*,#199195,.T.); #270244=ORIENTED_EDGE('',*,*,#199194,.T.); #270245=ORIENTED_EDGE('',*,*,#199196,.F.); #270246=ORIENTED_EDGE('',*,*,#199197,.F.); #270247=ORIENTED_EDGE('',*,*,#199198,.T.); #270248=ORIENTED_EDGE('',*,*,#199197,.T.); #270249=ORIENTED_EDGE('',*,*,#199199,.F.); #270250=ORIENTED_EDGE('',*,*,#199200,.F.); #270251=ORIENTED_EDGE('',*,*,#199201,.T.); #270252=ORIENTED_EDGE('',*,*,#199200,.T.); #270253=ORIENTED_EDGE('',*,*,#199202,.F.); #270254=ORIENTED_EDGE('',*,*,#199203,.F.); #270255=ORIENTED_EDGE('',*,*,#199204,.T.); #270256=ORIENTED_EDGE('',*,*,#199203,.T.); #270257=ORIENTED_EDGE('',*,*,#199205,.F.); #270258=ORIENTED_EDGE('',*,*,#199206,.F.); #270259=ORIENTED_EDGE('',*,*,#199207,.T.); #270260=ORIENTED_EDGE('',*,*,#199206,.T.); #270261=ORIENTED_EDGE('',*,*,#199208,.F.); #270262=ORIENTED_EDGE('',*,*,#199209,.F.); #270263=ORIENTED_EDGE('',*,*,#199210,.T.); #270264=ORIENTED_EDGE('',*,*,#199209,.T.); #270265=ORIENTED_EDGE('',*,*,#199211,.F.); #270266=ORIENTED_EDGE('',*,*,#199212,.F.); #270267=ORIENTED_EDGE('',*,*,#199213,.T.); #270268=ORIENTED_EDGE('',*,*,#199212,.T.); #270269=ORIENTED_EDGE('',*,*,#199214,.F.); #270270=ORIENTED_EDGE('',*,*,#199215,.F.); #270271=ORIENTED_EDGE('',*,*,#199216,.T.); #270272=ORIENTED_EDGE('',*,*,#199215,.T.); #270273=ORIENTED_EDGE('',*,*,#199217,.F.); #270274=ORIENTED_EDGE('',*,*,#199218,.F.); #270275=ORIENTED_EDGE('',*,*,#199219,.T.); #270276=ORIENTED_EDGE('',*,*,#199218,.T.); #270277=ORIENTED_EDGE('',*,*,#199220,.F.); #270278=ORIENTED_EDGE('',*,*,#199221,.F.); #270279=ORIENTED_EDGE('',*,*,#199222,.T.); #270280=ORIENTED_EDGE('',*,*,#199221,.T.); #270281=ORIENTED_EDGE('',*,*,#199223,.F.); #270282=ORIENTED_EDGE('',*,*,#199224,.F.); #270283=ORIENTED_EDGE('',*,*,#199225,.T.); #270284=ORIENTED_EDGE('',*,*,#199224,.T.); #270285=ORIENTED_EDGE('',*,*,#199226,.F.); #270286=ORIENTED_EDGE('',*,*,#199227,.F.); #270287=ORIENTED_EDGE('',*,*,#199228,.T.); #270288=ORIENTED_EDGE('',*,*,#199227,.T.); #270289=ORIENTED_EDGE('',*,*,#199229,.F.); #270290=ORIENTED_EDGE('',*,*,#199230,.F.); #270291=ORIENTED_EDGE('',*,*,#199231,.T.); #270292=ORIENTED_EDGE('',*,*,#199230,.T.); #270293=ORIENTED_EDGE('',*,*,#199232,.F.); #270294=ORIENTED_EDGE('',*,*,#199233,.F.); #270295=ORIENTED_EDGE('',*,*,#199234,.T.); #270296=ORIENTED_EDGE('',*,*,#199233,.T.); #270297=ORIENTED_EDGE('',*,*,#199235,.F.); #270298=ORIENTED_EDGE('',*,*,#199236,.F.); #270299=ORIENTED_EDGE('',*,*,#199237,.T.); #270300=ORIENTED_EDGE('',*,*,#199236,.T.); #270301=ORIENTED_EDGE('',*,*,#199238,.F.); #270302=ORIENTED_EDGE('',*,*,#199239,.F.); #270303=ORIENTED_EDGE('',*,*,#199240,.T.); #270304=ORIENTED_EDGE('',*,*,#199239,.T.); #270305=ORIENTED_EDGE('',*,*,#199241,.F.); #270306=ORIENTED_EDGE('',*,*,#199242,.F.); #270307=ORIENTED_EDGE('',*,*,#199243,.T.); #270308=ORIENTED_EDGE('',*,*,#199242,.T.); #270309=ORIENTED_EDGE('',*,*,#199244,.F.); #270310=ORIENTED_EDGE('',*,*,#199245,.F.); #270311=ORIENTED_EDGE('',*,*,#199246,.T.); #270312=ORIENTED_EDGE('',*,*,#199245,.T.); #270313=ORIENTED_EDGE('',*,*,#199247,.F.); #270314=ORIENTED_EDGE('',*,*,#199248,.F.); #270315=ORIENTED_EDGE('',*,*,#199249,.T.); #270316=ORIENTED_EDGE('',*,*,#199248,.T.); #270317=ORIENTED_EDGE('',*,*,#199250,.F.); #270318=ORIENTED_EDGE('',*,*,#199251,.F.); #270319=ORIENTED_EDGE('',*,*,#199252,.T.); #270320=ORIENTED_EDGE('',*,*,#199251,.T.); #270321=ORIENTED_EDGE('',*,*,#199253,.F.); #270322=ORIENTED_EDGE('',*,*,#199254,.F.); #270323=ORIENTED_EDGE('',*,*,#199255,.T.); #270324=ORIENTED_EDGE('',*,*,#199254,.T.); #270325=ORIENTED_EDGE('',*,*,#199256,.F.); #270326=ORIENTED_EDGE('',*,*,#199257,.F.); #270327=ORIENTED_EDGE('',*,*,#199258,.T.); #270328=ORIENTED_EDGE('',*,*,#199257,.T.); #270329=ORIENTED_EDGE('',*,*,#199259,.F.); #270330=ORIENTED_EDGE('',*,*,#199260,.F.); #270331=ORIENTED_EDGE('',*,*,#199261,.T.); #270332=ORIENTED_EDGE('',*,*,#199260,.T.); #270333=ORIENTED_EDGE('',*,*,#199262,.F.); #270334=ORIENTED_EDGE('',*,*,#199263,.F.); #270335=ORIENTED_EDGE('',*,*,#199264,.T.); #270336=ORIENTED_EDGE('',*,*,#199263,.T.); #270337=ORIENTED_EDGE('',*,*,#199265,.F.); #270338=ORIENTED_EDGE('',*,*,#199266,.F.); #270339=ORIENTED_EDGE('',*,*,#199267,.T.); #270340=ORIENTED_EDGE('',*,*,#199266,.T.); #270341=ORIENTED_EDGE('',*,*,#199268,.F.); #270342=ORIENTED_EDGE('',*,*,#199269,.F.); #270343=ORIENTED_EDGE('',*,*,#199270,.T.); #270344=ORIENTED_EDGE('',*,*,#199269,.T.); #270345=ORIENTED_EDGE('',*,*,#199271,.F.); #270346=ORIENTED_EDGE('',*,*,#199272,.F.); #270347=ORIENTED_EDGE('',*,*,#199273,.T.); #270348=ORIENTED_EDGE('',*,*,#199272,.T.); #270349=ORIENTED_EDGE('',*,*,#199274,.F.); #270350=ORIENTED_EDGE('',*,*,#199275,.F.); #270351=ORIENTED_EDGE('',*,*,#199276,.T.); #270352=ORIENTED_EDGE('',*,*,#199275,.T.); #270353=ORIENTED_EDGE('',*,*,#199277,.F.); #270354=ORIENTED_EDGE('',*,*,#199278,.F.); #270355=ORIENTED_EDGE('',*,*,#199279,.T.); #270356=ORIENTED_EDGE('',*,*,#199278,.T.); #270357=ORIENTED_EDGE('',*,*,#199280,.F.); #270358=ORIENTED_EDGE('',*,*,#199281,.F.); #270359=ORIENTED_EDGE('',*,*,#199282,.T.); #270360=ORIENTED_EDGE('',*,*,#199281,.T.); #270361=ORIENTED_EDGE('',*,*,#199283,.F.); #270362=ORIENTED_EDGE('',*,*,#199284,.F.); #270363=ORIENTED_EDGE('',*,*,#199285,.T.); #270364=ORIENTED_EDGE('',*,*,#199284,.T.); #270365=ORIENTED_EDGE('',*,*,#199286,.F.); #270366=ORIENTED_EDGE('',*,*,#199287,.F.); #270367=ORIENTED_EDGE('',*,*,#199288,.T.); #270368=ORIENTED_EDGE('',*,*,#199287,.T.); #270369=ORIENTED_EDGE('',*,*,#199289,.F.); #270370=ORIENTED_EDGE('',*,*,#199290,.F.); #270371=ORIENTED_EDGE('',*,*,#199291,.T.); #270372=ORIENTED_EDGE('',*,*,#199290,.T.); #270373=ORIENTED_EDGE('',*,*,#199292,.F.); #270374=ORIENTED_EDGE('',*,*,#199293,.F.); #270375=ORIENTED_EDGE('',*,*,#199294,.T.); #270376=ORIENTED_EDGE('',*,*,#199293,.T.); #270377=ORIENTED_EDGE('',*,*,#199295,.F.); #270378=ORIENTED_EDGE('',*,*,#199296,.F.); #270379=ORIENTED_EDGE('',*,*,#199297,.T.); #270380=ORIENTED_EDGE('',*,*,#199296,.T.); #270381=ORIENTED_EDGE('',*,*,#199298,.F.); #270382=ORIENTED_EDGE('',*,*,#199299,.F.); #270383=ORIENTED_EDGE('',*,*,#199300,.T.); #270384=ORIENTED_EDGE('',*,*,#199299,.T.); #270385=ORIENTED_EDGE('',*,*,#199301,.F.); #270386=ORIENTED_EDGE('',*,*,#199302,.F.); #270387=ORIENTED_EDGE('',*,*,#199303,.T.); #270388=ORIENTED_EDGE('',*,*,#199302,.T.); #270389=ORIENTED_EDGE('',*,*,#199304,.F.); #270390=ORIENTED_EDGE('',*,*,#199305,.F.); #270391=ORIENTED_EDGE('',*,*,#199306,.T.); #270392=ORIENTED_EDGE('',*,*,#199305,.T.); #270393=ORIENTED_EDGE('',*,*,#199307,.F.); #270394=ORIENTED_EDGE('',*,*,#199308,.F.); #270395=ORIENTED_EDGE('',*,*,#199309,.T.); #270396=ORIENTED_EDGE('',*,*,#199308,.T.); #270397=ORIENTED_EDGE('',*,*,#199310,.F.); #270398=ORIENTED_EDGE('',*,*,#199311,.F.); #270399=ORIENTED_EDGE('',*,*,#199312,.T.); #270400=ORIENTED_EDGE('',*,*,#199311,.T.); #270401=ORIENTED_EDGE('',*,*,#199313,.F.); #270402=ORIENTED_EDGE('',*,*,#199314,.F.); #270403=ORIENTED_EDGE('',*,*,#199315,.T.); #270404=ORIENTED_EDGE('',*,*,#199314,.T.); #270405=ORIENTED_EDGE('',*,*,#199316,.F.); #270406=ORIENTED_EDGE('',*,*,#199317,.F.); #270407=ORIENTED_EDGE('',*,*,#199318,.T.); #270408=ORIENTED_EDGE('',*,*,#199317,.T.); #270409=ORIENTED_EDGE('',*,*,#199319,.F.); #270410=ORIENTED_EDGE('',*,*,#199320,.F.); #270411=ORIENTED_EDGE('',*,*,#199321,.T.); #270412=ORIENTED_EDGE('',*,*,#199320,.T.); #270413=ORIENTED_EDGE('',*,*,#199322,.F.); #270414=ORIENTED_EDGE('',*,*,#199323,.F.); #270415=ORIENTED_EDGE('',*,*,#199324,.T.); #270416=ORIENTED_EDGE('',*,*,#199323,.T.); #270417=ORIENTED_EDGE('',*,*,#199325,.F.); #270418=ORIENTED_EDGE('',*,*,#199326,.F.); #270419=ORIENTED_EDGE('',*,*,#199327,.T.); #270420=ORIENTED_EDGE('',*,*,#199326,.T.); #270421=ORIENTED_EDGE('',*,*,#199328,.F.); #270422=ORIENTED_EDGE('',*,*,#199329,.F.); #270423=ORIENTED_EDGE('',*,*,#199330,.T.); #270424=ORIENTED_EDGE('',*,*,#199329,.T.); #270425=ORIENTED_EDGE('',*,*,#199331,.F.); #270426=ORIENTED_EDGE('',*,*,#199332,.F.); #270427=ORIENTED_EDGE('',*,*,#199333,.T.); #270428=ORIENTED_EDGE('',*,*,#199332,.T.); #270429=ORIENTED_EDGE('',*,*,#199334,.F.); #270430=ORIENTED_EDGE('',*,*,#199335,.F.); #270431=ORIENTED_EDGE('',*,*,#199336,.T.); #270432=ORIENTED_EDGE('',*,*,#199335,.T.); #270433=ORIENTED_EDGE('',*,*,#199337,.F.); #270434=ORIENTED_EDGE('',*,*,#199338,.F.); #270435=ORIENTED_EDGE('',*,*,#199339,.T.); #270436=ORIENTED_EDGE('',*,*,#199338,.T.); #270437=ORIENTED_EDGE('',*,*,#199340,.F.); #270438=ORIENTED_EDGE('',*,*,#199341,.F.); #270439=ORIENTED_EDGE('',*,*,#199342,.T.); #270440=ORIENTED_EDGE('',*,*,#199341,.T.); #270441=ORIENTED_EDGE('',*,*,#199343,.F.); #270442=ORIENTED_EDGE('',*,*,#199344,.F.); #270443=ORIENTED_EDGE('',*,*,#199345,.T.); #270444=ORIENTED_EDGE('',*,*,#199344,.T.); #270445=ORIENTED_EDGE('',*,*,#199346,.F.); #270446=ORIENTED_EDGE('',*,*,#199347,.F.); #270447=ORIENTED_EDGE('',*,*,#199348,.T.); #270448=ORIENTED_EDGE('',*,*,#199347,.T.); #270449=ORIENTED_EDGE('',*,*,#199349,.F.); #270450=ORIENTED_EDGE('',*,*,#199350,.F.); #270451=ORIENTED_EDGE('',*,*,#199351,.T.); #270452=ORIENTED_EDGE('',*,*,#199350,.T.); #270453=ORIENTED_EDGE('',*,*,#199352,.F.); #270454=ORIENTED_EDGE('',*,*,#199353,.F.); #270455=ORIENTED_EDGE('',*,*,#199354,.T.); #270456=ORIENTED_EDGE('',*,*,#199353,.T.); #270457=ORIENTED_EDGE('',*,*,#199355,.F.); #270458=ORIENTED_EDGE('',*,*,#199356,.F.); #270459=ORIENTED_EDGE('',*,*,#199357,.T.); #270460=ORIENTED_EDGE('',*,*,#199356,.T.); #270461=ORIENTED_EDGE('',*,*,#199358,.F.); #270462=ORIENTED_EDGE('',*,*,#199359,.F.); #270463=ORIENTED_EDGE('',*,*,#199360,.T.); #270464=ORIENTED_EDGE('',*,*,#199359,.T.); #270465=ORIENTED_EDGE('',*,*,#199361,.F.); #270466=ORIENTED_EDGE('',*,*,#199362,.F.); #270467=ORIENTED_EDGE('',*,*,#199363,.T.); #270468=ORIENTED_EDGE('',*,*,#199362,.T.); #270469=ORIENTED_EDGE('',*,*,#199364,.F.); #270470=ORIENTED_EDGE('',*,*,#199365,.F.); #270471=ORIENTED_EDGE('',*,*,#199366,.T.); #270472=ORIENTED_EDGE('',*,*,#199365,.T.); #270473=ORIENTED_EDGE('',*,*,#199367,.F.); #270474=ORIENTED_EDGE('',*,*,#199368,.F.); #270475=ORIENTED_EDGE('',*,*,#199369,.T.); #270476=ORIENTED_EDGE('',*,*,#199368,.T.); #270477=ORIENTED_EDGE('',*,*,#199370,.F.); #270478=ORIENTED_EDGE('',*,*,#199371,.F.); #270479=ORIENTED_EDGE('',*,*,#199372,.T.); #270480=ORIENTED_EDGE('',*,*,#199371,.T.); #270481=ORIENTED_EDGE('',*,*,#199373,.F.); #270482=ORIENTED_EDGE('',*,*,#199374,.F.); #270483=ORIENTED_EDGE('',*,*,#199375,.T.); #270484=ORIENTED_EDGE('',*,*,#199374,.T.); #270485=ORIENTED_EDGE('',*,*,#199376,.F.); #270486=ORIENTED_EDGE('',*,*,#199377,.F.); #270487=ORIENTED_EDGE('',*,*,#199378,.T.); #270488=ORIENTED_EDGE('',*,*,#199377,.T.); #270489=ORIENTED_EDGE('',*,*,#199379,.F.); #270490=ORIENTED_EDGE('',*,*,#199380,.F.); #270491=ORIENTED_EDGE('',*,*,#199381,.T.); #270492=ORIENTED_EDGE('',*,*,#199380,.T.); #270493=ORIENTED_EDGE('',*,*,#199382,.F.); #270494=ORIENTED_EDGE('',*,*,#199383,.F.); #270495=ORIENTED_EDGE('',*,*,#199384,.T.); #270496=ORIENTED_EDGE('',*,*,#199383,.T.); #270497=ORIENTED_EDGE('',*,*,#199385,.F.); #270498=ORIENTED_EDGE('',*,*,#199386,.F.); #270499=ORIENTED_EDGE('',*,*,#199387,.T.); #270500=ORIENTED_EDGE('',*,*,#199386,.T.); #270501=ORIENTED_EDGE('',*,*,#199388,.F.); #270502=ORIENTED_EDGE('',*,*,#199389,.F.); #270503=ORIENTED_EDGE('',*,*,#199390,.T.); #270504=ORIENTED_EDGE('',*,*,#199389,.T.); #270505=ORIENTED_EDGE('',*,*,#199391,.F.); #270506=ORIENTED_EDGE('',*,*,#199392,.F.); #270507=ORIENTED_EDGE('',*,*,#199393,.T.); #270508=ORIENTED_EDGE('',*,*,#199392,.T.); #270509=ORIENTED_EDGE('',*,*,#199394,.F.); #270510=ORIENTED_EDGE('',*,*,#199395,.F.); #270511=ORIENTED_EDGE('',*,*,#199396,.T.); #270512=ORIENTED_EDGE('',*,*,#199395,.T.); #270513=ORIENTED_EDGE('',*,*,#199397,.F.); #270514=ORIENTED_EDGE('',*,*,#199398,.F.); #270515=ORIENTED_EDGE('',*,*,#199399,.T.); #270516=ORIENTED_EDGE('',*,*,#199398,.T.); #270517=ORIENTED_EDGE('',*,*,#199400,.F.); #270518=ORIENTED_EDGE('',*,*,#199401,.F.); #270519=ORIENTED_EDGE('',*,*,#199402,.T.); #270520=ORIENTED_EDGE('',*,*,#199401,.T.); #270521=ORIENTED_EDGE('',*,*,#199403,.F.); #270522=ORIENTED_EDGE('',*,*,#199404,.F.); #270523=ORIENTED_EDGE('',*,*,#199405,.T.); #270524=ORIENTED_EDGE('',*,*,#199404,.T.); #270525=ORIENTED_EDGE('',*,*,#199406,.F.); #270526=ORIENTED_EDGE('',*,*,#199407,.F.); #270527=ORIENTED_EDGE('',*,*,#199408,.T.); #270528=ORIENTED_EDGE('',*,*,#199407,.T.); #270529=ORIENTED_EDGE('',*,*,#199409,.F.); #270530=ORIENTED_EDGE('',*,*,#199410,.F.); #270531=ORIENTED_EDGE('',*,*,#199411,.T.); #270532=ORIENTED_EDGE('',*,*,#199410,.T.); #270533=ORIENTED_EDGE('',*,*,#199412,.F.); #270534=ORIENTED_EDGE('',*,*,#199413,.F.); #270535=ORIENTED_EDGE('',*,*,#199414,.T.); #270536=ORIENTED_EDGE('',*,*,#199413,.T.); #270537=ORIENTED_EDGE('',*,*,#199415,.F.); #270538=ORIENTED_EDGE('',*,*,#199416,.F.); #270539=ORIENTED_EDGE('',*,*,#199417,.T.); #270540=ORIENTED_EDGE('',*,*,#199416,.T.); #270541=ORIENTED_EDGE('',*,*,#199418,.F.); #270542=ORIENTED_EDGE('',*,*,#199419,.F.); #270543=ORIENTED_EDGE('',*,*,#199420,.T.); #270544=ORIENTED_EDGE('',*,*,#199419,.T.); #270545=ORIENTED_EDGE('',*,*,#199421,.F.); #270546=ORIENTED_EDGE('',*,*,#199422,.F.); #270547=ORIENTED_EDGE('',*,*,#199423,.T.); #270548=ORIENTED_EDGE('',*,*,#199422,.T.); #270549=ORIENTED_EDGE('',*,*,#199424,.F.); #270550=ORIENTED_EDGE('',*,*,#199425,.F.); #270551=ORIENTED_EDGE('',*,*,#199426,.T.); #270552=ORIENTED_EDGE('',*,*,#199425,.T.); #270553=ORIENTED_EDGE('',*,*,#199427,.F.); #270554=ORIENTED_EDGE('',*,*,#199428,.F.); #270555=ORIENTED_EDGE('',*,*,#199429,.T.); #270556=ORIENTED_EDGE('',*,*,#199428,.T.); #270557=ORIENTED_EDGE('',*,*,#199430,.F.); #270558=ORIENTED_EDGE('',*,*,#199431,.F.); #270559=ORIENTED_EDGE('',*,*,#199432,.T.); #270560=ORIENTED_EDGE('',*,*,#199431,.T.); #270561=ORIENTED_EDGE('',*,*,#199433,.F.); #270562=ORIENTED_EDGE('',*,*,#199434,.F.); #270563=ORIENTED_EDGE('',*,*,#199435,.T.); #270564=ORIENTED_EDGE('',*,*,#199434,.T.); #270565=ORIENTED_EDGE('',*,*,#199436,.F.); #270566=ORIENTED_EDGE('',*,*,#199437,.F.); #270567=ORIENTED_EDGE('',*,*,#199438,.T.); #270568=ORIENTED_EDGE('',*,*,#199437,.T.); #270569=ORIENTED_EDGE('',*,*,#199439,.F.); #270570=ORIENTED_EDGE('',*,*,#199440,.F.); #270571=ORIENTED_EDGE('',*,*,#199441,.T.); #270572=ORIENTED_EDGE('',*,*,#199440,.T.); #270573=ORIENTED_EDGE('',*,*,#199442,.F.); #270574=ORIENTED_EDGE('',*,*,#199443,.F.); #270575=ORIENTED_EDGE('',*,*,#199444,.T.); #270576=ORIENTED_EDGE('',*,*,#199443,.T.); #270577=ORIENTED_EDGE('',*,*,#199445,.F.); #270578=ORIENTED_EDGE('',*,*,#199446,.F.); #270579=ORIENTED_EDGE('',*,*,#199447,.T.); #270580=ORIENTED_EDGE('',*,*,#199446,.T.); #270581=ORIENTED_EDGE('',*,*,#199448,.F.); #270582=ORIENTED_EDGE('',*,*,#199449,.F.); #270583=ORIENTED_EDGE('',*,*,#199450,.T.); #270584=ORIENTED_EDGE('',*,*,#199449,.T.); #270585=ORIENTED_EDGE('',*,*,#199451,.F.); #270586=ORIENTED_EDGE('',*,*,#199452,.F.); #270587=ORIENTED_EDGE('',*,*,#199453,.T.); #270588=ORIENTED_EDGE('',*,*,#199452,.T.); #270589=ORIENTED_EDGE('',*,*,#199454,.F.); #270590=ORIENTED_EDGE('',*,*,#199455,.F.); #270591=ORIENTED_EDGE('',*,*,#199456,.T.); #270592=ORIENTED_EDGE('',*,*,#199455,.T.); #270593=ORIENTED_EDGE('',*,*,#199457,.F.); #270594=ORIENTED_EDGE('',*,*,#199458,.F.); #270595=ORIENTED_EDGE('',*,*,#199459,.T.); #270596=ORIENTED_EDGE('',*,*,#199458,.T.); #270597=ORIENTED_EDGE('',*,*,#199460,.F.); #270598=ORIENTED_EDGE('',*,*,#199461,.F.); #270599=ORIENTED_EDGE('',*,*,#199462,.T.); #270600=ORIENTED_EDGE('',*,*,#199461,.T.); #270601=ORIENTED_EDGE('',*,*,#199463,.F.); #270602=ORIENTED_EDGE('',*,*,#199464,.F.); #270603=ORIENTED_EDGE('',*,*,#199465,.T.); #270604=ORIENTED_EDGE('',*,*,#199464,.T.); #270605=ORIENTED_EDGE('',*,*,#199466,.F.); #270606=ORIENTED_EDGE('',*,*,#199467,.F.); #270607=ORIENTED_EDGE('',*,*,#199468,.T.); #270608=ORIENTED_EDGE('',*,*,#199467,.T.); #270609=ORIENTED_EDGE('',*,*,#199469,.F.); #270610=ORIENTED_EDGE('',*,*,#199470,.F.); #270611=ORIENTED_EDGE('',*,*,#199471,.T.); #270612=ORIENTED_EDGE('',*,*,#199470,.T.); #270613=ORIENTED_EDGE('',*,*,#199472,.F.); #270614=ORIENTED_EDGE('',*,*,#199473,.F.); #270615=ORIENTED_EDGE('',*,*,#199474,.T.); #270616=ORIENTED_EDGE('',*,*,#199473,.T.); #270617=ORIENTED_EDGE('',*,*,#199475,.F.); #270618=ORIENTED_EDGE('',*,*,#199476,.F.); #270619=ORIENTED_EDGE('',*,*,#199477,.T.); #270620=ORIENTED_EDGE('',*,*,#199476,.T.); #270621=ORIENTED_EDGE('',*,*,#199478,.F.); #270622=ORIENTED_EDGE('',*,*,#199479,.F.); #270623=ORIENTED_EDGE('',*,*,#199480,.T.); #270624=ORIENTED_EDGE('',*,*,#199479,.T.); #270625=ORIENTED_EDGE('',*,*,#199481,.F.); #270626=ORIENTED_EDGE('',*,*,#199482,.F.); #270627=ORIENTED_EDGE('',*,*,#199483,.T.); #270628=ORIENTED_EDGE('',*,*,#199482,.T.); #270629=ORIENTED_EDGE('',*,*,#199484,.F.); #270630=ORIENTED_EDGE('',*,*,#199485,.F.); #270631=ORIENTED_EDGE('',*,*,#199486,.T.); #270632=ORIENTED_EDGE('',*,*,#199485,.T.); #270633=ORIENTED_EDGE('',*,*,#199487,.F.); #270634=ORIENTED_EDGE('',*,*,#199488,.F.); #270635=ORIENTED_EDGE('',*,*,#199489,.T.); #270636=ORIENTED_EDGE('',*,*,#199488,.T.); #270637=ORIENTED_EDGE('',*,*,#199490,.F.); #270638=ORIENTED_EDGE('',*,*,#199491,.F.); #270639=ORIENTED_EDGE('',*,*,#199492,.T.); #270640=ORIENTED_EDGE('',*,*,#199491,.T.); #270641=ORIENTED_EDGE('',*,*,#199493,.F.); #270642=ORIENTED_EDGE('',*,*,#199494,.F.); #270643=ORIENTED_EDGE('',*,*,#199495,.T.); #270644=ORIENTED_EDGE('',*,*,#199494,.T.); #270645=ORIENTED_EDGE('',*,*,#199496,.F.); #270646=ORIENTED_EDGE('',*,*,#199497,.F.); #270647=ORIENTED_EDGE('',*,*,#199498,.T.); #270648=ORIENTED_EDGE('',*,*,#199497,.T.); #270649=ORIENTED_EDGE('',*,*,#199499,.F.); #270650=ORIENTED_EDGE('',*,*,#199500,.F.); #270651=ORIENTED_EDGE('',*,*,#199501,.T.); #270652=ORIENTED_EDGE('',*,*,#199500,.T.); #270653=ORIENTED_EDGE('',*,*,#199502,.F.); #270654=ORIENTED_EDGE('',*,*,#199503,.F.); #270655=ORIENTED_EDGE('',*,*,#199504,.T.); #270656=ORIENTED_EDGE('',*,*,#199503,.T.); #270657=ORIENTED_EDGE('',*,*,#199505,.F.); #270658=ORIENTED_EDGE('',*,*,#199506,.F.); #270659=ORIENTED_EDGE('',*,*,#199507,.T.); #270660=ORIENTED_EDGE('',*,*,#199506,.T.); #270661=ORIENTED_EDGE('',*,*,#199508,.F.); #270662=ORIENTED_EDGE('',*,*,#199509,.F.); #270663=ORIENTED_EDGE('',*,*,#199510,.T.); #270664=ORIENTED_EDGE('',*,*,#199509,.T.); #270665=ORIENTED_EDGE('',*,*,#199511,.F.); #270666=ORIENTED_EDGE('',*,*,#199512,.F.); #270667=ORIENTED_EDGE('',*,*,#199513,.T.); #270668=ORIENTED_EDGE('',*,*,#199512,.T.); #270669=ORIENTED_EDGE('',*,*,#199514,.F.); #270670=ORIENTED_EDGE('',*,*,#199515,.F.); #270671=ORIENTED_EDGE('',*,*,#199516,.T.); #270672=ORIENTED_EDGE('',*,*,#199515,.T.); #270673=ORIENTED_EDGE('',*,*,#199517,.F.); #270674=ORIENTED_EDGE('',*,*,#199518,.F.); #270675=ORIENTED_EDGE('',*,*,#199519,.T.); #270676=ORIENTED_EDGE('',*,*,#199518,.T.); #270677=ORIENTED_EDGE('',*,*,#199520,.F.); #270678=ORIENTED_EDGE('',*,*,#199521,.F.); #270679=ORIENTED_EDGE('',*,*,#199522,.T.); #270680=ORIENTED_EDGE('',*,*,#199521,.T.); #270681=ORIENTED_EDGE('',*,*,#199523,.F.); #270682=ORIENTED_EDGE('',*,*,#199524,.F.); #270683=ORIENTED_EDGE('',*,*,#199525,.T.); #270684=ORIENTED_EDGE('',*,*,#199524,.T.); #270685=ORIENTED_EDGE('',*,*,#199526,.F.); #270686=ORIENTED_EDGE('',*,*,#199527,.F.); #270687=ORIENTED_EDGE('',*,*,#199528,.T.); #270688=ORIENTED_EDGE('',*,*,#199527,.T.); #270689=ORIENTED_EDGE('',*,*,#199529,.F.); #270690=ORIENTED_EDGE('',*,*,#199530,.F.); #270691=ORIENTED_EDGE('',*,*,#199531,.T.); #270692=ORIENTED_EDGE('',*,*,#199530,.T.); #270693=ORIENTED_EDGE('',*,*,#199532,.F.); #270694=ORIENTED_EDGE('',*,*,#199533,.F.); #270695=ORIENTED_EDGE('',*,*,#199534,.T.); #270696=ORIENTED_EDGE('',*,*,#199533,.T.); #270697=ORIENTED_EDGE('',*,*,#199535,.F.); #270698=ORIENTED_EDGE('',*,*,#199536,.F.); #270699=ORIENTED_EDGE('',*,*,#199537,.T.); #270700=ORIENTED_EDGE('',*,*,#199536,.T.); #270701=ORIENTED_EDGE('',*,*,#199538,.F.); #270702=ORIENTED_EDGE('',*,*,#199539,.F.); #270703=ORIENTED_EDGE('',*,*,#199540,.T.); #270704=ORIENTED_EDGE('',*,*,#199539,.T.); #270705=ORIENTED_EDGE('',*,*,#199541,.F.); #270706=ORIENTED_EDGE('',*,*,#199542,.F.); #270707=ORIENTED_EDGE('',*,*,#199543,.T.); #270708=ORIENTED_EDGE('',*,*,#199542,.T.); #270709=ORIENTED_EDGE('',*,*,#199544,.F.); #270710=ORIENTED_EDGE('',*,*,#199545,.F.); #270711=ORIENTED_EDGE('',*,*,#199546,.T.); #270712=ORIENTED_EDGE('',*,*,#199545,.T.); #270713=ORIENTED_EDGE('',*,*,#199547,.F.); #270714=ORIENTED_EDGE('',*,*,#199548,.F.); #270715=ORIENTED_EDGE('',*,*,#199549,.T.); #270716=ORIENTED_EDGE('',*,*,#199548,.T.); #270717=ORIENTED_EDGE('',*,*,#199550,.F.); #270718=ORIENTED_EDGE('',*,*,#199551,.F.); #270719=ORIENTED_EDGE('',*,*,#199552,.T.); #270720=ORIENTED_EDGE('',*,*,#199551,.T.); #270721=ORIENTED_EDGE('',*,*,#199553,.F.); #270722=ORIENTED_EDGE('',*,*,#199554,.F.); #270723=ORIENTED_EDGE('',*,*,#199555,.T.); #270724=ORIENTED_EDGE('',*,*,#199554,.T.); #270725=ORIENTED_EDGE('',*,*,#199556,.F.); #270726=ORIENTED_EDGE('',*,*,#199557,.F.); #270727=ORIENTED_EDGE('',*,*,#199558,.T.); #270728=ORIENTED_EDGE('',*,*,#199557,.T.); #270729=ORIENTED_EDGE('',*,*,#199559,.F.); #270730=ORIENTED_EDGE('',*,*,#199560,.F.); #270731=ORIENTED_EDGE('',*,*,#199561,.T.); #270732=ORIENTED_EDGE('',*,*,#199560,.T.); #270733=ORIENTED_EDGE('',*,*,#199562,.F.); #270734=ORIENTED_EDGE('',*,*,#199563,.F.); #270735=ORIENTED_EDGE('',*,*,#199564,.T.); #270736=ORIENTED_EDGE('',*,*,#199563,.T.); #270737=ORIENTED_EDGE('',*,*,#199565,.F.); #270738=ORIENTED_EDGE('',*,*,#199566,.F.); #270739=ORIENTED_EDGE('',*,*,#199567,.T.); #270740=ORIENTED_EDGE('',*,*,#199566,.T.); #270741=ORIENTED_EDGE('',*,*,#199568,.F.); #270742=ORIENTED_EDGE('',*,*,#199569,.F.); #270743=ORIENTED_EDGE('',*,*,#199570,.T.); #270744=ORIENTED_EDGE('',*,*,#199569,.T.); #270745=ORIENTED_EDGE('',*,*,#199571,.F.); #270746=ORIENTED_EDGE('',*,*,#199572,.F.); #270747=ORIENTED_EDGE('',*,*,#199573,.T.); #270748=ORIENTED_EDGE('',*,*,#199572,.T.); #270749=ORIENTED_EDGE('',*,*,#199574,.F.); #270750=ORIENTED_EDGE('',*,*,#199575,.F.); #270751=ORIENTED_EDGE('',*,*,#199576,.T.); #270752=ORIENTED_EDGE('',*,*,#199575,.T.); #270753=ORIENTED_EDGE('',*,*,#199577,.F.); #270754=ORIENTED_EDGE('',*,*,#199578,.F.); #270755=ORIENTED_EDGE('',*,*,#199579,.T.); #270756=ORIENTED_EDGE('',*,*,#199578,.T.); #270757=ORIENTED_EDGE('',*,*,#199580,.F.); #270758=ORIENTED_EDGE('',*,*,#199581,.F.); #270759=ORIENTED_EDGE('',*,*,#199582,.T.); #270760=ORIENTED_EDGE('',*,*,#199581,.T.); #270761=ORIENTED_EDGE('',*,*,#199583,.F.); #270762=ORIENTED_EDGE('',*,*,#199584,.F.); #270763=ORIENTED_EDGE('',*,*,#199585,.T.); #270764=ORIENTED_EDGE('',*,*,#199584,.T.); #270765=ORIENTED_EDGE('',*,*,#199586,.F.); #270766=ORIENTED_EDGE('',*,*,#199587,.F.); #270767=ORIENTED_EDGE('',*,*,#199588,.T.); #270768=ORIENTED_EDGE('',*,*,#199587,.T.); #270769=ORIENTED_EDGE('',*,*,#199589,.F.); #270770=ORIENTED_EDGE('',*,*,#199590,.F.); #270771=ORIENTED_EDGE('',*,*,#199591,.T.); #270772=ORIENTED_EDGE('',*,*,#199590,.T.); #270773=ORIENTED_EDGE('',*,*,#199592,.F.); #270774=ORIENTED_EDGE('',*,*,#199593,.F.); #270775=ORIENTED_EDGE('',*,*,#199594,.T.); #270776=ORIENTED_EDGE('',*,*,#199593,.T.); #270777=ORIENTED_EDGE('',*,*,#199595,.F.); #270778=ORIENTED_EDGE('',*,*,#199596,.F.); #270779=ORIENTED_EDGE('',*,*,#199597,.T.); #270780=ORIENTED_EDGE('',*,*,#199596,.T.); #270781=ORIENTED_EDGE('',*,*,#199598,.F.); #270782=ORIENTED_EDGE('',*,*,#199599,.F.); #270783=ORIENTED_EDGE('',*,*,#199600,.T.); #270784=ORIENTED_EDGE('',*,*,#199599,.T.); #270785=ORIENTED_EDGE('',*,*,#199601,.F.); #270786=ORIENTED_EDGE('',*,*,#198850,.F.); #270787=ORIENTED_EDGE('',*,*,#199602,.T.); #270788=ORIENTED_EDGE('',*,*,#199603,.T.); #270789=ORIENTED_EDGE('',*,*,#199604,.F.); #270790=ORIENTED_EDGE('',*,*,#199605,.F.); #270791=ORIENTED_EDGE('',*,*,#199606,.T.); #270792=ORIENTED_EDGE('',*,*,#199605,.T.); #270793=ORIENTED_EDGE('',*,*,#199607,.F.); #270794=ORIENTED_EDGE('',*,*,#199608,.F.); #270795=ORIENTED_EDGE('',*,*,#199609,.T.); #270796=ORIENTED_EDGE('',*,*,#199608,.T.); #270797=ORIENTED_EDGE('',*,*,#199610,.F.); #270798=ORIENTED_EDGE('',*,*,#199611,.F.); #270799=ORIENTED_EDGE('',*,*,#199612,.T.); #270800=ORIENTED_EDGE('',*,*,#199611,.T.); #270801=ORIENTED_EDGE('',*,*,#199613,.F.); #270802=ORIENTED_EDGE('',*,*,#199614,.F.); #270803=ORIENTED_EDGE('',*,*,#199615,.T.); #270804=ORIENTED_EDGE('',*,*,#199614,.T.); #270805=ORIENTED_EDGE('',*,*,#199616,.F.); #270806=ORIENTED_EDGE('',*,*,#199617,.F.); #270807=ORIENTED_EDGE('',*,*,#199618,.T.); #270808=ORIENTED_EDGE('',*,*,#199617,.T.); #270809=ORIENTED_EDGE('',*,*,#199619,.F.); #270810=ORIENTED_EDGE('',*,*,#199620,.F.); #270811=ORIENTED_EDGE('',*,*,#199621,.T.); #270812=ORIENTED_EDGE('',*,*,#199620,.T.); #270813=ORIENTED_EDGE('',*,*,#199622,.F.); #270814=ORIENTED_EDGE('',*,*,#199623,.F.); #270815=ORIENTED_EDGE('',*,*,#199624,.T.); #270816=ORIENTED_EDGE('',*,*,#199623,.T.); #270817=ORIENTED_EDGE('',*,*,#199625,.F.); #270818=ORIENTED_EDGE('',*,*,#199626,.F.); #270819=ORIENTED_EDGE('',*,*,#199627,.T.); #270820=ORIENTED_EDGE('',*,*,#199626,.T.); #270821=ORIENTED_EDGE('',*,*,#199628,.F.); #270822=ORIENTED_EDGE('',*,*,#199629,.F.); #270823=ORIENTED_EDGE('',*,*,#199630,.T.); #270824=ORIENTED_EDGE('',*,*,#199629,.T.); #270825=ORIENTED_EDGE('',*,*,#199631,.F.); #270826=ORIENTED_EDGE('',*,*,#199632,.F.); #270827=ORIENTED_EDGE('',*,*,#199633,.T.); #270828=ORIENTED_EDGE('',*,*,#199632,.T.); #270829=ORIENTED_EDGE('',*,*,#199634,.F.); #270830=ORIENTED_EDGE('',*,*,#199635,.F.); #270831=ORIENTED_EDGE('',*,*,#199636,.T.); #270832=ORIENTED_EDGE('',*,*,#199635,.T.); #270833=ORIENTED_EDGE('',*,*,#199637,.F.); #270834=ORIENTED_EDGE('',*,*,#199638,.F.); #270835=ORIENTED_EDGE('',*,*,#199639,.T.); #270836=ORIENTED_EDGE('',*,*,#199638,.T.); #270837=ORIENTED_EDGE('',*,*,#199640,.F.); #270838=ORIENTED_EDGE('',*,*,#199641,.F.); #270839=ORIENTED_EDGE('',*,*,#199642,.T.); #270840=ORIENTED_EDGE('',*,*,#199641,.T.); #270841=ORIENTED_EDGE('',*,*,#199643,.F.); #270842=ORIENTED_EDGE('',*,*,#199644,.F.); #270843=ORIENTED_EDGE('',*,*,#199645,.T.); #270844=ORIENTED_EDGE('',*,*,#199644,.T.); #270845=ORIENTED_EDGE('',*,*,#199646,.F.); #270846=ORIENTED_EDGE('',*,*,#199647,.F.); #270847=ORIENTED_EDGE('',*,*,#199648,.T.); #270848=ORIENTED_EDGE('',*,*,#199647,.T.); #270849=ORIENTED_EDGE('',*,*,#199649,.F.); #270850=ORIENTED_EDGE('',*,*,#199650,.F.); #270851=ORIENTED_EDGE('',*,*,#199651,.T.); #270852=ORIENTED_EDGE('',*,*,#199650,.T.); #270853=ORIENTED_EDGE('',*,*,#199652,.F.); #270854=ORIENTED_EDGE('',*,*,#199653,.F.); #270855=ORIENTED_EDGE('',*,*,#199654,.T.); #270856=ORIENTED_EDGE('',*,*,#199653,.T.); #270857=ORIENTED_EDGE('',*,*,#199655,.F.); #270858=ORIENTED_EDGE('',*,*,#199656,.F.); #270859=ORIENTED_EDGE('',*,*,#199657,.T.); #270860=ORIENTED_EDGE('',*,*,#199656,.T.); #270861=ORIENTED_EDGE('',*,*,#199658,.F.); #270862=ORIENTED_EDGE('',*,*,#199659,.F.); #270863=ORIENTED_EDGE('',*,*,#199660,.T.); #270864=ORIENTED_EDGE('',*,*,#199659,.T.); #270865=ORIENTED_EDGE('',*,*,#199661,.F.); #270866=ORIENTED_EDGE('',*,*,#199662,.F.); #270867=ORIENTED_EDGE('',*,*,#199663,.T.); #270868=ORIENTED_EDGE('',*,*,#199662,.T.); #270869=ORIENTED_EDGE('',*,*,#199664,.F.); #270870=ORIENTED_EDGE('',*,*,#199665,.F.); #270871=ORIENTED_EDGE('',*,*,#199666,.T.); #270872=ORIENTED_EDGE('',*,*,#199665,.T.); #270873=ORIENTED_EDGE('',*,*,#199667,.F.); #270874=ORIENTED_EDGE('',*,*,#199668,.F.); #270875=ORIENTED_EDGE('',*,*,#199669,.T.); #270876=ORIENTED_EDGE('',*,*,#199668,.T.); #270877=ORIENTED_EDGE('',*,*,#199670,.F.); #270878=ORIENTED_EDGE('',*,*,#199671,.F.); #270879=ORIENTED_EDGE('',*,*,#199672,.T.); #270880=ORIENTED_EDGE('',*,*,#199671,.T.); #270881=ORIENTED_EDGE('',*,*,#199673,.F.); #270882=ORIENTED_EDGE('',*,*,#199674,.F.); #270883=ORIENTED_EDGE('',*,*,#199675,.T.); #270884=ORIENTED_EDGE('',*,*,#199674,.T.); #270885=ORIENTED_EDGE('',*,*,#199676,.F.); #270886=ORIENTED_EDGE('',*,*,#199677,.F.); #270887=ORIENTED_EDGE('',*,*,#199678,.T.); #270888=ORIENTED_EDGE('',*,*,#199677,.T.); #270889=ORIENTED_EDGE('',*,*,#199679,.F.); #270890=ORIENTED_EDGE('',*,*,#199680,.F.); #270891=ORIENTED_EDGE('',*,*,#199681,.T.); #270892=ORIENTED_EDGE('',*,*,#199680,.T.); #270893=ORIENTED_EDGE('',*,*,#199682,.F.); #270894=ORIENTED_EDGE('',*,*,#199683,.F.); #270895=ORIENTED_EDGE('',*,*,#199684,.T.); #270896=ORIENTED_EDGE('',*,*,#199683,.T.); #270897=ORIENTED_EDGE('',*,*,#199685,.F.); #270898=ORIENTED_EDGE('',*,*,#199686,.F.); #270899=ORIENTED_EDGE('',*,*,#199687,.T.); #270900=ORIENTED_EDGE('',*,*,#199686,.T.); #270901=ORIENTED_EDGE('',*,*,#199688,.F.); #270902=ORIENTED_EDGE('',*,*,#199689,.F.); #270903=ORIENTED_EDGE('',*,*,#199690,.T.); #270904=ORIENTED_EDGE('',*,*,#199689,.T.); #270905=ORIENTED_EDGE('',*,*,#199691,.F.); #270906=ORIENTED_EDGE('',*,*,#199603,.F.); #270907=ORIENTED_EDGE('',*,*,#199692,.T.); #270908=ORIENTED_EDGE('',*,*,#199693,.T.); #270909=ORIENTED_EDGE('',*,*,#199694,.F.); #270910=ORIENTED_EDGE('',*,*,#199695,.F.); #270911=ORIENTED_EDGE('',*,*,#199696,.T.); #270912=ORIENTED_EDGE('',*,*,#199695,.T.); #270913=ORIENTED_EDGE('',*,*,#199697,.F.); #270914=ORIENTED_EDGE('',*,*,#199698,.F.); #270915=ORIENTED_EDGE('',*,*,#199699,.T.); #270916=ORIENTED_EDGE('',*,*,#199698,.T.); #270917=ORIENTED_EDGE('',*,*,#199700,.F.); #270918=ORIENTED_EDGE('',*,*,#199701,.F.); #270919=ORIENTED_EDGE('',*,*,#199702,.T.); #270920=ORIENTED_EDGE('',*,*,#199701,.T.); #270921=ORIENTED_EDGE('',*,*,#199703,.F.); #270922=ORIENTED_EDGE('',*,*,#199704,.F.); #270923=ORIENTED_EDGE('',*,*,#199705,.T.); #270924=ORIENTED_EDGE('',*,*,#199704,.T.); #270925=ORIENTED_EDGE('',*,*,#199706,.F.); #270926=ORIENTED_EDGE('',*,*,#199707,.F.); #270927=ORIENTED_EDGE('',*,*,#199708,.T.); #270928=ORIENTED_EDGE('',*,*,#199707,.T.); #270929=ORIENTED_EDGE('',*,*,#199709,.F.); #270930=ORIENTED_EDGE('',*,*,#199710,.F.); #270931=ORIENTED_EDGE('',*,*,#199711,.T.); #270932=ORIENTED_EDGE('',*,*,#199710,.T.); #270933=ORIENTED_EDGE('',*,*,#199712,.F.); #270934=ORIENTED_EDGE('',*,*,#199713,.F.); #270935=ORIENTED_EDGE('',*,*,#199714,.T.); #270936=ORIENTED_EDGE('',*,*,#199713,.T.); #270937=ORIENTED_EDGE('',*,*,#199715,.F.); #270938=ORIENTED_EDGE('',*,*,#199716,.F.); #270939=ORIENTED_EDGE('',*,*,#199717,.T.); #270940=ORIENTED_EDGE('',*,*,#199716,.T.); #270941=ORIENTED_EDGE('',*,*,#199718,.F.); #270942=ORIENTED_EDGE('',*,*,#199719,.F.); #270943=ORIENTED_EDGE('',*,*,#199720,.T.); #270944=ORIENTED_EDGE('',*,*,#199719,.T.); #270945=ORIENTED_EDGE('',*,*,#199721,.F.); #270946=ORIENTED_EDGE('',*,*,#199722,.F.); #270947=ORIENTED_EDGE('',*,*,#199723,.T.); #270948=ORIENTED_EDGE('',*,*,#199722,.T.); #270949=ORIENTED_EDGE('',*,*,#199724,.F.); #270950=ORIENTED_EDGE('',*,*,#199725,.F.); #270951=ORIENTED_EDGE('',*,*,#199726,.T.); #270952=ORIENTED_EDGE('',*,*,#199725,.T.); #270953=ORIENTED_EDGE('',*,*,#199727,.F.); #270954=ORIENTED_EDGE('',*,*,#199728,.F.); #270955=ORIENTED_EDGE('',*,*,#199729,.T.); #270956=ORIENTED_EDGE('',*,*,#199728,.T.); #270957=ORIENTED_EDGE('',*,*,#199730,.F.); #270958=ORIENTED_EDGE('',*,*,#199731,.F.); #270959=ORIENTED_EDGE('',*,*,#199732,.T.); #270960=ORIENTED_EDGE('',*,*,#199731,.T.); #270961=ORIENTED_EDGE('',*,*,#199733,.F.); #270962=ORIENTED_EDGE('',*,*,#199734,.F.); #270963=ORIENTED_EDGE('',*,*,#199735,.T.); #270964=ORIENTED_EDGE('',*,*,#199734,.T.); #270965=ORIENTED_EDGE('',*,*,#199736,.F.); #270966=ORIENTED_EDGE('',*,*,#199737,.F.); #270967=ORIENTED_EDGE('',*,*,#199738,.T.); #270968=ORIENTED_EDGE('',*,*,#199737,.T.); #270969=ORIENTED_EDGE('',*,*,#199739,.F.); #270970=ORIENTED_EDGE('',*,*,#199740,.F.); #270971=ORIENTED_EDGE('',*,*,#199741,.T.); #270972=ORIENTED_EDGE('',*,*,#199740,.T.); #270973=ORIENTED_EDGE('',*,*,#199742,.F.); #270974=ORIENTED_EDGE('',*,*,#199743,.F.); #270975=ORIENTED_EDGE('',*,*,#199744,.T.); #270976=ORIENTED_EDGE('',*,*,#199743,.T.); #270977=ORIENTED_EDGE('',*,*,#199745,.F.); #270978=ORIENTED_EDGE('',*,*,#199746,.F.); #270979=ORIENTED_EDGE('',*,*,#199747,.T.); #270980=ORIENTED_EDGE('',*,*,#199746,.T.); #270981=ORIENTED_EDGE('',*,*,#199748,.F.); #270982=ORIENTED_EDGE('',*,*,#199749,.F.); #270983=ORIENTED_EDGE('',*,*,#199750,.T.); #270984=ORIENTED_EDGE('',*,*,#199749,.T.); #270985=ORIENTED_EDGE('',*,*,#199751,.F.); #270986=ORIENTED_EDGE('',*,*,#199752,.F.); #270987=ORIENTED_EDGE('',*,*,#199753,.T.); #270988=ORIENTED_EDGE('',*,*,#199752,.T.); #270989=ORIENTED_EDGE('',*,*,#199754,.F.); #270990=ORIENTED_EDGE('',*,*,#199755,.F.); #270991=ORIENTED_EDGE('',*,*,#199756,.T.); #270992=ORIENTED_EDGE('',*,*,#199755,.T.); #270993=ORIENTED_EDGE('',*,*,#199757,.F.); #270994=ORIENTED_EDGE('',*,*,#199758,.F.); #270995=ORIENTED_EDGE('',*,*,#199759,.T.); #270996=ORIENTED_EDGE('',*,*,#199758,.T.); #270997=ORIENTED_EDGE('',*,*,#199760,.F.); #270998=ORIENTED_EDGE('',*,*,#199761,.F.); #270999=ORIENTED_EDGE('',*,*,#199762,.T.); #271000=ORIENTED_EDGE('',*,*,#199761,.T.); #271001=ORIENTED_EDGE('',*,*,#199763,.F.); #271002=ORIENTED_EDGE('',*,*,#199764,.F.); #271003=ORIENTED_EDGE('',*,*,#199765,.T.); #271004=ORIENTED_EDGE('',*,*,#199764,.T.); #271005=ORIENTED_EDGE('',*,*,#199766,.F.); #271006=ORIENTED_EDGE('',*,*,#199767,.F.); #271007=ORIENTED_EDGE('',*,*,#199768,.T.); #271008=ORIENTED_EDGE('',*,*,#199767,.T.); #271009=ORIENTED_EDGE('',*,*,#199769,.F.); #271010=ORIENTED_EDGE('',*,*,#199770,.F.); #271011=ORIENTED_EDGE('',*,*,#199771,.T.); #271012=ORIENTED_EDGE('',*,*,#199770,.T.); #271013=ORIENTED_EDGE('',*,*,#199772,.F.); #271014=ORIENTED_EDGE('',*,*,#199773,.F.); #271015=ORIENTED_EDGE('',*,*,#199774,.T.); #271016=ORIENTED_EDGE('',*,*,#199773,.T.); #271017=ORIENTED_EDGE('',*,*,#199775,.F.); #271018=ORIENTED_EDGE('',*,*,#199776,.F.); #271019=ORIENTED_EDGE('',*,*,#199777,.T.); #271020=ORIENTED_EDGE('',*,*,#199776,.T.); #271021=ORIENTED_EDGE('',*,*,#199778,.F.); #271022=ORIENTED_EDGE('',*,*,#199779,.F.); #271023=ORIENTED_EDGE('',*,*,#199780,.T.); #271024=ORIENTED_EDGE('',*,*,#199779,.T.); #271025=ORIENTED_EDGE('',*,*,#199781,.F.); #271026=ORIENTED_EDGE('',*,*,#199693,.F.); #271027=ORIENTED_EDGE('',*,*,#199782,.T.); #271028=ORIENTED_EDGE('',*,*,#199783,.T.); #271029=ORIENTED_EDGE('',*,*,#199784,.F.); #271030=ORIENTED_EDGE('',*,*,#199785,.F.); #271031=ORIENTED_EDGE('',*,*,#199786,.T.); #271032=ORIENTED_EDGE('',*,*,#199785,.T.); #271033=ORIENTED_EDGE('',*,*,#199787,.F.); #271034=ORIENTED_EDGE('',*,*,#199788,.F.); #271035=ORIENTED_EDGE('',*,*,#199789,.T.); #271036=ORIENTED_EDGE('',*,*,#199788,.T.); #271037=ORIENTED_EDGE('',*,*,#199790,.F.); #271038=ORIENTED_EDGE('',*,*,#199791,.F.); #271039=ORIENTED_EDGE('',*,*,#199792,.T.); #271040=ORIENTED_EDGE('',*,*,#199791,.T.); #271041=ORIENTED_EDGE('',*,*,#199793,.F.); #271042=ORIENTED_EDGE('',*,*,#199794,.F.); #271043=ORIENTED_EDGE('',*,*,#199795,.T.); #271044=ORIENTED_EDGE('',*,*,#199794,.T.); #271045=ORIENTED_EDGE('',*,*,#199796,.F.); #271046=ORIENTED_EDGE('',*,*,#199797,.F.); #271047=ORIENTED_EDGE('',*,*,#199798,.T.); #271048=ORIENTED_EDGE('',*,*,#199797,.T.); #271049=ORIENTED_EDGE('',*,*,#199799,.F.); #271050=ORIENTED_EDGE('',*,*,#199800,.F.); #271051=ORIENTED_EDGE('',*,*,#199801,.T.); #271052=ORIENTED_EDGE('',*,*,#199800,.T.); #271053=ORIENTED_EDGE('',*,*,#199802,.F.); #271054=ORIENTED_EDGE('',*,*,#199803,.F.); #271055=ORIENTED_EDGE('',*,*,#199804,.T.); #271056=ORIENTED_EDGE('',*,*,#199803,.T.); #271057=ORIENTED_EDGE('',*,*,#199805,.F.); #271058=ORIENTED_EDGE('',*,*,#199806,.F.); #271059=ORIENTED_EDGE('',*,*,#199807,.T.); #271060=ORIENTED_EDGE('',*,*,#199806,.T.); #271061=ORIENTED_EDGE('',*,*,#199808,.F.); #271062=ORIENTED_EDGE('',*,*,#199809,.F.); #271063=ORIENTED_EDGE('',*,*,#199810,.T.); #271064=ORIENTED_EDGE('',*,*,#199809,.T.); #271065=ORIENTED_EDGE('',*,*,#199811,.F.); #271066=ORIENTED_EDGE('',*,*,#199812,.F.); #271067=ORIENTED_EDGE('',*,*,#199813,.T.); #271068=ORIENTED_EDGE('',*,*,#199812,.T.); #271069=ORIENTED_EDGE('',*,*,#199814,.F.); #271070=ORIENTED_EDGE('',*,*,#199815,.F.); #271071=ORIENTED_EDGE('',*,*,#199816,.T.); #271072=ORIENTED_EDGE('',*,*,#199815,.T.); #271073=ORIENTED_EDGE('',*,*,#199817,.F.); #271074=ORIENTED_EDGE('',*,*,#199818,.F.); #271075=ORIENTED_EDGE('',*,*,#199819,.T.); #271076=ORIENTED_EDGE('',*,*,#199818,.T.); #271077=ORIENTED_EDGE('',*,*,#199820,.F.); #271078=ORIENTED_EDGE('',*,*,#199821,.F.); #271079=ORIENTED_EDGE('',*,*,#199822,.T.); #271080=ORIENTED_EDGE('',*,*,#199821,.T.); #271081=ORIENTED_EDGE('',*,*,#199823,.F.); #271082=ORIENTED_EDGE('',*,*,#199824,.F.); #271083=ORIENTED_EDGE('',*,*,#199825,.T.); #271084=ORIENTED_EDGE('',*,*,#199824,.T.); #271085=ORIENTED_EDGE('',*,*,#199826,.F.); #271086=ORIENTED_EDGE('',*,*,#199827,.F.); #271087=ORIENTED_EDGE('',*,*,#199828,.T.); #271088=ORIENTED_EDGE('',*,*,#199827,.T.); #271089=ORIENTED_EDGE('',*,*,#199829,.F.); #271090=ORIENTED_EDGE('',*,*,#199830,.F.); #271091=ORIENTED_EDGE('',*,*,#199831,.T.); #271092=ORIENTED_EDGE('',*,*,#199830,.T.); #271093=ORIENTED_EDGE('',*,*,#199832,.F.); #271094=ORIENTED_EDGE('',*,*,#199833,.F.); #271095=ORIENTED_EDGE('',*,*,#199834,.T.); #271096=ORIENTED_EDGE('',*,*,#199833,.T.); #271097=ORIENTED_EDGE('',*,*,#199835,.F.); #271098=ORIENTED_EDGE('',*,*,#199836,.F.); #271099=ORIENTED_EDGE('',*,*,#199837,.T.); #271100=ORIENTED_EDGE('',*,*,#199836,.T.); #271101=ORIENTED_EDGE('',*,*,#199838,.F.); #271102=ORIENTED_EDGE('',*,*,#199839,.F.); #271103=ORIENTED_EDGE('',*,*,#199840,.T.); #271104=ORIENTED_EDGE('',*,*,#199839,.T.); #271105=ORIENTED_EDGE('',*,*,#199841,.F.); #271106=ORIENTED_EDGE('',*,*,#199842,.F.); #271107=ORIENTED_EDGE('',*,*,#199843,.T.); #271108=ORIENTED_EDGE('',*,*,#199842,.T.); #271109=ORIENTED_EDGE('',*,*,#199844,.F.); #271110=ORIENTED_EDGE('',*,*,#199845,.F.); #271111=ORIENTED_EDGE('',*,*,#199846,.T.); #271112=ORIENTED_EDGE('',*,*,#199845,.T.); #271113=ORIENTED_EDGE('',*,*,#199847,.F.); #271114=ORIENTED_EDGE('',*,*,#199848,.F.); #271115=ORIENTED_EDGE('',*,*,#199849,.T.); #271116=ORIENTED_EDGE('',*,*,#199848,.T.); #271117=ORIENTED_EDGE('',*,*,#199850,.F.); #271118=ORIENTED_EDGE('',*,*,#199851,.F.); #271119=ORIENTED_EDGE('',*,*,#199852,.T.); #271120=ORIENTED_EDGE('',*,*,#199851,.T.); #271121=ORIENTED_EDGE('',*,*,#199853,.F.); #271122=ORIENTED_EDGE('',*,*,#199854,.F.); #271123=ORIENTED_EDGE('',*,*,#199855,.T.); #271124=ORIENTED_EDGE('',*,*,#199854,.T.); #271125=ORIENTED_EDGE('',*,*,#199856,.F.); #271126=ORIENTED_EDGE('',*,*,#199857,.F.); #271127=ORIENTED_EDGE('',*,*,#199858,.T.); #271128=ORIENTED_EDGE('',*,*,#199857,.T.); #271129=ORIENTED_EDGE('',*,*,#199859,.F.); #271130=ORIENTED_EDGE('',*,*,#199860,.F.); #271131=ORIENTED_EDGE('',*,*,#199861,.T.); #271132=ORIENTED_EDGE('',*,*,#199860,.T.); #271133=ORIENTED_EDGE('',*,*,#199862,.F.); #271134=ORIENTED_EDGE('',*,*,#199863,.F.); #271135=ORIENTED_EDGE('',*,*,#199864,.T.); #271136=ORIENTED_EDGE('',*,*,#199863,.T.); #271137=ORIENTED_EDGE('',*,*,#199865,.F.); #271138=ORIENTED_EDGE('',*,*,#199866,.F.); #271139=ORIENTED_EDGE('',*,*,#199867,.T.); #271140=ORIENTED_EDGE('',*,*,#199866,.T.); #271141=ORIENTED_EDGE('',*,*,#199868,.F.); #271142=ORIENTED_EDGE('',*,*,#199869,.F.); #271143=ORIENTED_EDGE('',*,*,#199870,.T.); #271144=ORIENTED_EDGE('',*,*,#199869,.T.); #271145=ORIENTED_EDGE('',*,*,#199871,.F.); #271146=ORIENTED_EDGE('',*,*,#199783,.F.); #271147=ORIENTED_EDGE('',*,*,#199872,.T.); #271148=ORIENTED_EDGE('',*,*,#199873,.T.); #271149=ORIENTED_EDGE('',*,*,#199874,.F.); #271150=ORIENTED_EDGE('',*,*,#199875,.F.); #271151=ORIENTED_EDGE('',*,*,#199876,.T.); #271152=ORIENTED_EDGE('',*,*,#199875,.T.); #271153=ORIENTED_EDGE('',*,*,#199877,.F.); #271154=ORIENTED_EDGE('',*,*,#199878,.F.); #271155=ORIENTED_EDGE('',*,*,#199879,.T.); #271156=ORIENTED_EDGE('',*,*,#199878,.T.); #271157=ORIENTED_EDGE('',*,*,#199880,.F.); #271158=ORIENTED_EDGE('',*,*,#199881,.F.); #271159=ORIENTED_EDGE('',*,*,#199882,.T.); #271160=ORIENTED_EDGE('',*,*,#199881,.T.); #271161=ORIENTED_EDGE('',*,*,#199883,.F.); #271162=ORIENTED_EDGE('',*,*,#199884,.F.); #271163=ORIENTED_EDGE('',*,*,#199885,.T.); #271164=ORIENTED_EDGE('',*,*,#199884,.T.); #271165=ORIENTED_EDGE('',*,*,#199886,.F.); #271166=ORIENTED_EDGE('',*,*,#199887,.F.); #271167=ORIENTED_EDGE('',*,*,#199888,.T.); #271168=ORIENTED_EDGE('',*,*,#199887,.T.); #271169=ORIENTED_EDGE('',*,*,#199889,.F.); #271170=ORIENTED_EDGE('',*,*,#199890,.F.); #271171=ORIENTED_EDGE('',*,*,#199891,.T.); #271172=ORIENTED_EDGE('',*,*,#199890,.T.); #271173=ORIENTED_EDGE('',*,*,#199892,.F.); #271174=ORIENTED_EDGE('',*,*,#199893,.F.); #271175=ORIENTED_EDGE('',*,*,#199894,.T.); #271176=ORIENTED_EDGE('',*,*,#199893,.T.); #271177=ORIENTED_EDGE('',*,*,#199895,.F.); #271178=ORIENTED_EDGE('',*,*,#199896,.F.); #271179=ORIENTED_EDGE('',*,*,#199897,.T.); #271180=ORIENTED_EDGE('',*,*,#199896,.T.); #271181=ORIENTED_EDGE('',*,*,#199898,.F.); #271182=ORIENTED_EDGE('',*,*,#199899,.F.); #271183=ORIENTED_EDGE('',*,*,#199900,.T.); #271184=ORIENTED_EDGE('',*,*,#199899,.T.); #271185=ORIENTED_EDGE('',*,*,#199901,.F.); #271186=ORIENTED_EDGE('',*,*,#199902,.F.); #271187=ORIENTED_EDGE('',*,*,#199903,.T.); #271188=ORIENTED_EDGE('',*,*,#199902,.T.); #271189=ORIENTED_EDGE('',*,*,#199904,.F.); #271190=ORIENTED_EDGE('',*,*,#199905,.F.); #271191=ORIENTED_EDGE('',*,*,#199906,.T.); #271192=ORIENTED_EDGE('',*,*,#199905,.T.); #271193=ORIENTED_EDGE('',*,*,#199907,.F.); #271194=ORIENTED_EDGE('',*,*,#199908,.F.); #271195=ORIENTED_EDGE('',*,*,#199909,.T.); #271196=ORIENTED_EDGE('',*,*,#199908,.T.); #271197=ORIENTED_EDGE('',*,*,#199910,.F.); #271198=ORIENTED_EDGE('',*,*,#199911,.F.); #271199=ORIENTED_EDGE('',*,*,#199912,.T.); #271200=ORIENTED_EDGE('',*,*,#199911,.T.); #271201=ORIENTED_EDGE('',*,*,#199913,.F.); #271202=ORIENTED_EDGE('',*,*,#199914,.F.); #271203=ORIENTED_EDGE('',*,*,#199915,.T.); #271204=ORIENTED_EDGE('',*,*,#199914,.T.); #271205=ORIENTED_EDGE('',*,*,#199916,.F.); #271206=ORIENTED_EDGE('',*,*,#199917,.F.); #271207=ORIENTED_EDGE('',*,*,#199918,.T.); #271208=ORIENTED_EDGE('',*,*,#199917,.T.); #271209=ORIENTED_EDGE('',*,*,#199919,.F.); #271210=ORIENTED_EDGE('',*,*,#199920,.F.); #271211=ORIENTED_EDGE('',*,*,#199921,.T.); #271212=ORIENTED_EDGE('',*,*,#199920,.T.); #271213=ORIENTED_EDGE('',*,*,#199922,.F.); #271214=ORIENTED_EDGE('',*,*,#199923,.F.); #271215=ORIENTED_EDGE('',*,*,#199924,.T.); #271216=ORIENTED_EDGE('',*,*,#199923,.T.); #271217=ORIENTED_EDGE('',*,*,#199925,.F.); #271218=ORIENTED_EDGE('',*,*,#199926,.F.); #271219=ORIENTED_EDGE('',*,*,#199927,.T.); #271220=ORIENTED_EDGE('',*,*,#199926,.T.); #271221=ORIENTED_EDGE('',*,*,#199928,.F.); #271222=ORIENTED_EDGE('',*,*,#199929,.F.); #271223=ORIENTED_EDGE('',*,*,#199930,.T.); #271224=ORIENTED_EDGE('',*,*,#199929,.T.); #271225=ORIENTED_EDGE('',*,*,#199931,.F.); #271226=ORIENTED_EDGE('',*,*,#199932,.F.); #271227=ORIENTED_EDGE('',*,*,#199933,.T.); #271228=ORIENTED_EDGE('',*,*,#199932,.T.); #271229=ORIENTED_EDGE('',*,*,#199934,.F.); #271230=ORIENTED_EDGE('',*,*,#199935,.F.); #271231=ORIENTED_EDGE('',*,*,#199936,.T.); #271232=ORIENTED_EDGE('',*,*,#199935,.T.); #271233=ORIENTED_EDGE('',*,*,#199937,.F.); #271234=ORIENTED_EDGE('',*,*,#199938,.F.); #271235=ORIENTED_EDGE('',*,*,#199939,.T.); #271236=ORIENTED_EDGE('',*,*,#199938,.T.); #271237=ORIENTED_EDGE('',*,*,#199940,.F.); #271238=ORIENTED_EDGE('',*,*,#199941,.F.); #271239=ORIENTED_EDGE('',*,*,#199942,.T.); #271240=ORIENTED_EDGE('',*,*,#199941,.T.); #271241=ORIENTED_EDGE('',*,*,#199943,.F.); #271242=ORIENTED_EDGE('',*,*,#199944,.F.); #271243=ORIENTED_EDGE('',*,*,#199945,.T.); #271244=ORIENTED_EDGE('',*,*,#199944,.T.); #271245=ORIENTED_EDGE('',*,*,#199946,.F.); #271246=ORIENTED_EDGE('',*,*,#199947,.F.); #271247=ORIENTED_EDGE('',*,*,#199948,.T.); #271248=ORIENTED_EDGE('',*,*,#199947,.T.); #271249=ORIENTED_EDGE('',*,*,#199949,.F.); #271250=ORIENTED_EDGE('',*,*,#199950,.F.); #271251=ORIENTED_EDGE('',*,*,#199951,.T.); #271252=ORIENTED_EDGE('',*,*,#199950,.T.); #271253=ORIENTED_EDGE('',*,*,#199952,.F.); #271254=ORIENTED_EDGE('',*,*,#199953,.F.); #271255=ORIENTED_EDGE('',*,*,#199954,.T.); #271256=ORIENTED_EDGE('',*,*,#199953,.T.); #271257=ORIENTED_EDGE('',*,*,#199955,.F.); #271258=ORIENTED_EDGE('',*,*,#199956,.F.); #271259=ORIENTED_EDGE('',*,*,#199957,.T.); #271260=ORIENTED_EDGE('',*,*,#199956,.T.); #271261=ORIENTED_EDGE('',*,*,#199958,.F.); #271262=ORIENTED_EDGE('',*,*,#199959,.F.); #271263=ORIENTED_EDGE('',*,*,#199960,.T.); #271264=ORIENTED_EDGE('',*,*,#199959,.T.); #271265=ORIENTED_EDGE('',*,*,#199961,.F.); #271266=ORIENTED_EDGE('',*,*,#199962,.F.); #271267=ORIENTED_EDGE('',*,*,#199963,.T.); #271268=ORIENTED_EDGE('',*,*,#199962,.T.); #271269=ORIENTED_EDGE('',*,*,#199964,.F.); #271270=ORIENTED_EDGE('',*,*,#199965,.F.); #271271=ORIENTED_EDGE('',*,*,#199966,.T.); #271272=ORIENTED_EDGE('',*,*,#199965,.T.); #271273=ORIENTED_EDGE('',*,*,#199967,.F.); #271274=ORIENTED_EDGE('',*,*,#199968,.F.); #271275=ORIENTED_EDGE('',*,*,#199969,.T.); #271276=ORIENTED_EDGE('',*,*,#199968,.T.); #271277=ORIENTED_EDGE('',*,*,#199970,.F.); #271278=ORIENTED_EDGE('',*,*,#199971,.F.); #271279=ORIENTED_EDGE('',*,*,#199972,.T.); #271280=ORIENTED_EDGE('',*,*,#199971,.T.); #271281=ORIENTED_EDGE('',*,*,#199973,.F.); #271282=ORIENTED_EDGE('',*,*,#199974,.F.); #271283=ORIENTED_EDGE('',*,*,#199975,.T.); #271284=ORIENTED_EDGE('',*,*,#199974,.T.); #271285=ORIENTED_EDGE('',*,*,#199976,.F.); #271286=ORIENTED_EDGE('',*,*,#199977,.F.); #271287=ORIENTED_EDGE('',*,*,#199978,.T.); #271288=ORIENTED_EDGE('',*,*,#199977,.T.); #271289=ORIENTED_EDGE('',*,*,#199979,.F.); #271290=ORIENTED_EDGE('',*,*,#199980,.F.); #271291=ORIENTED_EDGE('',*,*,#199981,.T.); #271292=ORIENTED_EDGE('',*,*,#199980,.T.); #271293=ORIENTED_EDGE('',*,*,#199982,.F.); #271294=ORIENTED_EDGE('',*,*,#199983,.F.); #271295=ORIENTED_EDGE('',*,*,#199984,.T.); #271296=ORIENTED_EDGE('',*,*,#199983,.T.); #271297=ORIENTED_EDGE('',*,*,#199985,.F.); #271298=ORIENTED_EDGE('',*,*,#199986,.F.); #271299=ORIENTED_EDGE('',*,*,#199987,.T.); #271300=ORIENTED_EDGE('',*,*,#199986,.T.); #271301=ORIENTED_EDGE('',*,*,#199988,.F.); #271302=ORIENTED_EDGE('',*,*,#199989,.F.); #271303=ORIENTED_EDGE('',*,*,#199990,.T.); #271304=ORIENTED_EDGE('',*,*,#199989,.T.); #271305=ORIENTED_EDGE('',*,*,#199991,.F.); #271306=ORIENTED_EDGE('',*,*,#199992,.F.); #271307=ORIENTED_EDGE('',*,*,#199993,.T.); #271308=ORIENTED_EDGE('',*,*,#199992,.T.); #271309=ORIENTED_EDGE('',*,*,#199994,.F.); #271310=ORIENTED_EDGE('',*,*,#199995,.F.); #271311=ORIENTED_EDGE('',*,*,#199996,.T.); #271312=ORIENTED_EDGE('',*,*,#199995,.T.); #271313=ORIENTED_EDGE('',*,*,#199997,.F.); #271314=ORIENTED_EDGE('',*,*,#199998,.F.); #271315=ORIENTED_EDGE('',*,*,#199999,.T.); #271316=ORIENTED_EDGE('',*,*,#199998,.T.); #271317=ORIENTED_EDGE('',*,*,#200000,.F.); #271318=ORIENTED_EDGE('',*,*,#200001,.F.); #271319=ORIENTED_EDGE('',*,*,#200002,.T.); #271320=ORIENTED_EDGE('',*,*,#200001,.T.); #271321=ORIENTED_EDGE('',*,*,#200003,.F.); #271322=ORIENTED_EDGE('',*,*,#200004,.F.); #271323=ORIENTED_EDGE('',*,*,#200005,.T.); #271324=ORIENTED_EDGE('',*,*,#200004,.T.); #271325=ORIENTED_EDGE('',*,*,#200006,.F.); #271326=ORIENTED_EDGE('',*,*,#200007,.F.); #271327=ORIENTED_EDGE('',*,*,#200008,.T.); #271328=ORIENTED_EDGE('',*,*,#200007,.T.); #271329=ORIENTED_EDGE('',*,*,#200009,.F.); #271330=ORIENTED_EDGE('',*,*,#200010,.F.); #271331=ORIENTED_EDGE('',*,*,#200011,.T.); #271332=ORIENTED_EDGE('',*,*,#200010,.T.); #271333=ORIENTED_EDGE('',*,*,#200012,.F.); #271334=ORIENTED_EDGE('',*,*,#200013,.F.); #271335=ORIENTED_EDGE('',*,*,#200014,.T.); #271336=ORIENTED_EDGE('',*,*,#200013,.T.); #271337=ORIENTED_EDGE('',*,*,#200015,.F.); #271338=ORIENTED_EDGE('',*,*,#200016,.F.); #271339=ORIENTED_EDGE('',*,*,#200017,.T.); #271340=ORIENTED_EDGE('',*,*,#200016,.T.); #271341=ORIENTED_EDGE('',*,*,#200018,.F.); #271342=ORIENTED_EDGE('',*,*,#200019,.F.); #271343=ORIENTED_EDGE('',*,*,#200020,.T.); #271344=ORIENTED_EDGE('',*,*,#200019,.T.); #271345=ORIENTED_EDGE('',*,*,#200021,.F.); #271346=ORIENTED_EDGE('',*,*,#200022,.F.); #271347=ORIENTED_EDGE('',*,*,#200023,.T.); #271348=ORIENTED_EDGE('',*,*,#200022,.T.); #271349=ORIENTED_EDGE('',*,*,#200024,.F.); #271350=ORIENTED_EDGE('',*,*,#200025,.F.); #271351=ORIENTED_EDGE('',*,*,#200026,.T.); #271352=ORIENTED_EDGE('',*,*,#200025,.T.); #271353=ORIENTED_EDGE('',*,*,#200027,.F.); #271354=ORIENTED_EDGE('',*,*,#200028,.F.); #271355=ORIENTED_EDGE('',*,*,#200029,.T.); #271356=ORIENTED_EDGE('',*,*,#200028,.T.); #271357=ORIENTED_EDGE('',*,*,#200030,.F.); #271358=ORIENTED_EDGE('',*,*,#200031,.F.); #271359=ORIENTED_EDGE('',*,*,#200032,.T.); #271360=ORIENTED_EDGE('',*,*,#200031,.T.); #271361=ORIENTED_EDGE('',*,*,#200033,.F.); #271362=ORIENTED_EDGE('',*,*,#200034,.F.); #271363=ORIENTED_EDGE('',*,*,#200035,.T.); #271364=ORIENTED_EDGE('',*,*,#200034,.T.); #271365=ORIENTED_EDGE('',*,*,#200036,.F.); #271366=ORIENTED_EDGE('',*,*,#200037,.F.); #271367=ORIENTED_EDGE('',*,*,#200038,.T.); #271368=ORIENTED_EDGE('',*,*,#200037,.T.); #271369=ORIENTED_EDGE('',*,*,#200039,.F.); #271370=ORIENTED_EDGE('',*,*,#200040,.F.); #271371=ORIENTED_EDGE('',*,*,#200041,.T.); #271372=ORIENTED_EDGE('',*,*,#200040,.T.); #271373=ORIENTED_EDGE('',*,*,#200042,.F.); #271374=ORIENTED_EDGE('',*,*,#200043,.F.); #271375=ORIENTED_EDGE('',*,*,#200044,.T.); #271376=ORIENTED_EDGE('',*,*,#200043,.T.); #271377=ORIENTED_EDGE('',*,*,#200045,.F.); #271378=ORIENTED_EDGE('',*,*,#200046,.F.); #271379=ORIENTED_EDGE('',*,*,#200047,.T.); #271380=ORIENTED_EDGE('',*,*,#200046,.T.); #271381=ORIENTED_EDGE('',*,*,#200048,.F.); #271382=ORIENTED_EDGE('',*,*,#200049,.F.); #271383=ORIENTED_EDGE('',*,*,#200050,.T.); #271384=ORIENTED_EDGE('',*,*,#200049,.T.); #271385=ORIENTED_EDGE('',*,*,#200051,.F.); #271386=ORIENTED_EDGE('',*,*,#200052,.F.); #271387=ORIENTED_EDGE('',*,*,#200053,.T.); #271388=ORIENTED_EDGE('',*,*,#200052,.T.); #271389=ORIENTED_EDGE('',*,*,#200054,.F.); #271390=ORIENTED_EDGE('',*,*,#200055,.F.); #271391=ORIENTED_EDGE('',*,*,#200056,.T.); #271392=ORIENTED_EDGE('',*,*,#200055,.T.); #271393=ORIENTED_EDGE('',*,*,#200057,.F.); #271394=ORIENTED_EDGE('',*,*,#200058,.F.); #271395=ORIENTED_EDGE('',*,*,#200059,.T.); #271396=ORIENTED_EDGE('',*,*,#200058,.T.); #271397=ORIENTED_EDGE('',*,*,#200060,.F.); #271398=ORIENTED_EDGE('',*,*,#200061,.F.); #271399=ORIENTED_EDGE('',*,*,#200062,.T.); #271400=ORIENTED_EDGE('',*,*,#200061,.T.); #271401=ORIENTED_EDGE('',*,*,#200063,.F.); #271402=ORIENTED_EDGE('',*,*,#200064,.F.); #271403=ORIENTED_EDGE('',*,*,#200065,.T.); #271404=ORIENTED_EDGE('',*,*,#200064,.T.); #271405=ORIENTED_EDGE('',*,*,#200066,.F.); #271406=ORIENTED_EDGE('',*,*,#200067,.F.); #271407=ORIENTED_EDGE('',*,*,#200068,.T.); #271408=ORIENTED_EDGE('',*,*,#200067,.T.); #271409=ORIENTED_EDGE('',*,*,#200069,.F.); #271410=ORIENTED_EDGE('',*,*,#200070,.F.); #271411=ORIENTED_EDGE('',*,*,#200071,.T.); #271412=ORIENTED_EDGE('',*,*,#200070,.T.); #271413=ORIENTED_EDGE('',*,*,#200072,.F.); #271414=ORIENTED_EDGE('',*,*,#200073,.F.); #271415=ORIENTED_EDGE('',*,*,#200074,.T.); #271416=ORIENTED_EDGE('',*,*,#200073,.T.); #271417=ORIENTED_EDGE('',*,*,#200075,.F.); #271418=ORIENTED_EDGE('',*,*,#200076,.F.); #271419=ORIENTED_EDGE('',*,*,#200077,.T.); #271420=ORIENTED_EDGE('',*,*,#200076,.T.); #271421=ORIENTED_EDGE('',*,*,#200078,.F.); #271422=ORIENTED_EDGE('',*,*,#200079,.F.); #271423=ORIENTED_EDGE('',*,*,#200080,.T.); #271424=ORIENTED_EDGE('',*,*,#200079,.T.); #271425=ORIENTED_EDGE('',*,*,#200081,.F.); #271426=ORIENTED_EDGE('',*,*,#200082,.F.); #271427=ORIENTED_EDGE('',*,*,#200083,.T.); #271428=ORIENTED_EDGE('',*,*,#200082,.T.); #271429=ORIENTED_EDGE('',*,*,#200084,.F.); #271430=ORIENTED_EDGE('',*,*,#200085,.F.); #271431=ORIENTED_EDGE('',*,*,#200086,.T.); #271432=ORIENTED_EDGE('',*,*,#200085,.T.); #271433=ORIENTED_EDGE('',*,*,#200087,.F.); #271434=ORIENTED_EDGE('',*,*,#200088,.F.); #271435=ORIENTED_EDGE('',*,*,#200089,.T.); #271436=ORIENTED_EDGE('',*,*,#200088,.T.); #271437=ORIENTED_EDGE('',*,*,#200090,.F.); #271438=ORIENTED_EDGE('',*,*,#200091,.F.); #271439=ORIENTED_EDGE('',*,*,#200092,.T.); #271440=ORIENTED_EDGE('',*,*,#200091,.T.); #271441=ORIENTED_EDGE('',*,*,#200093,.F.); #271442=ORIENTED_EDGE('',*,*,#200094,.F.); #271443=ORIENTED_EDGE('',*,*,#200095,.T.); #271444=ORIENTED_EDGE('',*,*,#200094,.T.); #271445=ORIENTED_EDGE('',*,*,#200096,.F.); #271446=ORIENTED_EDGE('',*,*,#200097,.F.); #271447=ORIENTED_EDGE('',*,*,#200098,.T.); #271448=ORIENTED_EDGE('',*,*,#200097,.T.); #271449=ORIENTED_EDGE('',*,*,#200099,.F.); #271450=ORIENTED_EDGE('',*,*,#200100,.F.); #271451=ORIENTED_EDGE('',*,*,#200101,.T.); #271452=ORIENTED_EDGE('',*,*,#200100,.T.); #271453=ORIENTED_EDGE('',*,*,#200102,.F.); #271454=ORIENTED_EDGE('',*,*,#200103,.F.); #271455=ORIENTED_EDGE('',*,*,#200104,.T.); #271456=ORIENTED_EDGE('',*,*,#200103,.T.); #271457=ORIENTED_EDGE('',*,*,#200105,.F.); #271458=ORIENTED_EDGE('',*,*,#200106,.F.); #271459=ORIENTED_EDGE('',*,*,#200107,.T.); #271460=ORIENTED_EDGE('',*,*,#200106,.T.); #271461=ORIENTED_EDGE('',*,*,#200108,.F.); #271462=ORIENTED_EDGE('',*,*,#200109,.F.); #271463=ORIENTED_EDGE('',*,*,#200110,.T.); #271464=ORIENTED_EDGE('',*,*,#200109,.T.); #271465=ORIENTED_EDGE('',*,*,#200111,.F.); #271466=ORIENTED_EDGE('',*,*,#200112,.F.); #271467=ORIENTED_EDGE('',*,*,#200113,.T.); #271468=ORIENTED_EDGE('',*,*,#200112,.T.); #271469=ORIENTED_EDGE('',*,*,#200114,.F.); #271470=ORIENTED_EDGE('',*,*,#200115,.F.); #271471=ORIENTED_EDGE('',*,*,#200116,.T.); #271472=ORIENTED_EDGE('',*,*,#200115,.T.); #271473=ORIENTED_EDGE('',*,*,#200117,.F.); #271474=ORIENTED_EDGE('',*,*,#200118,.F.); #271475=ORIENTED_EDGE('',*,*,#200119,.T.); #271476=ORIENTED_EDGE('',*,*,#200118,.T.); #271477=ORIENTED_EDGE('',*,*,#200120,.F.); #271478=ORIENTED_EDGE('',*,*,#200121,.F.); #271479=ORIENTED_EDGE('',*,*,#200122,.T.); #271480=ORIENTED_EDGE('',*,*,#200121,.T.); #271481=ORIENTED_EDGE('',*,*,#200123,.F.); #271482=ORIENTED_EDGE('',*,*,#200124,.F.); #271483=ORIENTED_EDGE('',*,*,#200125,.T.); #271484=ORIENTED_EDGE('',*,*,#200124,.T.); #271485=ORIENTED_EDGE('',*,*,#200126,.F.); #271486=ORIENTED_EDGE('',*,*,#200127,.F.); #271487=ORIENTED_EDGE('',*,*,#200128,.T.); #271488=ORIENTED_EDGE('',*,*,#200127,.T.); #271489=ORIENTED_EDGE('',*,*,#200129,.F.); #271490=ORIENTED_EDGE('',*,*,#200130,.F.); #271491=ORIENTED_EDGE('',*,*,#200131,.T.); #271492=ORIENTED_EDGE('',*,*,#200130,.T.); #271493=ORIENTED_EDGE('',*,*,#200132,.F.); #271494=ORIENTED_EDGE('',*,*,#200133,.F.); #271495=ORIENTED_EDGE('',*,*,#200134,.T.); #271496=ORIENTED_EDGE('',*,*,#200133,.T.); #271497=ORIENTED_EDGE('',*,*,#200135,.F.); #271498=ORIENTED_EDGE('',*,*,#200136,.F.); #271499=ORIENTED_EDGE('',*,*,#200137,.T.); #271500=ORIENTED_EDGE('',*,*,#200136,.T.); #271501=ORIENTED_EDGE('',*,*,#200138,.F.); #271502=ORIENTED_EDGE('',*,*,#200139,.F.); #271503=ORIENTED_EDGE('',*,*,#200140,.T.); #271504=ORIENTED_EDGE('',*,*,#200139,.T.); #271505=ORIENTED_EDGE('',*,*,#200141,.F.); #271506=ORIENTED_EDGE('',*,*,#200142,.F.); #271507=ORIENTED_EDGE('',*,*,#200143,.T.); #271508=ORIENTED_EDGE('',*,*,#200142,.T.); #271509=ORIENTED_EDGE('',*,*,#200144,.F.); #271510=ORIENTED_EDGE('',*,*,#200145,.F.); #271511=ORIENTED_EDGE('',*,*,#200146,.T.); #271512=ORIENTED_EDGE('',*,*,#200145,.T.); #271513=ORIENTED_EDGE('',*,*,#200147,.F.); #271514=ORIENTED_EDGE('',*,*,#200148,.F.); #271515=ORIENTED_EDGE('',*,*,#200149,.T.); #271516=ORIENTED_EDGE('',*,*,#200148,.T.); #271517=ORIENTED_EDGE('',*,*,#200150,.F.); #271518=ORIENTED_EDGE('',*,*,#200151,.F.); #271519=ORIENTED_EDGE('',*,*,#200152,.T.); #271520=ORIENTED_EDGE('',*,*,#200151,.T.); #271521=ORIENTED_EDGE('',*,*,#200153,.F.); #271522=ORIENTED_EDGE('',*,*,#200154,.F.); #271523=ORIENTED_EDGE('',*,*,#200155,.T.); #271524=ORIENTED_EDGE('',*,*,#200154,.T.); #271525=ORIENTED_EDGE('',*,*,#200156,.F.); #271526=ORIENTED_EDGE('',*,*,#200157,.F.); #271527=ORIENTED_EDGE('',*,*,#200158,.T.); #271528=ORIENTED_EDGE('',*,*,#200157,.T.); #271529=ORIENTED_EDGE('',*,*,#200159,.F.); #271530=ORIENTED_EDGE('',*,*,#200160,.F.); #271531=ORIENTED_EDGE('',*,*,#200161,.T.); #271532=ORIENTED_EDGE('',*,*,#200160,.T.); #271533=ORIENTED_EDGE('',*,*,#200162,.F.); #271534=ORIENTED_EDGE('',*,*,#200163,.F.); #271535=ORIENTED_EDGE('',*,*,#200164,.T.); #271536=ORIENTED_EDGE('',*,*,#200163,.T.); #271537=ORIENTED_EDGE('',*,*,#200165,.F.); #271538=ORIENTED_EDGE('',*,*,#200166,.F.); #271539=ORIENTED_EDGE('',*,*,#200167,.T.); #271540=ORIENTED_EDGE('',*,*,#200166,.T.); #271541=ORIENTED_EDGE('',*,*,#200168,.F.); #271542=ORIENTED_EDGE('',*,*,#200169,.F.); #271543=ORIENTED_EDGE('',*,*,#200170,.T.); #271544=ORIENTED_EDGE('',*,*,#200169,.T.); #271545=ORIENTED_EDGE('',*,*,#200171,.F.); #271546=ORIENTED_EDGE('',*,*,#200172,.F.); #271547=ORIENTED_EDGE('',*,*,#200173,.T.); #271548=ORIENTED_EDGE('',*,*,#200172,.T.); #271549=ORIENTED_EDGE('',*,*,#200174,.F.); #271550=ORIENTED_EDGE('',*,*,#200175,.F.); #271551=ORIENTED_EDGE('',*,*,#200176,.T.); #271552=ORIENTED_EDGE('',*,*,#200175,.T.); #271553=ORIENTED_EDGE('',*,*,#200177,.F.); #271554=ORIENTED_EDGE('',*,*,#200178,.F.); #271555=ORIENTED_EDGE('',*,*,#200179,.T.); #271556=ORIENTED_EDGE('',*,*,#200178,.T.); #271557=ORIENTED_EDGE('',*,*,#200180,.F.); #271558=ORIENTED_EDGE('',*,*,#200181,.F.); #271559=ORIENTED_EDGE('',*,*,#200182,.T.); #271560=ORIENTED_EDGE('',*,*,#200181,.T.); #271561=ORIENTED_EDGE('',*,*,#200183,.F.); #271562=ORIENTED_EDGE('',*,*,#200184,.F.); #271563=ORIENTED_EDGE('',*,*,#200185,.T.); #271564=ORIENTED_EDGE('',*,*,#200184,.T.); #271565=ORIENTED_EDGE('',*,*,#200186,.F.); #271566=ORIENTED_EDGE('',*,*,#200187,.F.); #271567=ORIENTED_EDGE('',*,*,#200188,.T.); #271568=ORIENTED_EDGE('',*,*,#200187,.T.); #271569=ORIENTED_EDGE('',*,*,#200189,.F.); #271570=ORIENTED_EDGE('',*,*,#200190,.F.); #271571=ORIENTED_EDGE('',*,*,#200191,.T.); #271572=ORIENTED_EDGE('',*,*,#200190,.T.); #271573=ORIENTED_EDGE('',*,*,#200192,.F.); #271574=ORIENTED_EDGE('',*,*,#200193,.F.); #271575=ORIENTED_EDGE('',*,*,#200194,.T.); #271576=ORIENTED_EDGE('',*,*,#200193,.T.); #271577=ORIENTED_EDGE('',*,*,#200195,.F.); #271578=ORIENTED_EDGE('',*,*,#200196,.F.); #271579=ORIENTED_EDGE('',*,*,#200197,.T.); #271580=ORIENTED_EDGE('',*,*,#200196,.T.); #271581=ORIENTED_EDGE('',*,*,#200198,.F.); #271582=ORIENTED_EDGE('',*,*,#200199,.F.); #271583=ORIENTED_EDGE('',*,*,#200200,.T.); #271584=ORIENTED_EDGE('',*,*,#200199,.T.); #271585=ORIENTED_EDGE('',*,*,#200201,.F.); #271586=ORIENTED_EDGE('',*,*,#200202,.F.); #271587=ORIENTED_EDGE('',*,*,#200203,.T.); #271588=ORIENTED_EDGE('',*,*,#200202,.T.); #271589=ORIENTED_EDGE('',*,*,#200204,.F.); #271590=ORIENTED_EDGE('',*,*,#200205,.F.); #271591=ORIENTED_EDGE('',*,*,#200206,.T.); #271592=ORIENTED_EDGE('',*,*,#200205,.T.); #271593=ORIENTED_EDGE('',*,*,#200207,.F.); #271594=ORIENTED_EDGE('',*,*,#200208,.F.); #271595=ORIENTED_EDGE('',*,*,#200209,.T.); #271596=ORIENTED_EDGE('',*,*,#200208,.T.); #271597=ORIENTED_EDGE('',*,*,#200210,.F.); #271598=ORIENTED_EDGE('',*,*,#200211,.F.); #271599=ORIENTED_EDGE('',*,*,#200212,.T.); #271600=ORIENTED_EDGE('',*,*,#200211,.T.); #271601=ORIENTED_EDGE('',*,*,#200213,.F.); #271602=ORIENTED_EDGE('',*,*,#200214,.F.); #271603=ORIENTED_EDGE('',*,*,#200215,.T.); #271604=ORIENTED_EDGE('',*,*,#200214,.T.); #271605=ORIENTED_EDGE('',*,*,#200216,.F.); #271606=ORIENTED_EDGE('',*,*,#200217,.F.); #271607=ORIENTED_EDGE('',*,*,#200218,.T.); #271608=ORIENTED_EDGE('',*,*,#200217,.T.); #271609=ORIENTED_EDGE('',*,*,#200219,.F.); #271610=ORIENTED_EDGE('',*,*,#200220,.F.); #271611=ORIENTED_EDGE('',*,*,#200221,.T.); #271612=ORIENTED_EDGE('',*,*,#200220,.T.); #271613=ORIENTED_EDGE('',*,*,#200222,.F.); #271614=ORIENTED_EDGE('',*,*,#200223,.F.); #271615=ORIENTED_EDGE('',*,*,#200224,.T.); #271616=ORIENTED_EDGE('',*,*,#200223,.T.); #271617=ORIENTED_EDGE('',*,*,#200225,.F.); #271618=ORIENTED_EDGE('',*,*,#200226,.F.); #271619=ORIENTED_EDGE('',*,*,#200227,.T.); #271620=ORIENTED_EDGE('',*,*,#200226,.T.); #271621=ORIENTED_EDGE('',*,*,#200228,.F.); #271622=ORIENTED_EDGE('',*,*,#200229,.F.); #271623=ORIENTED_EDGE('',*,*,#200230,.T.); #271624=ORIENTED_EDGE('',*,*,#200229,.T.); #271625=ORIENTED_EDGE('',*,*,#200231,.F.); #271626=ORIENTED_EDGE('',*,*,#199873,.F.); #271627=ORIENTED_EDGE('',*,*,#200231,.T.); #271628=ORIENTED_EDGE('',*,*,#200228,.T.); #271629=ORIENTED_EDGE('',*,*,#200225,.T.); #271630=ORIENTED_EDGE('',*,*,#200222,.T.); #271631=ORIENTED_EDGE('',*,*,#200219,.T.); #271632=ORIENTED_EDGE('',*,*,#200216,.T.); #271633=ORIENTED_EDGE('',*,*,#200213,.T.); #271634=ORIENTED_EDGE('',*,*,#200210,.T.); #271635=ORIENTED_EDGE('',*,*,#200207,.T.); #271636=ORIENTED_EDGE('',*,*,#200204,.T.); #271637=ORIENTED_EDGE('',*,*,#200201,.T.); #271638=ORIENTED_EDGE('',*,*,#200198,.T.); #271639=ORIENTED_EDGE('',*,*,#200195,.T.); #271640=ORIENTED_EDGE('',*,*,#200192,.T.); #271641=ORIENTED_EDGE('',*,*,#200189,.T.); #271642=ORIENTED_EDGE('',*,*,#200186,.T.); #271643=ORIENTED_EDGE('',*,*,#200183,.T.); #271644=ORIENTED_EDGE('',*,*,#200180,.T.); #271645=ORIENTED_EDGE('',*,*,#200177,.T.); #271646=ORIENTED_EDGE('',*,*,#200174,.T.); #271647=ORIENTED_EDGE('',*,*,#200171,.T.); #271648=ORIENTED_EDGE('',*,*,#200168,.T.); #271649=ORIENTED_EDGE('',*,*,#200165,.T.); #271650=ORIENTED_EDGE('',*,*,#200162,.T.); #271651=ORIENTED_EDGE('',*,*,#200159,.T.); #271652=ORIENTED_EDGE('',*,*,#200156,.T.); #271653=ORIENTED_EDGE('',*,*,#200153,.T.); #271654=ORIENTED_EDGE('',*,*,#200150,.T.); #271655=ORIENTED_EDGE('',*,*,#200147,.T.); #271656=ORIENTED_EDGE('',*,*,#200144,.T.); #271657=ORIENTED_EDGE('',*,*,#200141,.T.); #271658=ORIENTED_EDGE('',*,*,#200138,.T.); #271659=ORIENTED_EDGE('',*,*,#200135,.T.); #271660=ORIENTED_EDGE('',*,*,#200132,.T.); #271661=ORIENTED_EDGE('',*,*,#200129,.T.); #271662=ORIENTED_EDGE('',*,*,#200126,.T.); #271663=ORIENTED_EDGE('',*,*,#200123,.T.); #271664=ORIENTED_EDGE('',*,*,#200120,.T.); #271665=ORIENTED_EDGE('',*,*,#200117,.T.); #271666=ORIENTED_EDGE('',*,*,#200114,.T.); #271667=ORIENTED_EDGE('',*,*,#200111,.T.); #271668=ORIENTED_EDGE('',*,*,#200108,.T.); #271669=ORIENTED_EDGE('',*,*,#200105,.T.); #271670=ORIENTED_EDGE('',*,*,#200102,.T.); #271671=ORIENTED_EDGE('',*,*,#200099,.T.); #271672=ORIENTED_EDGE('',*,*,#200096,.T.); #271673=ORIENTED_EDGE('',*,*,#200093,.T.); #271674=ORIENTED_EDGE('',*,*,#200090,.T.); #271675=ORIENTED_EDGE('',*,*,#200087,.T.); #271676=ORIENTED_EDGE('',*,*,#200084,.T.); #271677=ORIENTED_EDGE('',*,*,#200081,.T.); #271678=ORIENTED_EDGE('',*,*,#200078,.T.); #271679=ORIENTED_EDGE('',*,*,#200075,.T.); #271680=ORIENTED_EDGE('',*,*,#200072,.T.); #271681=ORIENTED_EDGE('',*,*,#200069,.T.); #271682=ORIENTED_EDGE('',*,*,#200066,.T.); #271683=ORIENTED_EDGE('',*,*,#200063,.T.); #271684=ORIENTED_EDGE('',*,*,#200060,.T.); #271685=ORIENTED_EDGE('',*,*,#200057,.T.); #271686=ORIENTED_EDGE('',*,*,#200054,.T.); #271687=ORIENTED_EDGE('',*,*,#200051,.T.); #271688=ORIENTED_EDGE('',*,*,#200048,.T.); #271689=ORIENTED_EDGE('',*,*,#200045,.T.); #271690=ORIENTED_EDGE('',*,*,#200042,.T.); #271691=ORIENTED_EDGE('',*,*,#200039,.T.); #271692=ORIENTED_EDGE('',*,*,#200036,.T.); #271693=ORIENTED_EDGE('',*,*,#200033,.T.); #271694=ORIENTED_EDGE('',*,*,#200030,.T.); #271695=ORIENTED_EDGE('',*,*,#200027,.T.); #271696=ORIENTED_EDGE('',*,*,#200024,.T.); #271697=ORIENTED_EDGE('',*,*,#200021,.T.); #271698=ORIENTED_EDGE('',*,*,#200018,.T.); #271699=ORIENTED_EDGE('',*,*,#200015,.T.); #271700=ORIENTED_EDGE('',*,*,#200012,.T.); #271701=ORIENTED_EDGE('',*,*,#200009,.T.); #271702=ORIENTED_EDGE('',*,*,#200006,.T.); #271703=ORIENTED_EDGE('',*,*,#200003,.T.); #271704=ORIENTED_EDGE('',*,*,#200000,.T.); #271705=ORIENTED_EDGE('',*,*,#199997,.T.); #271706=ORIENTED_EDGE('',*,*,#199994,.T.); #271707=ORIENTED_EDGE('',*,*,#199991,.T.); #271708=ORIENTED_EDGE('',*,*,#199988,.T.); #271709=ORIENTED_EDGE('',*,*,#199985,.T.); #271710=ORIENTED_EDGE('',*,*,#199982,.T.); #271711=ORIENTED_EDGE('',*,*,#199979,.T.); #271712=ORIENTED_EDGE('',*,*,#199976,.T.); #271713=ORIENTED_EDGE('',*,*,#199973,.T.); #271714=ORIENTED_EDGE('',*,*,#199970,.T.); #271715=ORIENTED_EDGE('',*,*,#199967,.T.); #271716=ORIENTED_EDGE('',*,*,#199964,.T.); #271717=ORIENTED_EDGE('',*,*,#199961,.T.); #271718=ORIENTED_EDGE('',*,*,#199958,.T.); #271719=ORIENTED_EDGE('',*,*,#199955,.T.); #271720=ORIENTED_EDGE('',*,*,#199952,.T.); #271721=ORIENTED_EDGE('',*,*,#199949,.T.); #271722=ORIENTED_EDGE('',*,*,#199946,.T.); #271723=ORIENTED_EDGE('',*,*,#199943,.T.); #271724=ORIENTED_EDGE('',*,*,#199940,.T.); #271725=ORIENTED_EDGE('',*,*,#199937,.T.); #271726=ORIENTED_EDGE('',*,*,#199934,.T.); #271727=ORIENTED_EDGE('',*,*,#199931,.T.); #271728=ORIENTED_EDGE('',*,*,#199928,.T.); #271729=ORIENTED_EDGE('',*,*,#199925,.T.); #271730=ORIENTED_EDGE('',*,*,#199922,.T.); #271731=ORIENTED_EDGE('',*,*,#199919,.T.); #271732=ORIENTED_EDGE('',*,*,#199916,.T.); #271733=ORIENTED_EDGE('',*,*,#199913,.T.); #271734=ORIENTED_EDGE('',*,*,#199910,.T.); #271735=ORIENTED_EDGE('',*,*,#199907,.T.); #271736=ORIENTED_EDGE('',*,*,#199904,.T.); #271737=ORIENTED_EDGE('',*,*,#199901,.T.); #271738=ORIENTED_EDGE('',*,*,#199898,.T.); #271739=ORIENTED_EDGE('',*,*,#199895,.T.); #271740=ORIENTED_EDGE('',*,*,#199892,.T.); #271741=ORIENTED_EDGE('',*,*,#199889,.T.); #271742=ORIENTED_EDGE('',*,*,#199886,.T.); #271743=ORIENTED_EDGE('',*,*,#199883,.T.); #271744=ORIENTED_EDGE('',*,*,#199880,.T.); #271745=ORIENTED_EDGE('',*,*,#199877,.T.); #271746=ORIENTED_EDGE('',*,*,#199874,.T.); #271747=ORIENTED_EDGE('',*,*,#195191,.T.); #271748=ORIENTED_EDGE('',*,*,#195194,.T.); #271749=ORIENTED_EDGE('',*,*,#195197,.T.); #271750=ORIENTED_EDGE('',*,*,#195200,.T.); #271751=ORIENTED_EDGE('',*,*,#195203,.T.); #271752=ORIENTED_EDGE('',*,*,#195206,.T.); #271753=ORIENTED_EDGE('',*,*,#195209,.T.); #271754=ORIENTED_EDGE('',*,*,#195212,.T.); #271755=ORIENTED_EDGE('',*,*,#195215,.T.); #271756=ORIENTED_EDGE('',*,*,#195218,.T.); #271757=ORIENTED_EDGE('',*,*,#195221,.T.); #271758=ORIENTED_EDGE('',*,*,#195224,.T.); #271759=ORIENTED_EDGE('',*,*,#195227,.T.); #271760=ORIENTED_EDGE('',*,*,#195230,.T.); #271761=ORIENTED_EDGE('',*,*,#195233,.T.); #271762=ORIENTED_EDGE('',*,*,#195236,.T.); #271763=ORIENTED_EDGE('',*,*,#195239,.T.); #271764=ORIENTED_EDGE('',*,*,#195242,.T.); #271765=ORIENTED_EDGE('',*,*,#195245,.T.); #271766=ORIENTED_EDGE('',*,*,#195248,.T.); #271767=ORIENTED_EDGE('',*,*,#195251,.T.); #271768=ORIENTED_EDGE('',*,*,#195254,.T.); #271769=ORIENTED_EDGE('',*,*,#195257,.T.); #271770=ORIENTED_EDGE('',*,*,#195260,.T.); #271771=ORIENTED_EDGE('',*,*,#195263,.T.); #271772=ORIENTED_EDGE('',*,*,#195266,.T.); #271773=ORIENTED_EDGE('',*,*,#195269,.T.); #271774=ORIENTED_EDGE('',*,*,#195272,.T.); #271775=ORIENTED_EDGE('',*,*,#195275,.T.); #271776=ORIENTED_EDGE('',*,*,#195278,.T.); #271777=ORIENTED_EDGE('',*,*,#195281,.T.); #271778=ORIENTED_EDGE('',*,*,#195284,.T.); #271779=ORIENTED_EDGE('',*,*,#195287,.T.); #271780=ORIENTED_EDGE('',*,*,#195290,.T.); #271781=ORIENTED_EDGE('',*,*,#195293,.T.); #271782=ORIENTED_EDGE('',*,*,#195296,.T.); #271783=ORIENTED_EDGE('',*,*,#195299,.T.); #271784=ORIENTED_EDGE('',*,*,#195302,.T.); #271785=ORIENTED_EDGE('',*,*,#195305,.T.); #271786=ORIENTED_EDGE('',*,*,#195308,.T.); #271787=ORIENTED_EDGE('',*,*,#195311,.T.); #271788=ORIENTED_EDGE('',*,*,#195314,.T.); #271789=ORIENTED_EDGE('',*,*,#195317,.T.); #271790=ORIENTED_EDGE('',*,*,#195320,.T.); #271791=ORIENTED_EDGE('',*,*,#195323,.T.); #271792=ORIENTED_EDGE('',*,*,#195326,.T.); #271793=ORIENTED_EDGE('',*,*,#195329,.T.); #271794=ORIENTED_EDGE('',*,*,#195332,.T.); #271795=ORIENTED_EDGE('',*,*,#195335,.T.); #271796=ORIENTED_EDGE('',*,*,#195338,.T.); #271797=ORIENTED_EDGE('',*,*,#195341,.T.); #271798=ORIENTED_EDGE('',*,*,#195344,.T.); #271799=ORIENTED_EDGE('',*,*,#195347,.T.); #271800=ORIENTED_EDGE('',*,*,#195350,.T.); #271801=ORIENTED_EDGE('',*,*,#195353,.T.); #271802=ORIENTED_EDGE('',*,*,#195356,.T.); #271803=ORIENTED_EDGE('',*,*,#195359,.T.); #271804=ORIENTED_EDGE('',*,*,#195362,.T.); #271805=ORIENTED_EDGE('',*,*,#195365,.T.); #271806=ORIENTED_EDGE('',*,*,#195368,.T.); #271807=ORIENTED_EDGE('',*,*,#195371,.T.); #271808=ORIENTED_EDGE('',*,*,#195374,.T.); #271809=ORIENTED_EDGE('',*,*,#195377,.T.); #271810=ORIENTED_EDGE('',*,*,#195380,.T.); #271811=ORIENTED_EDGE('',*,*,#195388,.T.); #271812=ORIENTED_EDGE('',*,*,#195391,.T.); #271813=ORIENTED_EDGE('',*,*,#195381,.T.); #271814=ORIENTED_EDGE('',*,*,#195385,.T.); #271815=ORIENTED_EDGE('',*,*,#195400,.T.); #271816=ORIENTED_EDGE('',*,*,#195403,.T.); #271817=ORIENTED_EDGE('',*,*,#195393,.T.); #271818=ORIENTED_EDGE('',*,*,#195397,.T.); #271819=ORIENTED_EDGE('',*,*,#195407,.T.); #271820=ORIENTED_EDGE('',*,*,#195410,.T.); #271821=ORIENTED_EDGE('',*,*,#195418,.T.); #271822=ORIENTED_EDGE('',*,*,#195421,.T.); #271823=ORIENTED_EDGE('',*,*,#195411,.T.); #271824=ORIENTED_EDGE('',*,*,#195415,.T.); #271825=ORIENTED_EDGE('',*,*,#195425,.T.); #271826=ORIENTED_EDGE('',*,*,#195428,.T.); #271827=ORIENTED_EDGE('',*,*,#195431,.T.); #271828=ORIENTED_EDGE('',*,*,#195434,.T.); #271829=ORIENTED_EDGE('',*,*,#195437,.T.); #271830=ORIENTED_EDGE('',*,*,#195445,.T.); #271831=ORIENTED_EDGE('',*,*,#195448,.T.); #271832=ORIENTED_EDGE('',*,*,#195438,.T.); #271833=ORIENTED_EDGE('',*,*,#195442,.T.); #271834=ORIENTED_EDGE('',*,*,#195452,.T.); #271835=ORIENTED_EDGE('',*,*,#195460,.T.); #271836=ORIENTED_EDGE('',*,*,#195463,.T.); #271837=ORIENTED_EDGE('',*,*,#195453,.T.); #271838=ORIENTED_EDGE('',*,*,#195457,.T.); #271839=ORIENTED_EDGE('',*,*,#195472,.T.); #271840=ORIENTED_EDGE('',*,*,#195475,.T.); #271841=ORIENTED_EDGE('',*,*,#195465,.T.); #271842=ORIENTED_EDGE('',*,*,#195469,.T.); #271843=ORIENTED_EDGE('',*,*,#195479,.T.); #271844=ORIENTED_EDGE('',*,*,#195482,.T.); #271845=ORIENTED_EDGE('',*,*,#195485,.T.); #271846=ORIENTED_EDGE('',*,*,#195488,.T.); #271847=ORIENTED_EDGE('',*,*,#195491,.T.); #271848=ORIENTED_EDGE('',*,*,#195494,.T.); #271849=ORIENTED_EDGE('',*,*,#195497,.T.); #271850=ORIENTED_EDGE('',*,*,#195500,.T.); #271851=ORIENTED_EDGE('',*,*,#195503,.T.); #271852=ORIENTED_EDGE('',*,*,#195506,.T.); #271853=ORIENTED_EDGE('',*,*,#195509,.T.); #271854=ORIENTED_EDGE('',*,*,#195512,.T.); #271855=ORIENTED_EDGE('',*,*,#195515,.T.); #271856=ORIENTED_EDGE('',*,*,#195518,.T.); #271857=ORIENTED_EDGE('',*,*,#195521,.T.); #271858=ORIENTED_EDGE('',*,*,#195524,.T.); #271859=ORIENTED_EDGE('',*,*,#195527,.T.); #271860=ORIENTED_EDGE('',*,*,#195530,.T.); #271861=ORIENTED_EDGE('',*,*,#195533,.T.); #271862=ORIENTED_EDGE('',*,*,#195536,.T.); #271863=ORIENTED_EDGE('',*,*,#195539,.T.); #271864=ORIENTED_EDGE('',*,*,#195542,.T.); #271865=ORIENTED_EDGE('',*,*,#195545,.T.); #271866=ORIENTED_EDGE('',*,*,#195548,.T.); #271867=ORIENTED_EDGE('',*,*,#195551,.T.); #271868=ORIENTED_EDGE('',*,*,#195554,.T.); #271869=ORIENTED_EDGE('',*,*,#195557,.T.); #271870=ORIENTED_EDGE('',*,*,#195560,.T.); #271871=ORIENTED_EDGE('',*,*,#195563,.T.); #271872=ORIENTED_EDGE('',*,*,#195566,.T.); #271873=ORIENTED_EDGE('',*,*,#195569,.T.); #271874=ORIENTED_EDGE('',*,*,#195572,.T.); #271875=ORIENTED_EDGE('',*,*,#195575,.T.); #271876=ORIENTED_EDGE('',*,*,#195578,.T.); #271877=ORIENTED_EDGE('',*,*,#195581,.T.); #271878=ORIENTED_EDGE('',*,*,#195584,.T.); #271879=ORIENTED_EDGE('',*,*,#195587,.T.); #271880=ORIENTED_EDGE('',*,*,#195590,.T.); #271881=ORIENTED_EDGE('',*,*,#195593,.T.); #271882=ORIENTED_EDGE('',*,*,#195596,.T.); #271883=ORIENTED_EDGE('',*,*,#195599,.T.); #271884=ORIENTED_EDGE('',*,*,#195602,.T.); #271885=ORIENTED_EDGE('',*,*,#195605,.T.); #271886=ORIENTED_EDGE('',*,*,#195608,.T.); #271887=ORIENTED_EDGE('',*,*,#195611,.T.); #271888=ORIENTED_EDGE('',*,*,#195614,.T.); #271889=ORIENTED_EDGE('',*,*,#195617,.T.); #271890=ORIENTED_EDGE('',*,*,#195620,.T.); #271891=ORIENTED_EDGE('',*,*,#195623,.T.); #271892=ORIENTED_EDGE('',*,*,#195626,.T.); #271893=ORIENTED_EDGE('',*,*,#195629,.T.); #271894=ORIENTED_EDGE('',*,*,#195632,.T.); #271895=ORIENTED_EDGE('',*,*,#199871,.T.); #271896=ORIENTED_EDGE('',*,*,#199868,.T.); #271897=ORIENTED_EDGE('',*,*,#199865,.T.); #271898=ORIENTED_EDGE('',*,*,#199862,.T.); #271899=ORIENTED_EDGE('',*,*,#199859,.T.); #271900=ORIENTED_EDGE('',*,*,#199856,.T.); #271901=ORIENTED_EDGE('',*,*,#199853,.T.); #271902=ORIENTED_EDGE('',*,*,#199850,.T.); #271903=ORIENTED_EDGE('',*,*,#199847,.T.); #271904=ORIENTED_EDGE('',*,*,#199844,.T.); #271905=ORIENTED_EDGE('',*,*,#199841,.T.); #271906=ORIENTED_EDGE('',*,*,#199838,.T.); #271907=ORIENTED_EDGE('',*,*,#199835,.T.); #271908=ORIENTED_EDGE('',*,*,#199832,.T.); #271909=ORIENTED_EDGE('',*,*,#199829,.T.); #271910=ORIENTED_EDGE('',*,*,#199826,.T.); #271911=ORIENTED_EDGE('',*,*,#199823,.T.); #271912=ORIENTED_EDGE('',*,*,#199820,.T.); #271913=ORIENTED_EDGE('',*,*,#199817,.T.); #271914=ORIENTED_EDGE('',*,*,#199814,.T.); #271915=ORIENTED_EDGE('',*,*,#199811,.T.); #271916=ORIENTED_EDGE('',*,*,#199808,.T.); #271917=ORIENTED_EDGE('',*,*,#199805,.T.); #271918=ORIENTED_EDGE('',*,*,#199802,.T.); #271919=ORIENTED_EDGE('',*,*,#199799,.T.); #271920=ORIENTED_EDGE('',*,*,#199796,.T.); #271921=ORIENTED_EDGE('',*,*,#199793,.T.); #271922=ORIENTED_EDGE('',*,*,#199790,.T.); #271923=ORIENTED_EDGE('',*,*,#199787,.T.); #271924=ORIENTED_EDGE('',*,*,#199784,.T.); #271925=ORIENTED_EDGE('',*,*,#199781,.T.); #271926=ORIENTED_EDGE('',*,*,#199778,.T.); #271927=ORIENTED_EDGE('',*,*,#199775,.T.); #271928=ORIENTED_EDGE('',*,*,#199772,.T.); #271929=ORIENTED_EDGE('',*,*,#199769,.T.); #271930=ORIENTED_EDGE('',*,*,#199766,.T.); #271931=ORIENTED_EDGE('',*,*,#199763,.T.); #271932=ORIENTED_EDGE('',*,*,#199760,.T.); #271933=ORIENTED_EDGE('',*,*,#199757,.T.); #271934=ORIENTED_EDGE('',*,*,#199754,.T.); #271935=ORIENTED_EDGE('',*,*,#199751,.T.); #271936=ORIENTED_EDGE('',*,*,#199748,.T.); #271937=ORIENTED_EDGE('',*,*,#199745,.T.); #271938=ORIENTED_EDGE('',*,*,#199742,.T.); #271939=ORIENTED_EDGE('',*,*,#199739,.T.); #271940=ORIENTED_EDGE('',*,*,#199736,.T.); #271941=ORIENTED_EDGE('',*,*,#199733,.T.); #271942=ORIENTED_EDGE('',*,*,#199730,.T.); #271943=ORIENTED_EDGE('',*,*,#199727,.T.); #271944=ORIENTED_EDGE('',*,*,#199724,.T.); #271945=ORIENTED_EDGE('',*,*,#199721,.T.); #271946=ORIENTED_EDGE('',*,*,#199718,.T.); #271947=ORIENTED_EDGE('',*,*,#199715,.T.); #271948=ORIENTED_EDGE('',*,*,#199712,.T.); #271949=ORIENTED_EDGE('',*,*,#199709,.T.); #271950=ORIENTED_EDGE('',*,*,#199706,.T.); #271951=ORIENTED_EDGE('',*,*,#199703,.T.); #271952=ORIENTED_EDGE('',*,*,#199700,.T.); #271953=ORIENTED_EDGE('',*,*,#199697,.T.); #271954=ORIENTED_EDGE('',*,*,#199694,.T.); #271955=ORIENTED_EDGE('',*,*,#199691,.T.); #271956=ORIENTED_EDGE('',*,*,#199688,.T.); #271957=ORIENTED_EDGE('',*,*,#199685,.T.); #271958=ORIENTED_EDGE('',*,*,#199682,.T.); #271959=ORIENTED_EDGE('',*,*,#199679,.T.); #271960=ORIENTED_EDGE('',*,*,#199676,.T.); #271961=ORIENTED_EDGE('',*,*,#199673,.T.); #271962=ORIENTED_EDGE('',*,*,#199670,.T.); #271963=ORIENTED_EDGE('',*,*,#199667,.T.); #271964=ORIENTED_EDGE('',*,*,#199664,.T.); #271965=ORIENTED_EDGE('',*,*,#199661,.T.); #271966=ORIENTED_EDGE('',*,*,#199658,.T.); #271967=ORIENTED_EDGE('',*,*,#199655,.T.); #271968=ORIENTED_EDGE('',*,*,#199652,.T.); #271969=ORIENTED_EDGE('',*,*,#199649,.T.); #271970=ORIENTED_EDGE('',*,*,#199646,.T.); #271971=ORIENTED_EDGE('',*,*,#199643,.T.); #271972=ORIENTED_EDGE('',*,*,#199640,.T.); #271973=ORIENTED_EDGE('',*,*,#199637,.T.); #271974=ORIENTED_EDGE('',*,*,#199634,.T.); #271975=ORIENTED_EDGE('',*,*,#199631,.T.); #271976=ORIENTED_EDGE('',*,*,#199628,.T.); #271977=ORIENTED_EDGE('',*,*,#199625,.T.); #271978=ORIENTED_EDGE('',*,*,#199622,.T.); #271979=ORIENTED_EDGE('',*,*,#199619,.T.); #271980=ORIENTED_EDGE('',*,*,#199616,.T.); #271981=ORIENTED_EDGE('',*,*,#199613,.T.); #271982=ORIENTED_EDGE('',*,*,#199610,.T.); #271983=ORIENTED_EDGE('',*,*,#199607,.T.); #271984=ORIENTED_EDGE('',*,*,#199604,.T.); #271985=ORIENTED_EDGE('',*,*,#199601,.T.); #271986=ORIENTED_EDGE('',*,*,#199598,.T.); #271987=ORIENTED_EDGE('',*,*,#199595,.T.); #271988=ORIENTED_EDGE('',*,*,#199592,.T.); #271989=ORIENTED_EDGE('',*,*,#199589,.T.); #271990=ORIENTED_EDGE('',*,*,#199586,.T.); #271991=ORIENTED_EDGE('',*,*,#199583,.T.); #271992=ORIENTED_EDGE('',*,*,#199580,.T.); #271993=ORIENTED_EDGE('',*,*,#199577,.T.); #271994=ORIENTED_EDGE('',*,*,#199574,.T.); #271995=ORIENTED_EDGE('',*,*,#199571,.T.); #271996=ORIENTED_EDGE('',*,*,#199568,.T.); #271997=ORIENTED_EDGE('',*,*,#199565,.T.); #271998=ORIENTED_EDGE('',*,*,#199562,.T.); #271999=ORIENTED_EDGE('',*,*,#199559,.T.); #272000=ORIENTED_EDGE('',*,*,#199556,.T.); #272001=ORIENTED_EDGE('',*,*,#199553,.T.); #272002=ORIENTED_EDGE('',*,*,#199550,.T.); #272003=ORIENTED_EDGE('',*,*,#199547,.T.); #272004=ORIENTED_EDGE('',*,*,#199544,.T.); #272005=ORIENTED_EDGE('',*,*,#199541,.T.); #272006=ORIENTED_EDGE('',*,*,#199538,.T.); #272007=ORIENTED_EDGE('',*,*,#199535,.T.); #272008=ORIENTED_EDGE('',*,*,#199532,.T.); #272009=ORIENTED_EDGE('',*,*,#199529,.T.); #272010=ORIENTED_EDGE('',*,*,#199526,.T.); #272011=ORIENTED_EDGE('',*,*,#199523,.T.); #272012=ORIENTED_EDGE('',*,*,#199520,.T.); #272013=ORIENTED_EDGE('',*,*,#199517,.T.); #272014=ORIENTED_EDGE('',*,*,#199514,.T.); #272015=ORIENTED_EDGE('',*,*,#199511,.T.); #272016=ORIENTED_EDGE('',*,*,#199508,.T.); #272017=ORIENTED_EDGE('',*,*,#199505,.T.); #272018=ORIENTED_EDGE('',*,*,#199502,.T.); #272019=ORIENTED_EDGE('',*,*,#199499,.T.); #272020=ORIENTED_EDGE('',*,*,#199496,.T.); #272021=ORIENTED_EDGE('',*,*,#199493,.T.); #272022=ORIENTED_EDGE('',*,*,#199490,.T.); #272023=ORIENTED_EDGE('',*,*,#199487,.T.); #272024=ORIENTED_EDGE('',*,*,#199484,.T.); #272025=ORIENTED_EDGE('',*,*,#199481,.T.); #272026=ORIENTED_EDGE('',*,*,#199478,.T.); #272027=ORIENTED_EDGE('',*,*,#199475,.T.); #272028=ORIENTED_EDGE('',*,*,#199472,.T.); #272029=ORIENTED_EDGE('',*,*,#199469,.T.); #272030=ORIENTED_EDGE('',*,*,#199466,.T.); #272031=ORIENTED_EDGE('',*,*,#199463,.T.); #272032=ORIENTED_EDGE('',*,*,#199460,.T.); #272033=ORIENTED_EDGE('',*,*,#199457,.T.); #272034=ORIENTED_EDGE('',*,*,#199454,.T.); #272035=ORIENTED_EDGE('',*,*,#199451,.T.); #272036=ORIENTED_EDGE('',*,*,#199448,.T.); #272037=ORIENTED_EDGE('',*,*,#199445,.T.); #272038=ORIENTED_EDGE('',*,*,#199442,.T.); #272039=ORIENTED_EDGE('',*,*,#199439,.T.); #272040=ORIENTED_EDGE('',*,*,#199436,.T.); #272041=ORIENTED_EDGE('',*,*,#199433,.T.); #272042=ORIENTED_EDGE('',*,*,#199430,.T.); #272043=ORIENTED_EDGE('',*,*,#199427,.T.); #272044=ORIENTED_EDGE('',*,*,#199424,.T.); #272045=ORIENTED_EDGE('',*,*,#199421,.T.); #272046=ORIENTED_EDGE('',*,*,#199418,.T.); #272047=ORIENTED_EDGE('',*,*,#199415,.T.); #272048=ORIENTED_EDGE('',*,*,#199412,.T.); #272049=ORIENTED_EDGE('',*,*,#199409,.T.); #272050=ORIENTED_EDGE('',*,*,#199406,.T.); #272051=ORIENTED_EDGE('',*,*,#199403,.T.); #272052=ORIENTED_EDGE('',*,*,#199400,.T.); #272053=ORIENTED_EDGE('',*,*,#199397,.T.); #272054=ORIENTED_EDGE('',*,*,#199394,.T.); #272055=ORIENTED_EDGE('',*,*,#199391,.T.); #272056=ORIENTED_EDGE('',*,*,#199388,.T.); #272057=ORIENTED_EDGE('',*,*,#199385,.T.); #272058=ORIENTED_EDGE('',*,*,#199382,.T.); #272059=ORIENTED_EDGE('',*,*,#199379,.T.); #272060=ORIENTED_EDGE('',*,*,#199376,.T.); #272061=ORIENTED_EDGE('',*,*,#199373,.T.); #272062=ORIENTED_EDGE('',*,*,#199370,.T.); #272063=ORIENTED_EDGE('',*,*,#199367,.T.); #272064=ORIENTED_EDGE('',*,*,#199364,.T.); #272065=ORIENTED_EDGE('',*,*,#199361,.T.); #272066=ORIENTED_EDGE('',*,*,#199358,.T.); #272067=ORIENTED_EDGE('',*,*,#199355,.T.); #272068=ORIENTED_EDGE('',*,*,#199352,.T.); #272069=ORIENTED_EDGE('',*,*,#199349,.T.); #272070=ORIENTED_EDGE('',*,*,#199346,.T.); #272071=ORIENTED_EDGE('',*,*,#199343,.T.); #272072=ORIENTED_EDGE('',*,*,#199340,.T.); #272073=ORIENTED_EDGE('',*,*,#199337,.T.); #272074=ORIENTED_EDGE('',*,*,#199334,.T.); #272075=ORIENTED_EDGE('',*,*,#199331,.T.); #272076=ORIENTED_EDGE('',*,*,#199328,.T.); #272077=ORIENTED_EDGE('',*,*,#199325,.T.); #272078=ORIENTED_EDGE('',*,*,#199322,.T.); #272079=ORIENTED_EDGE('',*,*,#199319,.T.); #272080=ORIENTED_EDGE('',*,*,#199316,.T.); #272081=ORIENTED_EDGE('',*,*,#199313,.T.); #272082=ORIENTED_EDGE('',*,*,#199310,.T.); #272083=ORIENTED_EDGE('',*,*,#199307,.T.); #272084=ORIENTED_EDGE('',*,*,#199304,.T.); #272085=ORIENTED_EDGE('',*,*,#199301,.T.); #272086=ORIENTED_EDGE('',*,*,#199298,.T.); #272087=ORIENTED_EDGE('',*,*,#199295,.T.); #272088=ORIENTED_EDGE('',*,*,#199292,.T.); #272089=ORIENTED_EDGE('',*,*,#199289,.T.); #272090=ORIENTED_EDGE('',*,*,#199286,.T.); #272091=ORIENTED_EDGE('',*,*,#199283,.T.); #272092=ORIENTED_EDGE('',*,*,#199280,.T.); #272093=ORIENTED_EDGE('',*,*,#199277,.T.); #272094=ORIENTED_EDGE('',*,*,#199274,.T.); #272095=ORIENTED_EDGE('',*,*,#199271,.T.); #272096=ORIENTED_EDGE('',*,*,#199268,.T.); #272097=ORIENTED_EDGE('',*,*,#199265,.T.); #272098=ORIENTED_EDGE('',*,*,#199262,.T.); #272099=ORIENTED_EDGE('',*,*,#199259,.T.); #272100=ORIENTED_EDGE('',*,*,#199256,.T.); #272101=ORIENTED_EDGE('',*,*,#199253,.T.); #272102=ORIENTED_EDGE('',*,*,#199250,.T.); #272103=ORIENTED_EDGE('',*,*,#199247,.T.); #272104=ORIENTED_EDGE('',*,*,#199244,.T.); #272105=ORIENTED_EDGE('',*,*,#199241,.T.); #272106=ORIENTED_EDGE('',*,*,#199238,.T.); #272107=ORIENTED_EDGE('',*,*,#199235,.T.); #272108=ORIENTED_EDGE('',*,*,#199232,.T.); #272109=ORIENTED_EDGE('',*,*,#199229,.T.); #272110=ORIENTED_EDGE('',*,*,#199226,.T.); #272111=ORIENTED_EDGE('',*,*,#199223,.T.); #272112=ORIENTED_EDGE('',*,*,#199220,.T.); #272113=ORIENTED_EDGE('',*,*,#199217,.T.); #272114=ORIENTED_EDGE('',*,*,#199214,.T.); #272115=ORIENTED_EDGE('',*,*,#199211,.T.); #272116=ORIENTED_EDGE('',*,*,#199208,.T.); #272117=ORIENTED_EDGE('',*,*,#199205,.T.); #272118=ORIENTED_EDGE('',*,*,#199202,.T.); #272119=ORIENTED_EDGE('',*,*,#199199,.T.); #272120=ORIENTED_EDGE('',*,*,#199196,.T.); #272121=ORIENTED_EDGE('',*,*,#199193,.T.); #272122=ORIENTED_EDGE('',*,*,#199190,.T.); #272123=ORIENTED_EDGE('',*,*,#199187,.T.); #272124=ORIENTED_EDGE('',*,*,#199184,.T.); #272125=ORIENTED_EDGE('',*,*,#199181,.T.); #272126=ORIENTED_EDGE('',*,*,#199178,.T.); #272127=ORIENTED_EDGE('',*,*,#199175,.T.); #272128=ORIENTED_EDGE('',*,*,#199172,.T.); #272129=ORIENTED_EDGE('',*,*,#199169,.T.); #272130=ORIENTED_EDGE('',*,*,#199166,.T.); #272131=ORIENTED_EDGE('',*,*,#199163,.T.); #272132=ORIENTED_EDGE('',*,*,#199160,.T.); #272133=ORIENTED_EDGE('',*,*,#199157,.T.); #272134=ORIENTED_EDGE('',*,*,#199154,.T.); #272135=ORIENTED_EDGE('',*,*,#199151,.T.); #272136=ORIENTED_EDGE('',*,*,#199148,.T.); #272137=ORIENTED_EDGE('',*,*,#199145,.T.); #272138=ORIENTED_EDGE('',*,*,#199142,.T.); #272139=ORIENTED_EDGE('',*,*,#199139,.T.); #272140=ORIENTED_EDGE('',*,*,#199136,.T.); #272141=ORIENTED_EDGE('',*,*,#199133,.T.); #272142=ORIENTED_EDGE('',*,*,#199130,.T.); #272143=ORIENTED_EDGE('',*,*,#199127,.T.); #272144=ORIENTED_EDGE('',*,*,#199124,.T.); #272145=ORIENTED_EDGE('',*,*,#199121,.T.); #272146=ORIENTED_EDGE('',*,*,#199118,.T.); #272147=ORIENTED_EDGE('',*,*,#199115,.T.); #272148=ORIENTED_EDGE('',*,*,#199112,.T.); #272149=ORIENTED_EDGE('',*,*,#199109,.T.); #272150=ORIENTED_EDGE('',*,*,#199106,.T.); #272151=ORIENTED_EDGE('',*,*,#199103,.T.); #272152=ORIENTED_EDGE('',*,*,#199100,.T.); #272153=ORIENTED_EDGE('',*,*,#199097,.T.); #272154=ORIENTED_EDGE('',*,*,#199094,.T.); #272155=ORIENTED_EDGE('',*,*,#199091,.T.); #272156=ORIENTED_EDGE('',*,*,#199088,.T.); #272157=ORIENTED_EDGE('',*,*,#199085,.T.); #272158=ORIENTED_EDGE('',*,*,#199082,.T.); #272159=ORIENTED_EDGE('',*,*,#199079,.T.); #272160=ORIENTED_EDGE('',*,*,#199076,.T.); #272161=ORIENTED_EDGE('',*,*,#199073,.T.); #272162=ORIENTED_EDGE('',*,*,#199070,.T.); #272163=ORIENTED_EDGE('',*,*,#199067,.T.); #272164=ORIENTED_EDGE('',*,*,#199064,.T.); #272165=ORIENTED_EDGE('',*,*,#199061,.T.); #272166=ORIENTED_EDGE('',*,*,#199058,.T.); #272167=ORIENTED_EDGE('',*,*,#199055,.T.); #272168=ORIENTED_EDGE('',*,*,#199052,.T.); #272169=ORIENTED_EDGE('',*,*,#199049,.T.); #272170=ORIENTED_EDGE('',*,*,#199046,.T.); #272171=ORIENTED_EDGE('',*,*,#199043,.T.); #272172=ORIENTED_EDGE('',*,*,#199040,.T.); #272173=ORIENTED_EDGE('',*,*,#199037,.T.); #272174=ORIENTED_EDGE('',*,*,#199034,.T.); #272175=ORIENTED_EDGE('',*,*,#199031,.T.); #272176=ORIENTED_EDGE('',*,*,#199028,.T.); #272177=ORIENTED_EDGE('',*,*,#199025,.T.); #272178=ORIENTED_EDGE('',*,*,#199022,.T.); #272179=ORIENTED_EDGE('',*,*,#199019,.T.); #272180=ORIENTED_EDGE('',*,*,#199016,.T.); #272181=ORIENTED_EDGE('',*,*,#199013,.T.); #272182=ORIENTED_EDGE('',*,*,#199010,.T.); #272183=ORIENTED_EDGE('',*,*,#199007,.T.); #272184=ORIENTED_EDGE('',*,*,#199004,.T.); #272185=ORIENTED_EDGE('',*,*,#199001,.T.); #272186=ORIENTED_EDGE('',*,*,#198998,.T.); #272187=ORIENTED_EDGE('',*,*,#198995,.T.); #272188=ORIENTED_EDGE('',*,*,#198992,.T.); #272189=ORIENTED_EDGE('',*,*,#198989,.T.); #272190=ORIENTED_EDGE('',*,*,#198986,.T.); #272191=ORIENTED_EDGE('',*,*,#198983,.T.); #272192=ORIENTED_EDGE('',*,*,#198980,.T.); #272193=ORIENTED_EDGE('',*,*,#198977,.T.); #272194=ORIENTED_EDGE('',*,*,#198974,.T.); #272195=ORIENTED_EDGE('',*,*,#198971,.T.); #272196=ORIENTED_EDGE('',*,*,#198968,.T.); #272197=ORIENTED_EDGE('',*,*,#198965,.T.); #272198=ORIENTED_EDGE('',*,*,#198962,.T.); #272199=ORIENTED_EDGE('',*,*,#198959,.T.); #272200=ORIENTED_EDGE('',*,*,#198956,.T.); #272201=ORIENTED_EDGE('',*,*,#198953,.T.); #272202=ORIENTED_EDGE('',*,*,#198950,.T.); #272203=ORIENTED_EDGE('',*,*,#198947,.T.); #272204=ORIENTED_EDGE('',*,*,#198944,.T.); #272205=ORIENTED_EDGE('',*,*,#198941,.T.); #272206=ORIENTED_EDGE('',*,*,#198938,.T.); #272207=ORIENTED_EDGE('',*,*,#198935,.T.); #272208=ORIENTED_EDGE('',*,*,#198932,.T.); #272209=ORIENTED_EDGE('',*,*,#198929,.T.); #272210=ORIENTED_EDGE('',*,*,#198926,.T.); #272211=ORIENTED_EDGE('',*,*,#198923,.T.); #272212=ORIENTED_EDGE('',*,*,#198920,.T.); #272213=ORIENTED_EDGE('',*,*,#198917,.T.); #272214=ORIENTED_EDGE('',*,*,#198914,.T.); #272215=ORIENTED_EDGE('',*,*,#198911,.T.); #272216=ORIENTED_EDGE('',*,*,#198908,.T.); #272217=ORIENTED_EDGE('',*,*,#198905,.T.); #272218=ORIENTED_EDGE('',*,*,#198902,.T.); #272219=ORIENTED_EDGE('',*,*,#198899,.T.); #272220=ORIENTED_EDGE('',*,*,#198896,.T.); #272221=ORIENTED_EDGE('',*,*,#198893,.T.); #272222=ORIENTED_EDGE('',*,*,#198890,.T.); #272223=ORIENTED_EDGE('',*,*,#198887,.T.); #272224=ORIENTED_EDGE('',*,*,#198884,.T.); #272225=ORIENTED_EDGE('',*,*,#198881,.T.); #272226=ORIENTED_EDGE('',*,*,#198878,.T.); #272227=ORIENTED_EDGE('',*,*,#198875,.T.); #272228=ORIENTED_EDGE('',*,*,#198872,.T.); #272229=ORIENTED_EDGE('',*,*,#198869,.T.); #272230=ORIENTED_EDGE('',*,*,#198866,.T.); #272231=ORIENTED_EDGE('',*,*,#198863,.T.); #272232=ORIENTED_EDGE('',*,*,#198860,.T.); #272233=ORIENTED_EDGE('',*,*,#198857,.T.); #272234=ORIENTED_EDGE('',*,*,#198854,.T.); #272235=ORIENTED_EDGE('',*,*,#198851,.T.); #272236=ORIENTED_EDGE('',*,*,#198848,.T.); #272237=ORIENTED_EDGE('',*,*,#198845,.T.); #272238=ORIENTED_EDGE('',*,*,#198842,.T.); #272239=ORIENTED_EDGE('',*,*,#198839,.T.); #272240=ORIENTED_EDGE('',*,*,#198836,.T.); #272241=ORIENTED_EDGE('',*,*,#198833,.T.); #272242=ORIENTED_EDGE('',*,*,#198830,.T.); #272243=ORIENTED_EDGE('',*,*,#198827,.T.); #272244=ORIENTED_EDGE('',*,*,#198824,.T.); #272245=ORIENTED_EDGE('',*,*,#198821,.T.); #272246=ORIENTED_EDGE('',*,*,#198818,.T.); #272247=ORIENTED_EDGE('',*,*,#198815,.T.); #272248=ORIENTED_EDGE('',*,*,#198812,.T.); #272249=ORIENTED_EDGE('',*,*,#198809,.T.); #272250=ORIENTED_EDGE('',*,*,#198806,.T.); #272251=ORIENTED_EDGE('',*,*,#198803,.T.); #272252=ORIENTED_EDGE('',*,*,#198800,.T.); #272253=ORIENTED_EDGE('',*,*,#198797,.T.); #272254=ORIENTED_EDGE('',*,*,#198794,.T.); #272255=ORIENTED_EDGE('',*,*,#198791,.T.); #272256=ORIENTED_EDGE('',*,*,#198788,.T.); #272257=ORIENTED_EDGE('',*,*,#198785,.T.); #272258=ORIENTED_EDGE('',*,*,#198782,.T.); #272259=ORIENTED_EDGE('',*,*,#198779,.T.); #272260=ORIENTED_EDGE('',*,*,#198776,.T.); #272261=ORIENTED_EDGE('',*,*,#198773,.T.); #272262=ORIENTED_EDGE('',*,*,#198770,.T.); #272263=ORIENTED_EDGE('',*,*,#198767,.T.); #272264=ORIENTED_EDGE('',*,*,#198764,.T.); #272265=ORIENTED_EDGE('',*,*,#198761,.T.); #272266=ORIENTED_EDGE('',*,*,#198758,.T.); #272267=ORIENTED_EDGE('',*,*,#198755,.T.); #272268=ORIENTED_EDGE('',*,*,#198752,.T.); #272269=ORIENTED_EDGE('',*,*,#198749,.T.); #272270=ORIENTED_EDGE('',*,*,#198746,.T.); #272271=ORIENTED_EDGE('',*,*,#198743,.T.); #272272=ORIENTED_EDGE('',*,*,#198740,.T.); #272273=ORIENTED_EDGE('',*,*,#198737,.T.); #272274=ORIENTED_EDGE('',*,*,#198734,.T.); #272275=ORIENTED_EDGE('',*,*,#198731,.T.); #272276=ORIENTED_EDGE('',*,*,#198728,.T.); #272277=ORIENTED_EDGE('',*,*,#198725,.T.); #272278=ORIENTED_EDGE('',*,*,#198722,.T.); #272279=ORIENTED_EDGE('',*,*,#198719,.T.); #272280=ORIENTED_EDGE('',*,*,#198716,.T.); #272281=ORIENTED_EDGE('',*,*,#198713,.T.); #272282=ORIENTED_EDGE('',*,*,#198710,.T.); #272283=ORIENTED_EDGE('',*,*,#198707,.T.); #272284=ORIENTED_EDGE('',*,*,#198704,.T.); #272285=ORIENTED_EDGE('',*,*,#198701,.T.); #272286=ORIENTED_EDGE('',*,*,#198698,.T.); #272287=ORIENTED_EDGE('',*,*,#198695,.T.); #272288=ORIENTED_EDGE('',*,*,#198692,.T.); #272289=ORIENTED_EDGE('',*,*,#198689,.T.); #272290=ORIENTED_EDGE('',*,*,#198686,.T.); #272291=ORIENTED_EDGE('',*,*,#198683,.T.); #272292=ORIENTED_EDGE('',*,*,#198680,.T.); #272293=ORIENTED_EDGE('',*,*,#198677,.T.); #272294=ORIENTED_EDGE('',*,*,#198674,.T.); #272295=ORIENTED_EDGE('',*,*,#198671,.T.); #272296=ORIENTED_EDGE('',*,*,#198668,.T.); #272297=ORIENTED_EDGE('',*,*,#198665,.T.); #272298=ORIENTED_EDGE('',*,*,#198662,.T.); #272299=ORIENTED_EDGE('',*,*,#198659,.T.); #272300=ORIENTED_EDGE('',*,*,#198656,.T.); #272301=ORIENTED_EDGE('',*,*,#198653,.T.); #272302=ORIENTED_EDGE('',*,*,#198650,.T.); #272303=ORIENTED_EDGE('',*,*,#198647,.T.); #272304=ORIENTED_EDGE('',*,*,#198644,.T.); #272305=ORIENTED_EDGE('',*,*,#198641,.T.); #272306=ORIENTED_EDGE('',*,*,#198638,.T.); #272307=ORIENTED_EDGE('',*,*,#198635,.T.); #272308=ORIENTED_EDGE('',*,*,#198632,.T.); #272309=ORIENTED_EDGE('',*,*,#198629,.T.); #272310=ORIENTED_EDGE('',*,*,#198626,.T.); #272311=ORIENTED_EDGE('',*,*,#198623,.T.); #272312=ORIENTED_EDGE('',*,*,#198620,.T.); #272313=ORIENTED_EDGE('',*,*,#198617,.T.); #272314=ORIENTED_EDGE('',*,*,#198614,.T.); #272315=ORIENTED_EDGE('',*,*,#198611,.T.); #272316=ORIENTED_EDGE('',*,*,#198608,.T.); #272317=ORIENTED_EDGE('',*,*,#198605,.T.); #272318=ORIENTED_EDGE('',*,*,#198602,.T.); #272319=ORIENTED_EDGE('',*,*,#198599,.T.); #272320=ORIENTED_EDGE('',*,*,#198596,.T.); #272321=ORIENTED_EDGE('',*,*,#198593,.T.); #272322=ORIENTED_EDGE('',*,*,#198590,.T.); #272323=ORIENTED_EDGE('',*,*,#198587,.T.); #272324=ORIENTED_EDGE('',*,*,#198584,.T.); #272325=ORIENTED_EDGE('',*,*,#198581,.T.); #272326=ORIENTED_EDGE('',*,*,#198578,.T.); #272327=ORIENTED_EDGE('',*,*,#198575,.T.); #272328=ORIENTED_EDGE('',*,*,#198572,.T.); #272329=ORIENTED_EDGE('',*,*,#198569,.T.); #272330=ORIENTED_EDGE('',*,*,#198566,.T.); #272331=ORIENTED_EDGE('',*,*,#198563,.T.); #272332=ORIENTED_EDGE('',*,*,#198560,.T.); #272333=ORIENTED_EDGE('',*,*,#198557,.T.); #272334=ORIENTED_EDGE('',*,*,#198554,.T.); #272335=ORIENTED_EDGE('',*,*,#198551,.T.); #272336=ORIENTED_EDGE('',*,*,#198548,.T.); #272337=ORIENTED_EDGE('',*,*,#198545,.T.); #272338=ORIENTED_EDGE('',*,*,#198542,.T.); #272339=ORIENTED_EDGE('',*,*,#198539,.T.); #272340=ORIENTED_EDGE('',*,*,#198536,.T.); #272341=ORIENTED_EDGE('',*,*,#198533,.T.); #272342=ORIENTED_EDGE('',*,*,#198530,.T.); #272343=ORIENTED_EDGE('',*,*,#198527,.T.); #272344=ORIENTED_EDGE('',*,*,#198524,.T.); #272345=ORIENTED_EDGE('',*,*,#198521,.T.); #272346=ORIENTED_EDGE('',*,*,#198518,.T.); #272347=ORIENTED_EDGE('',*,*,#198515,.T.); #272348=ORIENTED_EDGE('',*,*,#198512,.T.); #272349=ORIENTED_EDGE('',*,*,#198509,.T.); #272350=ORIENTED_EDGE('',*,*,#198506,.T.); #272351=ORIENTED_EDGE('',*,*,#198503,.T.); #272352=ORIENTED_EDGE('',*,*,#198500,.T.); #272353=ORIENTED_EDGE('',*,*,#198497,.T.); #272354=ORIENTED_EDGE('',*,*,#198494,.T.); #272355=ORIENTED_EDGE('',*,*,#198491,.T.); #272356=ORIENTED_EDGE('',*,*,#198488,.T.); #272357=ORIENTED_EDGE('',*,*,#198485,.T.); #272358=ORIENTED_EDGE('',*,*,#198482,.T.); #272359=ORIENTED_EDGE('',*,*,#198479,.T.); #272360=ORIENTED_EDGE('',*,*,#198476,.T.); #272361=ORIENTED_EDGE('',*,*,#198473,.T.); #272362=ORIENTED_EDGE('',*,*,#198470,.T.); #272363=ORIENTED_EDGE('',*,*,#198467,.T.); #272364=ORIENTED_EDGE('',*,*,#198464,.T.); #272365=ORIENTED_EDGE('',*,*,#198461,.T.); #272366=ORIENTED_EDGE('',*,*,#198458,.T.); #272367=ORIENTED_EDGE('',*,*,#198455,.T.); #272368=ORIENTED_EDGE('',*,*,#198452,.T.); #272369=ORIENTED_EDGE('',*,*,#198449,.T.); #272370=ORIENTED_EDGE('',*,*,#198446,.T.); #272371=ORIENTED_EDGE('',*,*,#198443,.T.); #272372=ORIENTED_EDGE('',*,*,#198440,.T.); #272373=ORIENTED_EDGE('',*,*,#198437,.T.); #272374=ORIENTED_EDGE('',*,*,#198434,.T.); #272375=ORIENTED_EDGE('',*,*,#198431,.T.); #272376=ORIENTED_EDGE('',*,*,#198428,.T.); #272377=ORIENTED_EDGE('',*,*,#198425,.T.); #272378=ORIENTED_EDGE('',*,*,#198422,.T.); #272379=ORIENTED_EDGE('',*,*,#198419,.T.); #272380=ORIENTED_EDGE('',*,*,#198416,.T.); #272381=ORIENTED_EDGE('',*,*,#198413,.T.); #272382=ORIENTED_EDGE('',*,*,#198410,.T.); #272383=ORIENTED_EDGE('',*,*,#198407,.T.); #272384=ORIENTED_EDGE('',*,*,#198404,.T.); #272385=ORIENTED_EDGE('',*,*,#198401,.T.); #272386=ORIENTED_EDGE('',*,*,#198398,.T.); #272387=ORIENTED_EDGE('',*,*,#198395,.T.); #272388=ORIENTED_EDGE('',*,*,#198392,.T.); #272389=ORIENTED_EDGE('',*,*,#198389,.T.); #272390=ORIENTED_EDGE('',*,*,#198386,.T.); #272391=ORIENTED_EDGE('',*,*,#198383,.T.); #272392=ORIENTED_EDGE('',*,*,#198380,.T.); #272393=ORIENTED_EDGE('',*,*,#198377,.T.); #272394=ORIENTED_EDGE('',*,*,#198374,.T.); #272395=ORIENTED_EDGE('',*,*,#198371,.T.); #272396=ORIENTED_EDGE('',*,*,#198368,.T.); #272397=ORIENTED_EDGE('',*,*,#198365,.T.); #272398=ORIENTED_EDGE('',*,*,#198362,.T.); #272399=ORIENTED_EDGE('',*,*,#198359,.T.); #272400=ORIENTED_EDGE('',*,*,#198356,.T.); #272401=ORIENTED_EDGE('',*,*,#198353,.T.); #272402=ORIENTED_EDGE('',*,*,#198350,.T.); #272403=ORIENTED_EDGE('',*,*,#198347,.T.); #272404=ORIENTED_EDGE('',*,*,#198344,.T.); #272405=ORIENTED_EDGE('',*,*,#198341,.T.); #272406=ORIENTED_EDGE('',*,*,#198338,.T.); #272407=ORIENTED_EDGE('',*,*,#198335,.T.); #272408=ORIENTED_EDGE('',*,*,#198332,.T.); #272409=ORIENTED_EDGE('',*,*,#198329,.T.); #272410=ORIENTED_EDGE('',*,*,#198326,.T.); #272411=ORIENTED_EDGE('',*,*,#198323,.T.); #272412=ORIENTED_EDGE('',*,*,#198320,.T.); #272413=ORIENTED_EDGE('',*,*,#198317,.T.); #272414=ORIENTED_EDGE('',*,*,#198314,.T.); #272415=ORIENTED_EDGE('',*,*,#198311,.T.); #272416=ORIENTED_EDGE('',*,*,#198308,.T.); #272417=ORIENTED_EDGE('',*,*,#198305,.T.); #272418=ORIENTED_EDGE('',*,*,#198302,.T.); #272419=ORIENTED_EDGE('',*,*,#198299,.T.); #272420=ORIENTED_EDGE('',*,*,#198296,.T.); #272421=ORIENTED_EDGE('',*,*,#198293,.T.); #272422=ORIENTED_EDGE('',*,*,#198290,.T.); #272423=ORIENTED_EDGE('',*,*,#198287,.T.); #272424=ORIENTED_EDGE('',*,*,#198284,.T.); #272425=ORIENTED_EDGE('',*,*,#198281,.T.); #272426=ORIENTED_EDGE('',*,*,#198278,.T.); #272427=ORIENTED_EDGE('',*,*,#198275,.T.); #272428=ORIENTED_EDGE('',*,*,#198272,.T.); #272429=ORIENTED_EDGE('',*,*,#198269,.T.); #272430=ORIENTED_EDGE('',*,*,#198266,.T.); #272431=ORIENTED_EDGE('',*,*,#198263,.T.); #272432=ORIENTED_EDGE('',*,*,#198260,.T.); #272433=ORIENTED_EDGE('',*,*,#198257,.T.); #272434=ORIENTED_EDGE('',*,*,#198254,.T.); #272435=ORIENTED_EDGE('',*,*,#198251,.T.); #272436=ORIENTED_EDGE('',*,*,#198248,.T.); #272437=ORIENTED_EDGE('',*,*,#198245,.T.); #272438=ORIENTED_EDGE('',*,*,#198242,.T.); #272439=ORIENTED_EDGE('',*,*,#198239,.T.); #272440=ORIENTED_EDGE('',*,*,#198236,.T.); #272441=ORIENTED_EDGE('',*,*,#198233,.T.); #272442=ORIENTED_EDGE('',*,*,#198230,.T.); #272443=ORIENTED_EDGE('',*,*,#198227,.T.); #272444=ORIENTED_EDGE('',*,*,#198224,.T.); #272445=ORIENTED_EDGE('',*,*,#198221,.T.); #272446=ORIENTED_EDGE('',*,*,#198218,.T.); #272447=ORIENTED_EDGE('',*,*,#198215,.T.); #272448=ORIENTED_EDGE('',*,*,#198212,.T.); #272449=ORIENTED_EDGE('',*,*,#198209,.T.); #272450=ORIENTED_EDGE('',*,*,#198206,.T.); #272451=ORIENTED_EDGE('',*,*,#198203,.T.); #272452=ORIENTED_EDGE('',*,*,#198200,.T.); #272453=ORIENTED_EDGE('',*,*,#198197,.T.); #272454=ORIENTED_EDGE('',*,*,#198194,.T.); #272455=ORIENTED_EDGE('',*,*,#198191,.T.); #272456=ORIENTED_EDGE('',*,*,#198188,.T.); #272457=ORIENTED_EDGE('',*,*,#198185,.T.); #272458=ORIENTED_EDGE('',*,*,#198182,.T.); #272459=ORIENTED_EDGE('',*,*,#198179,.T.); #272460=ORIENTED_EDGE('',*,*,#198176,.T.); #272461=ORIENTED_EDGE('',*,*,#198173,.T.); #272462=ORIENTED_EDGE('',*,*,#198170,.T.); #272463=ORIENTED_EDGE('',*,*,#198167,.T.); #272464=ORIENTED_EDGE('',*,*,#198164,.T.); #272465=ORIENTED_EDGE('',*,*,#198161,.T.); #272466=ORIENTED_EDGE('',*,*,#198158,.T.); #272467=ORIENTED_EDGE('',*,*,#198155,.T.); #272468=ORIENTED_EDGE('',*,*,#198152,.T.); #272469=ORIENTED_EDGE('',*,*,#198149,.T.); #272470=ORIENTED_EDGE('',*,*,#198146,.T.); #272471=ORIENTED_EDGE('',*,*,#198143,.T.); #272472=ORIENTED_EDGE('',*,*,#198140,.T.); #272473=ORIENTED_EDGE('',*,*,#198137,.T.); #272474=ORIENTED_EDGE('',*,*,#198134,.T.); #272475=ORIENTED_EDGE('',*,*,#198131,.T.); #272476=ORIENTED_EDGE('',*,*,#198128,.T.); #272477=ORIENTED_EDGE('',*,*,#198125,.T.); #272478=ORIENTED_EDGE('',*,*,#198122,.T.); #272479=ORIENTED_EDGE('',*,*,#198119,.T.); #272480=ORIENTED_EDGE('',*,*,#198116,.T.); #272481=ORIENTED_EDGE('',*,*,#198113,.T.); #272482=ORIENTED_EDGE('',*,*,#198110,.T.); #272483=ORIENTED_EDGE('',*,*,#198107,.T.); #272484=ORIENTED_EDGE('',*,*,#198104,.T.); #272485=ORIENTED_EDGE('',*,*,#198101,.T.); #272486=ORIENTED_EDGE('',*,*,#198098,.T.); #272487=ORIENTED_EDGE('',*,*,#198095,.T.); #272488=ORIENTED_EDGE('',*,*,#198092,.T.); #272489=ORIENTED_EDGE('',*,*,#198089,.T.); #272490=ORIENTED_EDGE('',*,*,#198086,.T.); #272491=ORIENTED_EDGE('',*,*,#198083,.T.); #272492=ORIENTED_EDGE('',*,*,#198080,.T.); #272493=ORIENTED_EDGE('',*,*,#198077,.T.); #272494=ORIENTED_EDGE('',*,*,#198074,.T.); #272495=ORIENTED_EDGE('',*,*,#198071,.T.); #272496=ORIENTED_EDGE('',*,*,#198068,.T.); #272497=ORIENTED_EDGE('',*,*,#198065,.T.); #272498=ORIENTED_EDGE('',*,*,#198062,.T.); #272499=ORIENTED_EDGE('',*,*,#198059,.T.); #272500=ORIENTED_EDGE('',*,*,#198056,.T.); #272501=ORIENTED_EDGE('',*,*,#198053,.T.); #272502=ORIENTED_EDGE('',*,*,#198050,.T.); #272503=ORIENTED_EDGE('',*,*,#198047,.T.); #272504=ORIENTED_EDGE('',*,*,#198044,.T.); #272505=ORIENTED_EDGE('',*,*,#198041,.T.); #272506=ORIENTED_EDGE('',*,*,#198038,.T.); #272507=ORIENTED_EDGE('',*,*,#198035,.T.); #272508=ORIENTED_EDGE('',*,*,#198032,.T.); #272509=ORIENTED_EDGE('',*,*,#198029,.T.); #272510=ORIENTED_EDGE('',*,*,#198026,.T.); #272511=ORIENTED_EDGE('',*,*,#198023,.T.); #272512=ORIENTED_EDGE('',*,*,#198020,.T.); #272513=ORIENTED_EDGE('',*,*,#198017,.T.); #272514=ORIENTED_EDGE('',*,*,#198014,.T.); #272515=ORIENTED_EDGE('',*,*,#198011,.T.); #272516=ORIENTED_EDGE('',*,*,#198008,.T.); #272517=ORIENTED_EDGE('',*,*,#198005,.T.); #272518=ORIENTED_EDGE('',*,*,#198002,.T.); #272519=ORIENTED_EDGE('',*,*,#197999,.T.); #272520=ORIENTED_EDGE('',*,*,#197996,.T.); #272521=ORIENTED_EDGE('',*,*,#197993,.T.); #272522=ORIENTED_EDGE('',*,*,#197990,.T.); #272523=ORIENTED_EDGE('',*,*,#197987,.T.); #272524=ORIENTED_EDGE('',*,*,#197984,.T.); #272525=ORIENTED_EDGE('',*,*,#197981,.T.); #272526=ORIENTED_EDGE('',*,*,#197978,.T.); #272527=ORIENTED_EDGE('',*,*,#197975,.T.); #272528=ORIENTED_EDGE('',*,*,#197972,.T.); #272529=ORIENTED_EDGE('',*,*,#197969,.T.); #272530=ORIENTED_EDGE('',*,*,#197966,.T.); #272531=ORIENTED_EDGE('',*,*,#197963,.T.); #272532=ORIENTED_EDGE('',*,*,#197960,.T.); #272533=ORIENTED_EDGE('',*,*,#197957,.T.); #272534=ORIENTED_EDGE('',*,*,#197954,.T.); #272535=ORIENTED_EDGE('',*,*,#197951,.T.); #272536=ORIENTED_EDGE('',*,*,#197948,.T.); #272537=ORIENTED_EDGE('',*,*,#197945,.T.); #272538=ORIENTED_EDGE('',*,*,#197942,.T.); #272539=ORIENTED_EDGE('',*,*,#197939,.T.); #272540=ORIENTED_EDGE('',*,*,#197936,.T.); #272541=ORIENTED_EDGE('',*,*,#197933,.T.); #272542=ORIENTED_EDGE('',*,*,#197930,.T.); #272543=ORIENTED_EDGE('',*,*,#197927,.T.); #272544=ORIENTED_EDGE('',*,*,#197924,.T.); #272545=ORIENTED_EDGE('',*,*,#197921,.T.); #272546=ORIENTED_EDGE('',*,*,#197918,.T.); #272547=ORIENTED_EDGE('',*,*,#197915,.T.); #272548=ORIENTED_EDGE('',*,*,#197912,.T.); #272549=ORIENTED_EDGE('',*,*,#197909,.T.); #272550=ORIENTED_EDGE('',*,*,#197906,.T.); #272551=ORIENTED_EDGE('',*,*,#197903,.T.); #272552=ORIENTED_EDGE('',*,*,#197900,.T.); #272553=ORIENTED_EDGE('',*,*,#197897,.T.); #272554=ORIENTED_EDGE('',*,*,#197894,.T.); #272555=ORIENTED_EDGE('',*,*,#197891,.T.); #272556=ORIENTED_EDGE('',*,*,#197888,.T.); #272557=ORIENTED_EDGE('',*,*,#197885,.T.); #272558=ORIENTED_EDGE('',*,*,#197882,.T.); #272559=ORIENTED_EDGE('',*,*,#197879,.T.); #272560=ORIENTED_EDGE('',*,*,#197876,.T.); #272561=ORIENTED_EDGE('',*,*,#197873,.T.); #272562=ORIENTED_EDGE('',*,*,#197870,.T.); #272563=ORIENTED_EDGE('',*,*,#197867,.T.); #272564=ORIENTED_EDGE('',*,*,#197864,.T.); #272565=ORIENTED_EDGE('',*,*,#197861,.T.); #272566=ORIENTED_EDGE('',*,*,#197858,.T.); #272567=ORIENTED_EDGE('',*,*,#197855,.T.); #272568=ORIENTED_EDGE('',*,*,#197852,.T.); #272569=ORIENTED_EDGE('',*,*,#197849,.T.); #272570=ORIENTED_EDGE('',*,*,#197846,.T.); #272571=ORIENTED_EDGE('',*,*,#197843,.T.); #272572=ORIENTED_EDGE('',*,*,#197840,.T.); #272573=ORIENTED_EDGE('',*,*,#197837,.T.); #272574=ORIENTED_EDGE('',*,*,#197834,.T.); #272575=ORIENTED_EDGE('',*,*,#197831,.T.); #272576=ORIENTED_EDGE('',*,*,#197828,.T.); #272577=ORIENTED_EDGE('',*,*,#197825,.T.); #272578=ORIENTED_EDGE('',*,*,#197822,.T.); #272579=ORIENTED_EDGE('',*,*,#197819,.T.); #272580=ORIENTED_EDGE('',*,*,#197816,.T.); #272581=ORIENTED_EDGE('',*,*,#197813,.T.); #272582=ORIENTED_EDGE('',*,*,#197810,.T.); #272583=ORIENTED_EDGE('',*,*,#197807,.T.); #272584=ORIENTED_EDGE('',*,*,#197804,.T.); #272585=ORIENTED_EDGE('',*,*,#197801,.T.); #272586=ORIENTED_EDGE('',*,*,#197798,.T.); #272587=ORIENTED_EDGE('',*,*,#197795,.T.); #272588=ORIENTED_EDGE('',*,*,#197792,.T.); #272589=ORIENTED_EDGE('',*,*,#197789,.T.); #272590=ORIENTED_EDGE('',*,*,#197786,.T.); #272591=ORIENTED_EDGE('',*,*,#197783,.T.); #272592=ORIENTED_EDGE('',*,*,#197780,.T.); #272593=ORIENTED_EDGE('',*,*,#197777,.T.); #272594=ORIENTED_EDGE('',*,*,#197774,.T.); #272595=ORIENTED_EDGE('',*,*,#197771,.T.); #272596=ORIENTED_EDGE('',*,*,#197768,.T.); #272597=ORIENTED_EDGE('',*,*,#197765,.T.); #272598=ORIENTED_EDGE('',*,*,#197762,.T.); #272599=ORIENTED_EDGE('',*,*,#197759,.T.); #272600=ORIENTED_EDGE('',*,*,#197756,.T.); #272601=ORIENTED_EDGE('',*,*,#197753,.T.); #272602=ORIENTED_EDGE('',*,*,#197750,.T.); #272603=ORIENTED_EDGE('',*,*,#197747,.T.); #272604=ORIENTED_EDGE('',*,*,#197744,.T.); #272605=ORIENTED_EDGE('',*,*,#197741,.T.); #272606=ORIENTED_EDGE('',*,*,#197738,.T.); #272607=ORIENTED_EDGE('',*,*,#197735,.T.); #272608=ORIENTED_EDGE('',*,*,#197732,.T.); #272609=ORIENTED_EDGE('',*,*,#197729,.T.); #272610=ORIENTED_EDGE('',*,*,#197726,.T.); #272611=ORIENTED_EDGE('',*,*,#197723,.T.); #272612=ORIENTED_EDGE('',*,*,#197720,.T.); #272613=ORIENTED_EDGE('',*,*,#197717,.T.); #272614=ORIENTED_EDGE('',*,*,#197714,.T.); #272615=ORIENTED_EDGE('',*,*,#197711,.T.); #272616=ORIENTED_EDGE('',*,*,#197708,.T.); #272617=ORIENTED_EDGE('',*,*,#197705,.T.); #272618=ORIENTED_EDGE('',*,*,#197702,.T.); #272619=ORIENTED_EDGE('',*,*,#197699,.T.); #272620=ORIENTED_EDGE('',*,*,#197696,.T.); #272621=ORIENTED_EDGE('',*,*,#197693,.T.); #272622=ORIENTED_EDGE('',*,*,#197690,.T.); #272623=ORIENTED_EDGE('',*,*,#197687,.T.); #272624=ORIENTED_EDGE('',*,*,#197684,.T.); #272625=ORIENTED_EDGE('',*,*,#197681,.T.); #272626=ORIENTED_EDGE('',*,*,#197678,.T.); #272627=ORIENTED_EDGE('',*,*,#197675,.T.); #272628=ORIENTED_EDGE('',*,*,#197672,.T.); #272629=ORIENTED_EDGE('',*,*,#197669,.T.); #272630=ORIENTED_EDGE('',*,*,#197666,.T.); #272631=ORIENTED_EDGE('',*,*,#197663,.T.); #272632=ORIENTED_EDGE('',*,*,#197660,.T.); #272633=ORIENTED_EDGE('',*,*,#197657,.T.); #272634=ORIENTED_EDGE('',*,*,#197654,.T.); #272635=ORIENTED_EDGE('',*,*,#197651,.T.); #272636=ORIENTED_EDGE('',*,*,#197648,.T.); #272637=ORIENTED_EDGE('',*,*,#197645,.T.); #272638=ORIENTED_EDGE('',*,*,#197642,.T.); #272639=ORIENTED_EDGE('',*,*,#197639,.T.); #272640=ORIENTED_EDGE('',*,*,#197636,.T.); #272641=ORIENTED_EDGE('',*,*,#197633,.T.); #272642=ORIENTED_EDGE('',*,*,#197630,.T.); #272643=ORIENTED_EDGE('',*,*,#197627,.T.); #272644=ORIENTED_EDGE('',*,*,#197624,.T.); #272645=ORIENTED_EDGE('',*,*,#197621,.T.); #272646=ORIENTED_EDGE('',*,*,#197618,.T.); #272647=ORIENTED_EDGE('',*,*,#197615,.T.); #272648=ORIENTED_EDGE('',*,*,#197612,.T.); #272649=ORIENTED_EDGE('',*,*,#197609,.T.); #272650=ORIENTED_EDGE('',*,*,#197606,.T.); #272651=ORIENTED_EDGE('',*,*,#197603,.T.); #272652=ORIENTED_EDGE('',*,*,#197600,.T.); #272653=ORIENTED_EDGE('',*,*,#197597,.T.); #272654=ORIENTED_EDGE('',*,*,#197594,.T.); #272655=ORIENTED_EDGE('',*,*,#197591,.T.); #272656=ORIENTED_EDGE('',*,*,#197588,.T.); #272657=ORIENTED_EDGE('',*,*,#197585,.T.); #272658=ORIENTED_EDGE('',*,*,#197582,.T.); #272659=ORIENTED_EDGE('',*,*,#197579,.T.); #272660=ORIENTED_EDGE('',*,*,#197576,.T.); #272661=ORIENTED_EDGE('',*,*,#197573,.T.); #272662=ORIENTED_EDGE('',*,*,#197570,.T.); #272663=ORIENTED_EDGE('',*,*,#197567,.T.); #272664=ORIENTED_EDGE('',*,*,#197564,.T.); #272665=ORIENTED_EDGE('',*,*,#197561,.T.); #272666=ORIENTED_EDGE('',*,*,#197558,.T.); #272667=ORIENTED_EDGE('',*,*,#197555,.T.); #272668=ORIENTED_EDGE('',*,*,#197552,.T.); #272669=ORIENTED_EDGE('',*,*,#197549,.T.); #272670=ORIENTED_EDGE('',*,*,#197546,.T.); #272671=ORIENTED_EDGE('',*,*,#197543,.T.); #272672=ORIENTED_EDGE('',*,*,#197540,.T.); #272673=ORIENTED_EDGE('',*,*,#197537,.T.); #272674=ORIENTED_EDGE('',*,*,#197534,.T.); #272675=ORIENTED_EDGE('',*,*,#197531,.T.); #272676=ORIENTED_EDGE('',*,*,#197528,.T.); #272677=ORIENTED_EDGE('',*,*,#197525,.T.); #272678=ORIENTED_EDGE('',*,*,#197522,.T.); #272679=ORIENTED_EDGE('',*,*,#197519,.T.); #272680=ORIENTED_EDGE('',*,*,#197516,.T.); #272681=ORIENTED_EDGE('',*,*,#197513,.T.); #272682=ORIENTED_EDGE('',*,*,#197510,.T.); #272683=ORIENTED_EDGE('',*,*,#197507,.T.); #272684=ORIENTED_EDGE('',*,*,#197504,.T.); #272685=ORIENTED_EDGE('',*,*,#197501,.T.); #272686=ORIENTED_EDGE('',*,*,#197498,.T.); #272687=ORIENTED_EDGE('',*,*,#197495,.T.); #272688=ORIENTED_EDGE('',*,*,#197492,.T.); #272689=ORIENTED_EDGE('',*,*,#197489,.T.); #272690=ORIENTED_EDGE('',*,*,#197486,.T.); #272691=ORIENTED_EDGE('',*,*,#197483,.T.); #272692=ORIENTED_EDGE('',*,*,#197480,.T.); #272693=ORIENTED_EDGE('',*,*,#197477,.T.); #272694=ORIENTED_EDGE('',*,*,#197474,.T.); #272695=ORIENTED_EDGE('',*,*,#197471,.T.); #272696=ORIENTED_EDGE('',*,*,#197468,.T.); #272697=ORIENTED_EDGE('',*,*,#197465,.T.); #272698=ORIENTED_EDGE('',*,*,#197462,.T.); #272699=ORIENTED_EDGE('',*,*,#197459,.T.); #272700=ORIENTED_EDGE('',*,*,#197456,.T.); #272701=ORIENTED_EDGE('',*,*,#197453,.T.); #272702=ORIENTED_EDGE('',*,*,#197450,.T.); #272703=ORIENTED_EDGE('',*,*,#197447,.T.); #272704=ORIENTED_EDGE('',*,*,#197444,.T.); #272705=ORIENTED_EDGE('',*,*,#197441,.T.); #272706=ORIENTED_EDGE('',*,*,#197438,.T.); #272707=ORIENTED_EDGE('',*,*,#197435,.T.); #272708=ORIENTED_EDGE('',*,*,#197432,.T.); #272709=ORIENTED_EDGE('',*,*,#197429,.T.); #272710=ORIENTED_EDGE('',*,*,#197426,.T.); #272711=ORIENTED_EDGE('',*,*,#197423,.T.); #272712=ORIENTED_EDGE('',*,*,#197420,.T.); #272713=ORIENTED_EDGE('',*,*,#197417,.T.); #272714=ORIENTED_EDGE('',*,*,#197414,.T.); #272715=ORIENTED_EDGE('',*,*,#197411,.T.); #272716=ORIENTED_EDGE('',*,*,#197408,.T.); #272717=ORIENTED_EDGE('',*,*,#197405,.T.); #272718=ORIENTED_EDGE('',*,*,#197402,.T.); #272719=ORIENTED_EDGE('',*,*,#197399,.T.); #272720=ORIENTED_EDGE('',*,*,#197396,.T.); #272721=ORIENTED_EDGE('',*,*,#197393,.T.); #272722=ORIENTED_EDGE('',*,*,#197390,.T.); #272723=ORIENTED_EDGE('',*,*,#197387,.T.); #272724=ORIENTED_EDGE('',*,*,#197384,.T.); #272725=ORIENTED_EDGE('',*,*,#197381,.T.); #272726=ORIENTED_EDGE('',*,*,#197378,.T.); #272727=ORIENTED_EDGE('',*,*,#197375,.T.); #272728=ORIENTED_EDGE('',*,*,#197372,.T.); #272729=ORIENTED_EDGE('',*,*,#197369,.T.); #272730=ORIENTED_EDGE('',*,*,#197366,.T.); #272731=ORIENTED_EDGE('',*,*,#197363,.T.); #272732=ORIENTED_EDGE('',*,*,#197360,.T.); #272733=ORIENTED_EDGE('',*,*,#197357,.T.); #272734=ORIENTED_EDGE('',*,*,#197354,.T.); #272735=ORIENTED_EDGE('',*,*,#197351,.T.); #272736=ORIENTED_EDGE('',*,*,#197348,.T.); #272737=ORIENTED_EDGE('',*,*,#197345,.T.); #272738=ORIENTED_EDGE('',*,*,#197342,.T.); #272739=ORIENTED_EDGE('',*,*,#197339,.T.); #272740=ORIENTED_EDGE('',*,*,#197336,.T.); #272741=ORIENTED_EDGE('',*,*,#197333,.T.); #272742=ORIENTED_EDGE('',*,*,#197330,.T.); #272743=ORIENTED_EDGE('',*,*,#197327,.T.); #272744=ORIENTED_EDGE('',*,*,#197324,.T.); #272745=ORIENTED_EDGE('',*,*,#197321,.T.); #272746=ORIENTED_EDGE('',*,*,#197318,.T.); #272747=ORIENTED_EDGE('',*,*,#197315,.T.); #272748=ORIENTED_EDGE('',*,*,#197312,.T.); #272749=ORIENTED_EDGE('',*,*,#197309,.T.); #272750=ORIENTED_EDGE('',*,*,#197306,.T.); #272751=ORIENTED_EDGE('',*,*,#197303,.T.); #272752=ORIENTED_EDGE('',*,*,#197300,.T.); #272753=ORIENTED_EDGE('',*,*,#197297,.T.); #272754=ORIENTED_EDGE('',*,*,#197294,.T.); #272755=ORIENTED_EDGE('',*,*,#197291,.T.); #272756=ORIENTED_EDGE('',*,*,#197288,.T.); #272757=ORIENTED_EDGE('',*,*,#197285,.T.); #272758=ORIENTED_EDGE('',*,*,#197282,.T.); #272759=ORIENTED_EDGE('',*,*,#197279,.T.); #272760=ORIENTED_EDGE('',*,*,#197276,.T.); #272761=ORIENTED_EDGE('',*,*,#197273,.T.); #272762=ORIENTED_EDGE('',*,*,#197270,.T.); #272763=ORIENTED_EDGE('',*,*,#197267,.T.); #272764=ORIENTED_EDGE('',*,*,#197264,.T.); #272765=ORIENTED_EDGE('',*,*,#197261,.T.); #272766=ORIENTED_EDGE('',*,*,#197258,.T.); #272767=ORIENTED_EDGE('',*,*,#197255,.T.); #272768=ORIENTED_EDGE('',*,*,#197252,.T.); #272769=ORIENTED_EDGE('',*,*,#197249,.T.); #272770=ORIENTED_EDGE('',*,*,#197246,.T.); #272771=ORIENTED_EDGE('',*,*,#197243,.T.); #272772=ORIENTED_EDGE('',*,*,#197240,.T.); #272773=ORIENTED_EDGE('',*,*,#197237,.T.); #272774=ORIENTED_EDGE('',*,*,#197234,.T.); #272775=ORIENTED_EDGE('',*,*,#197231,.T.); #272776=ORIENTED_EDGE('',*,*,#197228,.T.); #272777=ORIENTED_EDGE('',*,*,#197225,.T.); #272778=ORIENTED_EDGE('',*,*,#197222,.T.); #272779=ORIENTED_EDGE('',*,*,#197219,.T.); #272780=ORIENTED_EDGE('',*,*,#197216,.T.); #272781=ORIENTED_EDGE('',*,*,#197213,.T.); #272782=ORIENTED_EDGE('',*,*,#197210,.T.); #272783=ORIENTED_EDGE('',*,*,#197207,.T.); #272784=ORIENTED_EDGE('',*,*,#197204,.T.); #272785=ORIENTED_EDGE('',*,*,#197201,.T.); #272786=ORIENTED_EDGE('',*,*,#197198,.T.); #272787=ORIENTED_EDGE('',*,*,#197195,.T.); #272788=ORIENTED_EDGE('',*,*,#197192,.T.); #272789=ORIENTED_EDGE('',*,*,#197189,.T.); #272790=ORIENTED_EDGE('',*,*,#197186,.T.); #272791=ORIENTED_EDGE('',*,*,#197183,.T.); #272792=ORIENTED_EDGE('',*,*,#197180,.T.); #272793=ORIENTED_EDGE('',*,*,#197177,.T.); #272794=ORIENTED_EDGE('',*,*,#197174,.T.); #272795=ORIENTED_EDGE('',*,*,#197171,.T.); #272796=ORIENTED_EDGE('',*,*,#197168,.T.); #272797=ORIENTED_EDGE('',*,*,#197165,.T.); #272798=ORIENTED_EDGE('',*,*,#197162,.T.); #272799=ORIENTED_EDGE('',*,*,#197159,.T.); #272800=ORIENTED_EDGE('',*,*,#197156,.T.); #272801=ORIENTED_EDGE('',*,*,#197153,.T.); #272802=ORIENTED_EDGE('',*,*,#197150,.T.); #272803=ORIENTED_EDGE('',*,*,#197147,.T.); #272804=ORIENTED_EDGE('',*,*,#197144,.T.); #272805=ORIENTED_EDGE('',*,*,#197141,.T.); #272806=ORIENTED_EDGE('',*,*,#197138,.T.); #272807=ORIENTED_EDGE('',*,*,#197135,.T.); #272808=ORIENTED_EDGE('',*,*,#197132,.T.); #272809=ORIENTED_EDGE('',*,*,#197129,.T.); #272810=ORIENTED_EDGE('',*,*,#197126,.T.); #272811=ORIENTED_EDGE('',*,*,#197123,.T.); #272812=ORIENTED_EDGE('',*,*,#197120,.T.); #272813=ORIENTED_EDGE('',*,*,#197117,.T.); #272814=ORIENTED_EDGE('',*,*,#197114,.T.); #272815=ORIENTED_EDGE('',*,*,#197111,.T.); #272816=ORIENTED_EDGE('',*,*,#197108,.T.); #272817=ORIENTED_EDGE('',*,*,#197105,.T.); #272818=ORIENTED_EDGE('',*,*,#197102,.T.); #272819=ORIENTED_EDGE('',*,*,#197099,.T.); #272820=ORIENTED_EDGE('',*,*,#197096,.T.); #272821=ORIENTED_EDGE('',*,*,#197093,.T.); #272822=ORIENTED_EDGE('',*,*,#197090,.T.); #272823=ORIENTED_EDGE('',*,*,#197087,.T.); #272824=ORIENTED_EDGE('',*,*,#197084,.T.); #272825=ORIENTED_EDGE('',*,*,#197081,.T.); #272826=ORIENTED_EDGE('',*,*,#197078,.T.); #272827=ORIENTED_EDGE('',*,*,#197075,.T.); #272828=ORIENTED_EDGE('',*,*,#197072,.T.); #272829=ORIENTED_EDGE('',*,*,#197069,.T.); #272830=ORIENTED_EDGE('',*,*,#197066,.T.); #272831=ORIENTED_EDGE('',*,*,#197063,.T.); #272832=ORIENTED_EDGE('',*,*,#197060,.T.); #272833=ORIENTED_EDGE('',*,*,#197057,.T.); #272834=ORIENTED_EDGE('',*,*,#197054,.T.); #272835=ORIENTED_EDGE('',*,*,#197051,.T.); #272836=ORIENTED_EDGE('',*,*,#197048,.T.); #272837=ORIENTED_EDGE('',*,*,#197045,.T.); #272838=ORIENTED_EDGE('',*,*,#197042,.T.); #272839=ORIENTED_EDGE('',*,*,#197039,.T.); #272840=ORIENTED_EDGE('',*,*,#197036,.T.); #272841=ORIENTED_EDGE('',*,*,#197033,.T.); #272842=ORIENTED_EDGE('',*,*,#197030,.T.); #272843=ORIENTED_EDGE('',*,*,#197027,.T.); #272844=ORIENTED_EDGE('',*,*,#197024,.T.); #272845=ORIENTED_EDGE('',*,*,#197021,.T.); #272846=ORIENTED_EDGE('',*,*,#197018,.T.); #272847=ORIENTED_EDGE('',*,*,#197015,.T.); #272848=ORIENTED_EDGE('',*,*,#197012,.T.); #272849=ORIENTED_EDGE('',*,*,#197009,.T.); #272850=ORIENTED_EDGE('',*,*,#197006,.T.); #272851=ORIENTED_EDGE('',*,*,#197003,.T.); #272852=ORIENTED_EDGE('',*,*,#197000,.T.); #272853=ORIENTED_EDGE('',*,*,#196997,.T.); #272854=ORIENTED_EDGE('',*,*,#196994,.T.); #272855=ORIENTED_EDGE('',*,*,#196991,.T.); #272856=ORIENTED_EDGE('',*,*,#196988,.T.); #272857=ORIENTED_EDGE('',*,*,#196985,.T.); #272858=ORIENTED_EDGE('',*,*,#196982,.T.); #272859=ORIENTED_EDGE('',*,*,#196979,.T.); #272860=ORIENTED_EDGE('',*,*,#196976,.T.); #272861=ORIENTED_EDGE('',*,*,#196973,.T.); #272862=ORIENTED_EDGE('',*,*,#196970,.T.); #272863=ORIENTED_EDGE('',*,*,#196967,.T.); #272864=ORIENTED_EDGE('',*,*,#196964,.T.); #272865=ORIENTED_EDGE('',*,*,#196961,.T.); #272866=ORIENTED_EDGE('',*,*,#196958,.T.); #272867=ORIENTED_EDGE('',*,*,#196955,.T.); #272868=ORIENTED_EDGE('',*,*,#196952,.T.); #272869=ORIENTED_EDGE('',*,*,#196949,.T.); #272870=ORIENTED_EDGE('',*,*,#196946,.T.); #272871=ORIENTED_EDGE('',*,*,#196943,.T.); #272872=ORIENTED_EDGE('',*,*,#196940,.T.); #272873=ORIENTED_EDGE('',*,*,#196937,.T.); #272874=ORIENTED_EDGE('',*,*,#196934,.T.); #272875=ORIENTED_EDGE('',*,*,#196931,.T.); #272876=ORIENTED_EDGE('',*,*,#196928,.T.); #272877=ORIENTED_EDGE('',*,*,#196925,.T.); #272878=ORIENTED_EDGE('',*,*,#196922,.T.); #272879=ORIENTED_EDGE('',*,*,#196919,.T.); #272880=ORIENTED_EDGE('',*,*,#196916,.T.); #272881=ORIENTED_EDGE('',*,*,#196913,.T.); #272882=ORIENTED_EDGE('',*,*,#196910,.T.); #272883=ORIENTED_EDGE('',*,*,#196907,.T.); #272884=ORIENTED_EDGE('',*,*,#196904,.T.); #272885=ORIENTED_EDGE('',*,*,#196901,.T.); #272886=ORIENTED_EDGE('',*,*,#196898,.T.); #272887=ORIENTED_EDGE('',*,*,#196895,.T.); #272888=ORIENTED_EDGE('',*,*,#196892,.T.); #272889=ORIENTED_EDGE('',*,*,#196889,.T.); #272890=ORIENTED_EDGE('',*,*,#196886,.T.); #272891=ORIENTED_EDGE('',*,*,#196883,.T.); #272892=ORIENTED_EDGE('',*,*,#196880,.T.); #272893=ORIENTED_EDGE('',*,*,#196877,.T.); #272894=ORIENTED_EDGE('',*,*,#196874,.T.); #272895=ORIENTED_EDGE('',*,*,#196871,.T.); #272896=ORIENTED_EDGE('',*,*,#196868,.T.); #272897=ORIENTED_EDGE('',*,*,#196865,.T.); #272898=ORIENTED_EDGE('',*,*,#196862,.T.); #272899=ORIENTED_EDGE('',*,*,#196859,.T.); #272900=ORIENTED_EDGE('',*,*,#196856,.T.); #272901=ORIENTED_EDGE('',*,*,#196853,.T.); #272902=ORIENTED_EDGE('',*,*,#196850,.T.); #272903=ORIENTED_EDGE('',*,*,#196847,.T.); #272904=ORIENTED_EDGE('',*,*,#196844,.T.); #272905=ORIENTED_EDGE('',*,*,#196841,.T.); #272906=ORIENTED_EDGE('',*,*,#196838,.T.); #272907=ORIENTED_EDGE('',*,*,#196835,.T.); #272908=ORIENTED_EDGE('',*,*,#196832,.T.); #272909=ORIENTED_EDGE('',*,*,#196829,.T.); #272910=ORIENTED_EDGE('',*,*,#196826,.T.); #272911=ORIENTED_EDGE('',*,*,#196823,.T.); #272912=ORIENTED_EDGE('',*,*,#196820,.T.); #272913=ORIENTED_EDGE('',*,*,#196817,.T.); #272914=ORIENTED_EDGE('',*,*,#196814,.T.); #272915=ORIENTED_EDGE('',*,*,#196811,.T.); #272916=ORIENTED_EDGE('',*,*,#196808,.T.); #272917=ORIENTED_EDGE('',*,*,#196805,.T.); #272918=ORIENTED_EDGE('',*,*,#196802,.T.); #272919=ORIENTED_EDGE('',*,*,#196799,.T.); #272920=ORIENTED_EDGE('',*,*,#196796,.T.); #272921=ORIENTED_EDGE('',*,*,#196793,.T.); #272922=ORIENTED_EDGE('',*,*,#196790,.T.); #272923=ORIENTED_EDGE('',*,*,#196787,.T.); #272924=ORIENTED_EDGE('',*,*,#196784,.T.); #272925=ORIENTED_EDGE('',*,*,#196781,.T.); #272926=ORIENTED_EDGE('',*,*,#196778,.T.); #272927=ORIENTED_EDGE('',*,*,#196775,.T.); #272928=ORIENTED_EDGE('',*,*,#196772,.T.); #272929=ORIENTED_EDGE('',*,*,#196769,.T.); #272930=ORIENTED_EDGE('',*,*,#196766,.T.); #272931=ORIENTED_EDGE('',*,*,#196763,.T.); #272932=ORIENTED_EDGE('',*,*,#196760,.T.); #272933=ORIENTED_EDGE('',*,*,#196757,.T.); #272934=ORIENTED_EDGE('',*,*,#196754,.T.); #272935=ORIENTED_EDGE('',*,*,#196751,.T.); #272936=ORIENTED_EDGE('',*,*,#196748,.T.); #272937=ORIENTED_EDGE('',*,*,#196745,.T.); #272938=ORIENTED_EDGE('',*,*,#196742,.T.); #272939=ORIENTED_EDGE('',*,*,#196739,.T.); #272940=ORIENTED_EDGE('',*,*,#196736,.T.); #272941=ORIENTED_EDGE('',*,*,#196733,.T.); #272942=ORIENTED_EDGE('',*,*,#196730,.T.); #272943=ORIENTED_EDGE('',*,*,#196727,.T.); #272944=ORIENTED_EDGE('',*,*,#196724,.T.); #272945=ORIENTED_EDGE('',*,*,#196721,.T.); #272946=ORIENTED_EDGE('',*,*,#196718,.T.); #272947=ORIENTED_EDGE('',*,*,#196715,.T.); #272948=ORIENTED_EDGE('',*,*,#196712,.T.); #272949=ORIENTED_EDGE('',*,*,#196709,.T.); #272950=ORIENTED_EDGE('',*,*,#196706,.T.); #272951=ORIENTED_EDGE('',*,*,#196703,.T.); #272952=ORIENTED_EDGE('',*,*,#196700,.T.); #272953=ORIENTED_EDGE('',*,*,#196697,.T.); #272954=ORIENTED_EDGE('',*,*,#196694,.T.); #272955=ORIENTED_EDGE('',*,*,#196691,.T.); #272956=ORIENTED_EDGE('',*,*,#196688,.T.); #272957=ORIENTED_EDGE('',*,*,#196685,.T.); #272958=ORIENTED_EDGE('',*,*,#196682,.T.); #272959=ORIENTED_EDGE('',*,*,#196679,.T.); #272960=ORIENTED_EDGE('',*,*,#196676,.T.); #272961=ORIENTED_EDGE('',*,*,#196673,.T.); #272962=ORIENTED_EDGE('',*,*,#196670,.T.); #272963=ORIENTED_EDGE('',*,*,#196667,.T.); #272964=ORIENTED_EDGE('',*,*,#196664,.T.); #272965=ORIENTED_EDGE('',*,*,#196661,.T.); #272966=ORIENTED_EDGE('',*,*,#196658,.T.); #272967=ORIENTED_EDGE('',*,*,#196655,.T.); #272968=ORIENTED_EDGE('',*,*,#196652,.T.); #272969=ORIENTED_EDGE('',*,*,#196649,.T.); #272970=ORIENTED_EDGE('',*,*,#196646,.T.); #272971=ORIENTED_EDGE('',*,*,#196643,.T.); #272972=ORIENTED_EDGE('',*,*,#196640,.T.); #272973=ORIENTED_EDGE('',*,*,#196637,.T.); #272974=ORIENTED_EDGE('',*,*,#196634,.T.); #272975=ORIENTED_EDGE('',*,*,#196631,.T.); #272976=ORIENTED_EDGE('',*,*,#196628,.T.); #272977=ORIENTED_EDGE('',*,*,#196625,.T.); #272978=ORIENTED_EDGE('',*,*,#196622,.T.); #272979=ORIENTED_EDGE('',*,*,#196619,.T.); #272980=ORIENTED_EDGE('',*,*,#196616,.T.); #272981=ORIENTED_EDGE('',*,*,#196613,.T.); #272982=ORIENTED_EDGE('',*,*,#196610,.T.); #272983=ORIENTED_EDGE('',*,*,#196607,.T.); #272984=ORIENTED_EDGE('',*,*,#196604,.T.); #272985=ORIENTED_EDGE('',*,*,#196601,.T.); #272986=ORIENTED_EDGE('',*,*,#196598,.T.); #272987=ORIENTED_EDGE('',*,*,#196595,.T.); #272988=ORIENTED_EDGE('',*,*,#196592,.T.); #272989=ORIENTED_EDGE('',*,*,#196589,.T.); #272990=ORIENTED_EDGE('',*,*,#196586,.T.); #272991=ORIENTED_EDGE('',*,*,#196583,.T.); #272992=ORIENTED_EDGE('',*,*,#196580,.T.); #272993=ORIENTED_EDGE('',*,*,#196577,.T.); #272994=ORIENTED_EDGE('',*,*,#196574,.T.); #272995=ORIENTED_EDGE('',*,*,#196571,.T.); #272996=ORIENTED_EDGE('',*,*,#196568,.T.); #272997=ORIENTED_EDGE('',*,*,#196565,.T.); #272998=ORIENTED_EDGE('',*,*,#196562,.T.); #272999=ORIENTED_EDGE('',*,*,#196559,.T.); #273000=ORIENTED_EDGE('',*,*,#196556,.T.); #273001=ORIENTED_EDGE('',*,*,#196553,.T.); #273002=ORIENTED_EDGE('',*,*,#196550,.T.); #273003=ORIENTED_EDGE('',*,*,#196547,.T.); #273004=ORIENTED_EDGE('',*,*,#196544,.T.); #273005=ORIENTED_EDGE('',*,*,#196541,.T.); #273006=ORIENTED_EDGE('',*,*,#196538,.T.); #273007=ORIENTED_EDGE('',*,*,#196535,.T.); #273008=ORIENTED_EDGE('',*,*,#196532,.T.); #273009=ORIENTED_EDGE('',*,*,#196529,.T.); #273010=ORIENTED_EDGE('',*,*,#196526,.T.); #273011=ORIENTED_EDGE('',*,*,#196523,.T.); #273012=ORIENTED_EDGE('',*,*,#196520,.T.); #273013=ORIENTED_EDGE('',*,*,#196517,.T.); #273014=ORIENTED_EDGE('',*,*,#196514,.T.); #273015=ORIENTED_EDGE('',*,*,#196511,.T.); #273016=ORIENTED_EDGE('',*,*,#196508,.T.); #273017=ORIENTED_EDGE('',*,*,#196505,.T.); #273018=ORIENTED_EDGE('',*,*,#196502,.T.); #273019=ORIENTED_EDGE('',*,*,#196499,.T.); #273020=ORIENTED_EDGE('',*,*,#196496,.T.); #273021=ORIENTED_EDGE('',*,*,#196493,.T.); #273022=ORIENTED_EDGE('',*,*,#196490,.T.); #273023=ORIENTED_EDGE('',*,*,#196487,.T.); #273024=ORIENTED_EDGE('',*,*,#196484,.T.); #273025=ORIENTED_EDGE('',*,*,#196481,.T.); #273026=ORIENTED_EDGE('',*,*,#196478,.T.); #273027=ORIENTED_EDGE('',*,*,#196475,.T.); #273028=ORIENTED_EDGE('',*,*,#196472,.T.); #273029=ORIENTED_EDGE('',*,*,#196469,.T.); #273030=ORIENTED_EDGE('',*,*,#196466,.T.); #273031=ORIENTED_EDGE('',*,*,#196463,.T.); #273032=ORIENTED_EDGE('',*,*,#196460,.T.); #273033=ORIENTED_EDGE('',*,*,#196457,.T.); #273034=ORIENTED_EDGE('',*,*,#196454,.T.); #273035=ORIENTED_EDGE('',*,*,#196451,.T.); #273036=ORIENTED_EDGE('',*,*,#196448,.T.); #273037=ORIENTED_EDGE('',*,*,#196445,.T.); #273038=ORIENTED_EDGE('',*,*,#196442,.T.); #273039=ORIENTED_EDGE('',*,*,#196439,.T.); #273040=ORIENTED_EDGE('',*,*,#196436,.T.); #273041=ORIENTED_EDGE('',*,*,#196433,.T.); #273042=ORIENTED_EDGE('',*,*,#196430,.T.); #273043=ORIENTED_EDGE('',*,*,#196427,.T.); #273044=ORIENTED_EDGE('',*,*,#196424,.T.); #273045=ORIENTED_EDGE('',*,*,#196421,.T.); #273046=ORIENTED_EDGE('',*,*,#196418,.T.); #273047=ORIENTED_EDGE('',*,*,#196415,.T.); #273048=ORIENTED_EDGE('',*,*,#196412,.T.); #273049=ORIENTED_EDGE('',*,*,#196409,.T.); #273050=ORIENTED_EDGE('',*,*,#196406,.T.); #273051=ORIENTED_EDGE('',*,*,#196403,.T.); #273052=ORIENTED_EDGE('',*,*,#196400,.T.); #273053=ORIENTED_EDGE('',*,*,#196397,.T.); #273054=ORIENTED_EDGE('',*,*,#196394,.T.); #273055=ORIENTED_EDGE('',*,*,#196391,.T.); #273056=ORIENTED_EDGE('',*,*,#196388,.T.); #273057=ORIENTED_EDGE('',*,*,#196385,.T.); #273058=ORIENTED_EDGE('',*,*,#196382,.T.); #273059=ORIENTED_EDGE('',*,*,#196379,.T.); #273060=ORIENTED_EDGE('',*,*,#196376,.T.); #273061=ORIENTED_EDGE('',*,*,#196373,.T.); #273062=ORIENTED_EDGE('',*,*,#196370,.T.); #273063=ORIENTED_EDGE('',*,*,#196367,.T.); #273064=ORIENTED_EDGE('',*,*,#196364,.T.); #273065=ORIENTED_EDGE('',*,*,#196361,.T.); #273066=ORIENTED_EDGE('',*,*,#196358,.T.); #273067=ORIENTED_EDGE('',*,*,#196355,.T.); #273068=ORIENTED_EDGE('',*,*,#196352,.T.); #273069=ORIENTED_EDGE('',*,*,#196349,.T.); #273070=ORIENTED_EDGE('',*,*,#196346,.T.); #273071=ORIENTED_EDGE('',*,*,#196343,.T.); #273072=ORIENTED_EDGE('',*,*,#196340,.T.); #273073=ORIENTED_EDGE('',*,*,#196337,.T.); #273074=ORIENTED_EDGE('',*,*,#196334,.T.); #273075=ORIENTED_EDGE('',*,*,#196331,.T.); #273076=ORIENTED_EDGE('',*,*,#196328,.T.); #273077=ORIENTED_EDGE('',*,*,#196325,.T.); #273078=ORIENTED_EDGE('',*,*,#196322,.T.); #273079=ORIENTED_EDGE('',*,*,#196319,.T.); #273080=ORIENTED_EDGE('',*,*,#196316,.T.); #273081=ORIENTED_EDGE('',*,*,#196313,.T.); #273082=ORIENTED_EDGE('',*,*,#196310,.T.); #273083=ORIENTED_EDGE('',*,*,#196307,.T.); #273084=ORIENTED_EDGE('',*,*,#196304,.T.); #273085=ORIENTED_EDGE('',*,*,#196301,.T.); #273086=ORIENTED_EDGE('',*,*,#196298,.T.); #273087=ORIENTED_EDGE('',*,*,#196295,.T.); #273088=ORIENTED_EDGE('',*,*,#196292,.T.); #273089=ORIENTED_EDGE('',*,*,#196289,.T.); #273090=ORIENTED_EDGE('',*,*,#196286,.T.); #273091=ORIENTED_EDGE('',*,*,#196283,.T.); #273092=ORIENTED_EDGE('',*,*,#196280,.T.); #273093=ORIENTED_EDGE('',*,*,#196277,.T.); #273094=ORIENTED_EDGE('',*,*,#196274,.T.); #273095=ORIENTED_EDGE('',*,*,#196271,.T.); #273096=ORIENTED_EDGE('',*,*,#196268,.T.); #273097=ORIENTED_EDGE('',*,*,#196265,.T.); #273098=ORIENTED_EDGE('',*,*,#196262,.T.); #273099=ORIENTED_EDGE('',*,*,#196259,.T.); #273100=ORIENTED_EDGE('',*,*,#196256,.T.); #273101=ORIENTED_EDGE('',*,*,#196253,.T.); #273102=ORIENTED_EDGE('',*,*,#196250,.T.); #273103=ORIENTED_EDGE('',*,*,#196247,.T.); #273104=ORIENTED_EDGE('',*,*,#196244,.T.); #273105=ORIENTED_EDGE('',*,*,#196241,.T.); #273106=ORIENTED_EDGE('',*,*,#196238,.T.); #273107=ORIENTED_EDGE('',*,*,#196235,.T.); #273108=ORIENTED_EDGE('',*,*,#196232,.T.); #273109=ORIENTED_EDGE('',*,*,#196229,.T.); #273110=ORIENTED_EDGE('',*,*,#196226,.T.); #273111=ORIENTED_EDGE('',*,*,#196223,.T.); #273112=ORIENTED_EDGE('',*,*,#196220,.T.); #273113=ORIENTED_EDGE('',*,*,#196217,.T.); #273114=ORIENTED_EDGE('',*,*,#196214,.T.); #273115=ORIENTED_EDGE('',*,*,#196211,.T.); #273116=ORIENTED_EDGE('',*,*,#196208,.T.); #273117=ORIENTED_EDGE('',*,*,#196205,.T.); #273118=ORIENTED_EDGE('',*,*,#196202,.T.); #273119=ORIENTED_EDGE('',*,*,#196199,.T.); #273120=ORIENTED_EDGE('',*,*,#196196,.T.); #273121=ORIENTED_EDGE('',*,*,#196193,.T.); #273122=ORIENTED_EDGE('',*,*,#196190,.T.); #273123=ORIENTED_EDGE('',*,*,#196187,.T.); #273124=ORIENTED_EDGE('',*,*,#196184,.T.); #273125=ORIENTED_EDGE('',*,*,#196181,.T.); #273126=ORIENTED_EDGE('',*,*,#196178,.T.); #273127=ORIENTED_EDGE('',*,*,#196175,.T.); #273128=ORIENTED_EDGE('',*,*,#196172,.T.); #273129=ORIENTED_EDGE('',*,*,#196169,.T.); #273130=ORIENTED_EDGE('',*,*,#196166,.T.); #273131=ORIENTED_EDGE('',*,*,#196163,.T.); #273132=ORIENTED_EDGE('',*,*,#196160,.T.); #273133=ORIENTED_EDGE('',*,*,#196157,.T.); #273134=ORIENTED_EDGE('',*,*,#196154,.T.); #273135=ORIENTED_EDGE('',*,*,#196151,.T.); #273136=ORIENTED_EDGE('',*,*,#196148,.T.); #273137=ORIENTED_EDGE('',*,*,#196145,.T.); #273138=ORIENTED_EDGE('',*,*,#196142,.T.); #273139=ORIENTED_EDGE('',*,*,#196139,.T.); #273140=ORIENTED_EDGE('',*,*,#196136,.T.); #273141=ORIENTED_EDGE('',*,*,#196133,.T.); #273142=ORIENTED_EDGE('',*,*,#196130,.T.); #273143=ORIENTED_EDGE('',*,*,#196127,.T.); #273144=ORIENTED_EDGE('',*,*,#196124,.T.); #273145=ORIENTED_EDGE('',*,*,#196121,.T.); #273146=ORIENTED_EDGE('',*,*,#196118,.T.); #273147=ORIENTED_EDGE('',*,*,#196115,.T.); #273148=ORIENTED_EDGE('',*,*,#196112,.T.); #273149=ORIENTED_EDGE('',*,*,#196109,.T.); #273150=ORIENTED_EDGE('',*,*,#196106,.T.); #273151=ORIENTED_EDGE('',*,*,#196103,.T.); #273152=ORIENTED_EDGE('',*,*,#196100,.T.); #273153=ORIENTED_EDGE('',*,*,#196097,.T.); #273154=ORIENTED_EDGE('',*,*,#196094,.T.); #273155=ORIENTED_EDGE('',*,*,#196091,.T.); #273156=ORIENTED_EDGE('',*,*,#196088,.T.); #273157=ORIENTED_EDGE('',*,*,#196085,.T.); #273158=ORIENTED_EDGE('',*,*,#196082,.T.); #273159=ORIENTED_EDGE('',*,*,#196079,.T.); #273160=ORIENTED_EDGE('',*,*,#196076,.T.); #273161=ORIENTED_EDGE('',*,*,#196073,.T.); #273162=ORIENTED_EDGE('',*,*,#196070,.T.); #273163=ORIENTED_EDGE('',*,*,#196067,.T.); #273164=ORIENTED_EDGE('',*,*,#196064,.T.); #273165=ORIENTED_EDGE('',*,*,#196061,.T.); #273166=ORIENTED_EDGE('',*,*,#196058,.T.); #273167=ORIENTED_EDGE('',*,*,#196055,.T.); #273168=ORIENTED_EDGE('',*,*,#196052,.T.); #273169=ORIENTED_EDGE('',*,*,#196049,.T.); #273170=ORIENTED_EDGE('',*,*,#196046,.T.); #273171=ORIENTED_EDGE('',*,*,#196043,.T.); #273172=ORIENTED_EDGE('',*,*,#196040,.T.); #273173=ORIENTED_EDGE('',*,*,#196037,.T.); #273174=ORIENTED_EDGE('',*,*,#196034,.T.); #273175=ORIENTED_EDGE('',*,*,#196031,.T.); #273176=ORIENTED_EDGE('',*,*,#196028,.T.); #273177=ORIENTED_EDGE('',*,*,#196025,.T.); #273178=ORIENTED_EDGE('',*,*,#196022,.T.); #273179=ORIENTED_EDGE('',*,*,#196019,.T.); #273180=ORIENTED_EDGE('',*,*,#196016,.T.); #273181=ORIENTED_EDGE('',*,*,#196013,.T.); #273182=ORIENTED_EDGE('',*,*,#196010,.T.); #273183=ORIENTED_EDGE('',*,*,#196007,.T.); #273184=ORIENTED_EDGE('',*,*,#196004,.T.); #273185=ORIENTED_EDGE('',*,*,#196001,.T.); #273186=ORIENTED_EDGE('',*,*,#195998,.T.); #273187=ORIENTED_EDGE('',*,*,#195995,.T.); #273188=ORIENTED_EDGE('',*,*,#195992,.T.); #273189=ORIENTED_EDGE('',*,*,#195989,.T.); #273190=ORIENTED_EDGE('',*,*,#195986,.T.); #273191=ORIENTED_EDGE('',*,*,#195983,.T.); #273192=ORIENTED_EDGE('',*,*,#195980,.T.); #273193=ORIENTED_EDGE('',*,*,#195977,.T.); #273194=ORIENTED_EDGE('',*,*,#195974,.T.); #273195=ORIENTED_EDGE('',*,*,#195971,.T.); #273196=ORIENTED_EDGE('',*,*,#195968,.T.); #273197=ORIENTED_EDGE('',*,*,#195965,.T.); #273198=ORIENTED_EDGE('',*,*,#195962,.T.); #273199=ORIENTED_EDGE('',*,*,#195959,.T.); #273200=ORIENTED_EDGE('',*,*,#195956,.T.); #273201=ORIENTED_EDGE('',*,*,#195953,.T.); #273202=ORIENTED_EDGE('',*,*,#195950,.T.); #273203=ORIENTED_EDGE('',*,*,#195947,.T.); #273204=ORIENTED_EDGE('',*,*,#195944,.T.); #273205=ORIENTED_EDGE('',*,*,#195941,.T.); #273206=ORIENTED_EDGE('',*,*,#195938,.T.); #273207=ORIENTED_EDGE('',*,*,#195935,.T.); #273208=ORIENTED_EDGE('',*,*,#195932,.T.); #273209=ORIENTED_EDGE('',*,*,#195929,.T.); #273210=ORIENTED_EDGE('',*,*,#195926,.T.); #273211=ORIENTED_EDGE('',*,*,#195923,.T.); #273212=ORIENTED_EDGE('',*,*,#195920,.T.); #273213=ORIENTED_EDGE('',*,*,#195917,.T.); #273214=ORIENTED_EDGE('',*,*,#195914,.T.); #273215=ORIENTED_EDGE('',*,*,#195911,.T.); #273216=ORIENTED_EDGE('',*,*,#195908,.T.); #273217=ORIENTED_EDGE('',*,*,#195905,.T.); #273218=ORIENTED_EDGE('',*,*,#195902,.T.); #273219=ORIENTED_EDGE('',*,*,#195899,.T.); #273220=ORIENTED_EDGE('',*,*,#195896,.T.); #273221=ORIENTED_EDGE('',*,*,#195893,.T.); #273222=ORIENTED_EDGE('',*,*,#195890,.T.); #273223=ORIENTED_EDGE('',*,*,#195887,.T.); #273224=ORIENTED_EDGE('',*,*,#195884,.T.); #273225=ORIENTED_EDGE('',*,*,#195881,.T.); #273226=ORIENTED_EDGE('',*,*,#195878,.T.); #273227=ORIENTED_EDGE('',*,*,#195875,.T.); #273228=ORIENTED_EDGE('',*,*,#195872,.T.); #273229=ORIENTED_EDGE('',*,*,#195869,.T.); #273230=ORIENTED_EDGE('',*,*,#195866,.T.); #273231=ORIENTED_EDGE('',*,*,#195863,.T.); #273232=ORIENTED_EDGE('',*,*,#195860,.T.); #273233=ORIENTED_EDGE('',*,*,#195857,.T.); #273234=ORIENTED_EDGE('',*,*,#195854,.T.); #273235=ORIENTED_EDGE('',*,*,#195851,.T.); #273236=ORIENTED_EDGE('',*,*,#195848,.T.); #273237=ORIENTED_EDGE('',*,*,#195845,.T.); #273238=ORIENTED_EDGE('',*,*,#195842,.T.); #273239=ORIENTED_EDGE('',*,*,#195839,.T.); #273240=ORIENTED_EDGE('',*,*,#195836,.T.); #273241=ORIENTED_EDGE('',*,*,#195833,.T.); #273242=ORIENTED_EDGE('',*,*,#195830,.T.); #273243=ORIENTED_EDGE('',*,*,#195827,.T.); #273244=ORIENTED_EDGE('',*,*,#195824,.T.); #273245=ORIENTED_EDGE('',*,*,#195821,.T.); #273246=ORIENTED_EDGE('',*,*,#195818,.T.); #273247=ORIENTED_EDGE('',*,*,#195815,.T.); #273248=ORIENTED_EDGE('',*,*,#195812,.T.); #273249=ORIENTED_EDGE('',*,*,#195809,.T.); #273250=ORIENTED_EDGE('',*,*,#195806,.T.); #273251=ORIENTED_EDGE('',*,*,#195803,.T.); #273252=ORIENTED_EDGE('',*,*,#195800,.T.); #273253=ORIENTED_EDGE('',*,*,#195797,.T.); #273254=ORIENTED_EDGE('',*,*,#195794,.T.); #273255=ORIENTED_EDGE('',*,*,#195791,.T.); #273256=ORIENTED_EDGE('',*,*,#195788,.T.); #273257=ORIENTED_EDGE('',*,*,#195785,.T.); #273258=ORIENTED_EDGE('',*,*,#195782,.T.); #273259=ORIENTED_EDGE('',*,*,#195779,.T.); #273260=ORIENTED_EDGE('',*,*,#195776,.T.); #273261=ORIENTED_EDGE('',*,*,#195773,.T.); #273262=ORIENTED_EDGE('',*,*,#195770,.T.); #273263=ORIENTED_EDGE('',*,*,#195767,.T.); #273264=ORIENTED_EDGE('',*,*,#195764,.T.); #273265=ORIENTED_EDGE('',*,*,#195761,.T.); #273266=ORIENTED_EDGE('',*,*,#195758,.T.); #273267=ORIENTED_EDGE('',*,*,#195755,.T.); #273268=ORIENTED_EDGE('',*,*,#195752,.T.); #273269=ORIENTED_EDGE('',*,*,#195749,.T.); #273270=ORIENTED_EDGE('',*,*,#195746,.T.); #273271=ORIENTED_EDGE('',*,*,#195743,.T.); #273272=ORIENTED_EDGE('',*,*,#195740,.T.); #273273=ORIENTED_EDGE('',*,*,#195737,.T.); #273274=ORIENTED_EDGE('',*,*,#195734,.T.); #273275=ORIENTED_EDGE('',*,*,#195731,.T.); #273276=ORIENTED_EDGE('',*,*,#195728,.T.); #273277=ORIENTED_EDGE('',*,*,#195725,.T.); #273278=ORIENTED_EDGE('',*,*,#195722,.T.); #273279=ORIENTED_EDGE('',*,*,#195719,.T.); #273280=ORIENTED_EDGE('',*,*,#195716,.T.); #273281=ORIENTED_EDGE('',*,*,#195713,.T.); #273282=ORIENTED_EDGE('',*,*,#195710,.T.); #273283=ORIENTED_EDGE('',*,*,#195707,.T.); #273284=ORIENTED_EDGE('',*,*,#195704,.T.); #273285=ORIENTED_EDGE('',*,*,#195701,.T.); #273286=ORIENTED_EDGE('',*,*,#195698,.T.); #273287=ORIENTED_EDGE('',*,*,#195695,.T.); #273288=ORIENTED_EDGE('',*,*,#195692,.T.); #273289=ORIENTED_EDGE('',*,*,#195689,.T.); #273290=ORIENTED_EDGE('',*,*,#195686,.T.); #273291=ORIENTED_EDGE('',*,*,#195683,.T.); #273292=ORIENTED_EDGE('',*,*,#195680,.T.); #273293=ORIENTED_EDGE('',*,*,#195677,.T.); #273294=ORIENTED_EDGE('',*,*,#195674,.T.); #273295=ORIENTED_EDGE('',*,*,#195671,.T.); #273296=ORIENTED_EDGE('',*,*,#195668,.T.); #273297=ORIENTED_EDGE('',*,*,#195665,.T.); #273298=ORIENTED_EDGE('',*,*,#195662,.T.); #273299=ORIENTED_EDGE('',*,*,#195659,.T.); #273300=ORIENTED_EDGE('',*,*,#195656,.T.); #273301=ORIENTED_EDGE('',*,*,#195653,.T.); #273302=ORIENTED_EDGE('',*,*,#195650,.T.); #273303=ORIENTED_EDGE('',*,*,#195647,.T.); #273304=ORIENTED_EDGE('',*,*,#195644,.T.); #273305=ORIENTED_EDGE('',*,*,#195641,.T.); #273306=ORIENTED_EDGE('',*,*,#195638,.T.); #273307=ORIENTED_EDGE('',*,*,#195635,.T.); #273308=ORIENTED_EDGE('',*,*,#200230,.F.); #273309=ORIENTED_EDGE('',*,*,#199872,.F.); #273310=ORIENTED_EDGE('',*,*,#199876,.F.); #273311=ORIENTED_EDGE('',*,*,#199879,.F.); #273312=ORIENTED_EDGE('',*,*,#199882,.F.); #273313=ORIENTED_EDGE('',*,*,#199885,.F.); #273314=ORIENTED_EDGE('',*,*,#199888,.F.); #273315=ORIENTED_EDGE('',*,*,#199891,.F.); #273316=ORIENTED_EDGE('',*,*,#199894,.F.); #273317=ORIENTED_EDGE('',*,*,#199897,.F.); #273318=ORIENTED_EDGE('',*,*,#199900,.F.); #273319=ORIENTED_EDGE('',*,*,#199903,.F.); #273320=ORIENTED_EDGE('',*,*,#199906,.F.); #273321=ORIENTED_EDGE('',*,*,#199909,.F.); #273322=ORIENTED_EDGE('',*,*,#199912,.F.); #273323=ORIENTED_EDGE('',*,*,#199915,.F.); #273324=ORIENTED_EDGE('',*,*,#199918,.F.); #273325=ORIENTED_EDGE('',*,*,#199921,.F.); #273326=ORIENTED_EDGE('',*,*,#199924,.F.); #273327=ORIENTED_EDGE('',*,*,#199927,.F.); #273328=ORIENTED_EDGE('',*,*,#199930,.F.); #273329=ORIENTED_EDGE('',*,*,#199933,.F.); #273330=ORIENTED_EDGE('',*,*,#199936,.F.); #273331=ORIENTED_EDGE('',*,*,#199939,.F.); #273332=ORIENTED_EDGE('',*,*,#199942,.F.); #273333=ORIENTED_EDGE('',*,*,#199945,.F.); #273334=ORIENTED_EDGE('',*,*,#199948,.F.); #273335=ORIENTED_EDGE('',*,*,#199951,.F.); #273336=ORIENTED_EDGE('',*,*,#199954,.F.); #273337=ORIENTED_EDGE('',*,*,#199957,.F.); #273338=ORIENTED_EDGE('',*,*,#199960,.F.); #273339=ORIENTED_EDGE('',*,*,#199963,.F.); #273340=ORIENTED_EDGE('',*,*,#199966,.F.); #273341=ORIENTED_EDGE('',*,*,#199969,.F.); #273342=ORIENTED_EDGE('',*,*,#199972,.F.); #273343=ORIENTED_EDGE('',*,*,#199975,.F.); #273344=ORIENTED_EDGE('',*,*,#199978,.F.); #273345=ORIENTED_EDGE('',*,*,#199981,.F.); #273346=ORIENTED_EDGE('',*,*,#199984,.F.); #273347=ORIENTED_EDGE('',*,*,#199987,.F.); #273348=ORIENTED_EDGE('',*,*,#199990,.F.); #273349=ORIENTED_EDGE('',*,*,#199993,.F.); #273350=ORIENTED_EDGE('',*,*,#199996,.F.); #273351=ORIENTED_EDGE('',*,*,#199999,.F.); #273352=ORIENTED_EDGE('',*,*,#200002,.F.); #273353=ORIENTED_EDGE('',*,*,#200005,.F.); #273354=ORIENTED_EDGE('',*,*,#200008,.F.); #273355=ORIENTED_EDGE('',*,*,#200011,.F.); #273356=ORIENTED_EDGE('',*,*,#200014,.F.); #273357=ORIENTED_EDGE('',*,*,#200017,.F.); #273358=ORIENTED_EDGE('',*,*,#200020,.F.); #273359=ORIENTED_EDGE('',*,*,#200023,.F.); #273360=ORIENTED_EDGE('',*,*,#200026,.F.); #273361=ORIENTED_EDGE('',*,*,#200029,.F.); #273362=ORIENTED_EDGE('',*,*,#200032,.F.); #273363=ORIENTED_EDGE('',*,*,#200035,.F.); #273364=ORIENTED_EDGE('',*,*,#200038,.F.); #273365=ORIENTED_EDGE('',*,*,#200041,.F.); #273366=ORIENTED_EDGE('',*,*,#200044,.F.); #273367=ORIENTED_EDGE('',*,*,#200047,.F.); #273368=ORIENTED_EDGE('',*,*,#200050,.F.); #273369=ORIENTED_EDGE('',*,*,#200053,.F.); #273370=ORIENTED_EDGE('',*,*,#200056,.F.); #273371=ORIENTED_EDGE('',*,*,#200059,.F.); #273372=ORIENTED_EDGE('',*,*,#200062,.F.); #273373=ORIENTED_EDGE('',*,*,#200065,.F.); #273374=ORIENTED_EDGE('',*,*,#200068,.F.); #273375=ORIENTED_EDGE('',*,*,#200071,.F.); #273376=ORIENTED_EDGE('',*,*,#200074,.F.); #273377=ORIENTED_EDGE('',*,*,#200077,.F.); #273378=ORIENTED_EDGE('',*,*,#200080,.F.); #273379=ORIENTED_EDGE('',*,*,#200083,.F.); #273380=ORIENTED_EDGE('',*,*,#200086,.F.); #273381=ORIENTED_EDGE('',*,*,#200089,.F.); #273382=ORIENTED_EDGE('',*,*,#200092,.F.); #273383=ORIENTED_EDGE('',*,*,#200095,.F.); #273384=ORIENTED_EDGE('',*,*,#200098,.F.); #273385=ORIENTED_EDGE('',*,*,#200101,.F.); #273386=ORIENTED_EDGE('',*,*,#200104,.F.); #273387=ORIENTED_EDGE('',*,*,#200107,.F.); #273388=ORIENTED_EDGE('',*,*,#200110,.F.); #273389=ORIENTED_EDGE('',*,*,#200113,.F.); #273390=ORIENTED_EDGE('',*,*,#200116,.F.); #273391=ORIENTED_EDGE('',*,*,#200119,.F.); #273392=ORIENTED_EDGE('',*,*,#200122,.F.); #273393=ORIENTED_EDGE('',*,*,#200125,.F.); #273394=ORIENTED_EDGE('',*,*,#200128,.F.); #273395=ORIENTED_EDGE('',*,*,#200131,.F.); #273396=ORIENTED_EDGE('',*,*,#200134,.F.); #273397=ORIENTED_EDGE('',*,*,#200137,.F.); #273398=ORIENTED_EDGE('',*,*,#200140,.F.); #273399=ORIENTED_EDGE('',*,*,#200143,.F.); #273400=ORIENTED_EDGE('',*,*,#200146,.F.); #273401=ORIENTED_EDGE('',*,*,#200149,.F.); #273402=ORIENTED_EDGE('',*,*,#200152,.F.); #273403=ORIENTED_EDGE('',*,*,#200155,.F.); #273404=ORIENTED_EDGE('',*,*,#200158,.F.); #273405=ORIENTED_EDGE('',*,*,#200161,.F.); #273406=ORIENTED_EDGE('',*,*,#200164,.F.); #273407=ORIENTED_EDGE('',*,*,#200167,.F.); #273408=ORIENTED_EDGE('',*,*,#200170,.F.); #273409=ORIENTED_EDGE('',*,*,#200173,.F.); #273410=ORIENTED_EDGE('',*,*,#200176,.F.); #273411=ORIENTED_EDGE('',*,*,#200179,.F.); #273412=ORIENTED_EDGE('',*,*,#200182,.F.); #273413=ORIENTED_EDGE('',*,*,#200185,.F.); #273414=ORIENTED_EDGE('',*,*,#200188,.F.); #273415=ORIENTED_EDGE('',*,*,#200191,.F.); #273416=ORIENTED_EDGE('',*,*,#200194,.F.); #273417=ORIENTED_EDGE('',*,*,#200197,.F.); #273418=ORIENTED_EDGE('',*,*,#200200,.F.); #273419=ORIENTED_EDGE('',*,*,#200203,.F.); #273420=ORIENTED_EDGE('',*,*,#200206,.F.); #273421=ORIENTED_EDGE('',*,*,#200209,.F.); #273422=ORIENTED_EDGE('',*,*,#200212,.F.); #273423=ORIENTED_EDGE('',*,*,#200215,.F.); #273424=ORIENTED_EDGE('',*,*,#200218,.F.); #273425=ORIENTED_EDGE('',*,*,#200221,.F.); #273426=ORIENTED_EDGE('',*,*,#200224,.F.); #273427=ORIENTED_EDGE('',*,*,#200227,.F.); #273428=ORIENTED_EDGE('',*,*,#195189,.T.); #273429=ORIENTED_EDGE('',*,*,#195192,.T.); #273430=ORIENTED_EDGE('',*,*,#195195,.T.); #273431=ORIENTED_EDGE('',*,*,#195198,.T.); #273432=ORIENTED_EDGE('',*,*,#195201,.T.); #273433=ORIENTED_EDGE('',*,*,#195204,.T.); #273434=ORIENTED_EDGE('',*,*,#195207,.T.); #273435=ORIENTED_EDGE('',*,*,#195210,.T.); #273436=ORIENTED_EDGE('',*,*,#195213,.T.); #273437=ORIENTED_EDGE('',*,*,#195216,.T.); #273438=ORIENTED_EDGE('',*,*,#195219,.T.); #273439=ORIENTED_EDGE('',*,*,#195222,.T.); #273440=ORIENTED_EDGE('',*,*,#195225,.T.); #273441=ORIENTED_EDGE('',*,*,#195228,.T.); #273442=ORIENTED_EDGE('',*,*,#195231,.T.); #273443=ORIENTED_EDGE('',*,*,#195234,.T.); #273444=ORIENTED_EDGE('',*,*,#195237,.T.); #273445=ORIENTED_EDGE('',*,*,#195240,.T.); #273446=ORIENTED_EDGE('',*,*,#195243,.T.); #273447=ORIENTED_EDGE('',*,*,#195246,.T.); #273448=ORIENTED_EDGE('',*,*,#195249,.T.); #273449=ORIENTED_EDGE('',*,*,#195252,.T.); #273450=ORIENTED_EDGE('',*,*,#195255,.T.); #273451=ORIENTED_EDGE('',*,*,#195258,.T.); #273452=ORIENTED_EDGE('',*,*,#195261,.T.); #273453=ORIENTED_EDGE('',*,*,#195264,.T.); #273454=ORIENTED_EDGE('',*,*,#195267,.T.); #273455=ORIENTED_EDGE('',*,*,#195270,.T.); #273456=ORIENTED_EDGE('',*,*,#195273,.T.); #273457=ORIENTED_EDGE('',*,*,#195276,.T.); #273458=ORIENTED_EDGE('',*,*,#195279,.T.); #273459=ORIENTED_EDGE('',*,*,#195282,.T.); #273460=ORIENTED_EDGE('',*,*,#195285,.T.); #273461=ORIENTED_EDGE('',*,*,#195288,.T.); #273462=ORIENTED_EDGE('',*,*,#195291,.T.); #273463=ORIENTED_EDGE('',*,*,#195294,.T.); #273464=ORIENTED_EDGE('',*,*,#195297,.T.); #273465=ORIENTED_EDGE('',*,*,#195300,.T.); #273466=ORIENTED_EDGE('',*,*,#195303,.T.); #273467=ORIENTED_EDGE('',*,*,#195306,.T.); #273468=ORIENTED_EDGE('',*,*,#195309,.T.); #273469=ORIENTED_EDGE('',*,*,#195312,.T.); #273470=ORIENTED_EDGE('',*,*,#195315,.T.); #273471=ORIENTED_EDGE('',*,*,#195318,.T.); #273472=ORIENTED_EDGE('',*,*,#195321,.T.); #273473=ORIENTED_EDGE('',*,*,#195324,.T.); #273474=ORIENTED_EDGE('',*,*,#195327,.T.); #273475=ORIENTED_EDGE('',*,*,#195330,.T.); #273476=ORIENTED_EDGE('',*,*,#195333,.T.); #273477=ORIENTED_EDGE('',*,*,#195336,.T.); #273478=ORIENTED_EDGE('',*,*,#195339,.T.); #273479=ORIENTED_EDGE('',*,*,#195342,.T.); #273480=ORIENTED_EDGE('',*,*,#195345,.T.); #273481=ORIENTED_EDGE('',*,*,#195348,.T.); #273482=ORIENTED_EDGE('',*,*,#195351,.T.); #273483=ORIENTED_EDGE('',*,*,#195354,.T.); #273484=ORIENTED_EDGE('',*,*,#195357,.T.); #273485=ORIENTED_EDGE('',*,*,#195360,.T.); #273486=ORIENTED_EDGE('',*,*,#195363,.T.); #273487=ORIENTED_EDGE('',*,*,#195366,.T.); #273488=ORIENTED_EDGE('',*,*,#195369,.T.); #273489=ORIENTED_EDGE('',*,*,#195372,.T.); #273490=ORIENTED_EDGE('',*,*,#195375,.T.); #273491=ORIENTED_EDGE('',*,*,#195378,.T.); #273492=ORIENTED_EDGE('',*,*,#195389,.T.); #273493=ORIENTED_EDGE('',*,*,#195386,.T.); #273494=ORIENTED_EDGE('',*,*,#195383,.T.); #273495=ORIENTED_EDGE('',*,*,#195392,.T.); #273496=ORIENTED_EDGE('',*,*,#195401,.T.); #273497=ORIENTED_EDGE('',*,*,#195398,.T.); #273498=ORIENTED_EDGE('',*,*,#195395,.T.); #273499=ORIENTED_EDGE('',*,*,#195404,.T.); #273500=ORIENTED_EDGE('',*,*,#195405,.T.); #273501=ORIENTED_EDGE('',*,*,#195408,.T.); #273502=ORIENTED_EDGE('',*,*,#195419,.T.); #273503=ORIENTED_EDGE('',*,*,#195416,.T.); #273504=ORIENTED_EDGE('',*,*,#195413,.T.); #273505=ORIENTED_EDGE('',*,*,#195422,.T.); #273506=ORIENTED_EDGE('',*,*,#195423,.T.); #273507=ORIENTED_EDGE('',*,*,#195426,.T.); #273508=ORIENTED_EDGE('',*,*,#195429,.T.); #273509=ORIENTED_EDGE('',*,*,#195432,.T.); #273510=ORIENTED_EDGE('',*,*,#195435,.T.); #273511=ORIENTED_EDGE('',*,*,#195446,.T.); #273512=ORIENTED_EDGE('',*,*,#195443,.T.); #273513=ORIENTED_EDGE('',*,*,#195440,.T.); #273514=ORIENTED_EDGE('',*,*,#195449,.T.); #273515=ORIENTED_EDGE('',*,*,#195450,.T.); #273516=ORIENTED_EDGE('',*,*,#195461,.T.); #273517=ORIENTED_EDGE('',*,*,#195458,.T.); #273518=ORIENTED_EDGE('',*,*,#195455,.T.); #273519=ORIENTED_EDGE('',*,*,#195464,.T.); #273520=ORIENTED_EDGE('',*,*,#195473,.T.); #273521=ORIENTED_EDGE('',*,*,#195470,.T.); #273522=ORIENTED_EDGE('',*,*,#195467,.T.); #273523=ORIENTED_EDGE('',*,*,#195476,.T.); #273524=ORIENTED_EDGE('',*,*,#195477,.T.); #273525=ORIENTED_EDGE('',*,*,#195480,.T.); #273526=ORIENTED_EDGE('',*,*,#195483,.T.); #273527=ORIENTED_EDGE('',*,*,#195486,.T.); #273528=ORIENTED_EDGE('',*,*,#195489,.T.); #273529=ORIENTED_EDGE('',*,*,#195492,.T.); #273530=ORIENTED_EDGE('',*,*,#195495,.T.); #273531=ORIENTED_EDGE('',*,*,#195498,.T.); #273532=ORIENTED_EDGE('',*,*,#195501,.T.); #273533=ORIENTED_EDGE('',*,*,#195504,.T.); #273534=ORIENTED_EDGE('',*,*,#195507,.T.); #273535=ORIENTED_EDGE('',*,*,#195510,.T.); #273536=ORIENTED_EDGE('',*,*,#195513,.T.); #273537=ORIENTED_EDGE('',*,*,#195516,.T.); #273538=ORIENTED_EDGE('',*,*,#195519,.T.); #273539=ORIENTED_EDGE('',*,*,#195522,.T.); #273540=ORIENTED_EDGE('',*,*,#195525,.T.); #273541=ORIENTED_EDGE('',*,*,#195528,.T.); #273542=ORIENTED_EDGE('',*,*,#195531,.T.); #273543=ORIENTED_EDGE('',*,*,#195534,.T.); #273544=ORIENTED_EDGE('',*,*,#195537,.T.); #273545=ORIENTED_EDGE('',*,*,#195540,.T.); #273546=ORIENTED_EDGE('',*,*,#195543,.T.); #273547=ORIENTED_EDGE('',*,*,#195546,.T.); #273548=ORIENTED_EDGE('',*,*,#195549,.T.); #273549=ORIENTED_EDGE('',*,*,#195552,.T.); #273550=ORIENTED_EDGE('',*,*,#195555,.T.); #273551=ORIENTED_EDGE('',*,*,#195558,.T.); #273552=ORIENTED_EDGE('',*,*,#195561,.T.); #273553=ORIENTED_EDGE('',*,*,#195564,.T.); #273554=ORIENTED_EDGE('',*,*,#195567,.T.); #273555=ORIENTED_EDGE('',*,*,#195570,.T.); #273556=ORIENTED_EDGE('',*,*,#195573,.T.); #273557=ORIENTED_EDGE('',*,*,#195576,.T.); #273558=ORIENTED_EDGE('',*,*,#195579,.T.); #273559=ORIENTED_EDGE('',*,*,#195582,.T.); #273560=ORIENTED_EDGE('',*,*,#195585,.T.); #273561=ORIENTED_EDGE('',*,*,#195588,.T.); #273562=ORIENTED_EDGE('',*,*,#195591,.T.); #273563=ORIENTED_EDGE('',*,*,#195594,.T.); #273564=ORIENTED_EDGE('',*,*,#195597,.T.); #273565=ORIENTED_EDGE('',*,*,#195600,.T.); #273566=ORIENTED_EDGE('',*,*,#195603,.T.); #273567=ORIENTED_EDGE('',*,*,#195606,.T.); #273568=ORIENTED_EDGE('',*,*,#195609,.T.); #273569=ORIENTED_EDGE('',*,*,#195612,.T.); #273570=ORIENTED_EDGE('',*,*,#195615,.T.); #273571=ORIENTED_EDGE('',*,*,#195618,.T.); #273572=ORIENTED_EDGE('',*,*,#195621,.T.); #273573=ORIENTED_EDGE('',*,*,#195624,.T.); #273574=ORIENTED_EDGE('',*,*,#195627,.T.); #273575=ORIENTED_EDGE('',*,*,#195630,.T.); #273576=ORIENTED_EDGE('',*,*,#199870,.F.); #273577=ORIENTED_EDGE('',*,*,#199782,.F.); #273578=ORIENTED_EDGE('',*,*,#199786,.F.); #273579=ORIENTED_EDGE('',*,*,#199789,.F.); #273580=ORIENTED_EDGE('',*,*,#199792,.F.); #273581=ORIENTED_EDGE('',*,*,#199795,.F.); #273582=ORIENTED_EDGE('',*,*,#199798,.F.); #273583=ORIENTED_EDGE('',*,*,#199801,.F.); #273584=ORIENTED_EDGE('',*,*,#199804,.F.); #273585=ORIENTED_EDGE('',*,*,#199807,.F.); #273586=ORIENTED_EDGE('',*,*,#199810,.F.); #273587=ORIENTED_EDGE('',*,*,#199813,.F.); #273588=ORIENTED_EDGE('',*,*,#199816,.F.); #273589=ORIENTED_EDGE('',*,*,#199819,.F.); #273590=ORIENTED_EDGE('',*,*,#199822,.F.); #273591=ORIENTED_EDGE('',*,*,#199825,.F.); #273592=ORIENTED_EDGE('',*,*,#199828,.F.); #273593=ORIENTED_EDGE('',*,*,#199831,.F.); #273594=ORIENTED_EDGE('',*,*,#199834,.F.); #273595=ORIENTED_EDGE('',*,*,#199837,.F.); #273596=ORIENTED_EDGE('',*,*,#199840,.F.); #273597=ORIENTED_EDGE('',*,*,#199843,.F.); #273598=ORIENTED_EDGE('',*,*,#199846,.F.); #273599=ORIENTED_EDGE('',*,*,#199849,.F.); #273600=ORIENTED_EDGE('',*,*,#199852,.F.); #273601=ORIENTED_EDGE('',*,*,#199855,.F.); #273602=ORIENTED_EDGE('',*,*,#199858,.F.); #273603=ORIENTED_EDGE('',*,*,#199861,.F.); #273604=ORIENTED_EDGE('',*,*,#199864,.F.); #273605=ORIENTED_EDGE('',*,*,#199867,.F.); #273606=ORIENTED_EDGE('',*,*,#199780,.F.); #273607=ORIENTED_EDGE('',*,*,#199692,.F.); #273608=ORIENTED_EDGE('',*,*,#199696,.F.); #273609=ORIENTED_EDGE('',*,*,#199699,.F.); #273610=ORIENTED_EDGE('',*,*,#199702,.F.); #273611=ORIENTED_EDGE('',*,*,#199705,.F.); #273612=ORIENTED_EDGE('',*,*,#199708,.F.); #273613=ORIENTED_EDGE('',*,*,#199711,.F.); #273614=ORIENTED_EDGE('',*,*,#199714,.F.); #273615=ORIENTED_EDGE('',*,*,#199717,.F.); #273616=ORIENTED_EDGE('',*,*,#199720,.F.); #273617=ORIENTED_EDGE('',*,*,#199723,.F.); #273618=ORIENTED_EDGE('',*,*,#199726,.F.); #273619=ORIENTED_EDGE('',*,*,#199729,.F.); #273620=ORIENTED_EDGE('',*,*,#199732,.F.); #273621=ORIENTED_EDGE('',*,*,#199735,.F.); #273622=ORIENTED_EDGE('',*,*,#199738,.F.); #273623=ORIENTED_EDGE('',*,*,#199741,.F.); #273624=ORIENTED_EDGE('',*,*,#199744,.F.); #273625=ORIENTED_EDGE('',*,*,#199747,.F.); #273626=ORIENTED_EDGE('',*,*,#199750,.F.); #273627=ORIENTED_EDGE('',*,*,#199753,.F.); #273628=ORIENTED_EDGE('',*,*,#199756,.F.); #273629=ORIENTED_EDGE('',*,*,#199759,.F.); #273630=ORIENTED_EDGE('',*,*,#199762,.F.); #273631=ORIENTED_EDGE('',*,*,#199765,.F.); #273632=ORIENTED_EDGE('',*,*,#199768,.F.); #273633=ORIENTED_EDGE('',*,*,#199771,.F.); #273634=ORIENTED_EDGE('',*,*,#199774,.F.); #273635=ORIENTED_EDGE('',*,*,#199777,.F.); #273636=ORIENTED_EDGE('',*,*,#199690,.F.); #273637=ORIENTED_EDGE('',*,*,#199602,.F.); #273638=ORIENTED_EDGE('',*,*,#199606,.F.); #273639=ORIENTED_EDGE('',*,*,#199609,.F.); #273640=ORIENTED_EDGE('',*,*,#199612,.F.); #273641=ORIENTED_EDGE('',*,*,#199615,.F.); #273642=ORIENTED_EDGE('',*,*,#199618,.F.); #273643=ORIENTED_EDGE('',*,*,#199621,.F.); #273644=ORIENTED_EDGE('',*,*,#199624,.F.); #273645=ORIENTED_EDGE('',*,*,#199627,.F.); #273646=ORIENTED_EDGE('',*,*,#199630,.F.); #273647=ORIENTED_EDGE('',*,*,#199633,.F.); #273648=ORIENTED_EDGE('',*,*,#199636,.F.); #273649=ORIENTED_EDGE('',*,*,#199639,.F.); #273650=ORIENTED_EDGE('',*,*,#199642,.F.); #273651=ORIENTED_EDGE('',*,*,#199645,.F.); #273652=ORIENTED_EDGE('',*,*,#199648,.F.); #273653=ORIENTED_EDGE('',*,*,#199651,.F.); #273654=ORIENTED_EDGE('',*,*,#199654,.F.); #273655=ORIENTED_EDGE('',*,*,#199657,.F.); #273656=ORIENTED_EDGE('',*,*,#199660,.F.); #273657=ORIENTED_EDGE('',*,*,#199663,.F.); #273658=ORIENTED_EDGE('',*,*,#199666,.F.); #273659=ORIENTED_EDGE('',*,*,#199669,.F.); #273660=ORIENTED_EDGE('',*,*,#199672,.F.); #273661=ORIENTED_EDGE('',*,*,#199675,.F.); #273662=ORIENTED_EDGE('',*,*,#199678,.F.); #273663=ORIENTED_EDGE('',*,*,#199681,.F.); #273664=ORIENTED_EDGE('',*,*,#199684,.F.); #273665=ORIENTED_EDGE('',*,*,#199687,.F.); #273666=ORIENTED_EDGE('',*,*,#199600,.F.); #273667=ORIENTED_EDGE('',*,*,#198849,.F.); #273668=ORIENTED_EDGE('',*,*,#198853,.F.); #273669=ORIENTED_EDGE('',*,*,#198856,.F.); #273670=ORIENTED_EDGE('',*,*,#198859,.F.); #273671=ORIENTED_EDGE('',*,*,#198862,.F.); #273672=ORIENTED_EDGE('',*,*,#198865,.F.); #273673=ORIENTED_EDGE('',*,*,#198868,.F.); #273674=ORIENTED_EDGE('',*,*,#198871,.F.); #273675=ORIENTED_EDGE('',*,*,#198874,.F.); #273676=ORIENTED_EDGE('',*,*,#198877,.F.); #273677=ORIENTED_EDGE('',*,*,#198880,.F.); #273678=ORIENTED_EDGE('',*,*,#198883,.F.); #273679=ORIENTED_EDGE('',*,*,#198886,.F.); #273680=ORIENTED_EDGE('',*,*,#198889,.F.); #273681=ORIENTED_EDGE('',*,*,#198892,.F.); #273682=ORIENTED_EDGE('',*,*,#198895,.F.); #273683=ORIENTED_EDGE('',*,*,#198898,.F.); #273684=ORIENTED_EDGE('',*,*,#198901,.F.); #273685=ORIENTED_EDGE('',*,*,#198904,.F.); #273686=ORIENTED_EDGE('',*,*,#198907,.F.); #273687=ORIENTED_EDGE('',*,*,#198910,.F.); #273688=ORIENTED_EDGE('',*,*,#198913,.F.); #273689=ORIENTED_EDGE('',*,*,#198916,.F.); #273690=ORIENTED_EDGE('',*,*,#198919,.F.); #273691=ORIENTED_EDGE('',*,*,#198922,.F.); #273692=ORIENTED_EDGE('',*,*,#198925,.F.); #273693=ORIENTED_EDGE('',*,*,#198928,.F.); #273694=ORIENTED_EDGE('',*,*,#198931,.F.); #273695=ORIENTED_EDGE('',*,*,#198934,.F.); #273696=ORIENTED_EDGE('',*,*,#198937,.F.); #273697=ORIENTED_EDGE('',*,*,#198940,.F.); #273698=ORIENTED_EDGE('',*,*,#198943,.F.); #273699=ORIENTED_EDGE('',*,*,#198946,.F.); #273700=ORIENTED_EDGE('',*,*,#198949,.F.); #273701=ORIENTED_EDGE('',*,*,#198952,.F.); #273702=ORIENTED_EDGE('',*,*,#198955,.F.); #273703=ORIENTED_EDGE('',*,*,#198958,.F.); #273704=ORIENTED_EDGE('',*,*,#198961,.F.); #273705=ORIENTED_EDGE('',*,*,#198964,.F.); #273706=ORIENTED_EDGE('',*,*,#198967,.F.); #273707=ORIENTED_EDGE('',*,*,#198970,.F.); #273708=ORIENTED_EDGE('',*,*,#198973,.F.); #273709=ORIENTED_EDGE('',*,*,#198976,.F.); #273710=ORIENTED_EDGE('',*,*,#198979,.F.); #273711=ORIENTED_EDGE('',*,*,#198982,.F.); #273712=ORIENTED_EDGE('',*,*,#198985,.F.); #273713=ORIENTED_EDGE('',*,*,#198988,.F.); #273714=ORIENTED_EDGE('',*,*,#198991,.F.); #273715=ORIENTED_EDGE('',*,*,#198994,.F.); #273716=ORIENTED_EDGE('',*,*,#198997,.F.); #273717=ORIENTED_EDGE('',*,*,#199000,.F.); #273718=ORIENTED_EDGE('',*,*,#199003,.F.); #273719=ORIENTED_EDGE('',*,*,#199006,.F.); #273720=ORIENTED_EDGE('',*,*,#199009,.F.); #273721=ORIENTED_EDGE('',*,*,#199012,.F.); #273722=ORIENTED_EDGE('',*,*,#199015,.F.); #273723=ORIENTED_EDGE('',*,*,#199018,.F.); #273724=ORIENTED_EDGE('',*,*,#199021,.F.); #273725=ORIENTED_EDGE('',*,*,#199024,.F.); #273726=ORIENTED_EDGE('',*,*,#199027,.F.); #273727=ORIENTED_EDGE('',*,*,#199030,.F.); #273728=ORIENTED_EDGE('',*,*,#199033,.F.); #273729=ORIENTED_EDGE('',*,*,#199036,.F.); #273730=ORIENTED_EDGE('',*,*,#199039,.F.); #273731=ORIENTED_EDGE('',*,*,#199042,.F.); #273732=ORIENTED_EDGE('',*,*,#199045,.F.); #273733=ORIENTED_EDGE('',*,*,#199048,.F.); #273734=ORIENTED_EDGE('',*,*,#199051,.F.); #273735=ORIENTED_EDGE('',*,*,#199054,.F.); #273736=ORIENTED_EDGE('',*,*,#199057,.F.); #273737=ORIENTED_EDGE('',*,*,#199060,.F.); #273738=ORIENTED_EDGE('',*,*,#199063,.F.); #273739=ORIENTED_EDGE('',*,*,#199066,.F.); #273740=ORIENTED_EDGE('',*,*,#199069,.F.); #273741=ORIENTED_EDGE('',*,*,#199072,.F.); #273742=ORIENTED_EDGE('',*,*,#199075,.F.); #273743=ORIENTED_EDGE('',*,*,#199078,.F.); #273744=ORIENTED_EDGE('',*,*,#199081,.F.); #273745=ORIENTED_EDGE('',*,*,#199084,.F.); #273746=ORIENTED_EDGE('',*,*,#199087,.F.); #273747=ORIENTED_EDGE('',*,*,#199090,.F.); #273748=ORIENTED_EDGE('',*,*,#199093,.F.); #273749=ORIENTED_EDGE('',*,*,#199096,.F.); #273750=ORIENTED_EDGE('',*,*,#199099,.F.); #273751=ORIENTED_EDGE('',*,*,#199102,.F.); #273752=ORIENTED_EDGE('',*,*,#199105,.F.); #273753=ORIENTED_EDGE('',*,*,#199108,.F.); #273754=ORIENTED_EDGE('',*,*,#199111,.F.); #273755=ORIENTED_EDGE('',*,*,#199114,.F.); #273756=ORIENTED_EDGE('',*,*,#199117,.F.); #273757=ORIENTED_EDGE('',*,*,#199120,.F.); #273758=ORIENTED_EDGE('',*,*,#199123,.F.); #273759=ORIENTED_EDGE('',*,*,#199126,.F.); #273760=ORIENTED_EDGE('',*,*,#199129,.F.); #273761=ORIENTED_EDGE('',*,*,#199132,.F.); #273762=ORIENTED_EDGE('',*,*,#199135,.F.); #273763=ORIENTED_EDGE('',*,*,#199138,.F.); #273764=ORIENTED_EDGE('',*,*,#199141,.F.); #273765=ORIENTED_EDGE('',*,*,#199144,.F.); #273766=ORIENTED_EDGE('',*,*,#199147,.F.); #273767=ORIENTED_EDGE('',*,*,#199150,.F.); #273768=ORIENTED_EDGE('',*,*,#199153,.F.); #273769=ORIENTED_EDGE('',*,*,#199156,.F.); #273770=ORIENTED_EDGE('',*,*,#199159,.F.); #273771=ORIENTED_EDGE('',*,*,#199162,.F.); #273772=ORIENTED_EDGE('',*,*,#199165,.F.); #273773=ORIENTED_EDGE('',*,*,#199168,.F.); #273774=ORIENTED_EDGE('',*,*,#199171,.F.); #273775=ORIENTED_EDGE('',*,*,#199174,.F.); #273776=ORIENTED_EDGE('',*,*,#199177,.F.); #273777=ORIENTED_EDGE('',*,*,#199180,.F.); #273778=ORIENTED_EDGE('',*,*,#199183,.F.); #273779=ORIENTED_EDGE('',*,*,#199186,.F.); #273780=ORIENTED_EDGE('',*,*,#199189,.F.); #273781=ORIENTED_EDGE('',*,*,#199192,.F.); #273782=ORIENTED_EDGE('',*,*,#199195,.F.); #273783=ORIENTED_EDGE('',*,*,#199198,.F.); #273784=ORIENTED_EDGE('',*,*,#199201,.F.); #273785=ORIENTED_EDGE('',*,*,#199204,.F.); #273786=ORIENTED_EDGE('',*,*,#199207,.F.); #273787=ORIENTED_EDGE('',*,*,#199210,.F.); #273788=ORIENTED_EDGE('',*,*,#199213,.F.); #273789=ORIENTED_EDGE('',*,*,#199216,.F.); #273790=ORIENTED_EDGE('',*,*,#199219,.F.); #273791=ORIENTED_EDGE('',*,*,#199222,.F.); #273792=ORIENTED_EDGE('',*,*,#199225,.F.); #273793=ORIENTED_EDGE('',*,*,#199228,.F.); #273794=ORIENTED_EDGE('',*,*,#199231,.F.); #273795=ORIENTED_EDGE('',*,*,#199234,.F.); #273796=ORIENTED_EDGE('',*,*,#199237,.F.); #273797=ORIENTED_EDGE('',*,*,#199240,.F.); #273798=ORIENTED_EDGE('',*,*,#199243,.F.); #273799=ORIENTED_EDGE('',*,*,#199246,.F.); #273800=ORIENTED_EDGE('',*,*,#199249,.F.); #273801=ORIENTED_EDGE('',*,*,#199252,.F.); #273802=ORIENTED_EDGE('',*,*,#199255,.F.); #273803=ORIENTED_EDGE('',*,*,#199258,.F.); #273804=ORIENTED_EDGE('',*,*,#199261,.F.); #273805=ORIENTED_EDGE('',*,*,#199264,.F.); #273806=ORIENTED_EDGE('',*,*,#199267,.F.); #273807=ORIENTED_EDGE('',*,*,#199270,.F.); #273808=ORIENTED_EDGE('',*,*,#199273,.F.); #273809=ORIENTED_EDGE('',*,*,#199276,.F.); #273810=ORIENTED_EDGE('',*,*,#199279,.F.); #273811=ORIENTED_EDGE('',*,*,#199282,.F.); #273812=ORIENTED_EDGE('',*,*,#199285,.F.); #273813=ORIENTED_EDGE('',*,*,#199288,.F.); #273814=ORIENTED_EDGE('',*,*,#199291,.F.); #273815=ORIENTED_EDGE('',*,*,#199294,.F.); #273816=ORIENTED_EDGE('',*,*,#199297,.F.); #273817=ORIENTED_EDGE('',*,*,#199300,.F.); #273818=ORIENTED_EDGE('',*,*,#199303,.F.); #273819=ORIENTED_EDGE('',*,*,#199306,.F.); #273820=ORIENTED_EDGE('',*,*,#199309,.F.); #273821=ORIENTED_EDGE('',*,*,#199312,.F.); #273822=ORIENTED_EDGE('',*,*,#199315,.F.); #273823=ORIENTED_EDGE('',*,*,#199318,.F.); #273824=ORIENTED_EDGE('',*,*,#199321,.F.); #273825=ORIENTED_EDGE('',*,*,#199324,.F.); #273826=ORIENTED_EDGE('',*,*,#199327,.F.); #273827=ORIENTED_EDGE('',*,*,#199330,.F.); #273828=ORIENTED_EDGE('',*,*,#199333,.F.); #273829=ORIENTED_EDGE('',*,*,#199336,.F.); #273830=ORIENTED_EDGE('',*,*,#199339,.F.); #273831=ORIENTED_EDGE('',*,*,#199342,.F.); #273832=ORIENTED_EDGE('',*,*,#199345,.F.); #273833=ORIENTED_EDGE('',*,*,#199348,.F.); #273834=ORIENTED_EDGE('',*,*,#199351,.F.); #273835=ORIENTED_EDGE('',*,*,#199354,.F.); #273836=ORIENTED_EDGE('',*,*,#199357,.F.); #273837=ORIENTED_EDGE('',*,*,#199360,.F.); #273838=ORIENTED_EDGE('',*,*,#199363,.F.); #273839=ORIENTED_EDGE('',*,*,#199366,.F.); #273840=ORIENTED_EDGE('',*,*,#199369,.F.); #273841=ORIENTED_EDGE('',*,*,#199372,.F.); #273842=ORIENTED_EDGE('',*,*,#199375,.F.); #273843=ORIENTED_EDGE('',*,*,#199378,.F.); #273844=ORIENTED_EDGE('',*,*,#199381,.F.); #273845=ORIENTED_EDGE('',*,*,#199384,.F.); #273846=ORIENTED_EDGE('',*,*,#199387,.F.); #273847=ORIENTED_EDGE('',*,*,#199390,.F.); #273848=ORIENTED_EDGE('',*,*,#199393,.F.); #273849=ORIENTED_EDGE('',*,*,#199396,.F.); #273850=ORIENTED_EDGE('',*,*,#199399,.F.); #273851=ORIENTED_EDGE('',*,*,#199402,.F.); #273852=ORIENTED_EDGE('',*,*,#199405,.F.); #273853=ORIENTED_EDGE('',*,*,#199408,.F.); #273854=ORIENTED_EDGE('',*,*,#199411,.F.); #273855=ORIENTED_EDGE('',*,*,#199414,.F.); #273856=ORIENTED_EDGE('',*,*,#199417,.F.); #273857=ORIENTED_EDGE('',*,*,#199420,.F.); #273858=ORIENTED_EDGE('',*,*,#199423,.F.); #273859=ORIENTED_EDGE('',*,*,#199426,.F.); #273860=ORIENTED_EDGE('',*,*,#199429,.F.); #273861=ORIENTED_EDGE('',*,*,#199432,.F.); #273862=ORIENTED_EDGE('',*,*,#199435,.F.); #273863=ORIENTED_EDGE('',*,*,#199438,.F.); #273864=ORIENTED_EDGE('',*,*,#199441,.F.); #273865=ORIENTED_EDGE('',*,*,#199444,.F.); #273866=ORIENTED_EDGE('',*,*,#199447,.F.); #273867=ORIENTED_EDGE('',*,*,#199450,.F.); #273868=ORIENTED_EDGE('',*,*,#199453,.F.); #273869=ORIENTED_EDGE('',*,*,#199456,.F.); #273870=ORIENTED_EDGE('',*,*,#199459,.F.); #273871=ORIENTED_EDGE('',*,*,#199462,.F.); #273872=ORIENTED_EDGE('',*,*,#199465,.F.); #273873=ORIENTED_EDGE('',*,*,#199468,.F.); #273874=ORIENTED_EDGE('',*,*,#199471,.F.); #273875=ORIENTED_EDGE('',*,*,#199474,.F.); #273876=ORIENTED_EDGE('',*,*,#199477,.F.); #273877=ORIENTED_EDGE('',*,*,#199480,.F.); #273878=ORIENTED_EDGE('',*,*,#199483,.F.); #273879=ORIENTED_EDGE('',*,*,#199486,.F.); #273880=ORIENTED_EDGE('',*,*,#199489,.F.); #273881=ORIENTED_EDGE('',*,*,#199492,.F.); #273882=ORIENTED_EDGE('',*,*,#199495,.F.); #273883=ORIENTED_EDGE('',*,*,#199498,.F.); #273884=ORIENTED_EDGE('',*,*,#199501,.F.); #273885=ORIENTED_EDGE('',*,*,#199504,.F.); #273886=ORIENTED_EDGE('',*,*,#199507,.F.); #273887=ORIENTED_EDGE('',*,*,#199510,.F.); #273888=ORIENTED_EDGE('',*,*,#199513,.F.); #273889=ORIENTED_EDGE('',*,*,#199516,.F.); #273890=ORIENTED_EDGE('',*,*,#199519,.F.); #273891=ORIENTED_EDGE('',*,*,#199522,.F.); #273892=ORIENTED_EDGE('',*,*,#199525,.F.); #273893=ORIENTED_EDGE('',*,*,#199528,.F.); #273894=ORIENTED_EDGE('',*,*,#199531,.F.); #273895=ORIENTED_EDGE('',*,*,#199534,.F.); #273896=ORIENTED_EDGE('',*,*,#199537,.F.); #273897=ORIENTED_EDGE('',*,*,#199540,.F.); #273898=ORIENTED_EDGE('',*,*,#199543,.F.); #273899=ORIENTED_EDGE('',*,*,#199546,.F.); #273900=ORIENTED_EDGE('',*,*,#199549,.F.); #273901=ORIENTED_EDGE('',*,*,#199552,.F.); #273902=ORIENTED_EDGE('',*,*,#199555,.F.); #273903=ORIENTED_EDGE('',*,*,#199558,.F.); #273904=ORIENTED_EDGE('',*,*,#199561,.F.); #273905=ORIENTED_EDGE('',*,*,#199564,.F.); #273906=ORIENTED_EDGE('',*,*,#199567,.F.); #273907=ORIENTED_EDGE('',*,*,#199570,.F.); #273908=ORIENTED_EDGE('',*,*,#199573,.F.); #273909=ORIENTED_EDGE('',*,*,#199576,.F.); #273910=ORIENTED_EDGE('',*,*,#199579,.F.); #273911=ORIENTED_EDGE('',*,*,#199582,.F.); #273912=ORIENTED_EDGE('',*,*,#199585,.F.); #273913=ORIENTED_EDGE('',*,*,#199588,.F.); #273914=ORIENTED_EDGE('',*,*,#199591,.F.); #273915=ORIENTED_EDGE('',*,*,#199594,.F.); #273916=ORIENTED_EDGE('',*,*,#199597,.F.); #273917=ORIENTED_EDGE('',*,*,#198847,.F.); #273918=ORIENTED_EDGE('',*,*,#198549,.F.); #273919=ORIENTED_EDGE('',*,*,#198553,.F.); #273920=ORIENTED_EDGE('',*,*,#198556,.F.); #273921=ORIENTED_EDGE('',*,*,#198559,.F.); #273922=ORIENTED_EDGE('',*,*,#198562,.F.); #273923=ORIENTED_EDGE('',*,*,#198565,.F.); #273924=ORIENTED_EDGE('',*,*,#198568,.F.); #273925=ORIENTED_EDGE('',*,*,#198571,.F.); #273926=ORIENTED_EDGE('',*,*,#198574,.F.); #273927=ORIENTED_EDGE('',*,*,#198577,.F.); #273928=ORIENTED_EDGE('',*,*,#198580,.F.); #273929=ORIENTED_EDGE('',*,*,#198583,.F.); #273930=ORIENTED_EDGE('',*,*,#198586,.F.); #273931=ORIENTED_EDGE('',*,*,#198589,.F.); #273932=ORIENTED_EDGE('',*,*,#198592,.F.); #273933=ORIENTED_EDGE('',*,*,#198595,.F.); #273934=ORIENTED_EDGE('',*,*,#198598,.F.); #273935=ORIENTED_EDGE('',*,*,#198601,.F.); #273936=ORIENTED_EDGE('',*,*,#198604,.F.); #273937=ORIENTED_EDGE('',*,*,#198607,.F.); #273938=ORIENTED_EDGE('',*,*,#198610,.F.); #273939=ORIENTED_EDGE('',*,*,#198613,.F.); #273940=ORIENTED_EDGE('',*,*,#198616,.F.); #273941=ORIENTED_EDGE('',*,*,#198619,.F.); #273942=ORIENTED_EDGE('',*,*,#198622,.F.); #273943=ORIENTED_EDGE('',*,*,#198625,.F.); #273944=ORIENTED_EDGE('',*,*,#198628,.F.); #273945=ORIENTED_EDGE('',*,*,#198631,.F.); #273946=ORIENTED_EDGE('',*,*,#198634,.F.); #273947=ORIENTED_EDGE('',*,*,#198637,.F.); #273948=ORIENTED_EDGE('',*,*,#198640,.F.); #273949=ORIENTED_EDGE('',*,*,#198643,.F.); #273950=ORIENTED_EDGE('',*,*,#198646,.F.); #273951=ORIENTED_EDGE('',*,*,#198649,.F.); #273952=ORIENTED_EDGE('',*,*,#198652,.F.); #273953=ORIENTED_EDGE('',*,*,#198655,.F.); #273954=ORIENTED_EDGE('',*,*,#198658,.F.); #273955=ORIENTED_EDGE('',*,*,#198661,.F.); #273956=ORIENTED_EDGE('',*,*,#198664,.F.); #273957=ORIENTED_EDGE('',*,*,#198667,.F.); #273958=ORIENTED_EDGE('',*,*,#198670,.F.); #273959=ORIENTED_EDGE('',*,*,#198673,.F.); #273960=ORIENTED_EDGE('',*,*,#198676,.F.); #273961=ORIENTED_EDGE('',*,*,#198679,.F.); #273962=ORIENTED_EDGE('',*,*,#198682,.F.); #273963=ORIENTED_EDGE('',*,*,#198685,.F.); #273964=ORIENTED_EDGE('',*,*,#198688,.F.); #273965=ORIENTED_EDGE('',*,*,#198691,.F.); #273966=ORIENTED_EDGE('',*,*,#198694,.F.); #273967=ORIENTED_EDGE('',*,*,#198697,.F.); #273968=ORIENTED_EDGE('',*,*,#198700,.F.); #273969=ORIENTED_EDGE('',*,*,#198703,.F.); #273970=ORIENTED_EDGE('',*,*,#198706,.F.); #273971=ORIENTED_EDGE('',*,*,#198709,.F.); #273972=ORIENTED_EDGE('',*,*,#198712,.F.); #273973=ORIENTED_EDGE('',*,*,#198715,.F.); #273974=ORIENTED_EDGE('',*,*,#198718,.F.); #273975=ORIENTED_EDGE('',*,*,#198721,.F.); #273976=ORIENTED_EDGE('',*,*,#198724,.F.); #273977=ORIENTED_EDGE('',*,*,#198727,.F.); #273978=ORIENTED_EDGE('',*,*,#198730,.F.); #273979=ORIENTED_EDGE('',*,*,#198733,.F.); #273980=ORIENTED_EDGE('',*,*,#198736,.F.); #273981=ORIENTED_EDGE('',*,*,#198739,.F.); #273982=ORIENTED_EDGE('',*,*,#198742,.F.); #273983=ORIENTED_EDGE('',*,*,#198745,.F.); #273984=ORIENTED_EDGE('',*,*,#198748,.F.); #273985=ORIENTED_EDGE('',*,*,#198751,.F.); #273986=ORIENTED_EDGE('',*,*,#198754,.F.); #273987=ORIENTED_EDGE('',*,*,#198757,.F.); #273988=ORIENTED_EDGE('',*,*,#198760,.F.); #273989=ORIENTED_EDGE('',*,*,#198763,.F.); #273990=ORIENTED_EDGE('',*,*,#198766,.F.); #273991=ORIENTED_EDGE('',*,*,#198769,.F.); #273992=ORIENTED_EDGE('',*,*,#198772,.F.); #273993=ORIENTED_EDGE('',*,*,#198775,.F.); #273994=ORIENTED_EDGE('',*,*,#198778,.F.); #273995=ORIENTED_EDGE('',*,*,#198781,.F.); #273996=ORIENTED_EDGE('',*,*,#198784,.F.); #273997=ORIENTED_EDGE('',*,*,#198787,.F.); #273998=ORIENTED_EDGE('',*,*,#198790,.F.); #273999=ORIENTED_EDGE('',*,*,#198793,.F.); #274000=ORIENTED_EDGE('',*,*,#198796,.F.); #274001=ORIENTED_EDGE('',*,*,#198799,.F.); #274002=ORIENTED_EDGE('',*,*,#198802,.F.); #274003=ORIENTED_EDGE('',*,*,#198805,.F.); #274004=ORIENTED_EDGE('',*,*,#198808,.F.); #274005=ORIENTED_EDGE('',*,*,#198811,.F.); #274006=ORIENTED_EDGE('',*,*,#198814,.F.); #274007=ORIENTED_EDGE('',*,*,#198817,.F.); #274008=ORIENTED_EDGE('',*,*,#198820,.F.); #274009=ORIENTED_EDGE('',*,*,#198823,.F.); #274010=ORIENTED_EDGE('',*,*,#198826,.F.); #274011=ORIENTED_EDGE('',*,*,#198829,.F.); #274012=ORIENTED_EDGE('',*,*,#198832,.F.); #274013=ORIENTED_EDGE('',*,*,#198835,.F.); #274014=ORIENTED_EDGE('',*,*,#198838,.F.); #274015=ORIENTED_EDGE('',*,*,#198841,.F.); #274016=ORIENTED_EDGE('',*,*,#198844,.F.); #274017=ORIENTED_EDGE('',*,*,#198547,.F.); #274018=ORIENTED_EDGE('',*,*,#196611,.F.); #274019=ORIENTED_EDGE('',*,*,#196615,.F.); #274020=ORIENTED_EDGE('',*,*,#196618,.F.); #274021=ORIENTED_EDGE('',*,*,#196621,.F.); #274022=ORIENTED_EDGE('',*,*,#196624,.F.); #274023=ORIENTED_EDGE('',*,*,#196627,.F.); #274024=ORIENTED_EDGE('',*,*,#196630,.F.); #274025=ORIENTED_EDGE('',*,*,#196633,.F.); #274026=ORIENTED_EDGE('',*,*,#196636,.F.); #274027=ORIENTED_EDGE('',*,*,#196639,.F.); #274028=ORIENTED_EDGE('',*,*,#196642,.F.); #274029=ORIENTED_EDGE('',*,*,#196645,.F.); #274030=ORIENTED_EDGE('',*,*,#196648,.F.); #274031=ORIENTED_EDGE('',*,*,#196651,.F.); #274032=ORIENTED_EDGE('',*,*,#196654,.F.); #274033=ORIENTED_EDGE('',*,*,#196657,.F.); #274034=ORIENTED_EDGE('',*,*,#196660,.F.); #274035=ORIENTED_EDGE('',*,*,#196663,.F.); #274036=ORIENTED_EDGE('',*,*,#196666,.F.); #274037=ORIENTED_EDGE('',*,*,#196669,.F.); #274038=ORIENTED_EDGE('',*,*,#196672,.F.); #274039=ORIENTED_EDGE('',*,*,#196675,.F.); #274040=ORIENTED_EDGE('',*,*,#196678,.F.); #274041=ORIENTED_EDGE('',*,*,#196681,.F.); #274042=ORIENTED_EDGE('',*,*,#196684,.F.); #274043=ORIENTED_EDGE('',*,*,#196687,.F.); #274044=ORIENTED_EDGE('',*,*,#196690,.F.); #274045=ORIENTED_EDGE('',*,*,#196693,.F.); #274046=ORIENTED_EDGE('',*,*,#196696,.F.); #274047=ORIENTED_EDGE('',*,*,#196699,.F.); #274048=ORIENTED_EDGE('',*,*,#196702,.F.); #274049=ORIENTED_EDGE('',*,*,#196705,.F.); #274050=ORIENTED_EDGE('',*,*,#196708,.F.); #274051=ORIENTED_EDGE('',*,*,#196711,.F.); #274052=ORIENTED_EDGE('',*,*,#196714,.F.); #274053=ORIENTED_EDGE('',*,*,#196717,.F.); #274054=ORIENTED_EDGE('',*,*,#196720,.F.); #274055=ORIENTED_EDGE('',*,*,#196723,.F.); #274056=ORIENTED_EDGE('',*,*,#196726,.F.); #274057=ORIENTED_EDGE('',*,*,#196729,.F.); #274058=ORIENTED_EDGE('',*,*,#196732,.F.); #274059=ORIENTED_EDGE('',*,*,#196735,.F.); #274060=ORIENTED_EDGE('',*,*,#196738,.F.); #274061=ORIENTED_EDGE('',*,*,#196741,.F.); #274062=ORIENTED_EDGE('',*,*,#196744,.F.); #274063=ORIENTED_EDGE('',*,*,#196747,.F.); #274064=ORIENTED_EDGE('',*,*,#196750,.F.); #274065=ORIENTED_EDGE('',*,*,#196753,.F.); #274066=ORIENTED_EDGE('',*,*,#196756,.F.); #274067=ORIENTED_EDGE('',*,*,#196759,.F.); #274068=ORIENTED_EDGE('',*,*,#196762,.F.); #274069=ORIENTED_EDGE('',*,*,#196765,.F.); #274070=ORIENTED_EDGE('',*,*,#196768,.F.); #274071=ORIENTED_EDGE('',*,*,#196771,.F.); #274072=ORIENTED_EDGE('',*,*,#196774,.F.); #274073=ORIENTED_EDGE('',*,*,#196777,.F.); #274074=ORIENTED_EDGE('',*,*,#196780,.F.); #274075=ORIENTED_EDGE('',*,*,#196783,.F.); #274076=ORIENTED_EDGE('',*,*,#196786,.F.); #274077=ORIENTED_EDGE('',*,*,#196789,.F.); #274078=ORIENTED_EDGE('',*,*,#196792,.F.); #274079=ORIENTED_EDGE('',*,*,#196795,.F.); #274080=ORIENTED_EDGE('',*,*,#196798,.F.); #274081=ORIENTED_EDGE('',*,*,#196801,.F.); #274082=ORIENTED_EDGE('',*,*,#196804,.F.); #274083=ORIENTED_EDGE('',*,*,#196807,.F.); #274084=ORIENTED_EDGE('',*,*,#196810,.F.); #274085=ORIENTED_EDGE('',*,*,#196813,.F.); #274086=ORIENTED_EDGE('',*,*,#196816,.F.); #274087=ORIENTED_EDGE('',*,*,#196819,.F.); #274088=ORIENTED_EDGE('',*,*,#196822,.F.); #274089=ORIENTED_EDGE('',*,*,#196825,.F.); #274090=ORIENTED_EDGE('',*,*,#196828,.F.); #274091=ORIENTED_EDGE('',*,*,#196831,.F.); #274092=ORIENTED_EDGE('',*,*,#196834,.F.); #274093=ORIENTED_EDGE('',*,*,#196837,.F.); #274094=ORIENTED_EDGE('',*,*,#196840,.F.); #274095=ORIENTED_EDGE('',*,*,#196843,.F.); #274096=ORIENTED_EDGE('',*,*,#196846,.F.); #274097=ORIENTED_EDGE('',*,*,#196849,.F.); #274098=ORIENTED_EDGE('',*,*,#196852,.F.); #274099=ORIENTED_EDGE('',*,*,#196855,.F.); #274100=ORIENTED_EDGE('',*,*,#196858,.F.); #274101=ORIENTED_EDGE('',*,*,#196861,.F.); #274102=ORIENTED_EDGE('',*,*,#196864,.F.); #274103=ORIENTED_EDGE('',*,*,#196867,.F.); #274104=ORIENTED_EDGE('',*,*,#196870,.F.); #274105=ORIENTED_EDGE('',*,*,#196873,.F.); #274106=ORIENTED_EDGE('',*,*,#196876,.F.); #274107=ORIENTED_EDGE('',*,*,#196879,.F.); #274108=ORIENTED_EDGE('',*,*,#196882,.F.); #274109=ORIENTED_EDGE('',*,*,#196885,.F.); #274110=ORIENTED_EDGE('',*,*,#196888,.F.); #274111=ORIENTED_EDGE('',*,*,#196891,.F.); #274112=ORIENTED_EDGE('',*,*,#196894,.F.); #274113=ORIENTED_EDGE('',*,*,#196897,.F.); #274114=ORIENTED_EDGE('',*,*,#196900,.F.); #274115=ORIENTED_EDGE('',*,*,#196903,.F.); #274116=ORIENTED_EDGE('',*,*,#196906,.F.); #274117=ORIENTED_EDGE('',*,*,#196909,.F.); #274118=ORIENTED_EDGE('',*,*,#196912,.F.); #274119=ORIENTED_EDGE('',*,*,#196915,.F.); #274120=ORIENTED_EDGE('',*,*,#196918,.F.); #274121=ORIENTED_EDGE('',*,*,#196921,.F.); #274122=ORIENTED_EDGE('',*,*,#196924,.F.); #274123=ORIENTED_EDGE('',*,*,#196927,.F.); #274124=ORIENTED_EDGE('',*,*,#196930,.F.); #274125=ORIENTED_EDGE('',*,*,#196933,.F.); #274126=ORIENTED_EDGE('',*,*,#196936,.F.); #274127=ORIENTED_EDGE('',*,*,#196939,.F.); #274128=ORIENTED_EDGE('',*,*,#196942,.F.); #274129=ORIENTED_EDGE('',*,*,#196945,.F.); #274130=ORIENTED_EDGE('',*,*,#196948,.F.); #274131=ORIENTED_EDGE('',*,*,#196951,.F.); #274132=ORIENTED_EDGE('',*,*,#196954,.F.); #274133=ORIENTED_EDGE('',*,*,#196957,.F.); #274134=ORIENTED_EDGE('',*,*,#196960,.F.); #274135=ORIENTED_EDGE('',*,*,#196963,.F.); #274136=ORIENTED_EDGE('',*,*,#196966,.F.); #274137=ORIENTED_EDGE('',*,*,#196969,.F.); #274138=ORIENTED_EDGE('',*,*,#196972,.F.); #274139=ORIENTED_EDGE('',*,*,#196975,.F.); #274140=ORIENTED_EDGE('',*,*,#196978,.F.); #274141=ORIENTED_EDGE('',*,*,#196981,.F.); #274142=ORIENTED_EDGE('',*,*,#196984,.F.); #274143=ORIENTED_EDGE('',*,*,#196987,.F.); #274144=ORIENTED_EDGE('',*,*,#196990,.F.); #274145=ORIENTED_EDGE('',*,*,#196993,.F.); #274146=ORIENTED_EDGE('',*,*,#196996,.F.); #274147=ORIENTED_EDGE('',*,*,#196999,.F.); #274148=ORIENTED_EDGE('',*,*,#197002,.F.); #274149=ORIENTED_EDGE('',*,*,#197005,.F.); #274150=ORIENTED_EDGE('',*,*,#197008,.F.); #274151=ORIENTED_EDGE('',*,*,#197011,.F.); #274152=ORIENTED_EDGE('',*,*,#197014,.F.); #274153=ORIENTED_EDGE('',*,*,#197017,.F.); #274154=ORIENTED_EDGE('',*,*,#197020,.F.); #274155=ORIENTED_EDGE('',*,*,#197023,.F.); #274156=ORIENTED_EDGE('',*,*,#197026,.F.); #274157=ORIENTED_EDGE('',*,*,#197029,.F.); #274158=ORIENTED_EDGE('',*,*,#197032,.F.); #274159=ORIENTED_EDGE('',*,*,#197035,.F.); #274160=ORIENTED_EDGE('',*,*,#197038,.F.); #274161=ORIENTED_EDGE('',*,*,#197041,.F.); #274162=ORIENTED_EDGE('',*,*,#197044,.F.); #274163=ORIENTED_EDGE('',*,*,#197047,.F.); #274164=ORIENTED_EDGE('',*,*,#197050,.F.); #274165=ORIENTED_EDGE('',*,*,#197053,.F.); #274166=ORIENTED_EDGE('',*,*,#197056,.F.); #274167=ORIENTED_EDGE('',*,*,#197059,.F.); #274168=ORIENTED_EDGE('',*,*,#197062,.F.); #274169=ORIENTED_EDGE('',*,*,#197065,.F.); #274170=ORIENTED_EDGE('',*,*,#197068,.F.); #274171=ORIENTED_EDGE('',*,*,#197071,.F.); #274172=ORIENTED_EDGE('',*,*,#197074,.F.); #274173=ORIENTED_EDGE('',*,*,#197077,.F.); #274174=ORIENTED_EDGE('',*,*,#197080,.F.); #274175=ORIENTED_EDGE('',*,*,#197083,.F.); #274176=ORIENTED_EDGE('',*,*,#197086,.F.); #274177=ORIENTED_EDGE('',*,*,#197089,.F.); #274178=ORIENTED_EDGE('',*,*,#197092,.F.); #274179=ORIENTED_EDGE('',*,*,#197095,.F.); #274180=ORIENTED_EDGE('',*,*,#197098,.F.); #274181=ORIENTED_EDGE('',*,*,#197101,.F.); #274182=ORIENTED_EDGE('',*,*,#197104,.F.); #274183=ORIENTED_EDGE('',*,*,#197107,.F.); #274184=ORIENTED_EDGE('',*,*,#197110,.F.); #274185=ORIENTED_EDGE('',*,*,#197113,.F.); #274186=ORIENTED_EDGE('',*,*,#197116,.F.); #274187=ORIENTED_EDGE('',*,*,#197119,.F.); #274188=ORIENTED_EDGE('',*,*,#197122,.F.); #274189=ORIENTED_EDGE('',*,*,#197125,.F.); #274190=ORIENTED_EDGE('',*,*,#197128,.F.); #274191=ORIENTED_EDGE('',*,*,#197131,.F.); #274192=ORIENTED_EDGE('',*,*,#197134,.F.); #274193=ORIENTED_EDGE('',*,*,#197137,.F.); #274194=ORIENTED_EDGE('',*,*,#197140,.F.); #274195=ORIENTED_EDGE('',*,*,#197143,.F.); #274196=ORIENTED_EDGE('',*,*,#197146,.F.); #274197=ORIENTED_EDGE('',*,*,#197149,.F.); #274198=ORIENTED_EDGE('',*,*,#197152,.F.); #274199=ORIENTED_EDGE('',*,*,#197155,.F.); #274200=ORIENTED_EDGE('',*,*,#197158,.F.); #274201=ORIENTED_EDGE('',*,*,#197161,.F.); #274202=ORIENTED_EDGE('',*,*,#197164,.F.); #274203=ORIENTED_EDGE('',*,*,#197167,.F.); #274204=ORIENTED_EDGE('',*,*,#197170,.F.); #274205=ORIENTED_EDGE('',*,*,#197173,.F.); #274206=ORIENTED_EDGE('',*,*,#197176,.F.); #274207=ORIENTED_EDGE('',*,*,#197179,.F.); #274208=ORIENTED_EDGE('',*,*,#197182,.F.); #274209=ORIENTED_EDGE('',*,*,#197185,.F.); #274210=ORIENTED_EDGE('',*,*,#197188,.F.); #274211=ORIENTED_EDGE('',*,*,#197191,.F.); #274212=ORIENTED_EDGE('',*,*,#197194,.F.); #274213=ORIENTED_EDGE('',*,*,#197197,.F.); #274214=ORIENTED_EDGE('',*,*,#197200,.F.); #274215=ORIENTED_EDGE('',*,*,#197203,.F.); #274216=ORIENTED_EDGE('',*,*,#197206,.F.); #274217=ORIENTED_EDGE('',*,*,#197209,.F.); #274218=ORIENTED_EDGE('',*,*,#197212,.F.); #274219=ORIENTED_EDGE('',*,*,#197215,.F.); #274220=ORIENTED_EDGE('',*,*,#197218,.F.); #274221=ORIENTED_EDGE('',*,*,#197221,.F.); #274222=ORIENTED_EDGE('',*,*,#197224,.F.); #274223=ORIENTED_EDGE('',*,*,#197227,.F.); #274224=ORIENTED_EDGE('',*,*,#197230,.F.); #274225=ORIENTED_EDGE('',*,*,#197233,.F.); #274226=ORIENTED_EDGE('',*,*,#197236,.F.); #274227=ORIENTED_EDGE('',*,*,#197239,.F.); #274228=ORIENTED_EDGE('',*,*,#197242,.F.); #274229=ORIENTED_EDGE('',*,*,#197245,.F.); #274230=ORIENTED_EDGE('',*,*,#197248,.F.); #274231=ORIENTED_EDGE('',*,*,#197251,.F.); #274232=ORIENTED_EDGE('',*,*,#197254,.F.); #274233=ORIENTED_EDGE('',*,*,#197257,.F.); #274234=ORIENTED_EDGE('',*,*,#197260,.F.); #274235=ORIENTED_EDGE('',*,*,#197263,.F.); #274236=ORIENTED_EDGE('',*,*,#197266,.F.); #274237=ORIENTED_EDGE('',*,*,#197269,.F.); #274238=ORIENTED_EDGE('',*,*,#197272,.F.); #274239=ORIENTED_EDGE('',*,*,#197275,.F.); #274240=ORIENTED_EDGE('',*,*,#197278,.F.); #274241=ORIENTED_EDGE('',*,*,#197281,.F.); #274242=ORIENTED_EDGE('',*,*,#197284,.F.); #274243=ORIENTED_EDGE('',*,*,#197287,.F.); #274244=ORIENTED_EDGE('',*,*,#197290,.F.); #274245=ORIENTED_EDGE('',*,*,#197293,.F.); #274246=ORIENTED_EDGE('',*,*,#197296,.F.); #274247=ORIENTED_EDGE('',*,*,#197299,.F.); #274248=ORIENTED_EDGE('',*,*,#197302,.F.); #274249=ORIENTED_EDGE('',*,*,#197305,.F.); #274250=ORIENTED_EDGE('',*,*,#197308,.F.); #274251=ORIENTED_EDGE('',*,*,#197311,.F.); #274252=ORIENTED_EDGE('',*,*,#197314,.F.); #274253=ORIENTED_EDGE('',*,*,#197317,.F.); #274254=ORIENTED_EDGE('',*,*,#197320,.F.); #274255=ORIENTED_EDGE('',*,*,#197323,.F.); #274256=ORIENTED_EDGE('',*,*,#197326,.F.); #274257=ORIENTED_EDGE('',*,*,#197329,.F.); #274258=ORIENTED_EDGE('',*,*,#197332,.F.); #274259=ORIENTED_EDGE('',*,*,#197335,.F.); #274260=ORIENTED_EDGE('',*,*,#197338,.F.); #274261=ORIENTED_EDGE('',*,*,#197341,.F.); #274262=ORIENTED_EDGE('',*,*,#197344,.F.); #274263=ORIENTED_EDGE('',*,*,#197347,.F.); #274264=ORIENTED_EDGE('',*,*,#197350,.F.); #274265=ORIENTED_EDGE('',*,*,#197353,.F.); #274266=ORIENTED_EDGE('',*,*,#197356,.F.); #274267=ORIENTED_EDGE('',*,*,#197359,.F.); #274268=ORIENTED_EDGE('',*,*,#197362,.F.); #274269=ORIENTED_EDGE('',*,*,#197365,.F.); #274270=ORIENTED_EDGE('',*,*,#197368,.F.); #274271=ORIENTED_EDGE('',*,*,#197371,.F.); #274272=ORIENTED_EDGE('',*,*,#197374,.F.); #274273=ORIENTED_EDGE('',*,*,#197377,.F.); #274274=ORIENTED_EDGE('',*,*,#197380,.F.); #274275=ORIENTED_EDGE('',*,*,#197383,.F.); #274276=ORIENTED_EDGE('',*,*,#197386,.F.); #274277=ORIENTED_EDGE('',*,*,#197389,.F.); #274278=ORIENTED_EDGE('',*,*,#197392,.F.); #274279=ORIENTED_EDGE('',*,*,#197395,.F.); #274280=ORIENTED_EDGE('',*,*,#197398,.F.); #274281=ORIENTED_EDGE('',*,*,#197401,.F.); #274282=ORIENTED_EDGE('',*,*,#197404,.F.); #274283=ORIENTED_EDGE('',*,*,#197407,.F.); #274284=ORIENTED_EDGE('',*,*,#197410,.F.); #274285=ORIENTED_EDGE('',*,*,#197413,.F.); #274286=ORIENTED_EDGE('',*,*,#197416,.F.); #274287=ORIENTED_EDGE('',*,*,#197419,.F.); #274288=ORIENTED_EDGE('',*,*,#197422,.F.); #274289=ORIENTED_EDGE('',*,*,#197425,.F.); #274290=ORIENTED_EDGE('',*,*,#197428,.F.); #274291=ORIENTED_EDGE('',*,*,#197431,.F.); #274292=ORIENTED_EDGE('',*,*,#197434,.F.); #274293=ORIENTED_EDGE('',*,*,#197437,.F.); #274294=ORIENTED_EDGE('',*,*,#197440,.F.); #274295=ORIENTED_EDGE('',*,*,#197443,.F.); #274296=ORIENTED_EDGE('',*,*,#197446,.F.); #274297=ORIENTED_EDGE('',*,*,#197449,.F.); #274298=ORIENTED_EDGE('',*,*,#197452,.F.); #274299=ORIENTED_EDGE('',*,*,#197455,.F.); #274300=ORIENTED_EDGE('',*,*,#197458,.F.); #274301=ORIENTED_EDGE('',*,*,#197461,.F.); #274302=ORIENTED_EDGE('',*,*,#197464,.F.); #274303=ORIENTED_EDGE('',*,*,#197467,.F.); #274304=ORIENTED_EDGE('',*,*,#197470,.F.); #274305=ORIENTED_EDGE('',*,*,#197473,.F.); #274306=ORIENTED_EDGE('',*,*,#197476,.F.); #274307=ORIENTED_EDGE('',*,*,#197479,.F.); #274308=ORIENTED_EDGE('',*,*,#197482,.F.); #274309=ORIENTED_EDGE('',*,*,#197485,.F.); #274310=ORIENTED_EDGE('',*,*,#197488,.F.); #274311=ORIENTED_EDGE('',*,*,#197491,.F.); #274312=ORIENTED_EDGE('',*,*,#197494,.F.); #274313=ORIENTED_EDGE('',*,*,#197497,.F.); #274314=ORIENTED_EDGE('',*,*,#197500,.F.); #274315=ORIENTED_EDGE('',*,*,#197503,.F.); #274316=ORIENTED_EDGE('',*,*,#197506,.F.); #274317=ORIENTED_EDGE('',*,*,#197509,.F.); #274318=ORIENTED_EDGE('',*,*,#197512,.F.); #274319=ORIENTED_EDGE('',*,*,#197515,.F.); #274320=ORIENTED_EDGE('',*,*,#197518,.F.); #274321=ORIENTED_EDGE('',*,*,#197521,.F.); #274322=ORIENTED_EDGE('',*,*,#197524,.F.); #274323=ORIENTED_EDGE('',*,*,#197527,.F.); #274324=ORIENTED_EDGE('',*,*,#197530,.F.); #274325=ORIENTED_EDGE('',*,*,#197533,.F.); #274326=ORIENTED_EDGE('',*,*,#197536,.F.); #274327=ORIENTED_EDGE('',*,*,#197539,.F.); #274328=ORIENTED_EDGE('',*,*,#197542,.F.); #274329=ORIENTED_EDGE('',*,*,#197545,.F.); #274330=ORIENTED_EDGE('',*,*,#197548,.F.); #274331=ORIENTED_EDGE('',*,*,#197551,.F.); #274332=ORIENTED_EDGE('',*,*,#197554,.F.); #274333=ORIENTED_EDGE('',*,*,#197557,.F.); #274334=ORIENTED_EDGE('',*,*,#197560,.F.); #274335=ORIENTED_EDGE('',*,*,#197563,.F.); #274336=ORIENTED_EDGE('',*,*,#197566,.F.); #274337=ORIENTED_EDGE('',*,*,#197569,.F.); #274338=ORIENTED_EDGE('',*,*,#197572,.F.); #274339=ORIENTED_EDGE('',*,*,#197575,.F.); #274340=ORIENTED_EDGE('',*,*,#197578,.F.); #274341=ORIENTED_EDGE('',*,*,#197581,.F.); #274342=ORIENTED_EDGE('',*,*,#197584,.F.); #274343=ORIENTED_EDGE('',*,*,#197587,.F.); #274344=ORIENTED_EDGE('',*,*,#197590,.F.); #274345=ORIENTED_EDGE('',*,*,#197593,.F.); #274346=ORIENTED_EDGE('',*,*,#197596,.F.); #274347=ORIENTED_EDGE('',*,*,#197599,.F.); #274348=ORIENTED_EDGE('',*,*,#197602,.F.); #274349=ORIENTED_EDGE('',*,*,#197605,.F.); #274350=ORIENTED_EDGE('',*,*,#197608,.F.); #274351=ORIENTED_EDGE('',*,*,#197611,.F.); #274352=ORIENTED_EDGE('',*,*,#197614,.F.); #274353=ORIENTED_EDGE('',*,*,#197617,.F.); #274354=ORIENTED_EDGE('',*,*,#197620,.F.); #274355=ORIENTED_EDGE('',*,*,#197623,.F.); #274356=ORIENTED_EDGE('',*,*,#197626,.F.); #274357=ORIENTED_EDGE('',*,*,#197629,.F.); #274358=ORIENTED_EDGE('',*,*,#197632,.F.); #274359=ORIENTED_EDGE('',*,*,#197635,.F.); #274360=ORIENTED_EDGE('',*,*,#197638,.F.); #274361=ORIENTED_EDGE('',*,*,#197641,.F.); #274362=ORIENTED_EDGE('',*,*,#197644,.F.); #274363=ORIENTED_EDGE('',*,*,#197647,.F.); #274364=ORIENTED_EDGE('',*,*,#197650,.F.); #274365=ORIENTED_EDGE('',*,*,#197653,.F.); #274366=ORIENTED_EDGE('',*,*,#197656,.F.); #274367=ORIENTED_EDGE('',*,*,#197659,.F.); #274368=ORIENTED_EDGE('',*,*,#197662,.F.); #274369=ORIENTED_EDGE('',*,*,#197665,.F.); #274370=ORIENTED_EDGE('',*,*,#197668,.F.); #274371=ORIENTED_EDGE('',*,*,#197671,.F.); #274372=ORIENTED_EDGE('',*,*,#197674,.F.); #274373=ORIENTED_EDGE('',*,*,#197677,.F.); #274374=ORIENTED_EDGE('',*,*,#197680,.F.); #274375=ORIENTED_EDGE('',*,*,#197683,.F.); #274376=ORIENTED_EDGE('',*,*,#197686,.F.); #274377=ORIENTED_EDGE('',*,*,#197689,.F.); #274378=ORIENTED_EDGE('',*,*,#197692,.F.); #274379=ORIENTED_EDGE('',*,*,#197695,.F.); #274380=ORIENTED_EDGE('',*,*,#197698,.F.); #274381=ORIENTED_EDGE('',*,*,#197701,.F.); #274382=ORIENTED_EDGE('',*,*,#197704,.F.); #274383=ORIENTED_EDGE('',*,*,#197707,.F.); #274384=ORIENTED_EDGE('',*,*,#197710,.F.); #274385=ORIENTED_EDGE('',*,*,#197713,.F.); #274386=ORIENTED_EDGE('',*,*,#197716,.F.); #274387=ORIENTED_EDGE('',*,*,#197719,.F.); #274388=ORIENTED_EDGE('',*,*,#197722,.F.); #274389=ORIENTED_EDGE('',*,*,#197725,.F.); #274390=ORIENTED_EDGE('',*,*,#197728,.F.); #274391=ORIENTED_EDGE('',*,*,#197731,.F.); #274392=ORIENTED_EDGE('',*,*,#197734,.F.); #274393=ORIENTED_EDGE('',*,*,#197737,.F.); #274394=ORIENTED_EDGE('',*,*,#197740,.F.); #274395=ORIENTED_EDGE('',*,*,#197743,.F.); #274396=ORIENTED_EDGE('',*,*,#197746,.F.); #274397=ORIENTED_EDGE('',*,*,#197749,.F.); #274398=ORIENTED_EDGE('',*,*,#197752,.F.); #274399=ORIENTED_EDGE('',*,*,#197755,.F.); #274400=ORIENTED_EDGE('',*,*,#197758,.F.); #274401=ORIENTED_EDGE('',*,*,#197761,.F.); #274402=ORIENTED_EDGE('',*,*,#197764,.F.); #274403=ORIENTED_EDGE('',*,*,#197767,.F.); #274404=ORIENTED_EDGE('',*,*,#197770,.F.); #274405=ORIENTED_EDGE('',*,*,#197773,.F.); #274406=ORIENTED_EDGE('',*,*,#197776,.F.); #274407=ORIENTED_EDGE('',*,*,#197779,.F.); #274408=ORIENTED_EDGE('',*,*,#197782,.F.); #274409=ORIENTED_EDGE('',*,*,#197785,.F.); #274410=ORIENTED_EDGE('',*,*,#197788,.F.); #274411=ORIENTED_EDGE('',*,*,#197791,.F.); #274412=ORIENTED_EDGE('',*,*,#197794,.F.); #274413=ORIENTED_EDGE('',*,*,#197797,.F.); #274414=ORIENTED_EDGE('',*,*,#197800,.F.); #274415=ORIENTED_EDGE('',*,*,#197803,.F.); #274416=ORIENTED_EDGE('',*,*,#197806,.F.); #274417=ORIENTED_EDGE('',*,*,#197809,.F.); #274418=ORIENTED_EDGE('',*,*,#197812,.F.); #274419=ORIENTED_EDGE('',*,*,#197815,.F.); #274420=ORIENTED_EDGE('',*,*,#197818,.F.); #274421=ORIENTED_EDGE('',*,*,#197821,.F.); #274422=ORIENTED_EDGE('',*,*,#197824,.F.); #274423=ORIENTED_EDGE('',*,*,#197827,.F.); #274424=ORIENTED_EDGE('',*,*,#197830,.F.); #274425=ORIENTED_EDGE('',*,*,#197833,.F.); #274426=ORIENTED_EDGE('',*,*,#197836,.F.); #274427=ORIENTED_EDGE('',*,*,#197839,.F.); #274428=ORIENTED_EDGE('',*,*,#197842,.F.); #274429=ORIENTED_EDGE('',*,*,#197845,.F.); #274430=ORIENTED_EDGE('',*,*,#197848,.F.); #274431=ORIENTED_EDGE('',*,*,#197851,.F.); #274432=ORIENTED_EDGE('',*,*,#197854,.F.); #274433=ORIENTED_EDGE('',*,*,#197857,.F.); #274434=ORIENTED_EDGE('',*,*,#197860,.F.); #274435=ORIENTED_EDGE('',*,*,#197863,.F.); #274436=ORIENTED_EDGE('',*,*,#197866,.F.); #274437=ORIENTED_EDGE('',*,*,#197869,.F.); #274438=ORIENTED_EDGE('',*,*,#197872,.F.); #274439=ORIENTED_EDGE('',*,*,#197875,.F.); #274440=ORIENTED_EDGE('',*,*,#197878,.F.); #274441=ORIENTED_EDGE('',*,*,#197881,.F.); #274442=ORIENTED_EDGE('',*,*,#197884,.F.); #274443=ORIENTED_EDGE('',*,*,#197887,.F.); #274444=ORIENTED_EDGE('',*,*,#197890,.F.); #274445=ORIENTED_EDGE('',*,*,#197893,.F.); #274446=ORIENTED_EDGE('',*,*,#197896,.F.); #274447=ORIENTED_EDGE('',*,*,#197899,.F.); #274448=ORIENTED_EDGE('',*,*,#197902,.F.); #274449=ORIENTED_EDGE('',*,*,#197905,.F.); #274450=ORIENTED_EDGE('',*,*,#197908,.F.); #274451=ORIENTED_EDGE('',*,*,#197911,.F.); #274452=ORIENTED_EDGE('',*,*,#197914,.F.); #274453=ORIENTED_EDGE('',*,*,#197917,.F.); #274454=ORIENTED_EDGE('',*,*,#197920,.F.); #274455=ORIENTED_EDGE('',*,*,#197923,.F.); #274456=ORIENTED_EDGE('',*,*,#197926,.F.); #274457=ORIENTED_EDGE('',*,*,#197929,.F.); #274458=ORIENTED_EDGE('',*,*,#197932,.F.); #274459=ORIENTED_EDGE('',*,*,#197935,.F.); #274460=ORIENTED_EDGE('',*,*,#197938,.F.); #274461=ORIENTED_EDGE('',*,*,#197941,.F.); #274462=ORIENTED_EDGE('',*,*,#197944,.F.); #274463=ORIENTED_EDGE('',*,*,#197947,.F.); #274464=ORIENTED_EDGE('',*,*,#197950,.F.); #274465=ORIENTED_EDGE('',*,*,#197953,.F.); #274466=ORIENTED_EDGE('',*,*,#197956,.F.); #274467=ORIENTED_EDGE('',*,*,#197959,.F.); #274468=ORIENTED_EDGE('',*,*,#197962,.F.); #274469=ORIENTED_EDGE('',*,*,#197965,.F.); #274470=ORIENTED_EDGE('',*,*,#197968,.F.); #274471=ORIENTED_EDGE('',*,*,#197971,.F.); #274472=ORIENTED_EDGE('',*,*,#197974,.F.); #274473=ORIENTED_EDGE('',*,*,#197977,.F.); #274474=ORIENTED_EDGE('',*,*,#197980,.F.); #274475=ORIENTED_EDGE('',*,*,#197983,.F.); #274476=ORIENTED_EDGE('',*,*,#197986,.F.); #274477=ORIENTED_EDGE('',*,*,#197989,.F.); #274478=ORIENTED_EDGE('',*,*,#197992,.F.); #274479=ORIENTED_EDGE('',*,*,#197995,.F.); #274480=ORIENTED_EDGE('',*,*,#197998,.F.); #274481=ORIENTED_EDGE('',*,*,#198001,.F.); #274482=ORIENTED_EDGE('',*,*,#198004,.F.); #274483=ORIENTED_EDGE('',*,*,#198007,.F.); #274484=ORIENTED_EDGE('',*,*,#198010,.F.); #274485=ORIENTED_EDGE('',*,*,#198013,.F.); #274486=ORIENTED_EDGE('',*,*,#198016,.F.); #274487=ORIENTED_EDGE('',*,*,#198019,.F.); #274488=ORIENTED_EDGE('',*,*,#198022,.F.); #274489=ORIENTED_EDGE('',*,*,#198025,.F.); #274490=ORIENTED_EDGE('',*,*,#198028,.F.); #274491=ORIENTED_EDGE('',*,*,#198031,.F.); #274492=ORIENTED_EDGE('',*,*,#198034,.F.); #274493=ORIENTED_EDGE('',*,*,#198037,.F.); #274494=ORIENTED_EDGE('',*,*,#198040,.F.); #274495=ORIENTED_EDGE('',*,*,#198043,.F.); #274496=ORIENTED_EDGE('',*,*,#198046,.F.); #274497=ORIENTED_EDGE('',*,*,#198049,.F.); #274498=ORIENTED_EDGE('',*,*,#198052,.F.); #274499=ORIENTED_EDGE('',*,*,#198055,.F.); #274500=ORIENTED_EDGE('',*,*,#198058,.F.); #274501=ORIENTED_EDGE('',*,*,#198061,.F.); #274502=ORIENTED_EDGE('',*,*,#198064,.F.); #274503=ORIENTED_EDGE('',*,*,#198067,.F.); #274504=ORIENTED_EDGE('',*,*,#198070,.F.); #274505=ORIENTED_EDGE('',*,*,#198073,.F.); #274506=ORIENTED_EDGE('',*,*,#198076,.F.); #274507=ORIENTED_EDGE('',*,*,#198079,.F.); #274508=ORIENTED_EDGE('',*,*,#198082,.F.); #274509=ORIENTED_EDGE('',*,*,#198085,.F.); #274510=ORIENTED_EDGE('',*,*,#198088,.F.); #274511=ORIENTED_EDGE('',*,*,#198091,.F.); #274512=ORIENTED_EDGE('',*,*,#198094,.F.); #274513=ORIENTED_EDGE('',*,*,#198097,.F.); #274514=ORIENTED_EDGE('',*,*,#198100,.F.); #274515=ORIENTED_EDGE('',*,*,#198103,.F.); #274516=ORIENTED_EDGE('',*,*,#198106,.F.); #274517=ORIENTED_EDGE('',*,*,#198109,.F.); #274518=ORIENTED_EDGE('',*,*,#198112,.F.); #274519=ORIENTED_EDGE('',*,*,#198115,.F.); #274520=ORIENTED_EDGE('',*,*,#198118,.F.); #274521=ORIENTED_EDGE('',*,*,#198121,.F.); #274522=ORIENTED_EDGE('',*,*,#198124,.F.); #274523=ORIENTED_EDGE('',*,*,#198127,.F.); #274524=ORIENTED_EDGE('',*,*,#198130,.F.); #274525=ORIENTED_EDGE('',*,*,#198133,.F.); #274526=ORIENTED_EDGE('',*,*,#198136,.F.); #274527=ORIENTED_EDGE('',*,*,#198139,.F.); #274528=ORIENTED_EDGE('',*,*,#198142,.F.); #274529=ORIENTED_EDGE('',*,*,#198145,.F.); #274530=ORIENTED_EDGE('',*,*,#198148,.F.); #274531=ORIENTED_EDGE('',*,*,#198151,.F.); #274532=ORIENTED_EDGE('',*,*,#198154,.F.); #274533=ORIENTED_EDGE('',*,*,#198157,.F.); #274534=ORIENTED_EDGE('',*,*,#198160,.F.); #274535=ORIENTED_EDGE('',*,*,#198163,.F.); #274536=ORIENTED_EDGE('',*,*,#198166,.F.); #274537=ORIENTED_EDGE('',*,*,#198169,.F.); #274538=ORIENTED_EDGE('',*,*,#198172,.F.); #274539=ORIENTED_EDGE('',*,*,#198175,.F.); #274540=ORIENTED_EDGE('',*,*,#198178,.F.); #274541=ORIENTED_EDGE('',*,*,#198181,.F.); #274542=ORIENTED_EDGE('',*,*,#198184,.F.); #274543=ORIENTED_EDGE('',*,*,#198187,.F.); #274544=ORIENTED_EDGE('',*,*,#198190,.F.); #274545=ORIENTED_EDGE('',*,*,#198193,.F.); #274546=ORIENTED_EDGE('',*,*,#198196,.F.); #274547=ORIENTED_EDGE('',*,*,#198199,.F.); #274548=ORIENTED_EDGE('',*,*,#198202,.F.); #274549=ORIENTED_EDGE('',*,*,#198205,.F.); #274550=ORIENTED_EDGE('',*,*,#198208,.F.); #274551=ORIENTED_EDGE('',*,*,#198211,.F.); #274552=ORIENTED_EDGE('',*,*,#198214,.F.); #274553=ORIENTED_EDGE('',*,*,#198217,.F.); #274554=ORIENTED_EDGE('',*,*,#198220,.F.); #274555=ORIENTED_EDGE('',*,*,#198223,.F.); #274556=ORIENTED_EDGE('',*,*,#198226,.F.); #274557=ORIENTED_EDGE('',*,*,#198229,.F.); #274558=ORIENTED_EDGE('',*,*,#198232,.F.); #274559=ORIENTED_EDGE('',*,*,#198235,.F.); #274560=ORIENTED_EDGE('',*,*,#198238,.F.); #274561=ORIENTED_EDGE('',*,*,#198241,.F.); #274562=ORIENTED_EDGE('',*,*,#198244,.F.); #274563=ORIENTED_EDGE('',*,*,#198247,.F.); #274564=ORIENTED_EDGE('',*,*,#198250,.F.); #274565=ORIENTED_EDGE('',*,*,#198253,.F.); #274566=ORIENTED_EDGE('',*,*,#198256,.F.); #274567=ORIENTED_EDGE('',*,*,#198259,.F.); #274568=ORIENTED_EDGE('',*,*,#198262,.F.); #274569=ORIENTED_EDGE('',*,*,#198265,.F.); #274570=ORIENTED_EDGE('',*,*,#198268,.F.); #274571=ORIENTED_EDGE('',*,*,#198271,.F.); #274572=ORIENTED_EDGE('',*,*,#198274,.F.); #274573=ORIENTED_EDGE('',*,*,#198277,.F.); #274574=ORIENTED_EDGE('',*,*,#198280,.F.); #274575=ORIENTED_EDGE('',*,*,#198283,.F.); #274576=ORIENTED_EDGE('',*,*,#198286,.F.); #274577=ORIENTED_EDGE('',*,*,#198289,.F.); #274578=ORIENTED_EDGE('',*,*,#198292,.F.); #274579=ORIENTED_EDGE('',*,*,#198295,.F.); #274580=ORIENTED_EDGE('',*,*,#198298,.F.); #274581=ORIENTED_EDGE('',*,*,#198301,.F.); #274582=ORIENTED_EDGE('',*,*,#198304,.F.); #274583=ORIENTED_EDGE('',*,*,#198307,.F.); #274584=ORIENTED_EDGE('',*,*,#198310,.F.); #274585=ORIENTED_EDGE('',*,*,#198313,.F.); #274586=ORIENTED_EDGE('',*,*,#198316,.F.); #274587=ORIENTED_EDGE('',*,*,#198319,.F.); #274588=ORIENTED_EDGE('',*,*,#198322,.F.); #274589=ORIENTED_EDGE('',*,*,#198325,.F.); #274590=ORIENTED_EDGE('',*,*,#198328,.F.); #274591=ORIENTED_EDGE('',*,*,#198331,.F.); #274592=ORIENTED_EDGE('',*,*,#198334,.F.); #274593=ORIENTED_EDGE('',*,*,#198337,.F.); #274594=ORIENTED_EDGE('',*,*,#198340,.F.); #274595=ORIENTED_EDGE('',*,*,#198343,.F.); #274596=ORIENTED_EDGE('',*,*,#198346,.F.); #274597=ORIENTED_EDGE('',*,*,#198349,.F.); #274598=ORIENTED_EDGE('',*,*,#198352,.F.); #274599=ORIENTED_EDGE('',*,*,#198355,.F.); #274600=ORIENTED_EDGE('',*,*,#198358,.F.); #274601=ORIENTED_EDGE('',*,*,#198361,.F.); #274602=ORIENTED_EDGE('',*,*,#198364,.F.); #274603=ORIENTED_EDGE('',*,*,#198367,.F.); #274604=ORIENTED_EDGE('',*,*,#198370,.F.); #274605=ORIENTED_EDGE('',*,*,#198373,.F.); #274606=ORIENTED_EDGE('',*,*,#198376,.F.); #274607=ORIENTED_EDGE('',*,*,#198379,.F.); #274608=ORIENTED_EDGE('',*,*,#198382,.F.); #274609=ORIENTED_EDGE('',*,*,#198385,.F.); #274610=ORIENTED_EDGE('',*,*,#198388,.F.); #274611=ORIENTED_EDGE('',*,*,#198391,.F.); #274612=ORIENTED_EDGE('',*,*,#198394,.F.); #274613=ORIENTED_EDGE('',*,*,#198397,.F.); #274614=ORIENTED_EDGE('',*,*,#198400,.F.); #274615=ORIENTED_EDGE('',*,*,#198403,.F.); #274616=ORIENTED_EDGE('',*,*,#198406,.F.); #274617=ORIENTED_EDGE('',*,*,#198409,.F.); #274618=ORIENTED_EDGE('',*,*,#198412,.F.); #274619=ORIENTED_EDGE('',*,*,#198415,.F.); #274620=ORIENTED_EDGE('',*,*,#198418,.F.); #274621=ORIENTED_EDGE('',*,*,#198421,.F.); #274622=ORIENTED_EDGE('',*,*,#198424,.F.); #274623=ORIENTED_EDGE('',*,*,#198427,.F.); #274624=ORIENTED_EDGE('',*,*,#198430,.F.); #274625=ORIENTED_EDGE('',*,*,#198433,.F.); #274626=ORIENTED_EDGE('',*,*,#198436,.F.); #274627=ORIENTED_EDGE('',*,*,#198439,.F.); #274628=ORIENTED_EDGE('',*,*,#198442,.F.); #274629=ORIENTED_EDGE('',*,*,#198445,.F.); #274630=ORIENTED_EDGE('',*,*,#198448,.F.); #274631=ORIENTED_EDGE('',*,*,#198451,.F.); #274632=ORIENTED_EDGE('',*,*,#198454,.F.); #274633=ORIENTED_EDGE('',*,*,#198457,.F.); #274634=ORIENTED_EDGE('',*,*,#198460,.F.); #274635=ORIENTED_EDGE('',*,*,#198463,.F.); #274636=ORIENTED_EDGE('',*,*,#198466,.F.); #274637=ORIENTED_EDGE('',*,*,#198469,.F.); #274638=ORIENTED_EDGE('',*,*,#198472,.F.); #274639=ORIENTED_EDGE('',*,*,#198475,.F.); #274640=ORIENTED_EDGE('',*,*,#198478,.F.); #274641=ORIENTED_EDGE('',*,*,#198481,.F.); #274642=ORIENTED_EDGE('',*,*,#198484,.F.); #274643=ORIENTED_EDGE('',*,*,#198487,.F.); #274644=ORIENTED_EDGE('',*,*,#198490,.F.); #274645=ORIENTED_EDGE('',*,*,#198493,.F.); #274646=ORIENTED_EDGE('',*,*,#198496,.F.); #274647=ORIENTED_EDGE('',*,*,#198499,.F.); #274648=ORIENTED_EDGE('',*,*,#198502,.F.); #274649=ORIENTED_EDGE('',*,*,#198505,.F.); #274650=ORIENTED_EDGE('',*,*,#198508,.F.); #274651=ORIENTED_EDGE('',*,*,#198511,.F.); #274652=ORIENTED_EDGE('',*,*,#198514,.F.); #274653=ORIENTED_EDGE('',*,*,#198517,.F.); #274654=ORIENTED_EDGE('',*,*,#198520,.F.); #274655=ORIENTED_EDGE('',*,*,#198523,.F.); #274656=ORIENTED_EDGE('',*,*,#198526,.F.); #274657=ORIENTED_EDGE('',*,*,#198529,.F.); #274658=ORIENTED_EDGE('',*,*,#198532,.F.); #274659=ORIENTED_EDGE('',*,*,#198535,.F.); #274660=ORIENTED_EDGE('',*,*,#198538,.F.); #274661=ORIENTED_EDGE('',*,*,#198541,.F.); #274662=ORIENTED_EDGE('',*,*,#198544,.F.); #274663=ORIENTED_EDGE('',*,*,#196609,.F.); #274664=ORIENTED_EDGE('',*,*,#196539,.F.); #274665=ORIENTED_EDGE('',*,*,#196543,.F.); #274666=ORIENTED_EDGE('',*,*,#196546,.F.); #274667=ORIENTED_EDGE('',*,*,#196549,.F.); #274668=ORIENTED_EDGE('',*,*,#196552,.F.); #274669=ORIENTED_EDGE('',*,*,#196555,.F.); #274670=ORIENTED_EDGE('',*,*,#196558,.F.); #274671=ORIENTED_EDGE('',*,*,#196561,.F.); #274672=ORIENTED_EDGE('',*,*,#196564,.F.); #274673=ORIENTED_EDGE('',*,*,#196567,.F.); #274674=ORIENTED_EDGE('',*,*,#196570,.F.); #274675=ORIENTED_EDGE('',*,*,#196573,.F.); #274676=ORIENTED_EDGE('',*,*,#196576,.F.); #274677=ORIENTED_EDGE('',*,*,#196579,.F.); #274678=ORIENTED_EDGE('',*,*,#196582,.F.); #274679=ORIENTED_EDGE('',*,*,#196585,.F.); #274680=ORIENTED_EDGE('',*,*,#196588,.F.); #274681=ORIENTED_EDGE('',*,*,#196591,.F.); #274682=ORIENTED_EDGE('',*,*,#196594,.F.); #274683=ORIENTED_EDGE('',*,*,#196597,.F.); #274684=ORIENTED_EDGE('',*,*,#196600,.F.); #274685=ORIENTED_EDGE('',*,*,#196603,.F.); #274686=ORIENTED_EDGE('',*,*,#196606,.F.); #274687=ORIENTED_EDGE('',*,*,#196537,.F.); #274688=ORIENTED_EDGE('',*,*,#196425,.F.); #274689=ORIENTED_EDGE('',*,*,#196429,.F.); #274690=ORIENTED_EDGE('',*,*,#196432,.F.); #274691=ORIENTED_EDGE('',*,*,#196435,.F.); #274692=ORIENTED_EDGE('',*,*,#196438,.F.); #274693=ORIENTED_EDGE('',*,*,#196441,.F.); #274694=ORIENTED_EDGE('',*,*,#196444,.F.); #274695=ORIENTED_EDGE('',*,*,#196447,.F.); #274696=ORIENTED_EDGE('',*,*,#196450,.F.); #274697=ORIENTED_EDGE('',*,*,#196453,.F.); #274698=ORIENTED_EDGE('',*,*,#196456,.F.); #274699=ORIENTED_EDGE('',*,*,#196459,.F.); #274700=ORIENTED_EDGE('',*,*,#196462,.F.); #274701=ORIENTED_EDGE('',*,*,#196465,.F.); #274702=ORIENTED_EDGE('',*,*,#196468,.F.); #274703=ORIENTED_EDGE('',*,*,#196471,.F.); #274704=ORIENTED_EDGE('',*,*,#196474,.F.); #274705=ORIENTED_EDGE('',*,*,#196477,.F.); #274706=ORIENTED_EDGE('',*,*,#196480,.F.); #274707=ORIENTED_EDGE('',*,*,#196483,.F.); #274708=ORIENTED_EDGE('',*,*,#196486,.F.); #274709=ORIENTED_EDGE('',*,*,#196489,.F.); #274710=ORIENTED_EDGE('',*,*,#196492,.F.); #274711=ORIENTED_EDGE('',*,*,#196495,.F.); #274712=ORIENTED_EDGE('',*,*,#196498,.F.); #274713=ORIENTED_EDGE('',*,*,#196501,.F.); #274714=ORIENTED_EDGE('',*,*,#196504,.F.); #274715=ORIENTED_EDGE('',*,*,#196507,.F.); #274716=ORIENTED_EDGE('',*,*,#196510,.F.); #274717=ORIENTED_EDGE('',*,*,#196513,.F.); #274718=ORIENTED_EDGE('',*,*,#196516,.F.); #274719=ORIENTED_EDGE('',*,*,#196519,.F.); #274720=ORIENTED_EDGE('',*,*,#196522,.F.); #274721=ORIENTED_EDGE('',*,*,#196525,.F.); #274722=ORIENTED_EDGE('',*,*,#196528,.F.); #274723=ORIENTED_EDGE('',*,*,#196531,.F.); #274724=ORIENTED_EDGE('',*,*,#196534,.F.); #274725=ORIENTED_EDGE('',*,*,#196423,.F.); #274726=ORIENTED_EDGE('',*,*,#196305,.F.); #274727=ORIENTED_EDGE('',*,*,#196309,.F.); #274728=ORIENTED_EDGE('',*,*,#196312,.F.); #274729=ORIENTED_EDGE('',*,*,#196315,.F.); #274730=ORIENTED_EDGE('',*,*,#196318,.F.); #274731=ORIENTED_EDGE('',*,*,#196321,.F.); #274732=ORIENTED_EDGE('',*,*,#196324,.F.); #274733=ORIENTED_EDGE('',*,*,#196327,.F.); #274734=ORIENTED_EDGE('',*,*,#196330,.F.); #274735=ORIENTED_EDGE('',*,*,#196333,.F.); #274736=ORIENTED_EDGE('',*,*,#196336,.F.); #274737=ORIENTED_EDGE('',*,*,#196339,.F.); #274738=ORIENTED_EDGE('',*,*,#196342,.F.); #274739=ORIENTED_EDGE('',*,*,#196345,.F.); #274740=ORIENTED_EDGE('',*,*,#196348,.F.); #274741=ORIENTED_EDGE('',*,*,#196351,.F.); #274742=ORIENTED_EDGE('',*,*,#196354,.F.); #274743=ORIENTED_EDGE('',*,*,#196357,.F.); #274744=ORIENTED_EDGE('',*,*,#196360,.F.); #274745=ORIENTED_EDGE('',*,*,#196363,.F.); #274746=ORIENTED_EDGE('',*,*,#196366,.F.); #274747=ORIENTED_EDGE('',*,*,#196369,.F.); #274748=ORIENTED_EDGE('',*,*,#196372,.F.); #274749=ORIENTED_EDGE('',*,*,#196375,.F.); #274750=ORIENTED_EDGE('',*,*,#196378,.F.); #274751=ORIENTED_EDGE('',*,*,#196381,.F.); #274752=ORIENTED_EDGE('',*,*,#196384,.F.); #274753=ORIENTED_EDGE('',*,*,#196387,.F.); #274754=ORIENTED_EDGE('',*,*,#196390,.F.); #274755=ORIENTED_EDGE('',*,*,#196393,.F.); #274756=ORIENTED_EDGE('',*,*,#196396,.F.); #274757=ORIENTED_EDGE('',*,*,#196399,.F.); #274758=ORIENTED_EDGE('',*,*,#196402,.F.); #274759=ORIENTED_EDGE('',*,*,#196405,.F.); #274760=ORIENTED_EDGE('',*,*,#196408,.F.); #274761=ORIENTED_EDGE('',*,*,#196411,.F.); #274762=ORIENTED_EDGE('',*,*,#196414,.F.); #274763=ORIENTED_EDGE('',*,*,#196417,.F.); #274764=ORIENTED_EDGE('',*,*,#196420,.F.); #274765=ORIENTED_EDGE('',*,*,#196303,.F.); #274766=ORIENTED_EDGE('',*,*,#196203,.F.); #274767=ORIENTED_EDGE('',*,*,#196207,.F.); #274768=ORIENTED_EDGE('',*,*,#196210,.F.); #274769=ORIENTED_EDGE('',*,*,#196213,.F.); #274770=ORIENTED_EDGE('',*,*,#196216,.F.); #274771=ORIENTED_EDGE('',*,*,#196219,.F.); #274772=ORIENTED_EDGE('',*,*,#196222,.F.); #274773=ORIENTED_EDGE('',*,*,#196225,.F.); #274774=ORIENTED_EDGE('',*,*,#196228,.F.); #274775=ORIENTED_EDGE('',*,*,#196231,.F.); #274776=ORIENTED_EDGE('',*,*,#196234,.F.); #274777=ORIENTED_EDGE('',*,*,#196237,.F.); #274778=ORIENTED_EDGE('',*,*,#196240,.F.); #274779=ORIENTED_EDGE('',*,*,#196243,.F.); #274780=ORIENTED_EDGE('',*,*,#196246,.F.); #274781=ORIENTED_EDGE('',*,*,#196249,.F.); #274782=ORIENTED_EDGE('',*,*,#196252,.F.); #274783=ORIENTED_EDGE('',*,*,#196255,.F.); #274784=ORIENTED_EDGE('',*,*,#196258,.F.); #274785=ORIENTED_EDGE('',*,*,#196261,.F.); #274786=ORIENTED_EDGE('',*,*,#196264,.F.); #274787=ORIENTED_EDGE('',*,*,#196267,.F.); #274788=ORIENTED_EDGE('',*,*,#196270,.F.); #274789=ORIENTED_EDGE('',*,*,#196273,.F.); #274790=ORIENTED_EDGE('',*,*,#196276,.F.); #274791=ORIENTED_EDGE('',*,*,#196279,.F.); #274792=ORIENTED_EDGE('',*,*,#196282,.F.); #274793=ORIENTED_EDGE('',*,*,#196285,.F.); #274794=ORIENTED_EDGE('',*,*,#196288,.F.); #274795=ORIENTED_EDGE('',*,*,#196291,.F.); #274796=ORIENTED_EDGE('',*,*,#196294,.F.); #274797=ORIENTED_EDGE('',*,*,#196297,.F.); #274798=ORIENTED_EDGE('',*,*,#196300,.F.); #274799=ORIENTED_EDGE('',*,*,#196201,.F.); #274800=ORIENTED_EDGE('',*,*,#196101,.F.); #274801=ORIENTED_EDGE('',*,*,#196105,.F.); #274802=ORIENTED_EDGE('',*,*,#196108,.F.); #274803=ORIENTED_EDGE('',*,*,#196111,.F.); #274804=ORIENTED_EDGE('',*,*,#196114,.F.); #274805=ORIENTED_EDGE('',*,*,#196117,.F.); #274806=ORIENTED_EDGE('',*,*,#196120,.F.); #274807=ORIENTED_EDGE('',*,*,#196123,.F.); #274808=ORIENTED_EDGE('',*,*,#196126,.F.); #274809=ORIENTED_EDGE('',*,*,#196129,.F.); #274810=ORIENTED_EDGE('',*,*,#196132,.F.); #274811=ORIENTED_EDGE('',*,*,#196135,.F.); #274812=ORIENTED_EDGE('',*,*,#196138,.F.); #274813=ORIENTED_EDGE('',*,*,#196141,.F.); #274814=ORIENTED_EDGE('',*,*,#196144,.F.); #274815=ORIENTED_EDGE('',*,*,#196147,.F.); #274816=ORIENTED_EDGE('',*,*,#196150,.F.); #274817=ORIENTED_EDGE('',*,*,#196153,.F.); #274818=ORIENTED_EDGE('',*,*,#196156,.F.); #274819=ORIENTED_EDGE('',*,*,#196159,.F.); #274820=ORIENTED_EDGE('',*,*,#196162,.F.); #274821=ORIENTED_EDGE('',*,*,#196165,.F.); #274822=ORIENTED_EDGE('',*,*,#196168,.F.); #274823=ORIENTED_EDGE('',*,*,#196171,.F.); #274824=ORIENTED_EDGE('',*,*,#196174,.F.); #274825=ORIENTED_EDGE('',*,*,#196177,.F.); #274826=ORIENTED_EDGE('',*,*,#196180,.F.); #274827=ORIENTED_EDGE('',*,*,#196183,.F.); #274828=ORIENTED_EDGE('',*,*,#196186,.F.); #274829=ORIENTED_EDGE('',*,*,#196189,.F.); #274830=ORIENTED_EDGE('',*,*,#196192,.F.); #274831=ORIENTED_EDGE('',*,*,#196195,.F.); #274832=ORIENTED_EDGE('',*,*,#196198,.F.); #274833=ORIENTED_EDGE('',*,*,#196099,.F.); #274834=ORIENTED_EDGE('',*,*,#196077,.F.); #274835=ORIENTED_EDGE('',*,*,#196081,.F.); #274836=ORIENTED_EDGE('',*,*,#196084,.F.); #274837=ORIENTED_EDGE('',*,*,#196087,.F.); #274838=ORIENTED_EDGE('',*,*,#196090,.F.); #274839=ORIENTED_EDGE('',*,*,#196093,.F.); #274840=ORIENTED_EDGE('',*,*,#196096,.F.); #274841=ORIENTED_EDGE('',*,*,#196075,.F.); #274842=ORIENTED_EDGE('',*,*,#196053,.F.); #274843=ORIENTED_EDGE('',*,*,#196057,.F.); #274844=ORIENTED_EDGE('',*,*,#196060,.F.); #274845=ORIENTED_EDGE('',*,*,#196063,.F.); #274846=ORIENTED_EDGE('',*,*,#196066,.F.); #274847=ORIENTED_EDGE('',*,*,#196069,.F.); #274848=ORIENTED_EDGE('',*,*,#196072,.F.); #274849=ORIENTED_EDGE('',*,*,#196051,.F.); #274850=ORIENTED_EDGE('',*,*,#196029,.F.); #274851=ORIENTED_EDGE('',*,*,#196033,.F.); #274852=ORIENTED_EDGE('',*,*,#196036,.F.); #274853=ORIENTED_EDGE('',*,*,#196039,.F.); #274854=ORIENTED_EDGE('',*,*,#196042,.F.); #274855=ORIENTED_EDGE('',*,*,#196045,.F.); #274856=ORIENTED_EDGE('',*,*,#196048,.F.); #274857=ORIENTED_EDGE('',*,*,#196027,.F.); #274858=ORIENTED_EDGE('',*,*,#195633,.F.); #274859=ORIENTED_EDGE('',*,*,#195637,.F.); #274860=ORIENTED_EDGE('',*,*,#195640,.F.); #274861=ORIENTED_EDGE('',*,*,#195643,.F.); #274862=ORIENTED_EDGE('',*,*,#195646,.F.); #274863=ORIENTED_EDGE('',*,*,#195649,.F.); #274864=ORIENTED_EDGE('',*,*,#195652,.F.); #274865=ORIENTED_EDGE('',*,*,#195655,.F.); #274866=ORIENTED_EDGE('',*,*,#195658,.F.); #274867=ORIENTED_EDGE('',*,*,#195661,.F.); #274868=ORIENTED_EDGE('',*,*,#195664,.F.); #274869=ORIENTED_EDGE('',*,*,#195667,.F.); #274870=ORIENTED_EDGE('',*,*,#195670,.F.); #274871=ORIENTED_EDGE('',*,*,#195673,.F.); #274872=ORIENTED_EDGE('',*,*,#195676,.F.); #274873=ORIENTED_EDGE('',*,*,#195679,.F.); #274874=ORIENTED_EDGE('',*,*,#195682,.F.); #274875=ORIENTED_EDGE('',*,*,#195685,.F.); #274876=ORIENTED_EDGE('',*,*,#195688,.F.); #274877=ORIENTED_EDGE('',*,*,#195691,.F.); #274878=ORIENTED_EDGE('',*,*,#195694,.F.); #274879=ORIENTED_EDGE('',*,*,#195697,.F.); #274880=ORIENTED_EDGE('',*,*,#195700,.F.); #274881=ORIENTED_EDGE('',*,*,#195703,.F.); #274882=ORIENTED_EDGE('',*,*,#195706,.F.); #274883=ORIENTED_EDGE('',*,*,#195709,.F.); #274884=ORIENTED_EDGE('',*,*,#195712,.F.); #274885=ORIENTED_EDGE('',*,*,#195715,.F.); #274886=ORIENTED_EDGE('',*,*,#195718,.F.); #274887=ORIENTED_EDGE('',*,*,#195721,.F.); #274888=ORIENTED_EDGE('',*,*,#195724,.F.); #274889=ORIENTED_EDGE('',*,*,#195727,.F.); #274890=ORIENTED_EDGE('',*,*,#195730,.F.); #274891=ORIENTED_EDGE('',*,*,#195733,.F.); #274892=ORIENTED_EDGE('',*,*,#195736,.F.); #274893=ORIENTED_EDGE('',*,*,#195739,.F.); #274894=ORIENTED_EDGE('',*,*,#195742,.F.); #274895=ORIENTED_EDGE('',*,*,#195745,.F.); #274896=ORIENTED_EDGE('',*,*,#195748,.F.); #274897=ORIENTED_EDGE('',*,*,#195751,.F.); #274898=ORIENTED_EDGE('',*,*,#195754,.F.); #274899=ORIENTED_EDGE('',*,*,#195757,.F.); #274900=ORIENTED_EDGE('',*,*,#195760,.F.); #274901=ORIENTED_EDGE('',*,*,#195763,.F.); #274902=ORIENTED_EDGE('',*,*,#195766,.F.); #274903=ORIENTED_EDGE('',*,*,#195769,.F.); #274904=ORIENTED_EDGE('',*,*,#195772,.F.); #274905=ORIENTED_EDGE('',*,*,#195775,.F.); #274906=ORIENTED_EDGE('',*,*,#195778,.F.); #274907=ORIENTED_EDGE('',*,*,#195781,.F.); #274908=ORIENTED_EDGE('',*,*,#195784,.F.); #274909=ORIENTED_EDGE('',*,*,#195787,.F.); #274910=ORIENTED_EDGE('',*,*,#195790,.F.); #274911=ORIENTED_EDGE('',*,*,#195793,.F.); #274912=ORIENTED_EDGE('',*,*,#195796,.F.); #274913=ORIENTED_EDGE('',*,*,#195799,.F.); #274914=ORIENTED_EDGE('',*,*,#195802,.F.); #274915=ORIENTED_EDGE('',*,*,#195805,.F.); #274916=ORIENTED_EDGE('',*,*,#195808,.F.); #274917=ORIENTED_EDGE('',*,*,#195811,.F.); #274918=ORIENTED_EDGE('',*,*,#195814,.F.); #274919=ORIENTED_EDGE('',*,*,#195817,.F.); #274920=ORIENTED_EDGE('',*,*,#195820,.F.); #274921=ORIENTED_EDGE('',*,*,#195823,.F.); #274922=ORIENTED_EDGE('',*,*,#195826,.F.); #274923=ORIENTED_EDGE('',*,*,#195829,.F.); #274924=ORIENTED_EDGE('',*,*,#195832,.F.); #274925=ORIENTED_EDGE('',*,*,#195835,.F.); #274926=ORIENTED_EDGE('',*,*,#195838,.F.); #274927=ORIENTED_EDGE('',*,*,#195841,.F.); #274928=ORIENTED_EDGE('',*,*,#195844,.F.); #274929=ORIENTED_EDGE('',*,*,#195847,.F.); #274930=ORIENTED_EDGE('',*,*,#195850,.F.); #274931=ORIENTED_EDGE('',*,*,#195853,.F.); #274932=ORIENTED_EDGE('',*,*,#195856,.F.); #274933=ORIENTED_EDGE('',*,*,#195859,.F.); #274934=ORIENTED_EDGE('',*,*,#195862,.F.); #274935=ORIENTED_EDGE('',*,*,#195865,.F.); #274936=ORIENTED_EDGE('',*,*,#195868,.F.); #274937=ORIENTED_EDGE('',*,*,#195871,.F.); #274938=ORIENTED_EDGE('',*,*,#195874,.F.); #274939=ORIENTED_EDGE('',*,*,#195877,.F.); #274940=ORIENTED_EDGE('',*,*,#195880,.F.); #274941=ORIENTED_EDGE('',*,*,#195883,.F.); #274942=ORIENTED_EDGE('',*,*,#195886,.F.); #274943=ORIENTED_EDGE('',*,*,#195889,.F.); #274944=ORIENTED_EDGE('',*,*,#195892,.F.); #274945=ORIENTED_EDGE('',*,*,#195895,.F.); #274946=ORIENTED_EDGE('',*,*,#195898,.F.); #274947=ORIENTED_EDGE('',*,*,#195901,.F.); #274948=ORIENTED_EDGE('',*,*,#195904,.F.); #274949=ORIENTED_EDGE('',*,*,#195907,.F.); #274950=ORIENTED_EDGE('',*,*,#195910,.F.); #274951=ORIENTED_EDGE('',*,*,#195913,.F.); #274952=ORIENTED_EDGE('',*,*,#195916,.F.); #274953=ORIENTED_EDGE('',*,*,#195919,.F.); #274954=ORIENTED_EDGE('',*,*,#195922,.F.); #274955=ORIENTED_EDGE('',*,*,#195925,.F.); #274956=ORIENTED_EDGE('',*,*,#195928,.F.); #274957=ORIENTED_EDGE('',*,*,#195931,.F.); #274958=ORIENTED_EDGE('',*,*,#195934,.F.); #274959=ORIENTED_EDGE('',*,*,#195937,.F.); #274960=ORIENTED_EDGE('',*,*,#195940,.F.); #274961=ORIENTED_EDGE('',*,*,#195943,.F.); #274962=ORIENTED_EDGE('',*,*,#195946,.F.); #274963=ORIENTED_EDGE('',*,*,#195949,.F.); #274964=ORIENTED_EDGE('',*,*,#195952,.F.); #274965=ORIENTED_EDGE('',*,*,#195955,.F.); #274966=ORIENTED_EDGE('',*,*,#195958,.F.); #274967=ORIENTED_EDGE('',*,*,#195961,.F.); #274968=ORIENTED_EDGE('',*,*,#195964,.F.); #274969=ORIENTED_EDGE('',*,*,#195967,.F.); #274970=ORIENTED_EDGE('',*,*,#195970,.F.); #274971=ORIENTED_EDGE('',*,*,#195973,.F.); #274972=ORIENTED_EDGE('',*,*,#195976,.F.); #274973=ORIENTED_EDGE('',*,*,#195979,.F.); #274974=ORIENTED_EDGE('',*,*,#195982,.F.); #274975=ORIENTED_EDGE('',*,*,#195985,.F.); #274976=ORIENTED_EDGE('',*,*,#195988,.F.); #274977=ORIENTED_EDGE('',*,*,#195991,.F.); #274978=ORIENTED_EDGE('',*,*,#195994,.F.); #274979=ORIENTED_EDGE('',*,*,#195997,.F.); #274980=ORIENTED_EDGE('',*,*,#196000,.F.); #274981=ORIENTED_EDGE('',*,*,#196003,.F.); #274982=ORIENTED_EDGE('',*,*,#196006,.F.); #274983=ORIENTED_EDGE('',*,*,#196009,.F.); #274984=ORIENTED_EDGE('',*,*,#196012,.F.); #274985=ORIENTED_EDGE('',*,*,#196015,.F.); #274986=ORIENTED_EDGE('',*,*,#196018,.F.); #274987=ORIENTED_EDGE('',*,*,#196021,.F.); #274988=ORIENTED_EDGE('',*,*,#196024,.F.); #274989=ORIENTED_EDGE('',*,*,#200232,.T.); #274990=ORIENTED_EDGE('',*,*,#200233,.T.); #274991=ORIENTED_EDGE('',*,*,#200234,.F.); #274992=ORIENTED_EDGE('',*,*,#200235,.F.); #274993=ORIENTED_EDGE('',*,*,#200236,.T.); #274994=ORIENTED_EDGE('',*,*,#200235,.T.); #274995=ORIENTED_EDGE('',*,*,#200237,.F.); #274996=ORIENTED_EDGE('',*,*,#200238,.F.); #274997=ORIENTED_EDGE('',*,*,#200239,.T.); #274998=ORIENTED_EDGE('',*,*,#200238,.T.); #274999=ORIENTED_EDGE('',*,*,#200240,.F.); #275000=ORIENTED_EDGE('',*,*,#200241,.F.); #275001=ORIENTED_EDGE('',*,*,#200242,.T.); #275002=ORIENTED_EDGE('',*,*,#200241,.T.); #275003=ORIENTED_EDGE('',*,*,#200243,.F.); #275004=ORIENTED_EDGE('',*,*,#200244,.F.); #275005=ORIENTED_EDGE('',*,*,#200245,.T.); #275006=ORIENTED_EDGE('',*,*,#200244,.T.); #275007=ORIENTED_EDGE('',*,*,#200246,.F.); #275008=ORIENTED_EDGE('',*,*,#200247,.F.); #275009=ORIENTED_EDGE('',*,*,#200248,.T.); #275010=ORIENTED_EDGE('',*,*,#200247,.T.); #275011=ORIENTED_EDGE('',*,*,#200249,.F.); #275012=ORIENTED_EDGE('',*,*,#200250,.F.); #275013=ORIENTED_EDGE('',*,*,#200251,.T.); #275014=ORIENTED_EDGE('',*,*,#200250,.T.); #275015=ORIENTED_EDGE('',*,*,#200252,.F.); #275016=ORIENTED_EDGE('',*,*,#200253,.F.); #275017=ORIENTED_EDGE('',*,*,#200254,.T.); #275018=ORIENTED_EDGE('',*,*,#200253,.T.); #275019=ORIENTED_EDGE('',*,*,#200255,.F.); #275020=ORIENTED_EDGE('',*,*,#200256,.F.); #275021=ORIENTED_EDGE('',*,*,#200257,.T.); #275022=ORIENTED_EDGE('',*,*,#200256,.T.); #275023=ORIENTED_EDGE('',*,*,#200258,.F.); #275024=ORIENTED_EDGE('',*,*,#200259,.F.); #275025=ORIENTED_EDGE('',*,*,#200260,.T.); #275026=ORIENTED_EDGE('',*,*,#200259,.T.); #275027=ORIENTED_EDGE('',*,*,#200261,.F.); #275028=ORIENTED_EDGE('',*,*,#200262,.F.); #275029=ORIENTED_EDGE('',*,*,#200263,.T.); #275030=ORIENTED_EDGE('',*,*,#200262,.T.); #275031=ORIENTED_EDGE('',*,*,#200264,.F.); #275032=ORIENTED_EDGE('',*,*,#200265,.F.); #275033=ORIENTED_EDGE('',*,*,#200266,.T.); #275034=ORIENTED_EDGE('',*,*,#200265,.T.); #275035=ORIENTED_EDGE('',*,*,#200267,.F.); #275036=ORIENTED_EDGE('',*,*,#200268,.F.); #275037=ORIENTED_EDGE('',*,*,#200269,.T.); #275038=ORIENTED_EDGE('',*,*,#200268,.T.); #275039=ORIENTED_EDGE('',*,*,#200270,.F.); #275040=ORIENTED_EDGE('',*,*,#200271,.F.); #275041=ORIENTED_EDGE('',*,*,#200272,.T.); #275042=ORIENTED_EDGE('',*,*,#200271,.T.); #275043=ORIENTED_EDGE('',*,*,#200273,.F.); #275044=ORIENTED_EDGE('',*,*,#200274,.F.); #275045=ORIENTED_EDGE('',*,*,#200275,.T.); #275046=ORIENTED_EDGE('',*,*,#200274,.T.); #275047=ORIENTED_EDGE('',*,*,#200276,.F.); #275048=ORIENTED_EDGE('',*,*,#200277,.F.); #275049=ORIENTED_EDGE('',*,*,#200278,.T.); #275050=ORIENTED_EDGE('',*,*,#200277,.T.); #275051=ORIENTED_EDGE('',*,*,#200279,.F.); #275052=ORIENTED_EDGE('',*,*,#200280,.F.); #275053=ORIENTED_EDGE('',*,*,#200281,.T.); #275054=ORIENTED_EDGE('',*,*,#200280,.T.); #275055=ORIENTED_EDGE('',*,*,#200282,.F.); #275056=ORIENTED_EDGE('',*,*,#200283,.F.); #275057=ORIENTED_EDGE('',*,*,#200284,.T.); #275058=ORIENTED_EDGE('',*,*,#200283,.T.); #275059=ORIENTED_EDGE('',*,*,#200285,.F.); #275060=ORIENTED_EDGE('',*,*,#200286,.F.); #275061=ORIENTED_EDGE('',*,*,#200287,.T.); #275062=ORIENTED_EDGE('',*,*,#200286,.T.); #275063=ORIENTED_EDGE('',*,*,#200288,.F.); #275064=ORIENTED_EDGE('',*,*,#200289,.F.); #275065=ORIENTED_EDGE('',*,*,#200290,.T.); #275066=ORIENTED_EDGE('',*,*,#200289,.T.); #275067=ORIENTED_EDGE('',*,*,#200291,.F.); #275068=ORIENTED_EDGE('',*,*,#200292,.F.); #275069=ORIENTED_EDGE('',*,*,#200293,.T.); #275070=ORIENTED_EDGE('',*,*,#200292,.T.); #275071=ORIENTED_EDGE('',*,*,#200294,.F.); #275072=ORIENTED_EDGE('',*,*,#200295,.F.); #275073=ORIENTED_EDGE('',*,*,#200296,.T.); #275074=ORIENTED_EDGE('',*,*,#200295,.T.); #275075=ORIENTED_EDGE('',*,*,#200297,.F.); #275076=ORIENTED_EDGE('',*,*,#200298,.F.); #275077=ORIENTED_EDGE('',*,*,#200299,.T.); #275078=ORIENTED_EDGE('',*,*,#200298,.T.); #275079=ORIENTED_EDGE('',*,*,#200300,.F.); #275080=ORIENTED_EDGE('',*,*,#200301,.F.); #275081=ORIENTED_EDGE('',*,*,#200302,.T.); #275082=ORIENTED_EDGE('',*,*,#200301,.T.); #275083=ORIENTED_EDGE('',*,*,#200303,.F.); #275084=ORIENTED_EDGE('',*,*,#200304,.F.); #275085=ORIENTED_EDGE('',*,*,#200305,.T.); #275086=ORIENTED_EDGE('',*,*,#200304,.T.); #275087=ORIENTED_EDGE('',*,*,#200306,.F.); #275088=ORIENTED_EDGE('',*,*,#200307,.F.); #275089=ORIENTED_EDGE('',*,*,#200308,.T.); #275090=ORIENTED_EDGE('',*,*,#200307,.T.); #275091=ORIENTED_EDGE('',*,*,#200309,.F.); #275092=ORIENTED_EDGE('',*,*,#200310,.F.); #275093=ORIENTED_EDGE('',*,*,#200311,.T.); #275094=ORIENTED_EDGE('',*,*,#200310,.T.); #275095=ORIENTED_EDGE('',*,*,#200312,.F.); #275096=ORIENTED_EDGE('',*,*,#200233,.F.); #275097=ORIENTED_EDGE('',*,*,#200312,.T.); #275098=ORIENTED_EDGE('',*,*,#200309,.T.); #275099=ORIENTED_EDGE('',*,*,#200306,.T.); #275100=ORIENTED_EDGE('',*,*,#200303,.T.); #275101=ORIENTED_EDGE('',*,*,#200300,.T.); #275102=ORIENTED_EDGE('',*,*,#200297,.T.); #275103=ORIENTED_EDGE('',*,*,#200294,.T.); #275104=ORIENTED_EDGE('',*,*,#200291,.T.); #275105=ORIENTED_EDGE('',*,*,#200288,.T.); #275106=ORIENTED_EDGE('',*,*,#200285,.T.); #275107=ORIENTED_EDGE('',*,*,#200282,.T.); #275108=ORIENTED_EDGE('',*,*,#200279,.T.); #275109=ORIENTED_EDGE('',*,*,#200276,.T.); #275110=ORIENTED_EDGE('',*,*,#200273,.T.); #275111=ORIENTED_EDGE('',*,*,#200270,.T.); #275112=ORIENTED_EDGE('',*,*,#200267,.T.); #275113=ORIENTED_EDGE('',*,*,#200264,.T.); #275114=ORIENTED_EDGE('',*,*,#200261,.T.); #275115=ORIENTED_EDGE('',*,*,#200258,.T.); #275116=ORIENTED_EDGE('',*,*,#200255,.T.); #275117=ORIENTED_EDGE('',*,*,#200252,.T.); #275118=ORIENTED_EDGE('',*,*,#200249,.T.); #275119=ORIENTED_EDGE('',*,*,#200246,.T.); #275120=ORIENTED_EDGE('',*,*,#200243,.T.); #275121=ORIENTED_EDGE('',*,*,#200240,.T.); #275122=ORIENTED_EDGE('',*,*,#200237,.T.); #275123=ORIENTED_EDGE('',*,*,#200234,.T.); #275124=ORIENTED_EDGE('',*,*,#200311,.F.); #275125=ORIENTED_EDGE('',*,*,#200232,.F.); #275126=ORIENTED_EDGE('',*,*,#200236,.F.); #275127=ORIENTED_EDGE('',*,*,#200239,.F.); #275128=ORIENTED_EDGE('',*,*,#200242,.F.); #275129=ORIENTED_EDGE('',*,*,#200245,.F.); #275130=ORIENTED_EDGE('',*,*,#200248,.F.); #275131=ORIENTED_EDGE('',*,*,#200251,.F.); #275132=ORIENTED_EDGE('',*,*,#200254,.F.); #275133=ORIENTED_EDGE('',*,*,#200257,.F.); #275134=ORIENTED_EDGE('',*,*,#200260,.F.); #275135=ORIENTED_EDGE('',*,*,#200263,.F.); #275136=ORIENTED_EDGE('',*,*,#200266,.F.); #275137=ORIENTED_EDGE('',*,*,#200269,.F.); #275138=ORIENTED_EDGE('',*,*,#200272,.F.); #275139=ORIENTED_EDGE('',*,*,#200275,.F.); #275140=ORIENTED_EDGE('',*,*,#200278,.F.); #275141=ORIENTED_EDGE('',*,*,#200281,.F.); #275142=ORIENTED_EDGE('',*,*,#200284,.F.); #275143=ORIENTED_EDGE('',*,*,#200287,.F.); #275144=ORIENTED_EDGE('',*,*,#200290,.F.); #275145=ORIENTED_EDGE('',*,*,#200293,.F.); #275146=ORIENTED_EDGE('',*,*,#200296,.F.); #275147=ORIENTED_EDGE('',*,*,#200299,.F.); #275148=ORIENTED_EDGE('',*,*,#200302,.F.); #275149=ORIENTED_EDGE('',*,*,#200305,.F.); #275150=ORIENTED_EDGE('',*,*,#200308,.F.); #275151=ORIENTED_EDGE('',*,*,#200313,.T.); #275152=ORIENTED_EDGE('',*,*,#200314,.T.); #275153=ORIENTED_EDGE('',*,*,#200315,.F.); #275154=ORIENTED_EDGE('',*,*,#200316,.F.); #275155=ORIENTED_EDGE('',*,*,#200317,.T.); #275156=ORIENTED_EDGE('',*,*,#200316,.T.); #275157=ORIENTED_EDGE('',*,*,#200318,.F.); #275158=ORIENTED_EDGE('',*,*,#200319,.F.); #275159=ORIENTED_EDGE('',*,*,#200320,.T.); #275160=ORIENTED_EDGE('',*,*,#200319,.T.); #275161=ORIENTED_EDGE('',*,*,#200321,.F.); #275162=ORIENTED_EDGE('',*,*,#200322,.F.); #275163=ORIENTED_EDGE('',*,*,#200323,.T.); #275164=ORIENTED_EDGE('',*,*,#200322,.T.); #275165=ORIENTED_EDGE('',*,*,#200324,.F.); #275166=ORIENTED_EDGE('',*,*,#200325,.F.); #275167=ORIENTED_EDGE('',*,*,#200326,.T.); #275168=ORIENTED_EDGE('',*,*,#200325,.T.); #275169=ORIENTED_EDGE('',*,*,#200327,.F.); #275170=ORIENTED_EDGE('',*,*,#200328,.F.); #275171=ORIENTED_EDGE('',*,*,#200329,.T.); #275172=ORIENTED_EDGE('',*,*,#200328,.T.); #275173=ORIENTED_EDGE('',*,*,#200330,.F.); #275174=ORIENTED_EDGE('',*,*,#200331,.F.); #275175=ORIENTED_EDGE('',*,*,#200332,.T.); #275176=ORIENTED_EDGE('',*,*,#200331,.T.); #275177=ORIENTED_EDGE('',*,*,#200333,.F.); #275178=ORIENTED_EDGE('',*,*,#200334,.F.); #275179=ORIENTED_EDGE('',*,*,#200335,.T.); #275180=ORIENTED_EDGE('',*,*,#200334,.T.); #275181=ORIENTED_EDGE('',*,*,#200336,.F.); #275182=ORIENTED_EDGE('',*,*,#200337,.F.); #275183=ORIENTED_EDGE('',*,*,#200338,.T.); #275184=ORIENTED_EDGE('',*,*,#200337,.T.); #275185=ORIENTED_EDGE('',*,*,#200339,.F.); #275186=ORIENTED_EDGE('',*,*,#200340,.F.); #275187=ORIENTED_EDGE('',*,*,#200341,.T.); #275188=ORIENTED_EDGE('',*,*,#200340,.T.); #275189=ORIENTED_EDGE('',*,*,#200342,.F.); #275190=ORIENTED_EDGE('',*,*,#200343,.F.); #275191=ORIENTED_EDGE('',*,*,#200344,.T.); #275192=ORIENTED_EDGE('',*,*,#200343,.T.); #275193=ORIENTED_EDGE('',*,*,#200345,.F.); #275194=ORIENTED_EDGE('',*,*,#200346,.F.); #275195=ORIENTED_EDGE('',*,*,#200347,.T.); #275196=ORIENTED_EDGE('',*,*,#200346,.T.); #275197=ORIENTED_EDGE('',*,*,#200348,.F.); #275198=ORIENTED_EDGE('',*,*,#200349,.F.); #275199=ORIENTED_EDGE('',*,*,#200350,.T.); #275200=ORIENTED_EDGE('',*,*,#200349,.T.); #275201=ORIENTED_EDGE('',*,*,#200351,.F.); #275202=ORIENTED_EDGE('',*,*,#200352,.F.); #275203=ORIENTED_EDGE('',*,*,#200353,.T.); #275204=ORIENTED_EDGE('',*,*,#200352,.T.); #275205=ORIENTED_EDGE('',*,*,#200354,.F.); #275206=ORIENTED_EDGE('',*,*,#200355,.F.); #275207=ORIENTED_EDGE('',*,*,#200356,.T.); #275208=ORIENTED_EDGE('',*,*,#200355,.T.); #275209=ORIENTED_EDGE('',*,*,#200357,.F.); #275210=ORIENTED_EDGE('',*,*,#200358,.F.); #275211=ORIENTED_EDGE('',*,*,#200359,.T.); #275212=ORIENTED_EDGE('',*,*,#200358,.T.); #275213=ORIENTED_EDGE('',*,*,#200360,.F.); #275214=ORIENTED_EDGE('',*,*,#200361,.F.); #275215=ORIENTED_EDGE('',*,*,#200362,.T.); #275216=ORIENTED_EDGE('',*,*,#200361,.T.); #275217=ORIENTED_EDGE('',*,*,#200363,.F.); #275218=ORIENTED_EDGE('',*,*,#200364,.F.); #275219=ORIENTED_EDGE('',*,*,#200365,.T.); #275220=ORIENTED_EDGE('',*,*,#200364,.T.); #275221=ORIENTED_EDGE('',*,*,#200366,.F.); #275222=ORIENTED_EDGE('',*,*,#200367,.F.); #275223=ORIENTED_EDGE('',*,*,#200368,.T.); #275224=ORIENTED_EDGE('',*,*,#200367,.T.); #275225=ORIENTED_EDGE('',*,*,#200369,.F.); #275226=ORIENTED_EDGE('',*,*,#200370,.F.); #275227=ORIENTED_EDGE('',*,*,#200371,.T.); #275228=ORIENTED_EDGE('',*,*,#200370,.T.); #275229=ORIENTED_EDGE('',*,*,#200372,.F.); #275230=ORIENTED_EDGE('',*,*,#200373,.F.); #275231=ORIENTED_EDGE('',*,*,#200374,.T.); #275232=ORIENTED_EDGE('',*,*,#200373,.T.); #275233=ORIENTED_EDGE('',*,*,#200375,.F.); #275234=ORIENTED_EDGE('',*,*,#200314,.F.); #275235=ORIENTED_EDGE('',*,*,#200375,.T.); #275236=ORIENTED_EDGE('',*,*,#200372,.T.); #275237=ORIENTED_EDGE('',*,*,#200369,.T.); #275238=ORIENTED_EDGE('',*,*,#200366,.T.); #275239=ORIENTED_EDGE('',*,*,#200363,.T.); #275240=ORIENTED_EDGE('',*,*,#200360,.T.); #275241=ORIENTED_EDGE('',*,*,#200357,.T.); #275242=ORIENTED_EDGE('',*,*,#200354,.T.); #275243=ORIENTED_EDGE('',*,*,#200351,.T.); #275244=ORIENTED_EDGE('',*,*,#200348,.T.); #275245=ORIENTED_EDGE('',*,*,#200345,.T.); #275246=ORIENTED_EDGE('',*,*,#200342,.T.); #275247=ORIENTED_EDGE('',*,*,#200339,.T.); #275248=ORIENTED_EDGE('',*,*,#200336,.T.); #275249=ORIENTED_EDGE('',*,*,#200333,.T.); #275250=ORIENTED_EDGE('',*,*,#200330,.T.); #275251=ORIENTED_EDGE('',*,*,#200327,.T.); #275252=ORIENTED_EDGE('',*,*,#200324,.T.); #275253=ORIENTED_EDGE('',*,*,#200321,.T.); #275254=ORIENTED_EDGE('',*,*,#200318,.T.); #275255=ORIENTED_EDGE('',*,*,#200315,.T.); #275256=ORIENTED_EDGE('',*,*,#200374,.F.); #275257=ORIENTED_EDGE('',*,*,#200313,.F.); #275258=ORIENTED_EDGE('',*,*,#200317,.F.); #275259=ORIENTED_EDGE('',*,*,#200320,.F.); #275260=ORIENTED_EDGE('',*,*,#200323,.F.); #275261=ORIENTED_EDGE('',*,*,#200326,.F.); #275262=ORIENTED_EDGE('',*,*,#200329,.F.); #275263=ORIENTED_EDGE('',*,*,#200332,.F.); #275264=ORIENTED_EDGE('',*,*,#200335,.F.); #275265=ORIENTED_EDGE('',*,*,#200338,.F.); #275266=ORIENTED_EDGE('',*,*,#200341,.F.); #275267=ORIENTED_EDGE('',*,*,#200344,.F.); #275268=ORIENTED_EDGE('',*,*,#200347,.F.); #275269=ORIENTED_EDGE('',*,*,#200350,.F.); #275270=ORIENTED_EDGE('',*,*,#200353,.F.); #275271=ORIENTED_EDGE('',*,*,#200356,.F.); #275272=ORIENTED_EDGE('',*,*,#200359,.F.); #275273=ORIENTED_EDGE('',*,*,#200362,.F.); #275274=ORIENTED_EDGE('',*,*,#200365,.F.); #275275=ORIENTED_EDGE('',*,*,#200368,.F.); #275276=ORIENTED_EDGE('',*,*,#200371,.F.); #275277=ORIENTED_EDGE('',*,*,#200376,.T.); #275278=ORIENTED_EDGE('',*,*,#200377,.T.); #275279=ORIENTED_EDGE('',*,*,#200378,.F.); #275280=ORIENTED_EDGE('',*,*,#200379,.F.); #275281=ORIENTED_EDGE('',*,*,#200380,.T.); #275282=ORIENTED_EDGE('',*,*,#200379,.T.); #275283=ORIENTED_EDGE('',*,*,#200381,.F.); #275284=ORIENTED_EDGE('',*,*,#200382,.F.); #275285=ORIENTED_EDGE('',*,*,#200383,.T.); #275286=ORIENTED_EDGE('',*,*,#200382,.T.); #275287=ORIENTED_EDGE('',*,*,#200384,.F.); #275288=ORIENTED_EDGE('',*,*,#200385,.F.); #275289=ORIENTED_EDGE('',*,*,#200386,.T.); #275290=ORIENTED_EDGE('',*,*,#200385,.T.); #275291=ORIENTED_EDGE('',*,*,#200387,.F.); #275292=ORIENTED_EDGE('',*,*,#200388,.F.); #275293=ORIENTED_EDGE('',*,*,#200389,.T.); #275294=ORIENTED_EDGE('',*,*,#200388,.T.); #275295=ORIENTED_EDGE('',*,*,#200390,.F.); #275296=ORIENTED_EDGE('',*,*,#200391,.F.); #275297=ORIENTED_EDGE('',*,*,#200392,.T.); #275298=ORIENTED_EDGE('',*,*,#200391,.T.); #275299=ORIENTED_EDGE('',*,*,#200393,.F.); #275300=ORIENTED_EDGE('',*,*,#200394,.F.); #275301=ORIENTED_EDGE('',*,*,#200395,.T.); #275302=ORIENTED_EDGE('',*,*,#200394,.T.); #275303=ORIENTED_EDGE('',*,*,#200396,.F.); #275304=ORIENTED_EDGE('',*,*,#200397,.F.); #275305=ORIENTED_EDGE('',*,*,#200398,.T.); #275306=ORIENTED_EDGE('',*,*,#200397,.T.); #275307=ORIENTED_EDGE('',*,*,#200399,.F.); #275308=ORIENTED_EDGE('',*,*,#200400,.F.); #275309=ORIENTED_EDGE('',*,*,#200401,.T.); #275310=ORIENTED_EDGE('',*,*,#200400,.T.); #275311=ORIENTED_EDGE('',*,*,#200402,.F.); #275312=ORIENTED_EDGE('',*,*,#200403,.F.); #275313=ORIENTED_EDGE('',*,*,#200404,.T.); #275314=ORIENTED_EDGE('',*,*,#200403,.T.); #275315=ORIENTED_EDGE('',*,*,#200405,.F.); #275316=ORIENTED_EDGE('',*,*,#200406,.F.); #275317=ORIENTED_EDGE('',*,*,#200407,.T.); #275318=ORIENTED_EDGE('',*,*,#200406,.T.); #275319=ORIENTED_EDGE('',*,*,#200408,.F.); #275320=ORIENTED_EDGE('',*,*,#200409,.F.); #275321=ORIENTED_EDGE('',*,*,#200410,.T.); #275322=ORIENTED_EDGE('',*,*,#200409,.T.); #275323=ORIENTED_EDGE('',*,*,#200411,.F.); #275324=ORIENTED_EDGE('',*,*,#200412,.F.); #275325=ORIENTED_EDGE('',*,*,#200413,.T.); #275326=ORIENTED_EDGE('',*,*,#200412,.T.); #275327=ORIENTED_EDGE('',*,*,#200414,.F.); #275328=ORIENTED_EDGE('',*,*,#200415,.F.); #275329=ORIENTED_EDGE('',*,*,#200416,.T.); #275330=ORIENTED_EDGE('',*,*,#200415,.T.); #275331=ORIENTED_EDGE('',*,*,#200417,.F.); #275332=ORIENTED_EDGE('',*,*,#200418,.F.); #275333=ORIENTED_EDGE('',*,*,#200419,.T.); #275334=ORIENTED_EDGE('',*,*,#200418,.T.); #275335=ORIENTED_EDGE('',*,*,#200420,.F.); #275336=ORIENTED_EDGE('',*,*,#200421,.F.); #275337=ORIENTED_EDGE('',*,*,#200422,.T.); #275338=ORIENTED_EDGE('',*,*,#200421,.T.); #275339=ORIENTED_EDGE('',*,*,#200423,.F.); #275340=ORIENTED_EDGE('',*,*,#200424,.F.); #275341=ORIENTED_EDGE('',*,*,#200425,.T.); #275342=ORIENTED_EDGE('',*,*,#200424,.T.); #275343=ORIENTED_EDGE('',*,*,#200426,.F.); #275344=ORIENTED_EDGE('',*,*,#200427,.F.); #275345=ORIENTED_EDGE('',*,*,#200428,.T.); #275346=ORIENTED_EDGE('',*,*,#200427,.T.); #275347=ORIENTED_EDGE('',*,*,#200429,.F.); #275348=ORIENTED_EDGE('',*,*,#200430,.F.); #275349=ORIENTED_EDGE('',*,*,#200431,.T.); #275350=ORIENTED_EDGE('',*,*,#200430,.T.); #275351=ORIENTED_EDGE('',*,*,#200432,.F.); #275352=ORIENTED_EDGE('',*,*,#200433,.F.); #275353=ORIENTED_EDGE('',*,*,#200434,.T.); #275354=ORIENTED_EDGE('',*,*,#200433,.T.); #275355=ORIENTED_EDGE('',*,*,#200435,.F.); #275356=ORIENTED_EDGE('',*,*,#200377,.F.); #275357=ORIENTED_EDGE('',*,*,#200435,.T.); #275358=ORIENTED_EDGE('',*,*,#200432,.T.); #275359=ORIENTED_EDGE('',*,*,#200429,.T.); #275360=ORIENTED_EDGE('',*,*,#200426,.T.); #275361=ORIENTED_EDGE('',*,*,#200423,.T.); #275362=ORIENTED_EDGE('',*,*,#200420,.T.); #275363=ORIENTED_EDGE('',*,*,#200417,.T.); #275364=ORIENTED_EDGE('',*,*,#200414,.T.); #275365=ORIENTED_EDGE('',*,*,#200411,.T.); #275366=ORIENTED_EDGE('',*,*,#200408,.T.); #275367=ORIENTED_EDGE('',*,*,#200405,.T.); #275368=ORIENTED_EDGE('',*,*,#200402,.T.); #275369=ORIENTED_EDGE('',*,*,#200399,.T.); #275370=ORIENTED_EDGE('',*,*,#200396,.T.); #275371=ORIENTED_EDGE('',*,*,#200393,.T.); #275372=ORIENTED_EDGE('',*,*,#200390,.T.); #275373=ORIENTED_EDGE('',*,*,#200387,.T.); #275374=ORIENTED_EDGE('',*,*,#200384,.T.); #275375=ORIENTED_EDGE('',*,*,#200381,.T.); #275376=ORIENTED_EDGE('',*,*,#200378,.T.); #275377=ORIENTED_EDGE('',*,*,#200434,.F.); #275378=ORIENTED_EDGE('',*,*,#200376,.F.); #275379=ORIENTED_EDGE('',*,*,#200380,.F.); #275380=ORIENTED_EDGE('',*,*,#200383,.F.); #275381=ORIENTED_EDGE('',*,*,#200386,.F.); #275382=ORIENTED_EDGE('',*,*,#200389,.F.); #275383=ORIENTED_EDGE('',*,*,#200392,.F.); #275384=ORIENTED_EDGE('',*,*,#200395,.F.); #275385=ORIENTED_EDGE('',*,*,#200398,.F.); #275386=ORIENTED_EDGE('',*,*,#200401,.F.); #275387=ORIENTED_EDGE('',*,*,#200404,.F.); #275388=ORIENTED_EDGE('',*,*,#200407,.F.); #275389=ORIENTED_EDGE('',*,*,#200410,.F.); #275390=ORIENTED_EDGE('',*,*,#200413,.F.); #275391=ORIENTED_EDGE('',*,*,#200416,.F.); #275392=ORIENTED_EDGE('',*,*,#200419,.F.); #275393=ORIENTED_EDGE('',*,*,#200422,.F.); #275394=ORIENTED_EDGE('',*,*,#200425,.F.); #275395=ORIENTED_EDGE('',*,*,#200428,.F.); #275396=ORIENTED_EDGE('',*,*,#200431,.F.); #275397=ORIENTED_EDGE('',*,*,#200436,.T.); #275398=ORIENTED_EDGE('',*,*,#200437,.T.); #275399=ORIENTED_EDGE('',*,*,#200438,.F.); #275400=ORIENTED_EDGE('',*,*,#200439,.F.); #275401=ORIENTED_EDGE('',*,*,#200440,.T.); #275402=ORIENTED_EDGE('',*,*,#200439,.T.); #275403=ORIENTED_EDGE('',*,*,#200441,.F.); #275404=ORIENTED_EDGE('',*,*,#200442,.F.); #275405=ORIENTED_EDGE('',*,*,#200443,.T.); #275406=ORIENTED_EDGE('',*,*,#200442,.T.); #275407=ORIENTED_EDGE('',*,*,#200444,.F.); #275408=ORIENTED_EDGE('',*,*,#200445,.F.); #275409=ORIENTED_EDGE('',*,*,#200446,.T.); #275410=ORIENTED_EDGE('',*,*,#200445,.T.); #275411=ORIENTED_EDGE('',*,*,#200447,.F.); #275412=ORIENTED_EDGE('',*,*,#200448,.F.); #275413=ORIENTED_EDGE('',*,*,#200449,.T.); #275414=ORIENTED_EDGE('',*,*,#200448,.T.); #275415=ORIENTED_EDGE('',*,*,#200450,.F.); #275416=ORIENTED_EDGE('',*,*,#200451,.F.); #275417=ORIENTED_EDGE('',*,*,#200452,.T.); #275418=ORIENTED_EDGE('',*,*,#200451,.T.); #275419=ORIENTED_EDGE('',*,*,#200453,.F.); #275420=ORIENTED_EDGE('',*,*,#200454,.F.); #275421=ORIENTED_EDGE('',*,*,#200455,.T.); #275422=ORIENTED_EDGE('',*,*,#200454,.T.); #275423=ORIENTED_EDGE('',*,*,#200456,.F.); #275424=ORIENTED_EDGE('',*,*,#200457,.F.); #275425=ORIENTED_EDGE('',*,*,#200458,.T.); #275426=ORIENTED_EDGE('',*,*,#200457,.T.); #275427=ORIENTED_EDGE('',*,*,#200459,.F.); #275428=ORIENTED_EDGE('',*,*,#200460,.F.); #275429=ORIENTED_EDGE('',*,*,#200461,.T.); #275430=ORIENTED_EDGE('',*,*,#200460,.T.); #275431=ORIENTED_EDGE('',*,*,#200462,.F.); #275432=ORIENTED_EDGE('',*,*,#200463,.F.); #275433=ORIENTED_EDGE('',*,*,#200464,.T.); #275434=ORIENTED_EDGE('',*,*,#200463,.T.); #275435=ORIENTED_EDGE('',*,*,#200465,.F.); #275436=ORIENTED_EDGE('',*,*,#200466,.F.); #275437=ORIENTED_EDGE('',*,*,#200467,.T.); #275438=ORIENTED_EDGE('',*,*,#200466,.T.); #275439=ORIENTED_EDGE('',*,*,#200468,.F.); #275440=ORIENTED_EDGE('',*,*,#200469,.F.); #275441=ORIENTED_EDGE('',*,*,#200470,.T.); #275442=ORIENTED_EDGE('',*,*,#200469,.T.); #275443=ORIENTED_EDGE('',*,*,#200471,.F.); #275444=ORIENTED_EDGE('',*,*,#200472,.F.); #275445=ORIENTED_EDGE('',*,*,#200473,.T.); #275446=ORIENTED_EDGE('',*,*,#200472,.T.); #275447=ORIENTED_EDGE('',*,*,#200474,.F.); #275448=ORIENTED_EDGE('',*,*,#200475,.F.); #275449=ORIENTED_EDGE('',*,*,#200476,.T.); #275450=ORIENTED_EDGE('',*,*,#200475,.T.); #275451=ORIENTED_EDGE('',*,*,#200477,.F.); #275452=ORIENTED_EDGE('',*,*,#200478,.F.); #275453=ORIENTED_EDGE('',*,*,#200479,.T.); #275454=ORIENTED_EDGE('',*,*,#200478,.T.); #275455=ORIENTED_EDGE('',*,*,#200480,.F.); #275456=ORIENTED_EDGE('',*,*,#200481,.F.); #275457=ORIENTED_EDGE('',*,*,#200482,.T.); #275458=ORIENTED_EDGE('',*,*,#200481,.T.); #275459=ORIENTED_EDGE('',*,*,#200483,.F.); #275460=ORIENTED_EDGE('',*,*,#200484,.F.); #275461=ORIENTED_EDGE('',*,*,#200485,.T.); #275462=ORIENTED_EDGE('',*,*,#200484,.T.); #275463=ORIENTED_EDGE('',*,*,#200486,.F.); #275464=ORIENTED_EDGE('',*,*,#200487,.F.); #275465=ORIENTED_EDGE('',*,*,#200488,.T.); #275466=ORIENTED_EDGE('',*,*,#200487,.T.); #275467=ORIENTED_EDGE('',*,*,#200489,.F.); #275468=ORIENTED_EDGE('',*,*,#200490,.F.); #275469=ORIENTED_EDGE('',*,*,#200491,.T.); #275470=ORIENTED_EDGE('',*,*,#200490,.T.); #275471=ORIENTED_EDGE('',*,*,#200492,.F.); #275472=ORIENTED_EDGE('',*,*,#200493,.F.); #275473=ORIENTED_EDGE('',*,*,#200494,.T.); #275474=ORIENTED_EDGE('',*,*,#200493,.T.); #275475=ORIENTED_EDGE('',*,*,#200495,.F.); #275476=ORIENTED_EDGE('',*,*,#200496,.F.); #275477=ORIENTED_EDGE('',*,*,#200497,.T.); #275478=ORIENTED_EDGE('',*,*,#200496,.T.); #275479=ORIENTED_EDGE('',*,*,#200498,.F.); #275480=ORIENTED_EDGE('',*,*,#200499,.F.); #275481=ORIENTED_EDGE('',*,*,#200500,.T.); #275482=ORIENTED_EDGE('',*,*,#200499,.T.); #275483=ORIENTED_EDGE('',*,*,#200501,.F.); #275484=ORIENTED_EDGE('',*,*,#200502,.F.); #275485=ORIENTED_EDGE('',*,*,#200503,.T.); #275486=ORIENTED_EDGE('',*,*,#200502,.T.); #275487=ORIENTED_EDGE('',*,*,#200504,.F.); #275488=ORIENTED_EDGE('',*,*,#200505,.F.); #275489=ORIENTED_EDGE('',*,*,#200506,.T.); #275490=ORIENTED_EDGE('',*,*,#200505,.T.); #275491=ORIENTED_EDGE('',*,*,#200507,.F.); #275492=ORIENTED_EDGE('',*,*,#200508,.F.); #275493=ORIENTED_EDGE('',*,*,#200509,.T.); #275494=ORIENTED_EDGE('',*,*,#200508,.T.); #275495=ORIENTED_EDGE('',*,*,#200510,.F.); #275496=ORIENTED_EDGE('',*,*,#200511,.F.); #275497=ORIENTED_EDGE('',*,*,#200512,.T.); #275498=ORIENTED_EDGE('',*,*,#200511,.T.); #275499=ORIENTED_EDGE('',*,*,#200513,.F.); #275500=ORIENTED_EDGE('',*,*,#200514,.F.); #275501=ORIENTED_EDGE('',*,*,#200515,.T.); #275502=ORIENTED_EDGE('',*,*,#200514,.T.); #275503=ORIENTED_EDGE('',*,*,#200516,.F.); #275504=ORIENTED_EDGE('',*,*,#200517,.F.); #275505=ORIENTED_EDGE('',*,*,#200518,.T.); #275506=ORIENTED_EDGE('',*,*,#200517,.T.); #275507=ORIENTED_EDGE('',*,*,#200519,.F.); #275508=ORIENTED_EDGE('',*,*,#200520,.F.); #275509=ORIENTED_EDGE('',*,*,#200521,.T.); #275510=ORIENTED_EDGE('',*,*,#200520,.T.); #275511=ORIENTED_EDGE('',*,*,#200522,.F.); #275512=ORIENTED_EDGE('',*,*,#200523,.F.); #275513=ORIENTED_EDGE('',*,*,#200524,.T.); #275514=ORIENTED_EDGE('',*,*,#200523,.T.); #275515=ORIENTED_EDGE('',*,*,#200525,.F.); #275516=ORIENTED_EDGE('',*,*,#200526,.F.); #275517=ORIENTED_EDGE('',*,*,#200527,.T.); #275518=ORIENTED_EDGE('',*,*,#200526,.T.); #275519=ORIENTED_EDGE('',*,*,#200528,.F.); #275520=ORIENTED_EDGE('',*,*,#200529,.F.); #275521=ORIENTED_EDGE('',*,*,#200530,.T.); #275522=ORIENTED_EDGE('',*,*,#200529,.T.); #275523=ORIENTED_EDGE('',*,*,#200531,.F.); #275524=ORIENTED_EDGE('',*,*,#200532,.F.); #275525=ORIENTED_EDGE('',*,*,#200533,.T.); #275526=ORIENTED_EDGE('',*,*,#200532,.T.); #275527=ORIENTED_EDGE('',*,*,#200534,.F.); #275528=ORIENTED_EDGE('',*,*,#200535,.F.); #275529=ORIENTED_EDGE('',*,*,#200536,.T.); #275530=ORIENTED_EDGE('',*,*,#200535,.T.); #275531=ORIENTED_EDGE('',*,*,#200537,.F.); #275532=ORIENTED_EDGE('',*,*,#200538,.F.); #275533=ORIENTED_EDGE('',*,*,#200539,.T.); #275534=ORIENTED_EDGE('',*,*,#200538,.T.); #275535=ORIENTED_EDGE('',*,*,#200540,.F.); #275536=ORIENTED_EDGE('',*,*,#200541,.F.); #275537=ORIENTED_EDGE('',*,*,#200542,.T.); #275538=ORIENTED_EDGE('',*,*,#200541,.T.); #275539=ORIENTED_EDGE('',*,*,#200543,.F.); #275540=ORIENTED_EDGE('',*,*,#200544,.F.); #275541=ORIENTED_EDGE('',*,*,#200545,.T.); #275542=ORIENTED_EDGE('',*,*,#200544,.T.); #275543=ORIENTED_EDGE('',*,*,#200546,.F.); #275544=ORIENTED_EDGE('',*,*,#200547,.F.); #275545=ORIENTED_EDGE('',*,*,#200548,.T.); #275546=ORIENTED_EDGE('',*,*,#200547,.T.); #275547=ORIENTED_EDGE('',*,*,#200549,.F.); #275548=ORIENTED_EDGE('',*,*,#200550,.F.); #275549=ORIENTED_EDGE('',*,*,#200551,.T.); #275550=ORIENTED_EDGE('',*,*,#200550,.T.); #275551=ORIENTED_EDGE('',*,*,#200552,.F.); #275552=ORIENTED_EDGE('',*,*,#200553,.F.); #275553=ORIENTED_EDGE('',*,*,#200554,.T.); #275554=ORIENTED_EDGE('',*,*,#200553,.T.); #275555=ORIENTED_EDGE('',*,*,#200555,.F.); #275556=ORIENTED_EDGE('',*,*,#200556,.F.); #275557=ORIENTED_EDGE('',*,*,#200557,.T.); #275558=ORIENTED_EDGE('',*,*,#200556,.T.); #275559=ORIENTED_EDGE('',*,*,#200558,.F.); #275560=ORIENTED_EDGE('',*,*,#200559,.F.); #275561=ORIENTED_EDGE('',*,*,#200560,.T.); #275562=ORIENTED_EDGE('',*,*,#200559,.T.); #275563=ORIENTED_EDGE('',*,*,#200561,.F.); #275564=ORIENTED_EDGE('',*,*,#200562,.F.); #275565=ORIENTED_EDGE('',*,*,#200563,.T.); #275566=ORIENTED_EDGE('',*,*,#200562,.T.); #275567=ORIENTED_EDGE('',*,*,#200564,.F.); #275568=ORIENTED_EDGE('',*,*,#200565,.F.); #275569=ORIENTED_EDGE('',*,*,#200566,.T.); #275570=ORIENTED_EDGE('',*,*,#200565,.T.); #275571=ORIENTED_EDGE('',*,*,#200567,.F.); #275572=ORIENTED_EDGE('',*,*,#200568,.F.); #275573=ORIENTED_EDGE('',*,*,#200569,.T.); #275574=ORIENTED_EDGE('',*,*,#200568,.T.); #275575=ORIENTED_EDGE('',*,*,#200570,.F.); #275576=ORIENTED_EDGE('',*,*,#200571,.F.); #275577=ORIENTED_EDGE('',*,*,#200572,.T.); #275578=ORIENTED_EDGE('',*,*,#200571,.T.); #275579=ORIENTED_EDGE('',*,*,#200573,.F.); #275580=ORIENTED_EDGE('',*,*,#200574,.F.); #275581=ORIENTED_EDGE('',*,*,#200575,.T.); #275582=ORIENTED_EDGE('',*,*,#200574,.T.); #275583=ORIENTED_EDGE('',*,*,#200576,.F.); #275584=ORIENTED_EDGE('',*,*,#200437,.F.); #275585=ORIENTED_EDGE('',*,*,#200576,.T.); #275586=ORIENTED_EDGE('',*,*,#200573,.T.); #275587=ORIENTED_EDGE('',*,*,#200570,.T.); #275588=ORIENTED_EDGE('',*,*,#200567,.T.); #275589=ORIENTED_EDGE('',*,*,#200564,.T.); #275590=ORIENTED_EDGE('',*,*,#200561,.T.); #275591=ORIENTED_EDGE('',*,*,#200558,.T.); #275592=ORIENTED_EDGE('',*,*,#200555,.T.); #275593=ORIENTED_EDGE('',*,*,#200552,.T.); #275594=ORIENTED_EDGE('',*,*,#200549,.T.); #275595=ORIENTED_EDGE('',*,*,#200546,.T.); #275596=ORIENTED_EDGE('',*,*,#200543,.T.); #275597=ORIENTED_EDGE('',*,*,#200540,.T.); #275598=ORIENTED_EDGE('',*,*,#200537,.T.); #275599=ORIENTED_EDGE('',*,*,#200534,.T.); #275600=ORIENTED_EDGE('',*,*,#200531,.T.); #275601=ORIENTED_EDGE('',*,*,#200528,.T.); #275602=ORIENTED_EDGE('',*,*,#200525,.T.); #275603=ORIENTED_EDGE('',*,*,#200522,.T.); #275604=ORIENTED_EDGE('',*,*,#200519,.T.); #275605=ORIENTED_EDGE('',*,*,#200516,.T.); #275606=ORIENTED_EDGE('',*,*,#200513,.T.); #275607=ORIENTED_EDGE('',*,*,#200510,.T.); #275608=ORIENTED_EDGE('',*,*,#200507,.T.); #275609=ORIENTED_EDGE('',*,*,#200504,.T.); #275610=ORIENTED_EDGE('',*,*,#200501,.T.); #275611=ORIENTED_EDGE('',*,*,#200498,.T.); #275612=ORIENTED_EDGE('',*,*,#200495,.T.); #275613=ORIENTED_EDGE('',*,*,#200492,.T.); #275614=ORIENTED_EDGE('',*,*,#200489,.T.); #275615=ORIENTED_EDGE('',*,*,#200486,.T.); #275616=ORIENTED_EDGE('',*,*,#200483,.T.); #275617=ORIENTED_EDGE('',*,*,#200480,.T.); #275618=ORIENTED_EDGE('',*,*,#200477,.T.); #275619=ORIENTED_EDGE('',*,*,#200474,.T.); #275620=ORIENTED_EDGE('',*,*,#200471,.T.); #275621=ORIENTED_EDGE('',*,*,#200468,.T.); #275622=ORIENTED_EDGE('',*,*,#200465,.T.); #275623=ORIENTED_EDGE('',*,*,#200462,.T.); #275624=ORIENTED_EDGE('',*,*,#200459,.T.); #275625=ORIENTED_EDGE('',*,*,#200456,.T.); #275626=ORIENTED_EDGE('',*,*,#200453,.T.); #275627=ORIENTED_EDGE('',*,*,#200450,.T.); #275628=ORIENTED_EDGE('',*,*,#200447,.T.); #275629=ORIENTED_EDGE('',*,*,#200444,.T.); #275630=ORIENTED_EDGE('',*,*,#200441,.T.); #275631=ORIENTED_EDGE('',*,*,#200438,.T.); #275632=ORIENTED_EDGE('',*,*,#200575,.F.); #275633=ORIENTED_EDGE('',*,*,#200436,.F.); #275634=ORIENTED_EDGE('',*,*,#200440,.F.); #275635=ORIENTED_EDGE('',*,*,#200443,.F.); #275636=ORIENTED_EDGE('',*,*,#200446,.F.); #275637=ORIENTED_EDGE('',*,*,#200449,.F.); #275638=ORIENTED_EDGE('',*,*,#200452,.F.); #275639=ORIENTED_EDGE('',*,*,#200455,.F.); #275640=ORIENTED_EDGE('',*,*,#200458,.F.); #275641=ORIENTED_EDGE('',*,*,#200461,.F.); #275642=ORIENTED_EDGE('',*,*,#200464,.F.); #275643=ORIENTED_EDGE('',*,*,#200467,.F.); #275644=ORIENTED_EDGE('',*,*,#200470,.F.); #275645=ORIENTED_EDGE('',*,*,#200473,.F.); #275646=ORIENTED_EDGE('',*,*,#200476,.F.); #275647=ORIENTED_EDGE('',*,*,#200479,.F.); #275648=ORIENTED_EDGE('',*,*,#200482,.F.); #275649=ORIENTED_EDGE('',*,*,#200485,.F.); #275650=ORIENTED_EDGE('',*,*,#200488,.F.); #275651=ORIENTED_EDGE('',*,*,#200491,.F.); #275652=ORIENTED_EDGE('',*,*,#200494,.F.); #275653=ORIENTED_EDGE('',*,*,#200497,.F.); #275654=ORIENTED_EDGE('',*,*,#200500,.F.); #275655=ORIENTED_EDGE('',*,*,#200503,.F.); #275656=ORIENTED_EDGE('',*,*,#200506,.F.); #275657=ORIENTED_EDGE('',*,*,#200509,.F.); #275658=ORIENTED_EDGE('',*,*,#200512,.F.); #275659=ORIENTED_EDGE('',*,*,#200515,.F.); #275660=ORIENTED_EDGE('',*,*,#200518,.F.); #275661=ORIENTED_EDGE('',*,*,#200521,.F.); #275662=ORIENTED_EDGE('',*,*,#200524,.F.); #275663=ORIENTED_EDGE('',*,*,#200527,.F.); #275664=ORIENTED_EDGE('',*,*,#200530,.F.); #275665=ORIENTED_EDGE('',*,*,#200533,.F.); #275666=ORIENTED_EDGE('',*,*,#200536,.F.); #275667=ORIENTED_EDGE('',*,*,#200539,.F.); #275668=ORIENTED_EDGE('',*,*,#200542,.F.); #275669=ORIENTED_EDGE('',*,*,#200545,.F.); #275670=ORIENTED_EDGE('',*,*,#200548,.F.); #275671=ORIENTED_EDGE('',*,*,#200551,.F.); #275672=ORIENTED_EDGE('',*,*,#200554,.F.); #275673=ORIENTED_EDGE('',*,*,#200557,.F.); #275674=ORIENTED_EDGE('',*,*,#200560,.F.); #275675=ORIENTED_EDGE('',*,*,#200563,.F.); #275676=ORIENTED_EDGE('',*,*,#200566,.F.); #275677=ORIENTED_EDGE('',*,*,#200569,.F.); #275678=ORIENTED_EDGE('',*,*,#200572,.F.); #275679=ORIENTED_EDGE('',*,*,#200577,.T.); #275680=ORIENTED_EDGE('',*,*,#200578,.T.); #275681=ORIENTED_EDGE('',*,*,#200579,.F.); #275682=ORIENTED_EDGE('',*,*,#200580,.F.); #275683=ORIENTED_EDGE('',*,*,#200581,.T.); #275684=ORIENTED_EDGE('',*,*,#200580,.T.); #275685=ORIENTED_EDGE('',*,*,#200582,.F.); #275686=ORIENTED_EDGE('',*,*,#200583,.F.); #275687=ORIENTED_EDGE('',*,*,#200584,.T.); #275688=ORIENTED_EDGE('',*,*,#200583,.T.); #275689=ORIENTED_EDGE('',*,*,#200585,.F.); #275690=ORIENTED_EDGE('',*,*,#200586,.F.); #275691=ORIENTED_EDGE('',*,*,#200587,.T.); #275692=ORIENTED_EDGE('',*,*,#200586,.T.); #275693=ORIENTED_EDGE('',*,*,#200588,.F.); #275694=ORIENTED_EDGE('',*,*,#200589,.F.); #275695=ORIENTED_EDGE('',*,*,#200590,.T.); #275696=ORIENTED_EDGE('',*,*,#200589,.T.); #275697=ORIENTED_EDGE('',*,*,#200591,.F.); #275698=ORIENTED_EDGE('',*,*,#200592,.F.); #275699=ORIENTED_EDGE('',*,*,#200593,.T.); #275700=ORIENTED_EDGE('',*,*,#200592,.T.); #275701=ORIENTED_EDGE('',*,*,#200594,.F.); #275702=ORIENTED_EDGE('',*,*,#200595,.F.); #275703=ORIENTED_EDGE('',*,*,#200596,.T.); #275704=ORIENTED_EDGE('',*,*,#200595,.T.); #275705=ORIENTED_EDGE('',*,*,#200597,.F.); #275706=ORIENTED_EDGE('',*,*,#200598,.F.); #275707=ORIENTED_EDGE('',*,*,#200599,.T.); #275708=ORIENTED_EDGE('',*,*,#200598,.T.); #275709=ORIENTED_EDGE('',*,*,#200600,.F.); #275710=ORIENTED_EDGE('',*,*,#200601,.F.); #275711=ORIENTED_EDGE('',*,*,#200602,.T.); #275712=ORIENTED_EDGE('',*,*,#200601,.T.); #275713=ORIENTED_EDGE('',*,*,#200603,.F.); #275714=ORIENTED_EDGE('',*,*,#200604,.F.); #275715=ORIENTED_EDGE('',*,*,#200605,.T.); #275716=ORIENTED_EDGE('',*,*,#200604,.T.); #275717=ORIENTED_EDGE('',*,*,#200606,.F.); #275718=ORIENTED_EDGE('',*,*,#200607,.F.); #275719=ORIENTED_EDGE('',*,*,#200608,.T.); #275720=ORIENTED_EDGE('',*,*,#200607,.T.); #275721=ORIENTED_EDGE('',*,*,#200609,.F.); #275722=ORIENTED_EDGE('',*,*,#200610,.F.); #275723=ORIENTED_EDGE('',*,*,#200611,.T.); #275724=ORIENTED_EDGE('',*,*,#200610,.T.); #275725=ORIENTED_EDGE('',*,*,#200612,.F.); #275726=ORIENTED_EDGE('',*,*,#200613,.F.); #275727=ORIENTED_EDGE('',*,*,#200614,.T.); #275728=ORIENTED_EDGE('',*,*,#200613,.T.); #275729=ORIENTED_EDGE('',*,*,#200615,.F.); #275730=ORIENTED_EDGE('',*,*,#200616,.F.); #275731=ORIENTED_EDGE('',*,*,#200617,.T.); #275732=ORIENTED_EDGE('',*,*,#200616,.T.); #275733=ORIENTED_EDGE('',*,*,#200618,.F.); #275734=ORIENTED_EDGE('',*,*,#200619,.F.); #275735=ORIENTED_EDGE('',*,*,#200620,.T.); #275736=ORIENTED_EDGE('',*,*,#200619,.T.); #275737=ORIENTED_EDGE('',*,*,#200621,.F.); #275738=ORIENTED_EDGE('',*,*,#200622,.F.); #275739=ORIENTED_EDGE('',*,*,#200623,.T.); #275740=ORIENTED_EDGE('',*,*,#200622,.T.); #275741=ORIENTED_EDGE('',*,*,#200624,.F.); #275742=ORIENTED_EDGE('',*,*,#200625,.F.); #275743=ORIENTED_EDGE('',*,*,#200626,.T.); #275744=ORIENTED_EDGE('',*,*,#200625,.T.); #275745=ORIENTED_EDGE('',*,*,#200627,.F.); #275746=ORIENTED_EDGE('',*,*,#200628,.F.); #275747=ORIENTED_EDGE('',*,*,#200629,.T.); #275748=ORIENTED_EDGE('',*,*,#200628,.T.); #275749=ORIENTED_EDGE('',*,*,#200630,.F.); #275750=ORIENTED_EDGE('',*,*,#200631,.F.); #275751=ORIENTED_EDGE('',*,*,#200632,.T.); #275752=ORIENTED_EDGE('',*,*,#200631,.T.); #275753=ORIENTED_EDGE('',*,*,#200633,.F.); #275754=ORIENTED_EDGE('',*,*,#200634,.F.); #275755=ORIENTED_EDGE('',*,*,#200635,.T.); #275756=ORIENTED_EDGE('',*,*,#200634,.T.); #275757=ORIENTED_EDGE('',*,*,#200636,.F.); #275758=ORIENTED_EDGE('',*,*,#200637,.F.); #275759=ORIENTED_EDGE('',*,*,#200638,.T.); #275760=ORIENTED_EDGE('',*,*,#200637,.T.); #275761=ORIENTED_EDGE('',*,*,#200639,.F.); #275762=ORIENTED_EDGE('',*,*,#200640,.F.); #275763=ORIENTED_EDGE('',*,*,#200641,.T.); #275764=ORIENTED_EDGE('',*,*,#200640,.T.); #275765=ORIENTED_EDGE('',*,*,#200642,.F.); #275766=ORIENTED_EDGE('',*,*,#200643,.F.); #275767=ORIENTED_EDGE('',*,*,#200644,.T.); #275768=ORIENTED_EDGE('',*,*,#200643,.T.); #275769=ORIENTED_EDGE('',*,*,#200645,.F.); #275770=ORIENTED_EDGE('',*,*,#200646,.F.); #275771=ORIENTED_EDGE('',*,*,#200647,.T.); #275772=ORIENTED_EDGE('',*,*,#200646,.T.); #275773=ORIENTED_EDGE('',*,*,#200648,.F.); #275774=ORIENTED_EDGE('',*,*,#200649,.F.); #275775=ORIENTED_EDGE('',*,*,#200650,.T.); #275776=ORIENTED_EDGE('',*,*,#200649,.T.); #275777=ORIENTED_EDGE('',*,*,#200651,.F.); #275778=ORIENTED_EDGE('',*,*,#200652,.F.); #275779=ORIENTED_EDGE('',*,*,#200653,.T.); #275780=ORIENTED_EDGE('',*,*,#200652,.T.); #275781=ORIENTED_EDGE('',*,*,#200654,.F.); #275782=ORIENTED_EDGE('',*,*,#200655,.F.); #275783=ORIENTED_EDGE('',*,*,#200656,.T.); #275784=ORIENTED_EDGE('',*,*,#200655,.T.); #275785=ORIENTED_EDGE('',*,*,#200657,.F.); #275786=ORIENTED_EDGE('',*,*,#200658,.F.); #275787=ORIENTED_EDGE('',*,*,#200659,.T.); #275788=ORIENTED_EDGE('',*,*,#200658,.T.); #275789=ORIENTED_EDGE('',*,*,#200660,.F.); #275790=ORIENTED_EDGE('',*,*,#200661,.F.); #275791=ORIENTED_EDGE('',*,*,#200662,.T.); #275792=ORIENTED_EDGE('',*,*,#200661,.T.); #275793=ORIENTED_EDGE('',*,*,#200663,.F.); #275794=ORIENTED_EDGE('',*,*,#200664,.F.); #275795=ORIENTED_EDGE('',*,*,#200665,.T.); #275796=ORIENTED_EDGE('',*,*,#200664,.T.); #275797=ORIENTED_EDGE('',*,*,#200666,.F.); #275798=ORIENTED_EDGE('',*,*,#200667,.F.); #275799=ORIENTED_EDGE('',*,*,#200668,.T.); #275800=ORIENTED_EDGE('',*,*,#200667,.T.); #275801=ORIENTED_EDGE('',*,*,#200669,.F.); #275802=ORIENTED_EDGE('',*,*,#200670,.F.); #275803=ORIENTED_EDGE('',*,*,#200671,.T.); #275804=ORIENTED_EDGE('',*,*,#200670,.T.); #275805=ORIENTED_EDGE('',*,*,#200672,.F.); #275806=ORIENTED_EDGE('',*,*,#200673,.F.); #275807=ORIENTED_EDGE('',*,*,#200674,.T.); #275808=ORIENTED_EDGE('',*,*,#200673,.T.); #275809=ORIENTED_EDGE('',*,*,#200675,.F.); #275810=ORIENTED_EDGE('',*,*,#200676,.F.); #275811=ORIENTED_EDGE('',*,*,#200677,.T.); #275812=ORIENTED_EDGE('',*,*,#200676,.T.); #275813=ORIENTED_EDGE('',*,*,#200678,.F.); #275814=ORIENTED_EDGE('',*,*,#200578,.F.); #275815=ORIENTED_EDGE('',*,*,#200678,.T.); #275816=ORIENTED_EDGE('',*,*,#200675,.T.); #275817=ORIENTED_EDGE('',*,*,#200672,.T.); #275818=ORIENTED_EDGE('',*,*,#200669,.T.); #275819=ORIENTED_EDGE('',*,*,#200666,.T.); #275820=ORIENTED_EDGE('',*,*,#200663,.T.); #275821=ORIENTED_EDGE('',*,*,#200660,.T.); #275822=ORIENTED_EDGE('',*,*,#200657,.T.); #275823=ORIENTED_EDGE('',*,*,#200654,.T.); #275824=ORIENTED_EDGE('',*,*,#200651,.T.); #275825=ORIENTED_EDGE('',*,*,#200648,.T.); #275826=ORIENTED_EDGE('',*,*,#200645,.T.); #275827=ORIENTED_EDGE('',*,*,#200642,.T.); #275828=ORIENTED_EDGE('',*,*,#200639,.T.); #275829=ORIENTED_EDGE('',*,*,#200636,.T.); #275830=ORIENTED_EDGE('',*,*,#200633,.T.); #275831=ORIENTED_EDGE('',*,*,#200630,.T.); #275832=ORIENTED_EDGE('',*,*,#200627,.T.); #275833=ORIENTED_EDGE('',*,*,#200624,.T.); #275834=ORIENTED_EDGE('',*,*,#200621,.T.); #275835=ORIENTED_EDGE('',*,*,#200618,.T.); #275836=ORIENTED_EDGE('',*,*,#200615,.T.); #275837=ORIENTED_EDGE('',*,*,#200612,.T.); #275838=ORIENTED_EDGE('',*,*,#200609,.T.); #275839=ORIENTED_EDGE('',*,*,#200606,.T.); #275840=ORIENTED_EDGE('',*,*,#200603,.T.); #275841=ORIENTED_EDGE('',*,*,#200600,.T.); #275842=ORIENTED_EDGE('',*,*,#200597,.T.); #275843=ORIENTED_EDGE('',*,*,#200594,.T.); #275844=ORIENTED_EDGE('',*,*,#200591,.T.); #275845=ORIENTED_EDGE('',*,*,#200588,.T.); #275846=ORIENTED_EDGE('',*,*,#200585,.T.); #275847=ORIENTED_EDGE('',*,*,#200582,.T.); #275848=ORIENTED_EDGE('',*,*,#200579,.T.); #275849=ORIENTED_EDGE('',*,*,#200677,.F.); #275850=ORIENTED_EDGE('',*,*,#200577,.F.); #275851=ORIENTED_EDGE('',*,*,#200581,.F.); #275852=ORIENTED_EDGE('',*,*,#200584,.F.); #275853=ORIENTED_EDGE('',*,*,#200587,.F.); #275854=ORIENTED_EDGE('',*,*,#200590,.F.); #275855=ORIENTED_EDGE('',*,*,#200593,.F.); #275856=ORIENTED_EDGE('',*,*,#200596,.F.); #275857=ORIENTED_EDGE('',*,*,#200599,.F.); #275858=ORIENTED_EDGE('',*,*,#200602,.F.); #275859=ORIENTED_EDGE('',*,*,#200605,.F.); #275860=ORIENTED_EDGE('',*,*,#200608,.F.); #275861=ORIENTED_EDGE('',*,*,#200611,.F.); #275862=ORIENTED_EDGE('',*,*,#200614,.F.); #275863=ORIENTED_EDGE('',*,*,#200617,.F.); #275864=ORIENTED_EDGE('',*,*,#200620,.F.); #275865=ORIENTED_EDGE('',*,*,#200623,.F.); #275866=ORIENTED_EDGE('',*,*,#200626,.F.); #275867=ORIENTED_EDGE('',*,*,#200629,.F.); #275868=ORIENTED_EDGE('',*,*,#200632,.F.); #275869=ORIENTED_EDGE('',*,*,#200635,.F.); #275870=ORIENTED_EDGE('',*,*,#200638,.F.); #275871=ORIENTED_EDGE('',*,*,#200641,.F.); #275872=ORIENTED_EDGE('',*,*,#200644,.F.); #275873=ORIENTED_EDGE('',*,*,#200647,.F.); #275874=ORIENTED_EDGE('',*,*,#200650,.F.); #275875=ORIENTED_EDGE('',*,*,#200653,.F.); #275876=ORIENTED_EDGE('',*,*,#200656,.F.); #275877=ORIENTED_EDGE('',*,*,#200659,.F.); #275878=ORIENTED_EDGE('',*,*,#200662,.F.); #275879=ORIENTED_EDGE('',*,*,#200665,.F.); #275880=ORIENTED_EDGE('',*,*,#200668,.F.); #275881=ORIENTED_EDGE('',*,*,#200671,.F.); #275882=ORIENTED_EDGE('',*,*,#200674,.F.); #275883=ORIENTED_EDGE('',*,*,#200679,.T.); #275884=ORIENTED_EDGE('',*,*,#200680,.T.); #275885=ORIENTED_EDGE('',*,*,#200681,.F.); #275886=ORIENTED_EDGE('',*,*,#200682,.F.); #275887=ORIENTED_EDGE('',*,*,#200683,.T.); #275888=ORIENTED_EDGE('',*,*,#200682,.T.); #275889=ORIENTED_EDGE('',*,*,#200684,.F.); #275890=ORIENTED_EDGE('',*,*,#200685,.F.); #275891=ORIENTED_EDGE('',*,*,#200686,.T.); #275892=ORIENTED_EDGE('',*,*,#200685,.T.); #275893=ORIENTED_EDGE('',*,*,#200687,.F.); #275894=ORIENTED_EDGE('',*,*,#200688,.F.); #275895=ORIENTED_EDGE('',*,*,#200689,.T.); #275896=ORIENTED_EDGE('',*,*,#200688,.T.); #275897=ORIENTED_EDGE('',*,*,#200690,.F.); #275898=ORIENTED_EDGE('',*,*,#200691,.F.); #275899=ORIENTED_EDGE('',*,*,#200692,.T.); #275900=ORIENTED_EDGE('',*,*,#200691,.T.); #275901=ORIENTED_EDGE('',*,*,#200693,.F.); #275902=ORIENTED_EDGE('',*,*,#200694,.F.); #275903=ORIENTED_EDGE('',*,*,#200695,.T.); #275904=ORIENTED_EDGE('',*,*,#200694,.T.); #275905=ORIENTED_EDGE('',*,*,#200696,.F.); #275906=ORIENTED_EDGE('',*,*,#200697,.F.); #275907=ORIENTED_EDGE('',*,*,#200698,.T.); #275908=ORIENTED_EDGE('',*,*,#200697,.T.); #275909=ORIENTED_EDGE('',*,*,#200699,.F.); #275910=ORIENTED_EDGE('',*,*,#200700,.F.); #275911=ORIENTED_EDGE('',*,*,#200701,.T.); #275912=ORIENTED_EDGE('',*,*,#200700,.T.); #275913=ORIENTED_EDGE('',*,*,#200702,.F.); #275914=ORIENTED_EDGE('',*,*,#200703,.F.); #275915=ORIENTED_EDGE('',*,*,#200704,.T.); #275916=ORIENTED_EDGE('',*,*,#200703,.T.); #275917=ORIENTED_EDGE('',*,*,#200705,.F.); #275918=ORIENTED_EDGE('',*,*,#200706,.F.); #275919=ORIENTED_EDGE('',*,*,#200707,.T.); #275920=ORIENTED_EDGE('',*,*,#200706,.T.); #275921=ORIENTED_EDGE('',*,*,#200708,.F.); #275922=ORIENTED_EDGE('',*,*,#200709,.F.); #275923=ORIENTED_EDGE('',*,*,#200710,.T.); #275924=ORIENTED_EDGE('',*,*,#200709,.T.); #275925=ORIENTED_EDGE('',*,*,#200711,.F.); #275926=ORIENTED_EDGE('',*,*,#200712,.F.); #275927=ORIENTED_EDGE('',*,*,#200713,.T.); #275928=ORIENTED_EDGE('',*,*,#200712,.T.); #275929=ORIENTED_EDGE('',*,*,#200714,.F.); #275930=ORIENTED_EDGE('',*,*,#200715,.F.); #275931=ORIENTED_EDGE('',*,*,#200716,.T.); #275932=ORIENTED_EDGE('',*,*,#200715,.T.); #275933=ORIENTED_EDGE('',*,*,#200717,.F.); #275934=ORIENTED_EDGE('',*,*,#200718,.F.); #275935=ORIENTED_EDGE('',*,*,#200719,.T.); #275936=ORIENTED_EDGE('',*,*,#200718,.T.); #275937=ORIENTED_EDGE('',*,*,#200720,.F.); #275938=ORIENTED_EDGE('',*,*,#200721,.F.); #275939=ORIENTED_EDGE('',*,*,#200722,.T.); #275940=ORIENTED_EDGE('',*,*,#200721,.T.); #275941=ORIENTED_EDGE('',*,*,#200723,.F.); #275942=ORIENTED_EDGE('',*,*,#200724,.F.); #275943=ORIENTED_EDGE('',*,*,#200725,.T.); #275944=ORIENTED_EDGE('',*,*,#200724,.T.); #275945=ORIENTED_EDGE('',*,*,#200726,.F.); #275946=ORIENTED_EDGE('',*,*,#200727,.F.); #275947=ORIENTED_EDGE('',*,*,#200728,.T.); #275948=ORIENTED_EDGE('',*,*,#200727,.T.); #275949=ORIENTED_EDGE('',*,*,#200729,.F.); #275950=ORIENTED_EDGE('',*,*,#200730,.F.); #275951=ORIENTED_EDGE('',*,*,#200731,.T.); #275952=ORIENTED_EDGE('',*,*,#200730,.T.); #275953=ORIENTED_EDGE('',*,*,#200732,.F.); #275954=ORIENTED_EDGE('',*,*,#200733,.F.); #275955=ORIENTED_EDGE('',*,*,#200734,.T.); #275956=ORIENTED_EDGE('',*,*,#200733,.T.); #275957=ORIENTED_EDGE('',*,*,#200735,.F.); #275958=ORIENTED_EDGE('',*,*,#200736,.F.); #275959=ORIENTED_EDGE('',*,*,#200737,.T.); #275960=ORIENTED_EDGE('',*,*,#200736,.T.); #275961=ORIENTED_EDGE('',*,*,#200738,.F.); #275962=ORIENTED_EDGE('',*,*,#200739,.F.); #275963=ORIENTED_EDGE('',*,*,#200740,.T.); #275964=ORIENTED_EDGE('',*,*,#200739,.T.); #275965=ORIENTED_EDGE('',*,*,#200741,.F.); #275966=ORIENTED_EDGE('',*,*,#200742,.F.); #275967=ORIENTED_EDGE('',*,*,#200743,.T.); #275968=ORIENTED_EDGE('',*,*,#200742,.T.); #275969=ORIENTED_EDGE('',*,*,#200744,.F.); #275970=ORIENTED_EDGE('',*,*,#200680,.F.); #275971=ORIENTED_EDGE('',*,*,#200744,.T.); #275972=ORIENTED_EDGE('',*,*,#200741,.T.); #275973=ORIENTED_EDGE('',*,*,#200738,.T.); #275974=ORIENTED_EDGE('',*,*,#200735,.T.); #275975=ORIENTED_EDGE('',*,*,#200732,.T.); #275976=ORIENTED_EDGE('',*,*,#200729,.T.); #275977=ORIENTED_EDGE('',*,*,#200726,.T.); #275978=ORIENTED_EDGE('',*,*,#200723,.T.); #275979=ORIENTED_EDGE('',*,*,#200720,.T.); #275980=ORIENTED_EDGE('',*,*,#200717,.T.); #275981=ORIENTED_EDGE('',*,*,#200714,.T.); #275982=ORIENTED_EDGE('',*,*,#200711,.T.); #275983=ORIENTED_EDGE('',*,*,#200708,.T.); #275984=ORIENTED_EDGE('',*,*,#200705,.T.); #275985=ORIENTED_EDGE('',*,*,#200702,.T.); #275986=ORIENTED_EDGE('',*,*,#200699,.T.); #275987=ORIENTED_EDGE('',*,*,#200696,.T.); #275988=ORIENTED_EDGE('',*,*,#200693,.T.); #275989=ORIENTED_EDGE('',*,*,#200690,.T.); #275990=ORIENTED_EDGE('',*,*,#200687,.T.); #275991=ORIENTED_EDGE('',*,*,#200684,.T.); #275992=ORIENTED_EDGE('',*,*,#200681,.T.); #275993=ORIENTED_EDGE('',*,*,#200743,.F.); #275994=ORIENTED_EDGE('',*,*,#200679,.F.); #275995=ORIENTED_EDGE('',*,*,#200683,.F.); #275996=ORIENTED_EDGE('',*,*,#200686,.F.); #275997=ORIENTED_EDGE('',*,*,#200689,.F.); #275998=ORIENTED_EDGE('',*,*,#200692,.F.); #275999=ORIENTED_EDGE('',*,*,#200695,.F.); #276000=ORIENTED_EDGE('',*,*,#200698,.F.); #276001=ORIENTED_EDGE('',*,*,#200701,.F.); #276002=ORIENTED_EDGE('',*,*,#200704,.F.); #276003=ORIENTED_EDGE('',*,*,#200707,.F.); #276004=ORIENTED_EDGE('',*,*,#200710,.F.); #276005=ORIENTED_EDGE('',*,*,#200713,.F.); #276006=ORIENTED_EDGE('',*,*,#200716,.F.); #276007=ORIENTED_EDGE('',*,*,#200719,.F.); #276008=ORIENTED_EDGE('',*,*,#200722,.F.); #276009=ORIENTED_EDGE('',*,*,#200725,.F.); #276010=ORIENTED_EDGE('',*,*,#200728,.F.); #276011=ORIENTED_EDGE('',*,*,#200731,.F.); #276012=ORIENTED_EDGE('',*,*,#200734,.F.); #276013=ORIENTED_EDGE('',*,*,#200737,.F.); #276014=ORIENTED_EDGE('',*,*,#200740,.F.); #276015=ORIENTED_EDGE('',*,*,#200745,.T.); #276016=ORIENTED_EDGE('',*,*,#200746,.T.); #276017=ORIENTED_EDGE('',*,*,#200747,.F.); #276018=ORIENTED_EDGE('',*,*,#200748,.F.); #276019=ORIENTED_EDGE('',*,*,#200749,.T.); #276020=ORIENTED_EDGE('',*,*,#200748,.T.); #276021=ORIENTED_EDGE('',*,*,#200750,.F.); #276022=ORIENTED_EDGE('',*,*,#200751,.F.); #276023=ORIENTED_EDGE('',*,*,#200752,.T.); #276024=ORIENTED_EDGE('',*,*,#200751,.T.); #276025=ORIENTED_EDGE('',*,*,#200753,.F.); #276026=ORIENTED_EDGE('',*,*,#200754,.F.); #276027=ORIENTED_EDGE('',*,*,#200755,.T.); #276028=ORIENTED_EDGE('',*,*,#200754,.T.); #276029=ORIENTED_EDGE('',*,*,#200756,.F.); #276030=ORIENTED_EDGE('',*,*,#200757,.F.); #276031=ORIENTED_EDGE('',*,*,#200758,.T.); #276032=ORIENTED_EDGE('',*,*,#200757,.T.); #276033=ORIENTED_EDGE('',*,*,#200759,.F.); #276034=ORIENTED_EDGE('',*,*,#200760,.F.); #276035=ORIENTED_EDGE('',*,*,#200761,.T.); #276036=ORIENTED_EDGE('',*,*,#200760,.T.); #276037=ORIENTED_EDGE('',*,*,#200762,.F.); #276038=ORIENTED_EDGE('',*,*,#200763,.F.); #276039=ORIENTED_EDGE('',*,*,#200764,.T.); #276040=ORIENTED_EDGE('',*,*,#200763,.T.); #276041=ORIENTED_EDGE('',*,*,#200765,.F.); #276042=ORIENTED_EDGE('',*,*,#200766,.F.); #276043=ORIENTED_EDGE('',*,*,#200767,.T.); #276044=ORIENTED_EDGE('',*,*,#200766,.T.); #276045=ORIENTED_EDGE('',*,*,#200768,.F.); #276046=ORIENTED_EDGE('',*,*,#200769,.F.); #276047=ORIENTED_EDGE('',*,*,#200770,.T.); #276048=ORIENTED_EDGE('',*,*,#200769,.T.); #276049=ORIENTED_EDGE('',*,*,#200771,.F.); #276050=ORIENTED_EDGE('',*,*,#200772,.F.); #276051=ORIENTED_EDGE('',*,*,#200773,.T.); #276052=ORIENTED_EDGE('',*,*,#200772,.T.); #276053=ORIENTED_EDGE('',*,*,#200774,.F.); #276054=ORIENTED_EDGE('',*,*,#200775,.F.); #276055=ORIENTED_EDGE('',*,*,#200776,.T.); #276056=ORIENTED_EDGE('',*,*,#200775,.T.); #276057=ORIENTED_EDGE('',*,*,#200777,.F.); #276058=ORIENTED_EDGE('',*,*,#200778,.F.); #276059=ORIENTED_EDGE('',*,*,#200779,.T.); #276060=ORIENTED_EDGE('',*,*,#200778,.T.); #276061=ORIENTED_EDGE('',*,*,#200780,.F.); #276062=ORIENTED_EDGE('',*,*,#200781,.F.); #276063=ORIENTED_EDGE('',*,*,#200782,.T.); #276064=ORIENTED_EDGE('',*,*,#200781,.T.); #276065=ORIENTED_EDGE('',*,*,#200783,.F.); #276066=ORIENTED_EDGE('',*,*,#200784,.F.); #276067=ORIENTED_EDGE('',*,*,#200785,.T.); #276068=ORIENTED_EDGE('',*,*,#200784,.T.); #276069=ORIENTED_EDGE('',*,*,#200786,.F.); #276070=ORIENTED_EDGE('',*,*,#200787,.F.); #276071=ORIENTED_EDGE('',*,*,#200788,.T.); #276072=ORIENTED_EDGE('',*,*,#200787,.T.); #276073=ORIENTED_EDGE('',*,*,#200789,.F.); #276074=ORIENTED_EDGE('',*,*,#200790,.F.); #276075=ORIENTED_EDGE('',*,*,#200791,.T.); #276076=ORIENTED_EDGE('',*,*,#200790,.T.); #276077=ORIENTED_EDGE('',*,*,#200792,.F.); #276078=ORIENTED_EDGE('',*,*,#200793,.F.); #276079=ORIENTED_EDGE('',*,*,#200794,.T.); #276080=ORIENTED_EDGE('',*,*,#200793,.T.); #276081=ORIENTED_EDGE('',*,*,#200795,.F.); #276082=ORIENTED_EDGE('',*,*,#200796,.F.); #276083=ORIENTED_EDGE('',*,*,#200797,.T.); #276084=ORIENTED_EDGE('',*,*,#200796,.T.); #276085=ORIENTED_EDGE('',*,*,#200798,.F.); #276086=ORIENTED_EDGE('',*,*,#200799,.F.); #276087=ORIENTED_EDGE('',*,*,#200800,.T.); #276088=ORIENTED_EDGE('',*,*,#200799,.T.); #276089=ORIENTED_EDGE('',*,*,#200801,.F.); #276090=ORIENTED_EDGE('',*,*,#200802,.F.); #276091=ORIENTED_EDGE('',*,*,#200803,.T.); #276092=ORIENTED_EDGE('',*,*,#200802,.T.); #276093=ORIENTED_EDGE('',*,*,#200804,.F.); #276094=ORIENTED_EDGE('',*,*,#200746,.F.); #276095=ORIENTED_EDGE('',*,*,#200804,.T.); #276096=ORIENTED_EDGE('',*,*,#200801,.T.); #276097=ORIENTED_EDGE('',*,*,#200798,.T.); #276098=ORIENTED_EDGE('',*,*,#200795,.T.); #276099=ORIENTED_EDGE('',*,*,#200792,.T.); #276100=ORIENTED_EDGE('',*,*,#200789,.T.); #276101=ORIENTED_EDGE('',*,*,#200786,.T.); #276102=ORIENTED_EDGE('',*,*,#200783,.T.); #276103=ORIENTED_EDGE('',*,*,#200780,.T.); #276104=ORIENTED_EDGE('',*,*,#200777,.T.); #276105=ORIENTED_EDGE('',*,*,#200774,.T.); #276106=ORIENTED_EDGE('',*,*,#200771,.T.); #276107=ORIENTED_EDGE('',*,*,#200768,.T.); #276108=ORIENTED_EDGE('',*,*,#200765,.T.); #276109=ORIENTED_EDGE('',*,*,#200762,.T.); #276110=ORIENTED_EDGE('',*,*,#200759,.T.); #276111=ORIENTED_EDGE('',*,*,#200756,.T.); #276112=ORIENTED_EDGE('',*,*,#200753,.T.); #276113=ORIENTED_EDGE('',*,*,#200750,.T.); #276114=ORIENTED_EDGE('',*,*,#200747,.T.); #276115=ORIENTED_EDGE('',*,*,#200803,.F.); #276116=ORIENTED_EDGE('',*,*,#200745,.F.); #276117=ORIENTED_EDGE('',*,*,#200749,.F.); #276118=ORIENTED_EDGE('',*,*,#200752,.F.); #276119=ORIENTED_EDGE('',*,*,#200755,.F.); #276120=ORIENTED_EDGE('',*,*,#200758,.F.); #276121=ORIENTED_EDGE('',*,*,#200761,.F.); #276122=ORIENTED_EDGE('',*,*,#200764,.F.); #276123=ORIENTED_EDGE('',*,*,#200767,.F.); #276124=ORIENTED_EDGE('',*,*,#200770,.F.); #276125=ORIENTED_EDGE('',*,*,#200773,.F.); #276126=ORIENTED_EDGE('',*,*,#200776,.F.); #276127=ORIENTED_EDGE('',*,*,#200779,.F.); #276128=ORIENTED_EDGE('',*,*,#200782,.F.); #276129=ORIENTED_EDGE('',*,*,#200785,.F.); #276130=ORIENTED_EDGE('',*,*,#200788,.F.); #276131=ORIENTED_EDGE('',*,*,#200791,.F.); #276132=ORIENTED_EDGE('',*,*,#200794,.F.); #276133=ORIENTED_EDGE('',*,*,#200797,.F.); #276134=ORIENTED_EDGE('',*,*,#200800,.F.); #276135=ORIENTED_EDGE('',*,*,#200805,.T.); #276136=ORIENTED_EDGE('',*,*,#200806,.T.); #276137=ORIENTED_EDGE('',*,*,#200807,.F.); #276138=ORIENTED_EDGE('',*,*,#200808,.F.); #276139=ORIENTED_EDGE('',*,*,#200809,.T.); #276140=ORIENTED_EDGE('',*,*,#200808,.T.); #276141=ORIENTED_EDGE('',*,*,#200810,.F.); #276142=ORIENTED_EDGE('',*,*,#200811,.F.); #276143=ORIENTED_EDGE('',*,*,#200812,.T.); #276144=ORIENTED_EDGE('',*,*,#200811,.T.); #276145=ORIENTED_EDGE('',*,*,#200813,.F.); #276146=ORIENTED_EDGE('',*,*,#200814,.F.); #276147=ORIENTED_EDGE('',*,*,#200815,.T.); #276148=ORIENTED_EDGE('',*,*,#200814,.T.); #276149=ORIENTED_EDGE('',*,*,#200816,.F.); #276150=ORIENTED_EDGE('',*,*,#200817,.F.); #276151=ORIENTED_EDGE('',*,*,#200818,.T.); #276152=ORIENTED_EDGE('',*,*,#200817,.T.); #276153=ORIENTED_EDGE('',*,*,#200819,.F.); #276154=ORIENTED_EDGE('',*,*,#200820,.F.); #276155=ORIENTED_EDGE('',*,*,#200821,.T.); #276156=ORIENTED_EDGE('',*,*,#200820,.T.); #276157=ORIENTED_EDGE('',*,*,#200822,.F.); #276158=ORIENTED_EDGE('',*,*,#200823,.F.); #276159=ORIENTED_EDGE('',*,*,#200824,.T.); #276160=ORIENTED_EDGE('',*,*,#200823,.T.); #276161=ORIENTED_EDGE('',*,*,#200825,.F.); #276162=ORIENTED_EDGE('',*,*,#200826,.F.); #276163=ORIENTED_EDGE('',*,*,#200827,.T.); #276164=ORIENTED_EDGE('',*,*,#200826,.T.); #276165=ORIENTED_EDGE('',*,*,#200828,.F.); #276166=ORIENTED_EDGE('',*,*,#200829,.F.); #276167=ORIENTED_EDGE('',*,*,#200830,.T.); #276168=ORIENTED_EDGE('',*,*,#200829,.T.); #276169=ORIENTED_EDGE('',*,*,#200831,.F.); #276170=ORIENTED_EDGE('',*,*,#200832,.F.); #276171=ORIENTED_EDGE('',*,*,#200833,.T.); #276172=ORIENTED_EDGE('',*,*,#200832,.T.); #276173=ORIENTED_EDGE('',*,*,#200834,.F.); #276174=ORIENTED_EDGE('',*,*,#200835,.F.); #276175=ORIENTED_EDGE('',*,*,#200836,.T.); #276176=ORIENTED_EDGE('',*,*,#200835,.T.); #276177=ORIENTED_EDGE('',*,*,#200837,.F.); #276178=ORIENTED_EDGE('',*,*,#200838,.F.); #276179=ORIENTED_EDGE('',*,*,#200839,.T.); #276180=ORIENTED_EDGE('',*,*,#200838,.T.); #276181=ORIENTED_EDGE('',*,*,#200840,.F.); #276182=ORIENTED_EDGE('',*,*,#200841,.F.); #276183=ORIENTED_EDGE('',*,*,#200842,.T.); #276184=ORIENTED_EDGE('',*,*,#200841,.T.); #276185=ORIENTED_EDGE('',*,*,#200843,.F.); #276186=ORIENTED_EDGE('',*,*,#200844,.F.); #276187=ORIENTED_EDGE('',*,*,#200845,.T.); #276188=ORIENTED_EDGE('',*,*,#200844,.T.); #276189=ORIENTED_EDGE('',*,*,#200846,.F.); #276190=ORIENTED_EDGE('',*,*,#200847,.F.); #276191=ORIENTED_EDGE('',*,*,#200848,.T.); #276192=ORIENTED_EDGE('',*,*,#200847,.T.); #276193=ORIENTED_EDGE('',*,*,#200849,.F.); #276194=ORIENTED_EDGE('',*,*,#200850,.F.); #276195=ORIENTED_EDGE('',*,*,#200851,.T.); #276196=ORIENTED_EDGE('',*,*,#200850,.T.); #276197=ORIENTED_EDGE('',*,*,#200852,.F.); #276198=ORIENTED_EDGE('',*,*,#200853,.F.); #276199=ORIENTED_EDGE('',*,*,#200854,.T.); #276200=ORIENTED_EDGE('',*,*,#200853,.T.); #276201=ORIENTED_EDGE('',*,*,#200855,.F.); #276202=ORIENTED_EDGE('',*,*,#200856,.F.); #276203=ORIENTED_EDGE('',*,*,#200857,.T.); #276204=ORIENTED_EDGE('',*,*,#200856,.T.); #276205=ORIENTED_EDGE('',*,*,#200858,.F.); #276206=ORIENTED_EDGE('',*,*,#200859,.F.); #276207=ORIENTED_EDGE('',*,*,#200860,.T.); #276208=ORIENTED_EDGE('',*,*,#200859,.T.); #276209=ORIENTED_EDGE('',*,*,#200861,.F.); #276210=ORIENTED_EDGE('',*,*,#200862,.F.); #276211=ORIENTED_EDGE('',*,*,#200863,.T.); #276212=ORIENTED_EDGE('',*,*,#200862,.T.); #276213=ORIENTED_EDGE('',*,*,#200864,.F.); #276214=ORIENTED_EDGE('',*,*,#200865,.F.); #276215=ORIENTED_EDGE('',*,*,#200866,.T.); #276216=ORIENTED_EDGE('',*,*,#200865,.T.); #276217=ORIENTED_EDGE('',*,*,#200867,.F.); #276218=ORIENTED_EDGE('',*,*,#200868,.F.); #276219=ORIENTED_EDGE('',*,*,#200869,.T.); #276220=ORIENTED_EDGE('',*,*,#200868,.T.); #276221=ORIENTED_EDGE('',*,*,#200870,.F.); #276222=ORIENTED_EDGE('',*,*,#200871,.F.); #276223=ORIENTED_EDGE('',*,*,#200872,.T.); #276224=ORIENTED_EDGE('',*,*,#200871,.T.); #276225=ORIENTED_EDGE('',*,*,#200873,.F.); #276226=ORIENTED_EDGE('',*,*,#200874,.F.); #276227=ORIENTED_EDGE('',*,*,#200875,.T.); #276228=ORIENTED_EDGE('',*,*,#200874,.T.); #276229=ORIENTED_EDGE('',*,*,#200876,.F.); #276230=ORIENTED_EDGE('',*,*,#200877,.F.); #276231=ORIENTED_EDGE('',*,*,#200878,.T.); #276232=ORIENTED_EDGE('',*,*,#200877,.T.); #276233=ORIENTED_EDGE('',*,*,#200879,.F.); #276234=ORIENTED_EDGE('',*,*,#200880,.F.); #276235=ORIENTED_EDGE('',*,*,#200881,.T.); #276236=ORIENTED_EDGE('',*,*,#200880,.T.); #276237=ORIENTED_EDGE('',*,*,#200882,.F.); #276238=ORIENTED_EDGE('',*,*,#200883,.F.); #276239=ORIENTED_EDGE('',*,*,#200884,.T.); #276240=ORIENTED_EDGE('',*,*,#200883,.T.); #276241=ORIENTED_EDGE('',*,*,#200885,.F.); #276242=ORIENTED_EDGE('',*,*,#200886,.F.); #276243=ORIENTED_EDGE('',*,*,#200887,.T.); #276244=ORIENTED_EDGE('',*,*,#200886,.T.); #276245=ORIENTED_EDGE('',*,*,#200888,.F.); #276246=ORIENTED_EDGE('',*,*,#200889,.F.); #276247=ORIENTED_EDGE('',*,*,#200890,.T.); #276248=ORIENTED_EDGE('',*,*,#200889,.T.); #276249=ORIENTED_EDGE('',*,*,#200891,.F.); #276250=ORIENTED_EDGE('',*,*,#200892,.F.); #276251=ORIENTED_EDGE('',*,*,#200893,.T.); #276252=ORIENTED_EDGE('',*,*,#200892,.T.); #276253=ORIENTED_EDGE('',*,*,#200894,.F.); #276254=ORIENTED_EDGE('',*,*,#200895,.F.); #276255=ORIENTED_EDGE('',*,*,#200896,.T.); #276256=ORIENTED_EDGE('',*,*,#200895,.T.); #276257=ORIENTED_EDGE('',*,*,#200897,.F.); #276258=ORIENTED_EDGE('',*,*,#200898,.F.); #276259=ORIENTED_EDGE('',*,*,#200899,.T.); #276260=ORIENTED_EDGE('',*,*,#200898,.T.); #276261=ORIENTED_EDGE('',*,*,#200900,.F.); #276262=ORIENTED_EDGE('',*,*,#200901,.F.); #276263=ORIENTED_EDGE('',*,*,#200902,.T.); #276264=ORIENTED_EDGE('',*,*,#200901,.T.); #276265=ORIENTED_EDGE('',*,*,#200903,.F.); #276266=ORIENTED_EDGE('',*,*,#200904,.F.); #276267=ORIENTED_EDGE('',*,*,#200905,.T.); #276268=ORIENTED_EDGE('',*,*,#200904,.T.); #276269=ORIENTED_EDGE('',*,*,#200906,.F.); #276270=ORIENTED_EDGE('',*,*,#200907,.F.); #276271=ORIENTED_EDGE('',*,*,#200908,.T.); #276272=ORIENTED_EDGE('',*,*,#200907,.T.); #276273=ORIENTED_EDGE('',*,*,#200909,.F.); #276274=ORIENTED_EDGE('',*,*,#200910,.F.); #276275=ORIENTED_EDGE('',*,*,#200911,.T.); #276276=ORIENTED_EDGE('',*,*,#200910,.T.); #276277=ORIENTED_EDGE('',*,*,#200912,.F.); #276278=ORIENTED_EDGE('',*,*,#200913,.F.); #276279=ORIENTED_EDGE('',*,*,#200914,.T.); #276280=ORIENTED_EDGE('',*,*,#200913,.T.); #276281=ORIENTED_EDGE('',*,*,#200915,.F.); #276282=ORIENTED_EDGE('',*,*,#200916,.F.); #276283=ORIENTED_EDGE('',*,*,#200917,.T.); #276284=ORIENTED_EDGE('',*,*,#200916,.T.); #276285=ORIENTED_EDGE('',*,*,#200918,.F.); #276286=ORIENTED_EDGE('',*,*,#200919,.F.); #276287=ORIENTED_EDGE('',*,*,#200920,.T.); #276288=ORIENTED_EDGE('',*,*,#200919,.T.); #276289=ORIENTED_EDGE('',*,*,#200921,.F.); #276290=ORIENTED_EDGE('',*,*,#200922,.F.); #276291=ORIENTED_EDGE('',*,*,#200923,.T.); #276292=ORIENTED_EDGE('',*,*,#200922,.T.); #276293=ORIENTED_EDGE('',*,*,#200924,.F.); #276294=ORIENTED_EDGE('',*,*,#200925,.F.); #276295=ORIENTED_EDGE('',*,*,#200926,.T.); #276296=ORIENTED_EDGE('',*,*,#200925,.T.); #276297=ORIENTED_EDGE('',*,*,#200927,.F.); #276298=ORIENTED_EDGE('',*,*,#200928,.F.); #276299=ORIENTED_EDGE('',*,*,#200929,.T.); #276300=ORIENTED_EDGE('',*,*,#200928,.T.); #276301=ORIENTED_EDGE('',*,*,#200930,.F.); #276302=ORIENTED_EDGE('',*,*,#200931,.F.); #276303=ORIENTED_EDGE('',*,*,#200932,.T.); #276304=ORIENTED_EDGE('',*,*,#200931,.T.); #276305=ORIENTED_EDGE('',*,*,#200933,.F.); #276306=ORIENTED_EDGE('',*,*,#200934,.F.); #276307=ORIENTED_EDGE('',*,*,#200935,.T.); #276308=ORIENTED_EDGE('',*,*,#200934,.T.); #276309=ORIENTED_EDGE('',*,*,#200936,.F.); #276310=ORIENTED_EDGE('',*,*,#200937,.F.); #276311=ORIENTED_EDGE('',*,*,#200938,.T.); #276312=ORIENTED_EDGE('',*,*,#200937,.T.); #276313=ORIENTED_EDGE('',*,*,#200939,.F.); #276314=ORIENTED_EDGE('',*,*,#200940,.F.); #276315=ORIENTED_EDGE('',*,*,#200941,.T.); #276316=ORIENTED_EDGE('',*,*,#200940,.T.); #276317=ORIENTED_EDGE('',*,*,#200942,.F.); #276318=ORIENTED_EDGE('',*,*,#200943,.F.); #276319=ORIENTED_EDGE('',*,*,#200944,.T.); #276320=ORIENTED_EDGE('',*,*,#200943,.T.); #276321=ORIENTED_EDGE('',*,*,#200945,.F.); #276322=ORIENTED_EDGE('',*,*,#200946,.F.); #276323=ORIENTED_EDGE('',*,*,#200947,.T.); #276324=ORIENTED_EDGE('',*,*,#200946,.T.); #276325=ORIENTED_EDGE('',*,*,#200948,.F.); #276326=ORIENTED_EDGE('',*,*,#200949,.F.); #276327=ORIENTED_EDGE('',*,*,#200950,.T.); #276328=ORIENTED_EDGE('',*,*,#200949,.T.); #276329=ORIENTED_EDGE('',*,*,#200951,.F.); #276330=ORIENTED_EDGE('',*,*,#200952,.F.); #276331=ORIENTED_EDGE('',*,*,#200953,.T.); #276332=ORIENTED_EDGE('',*,*,#200952,.T.); #276333=ORIENTED_EDGE('',*,*,#200954,.F.); #276334=ORIENTED_EDGE('',*,*,#200955,.F.); #276335=ORIENTED_EDGE('',*,*,#200956,.T.); #276336=ORIENTED_EDGE('',*,*,#200955,.T.); #276337=ORIENTED_EDGE('',*,*,#200957,.F.); #276338=ORIENTED_EDGE('',*,*,#200958,.F.); #276339=ORIENTED_EDGE('',*,*,#200959,.T.); #276340=ORIENTED_EDGE('',*,*,#200958,.T.); #276341=ORIENTED_EDGE('',*,*,#200960,.F.); #276342=ORIENTED_EDGE('',*,*,#200961,.F.); #276343=ORIENTED_EDGE('',*,*,#200962,.T.); #276344=ORIENTED_EDGE('',*,*,#200961,.T.); #276345=ORIENTED_EDGE('',*,*,#200963,.F.); #276346=ORIENTED_EDGE('',*,*,#200964,.F.); #276347=ORIENTED_EDGE('',*,*,#200965,.T.); #276348=ORIENTED_EDGE('',*,*,#200964,.T.); #276349=ORIENTED_EDGE('',*,*,#200966,.F.); #276350=ORIENTED_EDGE('',*,*,#200967,.F.); #276351=ORIENTED_EDGE('',*,*,#200968,.T.); #276352=ORIENTED_EDGE('',*,*,#200967,.T.); #276353=ORIENTED_EDGE('',*,*,#200969,.F.); #276354=ORIENTED_EDGE('',*,*,#200970,.F.); #276355=ORIENTED_EDGE('',*,*,#200971,.T.); #276356=ORIENTED_EDGE('',*,*,#200970,.T.); #276357=ORIENTED_EDGE('',*,*,#200972,.F.); #276358=ORIENTED_EDGE('',*,*,#200973,.F.); #276359=ORIENTED_EDGE('',*,*,#200974,.T.); #276360=ORIENTED_EDGE('',*,*,#200973,.T.); #276361=ORIENTED_EDGE('',*,*,#200975,.F.); #276362=ORIENTED_EDGE('',*,*,#200976,.F.); #276363=ORIENTED_EDGE('',*,*,#200977,.T.); #276364=ORIENTED_EDGE('',*,*,#200976,.T.); #276365=ORIENTED_EDGE('',*,*,#200978,.F.); #276366=ORIENTED_EDGE('',*,*,#200979,.F.); #276367=ORIENTED_EDGE('',*,*,#200980,.T.); #276368=ORIENTED_EDGE('',*,*,#200979,.T.); #276369=ORIENTED_EDGE('',*,*,#200981,.F.); #276370=ORIENTED_EDGE('',*,*,#200982,.F.); #276371=ORIENTED_EDGE('',*,*,#200983,.T.); #276372=ORIENTED_EDGE('',*,*,#200982,.T.); #276373=ORIENTED_EDGE('',*,*,#200984,.F.); #276374=ORIENTED_EDGE('',*,*,#200985,.F.); #276375=ORIENTED_EDGE('',*,*,#200986,.T.); #276376=ORIENTED_EDGE('',*,*,#200985,.T.); #276377=ORIENTED_EDGE('',*,*,#200987,.F.); #276378=ORIENTED_EDGE('',*,*,#200988,.F.); #276379=ORIENTED_EDGE('',*,*,#200989,.T.); #276380=ORIENTED_EDGE('',*,*,#200988,.T.); #276381=ORIENTED_EDGE('',*,*,#200990,.F.); #276382=ORIENTED_EDGE('',*,*,#200991,.F.); #276383=ORIENTED_EDGE('',*,*,#200992,.T.); #276384=ORIENTED_EDGE('',*,*,#200991,.T.); #276385=ORIENTED_EDGE('',*,*,#200993,.F.); #276386=ORIENTED_EDGE('',*,*,#200994,.F.); #276387=ORIENTED_EDGE('',*,*,#200995,.T.); #276388=ORIENTED_EDGE('',*,*,#200994,.T.); #276389=ORIENTED_EDGE('',*,*,#200996,.F.); #276390=ORIENTED_EDGE('',*,*,#200997,.F.); #276391=ORIENTED_EDGE('',*,*,#200998,.T.); #276392=ORIENTED_EDGE('',*,*,#200997,.T.); #276393=ORIENTED_EDGE('',*,*,#200999,.F.); #276394=ORIENTED_EDGE('',*,*,#201000,.F.); #276395=ORIENTED_EDGE('',*,*,#201001,.T.); #276396=ORIENTED_EDGE('',*,*,#201000,.T.); #276397=ORIENTED_EDGE('',*,*,#201002,.F.); #276398=ORIENTED_EDGE('',*,*,#201003,.F.); #276399=ORIENTED_EDGE('',*,*,#201004,.T.); #276400=ORIENTED_EDGE('',*,*,#201003,.T.); #276401=ORIENTED_EDGE('',*,*,#201005,.F.); #276402=ORIENTED_EDGE('',*,*,#201006,.F.); #276403=ORIENTED_EDGE('',*,*,#201007,.T.); #276404=ORIENTED_EDGE('',*,*,#201006,.T.); #276405=ORIENTED_EDGE('',*,*,#201008,.F.); #276406=ORIENTED_EDGE('',*,*,#201009,.F.); #276407=ORIENTED_EDGE('',*,*,#201010,.T.); #276408=ORIENTED_EDGE('',*,*,#201009,.T.); #276409=ORIENTED_EDGE('',*,*,#201011,.F.); #276410=ORIENTED_EDGE('',*,*,#201012,.F.); #276411=ORIENTED_EDGE('',*,*,#201013,.T.); #276412=ORIENTED_EDGE('',*,*,#201012,.T.); #276413=ORIENTED_EDGE('',*,*,#201014,.F.); #276414=ORIENTED_EDGE('',*,*,#201015,.F.); #276415=ORIENTED_EDGE('',*,*,#201016,.T.); #276416=ORIENTED_EDGE('',*,*,#201015,.T.); #276417=ORIENTED_EDGE('',*,*,#201017,.F.); #276418=ORIENTED_EDGE('',*,*,#201018,.F.); #276419=ORIENTED_EDGE('',*,*,#201019,.T.); #276420=ORIENTED_EDGE('',*,*,#201018,.T.); #276421=ORIENTED_EDGE('',*,*,#201020,.F.); #276422=ORIENTED_EDGE('',*,*,#200806,.F.); #276423=ORIENTED_EDGE('',*,*,#201020,.T.); #276424=ORIENTED_EDGE('',*,*,#201017,.T.); #276425=ORIENTED_EDGE('',*,*,#201014,.T.); #276426=ORIENTED_EDGE('',*,*,#201011,.T.); #276427=ORIENTED_EDGE('',*,*,#201008,.T.); #276428=ORIENTED_EDGE('',*,*,#201005,.T.); #276429=ORIENTED_EDGE('',*,*,#201002,.T.); #276430=ORIENTED_EDGE('',*,*,#200999,.T.); #276431=ORIENTED_EDGE('',*,*,#200996,.T.); #276432=ORIENTED_EDGE('',*,*,#200993,.T.); #276433=ORIENTED_EDGE('',*,*,#200990,.T.); #276434=ORIENTED_EDGE('',*,*,#200987,.T.); #276435=ORIENTED_EDGE('',*,*,#200984,.T.); #276436=ORIENTED_EDGE('',*,*,#200981,.T.); #276437=ORIENTED_EDGE('',*,*,#200978,.T.); #276438=ORIENTED_EDGE('',*,*,#200975,.T.); #276439=ORIENTED_EDGE('',*,*,#200972,.T.); #276440=ORIENTED_EDGE('',*,*,#200969,.T.); #276441=ORIENTED_EDGE('',*,*,#200966,.T.); #276442=ORIENTED_EDGE('',*,*,#200963,.T.); #276443=ORIENTED_EDGE('',*,*,#200960,.T.); #276444=ORIENTED_EDGE('',*,*,#200957,.T.); #276445=ORIENTED_EDGE('',*,*,#200954,.T.); #276446=ORIENTED_EDGE('',*,*,#200951,.T.); #276447=ORIENTED_EDGE('',*,*,#200948,.T.); #276448=ORIENTED_EDGE('',*,*,#200945,.T.); #276449=ORIENTED_EDGE('',*,*,#200942,.T.); #276450=ORIENTED_EDGE('',*,*,#200939,.T.); #276451=ORIENTED_EDGE('',*,*,#200936,.T.); #276452=ORIENTED_EDGE('',*,*,#200933,.T.); #276453=ORIENTED_EDGE('',*,*,#200930,.T.); #276454=ORIENTED_EDGE('',*,*,#200927,.T.); #276455=ORIENTED_EDGE('',*,*,#200924,.T.); #276456=ORIENTED_EDGE('',*,*,#200921,.T.); #276457=ORIENTED_EDGE('',*,*,#200918,.T.); #276458=ORIENTED_EDGE('',*,*,#200915,.T.); #276459=ORIENTED_EDGE('',*,*,#200912,.T.); #276460=ORIENTED_EDGE('',*,*,#200909,.T.); #276461=ORIENTED_EDGE('',*,*,#200906,.T.); #276462=ORIENTED_EDGE('',*,*,#200903,.T.); #276463=ORIENTED_EDGE('',*,*,#200900,.T.); #276464=ORIENTED_EDGE('',*,*,#200897,.T.); #276465=ORIENTED_EDGE('',*,*,#200894,.T.); #276466=ORIENTED_EDGE('',*,*,#200891,.T.); #276467=ORIENTED_EDGE('',*,*,#200888,.T.); #276468=ORIENTED_EDGE('',*,*,#200885,.T.); #276469=ORIENTED_EDGE('',*,*,#200882,.T.); #276470=ORIENTED_EDGE('',*,*,#200879,.T.); #276471=ORIENTED_EDGE('',*,*,#200876,.T.); #276472=ORIENTED_EDGE('',*,*,#200873,.T.); #276473=ORIENTED_EDGE('',*,*,#200870,.T.); #276474=ORIENTED_EDGE('',*,*,#200867,.T.); #276475=ORIENTED_EDGE('',*,*,#200864,.T.); #276476=ORIENTED_EDGE('',*,*,#200861,.T.); #276477=ORIENTED_EDGE('',*,*,#200858,.T.); #276478=ORIENTED_EDGE('',*,*,#200855,.T.); #276479=ORIENTED_EDGE('',*,*,#200852,.T.); #276480=ORIENTED_EDGE('',*,*,#200849,.T.); #276481=ORIENTED_EDGE('',*,*,#200846,.T.); #276482=ORIENTED_EDGE('',*,*,#200843,.T.); #276483=ORIENTED_EDGE('',*,*,#200840,.T.); #276484=ORIENTED_EDGE('',*,*,#200837,.T.); #276485=ORIENTED_EDGE('',*,*,#200834,.T.); #276486=ORIENTED_EDGE('',*,*,#200831,.T.); #276487=ORIENTED_EDGE('',*,*,#200828,.T.); #276488=ORIENTED_EDGE('',*,*,#200825,.T.); #276489=ORIENTED_EDGE('',*,*,#200822,.T.); #276490=ORIENTED_EDGE('',*,*,#200819,.T.); #276491=ORIENTED_EDGE('',*,*,#200816,.T.); #276492=ORIENTED_EDGE('',*,*,#200813,.T.); #276493=ORIENTED_EDGE('',*,*,#200810,.T.); #276494=ORIENTED_EDGE('',*,*,#200807,.T.); #276495=ORIENTED_EDGE('',*,*,#201019,.F.); #276496=ORIENTED_EDGE('',*,*,#200805,.F.); #276497=ORIENTED_EDGE('',*,*,#200809,.F.); #276498=ORIENTED_EDGE('',*,*,#200812,.F.); #276499=ORIENTED_EDGE('',*,*,#200815,.F.); #276500=ORIENTED_EDGE('',*,*,#200818,.F.); #276501=ORIENTED_EDGE('',*,*,#200821,.F.); #276502=ORIENTED_EDGE('',*,*,#200824,.F.); #276503=ORIENTED_EDGE('',*,*,#200827,.F.); #276504=ORIENTED_EDGE('',*,*,#200830,.F.); #276505=ORIENTED_EDGE('',*,*,#200833,.F.); #276506=ORIENTED_EDGE('',*,*,#200836,.F.); #276507=ORIENTED_EDGE('',*,*,#200839,.F.); #276508=ORIENTED_EDGE('',*,*,#200842,.F.); #276509=ORIENTED_EDGE('',*,*,#200845,.F.); #276510=ORIENTED_EDGE('',*,*,#200848,.F.); #276511=ORIENTED_EDGE('',*,*,#200851,.F.); #276512=ORIENTED_EDGE('',*,*,#200854,.F.); #276513=ORIENTED_EDGE('',*,*,#200857,.F.); #276514=ORIENTED_EDGE('',*,*,#200860,.F.); #276515=ORIENTED_EDGE('',*,*,#200863,.F.); #276516=ORIENTED_EDGE('',*,*,#200866,.F.); #276517=ORIENTED_EDGE('',*,*,#200869,.F.); #276518=ORIENTED_EDGE('',*,*,#200872,.F.); #276519=ORIENTED_EDGE('',*,*,#200875,.F.); #276520=ORIENTED_EDGE('',*,*,#200878,.F.); #276521=ORIENTED_EDGE('',*,*,#200881,.F.); #276522=ORIENTED_EDGE('',*,*,#200884,.F.); #276523=ORIENTED_EDGE('',*,*,#200887,.F.); #276524=ORIENTED_EDGE('',*,*,#200890,.F.); #276525=ORIENTED_EDGE('',*,*,#200893,.F.); #276526=ORIENTED_EDGE('',*,*,#200896,.F.); #276527=ORIENTED_EDGE('',*,*,#200899,.F.); #276528=ORIENTED_EDGE('',*,*,#200902,.F.); #276529=ORIENTED_EDGE('',*,*,#200905,.F.); #276530=ORIENTED_EDGE('',*,*,#200908,.F.); #276531=ORIENTED_EDGE('',*,*,#200911,.F.); #276532=ORIENTED_EDGE('',*,*,#200914,.F.); #276533=ORIENTED_EDGE('',*,*,#200917,.F.); #276534=ORIENTED_EDGE('',*,*,#200920,.F.); #276535=ORIENTED_EDGE('',*,*,#200923,.F.); #276536=ORIENTED_EDGE('',*,*,#200926,.F.); #276537=ORIENTED_EDGE('',*,*,#200929,.F.); #276538=ORIENTED_EDGE('',*,*,#200932,.F.); #276539=ORIENTED_EDGE('',*,*,#200935,.F.); #276540=ORIENTED_EDGE('',*,*,#200938,.F.); #276541=ORIENTED_EDGE('',*,*,#200941,.F.); #276542=ORIENTED_EDGE('',*,*,#200944,.F.); #276543=ORIENTED_EDGE('',*,*,#200947,.F.); #276544=ORIENTED_EDGE('',*,*,#200950,.F.); #276545=ORIENTED_EDGE('',*,*,#200953,.F.); #276546=ORIENTED_EDGE('',*,*,#200956,.F.); #276547=ORIENTED_EDGE('',*,*,#200959,.F.); #276548=ORIENTED_EDGE('',*,*,#200962,.F.); #276549=ORIENTED_EDGE('',*,*,#200965,.F.); #276550=ORIENTED_EDGE('',*,*,#200968,.F.); #276551=ORIENTED_EDGE('',*,*,#200971,.F.); #276552=ORIENTED_EDGE('',*,*,#200974,.F.); #276553=ORIENTED_EDGE('',*,*,#200977,.F.); #276554=ORIENTED_EDGE('',*,*,#200980,.F.); #276555=ORIENTED_EDGE('',*,*,#200983,.F.); #276556=ORIENTED_EDGE('',*,*,#200986,.F.); #276557=ORIENTED_EDGE('',*,*,#200989,.F.); #276558=ORIENTED_EDGE('',*,*,#200992,.F.); #276559=ORIENTED_EDGE('',*,*,#200995,.F.); #276560=ORIENTED_EDGE('',*,*,#200998,.F.); #276561=ORIENTED_EDGE('',*,*,#201001,.F.); #276562=ORIENTED_EDGE('',*,*,#201004,.F.); #276563=ORIENTED_EDGE('',*,*,#201007,.F.); #276564=ORIENTED_EDGE('',*,*,#201010,.F.); #276565=ORIENTED_EDGE('',*,*,#201013,.F.); #276566=ORIENTED_EDGE('',*,*,#201016,.F.); #276567=ORIENTED_EDGE('',*,*,#201021,.T.); #276568=ORIENTED_EDGE('',*,*,#201022,.T.); #276569=ORIENTED_EDGE('',*,*,#201023,.F.); #276570=ORIENTED_EDGE('',*,*,#201024,.F.); #276571=ORIENTED_EDGE('',*,*,#201025,.T.); #276572=ORIENTED_EDGE('',*,*,#201024,.T.); #276573=ORIENTED_EDGE('',*,*,#201026,.F.); #276574=ORIENTED_EDGE('',*,*,#201027,.F.); #276575=ORIENTED_EDGE('',*,*,#201028,.T.); #276576=ORIENTED_EDGE('',*,*,#201027,.T.); #276577=ORIENTED_EDGE('',*,*,#201029,.F.); #276578=ORIENTED_EDGE('',*,*,#201030,.F.); #276579=ORIENTED_EDGE('',*,*,#201031,.T.); #276580=ORIENTED_EDGE('',*,*,#201030,.T.); #276581=ORIENTED_EDGE('',*,*,#201032,.F.); #276582=ORIENTED_EDGE('',*,*,#201033,.F.); #276583=ORIENTED_EDGE('',*,*,#201034,.T.); #276584=ORIENTED_EDGE('',*,*,#201033,.T.); #276585=ORIENTED_EDGE('',*,*,#201035,.F.); #276586=ORIENTED_EDGE('',*,*,#201036,.F.); #276587=ORIENTED_EDGE('',*,*,#201037,.T.); #276588=ORIENTED_EDGE('',*,*,#201036,.T.); #276589=ORIENTED_EDGE('',*,*,#201038,.F.); #276590=ORIENTED_EDGE('',*,*,#201039,.F.); #276591=ORIENTED_EDGE('',*,*,#201040,.T.); #276592=ORIENTED_EDGE('',*,*,#201039,.T.); #276593=ORIENTED_EDGE('',*,*,#201041,.F.); #276594=ORIENTED_EDGE('',*,*,#201042,.F.); #276595=ORIENTED_EDGE('',*,*,#201043,.T.); #276596=ORIENTED_EDGE('',*,*,#201042,.T.); #276597=ORIENTED_EDGE('',*,*,#201044,.F.); #276598=ORIENTED_EDGE('',*,*,#201045,.F.); #276599=ORIENTED_EDGE('',*,*,#201046,.T.); #276600=ORIENTED_EDGE('',*,*,#201045,.T.); #276601=ORIENTED_EDGE('',*,*,#201047,.F.); #276602=ORIENTED_EDGE('',*,*,#201048,.F.); #276603=ORIENTED_EDGE('',*,*,#201049,.T.); #276604=ORIENTED_EDGE('',*,*,#201048,.T.); #276605=ORIENTED_EDGE('',*,*,#201050,.F.); #276606=ORIENTED_EDGE('',*,*,#201051,.F.); #276607=ORIENTED_EDGE('',*,*,#201052,.T.); #276608=ORIENTED_EDGE('',*,*,#201051,.T.); #276609=ORIENTED_EDGE('',*,*,#201053,.F.); #276610=ORIENTED_EDGE('',*,*,#201054,.F.); #276611=ORIENTED_EDGE('',*,*,#201055,.T.); #276612=ORIENTED_EDGE('',*,*,#201054,.T.); #276613=ORIENTED_EDGE('',*,*,#201056,.F.); #276614=ORIENTED_EDGE('',*,*,#201057,.F.); #276615=ORIENTED_EDGE('',*,*,#201058,.T.); #276616=ORIENTED_EDGE('',*,*,#201057,.T.); #276617=ORIENTED_EDGE('',*,*,#201059,.F.); #276618=ORIENTED_EDGE('',*,*,#201060,.F.); #276619=ORIENTED_EDGE('',*,*,#201061,.T.); #276620=ORIENTED_EDGE('',*,*,#201060,.T.); #276621=ORIENTED_EDGE('',*,*,#201062,.F.); #276622=ORIENTED_EDGE('',*,*,#201063,.F.); #276623=ORIENTED_EDGE('',*,*,#201064,.T.); #276624=ORIENTED_EDGE('',*,*,#201063,.T.); #276625=ORIENTED_EDGE('',*,*,#201065,.F.); #276626=ORIENTED_EDGE('',*,*,#201066,.F.); #276627=ORIENTED_EDGE('',*,*,#201067,.T.); #276628=ORIENTED_EDGE('',*,*,#201066,.T.); #276629=ORIENTED_EDGE('',*,*,#201068,.F.); #276630=ORIENTED_EDGE('',*,*,#201069,.F.); #276631=ORIENTED_EDGE('',*,*,#201070,.T.); #276632=ORIENTED_EDGE('',*,*,#201069,.T.); #276633=ORIENTED_EDGE('',*,*,#201071,.F.); #276634=ORIENTED_EDGE('',*,*,#201072,.F.); #276635=ORIENTED_EDGE('',*,*,#201073,.T.); #276636=ORIENTED_EDGE('',*,*,#201072,.T.); #276637=ORIENTED_EDGE('',*,*,#201074,.F.); #276638=ORIENTED_EDGE('',*,*,#201075,.F.); #276639=ORIENTED_EDGE('',*,*,#201076,.T.); #276640=ORIENTED_EDGE('',*,*,#201075,.T.); #276641=ORIENTED_EDGE('',*,*,#201077,.F.); #276642=ORIENTED_EDGE('',*,*,#201078,.F.); #276643=ORIENTED_EDGE('',*,*,#201079,.T.); #276644=ORIENTED_EDGE('',*,*,#201078,.T.); #276645=ORIENTED_EDGE('',*,*,#201080,.F.); #276646=ORIENTED_EDGE('',*,*,#201081,.F.); #276647=ORIENTED_EDGE('',*,*,#201082,.T.); #276648=ORIENTED_EDGE('',*,*,#201081,.T.); #276649=ORIENTED_EDGE('',*,*,#201083,.F.); #276650=ORIENTED_EDGE('',*,*,#201084,.F.); #276651=ORIENTED_EDGE('',*,*,#201085,.T.); #276652=ORIENTED_EDGE('',*,*,#201084,.T.); #276653=ORIENTED_EDGE('',*,*,#201086,.F.); #276654=ORIENTED_EDGE('',*,*,#201087,.F.); #276655=ORIENTED_EDGE('',*,*,#201088,.T.); #276656=ORIENTED_EDGE('',*,*,#201087,.T.); #276657=ORIENTED_EDGE('',*,*,#201089,.F.); #276658=ORIENTED_EDGE('',*,*,#201090,.F.); #276659=ORIENTED_EDGE('',*,*,#201091,.T.); #276660=ORIENTED_EDGE('',*,*,#201090,.T.); #276661=ORIENTED_EDGE('',*,*,#201092,.F.); #276662=ORIENTED_EDGE('',*,*,#201093,.F.); #276663=ORIENTED_EDGE('',*,*,#201094,.T.); #276664=ORIENTED_EDGE('',*,*,#201093,.T.); #276665=ORIENTED_EDGE('',*,*,#201095,.F.); #276666=ORIENTED_EDGE('',*,*,#201096,.F.); #276667=ORIENTED_EDGE('',*,*,#201097,.T.); #276668=ORIENTED_EDGE('',*,*,#201096,.T.); #276669=ORIENTED_EDGE('',*,*,#201098,.F.); #276670=ORIENTED_EDGE('',*,*,#201099,.F.); #276671=ORIENTED_EDGE('',*,*,#201100,.T.); #276672=ORIENTED_EDGE('',*,*,#201099,.T.); #276673=ORIENTED_EDGE('',*,*,#201101,.F.); #276674=ORIENTED_EDGE('',*,*,#201102,.F.); #276675=ORIENTED_EDGE('',*,*,#201103,.T.); #276676=ORIENTED_EDGE('',*,*,#201102,.T.); #276677=ORIENTED_EDGE('',*,*,#201104,.F.); #276678=ORIENTED_EDGE('',*,*,#201022,.F.); #276679=ORIENTED_EDGE('',*,*,#201104,.T.); #276680=ORIENTED_EDGE('',*,*,#201101,.T.); #276681=ORIENTED_EDGE('',*,*,#201098,.T.); #276682=ORIENTED_EDGE('',*,*,#201095,.T.); #276683=ORIENTED_EDGE('',*,*,#201092,.T.); #276684=ORIENTED_EDGE('',*,*,#201089,.T.); #276685=ORIENTED_EDGE('',*,*,#201086,.T.); #276686=ORIENTED_EDGE('',*,*,#201083,.T.); #276687=ORIENTED_EDGE('',*,*,#201080,.T.); #276688=ORIENTED_EDGE('',*,*,#201077,.T.); #276689=ORIENTED_EDGE('',*,*,#201074,.T.); #276690=ORIENTED_EDGE('',*,*,#201071,.T.); #276691=ORIENTED_EDGE('',*,*,#201068,.T.); #276692=ORIENTED_EDGE('',*,*,#201065,.T.); #276693=ORIENTED_EDGE('',*,*,#201062,.T.); #276694=ORIENTED_EDGE('',*,*,#201059,.T.); #276695=ORIENTED_EDGE('',*,*,#201056,.T.); #276696=ORIENTED_EDGE('',*,*,#201053,.T.); #276697=ORIENTED_EDGE('',*,*,#201050,.T.); #276698=ORIENTED_EDGE('',*,*,#201047,.T.); #276699=ORIENTED_EDGE('',*,*,#201044,.T.); #276700=ORIENTED_EDGE('',*,*,#201041,.T.); #276701=ORIENTED_EDGE('',*,*,#201038,.T.); #276702=ORIENTED_EDGE('',*,*,#201035,.T.); #276703=ORIENTED_EDGE('',*,*,#201032,.T.); #276704=ORIENTED_EDGE('',*,*,#201029,.T.); #276705=ORIENTED_EDGE('',*,*,#201026,.T.); #276706=ORIENTED_EDGE('',*,*,#201023,.T.); #276707=ORIENTED_EDGE('',*,*,#201103,.F.); #276708=ORIENTED_EDGE('',*,*,#201021,.F.); #276709=ORIENTED_EDGE('',*,*,#201025,.F.); #276710=ORIENTED_EDGE('',*,*,#201028,.F.); #276711=ORIENTED_EDGE('',*,*,#201031,.F.); #276712=ORIENTED_EDGE('',*,*,#201034,.F.); #276713=ORIENTED_EDGE('',*,*,#201037,.F.); #276714=ORIENTED_EDGE('',*,*,#201040,.F.); #276715=ORIENTED_EDGE('',*,*,#201043,.F.); #276716=ORIENTED_EDGE('',*,*,#201046,.F.); #276717=ORIENTED_EDGE('',*,*,#201049,.F.); #276718=ORIENTED_EDGE('',*,*,#201052,.F.); #276719=ORIENTED_EDGE('',*,*,#201055,.F.); #276720=ORIENTED_EDGE('',*,*,#201058,.F.); #276721=ORIENTED_EDGE('',*,*,#201061,.F.); #276722=ORIENTED_EDGE('',*,*,#201064,.F.); #276723=ORIENTED_EDGE('',*,*,#201067,.F.); #276724=ORIENTED_EDGE('',*,*,#201070,.F.); #276725=ORIENTED_EDGE('',*,*,#201073,.F.); #276726=ORIENTED_EDGE('',*,*,#201076,.F.); #276727=ORIENTED_EDGE('',*,*,#201079,.F.); #276728=ORIENTED_EDGE('',*,*,#201082,.F.); #276729=ORIENTED_EDGE('',*,*,#201085,.F.); #276730=ORIENTED_EDGE('',*,*,#201088,.F.); #276731=ORIENTED_EDGE('',*,*,#201091,.F.); #276732=ORIENTED_EDGE('',*,*,#201094,.F.); #276733=ORIENTED_EDGE('',*,*,#201097,.F.); #276734=ORIENTED_EDGE('',*,*,#201100,.F.); #276735=ORIENTED_EDGE('',*,*,#201105,.F.); #276736=ORIENTED_EDGE('',*,*,#201106,.T.); #276737=ORIENTED_EDGE('',*,*,#201107,.F.); #276738=ORIENTED_EDGE('',*,*,#201106,.F.); #276739=ORIENTED_EDGE('',*,*,#201108,.F.); #276740=ORIENTED_EDGE('',*,*,#201109,.T.); #276741=ORIENTED_EDGE('',*,*,#201110,.F.); #276742=ORIENTED_EDGE('',*,*,#201109,.F.); #276743=ORIENTED_EDGE('',*,*,#201111,.F.); #276744=ORIENTED_EDGE('',*,*,#201112,.T.); #276745=ORIENTED_EDGE('',*,*,#201113,.F.); #276746=ORIENTED_EDGE('',*,*,#201112,.F.); #276747=ORIENTED_EDGE('',*,*,#201114,.F.); #276748=ORIENTED_EDGE('',*,*,#201115,.T.); #276749=ORIENTED_EDGE('',*,*,#201116,.F.); #276750=ORIENTED_EDGE('',*,*,#201115,.F.); #276751=ORIENTED_EDGE('',*,*,#201117,.F.); #276752=ORIENTED_EDGE('',*,*,#201118,.T.); #276753=ORIENTED_EDGE('',*,*,#201119,.F.); #276754=ORIENTED_EDGE('',*,*,#201118,.F.); #276755=ORIENTED_EDGE('',*,*,#201120,.F.); #276756=ORIENTED_EDGE('',*,*,#201121,.T.); #276757=ORIENTED_EDGE('',*,*,#201122,.F.); #276758=ORIENTED_EDGE('',*,*,#201121,.F.); #276759=ORIENTED_EDGE('',*,*,#201123,.F.); #276760=ORIENTED_EDGE('',*,*,#201124,.T.); #276761=ORIENTED_EDGE('',*,*,#201125,.F.); #276762=ORIENTED_EDGE('',*,*,#201124,.F.); #276763=ORIENTED_EDGE('',*,*,#201126,.F.); #276764=ORIENTED_EDGE('',*,*,#201127,.T.); #276765=ORIENTED_EDGE('',*,*,#201128,.F.); #276766=ORIENTED_EDGE('',*,*,#201127,.F.); #276767=ORIENTED_EDGE('',*,*,#201129,.F.); #276768=ORIENTED_EDGE('',*,*,#201130,.T.); #276769=ORIENTED_EDGE('',*,*,#201131,.F.); #276770=ORIENTED_EDGE('',*,*,#201130,.F.); #276771=ORIENTED_EDGE('',*,*,#201132,.F.); #276772=ORIENTED_EDGE('',*,*,#201133,.T.); #276773=ORIENTED_EDGE('',*,*,#201134,.F.); #276774=ORIENTED_EDGE('',*,*,#201133,.F.); #276775=ORIENTED_EDGE('',*,*,#201135,.F.); #276776=ORIENTED_EDGE('',*,*,#201136,.T.); #276777=ORIENTED_EDGE('',*,*,#201137,.F.); #276778=ORIENTED_EDGE('',*,*,#201136,.F.); #276779=ORIENTED_EDGE('',*,*,#201138,.F.); #276780=ORIENTED_EDGE('',*,*,#201139,.T.); #276781=ORIENTED_EDGE('',*,*,#201140,.F.); #276782=ORIENTED_EDGE('',*,*,#201139,.F.); #276783=ORIENTED_EDGE('',*,*,#201141,.F.); #276784=ORIENTED_EDGE('',*,*,#201142,.T.); #276785=ORIENTED_EDGE('',*,*,#201143,.F.); #276786=ORIENTED_EDGE('',*,*,#201142,.F.); #276787=ORIENTED_EDGE('',*,*,#201144,.F.); #276788=ORIENTED_EDGE('',*,*,#201145,.T.); #276789=ORIENTED_EDGE('',*,*,#201146,.F.); #276790=ORIENTED_EDGE('',*,*,#201145,.F.); #276791=ORIENTED_EDGE('',*,*,#201147,.F.); #276792=ORIENTED_EDGE('',*,*,#201148,.T.); #276793=ORIENTED_EDGE('',*,*,#201149,.F.); #276794=ORIENTED_EDGE('',*,*,#201148,.F.); #276795=ORIENTED_EDGE('',*,*,#201150,.F.); #276796=ORIENTED_EDGE('',*,*,#201151,.T.); #276797=ORIENTED_EDGE('',*,*,#201152,.F.); #276798=ORIENTED_EDGE('',*,*,#201151,.F.); #276799=ORIENTED_EDGE('',*,*,#201153,.T.); #276800=ORIENTED_EDGE('',*,*,#201154,.T.); #276801=ORIENTED_EDGE('',*,*,#201155,.F.); #276802=ORIENTED_EDGE('',*,*,#201156,.F.); #276803=ORIENTED_EDGE('',*,*,#201157,.T.); #276804=ORIENTED_EDGE('',*,*,#201156,.T.); #276805=ORIENTED_EDGE('',*,*,#201158,.F.); #276806=ORIENTED_EDGE('',*,*,#201159,.F.); #276807=ORIENTED_EDGE('',*,*,#201160,.T.); #276808=ORIENTED_EDGE('',*,*,#201159,.T.); #276809=ORIENTED_EDGE('',*,*,#201161,.F.); #276810=ORIENTED_EDGE('',*,*,#201162,.F.); #276811=ORIENTED_EDGE('',*,*,#201163,.T.); #276812=ORIENTED_EDGE('',*,*,#201162,.T.); #276813=ORIENTED_EDGE('',*,*,#201164,.F.); #276814=ORIENTED_EDGE('',*,*,#201165,.F.); #276815=ORIENTED_EDGE('',*,*,#201166,.T.); #276816=ORIENTED_EDGE('',*,*,#201165,.T.); #276817=ORIENTED_EDGE('',*,*,#201167,.F.); #276818=ORIENTED_EDGE('',*,*,#201168,.F.); #276819=ORIENTED_EDGE('',*,*,#201169,.T.); #276820=ORIENTED_EDGE('',*,*,#201168,.T.); #276821=ORIENTED_EDGE('',*,*,#201170,.F.); #276822=ORIENTED_EDGE('',*,*,#201171,.F.); #276823=ORIENTED_EDGE('',*,*,#201172,.T.); #276824=ORIENTED_EDGE('',*,*,#201171,.T.); #276825=ORIENTED_EDGE('',*,*,#201173,.F.); #276826=ORIENTED_EDGE('',*,*,#201174,.F.); #276827=ORIENTED_EDGE('',*,*,#201175,.T.); #276828=ORIENTED_EDGE('',*,*,#201174,.T.); #276829=ORIENTED_EDGE('',*,*,#201176,.F.); #276830=ORIENTED_EDGE('',*,*,#201177,.F.); #276831=ORIENTED_EDGE('',*,*,#201178,.T.); #276832=ORIENTED_EDGE('',*,*,#201177,.T.); #276833=ORIENTED_EDGE('',*,*,#201179,.F.); #276834=ORIENTED_EDGE('',*,*,#201180,.F.); #276835=ORIENTED_EDGE('',*,*,#201181,.T.); #276836=ORIENTED_EDGE('',*,*,#201180,.T.); #276837=ORIENTED_EDGE('',*,*,#201182,.F.); #276838=ORIENTED_EDGE('',*,*,#201183,.F.); #276839=ORIENTED_EDGE('',*,*,#201184,.T.); #276840=ORIENTED_EDGE('',*,*,#201183,.T.); #276841=ORIENTED_EDGE('',*,*,#201185,.F.); #276842=ORIENTED_EDGE('',*,*,#201186,.F.); #276843=ORIENTED_EDGE('',*,*,#201187,.T.); #276844=ORIENTED_EDGE('',*,*,#201186,.T.); #276845=ORIENTED_EDGE('',*,*,#201188,.F.); #276846=ORIENTED_EDGE('',*,*,#201189,.F.); #276847=ORIENTED_EDGE('',*,*,#201190,.T.); #276848=ORIENTED_EDGE('',*,*,#201189,.T.); #276849=ORIENTED_EDGE('',*,*,#201191,.F.); #276850=ORIENTED_EDGE('',*,*,#201192,.F.); #276851=ORIENTED_EDGE('',*,*,#201193,.T.); #276852=ORIENTED_EDGE('',*,*,#201192,.T.); #276853=ORIENTED_EDGE('',*,*,#201194,.F.); #276854=ORIENTED_EDGE('',*,*,#201195,.F.); #276855=ORIENTED_EDGE('',*,*,#201196,.T.); #276856=ORIENTED_EDGE('',*,*,#201195,.T.); #276857=ORIENTED_EDGE('',*,*,#201197,.F.); #276858=ORIENTED_EDGE('',*,*,#201198,.F.); #276859=ORIENTED_EDGE('',*,*,#201199,.T.); #276860=ORIENTED_EDGE('',*,*,#201198,.T.); #276861=ORIENTED_EDGE('',*,*,#201200,.F.); #276862=ORIENTED_EDGE('',*,*,#201201,.F.); #276863=ORIENTED_EDGE('',*,*,#201202,.T.); #276864=ORIENTED_EDGE('',*,*,#201201,.T.); #276865=ORIENTED_EDGE('',*,*,#201203,.F.); #276866=ORIENTED_EDGE('',*,*,#201204,.F.); #276867=ORIENTED_EDGE('',*,*,#201205,.T.); #276868=ORIENTED_EDGE('',*,*,#201204,.T.); #276869=ORIENTED_EDGE('',*,*,#201206,.F.); #276870=ORIENTED_EDGE('',*,*,#201207,.F.); #276871=ORIENTED_EDGE('',*,*,#201208,.T.); #276872=ORIENTED_EDGE('',*,*,#201207,.T.); #276873=ORIENTED_EDGE('',*,*,#201209,.F.); #276874=ORIENTED_EDGE('',*,*,#201210,.F.); #276875=ORIENTED_EDGE('',*,*,#201211,.T.); #276876=ORIENTED_EDGE('',*,*,#201210,.T.); #276877=ORIENTED_EDGE('',*,*,#201212,.F.); #276878=ORIENTED_EDGE('',*,*,#201213,.F.); #276879=ORIENTED_EDGE('',*,*,#201214,.T.); #276880=ORIENTED_EDGE('',*,*,#201213,.T.); #276881=ORIENTED_EDGE('',*,*,#201215,.F.); #276882=ORIENTED_EDGE('',*,*,#201216,.F.); #276883=ORIENTED_EDGE('',*,*,#201217,.T.); #276884=ORIENTED_EDGE('',*,*,#201216,.T.); #276885=ORIENTED_EDGE('',*,*,#201218,.F.); #276886=ORIENTED_EDGE('',*,*,#201219,.F.); #276887=ORIENTED_EDGE('',*,*,#201220,.T.); #276888=ORIENTED_EDGE('',*,*,#201219,.T.); #276889=ORIENTED_EDGE('',*,*,#201221,.F.); #276890=ORIENTED_EDGE('',*,*,#201222,.F.); #276891=ORIENTED_EDGE('',*,*,#201223,.T.); #276892=ORIENTED_EDGE('',*,*,#201222,.T.); #276893=ORIENTED_EDGE('',*,*,#201224,.F.); #276894=ORIENTED_EDGE('',*,*,#201225,.F.); #276895=ORIENTED_EDGE('',*,*,#201226,.T.); #276896=ORIENTED_EDGE('',*,*,#201225,.T.); #276897=ORIENTED_EDGE('',*,*,#201227,.F.); #276898=ORIENTED_EDGE('',*,*,#201228,.F.); #276899=ORIENTED_EDGE('',*,*,#201229,.T.); #276900=ORIENTED_EDGE('',*,*,#201228,.T.); #276901=ORIENTED_EDGE('',*,*,#201230,.F.); #276902=ORIENTED_EDGE('',*,*,#201231,.F.); #276903=ORIENTED_EDGE('',*,*,#201232,.T.); #276904=ORIENTED_EDGE('',*,*,#201231,.T.); #276905=ORIENTED_EDGE('',*,*,#201233,.F.); #276906=ORIENTED_EDGE('',*,*,#201234,.F.); #276907=ORIENTED_EDGE('',*,*,#201235,.T.); #276908=ORIENTED_EDGE('',*,*,#201234,.T.); #276909=ORIENTED_EDGE('',*,*,#201236,.F.); #276910=ORIENTED_EDGE('',*,*,#201237,.F.); #276911=ORIENTED_EDGE('',*,*,#201238,.T.); #276912=ORIENTED_EDGE('',*,*,#201237,.T.); #276913=ORIENTED_EDGE('',*,*,#201239,.F.); #276914=ORIENTED_EDGE('',*,*,#201240,.F.); #276915=ORIENTED_EDGE('',*,*,#201241,.T.); #276916=ORIENTED_EDGE('',*,*,#201240,.T.); #276917=ORIENTED_EDGE('',*,*,#201242,.F.); #276918=ORIENTED_EDGE('',*,*,#201243,.F.); #276919=ORIENTED_EDGE('',*,*,#201244,.T.); #276920=ORIENTED_EDGE('',*,*,#201243,.T.); #276921=ORIENTED_EDGE('',*,*,#201245,.F.); #276922=ORIENTED_EDGE('',*,*,#201246,.F.); #276923=ORIENTED_EDGE('',*,*,#201247,.T.); #276924=ORIENTED_EDGE('',*,*,#201246,.T.); #276925=ORIENTED_EDGE('',*,*,#201248,.F.); #276926=ORIENTED_EDGE('',*,*,#201249,.F.); #276927=ORIENTED_EDGE('',*,*,#201250,.T.); #276928=ORIENTED_EDGE('',*,*,#201249,.T.); #276929=ORIENTED_EDGE('',*,*,#201251,.F.); #276930=ORIENTED_EDGE('',*,*,#201252,.F.); #276931=ORIENTED_EDGE('',*,*,#201253,.T.); #276932=ORIENTED_EDGE('',*,*,#201252,.T.); #276933=ORIENTED_EDGE('',*,*,#201254,.F.); #276934=ORIENTED_EDGE('',*,*,#201255,.F.); #276935=ORIENTED_EDGE('',*,*,#201256,.T.); #276936=ORIENTED_EDGE('',*,*,#201255,.T.); #276937=ORIENTED_EDGE('',*,*,#201257,.F.); #276938=ORIENTED_EDGE('',*,*,#201258,.F.); #276939=ORIENTED_EDGE('',*,*,#201259,.T.); #276940=ORIENTED_EDGE('',*,*,#201258,.T.); #276941=ORIENTED_EDGE('',*,*,#201260,.F.); #276942=ORIENTED_EDGE('',*,*,#201261,.F.); #276943=ORIENTED_EDGE('',*,*,#201262,.T.); #276944=ORIENTED_EDGE('',*,*,#201261,.T.); #276945=ORIENTED_EDGE('',*,*,#201263,.F.); #276946=ORIENTED_EDGE('',*,*,#201264,.F.); #276947=ORIENTED_EDGE('',*,*,#201265,.T.); #276948=ORIENTED_EDGE('',*,*,#201264,.T.); #276949=ORIENTED_EDGE('',*,*,#201266,.F.); #276950=ORIENTED_EDGE('',*,*,#201267,.F.); #276951=ORIENTED_EDGE('',*,*,#201268,.T.); #276952=ORIENTED_EDGE('',*,*,#201267,.T.); #276953=ORIENTED_EDGE('',*,*,#201269,.F.); #276954=ORIENTED_EDGE('',*,*,#201270,.F.); #276955=ORIENTED_EDGE('',*,*,#201271,.T.); #276956=ORIENTED_EDGE('',*,*,#201270,.T.); #276957=ORIENTED_EDGE('',*,*,#201272,.F.); #276958=ORIENTED_EDGE('',*,*,#201273,.F.); #276959=ORIENTED_EDGE('',*,*,#201274,.T.); #276960=ORIENTED_EDGE('',*,*,#201273,.T.); #276961=ORIENTED_EDGE('',*,*,#201275,.F.); #276962=ORIENTED_EDGE('',*,*,#201276,.F.); #276963=ORIENTED_EDGE('',*,*,#201277,.T.); #276964=ORIENTED_EDGE('',*,*,#201276,.T.); #276965=ORIENTED_EDGE('',*,*,#201278,.F.); #276966=ORIENTED_EDGE('',*,*,#201279,.F.); #276967=ORIENTED_EDGE('',*,*,#201280,.T.); #276968=ORIENTED_EDGE('',*,*,#201279,.T.); #276969=ORIENTED_EDGE('',*,*,#201281,.F.); #276970=ORIENTED_EDGE('',*,*,#201282,.F.); #276971=ORIENTED_EDGE('',*,*,#201283,.T.); #276972=ORIENTED_EDGE('',*,*,#201282,.T.); #276973=ORIENTED_EDGE('',*,*,#201284,.F.); #276974=ORIENTED_EDGE('',*,*,#201285,.F.); #276975=ORIENTED_EDGE('',*,*,#201286,.T.); #276976=ORIENTED_EDGE('',*,*,#201285,.T.); #276977=ORIENTED_EDGE('',*,*,#201287,.F.); #276978=ORIENTED_EDGE('',*,*,#201288,.F.); #276979=ORIENTED_EDGE('',*,*,#201289,.T.); #276980=ORIENTED_EDGE('',*,*,#201288,.T.); #276981=ORIENTED_EDGE('',*,*,#201290,.F.); #276982=ORIENTED_EDGE('',*,*,#201291,.F.); #276983=ORIENTED_EDGE('',*,*,#201292,.T.); #276984=ORIENTED_EDGE('',*,*,#201291,.T.); #276985=ORIENTED_EDGE('',*,*,#201293,.F.); #276986=ORIENTED_EDGE('',*,*,#201294,.F.); #276987=ORIENTED_EDGE('',*,*,#201295,.T.); #276988=ORIENTED_EDGE('',*,*,#201294,.T.); #276989=ORIENTED_EDGE('',*,*,#201296,.F.); #276990=ORIENTED_EDGE('',*,*,#201297,.F.); #276991=ORIENTED_EDGE('',*,*,#201298,.T.); #276992=ORIENTED_EDGE('',*,*,#201297,.T.); #276993=ORIENTED_EDGE('',*,*,#201299,.F.); #276994=ORIENTED_EDGE('',*,*,#201300,.F.); #276995=ORIENTED_EDGE('',*,*,#201301,.T.); #276996=ORIENTED_EDGE('',*,*,#201300,.T.); #276997=ORIENTED_EDGE('',*,*,#201302,.F.); #276998=ORIENTED_EDGE('',*,*,#201303,.F.); #276999=ORIENTED_EDGE('',*,*,#201304,.T.); #277000=ORIENTED_EDGE('',*,*,#201303,.T.); #277001=ORIENTED_EDGE('',*,*,#201305,.F.); #277002=ORIENTED_EDGE('',*,*,#201306,.F.); #277003=ORIENTED_EDGE('',*,*,#201307,.T.); #277004=ORIENTED_EDGE('',*,*,#201306,.T.); #277005=ORIENTED_EDGE('',*,*,#201308,.F.); #277006=ORIENTED_EDGE('',*,*,#201309,.F.); #277007=ORIENTED_EDGE('',*,*,#201310,.T.); #277008=ORIENTED_EDGE('',*,*,#201309,.T.); #277009=ORIENTED_EDGE('',*,*,#201311,.F.); #277010=ORIENTED_EDGE('',*,*,#201312,.F.); #277011=ORIENTED_EDGE('',*,*,#201313,.T.); #277012=ORIENTED_EDGE('',*,*,#201312,.T.); #277013=ORIENTED_EDGE('',*,*,#201314,.F.); #277014=ORIENTED_EDGE('',*,*,#201315,.F.); #277015=ORIENTED_EDGE('',*,*,#201316,.T.); #277016=ORIENTED_EDGE('',*,*,#201315,.T.); #277017=ORIENTED_EDGE('',*,*,#201317,.F.); #277018=ORIENTED_EDGE('',*,*,#201318,.F.); #277019=ORIENTED_EDGE('',*,*,#201319,.T.); #277020=ORIENTED_EDGE('',*,*,#201318,.T.); #277021=ORIENTED_EDGE('',*,*,#201320,.F.); #277022=ORIENTED_EDGE('',*,*,#201321,.F.); #277023=ORIENTED_EDGE('',*,*,#201322,.T.); #277024=ORIENTED_EDGE('',*,*,#201321,.T.); #277025=ORIENTED_EDGE('',*,*,#201323,.F.); #277026=ORIENTED_EDGE('',*,*,#201324,.F.); #277027=ORIENTED_EDGE('',*,*,#201325,.T.); #277028=ORIENTED_EDGE('',*,*,#201324,.T.); #277029=ORIENTED_EDGE('',*,*,#201326,.F.); #277030=ORIENTED_EDGE('',*,*,#201327,.F.); #277031=ORIENTED_EDGE('',*,*,#201328,.T.); #277032=ORIENTED_EDGE('',*,*,#201327,.T.); #277033=ORIENTED_EDGE('',*,*,#201329,.F.); #277034=ORIENTED_EDGE('',*,*,#201330,.F.); #277035=ORIENTED_EDGE('',*,*,#201331,.T.); #277036=ORIENTED_EDGE('',*,*,#201330,.T.); #277037=ORIENTED_EDGE('',*,*,#201332,.F.); #277038=ORIENTED_EDGE('',*,*,#201333,.F.); #277039=ORIENTED_EDGE('',*,*,#201334,.T.); #277040=ORIENTED_EDGE('',*,*,#201333,.T.); #277041=ORIENTED_EDGE('',*,*,#201335,.F.); #277042=ORIENTED_EDGE('',*,*,#201336,.F.); #277043=ORIENTED_EDGE('',*,*,#201337,.T.); #277044=ORIENTED_EDGE('',*,*,#201336,.T.); #277045=ORIENTED_EDGE('',*,*,#201338,.F.); #277046=ORIENTED_EDGE('',*,*,#201339,.F.); #277047=ORIENTED_EDGE('',*,*,#201340,.T.); #277048=ORIENTED_EDGE('',*,*,#201339,.T.); #277049=ORIENTED_EDGE('',*,*,#201341,.F.); #277050=ORIENTED_EDGE('',*,*,#201342,.F.); #277051=ORIENTED_EDGE('',*,*,#201343,.T.); #277052=ORIENTED_EDGE('',*,*,#201342,.T.); #277053=ORIENTED_EDGE('',*,*,#201344,.F.); #277054=ORIENTED_EDGE('',*,*,#201345,.F.); #277055=ORIENTED_EDGE('',*,*,#201346,.T.); #277056=ORIENTED_EDGE('',*,*,#201345,.T.); #277057=ORIENTED_EDGE('',*,*,#201347,.F.); #277058=ORIENTED_EDGE('',*,*,#201348,.F.); #277059=ORIENTED_EDGE('',*,*,#201349,.T.); #277060=ORIENTED_EDGE('',*,*,#201348,.T.); #277061=ORIENTED_EDGE('',*,*,#201350,.F.); #277062=ORIENTED_EDGE('',*,*,#201351,.F.); #277063=ORIENTED_EDGE('',*,*,#201352,.T.); #277064=ORIENTED_EDGE('',*,*,#201351,.T.); #277065=ORIENTED_EDGE('',*,*,#201353,.F.); #277066=ORIENTED_EDGE('',*,*,#201354,.F.); #277067=ORIENTED_EDGE('',*,*,#201355,.T.); #277068=ORIENTED_EDGE('',*,*,#201354,.T.); #277069=ORIENTED_EDGE('',*,*,#201356,.F.); #277070=ORIENTED_EDGE('',*,*,#201357,.F.); #277071=ORIENTED_EDGE('',*,*,#201358,.T.); #277072=ORIENTED_EDGE('',*,*,#201357,.T.); #277073=ORIENTED_EDGE('',*,*,#201359,.F.); #277074=ORIENTED_EDGE('',*,*,#201360,.F.); #277075=ORIENTED_EDGE('',*,*,#201361,.T.); #277076=ORIENTED_EDGE('',*,*,#201360,.T.); #277077=ORIENTED_EDGE('',*,*,#201362,.F.); #277078=ORIENTED_EDGE('',*,*,#201363,.F.); #277079=ORIENTED_EDGE('',*,*,#201364,.T.); #277080=ORIENTED_EDGE('',*,*,#201363,.T.); #277081=ORIENTED_EDGE('',*,*,#201365,.F.); #277082=ORIENTED_EDGE('',*,*,#201366,.F.); #277083=ORIENTED_EDGE('',*,*,#201367,.T.); #277084=ORIENTED_EDGE('',*,*,#201366,.T.); #277085=ORIENTED_EDGE('',*,*,#201368,.F.); #277086=ORIENTED_EDGE('',*,*,#201369,.F.); #277087=ORIENTED_EDGE('',*,*,#201370,.T.); #277088=ORIENTED_EDGE('',*,*,#201369,.T.); #277089=ORIENTED_EDGE('',*,*,#201371,.F.); #277090=ORIENTED_EDGE('',*,*,#201372,.F.); #277091=ORIENTED_EDGE('',*,*,#201373,.T.); #277092=ORIENTED_EDGE('',*,*,#201372,.T.); #277093=ORIENTED_EDGE('',*,*,#201374,.F.); #277094=ORIENTED_EDGE('',*,*,#201154,.F.); #277095=ORIENTED_EDGE('',*,*,#201374,.T.); #277096=ORIENTED_EDGE('',*,*,#201371,.T.); #277097=ORIENTED_EDGE('',*,*,#201368,.T.); #277098=ORIENTED_EDGE('',*,*,#201365,.T.); #277099=ORIENTED_EDGE('',*,*,#201362,.T.); #277100=ORIENTED_EDGE('',*,*,#201359,.T.); #277101=ORIENTED_EDGE('',*,*,#201356,.T.); #277102=ORIENTED_EDGE('',*,*,#201353,.T.); #277103=ORIENTED_EDGE('',*,*,#201350,.T.); #277104=ORIENTED_EDGE('',*,*,#201347,.T.); #277105=ORIENTED_EDGE('',*,*,#201344,.T.); #277106=ORIENTED_EDGE('',*,*,#201341,.T.); #277107=ORIENTED_EDGE('',*,*,#201338,.T.); #277108=ORIENTED_EDGE('',*,*,#201335,.T.); #277109=ORIENTED_EDGE('',*,*,#201332,.T.); #277110=ORIENTED_EDGE('',*,*,#201329,.T.); #277111=ORIENTED_EDGE('',*,*,#201326,.T.); #277112=ORIENTED_EDGE('',*,*,#201323,.T.); #277113=ORIENTED_EDGE('',*,*,#201320,.T.); #277114=ORIENTED_EDGE('',*,*,#201317,.T.); #277115=ORIENTED_EDGE('',*,*,#201314,.T.); #277116=ORIENTED_EDGE('',*,*,#201311,.T.); #277117=ORIENTED_EDGE('',*,*,#201308,.T.); #277118=ORIENTED_EDGE('',*,*,#201305,.T.); #277119=ORIENTED_EDGE('',*,*,#201302,.T.); #277120=ORIENTED_EDGE('',*,*,#201299,.T.); #277121=ORIENTED_EDGE('',*,*,#201296,.T.); #277122=ORIENTED_EDGE('',*,*,#201293,.T.); #277123=ORIENTED_EDGE('',*,*,#201290,.T.); #277124=ORIENTED_EDGE('',*,*,#201287,.T.); #277125=ORIENTED_EDGE('',*,*,#201284,.T.); #277126=ORIENTED_EDGE('',*,*,#201281,.T.); #277127=ORIENTED_EDGE('',*,*,#201278,.T.); #277128=ORIENTED_EDGE('',*,*,#201275,.T.); #277129=ORIENTED_EDGE('',*,*,#201272,.T.); #277130=ORIENTED_EDGE('',*,*,#201269,.T.); #277131=ORIENTED_EDGE('',*,*,#201266,.T.); #277132=ORIENTED_EDGE('',*,*,#201263,.T.); #277133=ORIENTED_EDGE('',*,*,#201260,.T.); #277134=ORIENTED_EDGE('',*,*,#201257,.T.); #277135=ORIENTED_EDGE('',*,*,#201254,.T.); #277136=ORIENTED_EDGE('',*,*,#201251,.T.); #277137=ORIENTED_EDGE('',*,*,#201248,.T.); #277138=ORIENTED_EDGE('',*,*,#201245,.T.); #277139=ORIENTED_EDGE('',*,*,#201242,.T.); #277140=ORIENTED_EDGE('',*,*,#201239,.T.); #277141=ORIENTED_EDGE('',*,*,#201236,.T.); #277142=ORIENTED_EDGE('',*,*,#201233,.T.); #277143=ORIENTED_EDGE('',*,*,#201230,.T.); #277144=ORIENTED_EDGE('',*,*,#201227,.T.); #277145=ORIENTED_EDGE('',*,*,#201224,.T.); #277146=ORIENTED_EDGE('',*,*,#201221,.T.); #277147=ORIENTED_EDGE('',*,*,#201218,.T.); #277148=ORIENTED_EDGE('',*,*,#201215,.T.); #277149=ORIENTED_EDGE('',*,*,#201212,.T.); #277150=ORIENTED_EDGE('',*,*,#201209,.T.); #277151=ORIENTED_EDGE('',*,*,#201206,.T.); #277152=ORIENTED_EDGE('',*,*,#201203,.T.); #277153=ORIENTED_EDGE('',*,*,#201200,.T.); #277154=ORIENTED_EDGE('',*,*,#201197,.T.); #277155=ORIENTED_EDGE('',*,*,#201194,.T.); #277156=ORIENTED_EDGE('',*,*,#201191,.T.); #277157=ORIENTED_EDGE('',*,*,#201188,.T.); #277158=ORIENTED_EDGE('',*,*,#201185,.T.); #277159=ORIENTED_EDGE('',*,*,#201182,.T.); #277160=ORIENTED_EDGE('',*,*,#201179,.T.); #277161=ORIENTED_EDGE('',*,*,#201176,.T.); #277162=ORIENTED_EDGE('',*,*,#201173,.T.); #277163=ORIENTED_EDGE('',*,*,#201170,.T.); #277164=ORIENTED_EDGE('',*,*,#201167,.T.); #277165=ORIENTED_EDGE('',*,*,#201164,.T.); #277166=ORIENTED_EDGE('',*,*,#201161,.T.); #277167=ORIENTED_EDGE('',*,*,#201158,.T.); #277168=ORIENTED_EDGE('',*,*,#201155,.T.); #277169=ORIENTED_EDGE('',*,*,#201107,.T.); #277170=ORIENTED_EDGE('',*,*,#201110,.T.); #277171=ORIENTED_EDGE('',*,*,#201113,.T.); #277172=ORIENTED_EDGE('',*,*,#201116,.T.); #277173=ORIENTED_EDGE('',*,*,#201119,.T.); #277174=ORIENTED_EDGE('',*,*,#201122,.T.); #277175=ORIENTED_EDGE('',*,*,#201125,.T.); #277176=ORIENTED_EDGE('',*,*,#201128,.T.); #277177=ORIENTED_EDGE('',*,*,#201131,.T.); #277178=ORIENTED_EDGE('',*,*,#201134,.T.); #277179=ORIENTED_EDGE('',*,*,#201137,.T.); #277180=ORIENTED_EDGE('',*,*,#201140,.T.); #277181=ORIENTED_EDGE('',*,*,#201143,.T.); #277182=ORIENTED_EDGE('',*,*,#201146,.T.); #277183=ORIENTED_EDGE('',*,*,#201149,.T.); #277184=ORIENTED_EDGE('',*,*,#201152,.T.); #277185=ORIENTED_EDGE('',*,*,#201373,.F.); #277186=ORIENTED_EDGE('',*,*,#201153,.F.); #277187=ORIENTED_EDGE('',*,*,#201157,.F.); #277188=ORIENTED_EDGE('',*,*,#201160,.F.); #277189=ORIENTED_EDGE('',*,*,#201163,.F.); #277190=ORIENTED_EDGE('',*,*,#201166,.F.); #277191=ORIENTED_EDGE('',*,*,#201169,.F.); #277192=ORIENTED_EDGE('',*,*,#201172,.F.); #277193=ORIENTED_EDGE('',*,*,#201175,.F.); #277194=ORIENTED_EDGE('',*,*,#201178,.F.); #277195=ORIENTED_EDGE('',*,*,#201181,.F.); #277196=ORIENTED_EDGE('',*,*,#201184,.F.); #277197=ORIENTED_EDGE('',*,*,#201187,.F.); #277198=ORIENTED_EDGE('',*,*,#201190,.F.); #277199=ORIENTED_EDGE('',*,*,#201193,.F.); #277200=ORIENTED_EDGE('',*,*,#201196,.F.); #277201=ORIENTED_EDGE('',*,*,#201199,.F.); #277202=ORIENTED_EDGE('',*,*,#201202,.F.); #277203=ORIENTED_EDGE('',*,*,#201205,.F.); #277204=ORIENTED_EDGE('',*,*,#201208,.F.); #277205=ORIENTED_EDGE('',*,*,#201211,.F.); #277206=ORIENTED_EDGE('',*,*,#201214,.F.); #277207=ORIENTED_EDGE('',*,*,#201217,.F.); #277208=ORIENTED_EDGE('',*,*,#201220,.F.); #277209=ORIENTED_EDGE('',*,*,#201223,.F.); #277210=ORIENTED_EDGE('',*,*,#201226,.F.); #277211=ORIENTED_EDGE('',*,*,#201229,.F.); #277212=ORIENTED_EDGE('',*,*,#201232,.F.); #277213=ORIENTED_EDGE('',*,*,#201235,.F.); #277214=ORIENTED_EDGE('',*,*,#201238,.F.); #277215=ORIENTED_EDGE('',*,*,#201241,.F.); #277216=ORIENTED_EDGE('',*,*,#201244,.F.); #277217=ORIENTED_EDGE('',*,*,#201247,.F.); #277218=ORIENTED_EDGE('',*,*,#201250,.F.); #277219=ORIENTED_EDGE('',*,*,#201253,.F.); #277220=ORIENTED_EDGE('',*,*,#201256,.F.); #277221=ORIENTED_EDGE('',*,*,#201259,.F.); #277222=ORIENTED_EDGE('',*,*,#201262,.F.); #277223=ORIENTED_EDGE('',*,*,#201265,.F.); #277224=ORIENTED_EDGE('',*,*,#201268,.F.); #277225=ORIENTED_EDGE('',*,*,#201271,.F.); #277226=ORIENTED_EDGE('',*,*,#201274,.F.); #277227=ORIENTED_EDGE('',*,*,#201277,.F.); #277228=ORIENTED_EDGE('',*,*,#201280,.F.); #277229=ORIENTED_EDGE('',*,*,#201283,.F.); #277230=ORIENTED_EDGE('',*,*,#201286,.F.); #277231=ORIENTED_EDGE('',*,*,#201289,.F.); #277232=ORIENTED_EDGE('',*,*,#201292,.F.); #277233=ORIENTED_EDGE('',*,*,#201295,.F.); #277234=ORIENTED_EDGE('',*,*,#201298,.F.); #277235=ORIENTED_EDGE('',*,*,#201301,.F.); #277236=ORIENTED_EDGE('',*,*,#201304,.F.); #277237=ORIENTED_EDGE('',*,*,#201307,.F.); #277238=ORIENTED_EDGE('',*,*,#201310,.F.); #277239=ORIENTED_EDGE('',*,*,#201313,.F.); #277240=ORIENTED_EDGE('',*,*,#201316,.F.); #277241=ORIENTED_EDGE('',*,*,#201319,.F.); #277242=ORIENTED_EDGE('',*,*,#201322,.F.); #277243=ORIENTED_EDGE('',*,*,#201325,.F.); #277244=ORIENTED_EDGE('',*,*,#201328,.F.); #277245=ORIENTED_EDGE('',*,*,#201331,.F.); #277246=ORIENTED_EDGE('',*,*,#201334,.F.); #277247=ORIENTED_EDGE('',*,*,#201337,.F.); #277248=ORIENTED_EDGE('',*,*,#201340,.F.); #277249=ORIENTED_EDGE('',*,*,#201343,.F.); #277250=ORIENTED_EDGE('',*,*,#201346,.F.); #277251=ORIENTED_EDGE('',*,*,#201349,.F.); #277252=ORIENTED_EDGE('',*,*,#201352,.F.); #277253=ORIENTED_EDGE('',*,*,#201355,.F.); #277254=ORIENTED_EDGE('',*,*,#201358,.F.); #277255=ORIENTED_EDGE('',*,*,#201361,.F.); #277256=ORIENTED_EDGE('',*,*,#201364,.F.); #277257=ORIENTED_EDGE('',*,*,#201367,.F.); #277258=ORIENTED_EDGE('',*,*,#201370,.F.); #277259=ORIENTED_EDGE('',*,*,#201105,.T.); #277260=ORIENTED_EDGE('',*,*,#201108,.T.); #277261=ORIENTED_EDGE('',*,*,#201111,.T.); #277262=ORIENTED_EDGE('',*,*,#201114,.T.); #277263=ORIENTED_EDGE('',*,*,#201117,.T.); #277264=ORIENTED_EDGE('',*,*,#201120,.T.); #277265=ORIENTED_EDGE('',*,*,#201123,.T.); #277266=ORIENTED_EDGE('',*,*,#201126,.T.); #277267=ORIENTED_EDGE('',*,*,#201129,.T.); #277268=ORIENTED_EDGE('',*,*,#201132,.T.); #277269=ORIENTED_EDGE('',*,*,#201135,.T.); #277270=ORIENTED_EDGE('',*,*,#201138,.T.); #277271=ORIENTED_EDGE('',*,*,#201141,.T.); #277272=ORIENTED_EDGE('',*,*,#201144,.T.); #277273=ORIENTED_EDGE('',*,*,#201147,.T.); #277274=ORIENTED_EDGE('',*,*,#201150,.T.); #277275=ORIENTED_EDGE('',*,*,#201375,.F.); #277276=ORIENTED_EDGE('',*,*,#201376,.T.); #277277=ORIENTED_EDGE('',*,*,#201377,.F.); #277278=ORIENTED_EDGE('',*,*,#201376,.F.); #277279=ORIENTED_EDGE('',*,*,#201378,.F.); #277280=ORIENTED_EDGE('',*,*,#201379,.T.); #277281=ORIENTED_EDGE('',*,*,#201380,.F.); #277282=ORIENTED_EDGE('',*,*,#201379,.F.); #277283=ORIENTED_EDGE('',*,*,#201381,.F.); #277284=ORIENTED_EDGE('',*,*,#201382,.T.); #277285=ORIENTED_EDGE('',*,*,#201383,.F.); #277286=ORIENTED_EDGE('',*,*,#201382,.F.); #277287=ORIENTED_EDGE('',*,*,#201384,.F.); #277288=ORIENTED_EDGE('',*,*,#201385,.T.); #277289=ORIENTED_EDGE('',*,*,#201386,.F.); #277290=ORIENTED_EDGE('',*,*,#201385,.F.); #277291=ORIENTED_EDGE('',*,*,#201387,.F.); #277292=ORIENTED_EDGE('',*,*,#201388,.T.); #277293=ORIENTED_EDGE('',*,*,#201389,.F.); #277294=ORIENTED_EDGE('',*,*,#201388,.F.); #277295=ORIENTED_EDGE('',*,*,#201390,.F.); #277296=ORIENTED_EDGE('',*,*,#201391,.T.); #277297=ORIENTED_EDGE('',*,*,#201392,.F.); #277298=ORIENTED_EDGE('',*,*,#201391,.F.); #277299=ORIENTED_EDGE('',*,*,#201393,.F.); #277300=ORIENTED_EDGE('',*,*,#201394,.T.); #277301=ORIENTED_EDGE('',*,*,#201395,.F.); #277302=ORIENTED_EDGE('',*,*,#201394,.F.); #277303=ORIENTED_EDGE('',*,*,#201396,.F.); #277304=ORIENTED_EDGE('',*,*,#201397,.T.); #277305=ORIENTED_EDGE('',*,*,#201398,.F.); #277306=ORIENTED_EDGE('',*,*,#201397,.F.); #277307=ORIENTED_EDGE('',*,*,#201399,.F.); #277308=ORIENTED_EDGE('',*,*,#201400,.T.); #277309=ORIENTED_EDGE('',*,*,#201401,.F.); #277310=ORIENTED_EDGE('',*,*,#201400,.F.); #277311=ORIENTED_EDGE('',*,*,#201402,.F.); #277312=ORIENTED_EDGE('',*,*,#201403,.T.); #277313=ORIENTED_EDGE('',*,*,#201404,.F.); #277314=ORIENTED_EDGE('',*,*,#201403,.F.); #277315=ORIENTED_EDGE('',*,*,#201405,.F.); #277316=ORIENTED_EDGE('',*,*,#201406,.T.); #277317=ORIENTED_EDGE('',*,*,#201407,.F.); #277318=ORIENTED_EDGE('',*,*,#201406,.F.); #277319=ORIENTED_EDGE('',*,*,#201408,.F.); #277320=ORIENTED_EDGE('',*,*,#201409,.T.); #277321=ORIENTED_EDGE('',*,*,#201410,.F.); #277322=ORIENTED_EDGE('',*,*,#201409,.F.); #277323=ORIENTED_EDGE('',*,*,#201411,.F.); #277324=ORIENTED_EDGE('',*,*,#201412,.T.); #277325=ORIENTED_EDGE('',*,*,#201413,.F.); #277326=ORIENTED_EDGE('',*,*,#201412,.F.); #277327=ORIENTED_EDGE('',*,*,#201414,.F.); #277328=ORIENTED_EDGE('',*,*,#201415,.T.); #277329=ORIENTED_EDGE('',*,*,#201416,.F.); #277330=ORIENTED_EDGE('',*,*,#201415,.F.); #277331=ORIENTED_EDGE('',*,*,#201417,.F.); #277332=ORIENTED_EDGE('',*,*,#201418,.T.); #277333=ORIENTED_EDGE('',*,*,#201419,.F.); #277334=ORIENTED_EDGE('',*,*,#201418,.F.); #277335=ORIENTED_EDGE('',*,*,#201420,.F.); #277336=ORIENTED_EDGE('',*,*,#201421,.T.); #277337=ORIENTED_EDGE('',*,*,#201422,.F.); #277338=ORIENTED_EDGE('',*,*,#201421,.F.); #277339=ORIENTED_EDGE('',*,*,#201423,.T.); #277340=ORIENTED_EDGE('',*,*,#201424,.T.); #277341=ORIENTED_EDGE('',*,*,#201425,.F.); #277342=ORIENTED_EDGE('',*,*,#201426,.F.); #277343=ORIENTED_EDGE('',*,*,#201427,.T.); #277344=ORIENTED_EDGE('',*,*,#201426,.T.); #277345=ORIENTED_EDGE('',*,*,#201428,.F.); #277346=ORIENTED_EDGE('',*,*,#201429,.F.); #277347=ORIENTED_EDGE('',*,*,#201430,.T.); #277348=ORIENTED_EDGE('',*,*,#201429,.T.); #277349=ORIENTED_EDGE('',*,*,#201431,.F.); #277350=ORIENTED_EDGE('',*,*,#201432,.F.); #277351=ORIENTED_EDGE('',*,*,#201433,.T.); #277352=ORIENTED_EDGE('',*,*,#201432,.T.); #277353=ORIENTED_EDGE('',*,*,#201434,.F.); #277354=ORIENTED_EDGE('',*,*,#201435,.F.); #277355=ORIENTED_EDGE('',*,*,#201436,.T.); #277356=ORIENTED_EDGE('',*,*,#201435,.T.); #277357=ORIENTED_EDGE('',*,*,#201437,.F.); #277358=ORIENTED_EDGE('',*,*,#201438,.F.); #277359=ORIENTED_EDGE('',*,*,#201439,.T.); #277360=ORIENTED_EDGE('',*,*,#201438,.T.); #277361=ORIENTED_EDGE('',*,*,#201440,.F.); #277362=ORIENTED_EDGE('',*,*,#201441,.F.); #277363=ORIENTED_EDGE('',*,*,#201442,.T.); #277364=ORIENTED_EDGE('',*,*,#201441,.T.); #277365=ORIENTED_EDGE('',*,*,#201443,.F.); #277366=ORIENTED_EDGE('',*,*,#201444,.F.); #277367=ORIENTED_EDGE('',*,*,#201445,.T.); #277368=ORIENTED_EDGE('',*,*,#201444,.T.); #277369=ORIENTED_EDGE('',*,*,#201446,.F.); #277370=ORIENTED_EDGE('',*,*,#201447,.F.); #277371=ORIENTED_EDGE('',*,*,#201448,.T.); #277372=ORIENTED_EDGE('',*,*,#201447,.T.); #277373=ORIENTED_EDGE('',*,*,#201449,.F.); #277374=ORIENTED_EDGE('',*,*,#201450,.F.); #277375=ORIENTED_EDGE('',*,*,#201451,.T.); #277376=ORIENTED_EDGE('',*,*,#201450,.T.); #277377=ORIENTED_EDGE('',*,*,#201452,.F.); #277378=ORIENTED_EDGE('',*,*,#201453,.F.); #277379=ORIENTED_EDGE('',*,*,#201454,.T.); #277380=ORIENTED_EDGE('',*,*,#201453,.T.); #277381=ORIENTED_EDGE('',*,*,#201455,.F.); #277382=ORIENTED_EDGE('',*,*,#201456,.F.); #277383=ORIENTED_EDGE('',*,*,#201457,.T.); #277384=ORIENTED_EDGE('',*,*,#201456,.T.); #277385=ORIENTED_EDGE('',*,*,#201458,.F.); #277386=ORIENTED_EDGE('',*,*,#201459,.F.); #277387=ORIENTED_EDGE('',*,*,#201460,.T.); #277388=ORIENTED_EDGE('',*,*,#201459,.T.); #277389=ORIENTED_EDGE('',*,*,#201461,.F.); #277390=ORIENTED_EDGE('',*,*,#201462,.F.); #277391=ORIENTED_EDGE('',*,*,#201463,.T.); #277392=ORIENTED_EDGE('',*,*,#201462,.T.); #277393=ORIENTED_EDGE('',*,*,#201464,.F.); #277394=ORIENTED_EDGE('',*,*,#201465,.F.); #277395=ORIENTED_EDGE('',*,*,#201466,.T.); #277396=ORIENTED_EDGE('',*,*,#201465,.T.); #277397=ORIENTED_EDGE('',*,*,#201467,.F.); #277398=ORIENTED_EDGE('',*,*,#201468,.F.); #277399=ORIENTED_EDGE('',*,*,#201469,.T.); #277400=ORIENTED_EDGE('',*,*,#201468,.T.); #277401=ORIENTED_EDGE('',*,*,#201470,.F.); #277402=ORIENTED_EDGE('',*,*,#201471,.F.); #277403=ORIENTED_EDGE('',*,*,#201472,.T.); #277404=ORIENTED_EDGE('',*,*,#201471,.T.); #277405=ORIENTED_EDGE('',*,*,#201473,.F.); #277406=ORIENTED_EDGE('',*,*,#201474,.F.); #277407=ORIENTED_EDGE('',*,*,#201475,.T.); #277408=ORIENTED_EDGE('',*,*,#201474,.T.); #277409=ORIENTED_EDGE('',*,*,#201476,.F.); #277410=ORIENTED_EDGE('',*,*,#201477,.F.); #277411=ORIENTED_EDGE('',*,*,#201478,.T.); #277412=ORIENTED_EDGE('',*,*,#201477,.T.); #277413=ORIENTED_EDGE('',*,*,#201479,.F.); #277414=ORIENTED_EDGE('',*,*,#201480,.F.); #277415=ORIENTED_EDGE('',*,*,#201481,.T.); #277416=ORIENTED_EDGE('',*,*,#201480,.T.); #277417=ORIENTED_EDGE('',*,*,#201482,.F.); #277418=ORIENTED_EDGE('',*,*,#201483,.F.); #277419=ORIENTED_EDGE('',*,*,#201484,.T.); #277420=ORIENTED_EDGE('',*,*,#201483,.T.); #277421=ORIENTED_EDGE('',*,*,#201485,.F.); #277422=ORIENTED_EDGE('',*,*,#201486,.F.); #277423=ORIENTED_EDGE('',*,*,#201487,.T.); #277424=ORIENTED_EDGE('',*,*,#201486,.T.); #277425=ORIENTED_EDGE('',*,*,#201488,.F.); #277426=ORIENTED_EDGE('',*,*,#201489,.F.); #277427=ORIENTED_EDGE('',*,*,#201490,.T.); #277428=ORIENTED_EDGE('',*,*,#201489,.T.); #277429=ORIENTED_EDGE('',*,*,#201491,.F.); #277430=ORIENTED_EDGE('',*,*,#201492,.F.); #277431=ORIENTED_EDGE('',*,*,#201493,.T.); #277432=ORIENTED_EDGE('',*,*,#201492,.T.); #277433=ORIENTED_EDGE('',*,*,#201494,.F.); #277434=ORIENTED_EDGE('',*,*,#201495,.F.); #277435=ORIENTED_EDGE('',*,*,#201496,.T.); #277436=ORIENTED_EDGE('',*,*,#201495,.T.); #277437=ORIENTED_EDGE('',*,*,#201497,.F.); #277438=ORIENTED_EDGE('',*,*,#201498,.F.); #277439=ORIENTED_EDGE('',*,*,#201499,.T.); #277440=ORIENTED_EDGE('',*,*,#201498,.T.); #277441=ORIENTED_EDGE('',*,*,#201500,.F.); #277442=ORIENTED_EDGE('',*,*,#201501,.F.); #277443=ORIENTED_EDGE('',*,*,#201502,.T.); #277444=ORIENTED_EDGE('',*,*,#201501,.T.); #277445=ORIENTED_EDGE('',*,*,#201503,.F.); #277446=ORIENTED_EDGE('',*,*,#201504,.F.); #277447=ORIENTED_EDGE('',*,*,#201505,.T.); #277448=ORIENTED_EDGE('',*,*,#201504,.T.); #277449=ORIENTED_EDGE('',*,*,#201506,.F.); #277450=ORIENTED_EDGE('',*,*,#201507,.F.); #277451=ORIENTED_EDGE('',*,*,#201508,.T.); #277452=ORIENTED_EDGE('',*,*,#201507,.T.); #277453=ORIENTED_EDGE('',*,*,#201509,.F.); #277454=ORIENTED_EDGE('',*,*,#201510,.F.); #277455=ORIENTED_EDGE('',*,*,#201511,.T.); #277456=ORIENTED_EDGE('',*,*,#201510,.T.); #277457=ORIENTED_EDGE('',*,*,#201512,.F.); #277458=ORIENTED_EDGE('',*,*,#201513,.F.); #277459=ORIENTED_EDGE('',*,*,#201514,.T.); #277460=ORIENTED_EDGE('',*,*,#201513,.T.); #277461=ORIENTED_EDGE('',*,*,#201515,.F.); #277462=ORIENTED_EDGE('',*,*,#201516,.F.); #277463=ORIENTED_EDGE('',*,*,#201517,.T.); #277464=ORIENTED_EDGE('',*,*,#201516,.T.); #277465=ORIENTED_EDGE('',*,*,#201518,.F.); #277466=ORIENTED_EDGE('',*,*,#201519,.F.); #277467=ORIENTED_EDGE('',*,*,#201520,.T.); #277468=ORIENTED_EDGE('',*,*,#201519,.T.); #277469=ORIENTED_EDGE('',*,*,#201521,.F.); #277470=ORIENTED_EDGE('',*,*,#201522,.F.); #277471=ORIENTED_EDGE('',*,*,#201523,.T.); #277472=ORIENTED_EDGE('',*,*,#201522,.T.); #277473=ORIENTED_EDGE('',*,*,#201524,.F.); #277474=ORIENTED_EDGE('',*,*,#201525,.F.); #277475=ORIENTED_EDGE('',*,*,#201526,.T.); #277476=ORIENTED_EDGE('',*,*,#201525,.T.); #277477=ORIENTED_EDGE('',*,*,#201527,.F.); #277478=ORIENTED_EDGE('',*,*,#201528,.F.); #277479=ORIENTED_EDGE('',*,*,#201529,.T.); #277480=ORIENTED_EDGE('',*,*,#201528,.T.); #277481=ORIENTED_EDGE('',*,*,#201530,.F.); #277482=ORIENTED_EDGE('',*,*,#201531,.F.); #277483=ORIENTED_EDGE('',*,*,#201532,.T.); #277484=ORIENTED_EDGE('',*,*,#201531,.T.); #277485=ORIENTED_EDGE('',*,*,#201533,.F.); #277486=ORIENTED_EDGE('',*,*,#201534,.F.); #277487=ORIENTED_EDGE('',*,*,#201535,.T.); #277488=ORIENTED_EDGE('',*,*,#201534,.T.); #277489=ORIENTED_EDGE('',*,*,#201536,.F.); #277490=ORIENTED_EDGE('',*,*,#201537,.F.); #277491=ORIENTED_EDGE('',*,*,#201538,.T.); #277492=ORIENTED_EDGE('',*,*,#201537,.T.); #277493=ORIENTED_EDGE('',*,*,#201539,.F.); #277494=ORIENTED_EDGE('',*,*,#201540,.F.); #277495=ORIENTED_EDGE('',*,*,#201541,.T.); #277496=ORIENTED_EDGE('',*,*,#201540,.T.); #277497=ORIENTED_EDGE('',*,*,#201542,.F.); #277498=ORIENTED_EDGE('',*,*,#201543,.F.); #277499=ORIENTED_EDGE('',*,*,#201544,.T.); #277500=ORIENTED_EDGE('',*,*,#201543,.T.); #277501=ORIENTED_EDGE('',*,*,#201545,.F.); #277502=ORIENTED_EDGE('',*,*,#201546,.F.); #277503=ORIENTED_EDGE('',*,*,#201547,.T.); #277504=ORIENTED_EDGE('',*,*,#201546,.T.); #277505=ORIENTED_EDGE('',*,*,#201548,.F.); #277506=ORIENTED_EDGE('',*,*,#201549,.F.); #277507=ORIENTED_EDGE('',*,*,#201550,.T.); #277508=ORIENTED_EDGE('',*,*,#201549,.T.); #277509=ORIENTED_EDGE('',*,*,#201551,.F.); #277510=ORIENTED_EDGE('',*,*,#201552,.F.); #277511=ORIENTED_EDGE('',*,*,#201553,.T.); #277512=ORIENTED_EDGE('',*,*,#201552,.T.); #277513=ORIENTED_EDGE('',*,*,#201554,.F.); #277514=ORIENTED_EDGE('',*,*,#201555,.F.); #277515=ORIENTED_EDGE('',*,*,#201556,.T.); #277516=ORIENTED_EDGE('',*,*,#201555,.T.); #277517=ORIENTED_EDGE('',*,*,#201557,.F.); #277518=ORIENTED_EDGE('',*,*,#201558,.F.); #277519=ORIENTED_EDGE('',*,*,#201559,.T.); #277520=ORIENTED_EDGE('',*,*,#201558,.T.); #277521=ORIENTED_EDGE('',*,*,#201560,.F.); #277522=ORIENTED_EDGE('',*,*,#201561,.F.); #277523=ORIENTED_EDGE('',*,*,#201562,.T.); #277524=ORIENTED_EDGE('',*,*,#201561,.T.); #277525=ORIENTED_EDGE('',*,*,#201563,.F.); #277526=ORIENTED_EDGE('',*,*,#201564,.F.); #277527=ORIENTED_EDGE('',*,*,#201565,.T.); #277528=ORIENTED_EDGE('',*,*,#201564,.T.); #277529=ORIENTED_EDGE('',*,*,#201566,.F.); #277530=ORIENTED_EDGE('',*,*,#201567,.F.); #277531=ORIENTED_EDGE('',*,*,#201568,.T.); #277532=ORIENTED_EDGE('',*,*,#201567,.T.); #277533=ORIENTED_EDGE('',*,*,#201569,.F.); #277534=ORIENTED_EDGE('',*,*,#201570,.F.); #277535=ORIENTED_EDGE('',*,*,#201571,.T.); #277536=ORIENTED_EDGE('',*,*,#201570,.T.); #277537=ORIENTED_EDGE('',*,*,#201572,.F.); #277538=ORIENTED_EDGE('',*,*,#201573,.F.); #277539=ORIENTED_EDGE('',*,*,#201574,.T.); #277540=ORIENTED_EDGE('',*,*,#201573,.T.); #277541=ORIENTED_EDGE('',*,*,#201575,.F.); #277542=ORIENTED_EDGE('',*,*,#201576,.F.); #277543=ORIENTED_EDGE('',*,*,#201577,.T.); #277544=ORIENTED_EDGE('',*,*,#201576,.T.); #277545=ORIENTED_EDGE('',*,*,#201578,.F.); #277546=ORIENTED_EDGE('',*,*,#201579,.F.); #277547=ORIENTED_EDGE('',*,*,#201580,.T.); #277548=ORIENTED_EDGE('',*,*,#201579,.T.); #277549=ORIENTED_EDGE('',*,*,#201581,.F.); #277550=ORIENTED_EDGE('',*,*,#201582,.F.); #277551=ORIENTED_EDGE('',*,*,#201583,.T.); #277552=ORIENTED_EDGE('',*,*,#201582,.T.); #277553=ORIENTED_EDGE('',*,*,#201584,.F.); #277554=ORIENTED_EDGE('',*,*,#201585,.F.); #277555=ORIENTED_EDGE('',*,*,#201586,.T.); #277556=ORIENTED_EDGE('',*,*,#201585,.T.); #277557=ORIENTED_EDGE('',*,*,#201587,.F.); #277558=ORIENTED_EDGE('',*,*,#201588,.F.); #277559=ORIENTED_EDGE('',*,*,#201589,.T.); #277560=ORIENTED_EDGE('',*,*,#201588,.T.); #277561=ORIENTED_EDGE('',*,*,#201590,.F.); #277562=ORIENTED_EDGE('',*,*,#201591,.F.); #277563=ORIENTED_EDGE('',*,*,#201592,.T.); #277564=ORIENTED_EDGE('',*,*,#201591,.T.); #277565=ORIENTED_EDGE('',*,*,#201593,.F.); #277566=ORIENTED_EDGE('',*,*,#201594,.F.); #277567=ORIENTED_EDGE('',*,*,#201595,.T.); #277568=ORIENTED_EDGE('',*,*,#201594,.T.); #277569=ORIENTED_EDGE('',*,*,#201596,.F.); #277570=ORIENTED_EDGE('',*,*,#201597,.F.); #277571=ORIENTED_EDGE('',*,*,#201598,.T.); #277572=ORIENTED_EDGE('',*,*,#201597,.T.); #277573=ORIENTED_EDGE('',*,*,#201599,.F.); #277574=ORIENTED_EDGE('',*,*,#201600,.F.); #277575=ORIENTED_EDGE('',*,*,#201601,.T.); #277576=ORIENTED_EDGE('',*,*,#201600,.T.); #277577=ORIENTED_EDGE('',*,*,#201602,.F.); #277578=ORIENTED_EDGE('',*,*,#201603,.F.); #277579=ORIENTED_EDGE('',*,*,#201604,.T.); #277580=ORIENTED_EDGE('',*,*,#201603,.T.); #277581=ORIENTED_EDGE('',*,*,#201605,.F.); #277582=ORIENTED_EDGE('',*,*,#201606,.F.); #277583=ORIENTED_EDGE('',*,*,#201607,.T.); #277584=ORIENTED_EDGE('',*,*,#201606,.T.); #277585=ORIENTED_EDGE('',*,*,#201608,.F.); #277586=ORIENTED_EDGE('',*,*,#201609,.F.); #277587=ORIENTED_EDGE('',*,*,#201610,.T.); #277588=ORIENTED_EDGE('',*,*,#201609,.T.); #277589=ORIENTED_EDGE('',*,*,#201611,.F.); #277590=ORIENTED_EDGE('',*,*,#201612,.F.); #277591=ORIENTED_EDGE('',*,*,#201613,.T.); #277592=ORIENTED_EDGE('',*,*,#201612,.T.); #277593=ORIENTED_EDGE('',*,*,#201614,.F.); #277594=ORIENTED_EDGE('',*,*,#201615,.F.); #277595=ORIENTED_EDGE('',*,*,#201616,.T.); #277596=ORIENTED_EDGE('',*,*,#201615,.T.); #277597=ORIENTED_EDGE('',*,*,#201617,.F.); #277598=ORIENTED_EDGE('',*,*,#201618,.F.); #277599=ORIENTED_EDGE('',*,*,#201619,.T.); #277600=ORIENTED_EDGE('',*,*,#201618,.T.); #277601=ORIENTED_EDGE('',*,*,#201620,.F.); #277602=ORIENTED_EDGE('',*,*,#201621,.F.); #277603=ORIENTED_EDGE('',*,*,#201622,.T.); #277604=ORIENTED_EDGE('',*,*,#201621,.T.); #277605=ORIENTED_EDGE('',*,*,#201623,.F.); #277606=ORIENTED_EDGE('',*,*,#201624,.F.); #277607=ORIENTED_EDGE('',*,*,#201625,.T.); #277608=ORIENTED_EDGE('',*,*,#201624,.T.); #277609=ORIENTED_EDGE('',*,*,#201626,.F.); #277610=ORIENTED_EDGE('',*,*,#201627,.F.); #277611=ORIENTED_EDGE('',*,*,#201628,.T.); #277612=ORIENTED_EDGE('',*,*,#201627,.T.); #277613=ORIENTED_EDGE('',*,*,#201629,.F.); #277614=ORIENTED_EDGE('',*,*,#201630,.F.); #277615=ORIENTED_EDGE('',*,*,#201631,.T.); #277616=ORIENTED_EDGE('',*,*,#201630,.T.); #277617=ORIENTED_EDGE('',*,*,#201632,.F.); #277618=ORIENTED_EDGE('',*,*,#201424,.F.); #277619=ORIENTED_EDGE('',*,*,#201632,.T.); #277620=ORIENTED_EDGE('',*,*,#201629,.T.); #277621=ORIENTED_EDGE('',*,*,#201626,.T.); #277622=ORIENTED_EDGE('',*,*,#201623,.T.); #277623=ORIENTED_EDGE('',*,*,#201620,.T.); #277624=ORIENTED_EDGE('',*,*,#201617,.T.); #277625=ORIENTED_EDGE('',*,*,#201614,.T.); #277626=ORIENTED_EDGE('',*,*,#201611,.T.); #277627=ORIENTED_EDGE('',*,*,#201608,.T.); #277628=ORIENTED_EDGE('',*,*,#201605,.T.); #277629=ORIENTED_EDGE('',*,*,#201602,.T.); #277630=ORIENTED_EDGE('',*,*,#201599,.T.); #277631=ORIENTED_EDGE('',*,*,#201596,.T.); #277632=ORIENTED_EDGE('',*,*,#201593,.T.); #277633=ORIENTED_EDGE('',*,*,#201590,.T.); #277634=ORIENTED_EDGE('',*,*,#201587,.T.); #277635=ORIENTED_EDGE('',*,*,#201584,.T.); #277636=ORIENTED_EDGE('',*,*,#201581,.T.); #277637=ORIENTED_EDGE('',*,*,#201578,.T.); #277638=ORIENTED_EDGE('',*,*,#201575,.T.); #277639=ORIENTED_EDGE('',*,*,#201572,.T.); #277640=ORIENTED_EDGE('',*,*,#201569,.T.); #277641=ORIENTED_EDGE('',*,*,#201566,.T.); #277642=ORIENTED_EDGE('',*,*,#201563,.T.); #277643=ORIENTED_EDGE('',*,*,#201560,.T.); #277644=ORIENTED_EDGE('',*,*,#201557,.T.); #277645=ORIENTED_EDGE('',*,*,#201554,.T.); #277646=ORIENTED_EDGE('',*,*,#201551,.T.); #277647=ORIENTED_EDGE('',*,*,#201548,.T.); #277648=ORIENTED_EDGE('',*,*,#201545,.T.); #277649=ORIENTED_EDGE('',*,*,#201542,.T.); #277650=ORIENTED_EDGE('',*,*,#201539,.T.); #277651=ORIENTED_EDGE('',*,*,#201536,.T.); #277652=ORIENTED_EDGE('',*,*,#201533,.T.); #277653=ORIENTED_EDGE('',*,*,#201530,.T.); #277654=ORIENTED_EDGE('',*,*,#201527,.T.); #277655=ORIENTED_EDGE('',*,*,#201524,.T.); #277656=ORIENTED_EDGE('',*,*,#201521,.T.); #277657=ORIENTED_EDGE('',*,*,#201518,.T.); #277658=ORIENTED_EDGE('',*,*,#201515,.T.); #277659=ORIENTED_EDGE('',*,*,#201512,.T.); #277660=ORIENTED_EDGE('',*,*,#201509,.T.); #277661=ORIENTED_EDGE('',*,*,#201506,.T.); #277662=ORIENTED_EDGE('',*,*,#201503,.T.); #277663=ORIENTED_EDGE('',*,*,#201500,.T.); #277664=ORIENTED_EDGE('',*,*,#201497,.T.); #277665=ORIENTED_EDGE('',*,*,#201494,.T.); #277666=ORIENTED_EDGE('',*,*,#201491,.T.); #277667=ORIENTED_EDGE('',*,*,#201488,.T.); #277668=ORIENTED_EDGE('',*,*,#201485,.T.); #277669=ORIENTED_EDGE('',*,*,#201482,.T.); #277670=ORIENTED_EDGE('',*,*,#201479,.T.); #277671=ORIENTED_EDGE('',*,*,#201476,.T.); #277672=ORIENTED_EDGE('',*,*,#201473,.T.); #277673=ORIENTED_EDGE('',*,*,#201470,.T.); #277674=ORIENTED_EDGE('',*,*,#201467,.T.); #277675=ORIENTED_EDGE('',*,*,#201464,.T.); #277676=ORIENTED_EDGE('',*,*,#201461,.T.); #277677=ORIENTED_EDGE('',*,*,#201458,.T.); #277678=ORIENTED_EDGE('',*,*,#201455,.T.); #277679=ORIENTED_EDGE('',*,*,#201452,.T.); #277680=ORIENTED_EDGE('',*,*,#201449,.T.); #277681=ORIENTED_EDGE('',*,*,#201446,.T.); #277682=ORIENTED_EDGE('',*,*,#201443,.T.); #277683=ORIENTED_EDGE('',*,*,#201440,.T.); #277684=ORIENTED_EDGE('',*,*,#201437,.T.); #277685=ORIENTED_EDGE('',*,*,#201434,.T.); #277686=ORIENTED_EDGE('',*,*,#201431,.T.); #277687=ORIENTED_EDGE('',*,*,#201428,.T.); #277688=ORIENTED_EDGE('',*,*,#201425,.T.); #277689=ORIENTED_EDGE('',*,*,#201377,.T.); #277690=ORIENTED_EDGE('',*,*,#201380,.T.); #277691=ORIENTED_EDGE('',*,*,#201383,.T.); #277692=ORIENTED_EDGE('',*,*,#201386,.T.); #277693=ORIENTED_EDGE('',*,*,#201389,.T.); #277694=ORIENTED_EDGE('',*,*,#201392,.T.); #277695=ORIENTED_EDGE('',*,*,#201395,.T.); #277696=ORIENTED_EDGE('',*,*,#201398,.T.); #277697=ORIENTED_EDGE('',*,*,#201401,.T.); #277698=ORIENTED_EDGE('',*,*,#201404,.T.); #277699=ORIENTED_EDGE('',*,*,#201407,.T.); #277700=ORIENTED_EDGE('',*,*,#201410,.T.); #277701=ORIENTED_EDGE('',*,*,#201413,.T.); #277702=ORIENTED_EDGE('',*,*,#201416,.T.); #277703=ORIENTED_EDGE('',*,*,#201419,.T.); #277704=ORIENTED_EDGE('',*,*,#201422,.T.); #277705=ORIENTED_EDGE('',*,*,#201631,.F.); #277706=ORIENTED_EDGE('',*,*,#201423,.F.); #277707=ORIENTED_EDGE('',*,*,#201427,.F.); #277708=ORIENTED_EDGE('',*,*,#201430,.F.); #277709=ORIENTED_EDGE('',*,*,#201433,.F.); #277710=ORIENTED_EDGE('',*,*,#201436,.F.); #277711=ORIENTED_EDGE('',*,*,#201439,.F.); #277712=ORIENTED_EDGE('',*,*,#201442,.F.); #277713=ORIENTED_EDGE('',*,*,#201445,.F.); #277714=ORIENTED_EDGE('',*,*,#201448,.F.); #277715=ORIENTED_EDGE('',*,*,#201451,.F.); #277716=ORIENTED_EDGE('',*,*,#201454,.F.); #277717=ORIENTED_EDGE('',*,*,#201457,.F.); #277718=ORIENTED_EDGE('',*,*,#201460,.F.); #277719=ORIENTED_EDGE('',*,*,#201463,.F.); #277720=ORIENTED_EDGE('',*,*,#201466,.F.); #277721=ORIENTED_EDGE('',*,*,#201469,.F.); #277722=ORIENTED_EDGE('',*,*,#201472,.F.); #277723=ORIENTED_EDGE('',*,*,#201475,.F.); #277724=ORIENTED_EDGE('',*,*,#201478,.F.); #277725=ORIENTED_EDGE('',*,*,#201481,.F.); #277726=ORIENTED_EDGE('',*,*,#201484,.F.); #277727=ORIENTED_EDGE('',*,*,#201487,.F.); #277728=ORIENTED_EDGE('',*,*,#201490,.F.); #277729=ORIENTED_EDGE('',*,*,#201493,.F.); #277730=ORIENTED_EDGE('',*,*,#201496,.F.); #277731=ORIENTED_EDGE('',*,*,#201499,.F.); #277732=ORIENTED_EDGE('',*,*,#201502,.F.); #277733=ORIENTED_EDGE('',*,*,#201505,.F.); #277734=ORIENTED_EDGE('',*,*,#201508,.F.); #277735=ORIENTED_EDGE('',*,*,#201511,.F.); #277736=ORIENTED_EDGE('',*,*,#201514,.F.); #277737=ORIENTED_EDGE('',*,*,#201517,.F.); #277738=ORIENTED_EDGE('',*,*,#201520,.F.); #277739=ORIENTED_EDGE('',*,*,#201523,.F.); #277740=ORIENTED_EDGE('',*,*,#201526,.F.); #277741=ORIENTED_EDGE('',*,*,#201529,.F.); #277742=ORIENTED_EDGE('',*,*,#201532,.F.); #277743=ORIENTED_EDGE('',*,*,#201535,.F.); #277744=ORIENTED_EDGE('',*,*,#201538,.F.); #277745=ORIENTED_EDGE('',*,*,#201541,.F.); #277746=ORIENTED_EDGE('',*,*,#201544,.F.); #277747=ORIENTED_EDGE('',*,*,#201547,.F.); #277748=ORIENTED_EDGE('',*,*,#201550,.F.); #277749=ORIENTED_EDGE('',*,*,#201553,.F.); #277750=ORIENTED_EDGE('',*,*,#201556,.F.); #277751=ORIENTED_EDGE('',*,*,#201559,.F.); #277752=ORIENTED_EDGE('',*,*,#201562,.F.); #277753=ORIENTED_EDGE('',*,*,#201565,.F.); #277754=ORIENTED_EDGE('',*,*,#201568,.F.); #277755=ORIENTED_EDGE('',*,*,#201571,.F.); #277756=ORIENTED_EDGE('',*,*,#201574,.F.); #277757=ORIENTED_EDGE('',*,*,#201577,.F.); #277758=ORIENTED_EDGE('',*,*,#201580,.F.); #277759=ORIENTED_EDGE('',*,*,#201583,.F.); #277760=ORIENTED_EDGE('',*,*,#201586,.F.); #277761=ORIENTED_EDGE('',*,*,#201589,.F.); #277762=ORIENTED_EDGE('',*,*,#201592,.F.); #277763=ORIENTED_EDGE('',*,*,#201595,.F.); #277764=ORIENTED_EDGE('',*,*,#201598,.F.); #277765=ORIENTED_EDGE('',*,*,#201601,.F.); #277766=ORIENTED_EDGE('',*,*,#201604,.F.); #277767=ORIENTED_EDGE('',*,*,#201607,.F.); #277768=ORIENTED_EDGE('',*,*,#201610,.F.); #277769=ORIENTED_EDGE('',*,*,#201613,.F.); #277770=ORIENTED_EDGE('',*,*,#201616,.F.); #277771=ORIENTED_EDGE('',*,*,#201619,.F.); #277772=ORIENTED_EDGE('',*,*,#201622,.F.); #277773=ORIENTED_EDGE('',*,*,#201625,.F.); #277774=ORIENTED_EDGE('',*,*,#201628,.F.); #277775=ORIENTED_EDGE('',*,*,#201375,.T.); #277776=ORIENTED_EDGE('',*,*,#201378,.T.); #277777=ORIENTED_EDGE('',*,*,#201381,.T.); #277778=ORIENTED_EDGE('',*,*,#201384,.T.); #277779=ORIENTED_EDGE('',*,*,#201387,.T.); #277780=ORIENTED_EDGE('',*,*,#201390,.T.); #277781=ORIENTED_EDGE('',*,*,#201393,.T.); #277782=ORIENTED_EDGE('',*,*,#201396,.T.); #277783=ORIENTED_EDGE('',*,*,#201399,.T.); #277784=ORIENTED_EDGE('',*,*,#201402,.T.); #277785=ORIENTED_EDGE('',*,*,#201405,.T.); #277786=ORIENTED_EDGE('',*,*,#201408,.T.); #277787=ORIENTED_EDGE('',*,*,#201411,.T.); #277788=ORIENTED_EDGE('',*,*,#201414,.T.); #277789=ORIENTED_EDGE('',*,*,#201417,.T.); #277790=ORIENTED_EDGE('',*,*,#201420,.T.); #277791=ORIENTED_EDGE('',*,*,#201633,.F.); #277792=ORIENTED_EDGE('',*,*,#201634,.T.); #277793=ORIENTED_EDGE('',*,*,#201635,.F.); #277794=ORIENTED_EDGE('',*,*,#201634,.F.); #277795=ORIENTED_EDGE('',*,*,#201636,.F.); #277796=ORIENTED_EDGE('',*,*,#201637,.T.); #277797=ORIENTED_EDGE('',*,*,#201638,.F.); #277798=ORIENTED_EDGE('',*,*,#201637,.F.); #277799=ORIENTED_EDGE('',*,*,#201639,.F.); #277800=ORIENTED_EDGE('',*,*,#201640,.T.); #277801=ORIENTED_EDGE('',*,*,#201641,.F.); #277802=ORIENTED_EDGE('',*,*,#201640,.F.); #277803=ORIENTED_EDGE('',*,*,#201642,.F.); #277804=ORIENTED_EDGE('',*,*,#201643,.T.); #277805=ORIENTED_EDGE('',*,*,#201644,.F.); #277806=ORIENTED_EDGE('',*,*,#201643,.F.); #277807=ORIENTED_EDGE('',*,*,#201645,.F.); #277808=ORIENTED_EDGE('',*,*,#201646,.T.); #277809=ORIENTED_EDGE('',*,*,#201647,.F.); #277810=ORIENTED_EDGE('',*,*,#201646,.F.); #277811=ORIENTED_EDGE('',*,*,#201648,.F.); #277812=ORIENTED_EDGE('',*,*,#201649,.T.); #277813=ORIENTED_EDGE('',*,*,#201650,.F.); #277814=ORIENTED_EDGE('',*,*,#201649,.F.); #277815=ORIENTED_EDGE('',*,*,#201651,.F.); #277816=ORIENTED_EDGE('',*,*,#201652,.T.); #277817=ORIENTED_EDGE('',*,*,#201653,.F.); #277818=ORIENTED_EDGE('',*,*,#201652,.F.); #277819=ORIENTED_EDGE('',*,*,#201654,.F.); #277820=ORIENTED_EDGE('',*,*,#201655,.T.); #277821=ORIENTED_EDGE('',*,*,#201656,.F.); #277822=ORIENTED_EDGE('',*,*,#201655,.F.); #277823=ORIENTED_EDGE('',*,*,#201657,.F.); #277824=ORIENTED_EDGE('',*,*,#201658,.T.); #277825=ORIENTED_EDGE('',*,*,#201659,.F.); #277826=ORIENTED_EDGE('',*,*,#201658,.F.); #277827=ORIENTED_EDGE('',*,*,#201660,.F.); #277828=ORIENTED_EDGE('',*,*,#201661,.T.); #277829=ORIENTED_EDGE('',*,*,#201662,.F.); #277830=ORIENTED_EDGE('',*,*,#201661,.F.); #277831=ORIENTED_EDGE('',*,*,#201663,.F.); #277832=ORIENTED_EDGE('',*,*,#201664,.T.); #277833=ORIENTED_EDGE('',*,*,#201665,.F.); #277834=ORIENTED_EDGE('',*,*,#201664,.F.); #277835=ORIENTED_EDGE('',*,*,#201666,.F.); #277836=ORIENTED_EDGE('',*,*,#201667,.T.); #277837=ORIENTED_EDGE('',*,*,#201668,.F.); #277838=ORIENTED_EDGE('',*,*,#201667,.F.); #277839=ORIENTED_EDGE('',*,*,#201669,.F.); #277840=ORIENTED_EDGE('',*,*,#201670,.T.); #277841=ORIENTED_EDGE('',*,*,#201671,.F.); #277842=ORIENTED_EDGE('',*,*,#201670,.F.); #277843=ORIENTED_EDGE('',*,*,#201672,.F.); #277844=ORIENTED_EDGE('',*,*,#201673,.T.); #277845=ORIENTED_EDGE('',*,*,#201674,.F.); #277846=ORIENTED_EDGE('',*,*,#201673,.F.); #277847=ORIENTED_EDGE('',*,*,#201675,.F.); #277848=ORIENTED_EDGE('',*,*,#201676,.T.); #277849=ORIENTED_EDGE('',*,*,#201677,.F.); #277850=ORIENTED_EDGE('',*,*,#201676,.F.); #277851=ORIENTED_EDGE('',*,*,#201678,.F.); #277852=ORIENTED_EDGE('',*,*,#201679,.T.); #277853=ORIENTED_EDGE('',*,*,#201680,.F.); #277854=ORIENTED_EDGE('',*,*,#201679,.F.); #277855=ORIENTED_EDGE('',*,*,#201681,.F.); #277856=ORIENTED_EDGE('',*,*,#201682,.T.); #277857=ORIENTED_EDGE('',*,*,#201683,.F.); #277858=ORIENTED_EDGE('',*,*,#201682,.F.); #277859=ORIENTED_EDGE('',*,*,#201684,.F.); #277860=ORIENTED_EDGE('',*,*,#201685,.T.); #277861=ORIENTED_EDGE('',*,*,#201686,.F.); #277862=ORIENTED_EDGE('',*,*,#201685,.F.); #277863=ORIENTED_EDGE('',*,*,#201687,.F.); #277864=ORIENTED_EDGE('',*,*,#201688,.T.); #277865=ORIENTED_EDGE('',*,*,#201689,.F.); #277866=ORIENTED_EDGE('',*,*,#201688,.F.); #277867=ORIENTED_EDGE('',*,*,#201690,.F.); #277868=ORIENTED_EDGE('',*,*,#201691,.T.); #277869=ORIENTED_EDGE('',*,*,#201692,.F.); #277870=ORIENTED_EDGE('',*,*,#201691,.F.); #277871=ORIENTED_EDGE('',*,*,#201693,.F.); #277872=ORIENTED_EDGE('',*,*,#201694,.T.); #277873=ORIENTED_EDGE('',*,*,#201695,.F.); #277874=ORIENTED_EDGE('',*,*,#201694,.F.); #277875=ORIENTED_EDGE('',*,*,#201696,.F.); #277876=ORIENTED_EDGE('',*,*,#201697,.T.); #277877=ORIENTED_EDGE('',*,*,#201698,.F.); #277878=ORIENTED_EDGE('',*,*,#201697,.F.); #277879=ORIENTED_EDGE('',*,*,#201699,.F.); #277880=ORIENTED_EDGE('',*,*,#201700,.T.); #277881=ORIENTED_EDGE('',*,*,#201701,.F.); #277882=ORIENTED_EDGE('',*,*,#201700,.F.); #277883=ORIENTED_EDGE('',*,*,#201702,.F.); #277884=ORIENTED_EDGE('',*,*,#201703,.T.); #277885=ORIENTED_EDGE('',*,*,#201704,.F.); #277886=ORIENTED_EDGE('',*,*,#201703,.F.); #277887=ORIENTED_EDGE('',*,*,#201705,.F.); #277888=ORIENTED_EDGE('',*,*,#201706,.T.); #277889=ORIENTED_EDGE('',*,*,#201707,.F.); #277890=ORIENTED_EDGE('',*,*,#201706,.F.); #277891=ORIENTED_EDGE('',*,*,#201708,.F.); #277892=ORIENTED_EDGE('',*,*,#201709,.T.); #277893=ORIENTED_EDGE('',*,*,#201710,.F.); #277894=ORIENTED_EDGE('',*,*,#201709,.F.); #277895=ORIENTED_EDGE('',*,*,#201711,.F.); #277896=ORIENTED_EDGE('',*,*,#201712,.T.); #277897=ORIENTED_EDGE('',*,*,#201713,.F.); #277898=ORIENTED_EDGE('',*,*,#201712,.F.); #277899=ORIENTED_EDGE('',*,*,#201714,.F.); #277900=ORIENTED_EDGE('',*,*,#201715,.T.); #277901=ORIENTED_EDGE('',*,*,#201716,.F.); #277902=ORIENTED_EDGE('',*,*,#201715,.F.); #277903=ORIENTED_EDGE('',*,*,#201717,.F.); #277904=ORIENTED_EDGE('',*,*,#201718,.T.); #277905=ORIENTED_EDGE('',*,*,#201719,.F.); #277906=ORIENTED_EDGE('',*,*,#201718,.F.); #277907=ORIENTED_EDGE('',*,*,#201720,.F.); #277908=ORIENTED_EDGE('',*,*,#201721,.T.); #277909=ORIENTED_EDGE('',*,*,#201722,.F.); #277910=ORIENTED_EDGE('',*,*,#201721,.F.); #277911=ORIENTED_EDGE('',*,*,#201723,.T.); #277912=ORIENTED_EDGE('',*,*,#201724,.T.); #277913=ORIENTED_EDGE('',*,*,#201725,.F.); #277914=ORIENTED_EDGE('',*,*,#201726,.F.); #277915=ORIENTED_EDGE('',*,*,#201727,.T.); #277916=ORIENTED_EDGE('',*,*,#201726,.T.); #277917=ORIENTED_EDGE('',*,*,#201728,.F.); #277918=ORIENTED_EDGE('',*,*,#201729,.F.); #277919=ORIENTED_EDGE('',*,*,#201730,.T.); #277920=ORIENTED_EDGE('',*,*,#201729,.T.); #277921=ORIENTED_EDGE('',*,*,#201731,.F.); #277922=ORIENTED_EDGE('',*,*,#201732,.F.); #277923=ORIENTED_EDGE('',*,*,#201733,.T.); #277924=ORIENTED_EDGE('',*,*,#201732,.T.); #277925=ORIENTED_EDGE('',*,*,#201734,.F.); #277926=ORIENTED_EDGE('',*,*,#201735,.F.); #277927=ORIENTED_EDGE('',*,*,#201736,.T.); #277928=ORIENTED_EDGE('',*,*,#201735,.T.); #277929=ORIENTED_EDGE('',*,*,#201737,.F.); #277930=ORIENTED_EDGE('',*,*,#201738,.F.); #277931=ORIENTED_EDGE('',*,*,#201739,.T.); #277932=ORIENTED_EDGE('',*,*,#201738,.T.); #277933=ORIENTED_EDGE('',*,*,#201740,.F.); #277934=ORIENTED_EDGE('',*,*,#201741,.F.); #277935=ORIENTED_EDGE('',*,*,#201742,.T.); #277936=ORIENTED_EDGE('',*,*,#201741,.T.); #277937=ORIENTED_EDGE('',*,*,#201743,.F.); #277938=ORIENTED_EDGE('',*,*,#201744,.F.); #277939=ORIENTED_EDGE('',*,*,#201745,.T.); #277940=ORIENTED_EDGE('',*,*,#201744,.T.); #277941=ORIENTED_EDGE('',*,*,#201746,.F.); #277942=ORIENTED_EDGE('',*,*,#201747,.F.); #277943=ORIENTED_EDGE('',*,*,#201748,.T.); #277944=ORIENTED_EDGE('',*,*,#201747,.T.); #277945=ORIENTED_EDGE('',*,*,#201749,.F.); #277946=ORIENTED_EDGE('',*,*,#201750,.F.); #277947=ORIENTED_EDGE('',*,*,#201751,.T.); #277948=ORIENTED_EDGE('',*,*,#201750,.T.); #277949=ORIENTED_EDGE('',*,*,#201752,.F.); #277950=ORIENTED_EDGE('',*,*,#201753,.F.); #277951=ORIENTED_EDGE('',*,*,#201754,.T.); #277952=ORIENTED_EDGE('',*,*,#201753,.T.); #277953=ORIENTED_EDGE('',*,*,#201755,.F.); #277954=ORIENTED_EDGE('',*,*,#201756,.F.); #277955=ORIENTED_EDGE('',*,*,#201757,.T.); #277956=ORIENTED_EDGE('',*,*,#201756,.T.); #277957=ORIENTED_EDGE('',*,*,#201758,.F.); #277958=ORIENTED_EDGE('',*,*,#201759,.F.); #277959=ORIENTED_EDGE('',*,*,#201760,.T.); #277960=ORIENTED_EDGE('',*,*,#201759,.T.); #277961=ORIENTED_EDGE('',*,*,#201761,.F.); #277962=ORIENTED_EDGE('',*,*,#201762,.F.); #277963=ORIENTED_EDGE('',*,*,#201763,.T.); #277964=ORIENTED_EDGE('',*,*,#201762,.T.); #277965=ORIENTED_EDGE('',*,*,#201764,.F.); #277966=ORIENTED_EDGE('',*,*,#201765,.F.); #277967=ORIENTED_EDGE('',*,*,#201766,.T.); #277968=ORIENTED_EDGE('',*,*,#201765,.T.); #277969=ORIENTED_EDGE('',*,*,#201767,.F.); #277970=ORIENTED_EDGE('',*,*,#201768,.F.); #277971=ORIENTED_EDGE('',*,*,#201769,.T.); #277972=ORIENTED_EDGE('',*,*,#201768,.T.); #277973=ORIENTED_EDGE('',*,*,#201770,.F.); #277974=ORIENTED_EDGE('',*,*,#201771,.F.); #277975=ORIENTED_EDGE('',*,*,#201772,.T.); #277976=ORIENTED_EDGE('',*,*,#201771,.T.); #277977=ORIENTED_EDGE('',*,*,#201773,.F.); #277978=ORIENTED_EDGE('',*,*,#201774,.F.); #277979=ORIENTED_EDGE('',*,*,#201775,.T.); #277980=ORIENTED_EDGE('',*,*,#201774,.T.); #277981=ORIENTED_EDGE('',*,*,#201776,.F.); #277982=ORIENTED_EDGE('',*,*,#201777,.F.); #277983=ORIENTED_EDGE('',*,*,#201778,.T.); #277984=ORIENTED_EDGE('',*,*,#201777,.T.); #277985=ORIENTED_EDGE('',*,*,#201779,.F.); #277986=ORIENTED_EDGE('',*,*,#201780,.F.); #277987=ORIENTED_EDGE('',*,*,#201781,.T.); #277988=ORIENTED_EDGE('',*,*,#201780,.T.); #277989=ORIENTED_EDGE('',*,*,#201782,.F.); #277990=ORIENTED_EDGE('',*,*,#201783,.F.); #277991=ORIENTED_EDGE('',*,*,#201784,.T.); #277992=ORIENTED_EDGE('',*,*,#201783,.T.); #277993=ORIENTED_EDGE('',*,*,#201785,.F.); #277994=ORIENTED_EDGE('',*,*,#201786,.F.); #277995=ORIENTED_EDGE('',*,*,#201787,.T.); #277996=ORIENTED_EDGE('',*,*,#201786,.T.); #277997=ORIENTED_EDGE('',*,*,#201788,.F.); #277998=ORIENTED_EDGE('',*,*,#201789,.F.); #277999=ORIENTED_EDGE('',*,*,#201790,.T.); #278000=ORIENTED_EDGE('',*,*,#201789,.T.); #278001=ORIENTED_EDGE('',*,*,#201791,.F.); #278002=ORIENTED_EDGE('',*,*,#201792,.F.); #278003=ORIENTED_EDGE('',*,*,#201793,.T.); #278004=ORIENTED_EDGE('',*,*,#201792,.T.); #278005=ORIENTED_EDGE('',*,*,#201794,.F.); #278006=ORIENTED_EDGE('',*,*,#201795,.F.); #278007=ORIENTED_EDGE('',*,*,#201796,.T.); #278008=ORIENTED_EDGE('',*,*,#201795,.T.); #278009=ORIENTED_EDGE('',*,*,#201797,.F.); #278010=ORIENTED_EDGE('',*,*,#201798,.F.); #278011=ORIENTED_EDGE('',*,*,#201799,.T.); #278012=ORIENTED_EDGE('',*,*,#201798,.T.); #278013=ORIENTED_EDGE('',*,*,#201800,.F.); #278014=ORIENTED_EDGE('',*,*,#201801,.F.); #278015=ORIENTED_EDGE('',*,*,#201802,.T.); #278016=ORIENTED_EDGE('',*,*,#201801,.T.); #278017=ORIENTED_EDGE('',*,*,#201803,.F.); #278018=ORIENTED_EDGE('',*,*,#201804,.F.); #278019=ORIENTED_EDGE('',*,*,#201805,.T.); #278020=ORIENTED_EDGE('',*,*,#201804,.T.); #278021=ORIENTED_EDGE('',*,*,#201806,.F.); #278022=ORIENTED_EDGE('',*,*,#201807,.F.); #278023=ORIENTED_EDGE('',*,*,#201808,.T.); #278024=ORIENTED_EDGE('',*,*,#201807,.T.); #278025=ORIENTED_EDGE('',*,*,#201809,.F.); #278026=ORIENTED_EDGE('',*,*,#201810,.F.); #278027=ORIENTED_EDGE('',*,*,#201811,.T.); #278028=ORIENTED_EDGE('',*,*,#201810,.T.); #278029=ORIENTED_EDGE('',*,*,#201812,.F.); #278030=ORIENTED_EDGE('',*,*,#201813,.F.); #278031=ORIENTED_EDGE('',*,*,#201814,.T.); #278032=ORIENTED_EDGE('',*,*,#201813,.T.); #278033=ORIENTED_EDGE('',*,*,#201815,.F.); #278034=ORIENTED_EDGE('',*,*,#201816,.F.); #278035=ORIENTED_EDGE('',*,*,#201817,.T.); #278036=ORIENTED_EDGE('',*,*,#201816,.T.); #278037=ORIENTED_EDGE('',*,*,#201818,.F.); #278038=ORIENTED_EDGE('',*,*,#201819,.F.); #278039=ORIENTED_EDGE('',*,*,#201820,.T.); #278040=ORIENTED_EDGE('',*,*,#201819,.T.); #278041=ORIENTED_EDGE('',*,*,#201821,.F.); #278042=ORIENTED_EDGE('',*,*,#201822,.F.); #278043=ORIENTED_EDGE('',*,*,#201823,.T.); #278044=ORIENTED_EDGE('',*,*,#201822,.T.); #278045=ORIENTED_EDGE('',*,*,#201824,.F.); #278046=ORIENTED_EDGE('',*,*,#201825,.F.); #278047=ORIENTED_EDGE('',*,*,#201826,.T.); #278048=ORIENTED_EDGE('',*,*,#201825,.T.); #278049=ORIENTED_EDGE('',*,*,#201827,.F.); #278050=ORIENTED_EDGE('',*,*,#201828,.F.); #278051=ORIENTED_EDGE('',*,*,#201829,.T.); #278052=ORIENTED_EDGE('',*,*,#201828,.T.); #278053=ORIENTED_EDGE('',*,*,#201830,.F.); #278054=ORIENTED_EDGE('',*,*,#201831,.F.); #278055=ORIENTED_EDGE('',*,*,#201832,.T.); #278056=ORIENTED_EDGE('',*,*,#201831,.T.); #278057=ORIENTED_EDGE('',*,*,#201833,.F.); #278058=ORIENTED_EDGE('',*,*,#201834,.F.); #278059=ORIENTED_EDGE('',*,*,#201835,.T.); #278060=ORIENTED_EDGE('',*,*,#201834,.T.); #278061=ORIENTED_EDGE('',*,*,#201836,.F.); #278062=ORIENTED_EDGE('',*,*,#201837,.F.); #278063=ORIENTED_EDGE('',*,*,#201838,.T.); #278064=ORIENTED_EDGE('',*,*,#201837,.T.); #278065=ORIENTED_EDGE('',*,*,#201839,.F.); #278066=ORIENTED_EDGE('',*,*,#201840,.F.); #278067=ORIENTED_EDGE('',*,*,#201841,.T.); #278068=ORIENTED_EDGE('',*,*,#201840,.T.); #278069=ORIENTED_EDGE('',*,*,#201842,.F.); #278070=ORIENTED_EDGE('',*,*,#201843,.F.); #278071=ORIENTED_EDGE('',*,*,#201844,.T.); #278072=ORIENTED_EDGE('',*,*,#201843,.T.); #278073=ORIENTED_EDGE('',*,*,#201845,.F.); #278074=ORIENTED_EDGE('',*,*,#201846,.F.); #278075=ORIENTED_EDGE('',*,*,#201847,.T.); #278076=ORIENTED_EDGE('',*,*,#201846,.T.); #278077=ORIENTED_EDGE('',*,*,#201848,.F.); #278078=ORIENTED_EDGE('',*,*,#201849,.F.); #278079=ORIENTED_EDGE('',*,*,#201850,.T.); #278080=ORIENTED_EDGE('',*,*,#201849,.T.); #278081=ORIENTED_EDGE('',*,*,#201851,.F.); #278082=ORIENTED_EDGE('',*,*,#201852,.F.); #278083=ORIENTED_EDGE('',*,*,#201853,.T.); #278084=ORIENTED_EDGE('',*,*,#201852,.T.); #278085=ORIENTED_EDGE('',*,*,#201854,.F.); #278086=ORIENTED_EDGE('',*,*,#201855,.F.); #278087=ORIENTED_EDGE('',*,*,#201856,.T.); #278088=ORIENTED_EDGE('',*,*,#201855,.T.); #278089=ORIENTED_EDGE('',*,*,#201857,.F.); #278090=ORIENTED_EDGE('',*,*,#201724,.F.); #278091=ORIENTED_EDGE('',*,*,#201857,.T.); #278092=ORIENTED_EDGE('',*,*,#201854,.T.); #278093=ORIENTED_EDGE('',*,*,#201851,.T.); #278094=ORIENTED_EDGE('',*,*,#201848,.T.); #278095=ORIENTED_EDGE('',*,*,#201845,.T.); #278096=ORIENTED_EDGE('',*,*,#201842,.T.); #278097=ORIENTED_EDGE('',*,*,#201839,.T.); #278098=ORIENTED_EDGE('',*,*,#201836,.T.); #278099=ORIENTED_EDGE('',*,*,#201833,.T.); #278100=ORIENTED_EDGE('',*,*,#201830,.T.); #278101=ORIENTED_EDGE('',*,*,#201827,.T.); #278102=ORIENTED_EDGE('',*,*,#201824,.T.); #278103=ORIENTED_EDGE('',*,*,#201821,.T.); #278104=ORIENTED_EDGE('',*,*,#201818,.T.); #278105=ORIENTED_EDGE('',*,*,#201815,.T.); #278106=ORIENTED_EDGE('',*,*,#201812,.T.); #278107=ORIENTED_EDGE('',*,*,#201809,.T.); #278108=ORIENTED_EDGE('',*,*,#201806,.T.); #278109=ORIENTED_EDGE('',*,*,#201803,.T.); #278110=ORIENTED_EDGE('',*,*,#201800,.T.); #278111=ORIENTED_EDGE('',*,*,#201797,.T.); #278112=ORIENTED_EDGE('',*,*,#201794,.T.); #278113=ORIENTED_EDGE('',*,*,#201791,.T.); #278114=ORIENTED_EDGE('',*,*,#201788,.T.); #278115=ORIENTED_EDGE('',*,*,#201785,.T.); #278116=ORIENTED_EDGE('',*,*,#201782,.T.); #278117=ORIENTED_EDGE('',*,*,#201779,.T.); #278118=ORIENTED_EDGE('',*,*,#201776,.T.); #278119=ORIENTED_EDGE('',*,*,#201773,.T.); #278120=ORIENTED_EDGE('',*,*,#201770,.T.); #278121=ORIENTED_EDGE('',*,*,#201767,.T.); #278122=ORIENTED_EDGE('',*,*,#201764,.T.); #278123=ORIENTED_EDGE('',*,*,#201761,.T.); #278124=ORIENTED_EDGE('',*,*,#201758,.T.); #278125=ORIENTED_EDGE('',*,*,#201755,.T.); #278126=ORIENTED_EDGE('',*,*,#201752,.T.); #278127=ORIENTED_EDGE('',*,*,#201749,.T.); #278128=ORIENTED_EDGE('',*,*,#201746,.T.); #278129=ORIENTED_EDGE('',*,*,#201743,.T.); #278130=ORIENTED_EDGE('',*,*,#201740,.T.); #278131=ORIENTED_EDGE('',*,*,#201737,.T.); #278132=ORIENTED_EDGE('',*,*,#201734,.T.); #278133=ORIENTED_EDGE('',*,*,#201731,.T.); #278134=ORIENTED_EDGE('',*,*,#201728,.T.); #278135=ORIENTED_EDGE('',*,*,#201725,.T.); #278136=ORIENTED_EDGE('',*,*,#201635,.T.); #278137=ORIENTED_EDGE('',*,*,#201638,.T.); #278138=ORIENTED_EDGE('',*,*,#201641,.T.); #278139=ORIENTED_EDGE('',*,*,#201644,.T.); #278140=ORIENTED_EDGE('',*,*,#201647,.T.); #278141=ORIENTED_EDGE('',*,*,#201650,.T.); #278142=ORIENTED_EDGE('',*,*,#201653,.T.); #278143=ORIENTED_EDGE('',*,*,#201656,.T.); #278144=ORIENTED_EDGE('',*,*,#201659,.T.); #278145=ORIENTED_EDGE('',*,*,#201662,.T.); #278146=ORIENTED_EDGE('',*,*,#201665,.T.); #278147=ORIENTED_EDGE('',*,*,#201668,.T.); #278148=ORIENTED_EDGE('',*,*,#201671,.T.); #278149=ORIENTED_EDGE('',*,*,#201674,.T.); #278150=ORIENTED_EDGE('',*,*,#201677,.T.); #278151=ORIENTED_EDGE('',*,*,#201680,.T.); #278152=ORIENTED_EDGE('',*,*,#201683,.T.); #278153=ORIENTED_EDGE('',*,*,#201686,.T.); #278154=ORIENTED_EDGE('',*,*,#201689,.T.); #278155=ORIENTED_EDGE('',*,*,#201692,.T.); #278156=ORIENTED_EDGE('',*,*,#201695,.T.); #278157=ORIENTED_EDGE('',*,*,#201698,.T.); #278158=ORIENTED_EDGE('',*,*,#201701,.T.); #278159=ORIENTED_EDGE('',*,*,#201704,.T.); #278160=ORIENTED_EDGE('',*,*,#201707,.T.); #278161=ORIENTED_EDGE('',*,*,#201710,.T.); #278162=ORIENTED_EDGE('',*,*,#201713,.T.); #278163=ORIENTED_EDGE('',*,*,#201716,.T.); #278164=ORIENTED_EDGE('',*,*,#201719,.T.); #278165=ORIENTED_EDGE('',*,*,#201722,.T.); #278166=ORIENTED_EDGE('',*,*,#201856,.F.); #278167=ORIENTED_EDGE('',*,*,#201723,.F.); #278168=ORIENTED_EDGE('',*,*,#201727,.F.); #278169=ORIENTED_EDGE('',*,*,#201730,.F.); #278170=ORIENTED_EDGE('',*,*,#201733,.F.); #278171=ORIENTED_EDGE('',*,*,#201736,.F.); #278172=ORIENTED_EDGE('',*,*,#201739,.F.); #278173=ORIENTED_EDGE('',*,*,#201742,.F.); #278174=ORIENTED_EDGE('',*,*,#201745,.F.); #278175=ORIENTED_EDGE('',*,*,#201748,.F.); #278176=ORIENTED_EDGE('',*,*,#201751,.F.); #278177=ORIENTED_EDGE('',*,*,#201754,.F.); #278178=ORIENTED_EDGE('',*,*,#201757,.F.); #278179=ORIENTED_EDGE('',*,*,#201760,.F.); #278180=ORIENTED_EDGE('',*,*,#201763,.F.); #278181=ORIENTED_EDGE('',*,*,#201766,.F.); #278182=ORIENTED_EDGE('',*,*,#201769,.F.); #278183=ORIENTED_EDGE('',*,*,#201772,.F.); #278184=ORIENTED_EDGE('',*,*,#201775,.F.); #278185=ORIENTED_EDGE('',*,*,#201778,.F.); #278186=ORIENTED_EDGE('',*,*,#201781,.F.); #278187=ORIENTED_EDGE('',*,*,#201784,.F.); #278188=ORIENTED_EDGE('',*,*,#201787,.F.); #278189=ORIENTED_EDGE('',*,*,#201790,.F.); #278190=ORIENTED_EDGE('',*,*,#201793,.F.); #278191=ORIENTED_EDGE('',*,*,#201796,.F.); #278192=ORIENTED_EDGE('',*,*,#201799,.F.); #278193=ORIENTED_EDGE('',*,*,#201802,.F.); #278194=ORIENTED_EDGE('',*,*,#201805,.F.); #278195=ORIENTED_EDGE('',*,*,#201808,.F.); #278196=ORIENTED_EDGE('',*,*,#201811,.F.); #278197=ORIENTED_EDGE('',*,*,#201814,.F.); #278198=ORIENTED_EDGE('',*,*,#201817,.F.); #278199=ORIENTED_EDGE('',*,*,#201820,.F.); #278200=ORIENTED_EDGE('',*,*,#201823,.F.); #278201=ORIENTED_EDGE('',*,*,#201826,.F.); #278202=ORIENTED_EDGE('',*,*,#201829,.F.); #278203=ORIENTED_EDGE('',*,*,#201832,.F.); #278204=ORIENTED_EDGE('',*,*,#201835,.F.); #278205=ORIENTED_EDGE('',*,*,#201838,.F.); #278206=ORIENTED_EDGE('',*,*,#201841,.F.); #278207=ORIENTED_EDGE('',*,*,#201844,.F.); #278208=ORIENTED_EDGE('',*,*,#201847,.F.); #278209=ORIENTED_EDGE('',*,*,#201850,.F.); #278210=ORIENTED_EDGE('',*,*,#201853,.F.); #278211=ORIENTED_EDGE('',*,*,#201633,.T.); #278212=ORIENTED_EDGE('',*,*,#201636,.T.); #278213=ORIENTED_EDGE('',*,*,#201639,.T.); #278214=ORIENTED_EDGE('',*,*,#201642,.T.); #278215=ORIENTED_EDGE('',*,*,#201645,.T.); #278216=ORIENTED_EDGE('',*,*,#201648,.T.); #278217=ORIENTED_EDGE('',*,*,#201651,.T.); #278218=ORIENTED_EDGE('',*,*,#201654,.T.); #278219=ORIENTED_EDGE('',*,*,#201657,.T.); #278220=ORIENTED_EDGE('',*,*,#201660,.T.); #278221=ORIENTED_EDGE('',*,*,#201663,.T.); #278222=ORIENTED_EDGE('',*,*,#201666,.T.); #278223=ORIENTED_EDGE('',*,*,#201669,.T.); #278224=ORIENTED_EDGE('',*,*,#201672,.T.); #278225=ORIENTED_EDGE('',*,*,#201675,.T.); #278226=ORIENTED_EDGE('',*,*,#201678,.T.); #278227=ORIENTED_EDGE('',*,*,#201681,.T.); #278228=ORIENTED_EDGE('',*,*,#201684,.T.); #278229=ORIENTED_EDGE('',*,*,#201687,.T.); #278230=ORIENTED_EDGE('',*,*,#201690,.T.); #278231=ORIENTED_EDGE('',*,*,#201693,.T.); #278232=ORIENTED_EDGE('',*,*,#201696,.T.); #278233=ORIENTED_EDGE('',*,*,#201699,.T.); #278234=ORIENTED_EDGE('',*,*,#201702,.T.); #278235=ORIENTED_EDGE('',*,*,#201705,.T.); #278236=ORIENTED_EDGE('',*,*,#201708,.T.); #278237=ORIENTED_EDGE('',*,*,#201711,.T.); #278238=ORIENTED_EDGE('',*,*,#201714,.T.); #278239=ORIENTED_EDGE('',*,*,#201717,.T.); #278240=ORIENTED_EDGE('',*,*,#201720,.T.); #278241=ORIENTED_EDGE('',*,*,#201858,.F.); #278242=ORIENTED_EDGE('',*,*,#201859,.T.); #278243=ORIENTED_EDGE('',*,*,#201860,.F.); #278244=ORIENTED_EDGE('',*,*,#201859,.F.); #278245=ORIENTED_EDGE('',*,*,#201861,.F.); #278246=ORIENTED_EDGE('',*,*,#201862,.T.); #278247=ORIENTED_EDGE('',*,*,#201863,.F.); #278248=ORIENTED_EDGE('',*,*,#201862,.F.); #278249=ORIENTED_EDGE('',*,*,#201864,.T.); #278250=ORIENTED_EDGE('',*,*,#201865,.T.); #278251=ORIENTED_EDGE('',*,*,#201866,.F.); #278252=ORIENTED_EDGE('',*,*,#201867,.F.); #278253=ORIENTED_EDGE('',*,*,#201868,.T.); #278254=ORIENTED_EDGE('',*,*,#201867,.T.); #278255=ORIENTED_EDGE('',*,*,#201869,.F.); #278256=ORIENTED_EDGE('',*,*,#201870,.F.); #278257=ORIENTED_EDGE('',*,*,#201871,.T.); #278258=ORIENTED_EDGE('',*,*,#201870,.T.); #278259=ORIENTED_EDGE('',*,*,#201872,.F.); #278260=ORIENTED_EDGE('',*,*,#201873,.F.); #278261=ORIENTED_EDGE('',*,*,#201874,.T.); #278262=ORIENTED_EDGE('',*,*,#201873,.T.); #278263=ORIENTED_EDGE('',*,*,#201875,.F.); #278264=ORIENTED_EDGE('',*,*,#201876,.F.); #278265=ORIENTED_EDGE('',*,*,#201877,.T.); #278266=ORIENTED_EDGE('',*,*,#201876,.T.); #278267=ORIENTED_EDGE('',*,*,#201878,.F.); #278268=ORIENTED_EDGE('',*,*,#201879,.F.); #278269=ORIENTED_EDGE('',*,*,#201880,.T.); #278270=ORIENTED_EDGE('',*,*,#201879,.T.); #278271=ORIENTED_EDGE('',*,*,#201881,.F.); #278272=ORIENTED_EDGE('',*,*,#201882,.F.); #278273=ORIENTED_EDGE('',*,*,#201883,.T.); #278274=ORIENTED_EDGE('',*,*,#201882,.T.); #278275=ORIENTED_EDGE('',*,*,#201884,.F.); #278276=ORIENTED_EDGE('',*,*,#201865,.F.); #278277=ORIENTED_EDGE('',*,*,#201884,.T.); #278278=ORIENTED_EDGE('',*,*,#201881,.T.); #278279=ORIENTED_EDGE('',*,*,#201878,.T.); #278280=ORIENTED_EDGE('',*,*,#201875,.T.); #278281=ORIENTED_EDGE('',*,*,#201872,.T.); #278282=ORIENTED_EDGE('',*,*,#201869,.T.); #278283=ORIENTED_EDGE('',*,*,#201866,.T.); #278284=ORIENTED_EDGE('',*,*,#201860,.T.); #278285=ORIENTED_EDGE('',*,*,#201863,.T.); #278286=ORIENTED_EDGE('',*,*,#201883,.F.); #278287=ORIENTED_EDGE('',*,*,#201864,.F.); #278288=ORIENTED_EDGE('',*,*,#201868,.F.); #278289=ORIENTED_EDGE('',*,*,#201871,.F.); #278290=ORIENTED_EDGE('',*,*,#201874,.F.); #278291=ORIENTED_EDGE('',*,*,#201877,.F.); #278292=ORIENTED_EDGE('',*,*,#201880,.F.); #278293=ORIENTED_EDGE('',*,*,#201858,.T.); #278294=ORIENTED_EDGE('',*,*,#201861,.T.); #278295=ORIENTED_EDGE('',*,*,#201885,.F.); #278296=ORIENTED_EDGE('',*,*,#201886,.T.); #278297=ORIENTED_EDGE('',*,*,#201887,.F.); #278298=ORIENTED_EDGE('',*,*,#201886,.F.); #278299=ORIENTED_EDGE('',*,*,#201888,.F.); #278300=ORIENTED_EDGE('',*,*,#201889,.T.); #278301=ORIENTED_EDGE('',*,*,#201890,.F.); #278302=ORIENTED_EDGE('',*,*,#201889,.F.); #278303=ORIENTED_EDGE('',*,*,#201891,.F.); #278304=ORIENTED_EDGE('',*,*,#201892,.T.); #278305=ORIENTED_EDGE('',*,*,#201893,.F.); #278306=ORIENTED_EDGE('',*,*,#201892,.F.); #278307=ORIENTED_EDGE('',*,*,#201894,.F.); #278308=ORIENTED_EDGE('',*,*,#201895,.T.); #278309=ORIENTED_EDGE('',*,*,#201896,.F.); #278310=ORIENTED_EDGE('',*,*,#201895,.F.); #278311=ORIENTED_EDGE('',*,*,#201897,.F.); #278312=ORIENTED_EDGE('',*,*,#201898,.T.); #278313=ORIENTED_EDGE('',*,*,#201899,.F.); #278314=ORIENTED_EDGE('',*,*,#201898,.F.); #278315=ORIENTED_EDGE('',*,*,#201900,.F.); #278316=ORIENTED_EDGE('',*,*,#201901,.T.); #278317=ORIENTED_EDGE('',*,*,#201902,.F.); #278318=ORIENTED_EDGE('',*,*,#201901,.F.); #278319=ORIENTED_EDGE('',*,*,#201903,.F.); #278320=ORIENTED_EDGE('',*,*,#201904,.T.); #278321=ORIENTED_EDGE('',*,*,#201905,.F.); #278322=ORIENTED_EDGE('',*,*,#201904,.F.); #278323=ORIENTED_EDGE('',*,*,#201906,.F.); #278324=ORIENTED_EDGE('',*,*,#201907,.T.); #278325=ORIENTED_EDGE('',*,*,#201908,.F.); #278326=ORIENTED_EDGE('',*,*,#201907,.F.); #278327=ORIENTED_EDGE('',*,*,#201909,.F.); #278328=ORIENTED_EDGE('',*,*,#201910,.T.); #278329=ORIENTED_EDGE('',*,*,#201911,.F.); #278330=ORIENTED_EDGE('',*,*,#201910,.F.); #278331=ORIENTED_EDGE('',*,*,#201912,.F.); #278332=ORIENTED_EDGE('',*,*,#201913,.T.); #278333=ORIENTED_EDGE('',*,*,#201914,.F.); #278334=ORIENTED_EDGE('',*,*,#201913,.F.); #278335=ORIENTED_EDGE('',*,*,#201915,.T.); #278336=ORIENTED_EDGE('',*,*,#201916,.T.); #278337=ORIENTED_EDGE('',*,*,#201917,.F.); #278338=ORIENTED_EDGE('',*,*,#201918,.F.); #278339=ORIENTED_EDGE('',*,*,#201919,.T.); #278340=ORIENTED_EDGE('',*,*,#201918,.T.); #278341=ORIENTED_EDGE('',*,*,#201920,.F.); #278342=ORIENTED_EDGE('',*,*,#201921,.F.); #278343=ORIENTED_EDGE('',*,*,#201922,.T.); #278344=ORIENTED_EDGE('',*,*,#201921,.T.); #278345=ORIENTED_EDGE('',*,*,#201923,.F.); #278346=ORIENTED_EDGE('',*,*,#201924,.F.); #278347=ORIENTED_EDGE('',*,*,#201925,.T.); #278348=ORIENTED_EDGE('',*,*,#201924,.T.); #278349=ORIENTED_EDGE('',*,*,#201926,.F.); #278350=ORIENTED_EDGE('',*,*,#201927,.F.); #278351=ORIENTED_EDGE('',*,*,#201928,.T.); #278352=ORIENTED_EDGE('',*,*,#201927,.T.); #278353=ORIENTED_EDGE('',*,*,#201929,.F.); #278354=ORIENTED_EDGE('',*,*,#201930,.F.); #278355=ORIENTED_EDGE('',*,*,#201931,.T.); #278356=ORIENTED_EDGE('',*,*,#201930,.T.); #278357=ORIENTED_EDGE('',*,*,#201932,.F.); #278358=ORIENTED_EDGE('',*,*,#201933,.F.); #278359=ORIENTED_EDGE('',*,*,#201934,.T.); #278360=ORIENTED_EDGE('',*,*,#201933,.T.); #278361=ORIENTED_EDGE('',*,*,#201935,.F.); #278362=ORIENTED_EDGE('',*,*,#201936,.F.); #278363=ORIENTED_EDGE('',*,*,#201937,.T.); #278364=ORIENTED_EDGE('',*,*,#201936,.T.); #278365=ORIENTED_EDGE('',*,*,#201938,.F.); #278366=ORIENTED_EDGE('',*,*,#201939,.F.); #278367=ORIENTED_EDGE('',*,*,#201940,.T.); #278368=ORIENTED_EDGE('',*,*,#201939,.T.); #278369=ORIENTED_EDGE('',*,*,#201941,.F.); #278370=ORIENTED_EDGE('',*,*,#201942,.F.); #278371=ORIENTED_EDGE('',*,*,#201943,.T.); #278372=ORIENTED_EDGE('',*,*,#201942,.T.); #278373=ORIENTED_EDGE('',*,*,#201944,.F.); #278374=ORIENTED_EDGE('',*,*,#201945,.F.); #278375=ORIENTED_EDGE('',*,*,#201946,.T.); #278376=ORIENTED_EDGE('',*,*,#201945,.T.); #278377=ORIENTED_EDGE('',*,*,#201947,.F.); #278378=ORIENTED_EDGE('',*,*,#201948,.F.); #278379=ORIENTED_EDGE('',*,*,#201949,.T.); #278380=ORIENTED_EDGE('',*,*,#201948,.T.); #278381=ORIENTED_EDGE('',*,*,#201950,.F.); #278382=ORIENTED_EDGE('',*,*,#201951,.F.); #278383=ORIENTED_EDGE('',*,*,#201952,.T.); #278384=ORIENTED_EDGE('',*,*,#201951,.T.); #278385=ORIENTED_EDGE('',*,*,#201953,.F.); #278386=ORIENTED_EDGE('',*,*,#201954,.F.); #278387=ORIENTED_EDGE('',*,*,#201955,.T.); #278388=ORIENTED_EDGE('',*,*,#201954,.T.); #278389=ORIENTED_EDGE('',*,*,#201956,.F.); #278390=ORIENTED_EDGE('',*,*,#201957,.F.); #278391=ORIENTED_EDGE('',*,*,#201958,.T.); #278392=ORIENTED_EDGE('',*,*,#201957,.T.); #278393=ORIENTED_EDGE('',*,*,#201959,.F.); #278394=ORIENTED_EDGE('',*,*,#201960,.F.); #278395=ORIENTED_EDGE('',*,*,#201961,.T.); #278396=ORIENTED_EDGE('',*,*,#201960,.T.); #278397=ORIENTED_EDGE('',*,*,#201962,.F.); #278398=ORIENTED_EDGE('',*,*,#201963,.F.); #278399=ORIENTED_EDGE('',*,*,#201964,.T.); #278400=ORIENTED_EDGE('',*,*,#201963,.T.); #278401=ORIENTED_EDGE('',*,*,#201965,.F.); #278402=ORIENTED_EDGE('',*,*,#201966,.F.); #278403=ORIENTED_EDGE('',*,*,#201967,.T.); #278404=ORIENTED_EDGE('',*,*,#201966,.T.); #278405=ORIENTED_EDGE('',*,*,#201968,.F.); #278406=ORIENTED_EDGE('',*,*,#201969,.F.); #278407=ORIENTED_EDGE('',*,*,#201970,.T.); #278408=ORIENTED_EDGE('',*,*,#201969,.T.); #278409=ORIENTED_EDGE('',*,*,#201971,.F.); #278410=ORIENTED_EDGE('',*,*,#201972,.F.); #278411=ORIENTED_EDGE('',*,*,#201973,.T.); #278412=ORIENTED_EDGE('',*,*,#201972,.T.); #278413=ORIENTED_EDGE('',*,*,#201974,.F.); #278414=ORIENTED_EDGE('',*,*,#201975,.F.); #278415=ORIENTED_EDGE('',*,*,#201976,.T.); #278416=ORIENTED_EDGE('',*,*,#201975,.T.); #278417=ORIENTED_EDGE('',*,*,#201977,.F.); #278418=ORIENTED_EDGE('',*,*,#201978,.F.); #278419=ORIENTED_EDGE('',*,*,#201979,.T.); #278420=ORIENTED_EDGE('',*,*,#201978,.T.); #278421=ORIENTED_EDGE('',*,*,#201980,.F.); #278422=ORIENTED_EDGE('',*,*,#201981,.F.); #278423=ORIENTED_EDGE('',*,*,#201982,.T.); #278424=ORIENTED_EDGE('',*,*,#201981,.T.); #278425=ORIENTED_EDGE('',*,*,#201983,.F.); #278426=ORIENTED_EDGE('',*,*,#201984,.F.); #278427=ORIENTED_EDGE('',*,*,#201985,.T.); #278428=ORIENTED_EDGE('',*,*,#201984,.T.); #278429=ORIENTED_EDGE('',*,*,#201986,.F.); #278430=ORIENTED_EDGE('',*,*,#201916,.F.); #278431=ORIENTED_EDGE('',*,*,#201986,.T.); #278432=ORIENTED_EDGE('',*,*,#201983,.T.); #278433=ORIENTED_EDGE('',*,*,#201980,.T.); #278434=ORIENTED_EDGE('',*,*,#201977,.T.); #278435=ORIENTED_EDGE('',*,*,#201974,.T.); #278436=ORIENTED_EDGE('',*,*,#201971,.T.); #278437=ORIENTED_EDGE('',*,*,#201968,.T.); #278438=ORIENTED_EDGE('',*,*,#201965,.T.); #278439=ORIENTED_EDGE('',*,*,#201962,.T.); #278440=ORIENTED_EDGE('',*,*,#201959,.T.); #278441=ORIENTED_EDGE('',*,*,#201956,.T.); #278442=ORIENTED_EDGE('',*,*,#201953,.T.); #278443=ORIENTED_EDGE('',*,*,#201950,.T.); #278444=ORIENTED_EDGE('',*,*,#201947,.T.); #278445=ORIENTED_EDGE('',*,*,#201944,.T.); #278446=ORIENTED_EDGE('',*,*,#201941,.T.); #278447=ORIENTED_EDGE('',*,*,#201938,.T.); #278448=ORIENTED_EDGE('',*,*,#201935,.T.); #278449=ORIENTED_EDGE('',*,*,#201932,.T.); #278450=ORIENTED_EDGE('',*,*,#201929,.T.); #278451=ORIENTED_EDGE('',*,*,#201926,.T.); #278452=ORIENTED_EDGE('',*,*,#201923,.T.); #278453=ORIENTED_EDGE('',*,*,#201920,.T.); #278454=ORIENTED_EDGE('',*,*,#201917,.T.); #278455=ORIENTED_EDGE('',*,*,#201887,.T.); #278456=ORIENTED_EDGE('',*,*,#201890,.T.); #278457=ORIENTED_EDGE('',*,*,#201893,.T.); #278458=ORIENTED_EDGE('',*,*,#201896,.T.); #278459=ORIENTED_EDGE('',*,*,#201899,.T.); #278460=ORIENTED_EDGE('',*,*,#201902,.T.); #278461=ORIENTED_EDGE('',*,*,#201905,.T.); #278462=ORIENTED_EDGE('',*,*,#201908,.T.); #278463=ORIENTED_EDGE('',*,*,#201911,.T.); #278464=ORIENTED_EDGE('',*,*,#201914,.T.); #278465=ORIENTED_EDGE('',*,*,#201985,.F.); #278466=ORIENTED_EDGE('',*,*,#201915,.F.); #278467=ORIENTED_EDGE('',*,*,#201919,.F.); #278468=ORIENTED_EDGE('',*,*,#201922,.F.); #278469=ORIENTED_EDGE('',*,*,#201925,.F.); #278470=ORIENTED_EDGE('',*,*,#201928,.F.); #278471=ORIENTED_EDGE('',*,*,#201931,.F.); #278472=ORIENTED_EDGE('',*,*,#201934,.F.); #278473=ORIENTED_EDGE('',*,*,#201937,.F.); #278474=ORIENTED_EDGE('',*,*,#201940,.F.); #278475=ORIENTED_EDGE('',*,*,#201943,.F.); #278476=ORIENTED_EDGE('',*,*,#201946,.F.); #278477=ORIENTED_EDGE('',*,*,#201949,.F.); #278478=ORIENTED_EDGE('',*,*,#201952,.F.); #278479=ORIENTED_EDGE('',*,*,#201955,.F.); #278480=ORIENTED_EDGE('',*,*,#201958,.F.); #278481=ORIENTED_EDGE('',*,*,#201961,.F.); #278482=ORIENTED_EDGE('',*,*,#201964,.F.); #278483=ORIENTED_EDGE('',*,*,#201967,.F.); #278484=ORIENTED_EDGE('',*,*,#201970,.F.); #278485=ORIENTED_EDGE('',*,*,#201973,.F.); #278486=ORIENTED_EDGE('',*,*,#201976,.F.); #278487=ORIENTED_EDGE('',*,*,#201979,.F.); #278488=ORIENTED_EDGE('',*,*,#201982,.F.); #278489=ORIENTED_EDGE('',*,*,#201885,.T.); #278490=ORIENTED_EDGE('',*,*,#201888,.T.); #278491=ORIENTED_EDGE('',*,*,#201891,.T.); #278492=ORIENTED_EDGE('',*,*,#201894,.T.); #278493=ORIENTED_EDGE('',*,*,#201897,.T.); #278494=ORIENTED_EDGE('',*,*,#201900,.T.); #278495=ORIENTED_EDGE('',*,*,#201903,.T.); #278496=ORIENTED_EDGE('',*,*,#201906,.T.); #278497=ORIENTED_EDGE('',*,*,#201909,.T.); #278498=ORIENTED_EDGE('',*,*,#201912,.T.); #278499=ORIENTED_EDGE('',*,*,#201987,.F.); #278500=ORIENTED_EDGE('',*,*,#201988,.T.); #278501=ORIENTED_EDGE('',*,*,#201989,.F.); #278502=ORIENTED_EDGE('',*,*,#201988,.F.); #278503=ORIENTED_EDGE('',*,*,#201990,.T.); #278504=ORIENTED_EDGE('',*,*,#201991,.T.); #278505=ORIENTED_EDGE('',*,*,#201992,.F.); #278506=ORIENTED_EDGE('',*,*,#201993,.F.); #278507=ORIENTED_EDGE('',*,*,#201994,.T.); #278508=ORIENTED_EDGE('',*,*,#201993,.T.); #278509=ORIENTED_EDGE('',*,*,#201995,.F.); #278510=ORIENTED_EDGE('',*,*,#201996,.F.); #278511=ORIENTED_EDGE('',*,*,#201997,.T.); #278512=ORIENTED_EDGE('',*,*,#201996,.T.); #278513=ORIENTED_EDGE('',*,*,#201998,.F.); #278514=ORIENTED_EDGE('',*,*,#201991,.F.); #278515=ORIENTED_EDGE('',*,*,#201998,.T.); #278516=ORIENTED_EDGE('',*,*,#201995,.T.); #278517=ORIENTED_EDGE('',*,*,#201992,.T.); #278518=ORIENTED_EDGE('',*,*,#201989,.T.); #278519=ORIENTED_EDGE('',*,*,#201997,.F.); #278520=ORIENTED_EDGE('',*,*,#201990,.F.); #278521=ORIENTED_EDGE('',*,*,#201994,.F.); #278522=ORIENTED_EDGE('',*,*,#201987,.T.); #278523=ORIENTED_EDGE('',*,*,#201999,.F.); #278524=ORIENTED_EDGE('',*,*,#202000,.T.); #278525=ORIENTED_EDGE('',*,*,#202001,.F.); #278526=ORIENTED_EDGE('',*,*,#202000,.F.); #278527=ORIENTED_EDGE('',*,*,#202002,.F.); #278528=ORIENTED_EDGE('',*,*,#202003,.T.); #278529=ORIENTED_EDGE('',*,*,#202004,.F.); #278530=ORIENTED_EDGE('',*,*,#202003,.F.); #278531=ORIENTED_EDGE('',*,*,#202005,.F.); #278532=ORIENTED_EDGE('',*,*,#202006,.T.); #278533=ORIENTED_EDGE('',*,*,#202007,.F.); #278534=ORIENTED_EDGE('',*,*,#202006,.F.); #278535=ORIENTED_EDGE('',*,*,#202008,.F.); #278536=ORIENTED_EDGE('',*,*,#202009,.T.); #278537=ORIENTED_EDGE('',*,*,#202010,.F.); #278538=ORIENTED_EDGE('',*,*,#202009,.F.); #278539=ORIENTED_EDGE('',*,*,#202011,.F.); #278540=ORIENTED_EDGE('',*,*,#202012,.T.); #278541=ORIENTED_EDGE('',*,*,#202013,.F.); #278542=ORIENTED_EDGE('',*,*,#202012,.F.); #278543=ORIENTED_EDGE('',*,*,#202014,.F.); #278544=ORIENTED_EDGE('',*,*,#202015,.T.); #278545=ORIENTED_EDGE('',*,*,#202016,.F.); #278546=ORIENTED_EDGE('',*,*,#202015,.F.); #278547=ORIENTED_EDGE('',*,*,#202017,.F.); #278548=ORIENTED_EDGE('',*,*,#202018,.T.); #278549=ORIENTED_EDGE('',*,*,#202019,.F.); #278550=ORIENTED_EDGE('',*,*,#202018,.F.); #278551=ORIENTED_EDGE('',*,*,#202020,.F.); #278552=ORIENTED_EDGE('',*,*,#202021,.T.); #278553=ORIENTED_EDGE('',*,*,#202022,.F.); #278554=ORIENTED_EDGE('',*,*,#202021,.F.); #278555=ORIENTED_EDGE('',*,*,#202023,.F.); #278556=ORIENTED_EDGE('',*,*,#202024,.T.); #278557=ORIENTED_EDGE('',*,*,#202025,.F.); #278558=ORIENTED_EDGE('',*,*,#202024,.F.); #278559=ORIENTED_EDGE('',*,*,#202026,.F.); #278560=ORIENTED_EDGE('',*,*,#202027,.T.); #278561=ORIENTED_EDGE('',*,*,#202028,.F.); #278562=ORIENTED_EDGE('',*,*,#202027,.F.); #278563=ORIENTED_EDGE('',*,*,#202029,.F.); #278564=ORIENTED_EDGE('',*,*,#202030,.T.); #278565=ORIENTED_EDGE('',*,*,#202031,.F.); #278566=ORIENTED_EDGE('',*,*,#202030,.F.); #278567=ORIENTED_EDGE('',*,*,#202032,.F.); #278568=ORIENTED_EDGE('',*,*,#202033,.T.); #278569=ORIENTED_EDGE('',*,*,#202034,.F.); #278570=ORIENTED_EDGE('',*,*,#202033,.F.); #278571=ORIENTED_EDGE('',*,*,#202035,.F.); #278572=ORIENTED_EDGE('',*,*,#202036,.T.); #278573=ORIENTED_EDGE('',*,*,#202037,.F.); #278574=ORIENTED_EDGE('',*,*,#202036,.F.); #278575=ORIENTED_EDGE('',*,*,#202038,.F.); #278576=ORIENTED_EDGE('',*,*,#202039,.T.); #278577=ORIENTED_EDGE('',*,*,#202040,.F.); #278578=ORIENTED_EDGE('',*,*,#202039,.F.); #278579=ORIENTED_EDGE('',*,*,#202041,.F.); #278580=ORIENTED_EDGE('',*,*,#202042,.T.); #278581=ORIENTED_EDGE('',*,*,#202043,.F.); #278582=ORIENTED_EDGE('',*,*,#202042,.F.); #278583=ORIENTED_EDGE('',*,*,#202044,.F.); #278584=ORIENTED_EDGE('',*,*,#202045,.T.); #278585=ORIENTED_EDGE('',*,*,#202046,.F.); #278586=ORIENTED_EDGE('',*,*,#202045,.F.); #278587=ORIENTED_EDGE('',*,*,#202047,.F.); #278588=ORIENTED_EDGE('',*,*,#202048,.T.); #278589=ORIENTED_EDGE('',*,*,#202049,.F.); #278590=ORIENTED_EDGE('',*,*,#202048,.F.); #278591=ORIENTED_EDGE('',*,*,#202050,.F.); #278592=ORIENTED_EDGE('',*,*,#202051,.T.); #278593=ORIENTED_EDGE('',*,*,#202052,.F.); #278594=ORIENTED_EDGE('',*,*,#202051,.F.); #278595=ORIENTED_EDGE('',*,*,#202053,.F.); #278596=ORIENTED_EDGE('',*,*,#202054,.T.); #278597=ORIENTED_EDGE('',*,*,#202055,.F.); #278598=ORIENTED_EDGE('',*,*,#202054,.F.); #278599=ORIENTED_EDGE('',*,*,#202056,.F.); #278600=ORIENTED_EDGE('',*,*,#202057,.T.); #278601=ORIENTED_EDGE('',*,*,#202058,.F.); #278602=ORIENTED_EDGE('',*,*,#202057,.F.); #278603=ORIENTED_EDGE('',*,*,#202059,.F.); #278604=ORIENTED_EDGE('',*,*,#202060,.T.); #278605=ORIENTED_EDGE('',*,*,#202061,.F.); #278606=ORIENTED_EDGE('',*,*,#202060,.F.); #278607=ORIENTED_EDGE('',*,*,#202062,.F.); #278608=ORIENTED_EDGE('',*,*,#202063,.T.); #278609=ORIENTED_EDGE('',*,*,#202064,.F.); #278610=ORIENTED_EDGE('',*,*,#202063,.F.); #278611=ORIENTED_EDGE('',*,*,#202065,.F.); #278612=ORIENTED_EDGE('',*,*,#202066,.T.); #278613=ORIENTED_EDGE('',*,*,#202067,.F.); #278614=ORIENTED_EDGE('',*,*,#202066,.F.); #278615=ORIENTED_EDGE('',*,*,#202068,.F.); #278616=ORIENTED_EDGE('',*,*,#202069,.T.); #278617=ORIENTED_EDGE('',*,*,#202070,.F.); #278618=ORIENTED_EDGE('',*,*,#202069,.F.); #278619=ORIENTED_EDGE('',*,*,#202071,.F.); #278620=ORIENTED_EDGE('',*,*,#202072,.T.); #278621=ORIENTED_EDGE('',*,*,#202073,.F.); #278622=ORIENTED_EDGE('',*,*,#202072,.F.); #278623=ORIENTED_EDGE('',*,*,#202074,.F.); #278624=ORIENTED_EDGE('',*,*,#202075,.T.); #278625=ORIENTED_EDGE('',*,*,#202076,.F.); #278626=ORIENTED_EDGE('',*,*,#202075,.F.); #278627=ORIENTED_EDGE('',*,*,#202077,.F.); #278628=ORIENTED_EDGE('',*,*,#202078,.T.); #278629=ORIENTED_EDGE('',*,*,#202079,.F.); #278630=ORIENTED_EDGE('',*,*,#202078,.F.); #278631=ORIENTED_EDGE('',*,*,#202080,.F.); #278632=ORIENTED_EDGE('',*,*,#202081,.T.); #278633=ORIENTED_EDGE('',*,*,#202082,.F.); #278634=ORIENTED_EDGE('',*,*,#202081,.F.); #278635=ORIENTED_EDGE('',*,*,#202083,.F.); #278636=ORIENTED_EDGE('',*,*,#202084,.T.); #278637=ORIENTED_EDGE('',*,*,#202085,.F.); #278638=ORIENTED_EDGE('',*,*,#202084,.F.); #278639=ORIENTED_EDGE('',*,*,#202086,.F.); #278640=ORIENTED_EDGE('',*,*,#202087,.T.); #278641=ORIENTED_EDGE('',*,*,#202088,.F.); #278642=ORIENTED_EDGE('',*,*,#202087,.F.); #278643=ORIENTED_EDGE('',*,*,#202089,.T.); #278644=ORIENTED_EDGE('',*,*,#202090,.T.); #278645=ORIENTED_EDGE('',*,*,#202091,.F.); #278646=ORIENTED_EDGE('',*,*,#202092,.F.); #278647=ORIENTED_EDGE('',*,*,#202093,.T.); #278648=ORIENTED_EDGE('',*,*,#202092,.T.); #278649=ORIENTED_EDGE('',*,*,#202094,.F.); #278650=ORIENTED_EDGE('',*,*,#202095,.F.); #278651=ORIENTED_EDGE('',*,*,#202096,.T.); #278652=ORIENTED_EDGE('',*,*,#202095,.T.); #278653=ORIENTED_EDGE('',*,*,#202097,.F.); #278654=ORIENTED_EDGE('',*,*,#202098,.F.); #278655=ORIENTED_EDGE('',*,*,#202099,.T.); #278656=ORIENTED_EDGE('',*,*,#202098,.T.); #278657=ORIENTED_EDGE('',*,*,#202100,.F.); #278658=ORIENTED_EDGE('',*,*,#202101,.F.); #278659=ORIENTED_EDGE('',*,*,#202102,.T.); #278660=ORIENTED_EDGE('',*,*,#202101,.T.); #278661=ORIENTED_EDGE('',*,*,#202103,.F.); #278662=ORIENTED_EDGE('',*,*,#202104,.F.); #278663=ORIENTED_EDGE('',*,*,#202105,.T.); #278664=ORIENTED_EDGE('',*,*,#202104,.T.); #278665=ORIENTED_EDGE('',*,*,#202106,.F.); #278666=ORIENTED_EDGE('',*,*,#202107,.F.); #278667=ORIENTED_EDGE('',*,*,#202108,.T.); #278668=ORIENTED_EDGE('',*,*,#202107,.T.); #278669=ORIENTED_EDGE('',*,*,#202109,.F.); #278670=ORIENTED_EDGE('',*,*,#202110,.F.); #278671=ORIENTED_EDGE('',*,*,#202111,.T.); #278672=ORIENTED_EDGE('',*,*,#202110,.T.); #278673=ORIENTED_EDGE('',*,*,#202112,.F.); #278674=ORIENTED_EDGE('',*,*,#202113,.F.); #278675=ORIENTED_EDGE('',*,*,#202114,.T.); #278676=ORIENTED_EDGE('',*,*,#202113,.T.); #278677=ORIENTED_EDGE('',*,*,#202115,.F.); #278678=ORIENTED_EDGE('',*,*,#202116,.F.); #278679=ORIENTED_EDGE('',*,*,#202117,.T.); #278680=ORIENTED_EDGE('',*,*,#202116,.T.); #278681=ORIENTED_EDGE('',*,*,#202118,.F.); #278682=ORIENTED_EDGE('',*,*,#202119,.F.); #278683=ORIENTED_EDGE('',*,*,#202120,.T.); #278684=ORIENTED_EDGE('',*,*,#202119,.T.); #278685=ORIENTED_EDGE('',*,*,#202121,.F.); #278686=ORIENTED_EDGE('',*,*,#202122,.F.); #278687=ORIENTED_EDGE('',*,*,#202123,.T.); #278688=ORIENTED_EDGE('',*,*,#202122,.T.); #278689=ORIENTED_EDGE('',*,*,#202124,.F.); #278690=ORIENTED_EDGE('',*,*,#202125,.F.); #278691=ORIENTED_EDGE('',*,*,#202126,.T.); #278692=ORIENTED_EDGE('',*,*,#202125,.T.); #278693=ORIENTED_EDGE('',*,*,#202127,.F.); #278694=ORIENTED_EDGE('',*,*,#202128,.F.); #278695=ORIENTED_EDGE('',*,*,#202129,.T.); #278696=ORIENTED_EDGE('',*,*,#202128,.T.); #278697=ORIENTED_EDGE('',*,*,#202130,.F.); #278698=ORIENTED_EDGE('',*,*,#202131,.F.); #278699=ORIENTED_EDGE('',*,*,#202132,.T.); #278700=ORIENTED_EDGE('',*,*,#202131,.T.); #278701=ORIENTED_EDGE('',*,*,#202133,.F.); #278702=ORIENTED_EDGE('',*,*,#202134,.F.); #278703=ORIENTED_EDGE('',*,*,#202135,.T.); #278704=ORIENTED_EDGE('',*,*,#202134,.T.); #278705=ORIENTED_EDGE('',*,*,#202136,.F.); #278706=ORIENTED_EDGE('',*,*,#202137,.F.); #278707=ORIENTED_EDGE('',*,*,#202138,.T.); #278708=ORIENTED_EDGE('',*,*,#202137,.T.); #278709=ORIENTED_EDGE('',*,*,#202139,.F.); #278710=ORIENTED_EDGE('',*,*,#202140,.F.); #278711=ORIENTED_EDGE('',*,*,#202141,.T.); #278712=ORIENTED_EDGE('',*,*,#202140,.T.); #278713=ORIENTED_EDGE('',*,*,#202142,.F.); #278714=ORIENTED_EDGE('',*,*,#202143,.F.); #278715=ORIENTED_EDGE('',*,*,#202144,.T.); #278716=ORIENTED_EDGE('',*,*,#202143,.T.); #278717=ORIENTED_EDGE('',*,*,#202145,.F.); #278718=ORIENTED_EDGE('',*,*,#202146,.F.); #278719=ORIENTED_EDGE('',*,*,#202147,.T.); #278720=ORIENTED_EDGE('',*,*,#202146,.T.); #278721=ORIENTED_EDGE('',*,*,#202148,.F.); #278722=ORIENTED_EDGE('',*,*,#202149,.F.); #278723=ORIENTED_EDGE('',*,*,#202150,.T.); #278724=ORIENTED_EDGE('',*,*,#202149,.T.); #278725=ORIENTED_EDGE('',*,*,#202151,.F.); #278726=ORIENTED_EDGE('',*,*,#202152,.F.); #278727=ORIENTED_EDGE('',*,*,#202153,.T.); #278728=ORIENTED_EDGE('',*,*,#202152,.T.); #278729=ORIENTED_EDGE('',*,*,#202154,.F.); #278730=ORIENTED_EDGE('',*,*,#202155,.F.); #278731=ORIENTED_EDGE('',*,*,#202156,.T.); #278732=ORIENTED_EDGE('',*,*,#202155,.T.); #278733=ORIENTED_EDGE('',*,*,#202157,.F.); #278734=ORIENTED_EDGE('',*,*,#202158,.F.); #278735=ORIENTED_EDGE('',*,*,#202159,.T.); #278736=ORIENTED_EDGE('',*,*,#202158,.T.); #278737=ORIENTED_EDGE('',*,*,#202160,.F.); #278738=ORIENTED_EDGE('',*,*,#202161,.F.); #278739=ORIENTED_EDGE('',*,*,#202162,.T.); #278740=ORIENTED_EDGE('',*,*,#202161,.T.); #278741=ORIENTED_EDGE('',*,*,#202163,.F.); #278742=ORIENTED_EDGE('',*,*,#202164,.F.); #278743=ORIENTED_EDGE('',*,*,#202165,.T.); #278744=ORIENTED_EDGE('',*,*,#202164,.T.); #278745=ORIENTED_EDGE('',*,*,#202166,.F.); #278746=ORIENTED_EDGE('',*,*,#202167,.F.); #278747=ORIENTED_EDGE('',*,*,#202168,.T.); #278748=ORIENTED_EDGE('',*,*,#202167,.T.); #278749=ORIENTED_EDGE('',*,*,#202169,.F.); #278750=ORIENTED_EDGE('',*,*,#202170,.F.); #278751=ORIENTED_EDGE('',*,*,#202171,.T.); #278752=ORIENTED_EDGE('',*,*,#202170,.T.); #278753=ORIENTED_EDGE('',*,*,#202172,.F.); #278754=ORIENTED_EDGE('',*,*,#202173,.F.); #278755=ORIENTED_EDGE('',*,*,#202174,.T.); #278756=ORIENTED_EDGE('',*,*,#202173,.T.); #278757=ORIENTED_EDGE('',*,*,#202175,.F.); #278758=ORIENTED_EDGE('',*,*,#202176,.F.); #278759=ORIENTED_EDGE('',*,*,#202177,.T.); #278760=ORIENTED_EDGE('',*,*,#202176,.T.); #278761=ORIENTED_EDGE('',*,*,#202178,.F.); #278762=ORIENTED_EDGE('',*,*,#202179,.F.); #278763=ORIENTED_EDGE('',*,*,#202180,.T.); #278764=ORIENTED_EDGE('',*,*,#202179,.T.); #278765=ORIENTED_EDGE('',*,*,#202181,.F.); #278766=ORIENTED_EDGE('',*,*,#202182,.F.); #278767=ORIENTED_EDGE('',*,*,#202183,.T.); #278768=ORIENTED_EDGE('',*,*,#202182,.T.); #278769=ORIENTED_EDGE('',*,*,#202184,.F.); #278770=ORIENTED_EDGE('',*,*,#202185,.F.); #278771=ORIENTED_EDGE('',*,*,#202186,.T.); #278772=ORIENTED_EDGE('',*,*,#202185,.T.); #278773=ORIENTED_EDGE('',*,*,#202187,.F.); #278774=ORIENTED_EDGE('',*,*,#202188,.F.); #278775=ORIENTED_EDGE('',*,*,#202189,.T.); #278776=ORIENTED_EDGE('',*,*,#202188,.T.); #278777=ORIENTED_EDGE('',*,*,#202190,.F.); #278778=ORIENTED_EDGE('',*,*,#202191,.F.); #278779=ORIENTED_EDGE('',*,*,#202192,.T.); #278780=ORIENTED_EDGE('',*,*,#202191,.T.); #278781=ORIENTED_EDGE('',*,*,#202193,.F.); #278782=ORIENTED_EDGE('',*,*,#202194,.F.); #278783=ORIENTED_EDGE('',*,*,#202195,.T.); #278784=ORIENTED_EDGE('',*,*,#202194,.T.); #278785=ORIENTED_EDGE('',*,*,#202196,.F.); #278786=ORIENTED_EDGE('',*,*,#202197,.F.); #278787=ORIENTED_EDGE('',*,*,#202198,.T.); #278788=ORIENTED_EDGE('',*,*,#202197,.T.); #278789=ORIENTED_EDGE('',*,*,#202199,.F.); #278790=ORIENTED_EDGE('',*,*,#202200,.F.); #278791=ORIENTED_EDGE('',*,*,#202201,.T.); #278792=ORIENTED_EDGE('',*,*,#202200,.T.); #278793=ORIENTED_EDGE('',*,*,#202202,.F.); #278794=ORIENTED_EDGE('',*,*,#202203,.F.); #278795=ORIENTED_EDGE('',*,*,#202204,.T.); #278796=ORIENTED_EDGE('',*,*,#202203,.T.); #278797=ORIENTED_EDGE('',*,*,#202205,.F.); #278798=ORIENTED_EDGE('',*,*,#202206,.F.); #278799=ORIENTED_EDGE('',*,*,#202207,.T.); #278800=ORIENTED_EDGE('',*,*,#202206,.T.); #278801=ORIENTED_EDGE('',*,*,#202208,.F.); #278802=ORIENTED_EDGE('',*,*,#202209,.F.); #278803=ORIENTED_EDGE('',*,*,#202210,.T.); #278804=ORIENTED_EDGE('',*,*,#202209,.T.); #278805=ORIENTED_EDGE('',*,*,#202211,.F.); #278806=ORIENTED_EDGE('',*,*,#202212,.F.); #278807=ORIENTED_EDGE('',*,*,#202213,.T.); #278808=ORIENTED_EDGE('',*,*,#202212,.T.); #278809=ORIENTED_EDGE('',*,*,#202214,.F.); #278810=ORIENTED_EDGE('',*,*,#202215,.F.); #278811=ORIENTED_EDGE('',*,*,#202216,.T.); #278812=ORIENTED_EDGE('',*,*,#202215,.T.); #278813=ORIENTED_EDGE('',*,*,#202217,.F.); #278814=ORIENTED_EDGE('',*,*,#202218,.F.); #278815=ORIENTED_EDGE('',*,*,#202219,.T.); #278816=ORIENTED_EDGE('',*,*,#202218,.T.); #278817=ORIENTED_EDGE('',*,*,#202220,.F.); #278818=ORIENTED_EDGE('',*,*,#202221,.F.); #278819=ORIENTED_EDGE('',*,*,#202222,.T.); #278820=ORIENTED_EDGE('',*,*,#202221,.T.); #278821=ORIENTED_EDGE('',*,*,#202223,.F.); #278822=ORIENTED_EDGE('',*,*,#202090,.F.); #278823=ORIENTED_EDGE('',*,*,#202223,.T.); #278824=ORIENTED_EDGE('',*,*,#202220,.T.); #278825=ORIENTED_EDGE('',*,*,#202217,.T.); #278826=ORIENTED_EDGE('',*,*,#202214,.T.); #278827=ORIENTED_EDGE('',*,*,#202211,.T.); #278828=ORIENTED_EDGE('',*,*,#202208,.T.); #278829=ORIENTED_EDGE('',*,*,#202205,.T.); #278830=ORIENTED_EDGE('',*,*,#202202,.T.); #278831=ORIENTED_EDGE('',*,*,#202199,.T.); #278832=ORIENTED_EDGE('',*,*,#202196,.T.); #278833=ORIENTED_EDGE('',*,*,#202193,.T.); #278834=ORIENTED_EDGE('',*,*,#202190,.T.); #278835=ORIENTED_EDGE('',*,*,#202187,.T.); #278836=ORIENTED_EDGE('',*,*,#202184,.T.); #278837=ORIENTED_EDGE('',*,*,#202181,.T.); #278838=ORIENTED_EDGE('',*,*,#202178,.T.); #278839=ORIENTED_EDGE('',*,*,#202175,.T.); #278840=ORIENTED_EDGE('',*,*,#202172,.T.); #278841=ORIENTED_EDGE('',*,*,#202169,.T.); #278842=ORIENTED_EDGE('',*,*,#202166,.T.); #278843=ORIENTED_EDGE('',*,*,#202163,.T.); #278844=ORIENTED_EDGE('',*,*,#202160,.T.); #278845=ORIENTED_EDGE('',*,*,#202157,.T.); #278846=ORIENTED_EDGE('',*,*,#202154,.T.); #278847=ORIENTED_EDGE('',*,*,#202151,.T.); #278848=ORIENTED_EDGE('',*,*,#202148,.T.); #278849=ORIENTED_EDGE('',*,*,#202145,.T.); #278850=ORIENTED_EDGE('',*,*,#202142,.T.); #278851=ORIENTED_EDGE('',*,*,#202139,.T.); #278852=ORIENTED_EDGE('',*,*,#202136,.T.); #278853=ORIENTED_EDGE('',*,*,#202133,.T.); #278854=ORIENTED_EDGE('',*,*,#202130,.T.); #278855=ORIENTED_EDGE('',*,*,#202127,.T.); #278856=ORIENTED_EDGE('',*,*,#202124,.T.); #278857=ORIENTED_EDGE('',*,*,#202121,.T.); #278858=ORIENTED_EDGE('',*,*,#202118,.T.); #278859=ORIENTED_EDGE('',*,*,#202115,.T.); #278860=ORIENTED_EDGE('',*,*,#202112,.T.); #278861=ORIENTED_EDGE('',*,*,#202109,.T.); #278862=ORIENTED_EDGE('',*,*,#202106,.T.); #278863=ORIENTED_EDGE('',*,*,#202103,.T.); #278864=ORIENTED_EDGE('',*,*,#202100,.T.); #278865=ORIENTED_EDGE('',*,*,#202097,.T.); #278866=ORIENTED_EDGE('',*,*,#202094,.T.); #278867=ORIENTED_EDGE('',*,*,#202091,.T.); #278868=ORIENTED_EDGE('',*,*,#202001,.T.); #278869=ORIENTED_EDGE('',*,*,#202004,.T.); #278870=ORIENTED_EDGE('',*,*,#202007,.T.); #278871=ORIENTED_EDGE('',*,*,#202010,.T.); #278872=ORIENTED_EDGE('',*,*,#202013,.T.); #278873=ORIENTED_EDGE('',*,*,#202016,.T.); #278874=ORIENTED_EDGE('',*,*,#202019,.T.); #278875=ORIENTED_EDGE('',*,*,#202022,.T.); #278876=ORIENTED_EDGE('',*,*,#202025,.T.); #278877=ORIENTED_EDGE('',*,*,#202028,.T.); #278878=ORIENTED_EDGE('',*,*,#202031,.T.); #278879=ORIENTED_EDGE('',*,*,#202034,.T.); #278880=ORIENTED_EDGE('',*,*,#202037,.T.); #278881=ORIENTED_EDGE('',*,*,#202040,.T.); #278882=ORIENTED_EDGE('',*,*,#202043,.T.); #278883=ORIENTED_EDGE('',*,*,#202046,.T.); #278884=ORIENTED_EDGE('',*,*,#202049,.T.); #278885=ORIENTED_EDGE('',*,*,#202052,.T.); #278886=ORIENTED_EDGE('',*,*,#202055,.T.); #278887=ORIENTED_EDGE('',*,*,#202058,.T.); #278888=ORIENTED_EDGE('',*,*,#202061,.T.); #278889=ORIENTED_EDGE('',*,*,#202064,.T.); #278890=ORIENTED_EDGE('',*,*,#202067,.T.); #278891=ORIENTED_EDGE('',*,*,#202070,.T.); #278892=ORIENTED_EDGE('',*,*,#202073,.T.); #278893=ORIENTED_EDGE('',*,*,#202076,.T.); #278894=ORIENTED_EDGE('',*,*,#202079,.T.); #278895=ORIENTED_EDGE('',*,*,#202082,.T.); #278896=ORIENTED_EDGE('',*,*,#202085,.T.); #278897=ORIENTED_EDGE('',*,*,#202088,.T.); #278898=ORIENTED_EDGE('',*,*,#202222,.F.); #278899=ORIENTED_EDGE('',*,*,#202089,.F.); #278900=ORIENTED_EDGE('',*,*,#202093,.F.); #278901=ORIENTED_EDGE('',*,*,#202096,.F.); #278902=ORIENTED_EDGE('',*,*,#202099,.F.); #278903=ORIENTED_EDGE('',*,*,#202102,.F.); #278904=ORIENTED_EDGE('',*,*,#202105,.F.); #278905=ORIENTED_EDGE('',*,*,#202108,.F.); #278906=ORIENTED_EDGE('',*,*,#202111,.F.); #278907=ORIENTED_EDGE('',*,*,#202114,.F.); #278908=ORIENTED_EDGE('',*,*,#202117,.F.); #278909=ORIENTED_EDGE('',*,*,#202120,.F.); #278910=ORIENTED_EDGE('',*,*,#202123,.F.); #278911=ORIENTED_EDGE('',*,*,#202126,.F.); #278912=ORIENTED_EDGE('',*,*,#202129,.F.); #278913=ORIENTED_EDGE('',*,*,#202132,.F.); #278914=ORIENTED_EDGE('',*,*,#202135,.F.); #278915=ORIENTED_EDGE('',*,*,#202138,.F.); #278916=ORIENTED_EDGE('',*,*,#202141,.F.); #278917=ORIENTED_EDGE('',*,*,#202144,.F.); #278918=ORIENTED_EDGE('',*,*,#202147,.F.); #278919=ORIENTED_EDGE('',*,*,#202150,.F.); #278920=ORIENTED_EDGE('',*,*,#202153,.F.); #278921=ORIENTED_EDGE('',*,*,#202156,.F.); #278922=ORIENTED_EDGE('',*,*,#202159,.F.); #278923=ORIENTED_EDGE('',*,*,#202162,.F.); #278924=ORIENTED_EDGE('',*,*,#202165,.F.); #278925=ORIENTED_EDGE('',*,*,#202168,.F.); #278926=ORIENTED_EDGE('',*,*,#202171,.F.); #278927=ORIENTED_EDGE('',*,*,#202174,.F.); #278928=ORIENTED_EDGE('',*,*,#202177,.F.); #278929=ORIENTED_EDGE('',*,*,#202180,.F.); #278930=ORIENTED_EDGE('',*,*,#202183,.F.); #278931=ORIENTED_EDGE('',*,*,#202186,.F.); #278932=ORIENTED_EDGE('',*,*,#202189,.F.); #278933=ORIENTED_EDGE('',*,*,#202192,.F.); #278934=ORIENTED_EDGE('',*,*,#202195,.F.); #278935=ORIENTED_EDGE('',*,*,#202198,.F.); #278936=ORIENTED_EDGE('',*,*,#202201,.F.); #278937=ORIENTED_EDGE('',*,*,#202204,.F.); #278938=ORIENTED_EDGE('',*,*,#202207,.F.); #278939=ORIENTED_EDGE('',*,*,#202210,.F.); #278940=ORIENTED_EDGE('',*,*,#202213,.F.); #278941=ORIENTED_EDGE('',*,*,#202216,.F.); #278942=ORIENTED_EDGE('',*,*,#202219,.F.); #278943=ORIENTED_EDGE('',*,*,#201999,.T.); #278944=ORIENTED_EDGE('',*,*,#202002,.T.); #278945=ORIENTED_EDGE('',*,*,#202005,.T.); #278946=ORIENTED_EDGE('',*,*,#202008,.T.); #278947=ORIENTED_EDGE('',*,*,#202011,.T.); #278948=ORIENTED_EDGE('',*,*,#202014,.T.); #278949=ORIENTED_EDGE('',*,*,#202017,.T.); #278950=ORIENTED_EDGE('',*,*,#202020,.T.); #278951=ORIENTED_EDGE('',*,*,#202023,.T.); #278952=ORIENTED_EDGE('',*,*,#202026,.T.); #278953=ORIENTED_EDGE('',*,*,#202029,.T.); #278954=ORIENTED_EDGE('',*,*,#202032,.T.); #278955=ORIENTED_EDGE('',*,*,#202035,.T.); #278956=ORIENTED_EDGE('',*,*,#202038,.T.); #278957=ORIENTED_EDGE('',*,*,#202041,.T.); #278958=ORIENTED_EDGE('',*,*,#202044,.T.); #278959=ORIENTED_EDGE('',*,*,#202047,.T.); #278960=ORIENTED_EDGE('',*,*,#202050,.T.); #278961=ORIENTED_EDGE('',*,*,#202053,.T.); #278962=ORIENTED_EDGE('',*,*,#202056,.T.); #278963=ORIENTED_EDGE('',*,*,#202059,.T.); #278964=ORIENTED_EDGE('',*,*,#202062,.T.); #278965=ORIENTED_EDGE('',*,*,#202065,.T.); #278966=ORIENTED_EDGE('',*,*,#202068,.T.); #278967=ORIENTED_EDGE('',*,*,#202071,.T.); #278968=ORIENTED_EDGE('',*,*,#202074,.T.); #278969=ORIENTED_EDGE('',*,*,#202077,.T.); #278970=ORIENTED_EDGE('',*,*,#202080,.T.); #278971=ORIENTED_EDGE('',*,*,#202083,.T.); #278972=ORIENTED_EDGE('',*,*,#202086,.T.); #278973=ORIENTED_EDGE('',*,*,#202224,.F.); #278974=ORIENTED_EDGE('',*,*,#202225,.T.); #278975=ORIENTED_EDGE('',*,*,#202226,.F.); #278976=ORIENTED_EDGE('',*,*,#202225,.F.); #278977=ORIENTED_EDGE('',*,*,#202227,.F.); #278978=ORIENTED_EDGE('',*,*,#202228,.T.); #278979=ORIENTED_EDGE('',*,*,#202229,.F.); #278980=ORIENTED_EDGE('',*,*,#202228,.F.); #278981=ORIENTED_EDGE('',*,*,#202230,.T.); #278982=ORIENTED_EDGE('',*,*,#202231,.T.); #278983=ORIENTED_EDGE('',*,*,#202232,.F.); #278984=ORIENTED_EDGE('',*,*,#202233,.F.); #278985=ORIENTED_EDGE('',*,*,#202234,.T.); #278986=ORIENTED_EDGE('',*,*,#202233,.T.); #278987=ORIENTED_EDGE('',*,*,#202235,.F.); #278988=ORIENTED_EDGE('',*,*,#202236,.F.); #278989=ORIENTED_EDGE('',*,*,#202237,.T.); #278990=ORIENTED_EDGE('',*,*,#202236,.T.); #278991=ORIENTED_EDGE('',*,*,#202238,.F.); #278992=ORIENTED_EDGE('',*,*,#202239,.F.); #278993=ORIENTED_EDGE('',*,*,#202240,.T.); #278994=ORIENTED_EDGE('',*,*,#202239,.T.); #278995=ORIENTED_EDGE('',*,*,#202241,.F.); #278996=ORIENTED_EDGE('',*,*,#202242,.F.); #278997=ORIENTED_EDGE('',*,*,#202243,.T.); #278998=ORIENTED_EDGE('',*,*,#202242,.T.); #278999=ORIENTED_EDGE('',*,*,#202244,.F.); #279000=ORIENTED_EDGE('',*,*,#202245,.F.); #279001=ORIENTED_EDGE('',*,*,#202246,.T.); #279002=ORIENTED_EDGE('',*,*,#202245,.T.); #279003=ORIENTED_EDGE('',*,*,#202247,.F.); #279004=ORIENTED_EDGE('',*,*,#202248,.F.); #279005=ORIENTED_EDGE('',*,*,#202249,.T.); #279006=ORIENTED_EDGE('',*,*,#202248,.T.); #279007=ORIENTED_EDGE('',*,*,#202250,.F.); #279008=ORIENTED_EDGE('',*,*,#202231,.F.); #279009=ORIENTED_EDGE('',*,*,#202250,.T.); #279010=ORIENTED_EDGE('',*,*,#202247,.T.); #279011=ORIENTED_EDGE('',*,*,#202244,.T.); #279012=ORIENTED_EDGE('',*,*,#202241,.T.); #279013=ORIENTED_EDGE('',*,*,#202238,.T.); #279014=ORIENTED_EDGE('',*,*,#202235,.T.); #279015=ORIENTED_EDGE('',*,*,#202232,.T.); #279016=ORIENTED_EDGE('',*,*,#202226,.T.); #279017=ORIENTED_EDGE('',*,*,#202229,.T.); #279018=ORIENTED_EDGE('',*,*,#202249,.F.); #279019=ORIENTED_EDGE('',*,*,#202230,.F.); #279020=ORIENTED_EDGE('',*,*,#202234,.F.); #279021=ORIENTED_EDGE('',*,*,#202237,.F.); #279022=ORIENTED_EDGE('',*,*,#202240,.F.); #279023=ORIENTED_EDGE('',*,*,#202243,.F.); #279024=ORIENTED_EDGE('',*,*,#202246,.F.); #279025=ORIENTED_EDGE('',*,*,#202224,.T.); #279026=ORIENTED_EDGE('',*,*,#202227,.T.); #279027=ORIENTED_EDGE('',*,*,#202251,.F.); #279028=ORIENTED_EDGE('',*,*,#202252,.T.); #279029=ORIENTED_EDGE('',*,*,#202253,.F.); #279030=ORIENTED_EDGE('',*,*,#202252,.F.); #279031=ORIENTED_EDGE('',*,*,#202254,.T.); #279032=ORIENTED_EDGE('',*,*,#202255,.T.); #279033=ORIENTED_EDGE('',*,*,#202256,.F.); #279034=ORIENTED_EDGE('',*,*,#202257,.F.); #279035=ORIENTED_EDGE('',*,*,#202258,.T.); #279036=ORIENTED_EDGE('',*,*,#202257,.T.); #279037=ORIENTED_EDGE('',*,*,#202259,.F.); #279038=ORIENTED_EDGE('',*,*,#202260,.F.); #279039=ORIENTED_EDGE('',*,*,#202261,.T.); #279040=ORIENTED_EDGE('',*,*,#202260,.T.); #279041=ORIENTED_EDGE('',*,*,#202262,.F.); #279042=ORIENTED_EDGE('',*,*,#202255,.F.); #279043=ORIENTED_EDGE('',*,*,#202262,.T.); #279044=ORIENTED_EDGE('',*,*,#202259,.T.); #279045=ORIENTED_EDGE('',*,*,#202256,.T.); #279046=ORIENTED_EDGE('',*,*,#202253,.T.); #279047=ORIENTED_EDGE('',*,*,#202261,.F.); #279048=ORIENTED_EDGE('',*,*,#202254,.F.); #279049=ORIENTED_EDGE('',*,*,#202258,.F.); #279050=ORIENTED_EDGE('',*,*,#202251,.T.); #279051=ORIENTED_EDGE('',*,*,#202263,.F.); #279052=ORIENTED_EDGE('',*,*,#202264,.T.); #279053=ORIENTED_EDGE('',*,*,#202265,.F.); #279054=ORIENTED_EDGE('',*,*,#202264,.F.); #279055=ORIENTED_EDGE('',*,*,#202266,.F.); #279056=ORIENTED_EDGE('',*,*,#202267,.T.); #279057=ORIENTED_EDGE('',*,*,#202268,.F.); #279058=ORIENTED_EDGE('',*,*,#202267,.F.); #279059=ORIENTED_EDGE('',*,*,#202269,.F.); #279060=ORIENTED_EDGE('',*,*,#202270,.T.); #279061=ORIENTED_EDGE('',*,*,#202271,.F.); #279062=ORIENTED_EDGE('',*,*,#202270,.F.); #279063=ORIENTED_EDGE('',*,*,#202272,.F.); #279064=ORIENTED_EDGE('',*,*,#202273,.T.); #279065=ORIENTED_EDGE('',*,*,#202274,.F.); #279066=ORIENTED_EDGE('',*,*,#202273,.F.); #279067=ORIENTED_EDGE('',*,*,#202275,.F.); #279068=ORIENTED_EDGE('',*,*,#202276,.T.); #279069=ORIENTED_EDGE('',*,*,#202277,.F.); #279070=ORIENTED_EDGE('',*,*,#202276,.F.); #279071=ORIENTED_EDGE('',*,*,#202278,.F.); #279072=ORIENTED_EDGE('',*,*,#202279,.T.); #279073=ORIENTED_EDGE('',*,*,#202280,.F.); #279074=ORIENTED_EDGE('',*,*,#202279,.F.); #279075=ORIENTED_EDGE('',*,*,#202281,.F.); #279076=ORIENTED_EDGE('',*,*,#202282,.T.); #279077=ORIENTED_EDGE('',*,*,#202283,.F.); #279078=ORIENTED_EDGE('',*,*,#202282,.F.); #279079=ORIENTED_EDGE('',*,*,#202284,.F.); #279080=ORIENTED_EDGE('',*,*,#202285,.T.); #279081=ORIENTED_EDGE('',*,*,#202286,.F.); #279082=ORIENTED_EDGE('',*,*,#202285,.F.); #279083=ORIENTED_EDGE('',*,*,#202287,.F.); #279084=ORIENTED_EDGE('',*,*,#202288,.T.); #279085=ORIENTED_EDGE('',*,*,#202289,.F.); #279086=ORIENTED_EDGE('',*,*,#202288,.F.); #279087=ORIENTED_EDGE('',*,*,#202290,.F.); #279088=ORIENTED_EDGE('',*,*,#202291,.T.); #279089=ORIENTED_EDGE('',*,*,#202292,.F.); #279090=ORIENTED_EDGE('',*,*,#202291,.F.); #279091=ORIENTED_EDGE('',*,*,#202293,.F.); #279092=ORIENTED_EDGE('',*,*,#202294,.T.); #279093=ORIENTED_EDGE('',*,*,#202295,.F.); #279094=ORIENTED_EDGE('',*,*,#202294,.F.); #279095=ORIENTED_EDGE('',*,*,#202296,.F.); #279096=ORIENTED_EDGE('',*,*,#202297,.T.); #279097=ORIENTED_EDGE('',*,*,#202298,.F.); #279098=ORIENTED_EDGE('',*,*,#202297,.F.); #279099=ORIENTED_EDGE('',*,*,#202299,.F.); #279100=ORIENTED_EDGE('',*,*,#202300,.T.); #279101=ORIENTED_EDGE('',*,*,#202301,.F.); #279102=ORIENTED_EDGE('',*,*,#202300,.F.); #279103=ORIENTED_EDGE('',*,*,#202302,.F.); #279104=ORIENTED_EDGE('',*,*,#202303,.T.); #279105=ORIENTED_EDGE('',*,*,#202304,.F.); #279106=ORIENTED_EDGE('',*,*,#202303,.F.); #279107=ORIENTED_EDGE('',*,*,#202305,.F.); #279108=ORIENTED_EDGE('',*,*,#202306,.T.); #279109=ORIENTED_EDGE('',*,*,#202307,.F.); #279110=ORIENTED_EDGE('',*,*,#202306,.F.); #279111=ORIENTED_EDGE('',*,*,#202308,.T.); #279112=ORIENTED_EDGE('',*,*,#202309,.T.); #279113=ORIENTED_EDGE('',*,*,#202310,.F.); #279114=ORIENTED_EDGE('',*,*,#202311,.F.); #279115=ORIENTED_EDGE('',*,*,#202312,.T.); #279116=ORIENTED_EDGE('',*,*,#202311,.T.); #279117=ORIENTED_EDGE('',*,*,#202313,.F.); #279118=ORIENTED_EDGE('',*,*,#202314,.F.); #279119=ORIENTED_EDGE('',*,*,#202315,.T.); #279120=ORIENTED_EDGE('',*,*,#202314,.T.); #279121=ORIENTED_EDGE('',*,*,#202316,.F.); #279122=ORIENTED_EDGE('',*,*,#202317,.F.); #279123=ORIENTED_EDGE('',*,*,#202318,.T.); #279124=ORIENTED_EDGE('',*,*,#202317,.T.); #279125=ORIENTED_EDGE('',*,*,#202319,.F.); #279126=ORIENTED_EDGE('',*,*,#202320,.F.); #279127=ORIENTED_EDGE('',*,*,#202321,.T.); #279128=ORIENTED_EDGE('',*,*,#202320,.T.); #279129=ORIENTED_EDGE('',*,*,#202322,.F.); #279130=ORIENTED_EDGE('',*,*,#202323,.F.); #279131=ORIENTED_EDGE('',*,*,#202324,.T.); #279132=ORIENTED_EDGE('',*,*,#202323,.T.); #279133=ORIENTED_EDGE('',*,*,#202325,.F.); #279134=ORIENTED_EDGE('',*,*,#202326,.F.); #279135=ORIENTED_EDGE('',*,*,#202327,.T.); #279136=ORIENTED_EDGE('',*,*,#202326,.T.); #279137=ORIENTED_EDGE('',*,*,#202328,.F.); #279138=ORIENTED_EDGE('',*,*,#202329,.F.); #279139=ORIENTED_EDGE('',*,*,#202330,.T.); #279140=ORIENTED_EDGE('',*,*,#202329,.T.); #279141=ORIENTED_EDGE('',*,*,#202331,.F.); #279142=ORIENTED_EDGE('',*,*,#202332,.F.); #279143=ORIENTED_EDGE('',*,*,#202333,.T.); #279144=ORIENTED_EDGE('',*,*,#202332,.T.); #279145=ORIENTED_EDGE('',*,*,#202334,.F.); #279146=ORIENTED_EDGE('',*,*,#202335,.F.); #279147=ORIENTED_EDGE('',*,*,#202336,.T.); #279148=ORIENTED_EDGE('',*,*,#202335,.T.); #279149=ORIENTED_EDGE('',*,*,#202337,.F.); #279150=ORIENTED_EDGE('',*,*,#202338,.F.); #279151=ORIENTED_EDGE('',*,*,#202339,.T.); #279152=ORIENTED_EDGE('',*,*,#202338,.T.); #279153=ORIENTED_EDGE('',*,*,#202340,.F.); #279154=ORIENTED_EDGE('',*,*,#202341,.F.); #279155=ORIENTED_EDGE('',*,*,#202342,.T.); #279156=ORIENTED_EDGE('',*,*,#202341,.T.); #279157=ORIENTED_EDGE('',*,*,#202343,.F.); #279158=ORIENTED_EDGE('',*,*,#202344,.F.); #279159=ORIENTED_EDGE('',*,*,#202345,.T.); #279160=ORIENTED_EDGE('',*,*,#202344,.T.); #279161=ORIENTED_EDGE('',*,*,#202346,.F.); #279162=ORIENTED_EDGE('',*,*,#202347,.F.); #279163=ORIENTED_EDGE('',*,*,#202348,.T.); #279164=ORIENTED_EDGE('',*,*,#202347,.T.); #279165=ORIENTED_EDGE('',*,*,#202349,.F.); #279166=ORIENTED_EDGE('',*,*,#202350,.F.); #279167=ORIENTED_EDGE('',*,*,#202351,.T.); #279168=ORIENTED_EDGE('',*,*,#202350,.T.); #279169=ORIENTED_EDGE('',*,*,#202352,.F.); #279170=ORIENTED_EDGE('',*,*,#202353,.F.); #279171=ORIENTED_EDGE('',*,*,#202354,.T.); #279172=ORIENTED_EDGE('',*,*,#202353,.T.); #279173=ORIENTED_EDGE('',*,*,#202355,.F.); #279174=ORIENTED_EDGE('',*,*,#202356,.F.); #279175=ORIENTED_EDGE('',*,*,#202357,.T.); #279176=ORIENTED_EDGE('',*,*,#202356,.T.); #279177=ORIENTED_EDGE('',*,*,#202358,.F.); #279178=ORIENTED_EDGE('',*,*,#202359,.F.); #279179=ORIENTED_EDGE('',*,*,#202360,.T.); #279180=ORIENTED_EDGE('',*,*,#202359,.T.); #279181=ORIENTED_EDGE('',*,*,#202361,.F.); #279182=ORIENTED_EDGE('',*,*,#202362,.F.); #279183=ORIENTED_EDGE('',*,*,#202363,.T.); #279184=ORIENTED_EDGE('',*,*,#202362,.T.); #279185=ORIENTED_EDGE('',*,*,#202364,.F.); #279186=ORIENTED_EDGE('',*,*,#202365,.F.); #279187=ORIENTED_EDGE('',*,*,#202366,.T.); #279188=ORIENTED_EDGE('',*,*,#202365,.T.); #279189=ORIENTED_EDGE('',*,*,#202367,.F.); #279190=ORIENTED_EDGE('',*,*,#202368,.F.); #279191=ORIENTED_EDGE('',*,*,#202369,.T.); #279192=ORIENTED_EDGE('',*,*,#202368,.T.); #279193=ORIENTED_EDGE('',*,*,#202370,.F.); #279194=ORIENTED_EDGE('',*,*,#202371,.F.); #279195=ORIENTED_EDGE('',*,*,#202372,.T.); #279196=ORIENTED_EDGE('',*,*,#202371,.T.); #279197=ORIENTED_EDGE('',*,*,#202373,.F.); #279198=ORIENTED_EDGE('',*,*,#202374,.F.); #279199=ORIENTED_EDGE('',*,*,#202375,.T.); #279200=ORIENTED_EDGE('',*,*,#202374,.T.); #279201=ORIENTED_EDGE('',*,*,#202376,.F.); #279202=ORIENTED_EDGE('',*,*,#202377,.F.); #279203=ORIENTED_EDGE('',*,*,#202378,.T.); #279204=ORIENTED_EDGE('',*,*,#202377,.T.); #279205=ORIENTED_EDGE('',*,*,#202379,.F.); #279206=ORIENTED_EDGE('',*,*,#202380,.F.); #279207=ORIENTED_EDGE('',*,*,#202381,.T.); #279208=ORIENTED_EDGE('',*,*,#202380,.T.); #279209=ORIENTED_EDGE('',*,*,#202382,.F.); #279210=ORIENTED_EDGE('',*,*,#202383,.F.); #279211=ORIENTED_EDGE('',*,*,#202384,.T.); #279212=ORIENTED_EDGE('',*,*,#202383,.T.); #279213=ORIENTED_EDGE('',*,*,#202385,.F.); #279214=ORIENTED_EDGE('',*,*,#202386,.F.); #279215=ORIENTED_EDGE('',*,*,#202387,.T.); #279216=ORIENTED_EDGE('',*,*,#202386,.T.); #279217=ORIENTED_EDGE('',*,*,#202388,.F.); #279218=ORIENTED_EDGE('',*,*,#202389,.F.); #279219=ORIENTED_EDGE('',*,*,#202390,.T.); #279220=ORIENTED_EDGE('',*,*,#202389,.T.); #279221=ORIENTED_EDGE('',*,*,#202391,.F.); #279222=ORIENTED_EDGE('',*,*,#202392,.F.); #279223=ORIENTED_EDGE('',*,*,#202393,.T.); #279224=ORIENTED_EDGE('',*,*,#202392,.T.); #279225=ORIENTED_EDGE('',*,*,#202394,.F.); #279226=ORIENTED_EDGE('',*,*,#202395,.F.); #279227=ORIENTED_EDGE('',*,*,#202396,.T.); #279228=ORIENTED_EDGE('',*,*,#202395,.T.); #279229=ORIENTED_EDGE('',*,*,#202397,.F.); #279230=ORIENTED_EDGE('',*,*,#202398,.F.); #279231=ORIENTED_EDGE('',*,*,#202399,.T.); #279232=ORIENTED_EDGE('',*,*,#202398,.T.); #279233=ORIENTED_EDGE('',*,*,#202400,.F.); #279234=ORIENTED_EDGE('',*,*,#202401,.F.); #279235=ORIENTED_EDGE('',*,*,#202402,.T.); #279236=ORIENTED_EDGE('',*,*,#202401,.T.); #279237=ORIENTED_EDGE('',*,*,#202403,.F.); #279238=ORIENTED_EDGE('',*,*,#202404,.F.); #279239=ORIENTED_EDGE('',*,*,#202405,.T.); #279240=ORIENTED_EDGE('',*,*,#202404,.T.); #279241=ORIENTED_EDGE('',*,*,#202406,.F.); #279242=ORIENTED_EDGE('',*,*,#202407,.F.); #279243=ORIENTED_EDGE('',*,*,#202408,.T.); #279244=ORIENTED_EDGE('',*,*,#202407,.T.); #279245=ORIENTED_EDGE('',*,*,#202409,.F.); #279246=ORIENTED_EDGE('',*,*,#202410,.F.); #279247=ORIENTED_EDGE('',*,*,#202411,.T.); #279248=ORIENTED_EDGE('',*,*,#202410,.T.); #279249=ORIENTED_EDGE('',*,*,#202412,.F.); #279250=ORIENTED_EDGE('',*,*,#202413,.F.); #279251=ORIENTED_EDGE('',*,*,#202414,.T.); #279252=ORIENTED_EDGE('',*,*,#202413,.T.); #279253=ORIENTED_EDGE('',*,*,#202415,.F.); #279254=ORIENTED_EDGE('',*,*,#202416,.F.); #279255=ORIENTED_EDGE('',*,*,#202417,.T.); #279256=ORIENTED_EDGE('',*,*,#202416,.T.); #279257=ORIENTED_EDGE('',*,*,#202418,.F.); #279258=ORIENTED_EDGE('',*,*,#202419,.F.); #279259=ORIENTED_EDGE('',*,*,#202420,.T.); #279260=ORIENTED_EDGE('',*,*,#202419,.T.); #279261=ORIENTED_EDGE('',*,*,#202421,.F.); #279262=ORIENTED_EDGE('',*,*,#202422,.F.); #279263=ORIENTED_EDGE('',*,*,#202423,.T.); #279264=ORIENTED_EDGE('',*,*,#202422,.T.); #279265=ORIENTED_EDGE('',*,*,#202424,.F.); #279266=ORIENTED_EDGE('',*,*,#202425,.F.); #279267=ORIENTED_EDGE('',*,*,#202426,.T.); #279268=ORIENTED_EDGE('',*,*,#202425,.T.); #279269=ORIENTED_EDGE('',*,*,#202427,.F.); #279270=ORIENTED_EDGE('',*,*,#202428,.F.); #279271=ORIENTED_EDGE('',*,*,#202429,.T.); #279272=ORIENTED_EDGE('',*,*,#202428,.T.); #279273=ORIENTED_EDGE('',*,*,#202430,.F.); #279274=ORIENTED_EDGE('',*,*,#202431,.F.); #279275=ORIENTED_EDGE('',*,*,#202432,.T.); #279276=ORIENTED_EDGE('',*,*,#202431,.T.); #279277=ORIENTED_EDGE('',*,*,#202433,.F.); #279278=ORIENTED_EDGE('',*,*,#202434,.F.); #279279=ORIENTED_EDGE('',*,*,#202435,.T.); #279280=ORIENTED_EDGE('',*,*,#202434,.T.); #279281=ORIENTED_EDGE('',*,*,#202436,.F.); #279282=ORIENTED_EDGE('',*,*,#202437,.F.); #279283=ORIENTED_EDGE('',*,*,#202438,.T.); #279284=ORIENTED_EDGE('',*,*,#202437,.T.); #279285=ORIENTED_EDGE('',*,*,#202439,.F.); #279286=ORIENTED_EDGE('',*,*,#202440,.F.); #279287=ORIENTED_EDGE('',*,*,#202441,.T.); #279288=ORIENTED_EDGE('',*,*,#202440,.T.); #279289=ORIENTED_EDGE('',*,*,#202442,.F.); #279290=ORIENTED_EDGE('',*,*,#202443,.F.); #279291=ORIENTED_EDGE('',*,*,#202444,.T.); #279292=ORIENTED_EDGE('',*,*,#202443,.T.); #279293=ORIENTED_EDGE('',*,*,#202445,.F.); #279294=ORIENTED_EDGE('',*,*,#202446,.F.); #279295=ORIENTED_EDGE('',*,*,#202447,.T.); #279296=ORIENTED_EDGE('',*,*,#202446,.T.); #279297=ORIENTED_EDGE('',*,*,#202448,.F.); #279298=ORIENTED_EDGE('',*,*,#202449,.F.); #279299=ORIENTED_EDGE('',*,*,#202450,.T.); #279300=ORIENTED_EDGE('',*,*,#202449,.T.); #279301=ORIENTED_EDGE('',*,*,#202451,.F.); #279302=ORIENTED_EDGE('',*,*,#202452,.F.); #279303=ORIENTED_EDGE('',*,*,#202453,.T.); #279304=ORIENTED_EDGE('',*,*,#202452,.T.); #279305=ORIENTED_EDGE('',*,*,#202454,.F.); #279306=ORIENTED_EDGE('',*,*,#202455,.F.); #279307=ORIENTED_EDGE('',*,*,#202456,.T.); #279308=ORIENTED_EDGE('',*,*,#202455,.T.); #279309=ORIENTED_EDGE('',*,*,#202457,.F.); #279310=ORIENTED_EDGE('',*,*,#202458,.F.); #279311=ORIENTED_EDGE('',*,*,#202459,.T.); #279312=ORIENTED_EDGE('',*,*,#202458,.T.); #279313=ORIENTED_EDGE('',*,*,#202460,.F.); #279314=ORIENTED_EDGE('',*,*,#202461,.F.); #279315=ORIENTED_EDGE('',*,*,#202462,.T.); #279316=ORIENTED_EDGE('',*,*,#202461,.T.); #279317=ORIENTED_EDGE('',*,*,#202463,.F.); #279318=ORIENTED_EDGE('',*,*,#202464,.F.); #279319=ORIENTED_EDGE('',*,*,#202465,.T.); #279320=ORIENTED_EDGE('',*,*,#202464,.T.); #279321=ORIENTED_EDGE('',*,*,#202466,.F.); #279322=ORIENTED_EDGE('',*,*,#202467,.F.); #279323=ORIENTED_EDGE('',*,*,#202468,.T.); #279324=ORIENTED_EDGE('',*,*,#202467,.T.); #279325=ORIENTED_EDGE('',*,*,#202469,.F.); #279326=ORIENTED_EDGE('',*,*,#202470,.F.); #279327=ORIENTED_EDGE('',*,*,#202471,.T.); #279328=ORIENTED_EDGE('',*,*,#202470,.T.); #279329=ORIENTED_EDGE('',*,*,#202472,.F.); #279330=ORIENTED_EDGE('',*,*,#202473,.F.); #279331=ORIENTED_EDGE('',*,*,#202474,.T.); #279332=ORIENTED_EDGE('',*,*,#202473,.T.); #279333=ORIENTED_EDGE('',*,*,#202475,.F.); #279334=ORIENTED_EDGE('',*,*,#202476,.F.); #279335=ORIENTED_EDGE('',*,*,#202477,.T.); #279336=ORIENTED_EDGE('',*,*,#202476,.T.); #279337=ORIENTED_EDGE('',*,*,#202478,.F.); #279338=ORIENTED_EDGE('',*,*,#202479,.F.); #279339=ORIENTED_EDGE('',*,*,#202480,.T.); #279340=ORIENTED_EDGE('',*,*,#202479,.T.); #279341=ORIENTED_EDGE('',*,*,#202481,.F.); #279342=ORIENTED_EDGE('',*,*,#202482,.F.); #279343=ORIENTED_EDGE('',*,*,#202483,.T.); #279344=ORIENTED_EDGE('',*,*,#202482,.T.); #279345=ORIENTED_EDGE('',*,*,#202484,.F.); #279346=ORIENTED_EDGE('',*,*,#202485,.F.); #279347=ORIENTED_EDGE('',*,*,#202486,.T.); #279348=ORIENTED_EDGE('',*,*,#202485,.T.); #279349=ORIENTED_EDGE('',*,*,#202487,.F.); #279350=ORIENTED_EDGE('',*,*,#202488,.F.); #279351=ORIENTED_EDGE('',*,*,#202489,.T.); #279352=ORIENTED_EDGE('',*,*,#202488,.T.); #279353=ORIENTED_EDGE('',*,*,#202490,.F.); #279354=ORIENTED_EDGE('',*,*,#202491,.F.); #279355=ORIENTED_EDGE('',*,*,#202492,.T.); #279356=ORIENTED_EDGE('',*,*,#202491,.T.); #279357=ORIENTED_EDGE('',*,*,#202493,.F.); #279358=ORIENTED_EDGE('',*,*,#202494,.F.); #279359=ORIENTED_EDGE('',*,*,#202495,.T.); #279360=ORIENTED_EDGE('',*,*,#202494,.T.); #279361=ORIENTED_EDGE('',*,*,#202496,.F.); #279362=ORIENTED_EDGE('',*,*,#202497,.F.); #279363=ORIENTED_EDGE('',*,*,#202498,.T.); #279364=ORIENTED_EDGE('',*,*,#202497,.T.); #279365=ORIENTED_EDGE('',*,*,#202499,.F.); #279366=ORIENTED_EDGE('',*,*,#202500,.F.); #279367=ORIENTED_EDGE('',*,*,#202501,.T.); #279368=ORIENTED_EDGE('',*,*,#202500,.T.); #279369=ORIENTED_EDGE('',*,*,#202502,.F.); #279370=ORIENTED_EDGE('',*,*,#202503,.F.); #279371=ORIENTED_EDGE('',*,*,#202504,.T.); #279372=ORIENTED_EDGE('',*,*,#202503,.T.); #279373=ORIENTED_EDGE('',*,*,#202505,.F.); #279374=ORIENTED_EDGE('',*,*,#202506,.F.); #279375=ORIENTED_EDGE('',*,*,#202507,.T.); #279376=ORIENTED_EDGE('',*,*,#202506,.T.); #279377=ORIENTED_EDGE('',*,*,#202508,.F.); #279378=ORIENTED_EDGE('',*,*,#202509,.F.); #279379=ORIENTED_EDGE('',*,*,#202510,.T.); #279380=ORIENTED_EDGE('',*,*,#202509,.T.); #279381=ORIENTED_EDGE('',*,*,#202511,.F.); #279382=ORIENTED_EDGE('',*,*,#202512,.F.); #279383=ORIENTED_EDGE('',*,*,#202513,.T.); #279384=ORIENTED_EDGE('',*,*,#202512,.T.); #279385=ORIENTED_EDGE('',*,*,#202514,.F.); #279386=ORIENTED_EDGE('',*,*,#202515,.F.); #279387=ORIENTED_EDGE('',*,*,#202516,.T.); #279388=ORIENTED_EDGE('',*,*,#202515,.T.); #279389=ORIENTED_EDGE('',*,*,#202517,.F.); #279390=ORIENTED_EDGE('',*,*,#202518,.F.); #279391=ORIENTED_EDGE('',*,*,#202519,.T.); #279392=ORIENTED_EDGE('',*,*,#202518,.T.); #279393=ORIENTED_EDGE('',*,*,#202520,.F.); #279394=ORIENTED_EDGE('',*,*,#202521,.F.); #279395=ORIENTED_EDGE('',*,*,#202522,.T.); #279396=ORIENTED_EDGE('',*,*,#202521,.T.); #279397=ORIENTED_EDGE('',*,*,#202523,.F.); #279398=ORIENTED_EDGE('',*,*,#202309,.F.); #279399=ORIENTED_EDGE('',*,*,#202524,.T.); #279400=ORIENTED_EDGE('',*,*,#202525,.T.); #279401=ORIENTED_EDGE('',*,*,#202526,.F.); #279402=ORIENTED_EDGE('',*,*,#202527,.F.); #279403=ORIENTED_EDGE('',*,*,#202528,.T.); #279404=ORIENTED_EDGE('',*,*,#202527,.T.); #279405=ORIENTED_EDGE('',*,*,#202529,.F.); #279406=ORIENTED_EDGE('',*,*,#202530,.F.); #279407=ORIENTED_EDGE('',*,*,#202531,.T.); #279408=ORIENTED_EDGE('',*,*,#202530,.T.); #279409=ORIENTED_EDGE('',*,*,#202532,.F.); #279410=ORIENTED_EDGE('',*,*,#202533,.F.); #279411=ORIENTED_EDGE('',*,*,#202534,.T.); #279412=ORIENTED_EDGE('',*,*,#202533,.T.); #279413=ORIENTED_EDGE('',*,*,#202535,.F.); #279414=ORIENTED_EDGE('',*,*,#202536,.F.); #279415=ORIENTED_EDGE('',*,*,#202537,.T.); #279416=ORIENTED_EDGE('',*,*,#202536,.T.); #279417=ORIENTED_EDGE('',*,*,#202538,.F.); #279418=ORIENTED_EDGE('',*,*,#202539,.F.); #279419=ORIENTED_EDGE('',*,*,#202540,.T.); #279420=ORIENTED_EDGE('',*,*,#202539,.T.); #279421=ORIENTED_EDGE('',*,*,#202541,.F.); #279422=ORIENTED_EDGE('',*,*,#202542,.F.); #279423=ORIENTED_EDGE('',*,*,#202543,.T.); #279424=ORIENTED_EDGE('',*,*,#202542,.T.); #279425=ORIENTED_EDGE('',*,*,#202544,.F.); #279426=ORIENTED_EDGE('',*,*,#202545,.F.); #279427=ORIENTED_EDGE('',*,*,#202546,.T.); #279428=ORIENTED_EDGE('',*,*,#202545,.T.); #279429=ORIENTED_EDGE('',*,*,#202547,.F.); #279430=ORIENTED_EDGE('',*,*,#202548,.F.); #279431=ORIENTED_EDGE('',*,*,#202549,.T.); #279432=ORIENTED_EDGE('',*,*,#202548,.T.); #279433=ORIENTED_EDGE('',*,*,#202550,.F.); #279434=ORIENTED_EDGE('',*,*,#202551,.F.); #279435=ORIENTED_EDGE('',*,*,#202552,.T.); #279436=ORIENTED_EDGE('',*,*,#202551,.T.); #279437=ORIENTED_EDGE('',*,*,#202553,.F.); #279438=ORIENTED_EDGE('',*,*,#202554,.F.); #279439=ORIENTED_EDGE('',*,*,#202555,.T.); #279440=ORIENTED_EDGE('',*,*,#202554,.T.); #279441=ORIENTED_EDGE('',*,*,#202556,.F.); #279442=ORIENTED_EDGE('',*,*,#202557,.F.); #279443=ORIENTED_EDGE('',*,*,#202558,.T.); #279444=ORIENTED_EDGE('',*,*,#202557,.T.); #279445=ORIENTED_EDGE('',*,*,#202559,.F.); #279446=ORIENTED_EDGE('',*,*,#202560,.F.); #279447=ORIENTED_EDGE('',*,*,#202561,.T.); #279448=ORIENTED_EDGE('',*,*,#202560,.T.); #279449=ORIENTED_EDGE('',*,*,#202562,.F.); #279450=ORIENTED_EDGE('',*,*,#202563,.F.); #279451=ORIENTED_EDGE('',*,*,#202564,.T.); #279452=ORIENTED_EDGE('',*,*,#202563,.T.); #279453=ORIENTED_EDGE('',*,*,#202565,.F.); #279454=ORIENTED_EDGE('',*,*,#202566,.F.); #279455=ORIENTED_EDGE('',*,*,#202567,.T.); #279456=ORIENTED_EDGE('',*,*,#202566,.T.); #279457=ORIENTED_EDGE('',*,*,#202568,.F.); #279458=ORIENTED_EDGE('',*,*,#202569,.F.); #279459=ORIENTED_EDGE('',*,*,#202570,.T.); #279460=ORIENTED_EDGE('',*,*,#202569,.T.); #279461=ORIENTED_EDGE('',*,*,#202571,.F.); #279462=ORIENTED_EDGE('',*,*,#202572,.F.); #279463=ORIENTED_EDGE('',*,*,#202573,.T.); #279464=ORIENTED_EDGE('',*,*,#202572,.T.); #279465=ORIENTED_EDGE('',*,*,#202574,.F.); #279466=ORIENTED_EDGE('',*,*,#202575,.F.); #279467=ORIENTED_EDGE('',*,*,#202576,.T.); #279468=ORIENTED_EDGE('',*,*,#202575,.T.); #279469=ORIENTED_EDGE('',*,*,#202577,.F.); #279470=ORIENTED_EDGE('',*,*,#202578,.F.); #279471=ORIENTED_EDGE('',*,*,#202579,.T.); #279472=ORIENTED_EDGE('',*,*,#202578,.T.); #279473=ORIENTED_EDGE('',*,*,#202580,.F.); #279474=ORIENTED_EDGE('',*,*,#202581,.F.); #279475=ORIENTED_EDGE('',*,*,#202582,.T.); #279476=ORIENTED_EDGE('',*,*,#202581,.T.); #279477=ORIENTED_EDGE('',*,*,#202583,.F.); #279478=ORIENTED_EDGE('',*,*,#202584,.F.); #279479=ORIENTED_EDGE('',*,*,#202585,.T.); #279480=ORIENTED_EDGE('',*,*,#202584,.T.); #279481=ORIENTED_EDGE('',*,*,#202586,.F.); #279482=ORIENTED_EDGE('',*,*,#202587,.F.); #279483=ORIENTED_EDGE('',*,*,#202588,.T.); #279484=ORIENTED_EDGE('',*,*,#202587,.T.); #279485=ORIENTED_EDGE('',*,*,#202589,.F.); #279486=ORIENTED_EDGE('',*,*,#202590,.F.); #279487=ORIENTED_EDGE('',*,*,#202591,.T.); #279488=ORIENTED_EDGE('',*,*,#202590,.T.); #279489=ORIENTED_EDGE('',*,*,#202592,.F.); #279490=ORIENTED_EDGE('',*,*,#202593,.F.); #279491=ORIENTED_EDGE('',*,*,#202594,.T.); #279492=ORIENTED_EDGE('',*,*,#202593,.T.); #279493=ORIENTED_EDGE('',*,*,#202595,.F.); #279494=ORIENTED_EDGE('',*,*,#202596,.F.); #279495=ORIENTED_EDGE('',*,*,#202597,.T.); #279496=ORIENTED_EDGE('',*,*,#202596,.T.); #279497=ORIENTED_EDGE('',*,*,#202598,.F.); #279498=ORIENTED_EDGE('',*,*,#202599,.F.); #279499=ORIENTED_EDGE('',*,*,#202600,.T.); #279500=ORIENTED_EDGE('',*,*,#202599,.T.); #279501=ORIENTED_EDGE('',*,*,#202601,.F.); #279502=ORIENTED_EDGE('',*,*,#202602,.F.); #279503=ORIENTED_EDGE('',*,*,#202603,.T.); #279504=ORIENTED_EDGE('',*,*,#202602,.T.); #279505=ORIENTED_EDGE('',*,*,#202604,.F.); #279506=ORIENTED_EDGE('',*,*,#202605,.F.); #279507=ORIENTED_EDGE('',*,*,#202606,.T.); #279508=ORIENTED_EDGE('',*,*,#202605,.T.); #279509=ORIENTED_EDGE('',*,*,#202607,.F.); #279510=ORIENTED_EDGE('',*,*,#202608,.F.); #279511=ORIENTED_EDGE('',*,*,#202609,.T.); #279512=ORIENTED_EDGE('',*,*,#202608,.T.); #279513=ORIENTED_EDGE('',*,*,#202610,.F.); #279514=ORIENTED_EDGE('',*,*,#202611,.F.); #279515=ORIENTED_EDGE('',*,*,#202612,.T.); #279516=ORIENTED_EDGE('',*,*,#202611,.T.); #279517=ORIENTED_EDGE('',*,*,#202613,.F.); #279518=ORIENTED_EDGE('',*,*,#202614,.F.); #279519=ORIENTED_EDGE('',*,*,#202615,.T.); #279520=ORIENTED_EDGE('',*,*,#202614,.T.); #279521=ORIENTED_EDGE('',*,*,#202616,.F.); #279522=ORIENTED_EDGE('',*,*,#202617,.F.); #279523=ORIENTED_EDGE('',*,*,#202618,.T.); #279524=ORIENTED_EDGE('',*,*,#202617,.T.); #279525=ORIENTED_EDGE('',*,*,#202619,.F.); #279526=ORIENTED_EDGE('',*,*,#202620,.F.); #279527=ORIENTED_EDGE('',*,*,#202621,.T.); #279528=ORIENTED_EDGE('',*,*,#202620,.T.); #279529=ORIENTED_EDGE('',*,*,#202622,.F.); #279530=ORIENTED_EDGE('',*,*,#202623,.F.); #279531=ORIENTED_EDGE('',*,*,#202624,.T.); #279532=ORIENTED_EDGE('',*,*,#202623,.T.); #279533=ORIENTED_EDGE('',*,*,#202625,.F.); #279534=ORIENTED_EDGE('',*,*,#202626,.F.); #279535=ORIENTED_EDGE('',*,*,#202627,.T.); #279536=ORIENTED_EDGE('',*,*,#202626,.T.); #279537=ORIENTED_EDGE('',*,*,#202628,.F.); #279538=ORIENTED_EDGE('',*,*,#202629,.F.); #279539=ORIENTED_EDGE('',*,*,#202630,.T.); #279540=ORIENTED_EDGE('',*,*,#202629,.T.); #279541=ORIENTED_EDGE('',*,*,#202631,.F.); #279542=ORIENTED_EDGE('',*,*,#202632,.F.); #279543=ORIENTED_EDGE('',*,*,#202633,.T.); #279544=ORIENTED_EDGE('',*,*,#202632,.T.); #279545=ORIENTED_EDGE('',*,*,#202634,.F.); #279546=ORIENTED_EDGE('',*,*,#202635,.F.); #279547=ORIENTED_EDGE('',*,*,#202636,.T.); #279548=ORIENTED_EDGE('',*,*,#202635,.T.); #279549=ORIENTED_EDGE('',*,*,#202637,.F.); #279550=ORIENTED_EDGE('',*,*,#202638,.F.); #279551=ORIENTED_EDGE('',*,*,#202639,.T.); #279552=ORIENTED_EDGE('',*,*,#202638,.T.); #279553=ORIENTED_EDGE('',*,*,#202640,.F.); #279554=ORIENTED_EDGE('',*,*,#202641,.F.); #279555=ORIENTED_EDGE('',*,*,#202642,.T.); #279556=ORIENTED_EDGE('',*,*,#202641,.T.); #279557=ORIENTED_EDGE('',*,*,#202643,.F.); #279558=ORIENTED_EDGE('',*,*,#202644,.F.); #279559=ORIENTED_EDGE('',*,*,#202645,.T.); #279560=ORIENTED_EDGE('',*,*,#202644,.T.); #279561=ORIENTED_EDGE('',*,*,#202646,.F.); #279562=ORIENTED_EDGE('',*,*,#202647,.F.); #279563=ORIENTED_EDGE('',*,*,#202648,.T.); #279564=ORIENTED_EDGE('',*,*,#202647,.T.); #279565=ORIENTED_EDGE('',*,*,#202649,.F.); #279566=ORIENTED_EDGE('',*,*,#202650,.F.); #279567=ORIENTED_EDGE('',*,*,#202651,.T.); #279568=ORIENTED_EDGE('',*,*,#202650,.T.); #279569=ORIENTED_EDGE('',*,*,#202652,.F.); #279570=ORIENTED_EDGE('',*,*,#202653,.F.); #279571=ORIENTED_EDGE('',*,*,#202654,.T.); #279572=ORIENTED_EDGE('',*,*,#202653,.T.); #279573=ORIENTED_EDGE('',*,*,#202655,.F.); #279574=ORIENTED_EDGE('',*,*,#202656,.F.); #279575=ORIENTED_EDGE('',*,*,#202657,.T.); #279576=ORIENTED_EDGE('',*,*,#202656,.T.); #279577=ORIENTED_EDGE('',*,*,#202658,.F.); #279578=ORIENTED_EDGE('',*,*,#202659,.F.); #279579=ORIENTED_EDGE('',*,*,#202660,.T.); #279580=ORIENTED_EDGE('',*,*,#202659,.T.); #279581=ORIENTED_EDGE('',*,*,#202661,.F.); #279582=ORIENTED_EDGE('',*,*,#202662,.F.); #279583=ORIENTED_EDGE('',*,*,#202663,.T.); #279584=ORIENTED_EDGE('',*,*,#202662,.T.); #279585=ORIENTED_EDGE('',*,*,#202664,.F.); #279586=ORIENTED_EDGE('',*,*,#202665,.F.); #279587=ORIENTED_EDGE('',*,*,#202666,.T.); #279588=ORIENTED_EDGE('',*,*,#202665,.T.); #279589=ORIENTED_EDGE('',*,*,#202667,.F.); #279590=ORIENTED_EDGE('',*,*,#202668,.F.); #279591=ORIENTED_EDGE('',*,*,#202669,.T.); #279592=ORIENTED_EDGE('',*,*,#202668,.T.); #279593=ORIENTED_EDGE('',*,*,#202670,.F.); #279594=ORIENTED_EDGE('',*,*,#202671,.F.); #279595=ORIENTED_EDGE('',*,*,#202672,.T.); #279596=ORIENTED_EDGE('',*,*,#202671,.T.); #279597=ORIENTED_EDGE('',*,*,#202673,.F.); #279598=ORIENTED_EDGE('',*,*,#202674,.F.); #279599=ORIENTED_EDGE('',*,*,#202675,.T.); #279600=ORIENTED_EDGE('',*,*,#202674,.T.); #279601=ORIENTED_EDGE('',*,*,#202676,.F.); #279602=ORIENTED_EDGE('',*,*,#202677,.F.); #279603=ORIENTED_EDGE('',*,*,#202678,.T.); #279604=ORIENTED_EDGE('',*,*,#202677,.T.); #279605=ORIENTED_EDGE('',*,*,#202679,.F.); #279606=ORIENTED_EDGE('',*,*,#202680,.F.); #279607=ORIENTED_EDGE('',*,*,#202681,.T.); #279608=ORIENTED_EDGE('',*,*,#202680,.T.); #279609=ORIENTED_EDGE('',*,*,#202682,.F.); #279610=ORIENTED_EDGE('',*,*,#202683,.F.); #279611=ORIENTED_EDGE('',*,*,#202684,.T.); #279612=ORIENTED_EDGE('',*,*,#202683,.T.); #279613=ORIENTED_EDGE('',*,*,#202685,.F.); #279614=ORIENTED_EDGE('',*,*,#202686,.F.); #279615=ORIENTED_EDGE('',*,*,#202687,.T.); #279616=ORIENTED_EDGE('',*,*,#202686,.T.); #279617=ORIENTED_EDGE('',*,*,#202688,.F.); #279618=ORIENTED_EDGE('',*,*,#202689,.F.); #279619=ORIENTED_EDGE('',*,*,#202690,.T.); #279620=ORIENTED_EDGE('',*,*,#202689,.T.); #279621=ORIENTED_EDGE('',*,*,#202691,.F.); #279622=ORIENTED_EDGE('',*,*,#202692,.F.); #279623=ORIENTED_EDGE('',*,*,#202693,.T.); #279624=ORIENTED_EDGE('',*,*,#202692,.T.); #279625=ORIENTED_EDGE('',*,*,#202694,.F.); #279626=ORIENTED_EDGE('',*,*,#202695,.F.); #279627=ORIENTED_EDGE('',*,*,#202696,.T.); #279628=ORIENTED_EDGE('',*,*,#202695,.T.); #279629=ORIENTED_EDGE('',*,*,#202697,.F.); #279630=ORIENTED_EDGE('',*,*,#202698,.F.); #279631=ORIENTED_EDGE('',*,*,#202699,.T.); #279632=ORIENTED_EDGE('',*,*,#202698,.T.); #279633=ORIENTED_EDGE('',*,*,#202700,.F.); #279634=ORIENTED_EDGE('',*,*,#202701,.F.); #279635=ORIENTED_EDGE('',*,*,#202702,.T.); #279636=ORIENTED_EDGE('',*,*,#202701,.T.); #279637=ORIENTED_EDGE('',*,*,#202703,.F.); #279638=ORIENTED_EDGE('',*,*,#202704,.F.); #279639=ORIENTED_EDGE('',*,*,#202705,.T.); #279640=ORIENTED_EDGE('',*,*,#202704,.T.); #279641=ORIENTED_EDGE('',*,*,#202706,.F.); #279642=ORIENTED_EDGE('',*,*,#202707,.F.); #279643=ORIENTED_EDGE('',*,*,#202708,.T.); #279644=ORIENTED_EDGE('',*,*,#202707,.T.); #279645=ORIENTED_EDGE('',*,*,#202709,.F.); #279646=ORIENTED_EDGE('',*,*,#202710,.F.); #279647=ORIENTED_EDGE('',*,*,#202711,.T.); #279648=ORIENTED_EDGE('',*,*,#202710,.T.); #279649=ORIENTED_EDGE('',*,*,#202712,.F.); #279650=ORIENTED_EDGE('',*,*,#202713,.F.); #279651=ORIENTED_EDGE('',*,*,#202714,.T.); #279652=ORIENTED_EDGE('',*,*,#202713,.T.); #279653=ORIENTED_EDGE('',*,*,#202715,.F.); #279654=ORIENTED_EDGE('',*,*,#202716,.F.); #279655=ORIENTED_EDGE('',*,*,#202717,.T.); #279656=ORIENTED_EDGE('',*,*,#202716,.T.); #279657=ORIENTED_EDGE('',*,*,#202718,.F.); #279658=ORIENTED_EDGE('',*,*,#202719,.F.); #279659=ORIENTED_EDGE('',*,*,#202720,.T.); #279660=ORIENTED_EDGE('',*,*,#202719,.T.); #279661=ORIENTED_EDGE('',*,*,#202721,.F.); #279662=ORIENTED_EDGE('',*,*,#202722,.F.); #279663=ORIENTED_EDGE('',*,*,#202723,.T.); #279664=ORIENTED_EDGE('',*,*,#202722,.T.); #279665=ORIENTED_EDGE('',*,*,#202724,.F.); #279666=ORIENTED_EDGE('',*,*,#202725,.F.); #279667=ORIENTED_EDGE('',*,*,#202726,.T.); #279668=ORIENTED_EDGE('',*,*,#202725,.T.); #279669=ORIENTED_EDGE('',*,*,#202727,.F.); #279670=ORIENTED_EDGE('',*,*,#202728,.F.); #279671=ORIENTED_EDGE('',*,*,#202729,.T.); #279672=ORIENTED_EDGE('',*,*,#202728,.T.); #279673=ORIENTED_EDGE('',*,*,#202730,.F.); #279674=ORIENTED_EDGE('',*,*,#202731,.F.); #279675=ORIENTED_EDGE('',*,*,#202732,.T.); #279676=ORIENTED_EDGE('',*,*,#202731,.T.); #279677=ORIENTED_EDGE('',*,*,#202733,.F.); #279678=ORIENTED_EDGE('',*,*,#202734,.F.); #279679=ORIENTED_EDGE('',*,*,#202735,.T.); #279680=ORIENTED_EDGE('',*,*,#202734,.T.); #279681=ORIENTED_EDGE('',*,*,#202736,.F.); #279682=ORIENTED_EDGE('',*,*,#202737,.F.); #279683=ORIENTED_EDGE('',*,*,#202738,.T.); #279684=ORIENTED_EDGE('',*,*,#202737,.T.); #279685=ORIENTED_EDGE('',*,*,#202739,.F.); #279686=ORIENTED_EDGE('',*,*,#202740,.F.); #279687=ORIENTED_EDGE('',*,*,#202741,.T.); #279688=ORIENTED_EDGE('',*,*,#202740,.T.); #279689=ORIENTED_EDGE('',*,*,#202742,.F.); #279690=ORIENTED_EDGE('',*,*,#202743,.F.); #279691=ORIENTED_EDGE('',*,*,#202744,.T.); #279692=ORIENTED_EDGE('',*,*,#202743,.T.); #279693=ORIENTED_EDGE('',*,*,#202745,.F.); #279694=ORIENTED_EDGE('',*,*,#202746,.F.); #279695=ORIENTED_EDGE('',*,*,#202747,.T.); #279696=ORIENTED_EDGE('',*,*,#202746,.T.); #279697=ORIENTED_EDGE('',*,*,#202748,.F.); #279698=ORIENTED_EDGE('',*,*,#202749,.F.); #279699=ORIENTED_EDGE('',*,*,#202750,.T.); #279700=ORIENTED_EDGE('',*,*,#202749,.T.); #279701=ORIENTED_EDGE('',*,*,#202751,.F.); #279702=ORIENTED_EDGE('',*,*,#202752,.F.); #279703=ORIENTED_EDGE('',*,*,#202753,.T.); #279704=ORIENTED_EDGE('',*,*,#202752,.T.); #279705=ORIENTED_EDGE('',*,*,#202754,.F.); #279706=ORIENTED_EDGE('',*,*,#202755,.F.); #279707=ORIENTED_EDGE('',*,*,#202756,.T.); #279708=ORIENTED_EDGE('',*,*,#202755,.T.); #279709=ORIENTED_EDGE('',*,*,#202757,.F.); #279710=ORIENTED_EDGE('',*,*,#202758,.F.); #279711=ORIENTED_EDGE('',*,*,#202759,.T.); #279712=ORIENTED_EDGE('',*,*,#202758,.T.); #279713=ORIENTED_EDGE('',*,*,#202760,.F.); #279714=ORIENTED_EDGE('',*,*,#202761,.F.); #279715=ORIENTED_EDGE('',*,*,#202762,.T.); #279716=ORIENTED_EDGE('',*,*,#202761,.T.); #279717=ORIENTED_EDGE('',*,*,#202763,.F.); #279718=ORIENTED_EDGE('',*,*,#202764,.F.); #279719=ORIENTED_EDGE('',*,*,#202765,.T.); #279720=ORIENTED_EDGE('',*,*,#202764,.T.); #279721=ORIENTED_EDGE('',*,*,#202766,.F.); #279722=ORIENTED_EDGE('',*,*,#202767,.F.); #279723=ORIENTED_EDGE('',*,*,#202768,.T.); #279724=ORIENTED_EDGE('',*,*,#202767,.T.); #279725=ORIENTED_EDGE('',*,*,#202769,.F.); #279726=ORIENTED_EDGE('',*,*,#202770,.F.); #279727=ORIENTED_EDGE('',*,*,#202771,.T.); #279728=ORIENTED_EDGE('',*,*,#202770,.T.); #279729=ORIENTED_EDGE('',*,*,#202772,.F.); #279730=ORIENTED_EDGE('',*,*,#202773,.F.); #279731=ORIENTED_EDGE('',*,*,#202774,.T.); #279732=ORIENTED_EDGE('',*,*,#202773,.T.); #279733=ORIENTED_EDGE('',*,*,#202775,.F.); #279734=ORIENTED_EDGE('',*,*,#202776,.F.); #279735=ORIENTED_EDGE('',*,*,#202777,.T.); #279736=ORIENTED_EDGE('',*,*,#202776,.T.); #279737=ORIENTED_EDGE('',*,*,#202778,.F.); #279738=ORIENTED_EDGE('',*,*,#202779,.F.); #279739=ORIENTED_EDGE('',*,*,#202780,.T.); #279740=ORIENTED_EDGE('',*,*,#202779,.T.); #279741=ORIENTED_EDGE('',*,*,#202781,.F.); #279742=ORIENTED_EDGE('',*,*,#202782,.F.); #279743=ORIENTED_EDGE('',*,*,#202783,.T.); #279744=ORIENTED_EDGE('',*,*,#202782,.T.); #279745=ORIENTED_EDGE('',*,*,#202784,.F.); #279746=ORIENTED_EDGE('',*,*,#202785,.F.); #279747=ORIENTED_EDGE('',*,*,#202786,.T.); #279748=ORIENTED_EDGE('',*,*,#202785,.T.); #279749=ORIENTED_EDGE('',*,*,#202787,.F.); #279750=ORIENTED_EDGE('',*,*,#202788,.F.); #279751=ORIENTED_EDGE('',*,*,#202789,.T.); #279752=ORIENTED_EDGE('',*,*,#202788,.T.); #279753=ORIENTED_EDGE('',*,*,#202790,.F.); #279754=ORIENTED_EDGE('',*,*,#202791,.F.); #279755=ORIENTED_EDGE('',*,*,#202792,.T.); #279756=ORIENTED_EDGE('',*,*,#202791,.T.); #279757=ORIENTED_EDGE('',*,*,#202793,.F.); #279758=ORIENTED_EDGE('',*,*,#202794,.F.); #279759=ORIENTED_EDGE('',*,*,#202795,.T.); #279760=ORIENTED_EDGE('',*,*,#202794,.T.); #279761=ORIENTED_EDGE('',*,*,#202796,.F.); #279762=ORIENTED_EDGE('',*,*,#202797,.F.); #279763=ORIENTED_EDGE('',*,*,#202798,.T.); #279764=ORIENTED_EDGE('',*,*,#202797,.T.); #279765=ORIENTED_EDGE('',*,*,#202799,.F.); #279766=ORIENTED_EDGE('',*,*,#202800,.F.); #279767=ORIENTED_EDGE('',*,*,#202801,.T.); #279768=ORIENTED_EDGE('',*,*,#202800,.T.); #279769=ORIENTED_EDGE('',*,*,#202802,.F.); #279770=ORIENTED_EDGE('',*,*,#202803,.F.); #279771=ORIENTED_EDGE('',*,*,#202804,.T.); #279772=ORIENTED_EDGE('',*,*,#202803,.T.); #279773=ORIENTED_EDGE('',*,*,#202805,.F.); #279774=ORIENTED_EDGE('',*,*,#202806,.F.); #279775=ORIENTED_EDGE('',*,*,#202807,.T.); #279776=ORIENTED_EDGE('',*,*,#202806,.T.); #279777=ORIENTED_EDGE('',*,*,#202808,.F.); #279778=ORIENTED_EDGE('',*,*,#202525,.F.); #279779=ORIENTED_EDGE('',*,*,#202808,.T.); #279780=ORIENTED_EDGE('',*,*,#202805,.T.); #279781=ORIENTED_EDGE('',*,*,#202802,.T.); #279782=ORIENTED_EDGE('',*,*,#202799,.T.); #279783=ORIENTED_EDGE('',*,*,#202796,.T.); #279784=ORIENTED_EDGE('',*,*,#202793,.T.); #279785=ORIENTED_EDGE('',*,*,#202790,.T.); #279786=ORIENTED_EDGE('',*,*,#202787,.T.); #279787=ORIENTED_EDGE('',*,*,#202784,.T.); #279788=ORIENTED_EDGE('',*,*,#202781,.T.); #279789=ORIENTED_EDGE('',*,*,#202778,.T.); #279790=ORIENTED_EDGE('',*,*,#202775,.T.); #279791=ORIENTED_EDGE('',*,*,#202772,.T.); #279792=ORIENTED_EDGE('',*,*,#202769,.T.); #279793=ORIENTED_EDGE('',*,*,#202766,.T.); #279794=ORIENTED_EDGE('',*,*,#202763,.T.); #279795=ORIENTED_EDGE('',*,*,#202760,.T.); #279796=ORIENTED_EDGE('',*,*,#202757,.T.); #279797=ORIENTED_EDGE('',*,*,#202754,.T.); #279798=ORIENTED_EDGE('',*,*,#202751,.T.); #279799=ORIENTED_EDGE('',*,*,#202748,.T.); #279800=ORIENTED_EDGE('',*,*,#202745,.T.); #279801=ORIENTED_EDGE('',*,*,#202742,.T.); #279802=ORIENTED_EDGE('',*,*,#202739,.T.); #279803=ORIENTED_EDGE('',*,*,#202736,.T.); #279804=ORIENTED_EDGE('',*,*,#202733,.T.); #279805=ORIENTED_EDGE('',*,*,#202730,.T.); #279806=ORIENTED_EDGE('',*,*,#202727,.T.); #279807=ORIENTED_EDGE('',*,*,#202724,.T.); #279808=ORIENTED_EDGE('',*,*,#202721,.T.); #279809=ORIENTED_EDGE('',*,*,#202718,.T.); #279810=ORIENTED_EDGE('',*,*,#202715,.T.); #279811=ORIENTED_EDGE('',*,*,#202712,.T.); #279812=ORIENTED_EDGE('',*,*,#202709,.T.); #279813=ORIENTED_EDGE('',*,*,#202706,.T.); #279814=ORIENTED_EDGE('',*,*,#202703,.T.); #279815=ORIENTED_EDGE('',*,*,#202700,.T.); #279816=ORIENTED_EDGE('',*,*,#202697,.T.); #279817=ORIENTED_EDGE('',*,*,#202694,.T.); #279818=ORIENTED_EDGE('',*,*,#202691,.T.); #279819=ORIENTED_EDGE('',*,*,#202688,.T.); #279820=ORIENTED_EDGE('',*,*,#202685,.T.); #279821=ORIENTED_EDGE('',*,*,#202682,.T.); #279822=ORIENTED_EDGE('',*,*,#202679,.T.); #279823=ORIENTED_EDGE('',*,*,#202676,.T.); #279824=ORIENTED_EDGE('',*,*,#202673,.T.); #279825=ORIENTED_EDGE('',*,*,#202670,.T.); #279826=ORIENTED_EDGE('',*,*,#202667,.T.); #279827=ORIENTED_EDGE('',*,*,#202664,.T.); #279828=ORIENTED_EDGE('',*,*,#202661,.T.); #279829=ORIENTED_EDGE('',*,*,#202658,.T.); #279830=ORIENTED_EDGE('',*,*,#202655,.T.); #279831=ORIENTED_EDGE('',*,*,#202652,.T.); #279832=ORIENTED_EDGE('',*,*,#202649,.T.); #279833=ORIENTED_EDGE('',*,*,#202646,.T.); #279834=ORIENTED_EDGE('',*,*,#202643,.T.); #279835=ORIENTED_EDGE('',*,*,#202640,.T.); #279836=ORIENTED_EDGE('',*,*,#202637,.T.); #279837=ORIENTED_EDGE('',*,*,#202634,.T.); #279838=ORIENTED_EDGE('',*,*,#202631,.T.); #279839=ORIENTED_EDGE('',*,*,#202628,.T.); #279840=ORIENTED_EDGE('',*,*,#202625,.T.); #279841=ORIENTED_EDGE('',*,*,#202622,.T.); #279842=ORIENTED_EDGE('',*,*,#202619,.T.); #279843=ORIENTED_EDGE('',*,*,#202616,.T.); #279844=ORIENTED_EDGE('',*,*,#202613,.T.); #279845=ORIENTED_EDGE('',*,*,#202610,.T.); #279846=ORIENTED_EDGE('',*,*,#202607,.T.); #279847=ORIENTED_EDGE('',*,*,#202604,.T.); #279848=ORIENTED_EDGE('',*,*,#202601,.T.); #279849=ORIENTED_EDGE('',*,*,#202598,.T.); #279850=ORIENTED_EDGE('',*,*,#202595,.T.); #279851=ORIENTED_EDGE('',*,*,#202592,.T.); #279852=ORIENTED_EDGE('',*,*,#202589,.T.); #279853=ORIENTED_EDGE('',*,*,#202586,.T.); #279854=ORIENTED_EDGE('',*,*,#202583,.T.); #279855=ORIENTED_EDGE('',*,*,#202580,.T.); #279856=ORIENTED_EDGE('',*,*,#202577,.T.); #279857=ORIENTED_EDGE('',*,*,#202574,.T.); #279858=ORIENTED_EDGE('',*,*,#202571,.T.); #279859=ORIENTED_EDGE('',*,*,#202568,.T.); #279860=ORIENTED_EDGE('',*,*,#202565,.T.); #279861=ORIENTED_EDGE('',*,*,#202562,.T.); #279862=ORIENTED_EDGE('',*,*,#202559,.T.); #279863=ORIENTED_EDGE('',*,*,#202556,.T.); #279864=ORIENTED_EDGE('',*,*,#202553,.T.); #279865=ORIENTED_EDGE('',*,*,#202550,.T.); #279866=ORIENTED_EDGE('',*,*,#202547,.T.); #279867=ORIENTED_EDGE('',*,*,#202544,.T.); #279868=ORIENTED_EDGE('',*,*,#202541,.T.); #279869=ORIENTED_EDGE('',*,*,#202538,.T.); #279870=ORIENTED_EDGE('',*,*,#202535,.T.); #279871=ORIENTED_EDGE('',*,*,#202532,.T.); #279872=ORIENTED_EDGE('',*,*,#202529,.T.); #279873=ORIENTED_EDGE('',*,*,#202526,.T.); #279874=ORIENTED_EDGE('',*,*,#202265,.T.); #279875=ORIENTED_EDGE('',*,*,#202268,.T.); #279876=ORIENTED_EDGE('',*,*,#202271,.T.); #279877=ORIENTED_EDGE('',*,*,#202274,.T.); #279878=ORIENTED_EDGE('',*,*,#202277,.T.); #279879=ORIENTED_EDGE('',*,*,#202280,.T.); #279880=ORIENTED_EDGE('',*,*,#202283,.T.); #279881=ORIENTED_EDGE('',*,*,#202286,.T.); #279882=ORIENTED_EDGE('',*,*,#202289,.T.); #279883=ORIENTED_EDGE('',*,*,#202292,.T.); #279884=ORIENTED_EDGE('',*,*,#202295,.T.); #279885=ORIENTED_EDGE('',*,*,#202298,.T.); #279886=ORIENTED_EDGE('',*,*,#202301,.T.); #279887=ORIENTED_EDGE('',*,*,#202304,.T.); #279888=ORIENTED_EDGE('',*,*,#202307,.T.); #279889=ORIENTED_EDGE('',*,*,#202523,.T.); #279890=ORIENTED_EDGE('',*,*,#202520,.T.); #279891=ORIENTED_EDGE('',*,*,#202517,.T.); #279892=ORIENTED_EDGE('',*,*,#202514,.T.); #279893=ORIENTED_EDGE('',*,*,#202511,.T.); #279894=ORIENTED_EDGE('',*,*,#202508,.T.); #279895=ORIENTED_EDGE('',*,*,#202505,.T.); #279896=ORIENTED_EDGE('',*,*,#202502,.T.); #279897=ORIENTED_EDGE('',*,*,#202499,.T.); #279898=ORIENTED_EDGE('',*,*,#202496,.T.); #279899=ORIENTED_EDGE('',*,*,#202493,.T.); #279900=ORIENTED_EDGE('',*,*,#202490,.T.); #279901=ORIENTED_EDGE('',*,*,#202487,.T.); #279902=ORIENTED_EDGE('',*,*,#202484,.T.); #279903=ORIENTED_EDGE('',*,*,#202481,.T.); #279904=ORIENTED_EDGE('',*,*,#202478,.T.); #279905=ORIENTED_EDGE('',*,*,#202475,.T.); #279906=ORIENTED_EDGE('',*,*,#202472,.T.); #279907=ORIENTED_EDGE('',*,*,#202469,.T.); #279908=ORIENTED_EDGE('',*,*,#202466,.T.); #279909=ORIENTED_EDGE('',*,*,#202463,.T.); #279910=ORIENTED_EDGE('',*,*,#202460,.T.); #279911=ORIENTED_EDGE('',*,*,#202457,.T.); #279912=ORIENTED_EDGE('',*,*,#202454,.T.); #279913=ORIENTED_EDGE('',*,*,#202451,.T.); #279914=ORIENTED_EDGE('',*,*,#202448,.T.); #279915=ORIENTED_EDGE('',*,*,#202445,.T.); #279916=ORIENTED_EDGE('',*,*,#202442,.T.); #279917=ORIENTED_EDGE('',*,*,#202439,.T.); #279918=ORIENTED_EDGE('',*,*,#202436,.T.); #279919=ORIENTED_EDGE('',*,*,#202433,.T.); #279920=ORIENTED_EDGE('',*,*,#202430,.T.); #279921=ORIENTED_EDGE('',*,*,#202427,.T.); #279922=ORIENTED_EDGE('',*,*,#202424,.T.); #279923=ORIENTED_EDGE('',*,*,#202421,.T.); #279924=ORIENTED_EDGE('',*,*,#202418,.T.); #279925=ORIENTED_EDGE('',*,*,#202415,.T.); #279926=ORIENTED_EDGE('',*,*,#202412,.T.); #279927=ORIENTED_EDGE('',*,*,#202409,.T.); #279928=ORIENTED_EDGE('',*,*,#202406,.T.); #279929=ORIENTED_EDGE('',*,*,#202403,.T.); #279930=ORIENTED_EDGE('',*,*,#202400,.T.); #279931=ORIENTED_EDGE('',*,*,#202397,.T.); #279932=ORIENTED_EDGE('',*,*,#202394,.T.); #279933=ORIENTED_EDGE('',*,*,#202391,.T.); #279934=ORIENTED_EDGE('',*,*,#202388,.T.); #279935=ORIENTED_EDGE('',*,*,#202385,.T.); #279936=ORIENTED_EDGE('',*,*,#202382,.T.); #279937=ORIENTED_EDGE('',*,*,#202379,.T.); #279938=ORIENTED_EDGE('',*,*,#202376,.T.); #279939=ORIENTED_EDGE('',*,*,#202373,.T.); #279940=ORIENTED_EDGE('',*,*,#202370,.T.); #279941=ORIENTED_EDGE('',*,*,#202367,.T.); #279942=ORIENTED_EDGE('',*,*,#202364,.T.); #279943=ORIENTED_EDGE('',*,*,#202361,.T.); #279944=ORIENTED_EDGE('',*,*,#202358,.T.); #279945=ORIENTED_EDGE('',*,*,#202355,.T.); #279946=ORIENTED_EDGE('',*,*,#202352,.T.); #279947=ORIENTED_EDGE('',*,*,#202349,.T.); #279948=ORIENTED_EDGE('',*,*,#202346,.T.); #279949=ORIENTED_EDGE('',*,*,#202343,.T.); #279950=ORIENTED_EDGE('',*,*,#202340,.T.); #279951=ORIENTED_EDGE('',*,*,#202337,.T.); #279952=ORIENTED_EDGE('',*,*,#202334,.T.); #279953=ORIENTED_EDGE('',*,*,#202331,.T.); #279954=ORIENTED_EDGE('',*,*,#202328,.T.); #279955=ORIENTED_EDGE('',*,*,#202325,.T.); #279956=ORIENTED_EDGE('',*,*,#202322,.T.); #279957=ORIENTED_EDGE('',*,*,#202319,.T.); #279958=ORIENTED_EDGE('',*,*,#202316,.T.); #279959=ORIENTED_EDGE('',*,*,#202313,.T.); #279960=ORIENTED_EDGE('',*,*,#202310,.T.); #279961=ORIENTED_EDGE('',*,*,#202807,.F.); #279962=ORIENTED_EDGE('',*,*,#202524,.F.); #279963=ORIENTED_EDGE('',*,*,#202528,.F.); #279964=ORIENTED_EDGE('',*,*,#202531,.F.); #279965=ORIENTED_EDGE('',*,*,#202534,.F.); #279966=ORIENTED_EDGE('',*,*,#202537,.F.); #279967=ORIENTED_EDGE('',*,*,#202540,.F.); #279968=ORIENTED_EDGE('',*,*,#202543,.F.); #279969=ORIENTED_EDGE('',*,*,#202546,.F.); #279970=ORIENTED_EDGE('',*,*,#202549,.F.); #279971=ORIENTED_EDGE('',*,*,#202552,.F.); #279972=ORIENTED_EDGE('',*,*,#202555,.F.); #279973=ORIENTED_EDGE('',*,*,#202558,.F.); #279974=ORIENTED_EDGE('',*,*,#202561,.F.); #279975=ORIENTED_EDGE('',*,*,#202564,.F.); #279976=ORIENTED_EDGE('',*,*,#202567,.F.); #279977=ORIENTED_EDGE('',*,*,#202570,.F.); #279978=ORIENTED_EDGE('',*,*,#202573,.F.); #279979=ORIENTED_EDGE('',*,*,#202576,.F.); #279980=ORIENTED_EDGE('',*,*,#202579,.F.); #279981=ORIENTED_EDGE('',*,*,#202582,.F.); #279982=ORIENTED_EDGE('',*,*,#202585,.F.); #279983=ORIENTED_EDGE('',*,*,#202588,.F.); #279984=ORIENTED_EDGE('',*,*,#202591,.F.); #279985=ORIENTED_EDGE('',*,*,#202594,.F.); #279986=ORIENTED_EDGE('',*,*,#202597,.F.); #279987=ORIENTED_EDGE('',*,*,#202600,.F.); #279988=ORIENTED_EDGE('',*,*,#202603,.F.); #279989=ORIENTED_EDGE('',*,*,#202606,.F.); #279990=ORIENTED_EDGE('',*,*,#202609,.F.); #279991=ORIENTED_EDGE('',*,*,#202612,.F.); #279992=ORIENTED_EDGE('',*,*,#202615,.F.); #279993=ORIENTED_EDGE('',*,*,#202618,.F.); #279994=ORIENTED_EDGE('',*,*,#202621,.F.); #279995=ORIENTED_EDGE('',*,*,#202624,.F.); #279996=ORIENTED_EDGE('',*,*,#202627,.F.); #279997=ORIENTED_EDGE('',*,*,#202630,.F.); #279998=ORIENTED_EDGE('',*,*,#202633,.F.); #279999=ORIENTED_EDGE('',*,*,#202636,.F.); #280000=ORIENTED_EDGE('',*,*,#202639,.F.); #280001=ORIENTED_EDGE('',*,*,#202642,.F.); #280002=ORIENTED_EDGE('',*,*,#202645,.F.); #280003=ORIENTED_EDGE('',*,*,#202648,.F.); #280004=ORIENTED_EDGE('',*,*,#202651,.F.); #280005=ORIENTED_EDGE('',*,*,#202654,.F.); #280006=ORIENTED_EDGE('',*,*,#202657,.F.); #280007=ORIENTED_EDGE('',*,*,#202660,.F.); #280008=ORIENTED_EDGE('',*,*,#202663,.F.); #280009=ORIENTED_EDGE('',*,*,#202666,.F.); #280010=ORIENTED_EDGE('',*,*,#202669,.F.); #280011=ORIENTED_EDGE('',*,*,#202672,.F.); #280012=ORIENTED_EDGE('',*,*,#202675,.F.); #280013=ORIENTED_EDGE('',*,*,#202678,.F.); #280014=ORIENTED_EDGE('',*,*,#202681,.F.); #280015=ORIENTED_EDGE('',*,*,#202684,.F.); #280016=ORIENTED_EDGE('',*,*,#202687,.F.); #280017=ORIENTED_EDGE('',*,*,#202690,.F.); #280018=ORIENTED_EDGE('',*,*,#202693,.F.); #280019=ORIENTED_EDGE('',*,*,#202696,.F.); #280020=ORIENTED_EDGE('',*,*,#202699,.F.); #280021=ORIENTED_EDGE('',*,*,#202702,.F.); #280022=ORIENTED_EDGE('',*,*,#202705,.F.); #280023=ORIENTED_EDGE('',*,*,#202708,.F.); #280024=ORIENTED_EDGE('',*,*,#202711,.F.); #280025=ORIENTED_EDGE('',*,*,#202714,.F.); #280026=ORIENTED_EDGE('',*,*,#202717,.F.); #280027=ORIENTED_EDGE('',*,*,#202720,.F.); #280028=ORIENTED_EDGE('',*,*,#202723,.F.); #280029=ORIENTED_EDGE('',*,*,#202726,.F.); #280030=ORIENTED_EDGE('',*,*,#202729,.F.); #280031=ORIENTED_EDGE('',*,*,#202732,.F.); #280032=ORIENTED_EDGE('',*,*,#202735,.F.); #280033=ORIENTED_EDGE('',*,*,#202738,.F.); #280034=ORIENTED_EDGE('',*,*,#202741,.F.); #280035=ORIENTED_EDGE('',*,*,#202744,.F.); #280036=ORIENTED_EDGE('',*,*,#202747,.F.); #280037=ORIENTED_EDGE('',*,*,#202750,.F.); #280038=ORIENTED_EDGE('',*,*,#202753,.F.); #280039=ORIENTED_EDGE('',*,*,#202756,.F.); #280040=ORIENTED_EDGE('',*,*,#202759,.F.); #280041=ORIENTED_EDGE('',*,*,#202762,.F.); #280042=ORIENTED_EDGE('',*,*,#202765,.F.); #280043=ORIENTED_EDGE('',*,*,#202768,.F.); #280044=ORIENTED_EDGE('',*,*,#202771,.F.); #280045=ORIENTED_EDGE('',*,*,#202774,.F.); #280046=ORIENTED_EDGE('',*,*,#202777,.F.); #280047=ORIENTED_EDGE('',*,*,#202780,.F.); #280048=ORIENTED_EDGE('',*,*,#202783,.F.); #280049=ORIENTED_EDGE('',*,*,#202786,.F.); #280050=ORIENTED_EDGE('',*,*,#202789,.F.); #280051=ORIENTED_EDGE('',*,*,#202792,.F.); #280052=ORIENTED_EDGE('',*,*,#202795,.F.); #280053=ORIENTED_EDGE('',*,*,#202798,.F.); #280054=ORIENTED_EDGE('',*,*,#202801,.F.); #280055=ORIENTED_EDGE('',*,*,#202804,.F.); #280056=ORIENTED_EDGE('',*,*,#202263,.T.); #280057=ORIENTED_EDGE('',*,*,#202266,.T.); #280058=ORIENTED_EDGE('',*,*,#202269,.T.); #280059=ORIENTED_EDGE('',*,*,#202272,.T.); #280060=ORIENTED_EDGE('',*,*,#202275,.T.); #280061=ORIENTED_EDGE('',*,*,#202278,.T.); #280062=ORIENTED_EDGE('',*,*,#202281,.T.); #280063=ORIENTED_EDGE('',*,*,#202284,.T.); #280064=ORIENTED_EDGE('',*,*,#202287,.T.); #280065=ORIENTED_EDGE('',*,*,#202290,.T.); #280066=ORIENTED_EDGE('',*,*,#202293,.T.); #280067=ORIENTED_EDGE('',*,*,#202296,.T.); #280068=ORIENTED_EDGE('',*,*,#202299,.T.); #280069=ORIENTED_EDGE('',*,*,#202302,.T.); #280070=ORIENTED_EDGE('',*,*,#202305,.T.); #280071=ORIENTED_EDGE('',*,*,#202522,.F.); #280072=ORIENTED_EDGE('',*,*,#202308,.F.); #280073=ORIENTED_EDGE('',*,*,#202312,.F.); #280074=ORIENTED_EDGE('',*,*,#202315,.F.); #280075=ORIENTED_EDGE('',*,*,#202318,.F.); #280076=ORIENTED_EDGE('',*,*,#202321,.F.); #280077=ORIENTED_EDGE('',*,*,#202324,.F.); #280078=ORIENTED_EDGE('',*,*,#202327,.F.); #280079=ORIENTED_EDGE('',*,*,#202330,.F.); #280080=ORIENTED_EDGE('',*,*,#202333,.F.); #280081=ORIENTED_EDGE('',*,*,#202336,.F.); #280082=ORIENTED_EDGE('',*,*,#202339,.F.); #280083=ORIENTED_EDGE('',*,*,#202342,.F.); #280084=ORIENTED_EDGE('',*,*,#202345,.F.); #280085=ORIENTED_EDGE('',*,*,#202348,.F.); #280086=ORIENTED_EDGE('',*,*,#202351,.F.); #280087=ORIENTED_EDGE('',*,*,#202354,.F.); #280088=ORIENTED_EDGE('',*,*,#202357,.F.); #280089=ORIENTED_EDGE('',*,*,#202360,.F.); #280090=ORIENTED_EDGE('',*,*,#202363,.F.); #280091=ORIENTED_EDGE('',*,*,#202366,.F.); #280092=ORIENTED_EDGE('',*,*,#202369,.F.); #280093=ORIENTED_EDGE('',*,*,#202372,.F.); #280094=ORIENTED_EDGE('',*,*,#202375,.F.); #280095=ORIENTED_EDGE('',*,*,#202378,.F.); #280096=ORIENTED_EDGE('',*,*,#202381,.F.); #280097=ORIENTED_EDGE('',*,*,#202384,.F.); #280098=ORIENTED_EDGE('',*,*,#202387,.F.); #280099=ORIENTED_EDGE('',*,*,#202390,.F.); #280100=ORIENTED_EDGE('',*,*,#202393,.F.); #280101=ORIENTED_EDGE('',*,*,#202396,.F.); #280102=ORIENTED_EDGE('',*,*,#202399,.F.); #280103=ORIENTED_EDGE('',*,*,#202402,.F.); #280104=ORIENTED_EDGE('',*,*,#202405,.F.); #280105=ORIENTED_EDGE('',*,*,#202408,.F.); #280106=ORIENTED_EDGE('',*,*,#202411,.F.); #280107=ORIENTED_EDGE('',*,*,#202414,.F.); #280108=ORIENTED_EDGE('',*,*,#202417,.F.); #280109=ORIENTED_EDGE('',*,*,#202420,.F.); #280110=ORIENTED_EDGE('',*,*,#202423,.F.); #280111=ORIENTED_EDGE('',*,*,#202426,.F.); #280112=ORIENTED_EDGE('',*,*,#202429,.F.); #280113=ORIENTED_EDGE('',*,*,#202432,.F.); #280114=ORIENTED_EDGE('',*,*,#202435,.F.); #280115=ORIENTED_EDGE('',*,*,#202438,.F.); #280116=ORIENTED_EDGE('',*,*,#202441,.F.); #280117=ORIENTED_EDGE('',*,*,#202444,.F.); #280118=ORIENTED_EDGE('',*,*,#202447,.F.); #280119=ORIENTED_EDGE('',*,*,#202450,.F.); #280120=ORIENTED_EDGE('',*,*,#202453,.F.); #280121=ORIENTED_EDGE('',*,*,#202456,.F.); #280122=ORIENTED_EDGE('',*,*,#202459,.F.); #280123=ORIENTED_EDGE('',*,*,#202462,.F.); #280124=ORIENTED_EDGE('',*,*,#202465,.F.); #280125=ORIENTED_EDGE('',*,*,#202468,.F.); #280126=ORIENTED_EDGE('',*,*,#202471,.F.); #280127=ORIENTED_EDGE('',*,*,#202474,.F.); #280128=ORIENTED_EDGE('',*,*,#202477,.F.); #280129=ORIENTED_EDGE('',*,*,#202480,.F.); #280130=ORIENTED_EDGE('',*,*,#202483,.F.); #280131=ORIENTED_EDGE('',*,*,#202486,.F.); #280132=ORIENTED_EDGE('',*,*,#202489,.F.); #280133=ORIENTED_EDGE('',*,*,#202492,.F.); #280134=ORIENTED_EDGE('',*,*,#202495,.F.); #280135=ORIENTED_EDGE('',*,*,#202498,.F.); #280136=ORIENTED_EDGE('',*,*,#202501,.F.); #280137=ORIENTED_EDGE('',*,*,#202504,.F.); #280138=ORIENTED_EDGE('',*,*,#202507,.F.); #280139=ORIENTED_EDGE('',*,*,#202510,.F.); #280140=ORIENTED_EDGE('',*,*,#202513,.F.); #280141=ORIENTED_EDGE('',*,*,#202516,.F.); #280142=ORIENTED_EDGE('',*,*,#202519,.F.); #280143=ORIENTED_EDGE('',*,*,#202809,.F.); #280144=ORIENTED_EDGE('',*,*,#202810,.T.); #280145=ORIENTED_EDGE('',*,*,#202811,.F.); #280146=ORIENTED_EDGE('',*,*,#202810,.F.); #280147=ORIENTED_EDGE('',*,*,#202812,.T.); #280148=ORIENTED_EDGE('',*,*,#202813,.T.); #280149=ORIENTED_EDGE('',*,*,#202814,.F.); #280150=ORIENTED_EDGE('',*,*,#202815,.F.); #280151=ORIENTED_EDGE('',*,*,#202816,.T.); #280152=ORIENTED_EDGE('',*,*,#202815,.T.); #280153=ORIENTED_EDGE('',*,*,#202817,.F.); #280154=ORIENTED_EDGE('',*,*,#202818,.F.); #280155=ORIENTED_EDGE('',*,*,#202819,.T.); #280156=ORIENTED_EDGE('',*,*,#202818,.T.); #280157=ORIENTED_EDGE('',*,*,#202820,.F.); #280158=ORIENTED_EDGE('',*,*,#202813,.F.); #280159=ORIENTED_EDGE('',*,*,#202820,.T.); #280160=ORIENTED_EDGE('',*,*,#202817,.T.); #280161=ORIENTED_EDGE('',*,*,#202814,.T.); #280162=ORIENTED_EDGE('',*,*,#202811,.T.); #280163=ORIENTED_EDGE('',*,*,#202819,.F.); #280164=ORIENTED_EDGE('',*,*,#202812,.F.); #280165=ORIENTED_EDGE('',*,*,#202816,.F.); #280166=ORIENTED_EDGE('',*,*,#202809,.T.); #280167=ORIENTED_EDGE('',*,*,#202821,.F.); #280168=ORIENTED_EDGE('',*,*,#202822,.T.); #280169=ORIENTED_EDGE('',*,*,#202823,.F.); #280170=ORIENTED_EDGE('',*,*,#202822,.F.); #280171=ORIENTED_EDGE('',*,*,#202824,.T.); #280172=ORIENTED_EDGE('',*,*,#202825,.T.); #280173=ORIENTED_EDGE('',*,*,#202826,.F.); #280174=ORIENTED_EDGE('',*,*,#202827,.F.); #280175=ORIENTED_EDGE('',*,*,#202828,.T.); #280176=ORIENTED_EDGE('',*,*,#202827,.T.); #280177=ORIENTED_EDGE('',*,*,#202829,.F.); #280178=ORIENTED_EDGE('',*,*,#202830,.F.); #280179=ORIENTED_EDGE('',*,*,#202831,.T.); #280180=ORIENTED_EDGE('',*,*,#202830,.T.); #280181=ORIENTED_EDGE('',*,*,#202832,.F.); #280182=ORIENTED_EDGE('',*,*,#202825,.F.); #280183=ORIENTED_EDGE('',*,*,#202832,.T.); #280184=ORIENTED_EDGE('',*,*,#202829,.T.); #280185=ORIENTED_EDGE('',*,*,#202826,.T.); #280186=ORIENTED_EDGE('',*,*,#202823,.T.); #280187=ORIENTED_EDGE('',*,*,#202831,.F.); #280188=ORIENTED_EDGE('',*,*,#202824,.F.); #280189=ORIENTED_EDGE('',*,*,#202828,.F.); #280190=ORIENTED_EDGE('',*,*,#202821,.T.); #280191=ORIENTED_EDGE('',*,*,#202833,.F.); #280192=ORIENTED_EDGE('',*,*,#202834,.T.); #280193=ORIENTED_EDGE('',*,*,#202835,.F.); #280194=ORIENTED_EDGE('',*,*,#202834,.F.); #280195=ORIENTED_EDGE('',*,*,#202836,.T.); #280196=ORIENTED_EDGE('',*,*,#202837,.T.); #280197=ORIENTED_EDGE('',*,*,#202838,.F.); #280198=ORIENTED_EDGE('',*,*,#202839,.F.); #280199=ORIENTED_EDGE('',*,*,#202840,.T.); #280200=ORIENTED_EDGE('',*,*,#202839,.T.); #280201=ORIENTED_EDGE('',*,*,#202841,.F.); #280202=ORIENTED_EDGE('',*,*,#202842,.F.); #280203=ORIENTED_EDGE('',*,*,#202843,.T.); #280204=ORIENTED_EDGE('',*,*,#202842,.T.); #280205=ORIENTED_EDGE('',*,*,#202844,.F.); #280206=ORIENTED_EDGE('',*,*,#202837,.F.); #280207=ORIENTED_EDGE('',*,*,#202844,.T.); #280208=ORIENTED_EDGE('',*,*,#202841,.T.); #280209=ORIENTED_EDGE('',*,*,#202838,.T.); #280210=ORIENTED_EDGE('',*,*,#202835,.T.); #280211=ORIENTED_EDGE('',*,*,#202843,.F.); #280212=ORIENTED_EDGE('',*,*,#202836,.F.); #280213=ORIENTED_EDGE('',*,*,#202840,.F.); #280214=ORIENTED_EDGE('',*,*,#202833,.T.); #280215=ORIENTED_EDGE('',*,*,#202845,.F.); #280216=ORIENTED_EDGE('',*,*,#202846,.T.); #280217=ORIENTED_EDGE('',*,*,#202847,.F.); #280218=ORIENTED_EDGE('',*,*,#202846,.F.); #280219=ORIENTED_EDGE('',*,*,#202848,.T.); #280220=ORIENTED_EDGE('',*,*,#202849,.T.); #280221=ORIENTED_EDGE('',*,*,#202850,.F.); #280222=ORIENTED_EDGE('',*,*,#202851,.F.); #280223=ORIENTED_EDGE('',*,*,#202852,.T.); #280224=ORIENTED_EDGE('',*,*,#202851,.T.); #280225=ORIENTED_EDGE('',*,*,#202853,.F.); #280226=ORIENTED_EDGE('',*,*,#202854,.F.); #280227=ORIENTED_EDGE('',*,*,#202855,.T.); #280228=ORIENTED_EDGE('',*,*,#202854,.T.); #280229=ORIENTED_EDGE('',*,*,#202856,.F.); #280230=ORIENTED_EDGE('',*,*,#202849,.F.); #280231=ORIENTED_EDGE('',*,*,#202856,.T.); #280232=ORIENTED_EDGE('',*,*,#202853,.T.); #280233=ORIENTED_EDGE('',*,*,#202850,.T.); #280234=ORIENTED_EDGE('',*,*,#202847,.T.); #280235=ORIENTED_EDGE('',*,*,#202855,.F.); #280236=ORIENTED_EDGE('',*,*,#202848,.F.); #280237=ORIENTED_EDGE('',*,*,#202852,.F.); #280238=ORIENTED_EDGE('',*,*,#202845,.T.); #280239=ORIENTED_EDGE('',*,*,#202857,.F.); #280240=ORIENTED_EDGE('',*,*,#202858,.T.); #280241=ORIENTED_EDGE('',*,*,#202859,.F.); #280242=ORIENTED_EDGE('',*,*,#202858,.F.); #280243=ORIENTED_EDGE('',*,*,#202860,.T.); #280244=ORIENTED_EDGE('',*,*,#202861,.T.); #280245=ORIENTED_EDGE('',*,*,#202862,.F.); #280246=ORIENTED_EDGE('',*,*,#202863,.F.); #280247=ORIENTED_EDGE('',*,*,#202864,.T.); #280248=ORIENTED_EDGE('',*,*,#202863,.T.); #280249=ORIENTED_EDGE('',*,*,#202865,.F.); #280250=ORIENTED_EDGE('',*,*,#202866,.F.); #280251=ORIENTED_EDGE('',*,*,#202867,.T.); #280252=ORIENTED_EDGE('',*,*,#202866,.T.); #280253=ORIENTED_EDGE('',*,*,#202868,.F.); #280254=ORIENTED_EDGE('',*,*,#202869,.F.); #280255=ORIENTED_EDGE('',*,*,#202870,.T.); #280256=ORIENTED_EDGE('',*,*,#202869,.T.); #280257=ORIENTED_EDGE('',*,*,#202871,.F.); #280258=ORIENTED_EDGE('',*,*,#202861,.F.); #280259=ORIENTED_EDGE('',*,*,#202871,.T.); #280260=ORIENTED_EDGE('',*,*,#202868,.T.); #280261=ORIENTED_EDGE('',*,*,#202865,.T.); #280262=ORIENTED_EDGE('',*,*,#202862,.T.); #280263=ORIENTED_EDGE('',*,*,#202859,.T.); #280264=ORIENTED_EDGE('',*,*,#202870,.F.); #280265=ORIENTED_EDGE('',*,*,#202860,.F.); #280266=ORIENTED_EDGE('',*,*,#202864,.F.); #280267=ORIENTED_EDGE('',*,*,#202867,.F.); #280268=ORIENTED_EDGE('',*,*,#202857,.T.); #280269=ORIENTED_EDGE('',*,*,#202872,.F.); #280270=ORIENTED_EDGE('',*,*,#202873,.T.); #280271=ORIENTED_EDGE('',*,*,#202874,.F.); #280272=ORIENTED_EDGE('',*,*,#202873,.F.); #280273=ORIENTED_EDGE('',*,*,#202875,.T.); #280274=ORIENTED_EDGE('',*,*,#202876,.T.); #280275=ORIENTED_EDGE('',*,*,#202877,.F.); #280276=ORIENTED_EDGE('',*,*,#202878,.F.); #280277=ORIENTED_EDGE('',*,*,#202879,.T.); #280278=ORIENTED_EDGE('',*,*,#202878,.T.); #280279=ORIENTED_EDGE('',*,*,#202880,.F.); #280280=ORIENTED_EDGE('',*,*,#202881,.F.); #280281=ORIENTED_EDGE('',*,*,#202882,.T.); #280282=ORIENTED_EDGE('',*,*,#202881,.T.); #280283=ORIENTED_EDGE('',*,*,#202883,.F.); #280284=ORIENTED_EDGE('',*,*,#202876,.F.); #280285=ORIENTED_EDGE('',*,*,#202883,.T.); #280286=ORIENTED_EDGE('',*,*,#202880,.T.); #280287=ORIENTED_EDGE('',*,*,#202877,.T.); #280288=ORIENTED_EDGE('',*,*,#202874,.T.); #280289=ORIENTED_EDGE('',*,*,#202882,.F.); #280290=ORIENTED_EDGE('',*,*,#202875,.F.); #280291=ORIENTED_EDGE('',*,*,#202879,.F.); #280292=ORIENTED_EDGE('',*,*,#202872,.T.); #280293=ORIENTED_EDGE('',*,*,#202884,.F.); #280294=ORIENTED_EDGE('',*,*,#202885,.T.); #280295=ORIENTED_EDGE('',*,*,#202886,.F.); #280296=ORIENTED_EDGE('',*,*,#202885,.F.); #280297=ORIENTED_EDGE('',*,*,#202887,.T.); #280298=ORIENTED_EDGE('',*,*,#202888,.T.); #280299=ORIENTED_EDGE('',*,*,#202889,.F.); #280300=ORIENTED_EDGE('',*,*,#202890,.F.); #280301=ORIENTED_EDGE('',*,*,#202891,.T.); #280302=ORIENTED_EDGE('',*,*,#202890,.T.); #280303=ORIENTED_EDGE('',*,*,#202892,.F.); #280304=ORIENTED_EDGE('',*,*,#202893,.F.); #280305=ORIENTED_EDGE('',*,*,#202894,.T.); #280306=ORIENTED_EDGE('',*,*,#202893,.T.); #280307=ORIENTED_EDGE('',*,*,#202895,.F.); #280308=ORIENTED_EDGE('',*,*,#202888,.F.); #280309=ORIENTED_EDGE('',*,*,#202895,.T.); #280310=ORIENTED_EDGE('',*,*,#202892,.T.); #280311=ORIENTED_EDGE('',*,*,#202889,.T.); #280312=ORIENTED_EDGE('',*,*,#202886,.T.); #280313=ORIENTED_EDGE('',*,*,#202894,.F.); #280314=ORIENTED_EDGE('',*,*,#202887,.F.); #280315=ORIENTED_EDGE('',*,*,#202891,.F.); #280316=ORIENTED_EDGE('',*,*,#202884,.T.); #280317=ORIENTED_EDGE('',*,*,#202896,.F.); #280318=ORIENTED_EDGE('',*,*,#202897,.T.); #280319=ORIENTED_EDGE('',*,*,#202898,.F.); #280320=ORIENTED_EDGE('',*,*,#202897,.F.); #280321=ORIENTED_EDGE('',*,*,#202899,.T.); #280322=ORIENTED_EDGE('',*,*,#202900,.T.); #280323=ORIENTED_EDGE('',*,*,#202901,.F.); #280324=ORIENTED_EDGE('',*,*,#202902,.F.); #280325=ORIENTED_EDGE('',*,*,#202903,.T.); #280326=ORIENTED_EDGE('',*,*,#202902,.T.); #280327=ORIENTED_EDGE('',*,*,#202904,.F.); #280328=ORIENTED_EDGE('',*,*,#202905,.F.); #280329=ORIENTED_EDGE('',*,*,#202906,.T.); #280330=ORIENTED_EDGE('',*,*,#202905,.T.); #280331=ORIENTED_EDGE('',*,*,#202907,.F.); #280332=ORIENTED_EDGE('',*,*,#202900,.F.); #280333=ORIENTED_EDGE('',*,*,#202907,.T.); #280334=ORIENTED_EDGE('',*,*,#202904,.T.); #280335=ORIENTED_EDGE('',*,*,#202901,.T.); #280336=ORIENTED_EDGE('',*,*,#202898,.T.); #280337=ORIENTED_EDGE('',*,*,#202906,.F.); #280338=ORIENTED_EDGE('',*,*,#202899,.F.); #280339=ORIENTED_EDGE('',*,*,#202903,.F.); #280340=ORIENTED_EDGE('',*,*,#202896,.T.); #280341=ORIENTED_EDGE('',*,*,#202908,.F.); #280342=ORIENTED_EDGE('',*,*,#202909,.T.); #280343=ORIENTED_EDGE('',*,*,#202910,.F.); #280344=ORIENTED_EDGE('',*,*,#202909,.F.); #280345=ORIENTED_EDGE('',*,*,#202911,.T.); #280346=ORIENTED_EDGE('',*,*,#202912,.T.); #280347=ORIENTED_EDGE('',*,*,#202913,.F.); #280348=ORIENTED_EDGE('',*,*,#202914,.F.); #280349=ORIENTED_EDGE('',*,*,#202915,.T.); #280350=ORIENTED_EDGE('',*,*,#202914,.T.); #280351=ORIENTED_EDGE('',*,*,#202916,.F.); #280352=ORIENTED_EDGE('',*,*,#202917,.F.); #280353=ORIENTED_EDGE('',*,*,#202918,.T.); #280354=ORIENTED_EDGE('',*,*,#202917,.T.); #280355=ORIENTED_EDGE('',*,*,#202919,.F.); #280356=ORIENTED_EDGE('',*,*,#202912,.F.); #280357=ORIENTED_EDGE('',*,*,#202919,.T.); #280358=ORIENTED_EDGE('',*,*,#202916,.T.); #280359=ORIENTED_EDGE('',*,*,#202913,.T.); #280360=ORIENTED_EDGE('',*,*,#202910,.T.); #280361=ORIENTED_EDGE('',*,*,#202918,.F.); #280362=ORIENTED_EDGE('',*,*,#202911,.F.); #280363=ORIENTED_EDGE('',*,*,#202915,.F.); #280364=ORIENTED_EDGE('',*,*,#202908,.T.); #280365=ORIENTED_EDGE('',*,*,#202920,.F.); #280366=ORIENTED_EDGE('',*,*,#202921,.F.); #280367=ORIENTED_EDGE('',*,*,#202922,.F.); #280368=ORIENTED_EDGE('',*,*,#202923,.T.); #280369=ORIENTED_EDGE('',*,*,#202924,.F.); #280370=ORIENTED_EDGE('',*,*,#202923,.F.); #280371=ORIENTED_EDGE('',*,*,#202925,.F.); #280372=ORIENTED_EDGE('',*,*,#202926,.T.); #280373=ORIENTED_EDGE('',*,*,#202927,.F.); #280374=ORIENTED_EDGE('',*,*,#202926,.F.); #280375=ORIENTED_EDGE('',*,*,#202928,.F.); #280376=ORIENTED_EDGE('',*,*,#202929,.T.); #280377=ORIENTED_EDGE('',*,*,#202930,.F.); #280378=ORIENTED_EDGE('',*,*,#202929,.F.); #280379=ORIENTED_EDGE('',*,*,#202931,.F.); #280380=ORIENTED_EDGE('',*,*,#202921,.T.); #280381=ORIENTED_EDGE('',*,*,#202932,.T.); #280382=ORIENTED_EDGE('',*,*,#202933,.T.); #280383=ORIENTED_EDGE('',*,*,#202934,.F.); #280384=ORIENTED_EDGE('',*,*,#202935,.F.); #280385=ORIENTED_EDGE('',*,*,#202936,.T.); #280386=ORIENTED_EDGE('',*,*,#202935,.T.); #280387=ORIENTED_EDGE('',*,*,#202937,.F.); #280388=ORIENTED_EDGE('',*,*,#202938,.F.); #280389=ORIENTED_EDGE('',*,*,#202939,.T.); #280390=ORIENTED_EDGE('',*,*,#202938,.T.); #280391=ORIENTED_EDGE('',*,*,#202940,.F.); #280392=ORIENTED_EDGE('',*,*,#202941,.F.); #280393=ORIENTED_EDGE('',*,*,#202942,.T.); #280394=ORIENTED_EDGE('',*,*,#202941,.T.); #280395=ORIENTED_EDGE('',*,*,#202943,.F.); #280396=ORIENTED_EDGE('',*,*,#202944,.F.); #280397=ORIENTED_EDGE('',*,*,#202945,.T.); #280398=ORIENTED_EDGE('',*,*,#202944,.T.); #280399=ORIENTED_EDGE('',*,*,#202946,.F.); #280400=ORIENTED_EDGE('',*,*,#202947,.F.); #280401=ORIENTED_EDGE('',*,*,#202948,.T.); #280402=ORIENTED_EDGE('',*,*,#202947,.T.); #280403=ORIENTED_EDGE('',*,*,#202949,.F.); #280404=ORIENTED_EDGE('',*,*,#202950,.F.); #280405=ORIENTED_EDGE('',*,*,#202951,.T.); #280406=ORIENTED_EDGE('',*,*,#202950,.T.); #280407=ORIENTED_EDGE('',*,*,#202952,.F.); #280408=ORIENTED_EDGE('',*,*,#202953,.F.); #280409=ORIENTED_EDGE('',*,*,#202954,.T.); #280410=ORIENTED_EDGE('',*,*,#202953,.T.); #280411=ORIENTED_EDGE('',*,*,#202955,.F.); #280412=ORIENTED_EDGE('',*,*,#202956,.F.); #280413=ORIENTED_EDGE('',*,*,#202957,.T.); #280414=ORIENTED_EDGE('',*,*,#202956,.T.); #280415=ORIENTED_EDGE('',*,*,#202958,.F.); #280416=ORIENTED_EDGE('',*,*,#202959,.F.); #280417=ORIENTED_EDGE('',*,*,#202960,.T.); #280418=ORIENTED_EDGE('',*,*,#202959,.T.); #280419=ORIENTED_EDGE('',*,*,#202961,.F.); #280420=ORIENTED_EDGE('',*,*,#202962,.F.); #280421=ORIENTED_EDGE('',*,*,#202963,.T.); #280422=ORIENTED_EDGE('',*,*,#202962,.T.); #280423=ORIENTED_EDGE('',*,*,#202964,.F.); #280424=ORIENTED_EDGE('',*,*,#202965,.F.); #280425=ORIENTED_EDGE('',*,*,#202966,.T.); #280426=ORIENTED_EDGE('',*,*,#202965,.T.); #280427=ORIENTED_EDGE('',*,*,#202967,.F.); #280428=ORIENTED_EDGE('',*,*,#202968,.F.); #280429=ORIENTED_EDGE('',*,*,#202969,.T.); #280430=ORIENTED_EDGE('',*,*,#202968,.T.); #280431=ORIENTED_EDGE('',*,*,#202970,.F.); #280432=ORIENTED_EDGE('',*,*,#202971,.F.); #280433=ORIENTED_EDGE('',*,*,#202972,.T.); #280434=ORIENTED_EDGE('',*,*,#202971,.T.); #280435=ORIENTED_EDGE('',*,*,#202973,.F.); #280436=ORIENTED_EDGE('',*,*,#202974,.F.); #280437=ORIENTED_EDGE('',*,*,#202975,.T.); #280438=ORIENTED_EDGE('',*,*,#202974,.T.); #280439=ORIENTED_EDGE('',*,*,#202976,.F.); #280440=ORIENTED_EDGE('',*,*,#202977,.F.); #280441=ORIENTED_EDGE('',*,*,#202978,.T.); #280442=ORIENTED_EDGE('',*,*,#202977,.T.); #280443=ORIENTED_EDGE('',*,*,#202979,.F.); #280444=ORIENTED_EDGE('',*,*,#202980,.F.); #280445=ORIENTED_EDGE('',*,*,#202981,.T.); #280446=ORIENTED_EDGE('',*,*,#202980,.T.); #280447=ORIENTED_EDGE('',*,*,#202982,.F.); #280448=ORIENTED_EDGE('',*,*,#202983,.F.); #280449=ORIENTED_EDGE('',*,*,#202984,.T.); #280450=ORIENTED_EDGE('',*,*,#202983,.T.); #280451=ORIENTED_EDGE('',*,*,#202985,.F.); #280452=ORIENTED_EDGE('',*,*,#202986,.F.); #280453=ORIENTED_EDGE('',*,*,#202987,.T.); #280454=ORIENTED_EDGE('',*,*,#202986,.T.); #280455=ORIENTED_EDGE('',*,*,#202988,.F.); #280456=ORIENTED_EDGE('',*,*,#202989,.F.); #280457=ORIENTED_EDGE('',*,*,#202990,.T.); #280458=ORIENTED_EDGE('',*,*,#202989,.T.); #280459=ORIENTED_EDGE('',*,*,#202991,.F.); #280460=ORIENTED_EDGE('',*,*,#202992,.F.); #280461=ORIENTED_EDGE('',*,*,#202993,.T.); #280462=ORIENTED_EDGE('',*,*,#202992,.T.); #280463=ORIENTED_EDGE('',*,*,#202994,.F.); #280464=ORIENTED_EDGE('',*,*,#202995,.F.); #280465=ORIENTED_EDGE('',*,*,#202996,.T.); #280466=ORIENTED_EDGE('',*,*,#202995,.T.); #280467=ORIENTED_EDGE('',*,*,#202997,.F.); #280468=ORIENTED_EDGE('',*,*,#202998,.F.); #280469=ORIENTED_EDGE('',*,*,#202999,.T.); #280470=ORIENTED_EDGE('',*,*,#202998,.T.); #280471=ORIENTED_EDGE('',*,*,#203000,.F.); #280472=ORIENTED_EDGE('',*,*,#203001,.F.); #280473=ORIENTED_EDGE('',*,*,#203002,.T.); #280474=ORIENTED_EDGE('',*,*,#203001,.T.); #280475=ORIENTED_EDGE('',*,*,#203003,.F.); #280476=ORIENTED_EDGE('',*,*,#203004,.F.); #280477=ORIENTED_EDGE('',*,*,#203005,.T.); #280478=ORIENTED_EDGE('',*,*,#203004,.T.); #280479=ORIENTED_EDGE('',*,*,#203006,.F.); #280480=ORIENTED_EDGE('',*,*,#203007,.F.); #280481=ORIENTED_EDGE('',*,*,#203008,.T.); #280482=ORIENTED_EDGE('',*,*,#203007,.T.); #280483=ORIENTED_EDGE('',*,*,#203009,.F.); #280484=ORIENTED_EDGE('',*,*,#203010,.F.); #280485=ORIENTED_EDGE('',*,*,#203011,.T.); #280486=ORIENTED_EDGE('',*,*,#203010,.T.); #280487=ORIENTED_EDGE('',*,*,#203012,.F.); #280488=ORIENTED_EDGE('',*,*,#203013,.F.); #280489=ORIENTED_EDGE('',*,*,#203014,.T.); #280490=ORIENTED_EDGE('',*,*,#203013,.T.); #280491=ORIENTED_EDGE('',*,*,#203015,.F.); #280492=ORIENTED_EDGE('',*,*,#203016,.F.); #280493=ORIENTED_EDGE('',*,*,#203017,.T.); #280494=ORIENTED_EDGE('',*,*,#203016,.T.); #280495=ORIENTED_EDGE('',*,*,#203018,.F.); #280496=ORIENTED_EDGE('',*,*,#203019,.F.); #280497=ORIENTED_EDGE('',*,*,#203020,.T.); #280498=ORIENTED_EDGE('',*,*,#203019,.T.); #280499=ORIENTED_EDGE('',*,*,#203021,.F.); #280500=ORIENTED_EDGE('',*,*,#203022,.F.); #280501=ORIENTED_EDGE('',*,*,#203023,.T.); #280502=ORIENTED_EDGE('',*,*,#203022,.T.); #280503=ORIENTED_EDGE('',*,*,#203024,.F.); #280504=ORIENTED_EDGE('',*,*,#203025,.F.); #280505=ORIENTED_EDGE('',*,*,#203026,.T.); #280506=ORIENTED_EDGE('',*,*,#203025,.T.); #280507=ORIENTED_EDGE('',*,*,#203027,.F.); #280508=ORIENTED_EDGE('',*,*,#203028,.F.); #280509=ORIENTED_EDGE('',*,*,#203029,.T.); #280510=ORIENTED_EDGE('',*,*,#203028,.T.); #280511=ORIENTED_EDGE('',*,*,#203030,.F.); #280512=ORIENTED_EDGE('',*,*,#203031,.F.); #280513=ORIENTED_EDGE('',*,*,#203032,.T.); #280514=ORIENTED_EDGE('',*,*,#203031,.T.); #280515=ORIENTED_EDGE('',*,*,#203033,.F.); #280516=ORIENTED_EDGE('',*,*,#203034,.F.); #280517=ORIENTED_EDGE('',*,*,#203035,.T.); #280518=ORIENTED_EDGE('',*,*,#203034,.T.); #280519=ORIENTED_EDGE('',*,*,#203036,.F.); #280520=ORIENTED_EDGE('',*,*,#203037,.F.); #280521=ORIENTED_EDGE('',*,*,#203038,.T.); #280522=ORIENTED_EDGE('',*,*,#203037,.T.); #280523=ORIENTED_EDGE('',*,*,#203039,.F.); #280524=ORIENTED_EDGE('',*,*,#203040,.F.); #280525=ORIENTED_EDGE('',*,*,#203041,.T.); #280526=ORIENTED_EDGE('',*,*,#203040,.T.); #280527=ORIENTED_EDGE('',*,*,#203042,.F.); #280528=ORIENTED_EDGE('',*,*,#203043,.F.); #280529=ORIENTED_EDGE('',*,*,#203044,.T.); #280530=ORIENTED_EDGE('',*,*,#203043,.T.); #280531=ORIENTED_EDGE('',*,*,#203045,.F.); #280532=ORIENTED_EDGE('',*,*,#203046,.F.); #280533=ORIENTED_EDGE('',*,*,#203047,.T.); #280534=ORIENTED_EDGE('',*,*,#203046,.T.); #280535=ORIENTED_EDGE('',*,*,#203048,.F.); #280536=ORIENTED_EDGE('',*,*,#203049,.F.); #280537=ORIENTED_EDGE('',*,*,#203050,.T.); #280538=ORIENTED_EDGE('',*,*,#203049,.T.); #280539=ORIENTED_EDGE('',*,*,#203051,.F.); #280540=ORIENTED_EDGE('',*,*,#203052,.F.); #280541=ORIENTED_EDGE('',*,*,#203053,.T.); #280542=ORIENTED_EDGE('',*,*,#203052,.T.); #280543=ORIENTED_EDGE('',*,*,#203054,.F.); #280544=ORIENTED_EDGE('',*,*,#203055,.F.); #280545=ORIENTED_EDGE('',*,*,#203056,.T.); #280546=ORIENTED_EDGE('',*,*,#203055,.T.); #280547=ORIENTED_EDGE('',*,*,#203057,.F.); #280548=ORIENTED_EDGE('',*,*,#203058,.F.); #280549=ORIENTED_EDGE('',*,*,#203059,.T.); #280550=ORIENTED_EDGE('',*,*,#203058,.T.); #280551=ORIENTED_EDGE('',*,*,#203060,.F.); #280552=ORIENTED_EDGE('',*,*,#203061,.F.); #280553=ORIENTED_EDGE('',*,*,#203062,.T.); #280554=ORIENTED_EDGE('',*,*,#203061,.T.); #280555=ORIENTED_EDGE('',*,*,#203063,.F.); #280556=ORIENTED_EDGE('',*,*,#203064,.F.); #280557=ORIENTED_EDGE('',*,*,#203065,.T.); #280558=ORIENTED_EDGE('',*,*,#203064,.T.); #280559=ORIENTED_EDGE('',*,*,#203066,.F.); #280560=ORIENTED_EDGE('',*,*,#203067,.F.); #280561=ORIENTED_EDGE('',*,*,#203068,.T.); #280562=ORIENTED_EDGE('',*,*,#203067,.T.); #280563=ORIENTED_EDGE('',*,*,#203069,.F.); #280564=ORIENTED_EDGE('',*,*,#203070,.F.); #280565=ORIENTED_EDGE('',*,*,#203071,.T.); #280566=ORIENTED_EDGE('',*,*,#203070,.T.); #280567=ORIENTED_EDGE('',*,*,#203072,.F.); #280568=ORIENTED_EDGE('',*,*,#203073,.F.); #280569=ORIENTED_EDGE('',*,*,#203074,.T.); #280570=ORIENTED_EDGE('',*,*,#203073,.T.); #280571=ORIENTED_EDGE('',*,*,#203075,.F.); #280572=ORIENTED_EDGE('',*,*,#203076,.F.); #280573=ORIENTED_EDGE('',*,*,#203077,.T.); #280574=ORIENTED_EDGE('',*,*,#203076,.T.); #280575=ORIENTED_EDGE('',*,*,#203078,.F.); #280576=ORIENTED_EDGE('',*,*,#203079,.F.); #280577=ORIENTED_EDGE('',*,*,#203080,.T.); #280578=ORIENTED_EDGE('',*,*,#203079,.T.); #280579=ORIENTED_EDGE('',*,*,#203081,.F.); #280580=ORIENTED_EDGE('',*,*,#203082,.F.); #280581=ORIENTED_EDGE('',*,*,#203083,.T.); #280582=ORIENTED_EDGE('',*,*,#203082,.T.); #280583=ORIENTED_EDGE('',*,*,#203084,.F.); #280584=ORIENTED_EDGE('',*,*,#203085,.F.); #280585=ORIENTED_EDGE('',*,*,#203086,.T.); #280586=ORIENTED_EDGE('',*,*,#203085,.T.); #280587=ORIENTED_EDGE('',*,*,#203087,.F.); #280588=ORIENTED_EDGE('',*,*,#203088,.F.); #280589=ORIENTED_EDGE('',*,*,#203089,.T.); #280590=ORIENTED_EDGE('',*,*,#203088,.T.); #280591=ORIENTED_EDGE('',*,*,#203090,.F.); #280592=ORIENTED_EDGE('',*,*,#203091,.F.); #280593=ORIENTED_EDGE('',*,*,#203092,.T.); #280594=ORIENTED_EDGE('',*,*,#203091,.T.); #280595=ORIENTED_EDGE('',*,*,#203093,.F.); #280596=ORIENTED_EDGE('',*,*,#203094,.F.); #280597=ORIENTED_EDGE('',*,*,#203095,.T.); #280598=ORIENTED_EDGE('',*,*,#203094,.T.); #280599=ORIENTED_EDGE('',*,*,#203096,.F.); #280600=ORIENTED_EDGE('',*,*,#203097,.F.); #280601=ORIENTED_EDGE('',*,*,#203098,.T.); #280602=ORIENTED_EDGE('',*,*,#203097,.T.); #280603=ORIENTED_EDGE('',*,*,#203099,.F.); #280604=ORIENTED_EDGE('',*,*,#202933,.F.); #280605=ORIENTED_EDGE('',*,*,#203099,.T.); #280606=ORIENTED_EDGE('',*,*,#203096,.T.); #280607=ORIENTED_EDGE('',*,*,#203093,.T.); #280608=ORIENTED_EDGE('',*,*,#203090,.T.); #280609=ORIENTED_EDGE('',*,*,#203087,.T.); #280610=ORIENTED_EDGE('',*,*,#203084,.T.); #280611=ORIENTED_EDGE('',*,*,#203081,.T.); #280612=ORIENTED_EDGE('',*,*,#203078,.T.); #280613=ORIENTED_EDGE('',*,*,#203075,.T.); #280614=ORIENTED_EDGE('',*,*,#203072,.T.); #280615=ORIENTED_EDGE('',*,*,#203069,.T.); #280616=ORIENTED_EDGE('',*,*,#203066,.T.); #280617=ORIENTED_EDGE('',*,*,#203063,.T.); #280618=ORIENTED_EDGE('',*,*,#203060,.T.); #280619=ORIENTED_EDGE('',*,*,#203057,.T.); #280620=ORIENTED_EDGE('',*,*,#203054,.T.); #280621=ORIENTED_EDGE('',*,*,#203051,.T.); #280622=ORIENTED_EDGE('',*,*,#203048,.T.); #280623=ORIENTED_EDGE('',*,*,#203045,.T.); #280624=ORIENTED_EDGE('',*,*,#203042,.T.); #280625=ORIENTED_EDGE('',*,*,#203039,.T.); #280626=ORIENTED_EDGE('',*,*,#203036,.T.); #280627=ORIENTED_EDGE('',*,*,#203033,.T.); #280628=ORIENTED_EDGE('',*,*,#203030,.T.); #280629=ORIENTED_EDGE('',*,*,#203027,.T.); #280630=ORIENTED_EDGE('',*,*,#203024,.T.); #280631=ORIENTED_EDGE('',*,*,#203021,.T.); #280632=ORIENTED_EDGE('',*,*,#203018,.T.); #280633=ORIENTED_EDGE('',*,*,#203015,.T.); #280634=ORIENTED_EDGE('',*,*,#203012,.T.); #280635=ORIENTED_EDGE('',*,*,#203009,.T.); #280636=ORIENTED_EDGE('',*,*,#203006,.T.); #280637=ORIENTED_EDGE('',*,*,#203003,.T.); #280638=ORIENTED_EDGE('',*,*,#203000,.T.); #280639=ORIENTED_EDGE('',*,*,#202997,.T.); #280640=ORIENTED_EDGE('',*,*,#202994,.T.); #280641=ORIENTED_EDGE('',*,*,#202991,.T.); #280642=ORIENTED_EDGE('',*,*,#202988,.T.); #280643=ORIENTED_EDGE('',*,*,#202985,.T.); #280644=ORIENTED_EDGE('',*,*,#202982,.T.); #280645=ORIENTED_EDGE('',*,*,#202979,.T.); #280646=ORIENTED_EDGE('',*,*,#202976,.T.); #280647=ORIENTED_EDGE('',*,*,#202973,.T.); #280648=ORIENTED_EDGE('',*,*,#202970,.T.); #280649=ORIENTED_EDGE('',*,*,#202967,.T.); #280650=ORIENTED_EDGE('',*,*,#202964,.T.); #280651=ORIENTED_EDGE('',*,*,#202961,.T.); #280652=ORIENTED_EDGE('',*,*,#202958,.T.); #280653=ORIENTED_EDGE('',*,*,#202955,.T.); #280654=ORIENTED_EDGE('',*,*,#202952,.T.); #280655=ORIENTED_EDGE('',*,*,#202949,.T.); #280656=ORIENTED_EDGE('',*,*,#202946,.T.); #280657=ORIENTED_EDGE('',*,*,#202943,.T.); #280658=ORIENTED_EDGE('',*,*,#202940,.T.); #280659=ORIENTED_EDGE('',*,*,#202937,.T.); #280660=ORIENTED_EDGE('',*,*,#202934,.T.); #280661=ORIENTED_EDGE('',*,*,#202927,.T.); #280662=ORIENTED_EDGE('',*,*,#202930,.T.); #280663=ORIENTED_EDGE('',*,*,#202920,.T.); #280664=ORIENTED_EDGE('',*,*,#202924,.T.); #280665=ORIENTED_EDGE('',*,*,#203098,.F.); #280666=ORIENTED_EDGE('',*,*,#202932,.F.); #280667=ORIENTED_EDGE('',*,*,#202936,.F.); #280668=ORIENTED_EDGE('',*,*,#202939,.F.); #280669=ORIENTED_EDGE('',*,*,#202942,.F.); #280670=ORIENTED_EDGE('',*,*,#202945,.F.); #280671=ORIENTED_EDGE('',*,*,#202948,.F.); #280672=ORIENTED_EDGE('',*,*,#202951,.F.); #280673=ORIENTED_EDGE('',*,*,#202954,.F.); #280674=ORIENTED_EDGE('',*,*,#202957,.F.); #280675=ORIENTED_EDGE('',*,*,#202960,.F.); #280676=ORIENTED_EDGE('',*,*,#202963,.F.); #280677=ORIENTED_EDGE('',*,*,#202966,.F.); #280678=ORIENTED_EDGE('',*,*,#202969,.F.); #280679=ORIENTED_EDGE('',*,*,#202972,.F.); #280680=ORIENTED_EDGE('',*,*,#202975,.F.); #280681=ORIENTED_EDGE('',*,*,#202978,.F.); #280682=ORIENTED_EDGE('',*,*,#202981,.F.); #280683=ORIENTED_EDGE('',*,*,#202984,.F.); #280684=ORIENTED_EDGE('',*,*,#202987,.F.); #280685=ORIENTED_EDGE('',*,*,#202990,.F.); #280686=ORIENTED_EDGE('',*,*,#202993,.F.); #280687=ORIENTED_EDGE('',*,*,#202996,.F.); #280688=ORIENTED_EDGE('',*,*,#202999,.F.); #280689=ORIENTED_EDGE('',*,*,#203002,.F.); #280690=ORIENTED_EDGE('',*,*,#203005,.F.); #280691=ORIENTED_EDGE('',*,*,#203008,.F.); #280692=ORIENTED_EDGE('',*,*,#203011,.F.); #280693=ORIENTED_EDGE('',*,*,#203014,.F.); #280694=ORIENTED_EDGE('',*,*,#203017,.F.); #280695=ORIENTED_EDGE('',*,*,#203020,.F.); #280696=ORIENTED_EDGE('',*,*,#203023,.F.); #280697=ORIENTED_EDGE('',*,*,#203026,.F.); #280698=ORIENTED_EDGE('',*,*,#203029,.F.); #280699=ORIENTED_EDGE('',*,*,#203032,.F.); #280700=ORIENTED_EDGE('',*,*,#203035,.F.); #280701=ORIENTED_EDGE('',*,*,#203038,.F.); #280702=ORIENTED_EDGE('',*,*,#203041,.F.); #280703=ORIENTED_EDGE('',*,*,#203044,.F.); #280704=ORIENTED_EDGE('',*,*,#203047,.F.); #280705=ORIENTED_EDGE('',*,*,#203050,.F.); #280706=ORIENTED_EDGE('',*,*,#203053,.F.); #280707=ORIENTED_EDGE('',*,*,#203056,.F.); #280708=ORIENTED_EDGE('',*,*,#203059,.F.); #280709=ORIENTED_EDGE('',*,*,#203062,.F.); #280710=ORIENTED_EDGE('',*,*,#203065,.F.); #280711=ORIENTED_EDGE('',*,*,#203068,.F.); #280712=ORIENTED_EDGE('',*,*,#203071,.F.); #280713=ORIENTED_EDGE('',*,*,#203074,.F.); #280714=ORIENTED_EDGE('',*,*,#203077,.F.); #280715=ORIENTED_EDGE('',*,*,#203080,.F.); #280716=ORIENTED_EDGE('',*,*,#203083,.F.); #280717=ORIENTED_EDGE('',*,*,#203086,.F.); #280718=ORIENTED_EDGE('',*,*,#203089,.F.); #280719=ORIENTED_EDGE('',*,*,#203092,.F.); #280720=ORIENTED_EDGE('',*,*,#203095,.F.); #280721=ORIENTED_EDGE('',*,*,#202928,.T.); #280722=ORIENTED_EDGE('',*,*,#202925,.T.); #280723=ORIENTED_EDGE('',*,*,#202922,.T.); #280724=ORIENTED_EDGE('',*,*,#202931,.T.); #280725=ORIENTED_EDGE('',*,*,#203100,.F.); #280726=ORIENTED_EDGE('',*,*,#203101,.F.); #280727=ORIENTED_EDGE('',*,*,#203102,.F.); #280728=ORIENTED_EDGE('',*,*,#203103,.T.); #280729=ORIENTED_EDGE('',*,*,#203104,.F.); #280730=ORIENTED_EDGE('',*,*,#203103,.F.); #280731=ORIENTED_EDGE('',*,*,#203105,.F.); #280732=ORIENTED_EDGE('',*,*,#203106,.T.); #280733=ORIENTED_EDGE('',*,*,#203107,.F.); #280734=ORIENTED_EDGE('',*,*,#203106,.F.); #280735=ORIENTED_EDGE('',*,*,#203108,.F.); #280736=ORIENTED_EDGE('',*,*,#203109,.T.); #280737=ORIENTED_EDGE('',*,*,#203110,.F.); #280738=ORIENTED_EDGE('',*,*,#203109,.F.); #280739=ORIENTED_EDGE('',*,*,#203111,.F.); #280740=ORIENTED_EDGE('',*,*,#203101,.T.); #280741=ORIENTED_EDGE('',*,*,#203112,.T.); #280742=ORIENTED_EDGE('',*,*,#203113,.T.); #280743=ORIENTED_EDGE('',*,*,#203114,.F.); #280744=ORIENTED_EDGE('',*,*,#203115,.F.); #280745=ORIENTED_EDGE('',*,*,#203116,.T.); #280746=ORIENTED_EDGE('',*,*,#203115,.T.); #280747=ORIENTED_EDGE('',*,*,#203117,.F.); #280748=ORIENTED_EDGE('',*,*,#203118,.F.); #280749=ORIENTED_EDGE('',*,*,#203119,.T.); #280750=ORIENTED_EDGE('',*,*,#203118,.T.); #280751=ORIENTED_EDGE('',*,*,#203120,.F.); #280752=ORIENTED_EDGE('',*,*,#203121,.F.); #280753=ORIENTED_EDGE('',*,*,#203122,.T.); #280754=ORIENTED_EDGE('',*,*,#203121,.T.); #280755=ORIENTED_EDGE('',*,*,#203123,.F.); #280756=ORIENTED_EDGE('',*,*,#203124,.F.); #280757=ORIENTED_EDGE('',*,*,#203125,.T.); #280758=ORIENTED_EDGE('',*,*,#203124,.T.); #280759=ORIENTED_EDGE('',*,*,#203126,.F.); #280760=ORIENTED_EDGE('',*,*,#203127,.F.); #280761=ORIENTED_EDGE('',*,*,#203128,.T.); #280762=ORIENTED_EDGE('',*,*,#203127,.T.); #280763=ORIENTED_EDGE('',*,*,#203129,.F.); #280764=ORIENTED_EDGE('',*,*,#203130,.F.); #280765=ORIENTED_EDGE('',*,*,#203131,.T.); #280766=ORIENTED_EDGE('',*,*,#203130,.T.); #280767=ORIENTED_EDGE('',*,*,#203132,.F.); #280768=ORIENTED_EDGE('',*,*,#203133,.F.); #280769=ORIENTED_EDGE('',*,*,#203134,.T.); #280770=ORIENTED_EDGE('',*,*,#203133,.T.); #280771=ORIENTED_EDGE('',*,*,#203135,.F.); #280772=ORIENTED_EDGE('',*,*,#203136,.F.); #280773=ORIENTED_EDGE('',*,*,#203137,.T.); #280774=ORIENTED_EDGE('',*,*,#203136,.T.); #280775=ORIENTED_EDGE('',*,*,#203138,.F.); #280776=ORIENTED_EDGE('',*,*,#203139,.F.); #280777=ORIENTED_EDGE('',*,*,#203140,.T.); #280778=ORIENTED_EDGE('',*,*,#203139,.T.); #280779=ORIENTED_EDGE('',*,*,#203141,.F.); #280780=ORIENTED_EDGE('',*,*,#203142,.F.); #280781=ORIENTED_EDGE('',*,*,#203143,.T.); #280782=ORIENTED_EDGE('',*,*,#203142,.T.); #280783=ORIENTED_EDGE('',*,*,#203144,.F.); #280784=ORIENTED_EDGE('',*,*,#203145,.F.); #280785=ORIENTED_EDGE('',*,*,#203146,.T.); #280786=ORIENTED_EDGE('',*,*,#203145,.T.); #280787=ORIENTED_EDGE('',*,*,#203147,.F.); #280788=ORIENTED_EDGE('',*,*,#203148,.F.); #280789=ORIENTED_EDGE('',*,*,#203149,.T.); #280790=ORIENTED_EDGE('',*,*,#203148,.T.); #280791=ORIENTED_EDGE('',*,*,#203150,.F.); #280792=ORIENTED_EDGE('',*,*,#203151,.F.); #280793=ORIENTED_EDGE('',*,*,#203152,.T.); #280794=ORIENTED_EDGE('',*,*,#203151,.T.); #280795=ORIENTED_EDGE('',*,*,#203153,.F.); #280796=ORIENTED_EDGE('',*,*,#203154,.F.); #280797=ORIENTED_EDGE('',*,*,#203155,.T.); #280798=ORIENTED_EDGE('',*,*,#203154,.T.); #280799=ORIENTED_EDGE('',*,*,#203156,.F.); #280800=ORIENTED_EDGE('',*,*,#203157,.F.); #280801=ORIENTED_EDGE('',*,*,#203158,.T.); #280802=ORIENTED_EDGE('',*,*,#203157,.T.); #280803=ORIENTED_EDGE('',*,*,#203159,.F.); #280804=ORIENTED_EDGE('',*,*,#203160,.F.); #280805=ORIENTED_EDGE('',*,*,#203161,.T.); #280806=ORIENTED_EDGE('',*,*,#203160,.T.); #280807=ORIENTED_EDGE('',*,*,#203162,.F.); #280808=ORIENTED_EDGE('',*,*,#203163,.F.); #280809=ORIENTED_EDGE('',*,*,#203164,.T.); #280810=ORIENTED_EDGE('',*,*,#203163,.T.); #280811=ORIENTED_EDGE('',*,*,#203165,.F.); #280812=ORIENTED_EDGE('',*,*,#203166,.F.); #280813=ORIENTED_EDGE('',*,*,#203167,.T.); #280814=ORIENTED_EDGE('',*,*,#203166,.T.); #280815=ORIENTED_EDGE('',*,*,#203168,.F.); #280816=ORIENTED_EDGE('',*,*,#203169,.F.); #280817=ORIENTED_EDGE('',*,*,#203170,.T.); #280818=ORIENTED_EDGE('',*,*,#203169,.T.); #280819=ORIENTED_EDGE('',*,*,#203171,.F.); #280820=ORIENTED_EDGE('',*,*,#203172,.F.); #280821=ORIENTED_EDGE('',*,*,#203173,.T.); #280822=ORIENTED_EDGE('',*,*,#203172,.T.); #280823=ORIENTED_EDGE('',*,*,#203174,.F.); #280824=ORIENTED_EDGE('',*,*,#203175,.F.); #280825=ORIENTED_EDGE('',*,*,#203176,.T.); #280826=ORIENTED_EDGE('',*,*,#203175,.T.); #280827=ORIENTED_EDGE('',*,*,#203177,.F.); #280828=ORIENTED_EDGE('',*,*,#203178,.F.); #280829=ORIENTED_EDGE('',*,*,#203179,.T.); #280830=ORIENTED_EDGE('',*,*,#203178,.T.); #280831=ORIENTED_EDGE('',*,*,#203180,.F.); #280832=ORIENTED_EDGE('',*,*,#203181,.F.); #280833=ORIENTED_EDGE('',*,*,#203182,.T.); #280834=ORIENTED_EDGE('',*,*,#203181,.T.); #280835=ORIENTED_EDGE('',*,*,#203183,.F.); #280836=ORIENTED_EDGE('',*,*,#203184,.F.); #280837=ORIENTED_EDGE('',*,*,#203185,.T.); #280838=ORIENTED_EDGE('',*,*,#203184,.T.); #280839=ORIENTED_EDGE('',*,*,#203186,.F.); #280840=ORIENTED_EDGE('',*,*,#203187,.F.); #280841=ORIENTED_EDGE('',*,*,#203188,.T.); #280842=ORIENTED_EDGE('',*,*,#203187,.T.); #280843=ORIENTED_EDGE('',*,*,#203189,.F.); #280844=ORIENTED_EDGE('',*,*,#203190,.F.); #280845=ORIENTED_EDGE('',*,*,#203191,.T.); #280846=ORIENTED_EDGE('',*,*,#203190,.T.); #280847=ORIENTED_EDGE('',*,*,#203192,.F.); #280848=ORIENTED_EDGE('',*,*,#203193,.F.); #280849=ORIENTED_EDGE('',*,*,#203194,.T.); #280850=ORIENTED_EDGE('',*,*,#203193,.T.); #280851=ORIENTED_EDGE('',*,*,#203195,.F.); #280852=ORIENTED_EDGE('',*,*,#203196,.F.); #280853=ORIENTED_EDGE('',*,*,#203197,.T.); #280854=ORIENTED_EDGE('',*,*,#203196,.T.); #280855=ORIENTED_EDGE('',*,*,#203198,.F.); #280856=ORIENTED_EDGE('',*,*,#203199,.F.); #280857=ORIENTED_EDGE('',*,*,#203200,.T.); #280858=ORIENTED_EDGE('',*,*,#203199,.T.); #280859=ORIENTED_EDGE('',*,*,#203201,.F.); #280860=ORIENTED_EDGE('',*,*,#203202,.F.); #280861=ORIENTED_EDGE('',*,*,#203203,.T.); #280862=ORIENTED_EDGE('',*,*,#203202,.T.); #280863=ORIENTED_EDGE('',*,*,#203204,.F.); #280864=ORIENTED_EDGE('',*,*,#203205,.F.); #280865=ORIENTED_EDGE('',*,*,#203206,.T.); #280866=ORIENTED_EDGE('',*,*,#203205,.T.); #280867=ORIENTED_EDGE('',*,*,#203207,.F.); #280868=ORIENTED_EDGE('',*,*,#203208,.F.); #280869=ORIENTED_EDGE('',*,*,#203209,.T.); #280870=ORIENTED_EDGE('',*,*,#203208,.T.); #280871=ORIENTED_EDGE('',*,*,#203210,.F.); #280872=ORIENTED_EDGE('',*,*,#203211,.F.); #280873=ORIENTED_EDGE('',*,*,#203212,.T.); #280874=ORIENTED_EDGE('',*,*,#203211,.T.); #280875=ORIENTED_EDGE('',*,*,#203213,.F.); #280876=ORIENTED_EDGE('',*,*,#203214,.F.); #280877=ORIENTED_EDGE('',*,*,#203215,.T.); #280878=ORIENTED_EDGE('',*,*,#203214,.T.); #280879=ORIENTED_EDGE('',*,*,#203216,.F.); #280880=ORIENTED_EDGE('',*,*,#203217,.F.); #280881=ORIENTED_EDGE('',*,*,#203218,.T.); #280882=ORIENTED_EDGE('',*,*,#203217,.T.); #280883=ORIENTED_EDGE('',*,*,#203219,.F.); #280884=ORIENTED_EDGE('',*,*,#203220,.F.); #280885=ORIENTED_EDGE('',*,*,#203221,.T.); #280886=ORIENTED_EDGE('',*,*,#203220,.T.); #280887=ORIENTED_EDGE('',*,*,#203222,.F.); #280888=ORIENTED_EDGE('',*,*,#203223,.F.); #280889=ORIENTED_EDGE('',*,*,#203224,.T.); #280890=ORIENTED_EDGE('',*,*,#203223,.T.); #280891=ORIENTED_EDGE('',*,*,#203225,.F.); #280892=ORIENTED_EDGE('',*,*,#203226,.F.); #280893=ORIENTED_EDGE('',*,*,#203227,.T.); #280894=ORIENTED_EDGE('',*,*,#203226,.T.); #280895=ORIENTED_EDGE('',*,*,#203228,.F.); #280896=ORIENTED_EDGE('',*,*,#203229,.F.); #280897=ORIENTED_EDGE('',*,*,#203230,.T.); #280898=ORIENTED_EDGE('',*,*,#203229,.T.); #280899=ORIENTED_EDGE('',*,*,#203231,.F.); #280900=ORIENTED_EDGE('',*,*,#203232,.F.); #280901=ORIENTED_EDGE('',*,*,#203233,.T.); #280902=ORIENTED_EDGE('',*,*,#203232,.T.); #280903=ORIENTED_EDGE('',*,*,#203234,.F.); #280904=ORIENTED_EDGE('',*,*,#203235,.F.); #280905=ORIENTED_EDGE('',*,*,#203236,.T.); #280906=ORIENTED_EDGE('',*,*,#203235,.T.); #280907=ORIENTED_EDGE('',*,*,#203237,.F.); #280908=ORIENTED_EDGE('',*,*,#203238,.F.); #280909=ORIENTED_EDGE('',*,*,#203239,.T.); #280910=ORIENTED_EDGE('',*,*,#203238,.T.); #280911=ORIENTED_EDGE('',*,*,#203240,.F.); #280912=ORIENTED_EDGE('',*,*,#203241,.F.); #280913=ORIENTED_EDGE('',*,*,#203242,.T.); #280914=ORIENTED_EDGE('',*,*,#203241,.T.); #280915=ORIENTED_EDGE('',*,*,#203243,.F.); #280916=ORIENTED_EDGE('',*,*,#203244,.F.); #280917=ORIENTED_EDGE('',*,*,#203245,.T.); #280918=ORIENTED_EDGE('',*,*,#203244,.T.); #280919=ORIENTED_EDGE('',*,*,#203246,.F.); #280920=ORIENTED_EDGE('',*,*,#203247,.F.); #280921=ORIENTED_EDGE('',*,*,#203248,.T.); #280922=ORIENTED_EDGE('',*,*,#203247,.T.); #280923=ORIENTED_EDGE('',*,*,#203249,.F.); #280924=ORIENTED_EDGE('',*,*,#203250,.F.); #280925=ORIENTED_EDGE('',*,*,#203251,.T.); #280926=ORIENTED_EDGE('',*,*,#203250,.T.); #280927=ORIENTED_EDGE('',*,*,#203252,.F.); #280928=ORIENTED_EDGE('',*,*,#203253,.F.); #280929=ORIENTED_EDGE('',*,*,#203254,.T.); #280930=ORIENTED_EDGE('',*,*,#203253,.T.); #280931=ORIENTED_EDGE('',*,*,#203255,.F.); #280932=ORIENTED_EDGE('',*,*,#203256,.F.); #280933=ORIENTED_EDGE('',*,*,#203257,.T.); #280934=ORIENTED_EDGE('',*,*,#203256,.T.); #280935=ORIENTED_EDGE('',*,*,#203258,.F.); #280936=ORIENTED_EDGE('',*,*,#203259,.F.); #280937=ORIENTED_EDGE('',*,*,#203260,.T.); #280938=ORIENTED_EDGE('',*,*,#203259,.T.); #280939=ORIENTED_EDGE('',*,*,#203261,.F.); #280940=ORIENTED_EDGE('',*,*,#203262,.F.); #280941=ORIENTED_EDGE('',*,*,#203263,.T.); #280942=ORIENTED_EDGE('',*,*,#203262,.T.); #280943=ORIENTED_EDGE('',*,*,#203264,.F.); #280944=ORIENTED_EDGE('',*,*,#203265,.F.); #280945=ORIENTED_EDGE('',*,*,#203266,.T.); #280946=ORIENTED_EDGE('',*,*,#203265,.T.); #280947=ORIENTED_EDGE('',*,*,#203267,.F.); #280948=ORIENTED_EDGE('',*,*,#203268,.F.); #280949=ORIENTED_EDGE('',*,*,#203269,.T.); #280950=ORIENTED_EDGE('',*,*,#203268,.T.); #280951=ORIENTED_EDGE('',*,*,#203270,.F.); #280952=ORIENTED_EDGE('',*,*,#203271,.F.); #280953=ORIENTED_EDGE('',*,*,#203272,.T.); #280954=ORIENTED_EDGE('',*,*,#203271,.T.); #280955=ORIENTED_EDGE('',*,*,#203273,.F.); #280956=ORIENTED_EDGE('',*,*,#203274,.F.); #280957=ORIENTED_EDGE('',*,*,#203275,.T.); #280958=ORIENTED_EDGE('',*,*,#203274,.T.); #280959=ORIENTED_EDGE('',*,*,#203276,.F.); #280960=ORIENTED_EDGE('',*,*,#203277,.F.); #280961=ORIENTED_EDGE('',*,*,#203278,.T.); #280962=ORIENTED_EDGE('',*,*,#203277,.T.); #280963=ORIENTED_EDGE('',*,*,#203279,.F.); #280964=ORIENTED_EDGE('',*,*,#203280,.F.); #280965=ORIENTED_EDGE('',*,*,#203281,.T.); #280966=ORIENTED_EDGE('',*,*,#203280,.T.); #280967=ORIENTED_EDGE('',*,*,#203282,.F.); #280968=ORIENTED_EDGE('',*,*,#203283,.F.); #280969=ORIENTED_EDGE('',*,*,#203284,.T.); #280970=ORIENTED_EDGE('',*,*,#203283,.T.); #280971=ORIENTED_EDGE('',*,*,#203285,.F.); #280972=ORIENTED_EDGE('',*,*,#203286,.F.); #280973=ORIENTED_EDGE('',*,*,#203287,.T.); #280974=ORIENTED_EDGE('',*,*,#203286,.T.); #280975=ORIENTED_EDGE('',*,*,#203288,.F.); #280976=ORIENTED_EDGE('',*,*,#203289,.F.); #280977=ORIENTED_EDGE('',*,*,#203290,.T.); #280978=ORIENTED_EDGE('',*,*,#203289,.T.); #280979=ORIENTED_EDGE('',*,*,#203291,.F.); #280980=ORIENTED_EDGE('',*,*,#203292,.F.); #280981=ORIENTED_EDGE('',*,*,#203293,.T.); #280982=ORIENTED_EDGE('',*,*,#203292,.T.); #280983=ORIENTED_EDGE('',*,*,#203294,.F.); #280984=ORIENTED_EDGE('',*,*,#203295,.F.); #280985=ORIENTED_EDGE('',*,*,#203296,.T.); #280986=ORIENTED_EDGE('',*,*,#203295,.T.); #280987=ORIENTED_EDGE('',*,*,#203297,.F.); #280988=ORIENTED_EDGE('',*,*,#203298,.F.); #280989=ORIENTED_EDGE('',*,*,#203299,.T.); #280990=ORIENTED_EDGE('',*,*,#203298,.T.); #280991=ORIENTED_EDGE('',*,*,#203300,.F.); #280992=ORIENTED_EDGE('',*,*,#203301,.F.); #280993=ORIENTED_EDGE('',*,*,#203302,.T.); #280994=ORIENTED_EDGE('',*,*,#203301,.T.); #280995=ORIENTED_EDGE('',*,*,#203303,.F.); #280996=ORIENTED_EDGE('',*,*,#203304,.F.); #280997=ORIENTED_EDGE('',*,*,#203305,.T.); #280998=ORIENTED_EDGE('',*,*,#203304,.T.); #280999=ORIENTED_EDGE('',*,*,#203306,.F.); #281000=ORIENTED_EDGE('',*,*,#203307,.F.); #281001=ORIENTED_EDGE('',*,*,#203308,.T.); #281002=ORIENTED_EDGE('',*,*,#203307,.T.); #281003=ORIENTED_EDGE('',*,*,#203309,.F.); #281004=ORIENTED_EDGE('',*,*,#203310,.F.); #281005=ORIENTED_EDGE('',*,*,#203311,.T.); #281006=ORIENTED_EDGE('',*,*,#203310,.T.); #281007=ORIENTED_EDGE('',*,*,#203312,.F.); #281008=ORIENTED_EDGE('',*,*,#203313,.F.); #281009=ORIENTED_EDGE('',*,*,#203314,.T.); #281010=ORIENTED_EDGE('',*,*,#203313,.T.); #281011=ORIENTED_EDGE('',*,*,#203315,.F.); #281012=ORIENTED_EDGE('',*,*,#203316,.F.); #281013=ORIENTED_EDGE('',*,*,#203317,.T.); #281014=ORIENTED_EDGE('',*,*,#203316,.T.); #281015=ORIENTED_EDGE('',*,*,#203318,.F.); #281016=ORIENTED_EDGE('',*,*,#203319,.F.); #281017=ORIENTED_EDGE('',*,*,#203320,.T.); #281018=ORIENTED_EDGE('',*,*,#203319,.T.); #281019=ORIENTED_EDGE('',*,*,#203321,.F.); #281020=ORIENTED_EDGE('',*,*,#203322,.F.); #281021=ORIENTED_EDGE('',*,*,#203323,.T.); #281022=ORIENTED_EDGE('',*,*,#203322,.T.); #281023=ORIENTED_EDGE('',*,*,#203324,.F.); #281024=ORIENTED_EDGE('',*,*,#203325,.F.); #281025=ORIENTED_EDGE('',*,*,#203326,.T.); #281026=ORIENTED_EDGE('',*,*,#203325,.T.); #281027=ORIENTED_EDGE('',*,*,#203327,.F.); #281028=ORIENTED_EDGE('',*,*,#203113,.F.); #281029=ORIENTED_EDGE('',*,*,#203327,.T.); #281030=ORIENTED_EDGE('',*,*,#203324,.T.); #281031=ORIENTED_EDGE('',*,*,#203321,.T.); #281032=ORIENTED_EDGE('',*,*,#203318,.T.); #281033=ORIENTED_EDGE('',*,*,#203315,.T.); #281034=ORIENTED_EDGE('',*,*,#203312,.T.); #281035=ORIENTED_EDGE('',*,*,#203309,.T.); #281036=ORIENTED_EDGE('',*,*,#203306,.T.); #281037=ORIENTED_EDGE('',*,*,#203303,.T.); #281038=ORIENTED_EDGE('',*,*,#203300,.T.); #281039=ORIENTED_EDGE('',*,*,#203297,.T.); #281040=ORIENTED_EDGE('',*,*,#203294,.T.); #281041=ORIENTED_EDGE('',*,*,#203291,.T.); #281042=ORIENTED_EDGE('',*,*,#203288,.T.); #281043=ORIENTED_EDGE('',*,*,#203285,.T.); #281044=ORIENTED_EDGE('',*,*,#203282,.T.); #281045=ORIENTED_EDGE('',*,*,#203279,.T.); #281046=ORIENTED_EDGE('',*,*,#203276,.T.); #281047=ORIENTED_EDGE('',*,*,#203273,.T.); #281048=ORIENTED_EDGE('',*,*,#203270,.T.); #281049=ORIENTED_EDGE('',*,*,#203267,.T.); #281050=ORIENTED_EDGE('',*,*,#203264,.T.); #281051=ORIENTED_EDGE('',*,*,#203261,.T.); #281052=ORIENTED_EDGE('',*,*,#203258,.T.); #281053=ORIENTED_EDGE('',*,*,#203255,.T.); #281054=ORIENTED_EDGE('',*,*,#203252,.T.); #281055=ORIENTED_EDGE('',*,*,#203249,.T.); #281056=ORIENTED_EDGE('',*,*,#203246,.T.); #281057=ORIENTED_EDGE('',*,*,#203243,.T.); #281058=ORIENTED_EDGE('',*,*,#203240,.T.); #281059=ORIENTED_EDGE('',*,*,#203237,.T.); #281060=ORIENTED_EDGE('',*,*,#203234,.T.); #281061=ORIENTED_EDGE('',*,*,#203231,.T.); #281062=ORIENTED_EDGE('',*,*,#203228,.T.); #281063=ORIENTED_EDGE('',*,*,#203225,.T.); #281064=ORIENTED_EDGE('',*,*,#203222,.T.); #281065=ORIENTED_EDGE('',*,*,#203219,.T.); #281066=ORIENTED_EDGE('',*,*,#203216,.T.); #281067=ORIENTED_EDGE('',*,*,#203213,.T.); #281068=ORIENTED_EDGE('',*,*,#203210,.T.); #281069=ORIENTED_EDGE('',*,*,#203207,.T.); #281070=ORIENTED_EDGE('',*,*,#203204,.T.); #281071=ORIENTED_EDGE('',*,*,#203201,.T.); #281072=ORIENTED_EDGE('',*,*,#203198,.T.); #281073=ORIENTED_EDGE('',*,*,#203195,.T.); #281074=ORIENTED_EDGE('',*,*,#203192,.T.); #281075=ORIENTED_EDGE('',*,*,#203189,.T.); #281076=ORIENTED_EDGE('',*,*,#203186,.T.); #281077=ORIENTED_EDGE('',*,*,#203183,.T.); #281078=ORIENTED_EDGE('',*,*,#203180,.T.); #281079=ORIENTED_EDGE('',*,*,#203177,.T.); #281080=ORIENTED_EDGE('',*,*,#203174,.T.); #281081=ORIENTED_EDGE('',*,*,#203171,.T.); #281082=ORIENTED_EDGE('',*,*,#203168,.T.); #281083=ORIENTED_EDGE('',*,*,#203165,.T.); #281084=ORIENTED_EDGE('',*,*,#203162,.T.); #281085=ORIENTED_EDGE('',*,*,#203159,.T.); #281086=ORIENTED_EDGE('',*,*,#203156,.T.); #281087=ORIENTED_EDGE('',*,*,#203153,.T.); #281088=ORIENTED_EDGE('',*,*,#203150,.T.); #281089=ORIENTED_EDGE('',*,*,#203147,.T.); #281090=ORIENTED_EDGE('',*,*,#203144,.T.); #281091=ORIENTED_EDGE('',*,*,#203141,.T.); #281092=ORIENTED_EDGE('',*,*,#203138,.T.); #281093=ORIENTED_EDGE('',*,*,#203135,.T.); #281094=ORIENTED_EDGE('',*,*,#203132,.T.); #281095=ORIENTED_EDGE('',*,*,#203129,.T.); #281096=ORIENTED_EDGE('',*,*,#203126,.T.); #281097=ORIENTED_EDGE('',*,*,#203123,.T.); #281098=ORIENTED_EDGE('',*,*,#203120,.T.); #281099=ORIENTED_EDGE('',*,*,#203117,.T.); #281100=ORIENTED_EDGE('',*,*,#203114,.T.); #281101=ORIENTED_EDGE('',*,*,#203107,.T.); #281102=ORIENTED_EDGE('',*,*,#203110,.T.); #281103=ORIENTED_EDGE('',*,*,#203100,.T.); #281104=ORIENTED_EDGE('',*,*,#203104,.T.); #281105=ORIENTED_EDGE('',*,*,#203326,.F.); #281106=ORIENTED_EDGE('',*,*,#203112,.F.); #281107=ORIENTED_EDGE('',*,*,#203116,.F.); #281108=ORIENTED_EDGE('',*,*,#203119,.F.); #281109=ORIENTED_EDGE('',*,*,#203122,.F.); #281110=ORIENTED_EDGE('',*,*,#203125,.F.); #281111=ORIENTED_EDGE('',*,*,#203128,.F.); #281112=ORIENTED_EDGE('',*,*,#203131,.F.); #281113=ORIENTED_EDGE('',*,*,#203134,.F.); #281114=ORIENTED_EDGE('',*,*,#203137,.F.); #281115=ORIENTED_EDGE('',*,*,#203140,.F.); #281116=ORIENTED_EDGE('',*,*,#203143,.F.); #281117=ORIENTED_EDGE('',*,*,#203146,.F.); #281118=ORIENTED_EDGE('',*,*,#203149,.F.); #281119=ORIENTED_EDGE('',*,*,#203152,.F.); #281120=ORIENTED_EDGE('',*,*,#203155,.F.); #281121=ORIENTED_EDGE('',*,*,#203158,.F.); #281122=ORIENTED_EDGE('',*,*,#203161,.F.); #281123=ORIENTED_EDGE('',*,*,#203164,.F.); #281124=ORIENTED_EDGE('',*,*,#203167,.F.); #281125=ORIENTED_EDGE('',*,*,#203170,.F.); #281126=ORIENTED_EDGE('',*,*,#203173,.F.); #281127=ORIENTED_EDGE('',*,*,#203176,.F.); #281128=ORIENTED_EDGE('',*,*,#203179,.F.); #281129=ORIENTED_EDGE('',*,*,#203182,.F.); #281130=ORIENTED_EDGE('',*,*,#203185,.F.); #281131=ORIENTED_EDGE('',*,*,#203188,.F.); #281132=ORIENTED_EDGE('',*,*,#203191,.F.); #281133=ORIENTED_EDGE('',*,*,#203194,.F.); #281134=ORIENTED_EDGE('',*,*,#203197,.F.); #281135=ORIENTED_EDGE('',*,*,#203200,.F.); #281136=ORIENTED_EDGE('',*,*,#203203,.F.); #281137=ORIENTED_EDGE('',*,*,#203206,.F.); #281138=ORIENTED_EDGE('',*,*,#203209,.F.); #281139=ORIENTED_EDGE('',*,*,#203212,.F.); #281140=ORIENTED_EDGE('',*,*,#203215,.F.); #281141=ORIENTED_EDGE('',*,*,#203218,.F.); #281142=ORIENTED_EDGE('',*,*,#203221,.F.); #281143=ORIENTED_EDGE('',*,*,#203224,.F.); #281144=ORIENTED_EDGE('',*,*,#203227,.F.); #281145=ORIENTED_EDGE('',*,*,#203230,.F.); #281146=ORIENTED_EDGE('',*,*,#203233,.F.); #281147=ORIENTED_EDGE('',*,*,#203236,.F.); #281148=ORIENTED_EDGE('',*,*,#203239,.F.); #281149=ORIENTED_EDGE('',*,*,#203242,.F.); #281150=ORIENTED_EDGE('',*,*,#203245,.F.); #281151=ORIENTED_EDGE('',*,*,#203248,.F.); #281152=ORIENTED_EDGE('',*,*,#203251,.F.); #281153=ORIENTED_EDGE('',*,*,#203254,.F.); #281154=ORIENTED_EDGE('',*,*,#203257,.F.); #281155=ORIENTED_EDGE('',*,*,#203260,.F.); #281156=ORIENTED_EDGE('',*,*,#203263,.F.); #281157=ORIENTED_EDGE('',*,*,#203266,.F.); #281158=ORIENTED_EDGE('',*,*,#203269,.F.); #281159=ORIENTED_EDGE('',*,*,#203272,.F.); #281160=ORIENTED_EDGE('',*,*,#203275,.F.); #281161=ORIENTED_EDGE('',*,*,#203278,.F.); #281162=ORIENTED_EDGE('',*,*,#203281,.F.); #281163=ORIENTED_EDGE('',*,*,#203284,.F.); #281164=ORIENTED_EDGE('',*,*,#203287,.F.); #281165=ORIENTED_EDGE('',*,*,#203290,.F.); #281166=ORIENTED_EDGE('',*,*,#203293,.F.); #281167=ORIENTED_EDGE('',*,*,#203296,.F.); #281168=ORIENTED_EDGE('',*,*,#203299,.F.); #281169=ORIENTED_EDGE('',*,*,#203302,.F.); #281170=ORIENTED_EDGE('',*,*,#203305,.F.); #281171=ORIENTED_EDGE('',*,*,#203308,.F.); #281172=ORIENTED_EDGE('',*,*,#203311,.F.); #281173=ORIENTED_EDGE('',*,*,#203314,.F.); #281174=ORIENTED_EDGE('',*,*,#203317,.F.); #281175=ORIENTED_EDGE('',*,*,#203320,.F.); #281176=ORIENTED_EDGE('',*,*,#203323,.F.); #281177=ORIENTED_EDGE('',*,*,#203108,.T.); #281178=ORIENTED_EDGE('',*,*,#203105,.T.); #281179=ORIENTED_EDGE('',*,*,#203102,.T.); #281180=ORIENTED_EDGE('',*,*,#203111,.T.); #281181=ORIENTED_EDGE('',*,*,#203328,.F.); #281182=ORIENTED_EDGE('',*,*,#203329,.F.); #281183=ORIENTED_EDGE('',*,*,#203330,.F.); #281184=ORIENTED_EDGE('',*,*,#203331,.T.); #281185=ORIENTED_EDGE('',*,*,#203332,.F.); #281186=ORIENTED_EDGE('',*,*,#203331,.F.); #281187=ORIENTED_EDGE('',*,*,#203333,.F.); #281188=ORIENTED_EDGE('',*,*,#203334,.T.); #281189=ORIENTED_EDGE('',*,*,#203335,.F.); #281190=ORIENTED_EDGE('',*,*,#203334,.F.); #281191=ORIENTED_EDGE('',*,*,#203336,.F.); #281192=ORIENTED_EDGE('',*,*,#203337,.T.); #281193=ORIENTED_EDGE('',*,*,#203338,.F.); #281194=ORIENTED_EDGE('',*,*,#203337,.F.); #281195=ORIENTED_EDGE('',*,*,#203339,.F.); #281196=ORIENTED_EDGE('',*,*,#203329,.T.); #281197=ORIENTED_EDGE('',*,*,#203340,.T.); #281198=ORIENTED_EDGE('',*,*,#203341,.T.); #281199=ORIENTED_EDGE('',*,*,#203342,.F.); #281200=ORIENTED_EDGE('',*,*,#203343,.F.); #281201=ORIENTED_EDGE('',*,*,#203344,.T.); #281202=ORIENTED_EDGE('',*,*,#203343,.T.); #281203=ORIENTED_EDGE('',*,*,#203345,.F.); #281204=ORIENTED_EDGE('',*,*,#203346,.F.); #281205=ORIENTED_EDGE('',*,*,#203347,.T.); #281206=ORIENTED_EDGE('',*,*,#203346,.T.); #281207=ORIENTED_EDGE('',*,*,#203348,.F.); #281208=ORIENTED_EDGE('',*,*,#203349,.F.); #281209=ORIENTED_EDGE('',*,*,#203350,.T.); #281210=ORIENTED_EDGE('',*,*,#203349,.T.); #281211=ORIENTED_EDGE('',*,*,#203351,.F.); #281212=ORIENTED_EDGE('',*,*,#203352,.F.); #281213=ORIENTED_EDGE('',*,*,#203353,.T.); #281214=ORIENTED_EDGE('',*,*,#203352,.T.); #281215=ORIENTED_EDGE('',*,*,#203354,.F.); #281216=ORIENTED_EDGE('',*,*,#203355,.F.); #281217=ORIENTED_EDGE('',*,*,#203356,.T.); #281218=ORIENTED_EDGE('',*,*,#203355,.T.); #281219=ORIENTED_EDGE('',*,*,#203357,.F.); #281220=ORIENTED_EDGE('',*,*,#203358,.F.); #281221=ORIENTED_EDGE('',*,*,#203359,.T.); #281222=ORIENTED_EDGE('',*,*,#203358,.T.); #281223=ORIENTED_EDGE('',*,*,#203360,.F.); #281224=ORIENTED_EDGE('',*,*,#203361,.F.); #281225=ORIENTED_EDGE('',*,*,#203362,.T.); #281226=ORIENTED_EDGE('',*,*,#203361,.T.); #281227=ORIENTED_EDGE('',*,*,#203363,.F.); #281228=ORIENTED_EDGE('',*,*,#203364,.F.); #281229=ORIENTED_EDGE('',*,*,#203365,.T.); #281230=ORIENTED_EDGE('',*,*,#203364,.T.); #281231=ORIENTED_EDGE('',*,*,#203366,.F.); #281232=ORIENTED_EDGE('',*,*,#203367,.F.); #281233=ORIENTED_EDGE('',*,*,#203368,.T.); #281234=ORIENTED_EDGE('',*,*,#203367,.T.); #281235=ORIENTED_EDGE('',*,*,#203369,.F.); #281236=ORIENTED_EDGE('',*,*,#203370,.F.); #281237=ORIENTED_EDGE('',*,*,#203371,.T.); #281238=ORIENTED_EDGE('',*,*,#203370,.T.); #281239=ORIENTED_EDGE('',*,*,#203372,.F.); #281240=ORIENTED_EDGE('',*,*,#203373,.F.); #281241=ORIENTED_EDGE('',*,*,#203374,.T.); #281242=ORIENTED_EDGE('',*,*,#203373,.T.); #281243=ORIENTED_EDGE('',*,*,#203375,.F.); #281244=ORIENTED_EDGE('',*,*,#203376,.F.); #281245=ORIENTED_EDGE('',*,*,#203377,.T.); #281246=ORIENTED_EDGE('',*,*,#203376,.T.); #281247=ORIENTED_EDGE('',*,*,#203378,.F.); #281248=ORIENTED_EDGE('',*,*,#203379,.F.); #281249=ORIENTED_EDGE('',*,*,#203380,.T.); #281250=ORIENTED_EDGE('',*,*,#203379,.T.); #281251=ORIENTED_EDGE('',*,*,#203381,.F.); #281252=ORIENTED_EDGE('',*,*,#203382,.F.); #281253=ORIENTED_EDGE('',*,*,#203383,.T.); #281254=ORIENTED_EDGE('',*,*,#203382,.T.); #281255=ORIENTED_EDGE('',*,*,#203384,.F.); #281256=ORIENTED_EDGE('',*,*,#203385,.F.); #281257=ORIENTED_EDGE('',*,*,#203386,.T.); #281258=ORIENTED_EDGE('',*,*,#203385,.T.); #281259=ORIENTED_EDGE('',*,*,#203387,.F.); #281260=ORIENTED_EDGE('',*,*,#203388,.F.); #281261=ORIENTED_EDGE('',*,*,#203389,.T.); #281262=ORIENTED_EDGE('',*,*,#203388,.T.); #281263=ORIENTED_EDGE('',*,*,#203390,.F.); #281264=ORIENTED_EDGE('',*,*,#203391,.F.); #281265=ORIENTED_EDGE('',*,*,#203392,.T.); #281266=ORIENTED_EDGE('',*,*,#203391,.T.); #281267=ORIENTED_EDGE('',*,*,#203393,.F.); #281268=ORIENTED_EDGE('',*,*,#203394,.F.); #281269=ORIENTED_EDGE('',*,*,#203395,.T.); #281270=ORIENTED_EDGE('',*,*,#203394,.T.); #281271=ORIENTED_EDGE('',*,*,#203396,.F.); #281272=ORIENTED_EDGE('',*,*,#203397,.F.); #281273=ORIENTED_EDGE('',*,*,#203398,.T.); #281274=ORIENTED_EDGE('',*,*,#203397,.T.); #281275=ORIENTED_EDGE('',*,*,#203399,.F.); #281276=ORIENTED_EDGE('',*,*,#203400,.F.); #281277=ORIENTED_EDGE('',*,*,#203401,.T.); #281278=ORIENTED_EDGE('',*,*,#203400,.T.); #281279=ORIENTED_EDGE('',*,*,#203402,.F.); #281280=ORIENTED_EDGE('',*,*,#203403,.F.); #281281=ORIENTED_EDGE('',*,*,#203404,.T.); #281282=ORIENTED_EDGE('',*,*,#203403,.T.); #281283=ORIENTED_EDGE('',*,*,#203405,.F.); #281284=ORIENTED_EDGE('',*,*,#203406,.F.); #281285=ORIENTED_EDGE('',*,*,#203407,.T.); #281286=ORIENTED_EDGE('',*,*,#203406,.T.); #281287=ORIENTED_EDGE('',*,*,#203408,.F.); #281288=ORIENTED_EDGE('',*,*,#203409,.F.); #281289=ORIENTED_EDGE('',*,*,#203410,.T.); #281290=ORIENTED_EDGE('',*,*,#203409,.T.); #281291=ORIENTED_EDGE('',*,*,#203411,.F.); #281292=ORIENTED_EDGE('',*,*,#203412,.F.); #281293=ORIENTED_EDGE('',*,*,#203413,.T.); #281294=ORIENTED_EDGE('',*,*,#203412,.T.); #281295=ORIENTED_EDGE('',*,*,#203414,.F.); #281296=ORIENTED_EDGE('',*,*,#203415,.F.); #281297=ORIENTED_EDGE('',*,*,#203416,.T.); #281298=ORIENTED_EDGE('',*,*,#203415,.T.); #281299=ORIENTED_EDGE('',*,*,#203417,.F.); #281300=ORIENTED_EDGE('',*,*,#203418,.F.); #281301=ORIENTED_EDGE('',*,*,#203419,.T.); #281302=ORIENTED_EDGE('',*,*,#203418,.T.); #281303=ORIENTED_EDGE('',*,*,#203420,.F.); #281304=ORIENTED_EDGE('',*,*,#203421,.F.); #281305=ORIENTED_EDGE('',*,*,#203422,.T.); #281306=ORIENTED_EDGE('',*,*,#203421,.T.); #281307=ORIENTED_EDGE('',*,*,#203423,.F.); #281308=ORIENTED_EDGE('',*,*,#203424,.F.); #281309=ORIENTED_EDGE('',*,*,#203425,.T.); #281310=ORIENTED_EDGE('',*,*,#203424,.T.); #281311=ORIENTED_EDGE('',*,*,#203426,.F.); #281312=ORIENTED_EDGE('',*,*,#203427,.F.); #281313=ORIENTED_EDGE('',*,*,#203428,.T.); #281314=ORIENTED_EDGE('',*,*,#203427,.T.); #281315=ORIENTED_EDGE('',*,*,#203429,.F.); #281316=ORIENTED_EDGE('',*,*,#203430,.F.); #281317=ORIENTED_EDGE('',*,*,#203431,.T.); #281318=ORIENTED_EDGE('',*,*,#203430,.T.); #281319=ORIENTED_EDGE('',*,*,#203432,.F.); #281320=ORIENTED_EDGE('',*,*,#203433,.F.); #281321=ORIENTED_EDGE('',*,*,#203434,.T.); #281322=ORIENTED_EDGE('',*,*,#203433,.T.); #281323=ORIENTED_EDGE('',*,*,#203435,.F.); #281324=ORIENTED_EDGE('',*,*,#203436,.F.); #281325=ORIENTED_EDGE('',*,*,#203437,.T.); #281326=ORIENTED_EDGE('',*,*,#203436,.T.); #281327=ORIENTED_EDGE('',*,*,#203438,.F.); #281328=ORIENTED_EDGE('',*,*,#203439,.F.); #281329=ORIENTED_EDGE('',*,*,#203440,.T.); #281330=ORIENTED_EDGE('',*,*,#203439,.T.); #281331=ORIENTED_EDGE('',*,*,#203441,.F.); #281332=ORIENTED_EDGE('',*,*,#203442,.F.); #281333=ORIENTED_EDGE('',*,*,#203443,.T.); #281334=ORIENTED_EDGE('',*,*,#203442,.T.); #281335=ORIENTED_EDGE('',*,*,#203444,.F.); #281336=ORIENTED_EDGE('',*,*,#203445,.F.); #281337=ORIENTED_EDGE('',*,*,#203446,.T.); #281338=ORIENTED_EDGE('',*,*,#203445,.T.); #281339=ORIENTED_EDGE('',*,*,#203447,.F.); #281340=ORIENTED_EDGE('',*,*,#203448,.F.); #281341=ORIENTED_EDGE('',*,*,#203449,.T.); #281342=ORIENTED_EDGE('',*,*,#203448,.T.); #281343=ORIENTED_EDGE('',*,*,#203450,.F.); #281344=ORIENTED_EDGE('',*,*,#203451,.F.); #281345=ORIENTED_EDGE('',*,*,#203452,.T.); #281346=ORIENTED_EDGE('',*,*,#203451,.T.); #281347=ORIENTED_EDGE('',*,*,#203453,.F.); #281348=ORIENTED_EDGE('',*,*,#203454,.F.); #281349=ORIENTED_EDGE('',*,*,#203455,.T.); #281350=ORIENTED_EDGE('',*,*,#203454,.T.); #281351=ORIENTED_EDGE('',*,*,#203456,.F.); #281352=ORIENTED_EDGE('',*,*,#203457,.F.); #281353=ORIENTED_EDGE('',*,*,#203458,.T.); #281354=ORIENTED_EDGE('',*,*,#203457,.T.); #281355=ORIENTED_EDGE('',*,*,#203459,.F.); #281356=ORIENTED_EDGE('',*,*,#203460,.F.); #281357=ORIENTED_EDGE('',*,*,#203461,.T.); #281358=ORIENTED_EDGE('',*,*,#203460,.T.); #281359=ORIENTED_EDGE('',*,*,#203462,.F.); #281360=ORIENTED_EDGE('',*,*,#203463,.F.); #281361=ORIENTED_EDGE('',*,*,#203464,.T.); #281362=ORIENTED_EDGE('',*,*,#203463,.T.); #281363=ORIENTED_EDGE('',*,*,#203465,.F.); #281364=ORIENTED_EDGE('',*,*,#203466,.F.); #281365=ORIENTED_EDGE('',*,*,#203467,.T.); #281366=ORIENTED_EDGE('',*,*,#203466,.T.); #281367=ORIENTED_EDGE('',*,*,#203468,.F.); #281368=ORIENTED_EDGE('',*,*,#203469,.F.); #281369=ORIENTED_EDGE('',*,*,#203470,.T.); #281370=ORIENTED_EDGE('',*,*,#203469,.T.); #281371=ORIENTED_EDGE('',*,*,#203471,.F.); #281372=ORIENTED_EDGE('',*,*,#203472,.F.); #281373=ORIENTED_EDGE('',*,*,#203473,.T.); #281374=ORIENTED_EDGE('',*,*,#203472,.T.); #281375=ORIENTED_EDGE('',*,*,#203474,.F.); #281376=ORIENTED_EDGE('',*,*,#203475,.F.); #281377=ORIENTED_EDGE('',*,*,#203476,.T.); #281378=ORIENTED_EDGE('',*,*,#203475,.T.); #281379=ORIENTED_EDGE('',*,*,#203477,.F.); #281380=ORIENTED_EDGE('',*,*,#203478,.F.); #281381=ORIENTED_EDGE('',*,*,#203479,.T.); #281382=ORIENTED_EDGE('',*,*,#203478,.T.); #281383=ORIENTED_EDGE('',*,*,#203480,.F.); #281384=ORIENTED_EDGE('',*,*,#203481,.F.); #281385=ORIENTED_EDGE('',*,*,#203482,.T.); #281386=ORIENTED_EDGE('',*,*,#203481,.T.); #281387=ORIENTED_EDGE('',*,*,#203483,.F.); #281388=ORIENTED_EDGE('',*,*,#203484,.F.); #281389=ORIENTED_EDGE('',*,*,#203485,.T.); #281390=ORIENTED_EDGE('',*,*,#203484,.T.); #281391=ORIENTED_EDGE('',*,*,#203486,.F.); #281392=ORIENTED_EDGE('',*,*,#203487,.F.); #281393=ORIENTED_EDGE('',*,*,#203488,.T.); #281394=ORIENTED_EDGE('',*,*,#203487,.T.); #281395=ORIENTED_EDGE('',*,*,#203489,.F.); #281396=ORIENTED_EDGE('',*,*,#203490,.F.); #281397=ORIENTED_EDGE('',*,*,#203491,.T.); #281398=ORIENTED_EDGE('',*,*,#203490,.T.); #281399=ORIENTED_EDGE('',*,*,#203492,.F.); #281400=ORIENTED_EDGE('',*,*,#203493,.F.); #281401=ORIENTED_EDGE('',*,*,#203494,.T.); #281402=ORIENTED_EDGE('',*,*,#203493,.T.); #281403=ORIENTED_EDGE('',*,*,#203495,.F.); #281404=ORIENTED_EDGE('',*,*,#203496,.F.); #281405=ORIENTED_EDGE('',*,*,#203497,.T.); #281406=ORIENTED_EDGE('',*,*,#203496,.T.); #281407=ORIENTED_EDGE('',*,*,#203498,.F.); #281408=ORIENTED_EDGE('',*,*,#203499,.F.); #281409=ORIENTED_EDGE('',*,*,#203500,.T.); #281410=ORIENTED_EDGE('',*,*,#203499,.T.); #281411=ORIENTED_EDGE('',*,*,#203501,.F.); #281412=ORIENTED_EDGE('',*,*,#203502,.F.); #281413=ORIENTED_EDGE('',*,*,#203503,.T.); #281414=ORIENTED_EDGE('',*,*,#203502,.T.); #281415=ORIENTED_EDGE('',*,*,#203504,.F.); #281416=ORIENTED_EDGE('',*,*,#203505,.F.); #281417=ORIENTED_EDGE('',*,*,#203506,.T.); #281418=ORIENTED_EDGE('',*,*,#203505,.T.); #281419=ORIENTED_EDGE('',*,*,#203507,.F.); #281420=ORIENTED_EDGE('',*,*,#203341,.F.); #281421=ORIENTED_EDGE('',*,*,#203507,.T.); #281422=ORIENTED_EDGE('',*,*,#203504,.T.); #281423=ORIENTED_EDGE('',*,*,#203501,.T.); #281424=ORIENTED_EDGE('',*,*,#203498,.T.); #281425=ORIENTED_EDGE('',*,*,#203495,.T.); #281426=ORIENTED_EDGE('',*,*,#203492,.T.); #281427=ORIENTED_EDGE('',*,*,#203489,.T.); #281428=ORIENTED_EDGE('',*,*,#203486,.T.); #281429=ORIENTED_EDGE('',*,*,#203483,.T.); #281430=ORIENTED_EDGE('',*,*,#203480,.T.); #281431=ORIENTED_EDGE('',*,*,#203477,.T.); #281432=ORIENTED_EDGE('',*,*,#203474,.T.); #281433=ORIENTED_EDGE('',*,*,#203471,.T.); #281434=ORIENTED_EDGE('',*,*,#203468,.T.); #281435=ORIENTED_EDGE('',*,*,#203465,.T.); #281436=ORIENTED_EDGE('',*,*,#203462,.T.); #281437=ORIENTED_EDGE('',*,*,#203459,.T.); #281438=ORIENTED_EDGE('',*,*,#203456,.T.); #281439=ORIENTED_EDGE('',*,*,#203453,.T.); #281440=ORIENTED_EDGE('',*,*,#203450,.T.); #281441=ORIENTED_EDGE('',*,*,#203447,.T.); #281442=ORIENTED_EDGE('',*,*,#203444,.T.); #281443=ORIENTED_EDGE('',*,*,#203441,.T.); #281444=ORIENTED_EDGE('',*,*,#203438,.T.); #281445=ORIENTED_EDGE('',*,*,#203435,.T.); #281446=ORIENTED_EDGE('',*,*,#203432,.T.); #281447=ORIENTED_EDGE('',*,*,#203429,.T.); #281448=ORIENTED_EDGE('',*,*,#203426,.T.); #281449=ORIENTED_EDGE('',*,*,#203423,.T.); #281450=ORIENTED_EDGE('',*,*,#203420,.T.); #281451=ORIENTED_EDGE('',*,*,#203417,.T.); #281452=ORIENTED_EDGE('',*,*,#203414,.T.); #281453=ORIENTED_EDGE('',*,*,#203411,.T.); #281454=ORIENTED_EDGE('',*,*,#203408,.T.); #281455=ORIENTED_EDGE('',*,*,#203405,.T.); #281456=ORIENTED_EDGE('',*,*,#203402,.T.); #281457=ORIENTED_EDGE('',*,*,#203399,.T.); #281458=ORIENTED_EDGE('',*,*,#203396,.T.); #281459=ORIENTED_EDGE('',*,*,#203393,.T.); #281460=ORIENTED_EDGE('',*,*,#203390,.T.); #281461=ORIENTED_EDGE('',*,*,#203387,.T.); #281462=ORIENTED_EDGE('',*,*,#203384,.T.); #281463=ORIENTED_EDGE('',*,*,#203381,.T.); #281464=ORIENTED_EDGE('',*,*,#203378,.T.); #281465=ORIENTED_EDGE('',*,*,#203375,.T.); #281466=ORIENTED_EDGE('',*,*,#203372,.T.); #281467=ORIENTED_EDGE('',*,*,#203369,.T.); #281468=ORIENTED_EDGE('',*,*,#203366,.T.); #281469=ORIENTED_EDGE('',*,*,#203363,.T.); #281470=ORIENTED_EDGE('',*,*,#203360,.T.); #281471=ORIENTED_EDGE('',*,*,#203357,.T.); #281472=ORIENTED_EDGE('',*,*,#203354,.T.); #281473=ORIENTED_EDGE('',*,*,#203351,.T.); #281474=ORIENTED_EDGE('',*,*,#203348,.T.); #281475=ORIENTED_EDGE('',*,*,#203345,.T.); #281476=ORIENTED_EDGE('',*,*,#203342,.T.); #281477=ORIENTED_EDGE('',*,*,#203335,.T.); #281478=ORIENTED_EDGE('',*,*,#203338,.T.); #281479=ORIENTED_EDGE('',*,*,#203328,.T.); #281480=ORIENTED_EDGE('',*,*,#203332,.T.); #281481=ORIENTED_EDGE('',*,*,#203506,.F.); #281482=ORIENTED_EDGE('',*,*,#203340,.F.); #281483=ORIENTED_EDGE('',*,*,#203344,.F.); #281484=ORIENTED_EDGE('',*,*,#203347,.F.); #281485=ORIENTED_EDGE('',*,*,#203350,.F.); #281486=ORIENTED_EDGE('',*,*,#203353,.F.); #281487=ORIENTED_EDGE('',*,*,#203356,.F.); #281488=ORIENTED_EDGE('',*,*,#203359,.F.); #281489=ORIENTED_EDGE('',*,*,#203362,.F.); #281490=ORIENTED_EDGE('',*,*,#203365,.F.); #281491=ORIENTED_EDGE('',*,*,#203368,.F.); #281492=ORIENTED_EDGE('',*,*,#203371,.F.); #281493=ORIENTED_EDGE('',*,*,#203374,.F.); #281494=ORIENTED_EDGE('',*,*,#203377,.F.); #281495=ORIENTED_EDGE('',*,*,#203380,.F.); #281496=ORIENTED_EDGE('',*,*,#203383,.F.); #281497=ORIENTED_EDGE('',*,*,#203386,.F.); #281498=ORIENTED_EDGE('',*,*,#203389,.F.); #281499=ORIENTED_EDGE('',*,*,#203392,.F.); #281500=ORIENTED_EDGE('',*,*,#203395,.F.); #281501=ORIENTED_EDGE('',*,*,#203398,.F.); #281502=ORIENTED_EDGE('',*,*,#203401,.F.); #281503=ORIENTED_EDGE('',*,*,#203404,.F.); #281504=ORIENTED_EDGE('',*,*,#203407,.F.); #281505=ORIENTED_EDGE('',*,*,#203410,.F.); #281506=ORIENTED_EDGE('',*,*,#203413,.F.); #281507=ORIENTED_EDGE('',*,*,#203416,.F.); #281508=ORIENTED_EDGE('',*,*,#203419,.F.); #281509=ORIENTED_EDGE('',*,*,#203422,.F.); #281510=ORIENTED_EDGE('',*,*,#203425,.F.); #281511=ORIENTED_EDGE('',*,*,#203428,.F.); #281512=ORIENTED_EDGE('',*,*,#203431,.F.); #281513=ORIENTED_EDGE('',*,*,#203434,.F.); #281514=ORIENTED_EDGE('',*,*,#203437,.F.); #281515=ORIENTED_EDGE('',*,*,#203440,.F.); #281516=ORIENTED_EDGE('',*,*,#203443,.F.); #281517=ORIENTED_EDGE('',*,*,#203446,.F.); #281518=ORIENTED_EDGE('',*,*,#203449,.F.); #281519=ORIENTED_EDGE('',*,*,#203452,.F.); #281520=ORIENTED_EDGE('',*,*,#203455,.F.); #281521=ORIENTED_EDGE('',*,*,#203458,.F.); #281522=ORIENTED_EDGE('',*,*,#203461,.F.); #281523=ORIENTED_EDGE('',*,*,#203464,.F.); #281524=ORIENTED_EDGE('',*,*,#203467,.F.); #281525=ORIENTED_EDGE('',*,*,#203470,.F.); #281526=ORIENTED_EDGE('',*,*,#203473,.F.); #281527=ORIENTED_EDGE('',*,*,#203476,.F.); #281528=ORIENTED_EDGE('',*,*,#203479,.F.); #281529=ORIENTED_EDGE('',*,*,#203482,.F.); #281530=ORIENTED_EDGE('',*,*,#203485,.F.); #281531=ORIENTED_EDGE('',*,*,#203488,.F.); #281532=ORIENTED_EDGE('',*,*,#203491,.F.); #281533=ORIENTED_EDGE('',*,*,#203494,.F.); #281534=ORIENTED_EDGE('',*,*,#203497,.F.); #281535=ORIENTED_EDGE('',*,*,#203500,.F.); #281536=ORIENTED_EDGE('',*,*,#203503,.F.); #281537=ORIENTED_EDGE('',*,*,#203336,.T.); #281538=ORIENTED_EDGE('',*,*,#203333,.T.); #281539=ORIENTED_EDGE('',*,*,#203330,.T.); #281540=ORIENTED_EDGE('',*,*,#203339,.T.); #281541=ORIENTED_EDGE('',*,*,#203508,.F.); #281542=ORIENTED_EDGE('',*,*,#203509,.T.); #281543=ORIENTED_EDGE('',*,*,#203510,.F.); #281544=ORIENTED_EDGE('',*,*,#203509,.F.); #281545=ORIENTED_EDGE('',*,*,#203511,.T.); #281546=ORIENTED_EDGE('',*,*,#203512,.T.); #281547=ORIENTED_EDGE('',*,*,#203513,.F.); #281548=ORIENTED_EDGE('',*,*,#203514,.F.); #281549=ORIENTED_EDGE('',*,*,#203515,.T.); #281550=ORIENTED_EDGE('',*,*,#203514,.T.); #281551=ORIENTED_EDGE('',*,*,#203516,.F.); #281552=ORIENTED_EDGE('',*,*,#203517,.F.); #281553=ORIENTED_EDGE('',*,*,#203518,.T.); #281554=ORIENTED_EDGE('',*,*,#203517,.T.); #281555=ORIENTED_EDGE('',*,*,#203519,.F.); #281556=ORIENTED_EDGE('',*,*,#203520,.F.); #281557=ORIENTED_EDGE('',*,*,#203521,.T.); #281558=ORIENTED_EDGE('',*,*,#203520,.T.); #281559=ORIENTED_EDGE('',*,*,#203522,.F.); #281560=ORIENTED_EDGE('',*,*,#203512,.F.); #281561=ORIENTED_EDGE('',*,*,#203522,.T.); #281562=ORIENTED_EDGE('',*,*,#203519,.T.); #281563=ORIENTED_EDGE('',*,*,#203516,.T.); #281564=ORIENTED_EDGE('',*,*,#203513,.T.); #281565=ORIENTED_EDGE('',*,*,#203510,.T.); #281566=ORIENTED_EDGE('',*,*,#203521,.F.); #281567=ORIENTED_EDGE('',*,*,#203511,.F.); #281568=ORIENTED_EDGE('',*,*,#203515,.F.); #281569=ORIENTED_EDGE('',*,*,#203518,.F.); #281570=ORIENTED_EDGE('',*,*,#203508,.T.); #281571=ORIENTED_EDGE('',*,*,#203523,.F.); #281572=ORIENTED_EDGE('',*,*,#203524,.F.); #281573=ORIENTED_EDGE('',*,*,#203525,.F.); #281574=ORIENTED_EDGE('',*,*,#203526,.T.); #281575=ORIENTED_EDGE('',*,*,#203527,.F.); #281576=ORIENTED_EDGE('',*,*,#203526,.F.); #281577=ORIENTED_EDGE('',*,*,#203528,.F.); #281578=ORIENTED_EDGE('',*,*,#203529,.T.); #281579=ORIENTED_EDGE('',*,*,#203530,.F.); #281580=ORIENTED_EDGE('',*,*,#203529,.F.); #281581=ORIENTED_EDGE('',*,*,#203531,.F.); #281582=ORIENTED_EDGE('',*,*,#203532,.T.); #281583=ORIENTED_EDGE('',*,*,#203533,.F.); #281584=ORIENTED_EDGE('',*,*,#203532,.F.); #281585=ORIENTED_EDGE('',*,*,#203534,.F.); #281586=ORIENTED_EDGE('',*,*,#203524,.T.); #281587=ORIENTED_EDGE('',*,*,#203535,.T.); #281588=ORIENTED_EDGE('',*,*,#203536,.T.); #281589=ORIENTED_EDGE('',*,*,#203537,.F.); #281590=ORIENTED_EDGE('',*,*,#203538,.F.); #281591=ORIENTED_EDGE('',*,*,#203539,.T.); #281592=ORIENTED_EDGE('',*,*,#203538,.T.); #281593=ORIENTED_EDGE('',*,*,#203540,.F.); #281594=ORIENTED_EDGE('',*,*,#203541,.F.); #281595=ORIENTED_EDGE('',*,*,#203542,.T.); #281596=ORIENTED_EDGE('',*,*,#203541,.T.); #281597=ORIENTED_EDGE('',*,*,#203543,.F.); #281598=ORIENTED_EDGE('',*,*,#203544,.F.); #281599=ORIENTED_EDGE('',*,*,#203545,.T.); #281600=ORIENTED_EDGE('',*,*,#203544,.T.); #281601=ORIENTED_EDGE('',*,*,#203546,.F.); #281602=ORIENTED_EDGE('',*,*,#203547,.F.); #281603=ORIENTED_EDGE('',*,*,#203548,.T.); #281604=ORIENTED_EDGE('',*,*,#203547,.T.); #281605=ORIENTED_EDGE('',*,*,#203549,.F.); #281606=ORIENTED_EDGE('',*,*,#203550,.F.); #281607=ORIENTED_EDGE('',*,*,#203551,.T.); #281608=ORIENTED_EDGE('',*,*,#203550,.T.); #281609=ORIENTED_EDGE('',*,*,#203552,.F.); #281610=ORIENTED_EDGE('',*,*,#203553,.F.); #281611=ORIENTED_EDGE('',*,*,#203554,.T.); #281612=ORIENTED_EDGE('',*,*,#203553,.T.); #281613=ORIENTED_EDGE('',*,*,#203555,.F.); #281614=ORIENTED_EDGE('',*,*,#203556,.F.); #281615=ORIENTED_EDGE('',*,*,#203557,.T.); #281616=ORIENTED_EDGE('',*,*,#203556,.T.); #281617=ORIENTED_EDGE('',*,*,#203558,.F.); #281618=ORIENTED_EDGE('',*,*,#203559,.F.); #281619=ORIENTED_EDGE('',*,*,#203560,.T.); #281620=ORIENTED_EDGE('',*,*,#203559,.T.); #281621=ORIENTED_EDGE('',*,*,#203561,.F.); #281622=ORIENTED_EDGE('',*,*,#203562,.F.); #281623=ORIENTED_EDGE('',*,*,#203563,.T.); #281624=ORIENTED_EDGE('',*,*,#203562,.T.); #281625=ORIENTED_EDGE('',*,*,#203564,.F.); #281626=ORIENTED_EDGE('',*,*,#203565,.F.); #281627=ORIENTED_EDGE('',*,*,#203566,.T.); #281628=ORIENTED_EDGE('',*,*,#203565,.T.); #281629=ORIENTED_EDGE('',*,*,#203567,.F.); #281630=ORIENTED_EDGE('',*,*,#203568,.F.); #281631=ORIENTED_EDGE('',*,*,#203569,.T.); #281632=ORIENTED_EDGE('',*,*,#203568,.T.); #281633=ORIENTED_EDGE('',*,*,#203570,.F.); #281634=ORIENTED_EDGE('',*,*,#203571,.F.); #281635=ORIENTED_EDGE('',*,*,#203572,.T.); #281636=ORIENTED_EDGE('',*,*,#203571,.T.); #281637=ORIENTED_EDGE('',*,*,#203573,.F.); #281638=ORIENTED_EDGE('',*,*,#203574,.F.); #281639=ORIENTED_EDGE('',*,*,#203575,.T.); #281640=ORIENTED_EDGE('',*,*,#203574,.T.); #281641=ORIENTED_EDGE('',*,*,#203576,.F.); #281642=ORIENTED_EDGE('',*,*,#203577,.F.); #281643=ORIENTED_EDGE('',*,*,#203578,.T.); #281644=ORIENTED_EDGE('',*,*,#203577,.T.); #281645=ORIENTED_EDGE('',*,*,#203579,.F.); #281646=ORIENTED_EDGE('',*,*,#203580,.F.); #281647=ORIENTED_EDGE('',*,*,#203581,.T.); #281648=ORIENTED_EDGE('',*,*,#203580,.T.); #281649=ORIENTED_EDGE('',*,*,#203582,.F.); #281650=ORIENTED_EDGE('',*,*,#203583,.F.); #281651=ORIENTED_EDGE('',*,*,#203584,.T.); #281652=ORIENTED_EDGE('',*,*,#203583,.T.); #281653=ORIENTED_EDGE('',*,*,#203585,.F.); #281654=ORIENTED_EDGE('',*,*,#203586,.F.); #281655=ORIENTED_EDGE('',*,*,#203587,.T.); #281656=ORIENTED_EDGE('',*,*,#203586,.T.); #281657=ORIENTED_EDGE('',*,*,#203588,.F.); #281658=ORIENTED_EDGE('',*,*,#203589,.F.); #281659=ORIENTED_EDGE('',*,*,#203590,.T.); #281660=ORIENTED_EDGE('',*,*,#203589,.T.); #281661=ORIENTED_EDGE('',*,*,#203591,.F.); #281662=ORIENTED_EDGE('',*,*,#203592,.F.); #281663=ORIENTED_EDGE('',*,*,#203593,.T.); #281664=ORIENTED_EDGE('',*,*,#203592,.T.); #281665=ORIENTED_EDGE('',*,*,#203594,.F.); #281666=ORIENTED_EDGE('',*,*,#203595,.F.); #281667=ORIENTED_EDGE('',*,*,#203596,.T.); #281668=ORIENTED_EDGE('',*,*,#203595,.T.); #281669=ORIENTED_EDGE('',*,*,#203597,.F.); #281670=ORIENTED_EDGE('',*,*,#203598,.F.); #281671=ORIENTED_EDGE('',*,*,#203599,.T.); #281672=ORIENTED_EDGE('',*,*,#203598,.T.); #281673=ORIENTED_EDGE('',*,*,#203600,.F.); #281674=ORIENTED_EDGE('',*,*,#203601,.F.); #281675=ORIENTED_EDGE('',*,*,#203602,.T.); #281676=ORIENTED_EDGE('',*,*,#203601,.T.); #281677=ORIENTED_EDGE('',*,*,#203603,.F.); #281678=ORIENTED_EDGE('',*,*,#203604,.F.); #281679=ORIENTED_EDGE('',*,*,#203605,.T.); #281680=ORIENTED_EDGE('',*,*,#203604,.T.); #281681=ORIENTED_EDGE('',*,*,#203606,.F.); #281682=ORIENTED_EDGE('',*,*,#203607,.F.); #281683=ORIENTED_EDGE('',*,*,#203608,.T.); #281684=ORIENTED_EDGE('',*,*,#203607,.T.); #281685=ORIENTED_EDGE('',*,*,#203609,.F.); #281686=ORIENTED_EDGE('',*,*,#203610,.F.); #281687=ORIENTED_EDGE('',*,*,#203611,.T.); #281688=ORIENTED_EDGE('',*,*,#203610,.T.); #281689=ORIENTED_EDGE('',*,*,#203612,.F.); #281690=ORIENTED_EDGE('',*,*,#203613,.F.); #281691=ORIENTED_EDGE('',*,*,#203614,.T.); #281692=ORIENTED_EDGE('',*,*,#203613,.T.); #281693=ORIENTED_EDGE('',*,*,#203615,.F.); #281694=ORIENTED_EDGE('',*,*,#203616,.F.); #281695=ORIENTED_EDGE('',*,*,#203617,.T.); #281696=ORIENTED_EDGE('',*,*,#203616,.T.); #281697=ORIENTED_EDGE('',*,*,#203618,.F.); #281698=ORIENTED_EDGE('',*,*,#203619,.F.); #281699=ORIENTED_EDGE('',*,*,#203620,.T.); #281700=ORIENTED_EDGE('',*,*,#203619,.T.); #281701=ORIENTED_EDGE('',*,*,#203621,.F.); #281702=ORIENTED_EDGE('',*,*,#203622,.F.); #281703=ORIENTED_EDGE('',*,*,#203623,.T.); #281704=ORIENTED_EDGE('',*,*,#203622,.T.); #281705=ORIENTED_EDGE('',*,*,#203624,.F.); #281706=ORIENTED_EDGE('',*,*,#203625,.F.); #281707=ORIENTED_EDGE('',*,*,#203626,.T.); #281708=ORIENTED_EDGE('',*,*,#203625,.T.); #281709=ORIENTED_EDGE('',*,*,#203627,.F.); #281710=ORIENTED_EDGE('',*,*,#203628,.F.); #281711=ORIENTED_EDGE('',*,*,#203629,.T.); #281712=ORIENTED_EDGE('',*,*,#203628,.T.); #281713=ORIENTED_EDGE('',*,*,#203630,.F.); #281714=ORIENTED_EDGE('',*,*,#203631,.F.); #281715=ORIENTED_EDGE('',*,*,#203632,.T.); #281716=ORIENTED_EDGE('',*,*,#203631,.T.); #281717=ORIENTED_EDGE('',*,*,#203633,.F.); #281718=ORIENTED_EDGE('',*,*,#203634,.F.); #281719=ORIENTED_EDGE('',*,*,#203635,.T.); #281720=ORIENTED_EDGE('',*,*,#203634,.T.); #281721=ORIENTED_EDGE('',*,*,#203636,.F.); #281722=ORIENTED_EDGE('',*,*,#203637,.F.); #281723=ORIENTED_EDGE('',*,*,#203638,.T.); #281724=ORIENTED_EDGE('',*,*,#203637,.T.); #281725=ORIENTED_EDGE('',*,*,#203639,.F.); #281726=ORIENTED_EDGE('',*,*,#203640,.F.); #281727=ORIENTED_EDGE('',*,*,#203641,.T.); #281728=ORIENTED_EDGE('',*,*,#203640,.T.); #281729=ORIENTED_EDGE('',*,*,#203642,.F.); #281730=ORIENTED_EDGE('',*,*,#203643,.F.); #281731=ORIENTED_EDGE('',*,*,#203644,.T.); #281732=ORIENTED_EDGE('',*,*,#203643,.T.); #281733=ORIENTED_EDGE('',*,*,#203645,.F.); #281734=ORIENTED_EDGE('',*,*,#203646,.F.); #281735=ORIENTED_EDGE('',*,*,#203647,.T.); #281736=ORIENTED_EDGE('',*,*,#203646,.T.); #281737=ORIENTED_EDGE('',*,*,#203648,.F.); #281738=ORIENTED_EDGE('',*,*,#203649,.F.); #281739=ORIENTED_EDGE('',*,*,#203650,.T.); #281740=ORIENTED_EDGE('',*,*,#203649,.T.); #281741=ORIENTED_EDGE('',*,*,#203651,.F.); #281742=ORIENTED_EDGE('',*,*,#203652,.F.); #281743=ORIENTED_EDGE('',*,*,#203653,.T.); #281744=ORIENTED_EDGE('',*,*,#203652,.T.); #281745=ORIENTED_EDGE('',*,*,#203654,.F.); #281746=ORIENTED_EDGE('',*,*,#203655,.F.); #281747=ORIENTED_EDGE('',*,*,#203656,.T.); #281748=ORIENTED_EDGE('',*,*,#203655,.T.); #281749=ORIENTED_EDGE('',*,*,#203657,.F.); #281750=ORIENTED_EDGE('',*,*,#203658,.F.); #281751=ORIENTED_EDGE('',*,*,#203659,.T.); #281752=ORIENTED_EDGE('',*,*,#203658,.T.); #281753=ORIENTED_EDGE('',*,*,#203660,.F.); #281754=ORIENTED_EDGE('',*,*,#203661,.F.); #281755=ORIENTED_EDGE('',*,*,#203662,.T.); #281756=ORIENTED_EDGE('',*,*,#203661,.T.); #281757=ORIENTED_EDGE('',*,*,#203663,.F.); #281758=ORIENTED_EDGE('',*,*,#203664,.F.); #281759=ORIENTED_EDGE('',*,*,#203665,.T.); #281760=ORIENTED_EDGE('',*,*,#203664,.T.); #281761=ORIENTED_EDGE('',*,*,#203666,.F.); #281762=ORIENTED_EDGE('',*,*,#203667,.F.); #281763=ORIENTED_EDGE('',*,*,#203668,.T.); #281764=ORIENTED_EDGE('',*,*,#203667,.T.); #281765=ORIENTED_EDGE('',*,*,#203669,.F.); #281766=ORIENTED_EDGE('',*,*,#203670,.F.); #281767=ORIENTED_EDGE('',*,*,#203671,.T.); #281768=ORIENTED_EDGE('',*,*,#203670,.T.); #281769=ORIENTED_EDGE('',*,*,#203672,.F.); #281770=ORIENTED_EDGE('',*,*,#203673,.F.); #281771=ORIENTED_EDGE('',*,*,#203674,.T.); #281772=ORIENTED_EDGE('',*,*,#203673,.T.); #281773=ORIENTED_EDGE('',*,*,#203675,.F.); #281774=ORIENTED_EDGE('',*,*,#203676,.F.); #281775=ORIENTED_EDGE('',*,*,#203677,.T.); #281776=ORIENTED_EDGE('',*,*,#203676,.T.); #281777=ORIENTED_EDGE('',*,*,#203678,.F.); #281778=ORIENTED_EDGE('',*,*,#203679,.F.); #281779=ORIENTED_EDGE('',*,*,#203680,.T.); #281780=ORIENTED_EDGE('',*,*,#203679,.T.); #281781=ORIENTED_EDGE('',*,*,#203681,.F.); #281782=ORIENTED_EDGE('',*,*,#203682,.F.); #281783=ORIENTED_EDGE('',*,*,#203683,.T.); #281784=ORIENTED_EDGE('',*,*,#203682,.T.); #281785=ORIENTED_EDGE('',*,*,#203684,.F.); #281786=ORIENTED_EDGE('',*,*,#203685,.F.); #281787=ORIENTED_EDGE('',*,*,#203686,.T.); #281788=ORIENTED_EDGE('',*,*,#203685,.T.); #281789=ORIENTED_EDGE('',*,*,#203687,.F.); #281790=ORIENTED_EDGE('',*,*,#203688,.F.); #281791=ORIENTED_EDGE('',*,*,#203689,.T.); #281792=ORIENTED_EDGE('',*,*,#203688,.T.); #281793=ORIENTED_EDGE('',*,*,#203690,.F.); #281794=ORIENTED_EDGE('',*,*,#203691,.F.); #281795=ORIENTED_EDGE('',*,*,#203692,.T.); #281796=ORIENTED_EDGE('',*,*,#203691,.T.); #281797=ORIENTED_EDGE('',*,*,#203693,.F.); #281798=ORIENTED_EDGE('',*,*,#203694,.F.); #281799=ORIENTED_EDGE('',*,*,#203695,.T.); #281800=ORIENTED_EDGE('',*,*,#203694,.T.); #281801=ORIENTED_EDGE('',*,*,#203696,.F.); #281802=ORIENTED_EDGE('',*,*,#203697,.F.); #281803=ORIENTED_EDGE('',*,*,#203698,.T.); #281804=ORIENTED_EDGE('',*,*,#203697,.T.); #281805=ORIENTED_EDGE('',*,*,#203699,.F.); #281806=ORIENTED_EDGE('',*,*,#203700,.F.); #281807=ORIENTED_EDGE('',*,*,#203701,.T.); #281808=ORIENTED_EDGE('',*,*,#203700,.T.); #281809=ORIENTED_EDGE('',*,*,#203702,.F.); #281810=ORIENTED_EDGE('',*,*,#203536,.F.); #281811=ORIENTED_EDGE('',*,*,#203702,.T.); #281812=ORIENTED_EDGE('',*,*,#203699,.T.); #281813=ORIENTED_EDGE('',*,*,#203696,.T.); #281814=ORIENTED_EDGE('',*,*,#203693,.T.); #281815=ORIENTED_EDGE('',*,*,#203690,.T.); #281816=ORIENTED_EDGE('',*,*,#203687,.T.); #281817=ORIENTED_EDGE('',*,*,#203684,.T.); #281818=ORIENTED_EDGE('',*,*,#203681,.T.); #281819=ORIENTED_EDGE('',*,*,#203678,.T.); #281820=ORIENTED_EDGE('',*,*,#203675,.T.); #281821=ORIENTED_EDGE('',*,*,#203672,.T.); #281822=ORIENTED_EDGE('',*,*,#203669,.T.); #281823=ORIENTED_EDGE('',*,*,#203666,.T.); #281824=ORIENTED_EDGE('',*,*,#203663,.T.); #281825=ORIENTED_EDGE('',*,*,#203660,.T.); #281826=ORIENTED_EDGE('',*,*,#203657,.T.); #281827=ORIENTED_EDGE('',*,*,#203654,.T.); #281828=ORIENTED_EDGE('',*,*,#203651,.T.); #281829=ORIENTED_EDGE('',*,*,#203648,.T.); #281830=ORIENTED_EDGE('',*,*,#203645,.T.); #281831=ORIENTED_EDGE('',*,*,#203642,.T.); #281832=ORIENTED_EDGE('',*,*,#203639,.T.); #281833=ORIENTED_EDGE('',*,*,#203636,.T.); #281834=ORIENTED_EDGE('',*,*,#203633,.T.); #281835=ORIENTED_EDGE('',*,*,#203630,.T.); #281836=ORIENTED_EDGE('',*,*,#203627,.T.); #281837=ORIENTED_EDGE('',*,*,#203624,.T.); #281838=ORIENTED_EDGE('',*,*,#203621,.T.); #281839=ORIENTED_EDGE('',*,*,#203618,.T.); #281840=ORIENTED_EDGE('',*,*,#203615,.T.); #281841=ORIENTED_EDGE('',*,*,#203612,.T.); #281842=ORIENTED_EDGE('',*,*,#203609,.T.); #281843=ORIENTED_EDGE('',*,*,#203606,.T.); #281844=ORIENTED_EDGE('',*,*,#203603,.T.); #281845=ORIENTED_EDGE('',*,*,#203600,.T.); #281846=ORIENTED_EDGE('',*,*,#203597,.T.); #281847=ORIENTED_EDGE('',*,*,#203594,.T.); #281848=ORIENTED_EDGE('',*,*,#203591,.T.); #281849=ORIENTED_EDGE('',*,*,#203588,.T.); #281850=ORIENTED_EDGE('',*,*,#203585,.T.); #281851=ORIENTED_EDGE('',*,*,#203582,.T.); #281852=ORIENTED_EDGE('',*,*,#203579,.T.); #281853=ORIENTED_EDGE('',*,*,#203576,.T.); #281854=ORIENTED_EDGE('',*,*,#203573,.T.); #281855=ORIENTED_EDGE('',*,*,#203570,.T.); #281856=ORIENTED_EDGE('',*,*,#203567,.T.); #281857=ORIENTED_EDGE('',*,*,#203564,.T.); #281858=ORIENTED_EDGE('',*,*,#203561,.T.); #281859=ORIENTED_EDGE('',*,*,#203558,.T.); #281860=ORIENTED_EDGE('',*,*,#203555,.T.); #281861=ORIENTED_EDGE('',*,*,#203552,.T.); #281862=ORIENTED_EDGE('',*,*,#203549,.T.); #281863=ORIENTED_EDGE('',*,*,#203546,.T.); #281864=ORIENTED_EDGE('',*,*,#203543,.T.); #281865=ORIENTED_EDGE('',*,*,#203540,.T.); #281866=ORIENTED_EDGE('',*,*,#203537,.T.); #281867=ORIENTED_EDGE('',*,*,#203530,.T.); #281868=ORIENTED_EDGE('',*,*,#203533,.T.); #281869=ORIENTED_EDGE('',*,*,#203523,.T.); #281870=ORIENTED_EDGE('',*,*,#203527,.T.); #281871=ORIENTED_EDGE('',*,*,#203701,.F.); #281872=ORIENTED_EDGE('',*,*,#203535,.F.); #281873=ORIENTED_EDGE('',*,*,#203539,.F.); #281874=ORIENTED_EDGE('',*,*,#203542,.F.); #281875=ORIENTED_EDGE('',*,*,#203545,.F.); #281876=ORIENTED_EDGE('',*,*,#203548,.F.); #281877=ORIENTED_EDGE('',*,*,#203551,.F.); #281878=ORIENTED_EDGE('',*,*,#203554,.F.); #281879=ORIENTED_EDGE('',*,*,#203557,.F.); #281880=ORIENTED_EDGE('',*,*,#203560,.F.); #281881=ORIENTED_EDGE('',*,*,#203563,.F.); #281882=ORIENTED_EDGE('',*,*,#203566,.F.); #281883=ORIENTED_EDGE('',*,*,#203569,.F.); #281884=ORIENTED_EDGE('',*,*,#203572,.F.); #281885=ORIENTED_EDGE('',*,*,#203575,.F.); #281886=ORIENTED_EDGE('',*,*,#203578,.F.); #281887=ORIENTED_EDGE('',*,*,#203581,.F.); #281888=ORIENTED_EDGE('',*,*,#203584,.F.); #281889=ORIENTED_EDGE('',*,*,#203587,.F.); #281890=ORIENTED_EDGE('',*,*,#203590,.F.); #281891=ORIENTED_EDGE('',*,*,#203593,.F.); #281892=ORIENTED_EDGE('',*,*,#203596,.F.); #281893=ORIENTED_EDGE('',*,*,#203599,.F.); #281894=ORIENTED_EDGE('',*,*,#203602,.F.); #281895=ORIENTED_EDGE('',*,*,#203605,.F.); #281896=ORIENTED_EDGE('',*,*,#203608,.F.); #281897=ORIENTED_EDGE('',*,*,#203611,.F.); #281898=ORIENTED_EDGE('',*,*,#203614,.F.); #281899=ORIENTED_EDGE('',*,*,#203617,.F.); #281900=ORIENTED_EDGE('',*,*,#203620,.F.); #281901=ORIENTED_EDGE('',*,*,#203623,.F.); #281902=ORIENTED_EDGE('',*,*,#203626,.F.); #281903=ORIENTED_EDGE('',*,*,#203629,.F.); #281904=ORIENTED_EDGE('',*,*,#203632,.F.); #281905=ORIENTED_EDGE('',*,*,#203635,.F.); #281906=ORIENTED_EDGE('',*,*,#203638,.F.); #281907=ORIENTED_EDGE('',*,*,#203641,.F.); #281908=ORIENTED_EDGE('',*,*,#203644,.F.); #281909=ORIENTED_EDGE('',*,*,#203647,.F.); #281910=ORIENTED_EDGE('',*,*,#203650,.F.); #281911=ORIENTED_EDGE('',*,*,#203653,.F.); #281912=ORIENTED_EDGE('',*,*,#203656,.F.); #281913=ORIENTED_EDGE('',*,*,#203659,.F.); #281914=ORIENTED_EDGE('',*,*,#203662,.F.); #281915=ORIENTED_EDGE('',*,*,#203665,.F.); #281916=ORIENTED_EDGE('',*,*,#203668,.F.); #281917=ORIENTED_EDGE('',*,*,#203671,.F.); #281918=ORIENTED_EDGE('',*,*,#203674,.F.); #281919=ORIENTED_EDGE('',*,*,#203677,.F.); #281920=ORIENTED_EDGE('',*,*,#203680,.F.); #281921=ORIENTED_EDGE('',*,*,#203683,.F.); #281922=ORIENTED_EDGE('',*,*,#203686,.F.); #281923=ORIENTED_EDGE('',*,*,#203689,.F.); #281924=ORIENTED_EDGE('',*,*,#203692,.F.); #281925=ORIENTED_EDGE('',*,*,#203695,.F.); #281926=ORIENTED_EDGE('',*,*,#203698,.F.); #281927=ORIENTED_EDGE('',*,*,#203531,.T.); #281928=ORIENTED_EDGE('',*,*,#203528,.T.); #281929=ORIENTED_EDGE('',*,*,#203525,.T.); #281930=ORIENTED_EDGE('',*,*,#203534,.T.); #281931=ORIENTED_EDGE('',*,*,#203703,.F.); #281932=ORIENTED_EDGE('',*,*,#203704,.F.); #281933=ORIENTED_EDGE('',*,*,#203705,.F.); #281934=ORIENTED_EDGE('',*,*,#203706,.T.); #281935=ORIENTED_EDGE('',*,*,#203707,.F.); #281936=ORIENTED_EDGE('',*,*,#203706,.F.); #281937=ORIENTED_EDGE('',*,*,#203708,.F.); #281938=ORIENTED_EDGE('',*,*,#203709,.T.); #281939=ORIENTED_EDGE('',*,*,#203710,.F.); #281940=ORIENTED_EDGE('',*,*,#203709,.F.); #281941=ORIENTED_EDGE('',*,*,#203711,.F.); #281942=ORIENTED_EDGE('',*,*,#203712,.T.); #281943=ORIENTED_EDGE('',*,*,#203713,.F.); #281944=ORIENTED_EDGE('',*,*,#203712,.F.); #281945=ORIENTED_EDGE('',*,*,#203714,.F.); #281946=ORIENTED_EDGE('',*,*,#203704,.T.); #281947=ORIENTED_EDGE('',*,*,#203715,.T.); #281948=ORIENTED_EDGE('',*,*,#203716,.T.); #281949=ORIENTED_EDGE('',*,*,#203717,.F.); #281950=ORIENTED_EDGE('',*,*,#203718,.F.); #281951=ORIENTED_EDGE('',*,*,#203719,.T.); #281952=ORIENTED_EDGE('',*,*,#203718,.T.); #281953=ORIENTED_EDGE('',*,*,#203720,.F.); #281954=ORIENTED_EDGE('',*,*,#203721,.F.); #281955=ORIENTED_EDGE('',*,*,#203722,.T.); #281956=ORIENTED_EDGE('',*,*,#203721,.T.); #281957=ORIENTED_EDGE('',*,*,#203723,.F.); #281958=ORIENTED_EDGE('',*,*,#203724,.F.); #281959=ORIENTED_EDGE('',*,*,#203725,.T.); #281960=ORIENTED_EDGE('',*,*,#203724,.T.); #281961=ORIENTED_EDGE('',*,*,#203726,.F.); #281962=ORIENTED_EDGE('',*,*,#203727,.F.); #281963=ORIENTED_EDGE('',*,*,#203728,.T.); #281964=ORIENTED_EDGE('',*,*,#203727,.T.); #281965=ORIENTED_EDGE('',*,*,#203729,.F.); #281966=ORIENTED_EDGE('',*,*,#203730,.F.); #281967=ORIENTED_EDGE('',*,*,#203731,.T.); #281968=ORIENTED_EDGE('',*,*,#203730,.T.); #281969=ORIENTED_EDGE('',*,*,#203732,.F.); #281970=ORIENTED_EDGE('',*,*,#203733,.F.); #281971=ORIENTED_EDGE('',*,*,#203734,.T.); #281972=ORIENTED_EDGE('',*,*,#203733,.T.); #281973=ORIENTED_EDGE('',*,*,#203735,.F.); #281974=ORIENTED_EDGE('',*,*,#203736,.F.); #281975=ORIENTED_EDGE('',*,*,#203737,.T.); #281976=ORIENTED_EDGE('',*,*,#203736,.T.); #281977=ORIENTED_EDGE('',*,*,#203738,.F.); #281978=ORIENTED_EDGE('',*,*,#203739,.F.); #281979=ORIENTED_EDGE('',*,*,#203740,.T.); #281980=ORIENTED_EDGE('',*,*,#203739,.T.); #281981=ORIENTED_EDGE('',*,*,#203741,.F.); #281982=ORIENTED_EDGE('',*,*,#203742,.F.); #281983=ORIENTED_EDGE('',*,*,#203743,.T.); #281984=ORIENTED_EDGE('',*,*,#203742,.T.); #281985=ORIENTED_EDGE('',*,*,#203744,.F.); #281986=ORIENTED_EDGE('',*,*,#203745,.F.); #281987=ORIENTED_EDGE('',*,*,#203746,.T.); #281988=ORIENTED_EDGE('',*,*,#203745,.T.); #281989=ORIENTED_EDGE('',*,*,#203747,.F.); #281990=ORIENTED_EDGE('',*,*,#203748,.F.); #281991=ORIENTED_EDGE('',*,*,#203749,.T.); #281992=ORIENTED_EDGE('',*,*,#203748,.T.); #281993=ORIENTED_EDGE('',*,*,#203750,.F.); #281994=ORIENTED_EDGE('',*,*,#203751,.F.); #281995=ORIENTED_EDGE('',*,*,#203752,.T.); #281996=ORIENTED_EDGE('',*,*,#203751,.T.); #281997=ORIENTED_EDGE('',*,*,#203753,.F.); #281998=ORIENTED_EDGE('',*,*,#203754,.F.); #281999=ORIENTED_EDGE('',*,*,#203755,.T.); #282000=ORIENTED_EDGE('',*,*,#203754,.T.); #282001=ORIENTED_EDGE('',*,*,#203756,.F.); #282002=ORIENTED_EDGE('',*,*,#203757,.F.); #282003=ORIENTED_EDGE('',*,*,#203758,.T.); #282004=ORIENTED_EDGE('',*,*,#203757,.T.); #282005=ORIENTED_EDGE('',*,*,#203759,.F.); #282006=ORIENTED_EDGE('',*,*,#203760,.F.); #282007=ORIENTED_EDGE('',*,*,#203761,.T.); #282008=ORIENTED_EDGE('',*,*,#203760,.T.); #282009=ORIENTED_EDGE('',*,*,#203762,.F.); #282010=ORIENTED_EDGE('',*,*,#203763,.F.); #282011=ORIENTED_EDGE('',*,*,#203764,.T.); #282012=ORIENTED_EDGE('',*,*,#203763,.T.); #282013=ORIENTED_EDGE('',*,*,#203765,.F.); #282014=ORIENTED_EDGE('',*,*,#203766,.F.); #282015=ORIENTED_EDGE('',*,*,#203767,.T.); #282016=ORIENTED_EDGE('',*,*,#203766,.T.); #282017=ORIENTED_EDGE('',*,*,#203768,.F.); #282018=ORIENTED_EDGE('',*,*,#203769,.F.); #282019=ORIENTED_EDGE('',*,*,#203770,.T.); #282020=ORIENTED_EDGE('',*,*,#203769,.T.); #282021=ORIENTED_EDGE('',*,*,#203771,.F.); #282022=ORIENTED_EDGE('',*,*,#203772,.F.); #282023=ORIENTED_EDGE('',*,*,#203773,.T.); #282024=ORIENTED_EDGE('',*,*,#203772,.T.); #282025=ORIENTED_EDGE('',*,*,#203774,.F.); #282026=ORIENTED_EDGE('',*,*,#203775,.F.); #282027=ORIENTED_EDGE('',*,*,#203776,.T.); #282028=ORIENTED_EDGE('',*,*,#203775,.T.); #282029=ORIENTED_EDGE('',*,*,#203777,.F.); #282030=ORIENTED_EDGE('',*,*,#203778,.F.); #282031=ORIENTED_EDGE('',*,*,#203779,.T.); #282032=ORIENTED_EDGE('',*,*,#203778,.T.); #282033=ORIENTED_EDGE('',*,*,#203780,.F.); #282034=ORIENTED_EDGE('',*,*,#203781,.F.); #282035=ORIENTED_EDGE('',*,*,#203782,.T.); #282036=ORIENTED_EDGE('',*,*,#203781,.T.); #282037=ORIENTED_EDGE('',*,*,#203783,.F.); #282038=ORIENTED_EDGE('',*,*,#203784,.F.); #282039=ORIENTED_EDGE('',*,*,#203785,.T.); #282040=ORIENTED_EDGE('',*,*,#203784,.T.); #282041=ORIENTED_EDGE('',*,*,#203786,.F.); #282042=ORIENTED_EDGE('',*,*,#203787,.F.); #282043=ORIENTED_EDGE('',*,*,#203788,.T.); #282044=ORIENTED_EDGE('',*,*,#203787,.T.); #282045=ORIENTED_EDGE('',*,*,#203789,.F.); #282046=ORIENTED_EDGE('',*,*,#203790,.F.); #282047=ORIENTED_EDGE('',*,*,#203791,.T.); #282048=ORIENTED_EDGE('',*,*,#203790,.T.); #282049=ORIENTED_EDGE('',*,*,#203792,.F.); #282050=ORIENTED_EDGE('',*,*,#203793,.F.); #282051=ORIENTED_EDGE('',*,*,#203794,.T.); #282052=ORIENTED_EDGE('',*,*,#203793,.T.); #282053=ORIENTED_EDGE('',*,*,#203795,.F.); #282054=ORIENTED_EDGE('',*,*,#203796,.F.); #282055=ORIENTED_EDGE('',*,*,#203797,.T.); #282056=ORIENTED_EDGE('',*,*,#203796,.T.); #282057=ORIENTED_EDGE('',*,*,#203798,.F.); #282058=ORIENTED_EDGE('',*,*,#203799,.F.); #282059=ORIENTED_EDGE('',*,*,#203800,.T.); #282060=ORIENTED_EDGE('',*,*,#203799,.T.); #282061=ORIENTED_EDGE('',*,*,#203801,.F.); #282062=ORIENTED_EDGE('',*,*,#203802,.F.); #282063=ORIENTED_EDGE('',*,*,#203803,.T.); #282064=ORIENTED_EDGE('',*,*,#203802,.T.); #282065=ORIENTED_EDGE('',*,*,#203804,.F.); #282066=ORIENTED_EDGE('',*,*,#203805,.F.); #282067=ORIENTED_EDGE('',*,*,#203806,.T.); #282068=ORIENTED_EDGE('',*,*,#203805,.T.); #282069=ORIENTED_EDGE('',*,*,#203807,.F.); #282070=ORIENTED_EDGE('',*,*,#203808,.F.); #282071=ORIENTED_EDGE('',*,*,#203809,.T.); #282072=ORIENTED_EDGE('',*,*,#203808,.T.); #282073=ORIENTED_EDGE('',*,*,#203810,.F.); #282074=ORIENTED_EDGE('',*,*,#203811,.F.); #282075=ORIENTED_EDGE('',*,*,#203812,.T.); #282076=ORIENTED_EDGE('',*,*,#203811,.T.); #282077=ORIENTED_EDGE('',*,*,#203813,.F.); #282078=ORIENTED_EDGE('',*,*,#203814,.F.); #282079=ORIENTED_EDGE('',*,*,#203815,.T.); #282080=ORIENTED_EDGE('',*,*,#203814,.T.); #282081=ORIENTED_EDGE('',*,*,#203816,.F.); #282082=ORIENTED_EDGE('',*,*,#203817,.F.); #282083=ORIENTED_EDGE('',*,*,#203818,.T.); #282084=ORIENTED_EDGE('',*,*,#203817,.T.); #282085=ORIENTED_EDGE('',*,*,#203819,.F.); #282086=ORIENTED_EDGE('',*,*,#203820,.F.); #282087=ORIENTED_EDGE('',*,*,#203821,.T.); #282088=ORIENTED_EDGE('',*,*,#203820,.T.); #282089=ORIENTED_EDGE('',*,*,#203822,.F.); #282090=ORIENTED_EDGE('',*,*,#203823,.F.); #282091=ORIENTED_EDGE('',*,*,#203824,.T.); #282092=ORIENTED_EDGE('',*,*,#203823,.T.); #282093=ORIENTED_EDGE('',*,*,#203825,.F.); #282094=ORIENTED_EDGE('',*,*,#203826,.F.); #282095=ORIENTED_EDGE('',*,*,#203827,.T.); #282096=ORIENTED_EDGE('',*,*,#203826,.T.); #282097=ORIENTED_EDGE('',*,*,#203828,.F.); #282098=ORIENTED_EDGE('',*,*,#203829,.F.); #282099=ORIENTED_EDGE('',*,*,#203830,.T.); #282100=ORIENTED_EDGE('',*,*,#203829,.T.); #282101=ORIENTED_EDGE('',*,*,#203831,.F.); #282102=ORIENTED_EDGE('',*,*,#203832,.F.); #282103=ORIENTED_EDGE('',*,*,#203833,.T.); #282104=ORIENTED_EDGE('',*,*,#203832,.T.); #282105=ORIENTED_EDGE('',*,*,#203834,.F.); #282106=ORIENTED_EDGE('',*,*,#203835,.F.); #282107=ORIENTED_EDGE('',*,*,#203836,.T.); #282108=ORIENTED_EDGE('',*,*,#203835,.T.); #282109=ORIENTED_EDGE('',*,*,#203837,.F.); #282110=ORIENTED_EDGE('',*,*,#203838,.F.); #282111=ORIENTED_EDGE('',*,*,#203839,.T.); #282112=ORIENTED_EDGE('',*,*,#203838,.T.); #282113=ORIENTED_EDGE('',*,*,#203840,.F.); #282114=ORIENTED_EDGE('',*,*,#203841,.F.); #282115=ORIENTED_EDGE('',*,*,#203842,.T.); #282116=ORIENTED_EDGE('',*,*,#203841,.T.); #282117=ORIENTED_EDGE('',*,*,#203843,.F.); #282118=ORIENTED_EDGE('',*,*,#203844,.F.); #282119=ORIENTED_EDGE('',*,*,#203845,.T.); #282120=ORIENTED_EDGE('',*,*,#203844,.T.); #282121=ORIENTED_EDGE('',*,*,#203846,.F.); #282122=ORIENTED_EDGE('',*,*,#203847,.F.); #282123=ORIENTED_EDGE('',*,*,#203848,.T.); #282124=ORIENTED_EDGE('',*,*,#203847,.T.); #282125=ORIENTED_EDGE('',*,*,#203849,.F.); #282126=ORIENTED_EDGE('',*,*,#203850,.F.); #282127=ORIENTED_EDGE('',*,*,#203851,.T.); #282128=ORIENTED_EDGE('',*,*,#203850,.T.); #282129=ORIENTED_EDGE('',*,*,#203852,.F.); #282130=ORIENTED_EDGE('',*,*,#203853,.F.); #282131=ORIENTED_EDGE('',*,*,#203854,.T.); #282132=ORIENTED_EDGE('',*,*,#203853,.T.); #282133=ORIENTED_EDGE('',*,*,#203855,.F.); #282134=ORIENTED_EDGE('',*,*,#203856,.F.); #282135=ORIENTED_EDGE('',*,*,#203857,.T.); #282136=ORIENTED_EDGE('',*,*,#203856,.T.); #282137=ORIENTED_EDGE('',*,*,#203858,.F.); #282138=ORIENTED_EDGE('',*,*,#203859,.F.); #282139=ORIENTED_EDGE('',*,*,#203860,.T.); #282140=ORIENTED_EDGE('',*,*,#203859,.T.); #282141=ORIENTED_EDGE('',*,*,#203861,.F.); #282142=ORIENTED_EDGE('',*,*,#203862,.F.); #282143=ORIENTED_EDGE('',*,*,#203863,.T.); #282144=ORIENTED_EDGE('',*,*,#203862,.T.); #282145=ORIENTED_EDGE('',*,*,#203864,.F.); #282146=ORIENTED_EDGE('',*,*,#203865,.F.); #282147=ORIENTED_EDGE('',*,*,#203866,.T.); #282148=ORIENTED_EDGE('',*,*,#203865,.T.); #282149=ORIENTED_EDGE('',*,*,#203867,.F.); #282150=ORIENTED_EDGE('',*,*,#203868,.F.); #282151=ORIENTED_EDGE('',*,*,#203869,.T.); #282152=ORIENTED_EDGE('',*,*,#203868,.T.); #282153=ORIENTED_EDGE('',*,*,#203870,.F.); #282154=ORIENTED_EDGE('',*,*,#203871,.F.); #282155=ORIENTED_EDGE('',*,*,#203872,.T.); #282156=ORIENTED_EDGE('',*,*,#203871,.T.); #282157=ORIENTED_EDGE('',*,*,#203873,.F.); #282158=ORIENTED_EDGE('',*,*,#203874,.F.); #282159=ORIENTED_EDGE('',*,*,#203875,.T.); #282160=ORIENTED_EDGE('',*,*,#203874,.T.); #282161=ORIENTED_EDGE('',*,*,#203876,.F.); #282162=ORIENTED_EDGE('',*,*,#203877,.F.); #282163=ORIENTED_EDGE('',*,*,#203878,.T.); #282164=ORIENTED_EDGE('',*,*,#203877,.T.); #282165=ORIENTED_EDGE('',*,*,#203879,.F.); #282166=ORIENTED_EDGE('',*,*,#203880,.F.); #282167=ORIENTED_EDGE('',*,*,#203881,.T.); #282168=ORIENTED_EDGE('',*,*,#203880,.T.); #282169=ORIENTED_EDGE('',*,*,#203882,.F.); #282170=ORIENTED_EDGE('',*,*,#203883,.F.); #282171=ORIENTED_EDGE('',*,*,#203884,.T.); #282172=ORIENTED_EDGE('',*,*,#203883,.T.); #282173=ORIENTED_EDGE('',*,*,#203885,.F.); #282174=ORIENTED_EDGE('',*,*,#203886,.F.); #282175=ORIENTED_EDGE('',*,*,#203887,.T.); #282176=ORIENTED_EDGE('',*,*,#203886,.T.); #282177=ORIENTED_EDGE('',*,*,#203888,.F.); #282178=ORIENTED_EDGE('',*,*,#203889,.F.); #282179=ORIENTED_EDGE('',*,*,#203890,.T.); #282180=ORIENTED_EDGE('',*,*,#203889,.T.); #282181=ORIENTED_EDGE('',*,*,#203891,.F.); #282182=ORIENTED_EDGE('',*,*,#203892,.F.); #282183=ORIENTED_EDGE('',*,*,#203893,.T.); #282184=ORIENTED_EDGE('',*,*,#203892,.T.); #282185=ORIENTED_EDGE('',*,*,#203894,.F.); #282186=ORIENTED_EDGE('',*,*,#203895,.F.); #282187=ORIENTED_EDGE('',*,*,#203896,.T.); #282188=ORIENTED_EDGE('',*,*,#203895,.T.); #282189=ORIENTED_EDGE('',*,*,#203897,.F.); #282190=ORIENTED_EDGE('',*,*,#203898,.F.); #282191=ORIENTED_EDGE('',*,*,#203899,.T.); #282192=ORIENTED_EDGE('',*,*,#203898,.T.); #282193=ORIENTED_EDGE('',*,*,#203900,.F.); #282194=ORIENTED_EDGE('',*,*,#203901,.F.); #282195=ORIENTED_EDGE('',*,*,#203902,.T.); #282196=ORIENTED_EDGE('',*,*,#203901,.T.); #282197=ORIENTED_EDGE('',*,*,#203903,.F.); #282198=ORIENTED_EDGE('',*,*,#203904,.F.); #282199=ORIENTED_EDGE('',*,*,#203905,.T.); #282200=ORIENTED_EDGE('',*,*,#203904,.T.); #282201=ORIENTED_EDGE('',*,*,#203906,.F.); #282202=ORIENTED_EDGE('',*,*,#203907,.F.); #282203=ORIENTED_EDGE('',*,*,#203908,.T.); #282204=ORIENTED_EDGE('',*,*,#203907,.T.); #282205=ORIENTED_EDGE('',*,*,#203909,.F.); #282206=ORIENTED_EDGE('',*,*,#203910,.F.); #282207=ORIENTED_EDGE('',*,*,#203911,.T.); #282208=ORIENTED_EDGE('',*,*,#203910,.T.); #282209=ORIENTED_EDGE('',*,*,#203912,.F.); #282210=ORIENTED_EDGE('',*,*,#203913,.F.); #282211=ORIENTED_EDGE('',*,*,#203914,.T.); #282212=ORIENTED_EDGE('',*,*,#203913,.T.); #282213=ORIENTED_EDGE('',*,*,#203915,.F.); #282214=ORIENTED_EDGE('',*,*,#203916,.F.); #282215=ORIENTED_EDGE('',*,*,#203917,.T.); #282216=ORIENTED_EDGE('',*,*,#203916,.T.); #282217=ORIENTED_EDGE('',*,*,#203918,.F.); #282218=ORIENTED_EDGE('',*,*,#203919,.F.); #282219=ORIENTED_EDGE('',*,*,#203920,.T.); #282220=ORIENTED_EDGE('',*,*,#203919,.T.); #282221=ORIENTED_EDGE('',*,*,#203921,.F.); #282222=ORIENTED_EDGE('',*,*,#203922,.F.); #282223=ORIENTED_EDGE('',*,*,#203923,.T.); #282224=ORIENTED_EDGE('',*,*,#203922,.T.); #282225=ORIENTED_EDGE('',*,*,#203924,.F.); #282226=ORIENTED_EDGE('',*,*,#203925,.F.); #282227=ORIENTED_EDGE('',*,*,#203926,.T.); #282228=ORIENTED_EDGE('',*,*,#203925,.T.); #282229=ORIENTED_EDGE('',*,*,#203927,.F.); #282230=ORIENTED_EDGE('',*,*,#203928,.F.); #282231=ORIENTED_EDGE('',*,*,#203929,.T.); #282232=ORIENTED_EDGE('',*,*,#203928,.T.); #282233=ORIENTED_EDGE('',*,*,#203930,.F.); #282234=ORIENTED_EDGE('',*,*,#203716,.F.); #282235=ORIENTED_EDGE('',*,*,#203930,.T.); #282236=ORIENTED_EDGE('',*,*,#203927,.T.); #282237=ORIENTED_EDGE('',*,*,#203924,.T.); #282238=ORIENTED_EDGE('',*,*,#203921,.T.); #282239=ORIENTED_EDGE('',*,*,#203918,.T.); #282240=ORIENTED_EDGE('',*,*,#203915,.T.); #282241=ORIENTED_EDGE('',*,*,#203912,.T.); #282242=ORIENTED_EDGE('',*,*,#203909,.T.); #282243=ORIENTED_EDGE('',*,*,#203906,.T.); #282244=ORIENTED_EDGE('',*,*,#203903,.T.); #282245=ORIENTED_EDGE('',*,*,#203900,.T.); #282246=ORIENTED_EDGE('',*,*,#203897,.T.); #282247=ORIENTED_EDGE('',*,*,#203894,.T.); #282248=ORIENTED_EDGE('',*,*,#203891,.T.); #282249=ORIENTED_EDGE('',*,*,#203888,.T.); #282250=ORIENTED_EDGE('',*,*,#203885,.T.); #282251=ORIENTED_EDGE('',*,*,#203882,.T.); #282252=ORIENTED_EDGE('',*,*,#203879,.T.); #282253=ORIENTED_EDGE('',*,*,#203876,.T.); #282254=ORIENTED_EDGE('',*,*,#203873,.T.); #282255=ORIENTED_EDGE('',*,*,#203870,.T.); #282256=ORIENTED_EDGE('',*,*,#203867,.T.); #282257=ORIENTED_EDGE('',*,*,#203864,.T.); #282258=ORIENTED_EDGE('',*,*,#203861,.T.); #282259=ORIENTED_EDGE('',*,*,#203858,.T.); #282260=ORIENTED_EDGE('',*,*,#203855,.T.); #282261=ORIENTED_EDGE('',*,*,#203852,.T.); #282262=ORIENTED_EDGE('',*,*,#203849,.T.); #282263=ORIENTED_EDGE('',*,*,#203846,.T.); #282264=ORIENTED_EDGE('',*,*,#203843,.T.); #282265=ORIENTED_EDGE('',*,*,#203840,.T.); #282266=ORIENTED_EDGE('',*,*,#203837,.T.); #282267=ORIENTED_EDGE('',*,*,#203834,.T.); #282268=ORIENTED_EDGE('',*,*,#203831,.T.); #282269=ORIENTED_EDGE('',*,*,#203828,.T.); #282270=ORIENTED_EDGE('',*,*,#203825,.T.); #282271=ORIENTED_EDGE('',*,*,#203822,.T.); #282272=ORIENTED_EDGE('',*,*,#203819,.T.); #282273=ORIENTED_EDGE('',*,*,#203816,.T.); #282274=ORIENTED_EDGE('',*,*,#203813,.T.); #282275=ORIENTED_EDGE('',*,*,#203810,.T.); #282276=ORIENTED_EDGE('',*,*,#203807,.T.); #282277=ORIENTED_EDGE('',*,*,#203804,.T.); #282278=ORIENTED_EDGE('',*,*,#203801,.T.); #282279=ORIENTED_EDGE('',*,*,#203798,.T.); #282280=ORIENTED_EDGE('',*,*,#203795,.T.); #282281=ORIENTED_EDGE('',*,*,#203792,.T.); #282282=ORIENTED_EDGE('',*,*,#203789,.T.); #282283=ORIENTED_EDGE('',*,*,#203786,.T.); #282284=ORIENTED_EDGE('',*,*,#203783,.T.); #282285=ORIENTED_EDGE('',*,*,#203780,.T.); #282286=ORIENTED_EDGE('',*,*,#203777,.T.); #282287=ORIENTED_EDGE('',*,*,#203774,.T.); #282288=ORIENTED_EDGE('',*,*,#203771,.T.); #282289=ORIENTED_EDGE('',*,*,#203768,.T.); #282290=ORIENTED_EDGE('',*,*,#203765,.T.); #282291=ORIENTED_EDGE('',*,*,#203762,.T.); #282292=ORIENTED_EDGE('',*,*,#203759,.T.); #282293=ORIENTED_EDGE('',*,*,#203756,.T.); #282294=ORIENTED_EDGE('',*,*,#203753,.T.); #282295=ORIENTED_EDGE('',*,*,#203750,.T.); #282296=ORIENTED_EDGE('',*,*,#203747,.T.); #282297=ORIENTED_EDGE('',*,*,#203744,.T.); #282298=ORIENTED_EDGE('',*,*,#203741,.T.); #282299=ORIENTED_EDGE('',*,*,#203738,.T.); #282300=ORIENTED_EDGE('',*,*,#203735,.T.); #282301=ORIENTED_EDGE('',*,*,#203732,.T.); #282302=ORIENTED_EDGE('',*,*,#203729,.T.); #282303=ORIENTED_EDGE('',*,*,#203726,.T.); #282304=ORIENTED_EDGE('',*,*,#203723,.T.); #282305=ORIENTED_EDGE('',*,*,#203720,.T.); #282306=ORIENTED_EDGE('',*,*,#203717,.T.); #282307=ORIENTED_EDGE('',*,*,#203710,.T.); #282308=ORIENTED_EDGE('',*,*,#203713,.T.); #282309=ORIENTED_EDGE('',*,*,#203703,.T.); #282310=ORIENTED_EDGE('',*,*,#203707,.T.); #282311=ORIENTED_EDGE('',*,*,#203929,.F.); #282312=ORIENTED_EDGE('',*,*,#203715,.F.); #282313=ORIENTED_EDGE('',*,*,#203719,.F.); #282314=ORIENTED_EDGE('',*,*,#203722,.F.); #282315=ORIENTED_EDGE('',*,*,#203725,.F.); #282316=ORIENTED_EDGE('',*,*,#203728,.F.); #282317=ORIENTED_EDGE('',*,*,#203731,.F.); #282318=ORIENTED_EDGE('',*,*,#203734,.F.); #282319=ORIENTED_EDGE('',*,*,#203737,.F.); #282320=ORIENTED_EDGE('',*,*,#203740,.F.); #282321=ORIENTED_EDGE('',*,*,#203743,.F.); #282322=ORIENTED_EDGE('',*,*,#203746,.F.); #282323=ORIENTED_EDGE('',*,*,#203749,.F.); #282324=ORIENTED_EDGE('',*,*,#203752,.F.); #282325=ORIENTED_EDGE('',*,*,#203755,.F.); #282326=ORIENTED_EDGE('',*,*,#203758,.F.); #282327=ORIENTED_EDGE('',*,*,#203761,.F.); #282328=ORIENTED_EDGE('',*,*,#203764,.F.); #282329=ORIENTED_EDGE('',*,*,#203767,.F.); #282330=ORIENTED_EDGE('',*,*,#203770,.F.); #282331=ORIENTED_EDGE('',*,*,#203773,.F.); #282332=ORIENTED_EDGE('',*,*,#203776,.F.); #282333=ORIENTED_EDGE('',*,*,#203779,.F.); #282334=ORIENTED_EDGE('',*,*,#203782,.F.); #282335=ORIENTED_EDGE('',*,*,#203785,.F.); #282336=ORIENTED_EDGE('',*,*,#203788,.F.); #282337=ORIENTED_EDGE('',*,*,#203791,.F.); #282338=ORIENTED_EDGE('',*,*,#203794,.F.); #282339=ORIENTED_EDGE('',*,*,#203797,.F.); #282340=ORIENTED_EDGE('',*,*,#203800,.F.); #282341=ORIENTED_EDGE('',*,*,#203803,.F.); #282342=ORIENTED_EDGE('',*,*,#203806,.F.); #282343=ORIENTED_EDGE('',*,*,#203809,.F.); #282344=ORIENTED_EDGE('',*,*,#203812,.F.); #282345=ORIENTED_EDGE('',*,*,#203815,.F.); #282346=ORIENTED_EDGE('',*,*,#203818,.F.); #282347=ORIENTED_EDGE('',*,*,#203821,.F.); #282348=ORIENTED_EDGE('',*,*,#203824,.F.); #282349=ORIENTED_EDGE('',*,*,#203827,.F.); #282350=ORIENTED_EDGE('',*,*,#203830,.F.); #282351=ORIENTED_EDGE('',*,*,#203833,.F.); #282352=ORIENTED_EDGE('',*,*,#203836,.F.); #282353=ORIENTED_EDGE('',*,*,#203839,.F.); #282354=ORIENTED_EDGE('',*,*,#203842,.F.); #282355=ORIENTED_EDGE('',*,*,#203845,.F.); #282356=ORIENTED_EDGE('',*,*,#203848,.F.); #282357=ORIENTED_EDGE('',*,*,#203851,.F.); #282358=ORIENTED_EDGE('',*,*,#203854,.F.); #282359=ORIENTED_EDGE('',*,*,#203857,.F.); #282360=ORIENTED_EDGE('',*,*,#203860,.F.); #282361=ORIENTED_EDGE('',*,*,#203863,.F.); #282362=ORIENTED_EDGE('',*,*,#203866,.F.); #282363=ORIENTED_EDGE('',*,*,#203869,.F.); #282364=ORIENTED_EDGE('',*,*,#203872,.F.); #282365=ORIENTED_EDGE('',*,*,#203875,.F.); #282366=ORIENTED_EDGE('',*,*,#203878,.F.); #282367=ORIENTED_EDGE('',*,*,#203881,.F.); #282368=ORIENTED_EDGE('',*,*,#203884,.F.); #282369=ORIENTED_EDGE('',*,*,#203887,.F.); #282370=ORIENTED_EDGE('',*,*,#203890,.F.); #282371=ORIENTED_EDGE('',*,*,#203893,.F.); #282372=ORIENTED_EDGE('',*,*,#203896,.F.); #282373=ORIENTED_EDGE('',*,*,#203899,.F.); #282374=ORIENTED_EDGE('',*,*,#203902,.F.); #282375=ORIENTED_EDGE('',*,*,#203905,.F.); #282376=ORIENTED_EDGE('',*,*,#203908,.F.); #282377=ORIENTED_EDGE('',*,*,#203911,.F.); #282378=ORIENTED_EDGE('',*,*,#203914,.F.); #282379=ORIENTED_EDGE('',*,*,#203917,.F.); #282380=ORIENTED_EDGE('',*,*,#203920,.F.); #282381=ORIENTED_EDGE('',*,*,#203923,.F.); #282382=ORIENTED_EDGE('',*,*,#203926,.F.); #282383=ORIENTED_EDGE('',*,*,#203711,.T.); #282384=ORIENTED_EDGE('',*,*,#203708,.T.); #282385=ORIENTED_EDGE('',*,*,#203705,.T.); #282386=ORIENTED_EDGE('',*,*,#203714,.T.); #282387=ORIENTED_EDGE('',*,*,#203931,.F.); #282388=ORIENTED_EDGE('',*,*,#203932,.T.); #282389=ORIENTED_EDGE('',*,*,#203933,.F.); #282390=ORIENTED_EDGE('',*,*,#203932,.F.); #282391=ORIENTED_EDGE('',*,*,#203934,.T.); #282392=ORIENTED_EDGE('',*,*,#203935,.T.); #282393=ORIENTED_EDGE('',*,*,#203936,.F.); #282394=ORIENTED_EDGE('',*,*,#203937,.F.); #282395=ORIENTED_EDGE('',*,*,#203938,.T.); #282396=ORIENTED_EDGE('',*,*,#203937,.T.); #282397=ORIENTED_EDGE('',*,*,#203939,.F.); #282398=ORIENTED_EDGE('',*,*,#203940,.F.); #282399=ORIENTED_EDGE('',*,*,#203941,.T.); #282400=ORIENTED_EDGE('',*,*,#203940,.T.); #282401=ORIENTED_EDGE('',*,*,#203942,.F.); #282402=ORIENTED_EDGE('',*,*,#203935,.F.); #282403=ORIENTED_EDGE('',*,*,#203942,.T.); #282404=ORIENTED_EDGE('',*,*,#203939,.T.); #282405=ORIENTED_EDGE('',*,*,#203936,.T.); #282406=ORIENTED_EDGE('',*,*,#203933,.T.); #282407=ORIENTED_EDGE('',*,*,#203941,.F.); #282408=ORIENTED_EDGE('',*,*,#203934,.F.); #282409=ORIENTED_EDGE('',*,*,#203938,.F.); #282410=ORIENTED_EDGE('',*,*,#203931,.T.); #282411=ORIENTED_EDGE('',*,*,#203943,.F.); #282412=ORIENTED_EDGE('',*,*,#203944,.T.); #282413=ORIENTED_EDGE('',*,*,#203945,.F.); #282414=ORIENTED_EDGE('',*,*,#203944,.F.); #282415=ORIENTED_EDGE('',*,*,#203946,.T.); #282416=ORIENTED_EDGE('',*,*,#203947,.T.); #282417=ORIENTED_EDGE('',*,*,#203948,.F.); #282418=ORIENTED_EDGE('',*,*,#203949,.F.); #282419=ORIENTED_EDGE('',*,*,#203950,.T.); #282420=ORIENTED_EDGE('',*,*,#203949,.T.); #282421=ORIENTED_EDGE('',*,*,#203951,.F.); #282422=ORIENTED_EDGE('',*,*,#203952,.F.); #282423=ORIENTED_EDGE('',*,*,#203953,.T.); #282424=ORIENTED_EDGE('',*,*,#203952,.T.); #282425=ORIENTED_EDGE('',*,*,#203954,.F.); #282426=ORIENTED_EDGE('',*,*,#203947,.F.); #282427=ORIENTED_EDGE('',*,*,#203954,.T.); #282428=ORIENTED_EDGE('',*,*,#203951,.T.); #282429=ORIENTED_EDGE('',*,*,#203948,.T.); #282430=ORIENTED_EDGE('',*,*,#203945,.T.); #282431=ORIENTED_EDGE('',*,*,#203953,.F.); #282432=ORIENTED_EDGE('',*,*,#203946,.F.); #282433=ORIENTED_EDGE('',*,*,#203950,.F.); #282434=ORIENTED_EDGE('',*,*,#203943,.T.); #282435=ORIENTED_EDGE('',*,*,#203955,.F.); #282436=ORIENTED_EDGE('',*,*,#203956,.F.); #282437=ORIENTED_EDGE('',*,*,#203957,.F.); #282438=ORIENTED_EDGE('',*,*,#203958,.T.); #282439=ORIENTED_EDGE('',*,*,#203959,.F.); #282440=ORIENTED_EDGE('',*,*,#203958,.F.); #282441=ORIENTED_EDGE('',*,*,#203960,.F.); #282442=ORIENTED_EDGE('',*,*,#203961,.T.); #282443=ORIENTED_EDGE('',*,*,#203962,.F.); #282444=ORIENTED_EDGE('',*,*,#203961,.F.); #282445=ORIENTED_EDGE('',*,*,#203963,.F.); #282446=ORIENTED_EDGE('',*,*,#203964,.T.); #282447=ORIENTED_EDGE('',*,*,#203965,.F.); #282448=ORIENTED_EDGE('',*,*,#203964,.F.); #282449=ORIENTED_EDGE('',*,*,#203966,.F.); #282450=ORIENTED_EDGE('',*,*,#203956,.T.); #282451=ORIENTED_EDGE('',*,*,#203967,.T.); #282452=ORIENTED_EDGE('',*,*,#203968,.T.); #282453=ORIENTED_EDGE('',*,*,#203969,.F.); #282454=ORIENTED_EDGE('',*,*,#203970,.F.); #282455=ORIENTED_EDGE('',*,*,#203971,.T.); #282456=ORIENTED_EDGE('',*,*,#203970,.T.); #282457=ORIENTED_EDGE('',*,*,#203972,.F.); #282458=ORIENTED_EDGE('',*,*,#203973,.F.); #282459=ORIENTED_EDGE('',*,*,#203974,.T.); #282460=ORIENTED_EDGE('',*,*,#203973,.T.); #282461=ORIENTED_EDGE('',*,*,#203975,.F.); #282462=ORIENTED_EDGE('',*,*,#203976,.F.); #282463=ORIENTED_EDGE('',*,*,#203977,.T.); #282464=ORIENTED_EDGE('',*,*,#203976,.T.); #282465=ORIENTED_EDGE('',*,*,#203978,.F.); #282466=ORIENTED_EDGE('',*,*,#203979,.F.); #282467=ORIENTED_EDGE('',*,*,#203980,.T.); #282468=ORIENTED_EDGE('',*,*,#203979,.T.); #282469=ORIENTED_EDGE('',*,*,#203981,.F.); #282470=ORIENTED_EDGE('',*,*,#203982,.F.); #282471=ORIENTED_EDGE('',*,*,#203983,.T.); #282472=ORIENTED_EDGE('',*,*,#203982,.T.); #282473=ORIENTED_EDGE('',*,*,#203984,.F.); #282474=ORIENTED_EDGE('',*,*,#203985,.F.); #282475=ORIENTED_EDGE('',*,*,#203986,.T.); #282476=ORIENTED_EDGE('',*,*,#203985,.T.); #282477=ORIENTED_EDGE('',*,*,#203987,.F.); #282478=ORIENTED_EDGE('',*,*,#203988,.F.); #282479=ORIENTED_EDGE('',*,*,#203989,.T.); #282480=ORIENTED_EDGE('',*,*,#203988,.T.); #282481=ORIENTED_EDGE('',*,*,#203990,.F.); #282482=ORIENTED_EDGE('',*,*,#203991,.F.); #282483=ORIENTED_EDGE('',*,*,#203992,.T.); #282484=ORIENTED_EDGE('',*,*,#203991,.T.); #282485=ORIENTED_EDGE('',*,*,#203993,.F.); #282486=ORIENTED_EDGE('',*,*,#203994,.F.); #282487=ORIENTED_EDGE('',*,*,#203995,.T.); #282488=ORIENTED_EDGE('',*,*,#203994,.T.); #282489=ORIENTED_EDGE('',*,*,#203996,.F.); #282490=ORIENTED_EDGE('',*,*,#203997,.F.); #282491=ORIENTED_EDGE('',*,*,#203998,.T.); #282492=ORIENTED_EDGE('',*,*,#203997,.T.); #282493=ORIENTED_EDGE('',*,*,#203999,.F.); #282494=ORIENTED_EDGE('',*,*,#204000,.F.); #282495=ORIENTED_EDGE('',*,*,#204001,.T.); #282496=ORIENTED_EDGE('',*,*,#204000,.T.); #282497=ORIENTED_EDGE('',*,*,#204002,.F.); #282498=ORIENTED_EDGE('',*,*,#204003,.F.); #282499=ORIENTED_EDGE('',*,*,#204004,.T.); #282500=ORIENTED_EDGE('',*,*,#204003,.T.); #282501=ORIENTED_EDGE('',*,*,#204005,.F.); #282502=ORIENTED_EDGE('',*,*,#204006,.F.); #282503=ORIENTED_EDGE('',*,*,#204007,.T.); #282504=ORIENTED_EDGE('',*,*,#204006,.T.); #282505=ORIENTED_EDGE('',*,*,#204008,.F.); #282506=ORIENTED_EDGE('',*,*,#204009,.F.); #282507=ORIENTED_EDGE('',*,*,#204010,.T.); #282508=ORIENTED_EDGE('',*,*,#204009,.T.); #282509=ORIENTED_EDGE('',*,*,#204011,.F.); #282510=ORIENTED_EDGE('',*,*,#204012,.F.); #282511=ORIENTED_EDGE('',*,*,#204013,.T.); #282512=ORIENTED_EDGE('',*,*,#204012,.T.); #282513=ORIENTED_EDGE('',*,*,#204014,.F.); #282514=ORIENTED_EDGE('',*,*,#204015,.F.); #282515=ORIENTED_EDGE('',*,*,#204016,.T.); #282516=ORIENTED_EDGE('',*,*,#204015,.T.); #282517=ORIENTED_EDGE('',*,*,#204017,.F.); #282518=ORIENTED_EDGE('',*,*,#204018,.F.); #282519=ORIENTED_EDGE('',*,*,#204019,.T.); #282520=ORIENTED_EDGE('',*,*,#204018,.T.); #282521=ORIENTED_EDGE('',*,*,#204020,.F.); #282522=ORIENTED_EDGE('',*,*,#204021,.F.); #282523=ORIENTED_EDGE('',*,*,#204022,.T.); #282524=ORIENTED_EDGE('',*,*,#204021,.T.); #282525=ORIENTED_EDGE('',*,*,#204023,.F.); #282526=ORIENTED_EDGE('',*,*,#204024,.F.); #282527=ORIENTED_EDGE('',*,*,#204025,.T.); #282528=ORIENTED_EDGE('',*,*,#204024,.T.); #282529=ORIENTED_EDGE('',*,*,#204026,.F.); #282530=ORIENTED_EDGE('',*,*,#204027,.F.); #282531=ORIENTED_EDGE('',*,*,#204028,.T.); #282532=ORIENTED_EDGE('',*,*,#204027,.T.); #282533=ORIENTED_EDGE('',*,*,#204029,.F.); #282534=ORIENTED_EDGE('',*,*,#204030,.F.); #282535=ORIENTED_EDGE('',*,*,#204031,.T.); #282536=ORIENTED_EDGE('',*,*,#204030,.T.); #282537=ORIENTED_EDGE('',*,*,#204032,.F.); #282538=ORIENTED_EDGE('',*,*,#204033,.F.); #282539=ORIENTED_EDGE('',*,*,#204034,.T.); #282540=ORIENTED_EDGE('',*,*,#204033,.T.); #282541=ORIENTED_EDGE('',*,*,#204035,.F.); #282542=ORIENTED_EDGE('',*,*,#204036,.F.); #282543=ORIENTED_EDGE('',*,*,#204037,.T.); #282544=ORIENTED_EDGE('',*,*,#204036,.T.); #282545=ORIENTED_EDGE('',*,*,#204038,.F.); #282546=ORIENTED_EDGE('',*,*,#204039,.F.); #282547=ORIENTED_EDGE('',*,*,#204040,.T.); #282548=ORIENTED_EDGE('',*,*,#204039,.T.); #282549=ORIENTED_EDGE('',*,*,#204041,.F.); #282550=ORIENTED_EDGE('',*,*,#204042,.F.); #282551=ORIENTED_EDGE('',*,*,#204043,.T.); #282552=ORIENTED_EDGE('',*,*,#204042,.T.); #282553=ORIENTED_EDGE('',*,*,#204044,.F.); #282554=ORIENTED_EDGE('',*,*,#204045,.F.); #282555=ORIENTED_EDGE('',*,*,#204046,.T.); #282556=ORIENTED_EDGE('',*,*,#204045,.T.); #282557=ORIENTED_EDGE('',*,*,#204047,.F.); #282558=ORIENTED_EDGE('',*,*,#204048,.F.); #282559=ORIENTED_EDGE('',*,*,#204049,.T.); #282560=ORIENTED_EDGE('',*,*,#204048,.T.); #282561=ORIENTED_EDGE('',*,*,#204050,.F.); #282562=ORIENTED_EDGE('',*,*,#204051,.F.); #282563=ORIENTED_EDGE('',*,*,#204052,.T.); #282564=ORIENTED_EDGE('',*,*,#204051,.T.); #282565=ORIENTED_EDGE('',*,*,#204053,.F.); #282566=ORIENTED_EDGE('',*,*,#204054,.F.); #282567=ORIENTED_EDGE('',*,*,#204055,.T.); #282568=ORIENTED_EDGE('',*,*,#204054,.T.); #282569=ORIENTED_EDGE('',*,*,#204056,.F.); #282570=ORIENTED_EDGE('',*,*,#204057,.F.); #282571=ORIENTED_EDGE('',*,*,#204058,.T.); #282572=ORIENTED_EDGE('',*,*,#204057,.T.); #282573=ORIENTED_EDGE('',*,*,#204059,.F.); #282574=ORIENTED_EDGE('',*,*,#204060,.F.); #282575=ORIENTED_EDGE('',*,*,#204061,.T.); #282576=ORIENTED_EDGE('',*,*,#204060,.T.); #282577=ORIENTED_EDGE('',*,*,#204062,.F.); #282578=ORIENTED_EDGE('',*,*,#204063,.F.); #282579=ORIENTED_EDGE('',*,*,#204064,.T.); #282580=ORIENTED_EDGE('',*,*,#204063,.T.); #282581=ORIENTED_EDGE('',*,*,#204065,.F.); #282582=ORIENTED_EDGE('',*,*,#204066,.F.); #282583=ORIENTED_EDGE('',*,*,#204067,.T.); #282584=ORIENTED_EDGE('',*,*,#204066,.T.); #282585=ORIENTED_EDGE('',*,*,#204068,.F.); #282586=ORIENTED_EDGE('',*,*,#204069,.F.); #282587=ORIENTED_EDGE('',*,*,#204070,.T.); #282588=ORIENTED_EDGE('',*,*,#204069,.T.); #282589=ORIENTED_EDGE('',*,*,#204071,.F.); #282590=ORIENTED_EDGE('',*,*,#204072,.F.); #282591=ORIENTED_EDGE('',*,*,#204073,.T.); #282592=ORIENTED_EDGE('',*,*,#204072,.T.); #282593=ORIENTED_EDGE('',*,*,#204074,.F.); #282594=ORIENTED_EDGE('',*,*,#204075,.F.); #282595=ORIENTED_EDGE('',*,*,#204076,.T.); #282596=ORIENTED_EDGE('',*,*,#204075,.T.); #282597=ORIENTED_EDGE('',*,*,#204077,.F.); #282598=ORIENTED_EDGE('',*,*,#204078,.F.); #282599=ORIENTED_EDGE('',*,*,#204079,.T.); #282600=ORIENTED_EDGE('',*,*,#204078,.T.); #282601=ORIENTED_EDGE('',*,*,#204080,.F.); #282602=ORIENTED_EDGE('',*,*,#204081,.F.); #282603=ORIENTED_EDGE('',*,*,#204082,.T.); #282604=ORIENTED_EDGE('',*,*,#204081,.T.); #282605=ORIENTED_EDGE('',*,*,#204083,.F.); #282606=ORIENTED_EDGE('',*,*,#204084,.F.); #282607=ORIENTED_EDGE('',*,*,#204085,.T.); #282608=ORIENTED_EDGE('',*,*,#204084,.T.); #282609=ORIENTED_EDGE('',*,*,#204086,.F.); #282610=ORIENTED_EDGE('',*,*,#204087,.F.); #282611=ORIENTED_EDGE('',*,*,#204088,.T.); #282612=ORIENTED_EDGE('',*,*,#204087,.T.); #282613=ORIENTED_EDGE('',*,*,#204089,.F.); #282614=ORIENTED_EDGE('',*,*,#204090,.F.); #282615=ORIENTED_EDGE('',*,*,#204091,.T.); #282616=ORIENTED_EDGE('',*,*,#204090,.T.); #282617=ORIENTED_EDGE('',*,*,#204092,.F.); #282618=ORIENTED_EDGE('',*,*,#204093,.F.); #282619=ORIENTED_EDGE('',*,*,#204094,.T.); #282620=ORIENTED_EDGE('',*,*,#204093,.T.); #282621=ORIENTED_EDGE('',*,*,#204095,.F.); #282622=ORIENTED_EDGE('',*,*,#204096,.F.); #282623=ORIENTED_EDGE('',*,*,#204097,.T.); #282624=ORIENTED_EDGE('',*,*,#204096,.T.); #282625=ORIENTED_EDGE('',*,*,#204098,.F.); #282626=ORIENTED_EDGE('',*,*,#204099,.F.); #282627=ORIENTED_EDGE('',*,*,#204100,.T.); #282628=ORIENTED_EDGE('',*,*,#204099,.T.); #282629=ORIENTED_EDGE('',*,*,#204101,.F.); #282630=ORIENTED_EDGE('',*,*,#204102,.F.); #282631=ORIENTED_EDGE('',*,*,#204103,.T.); #282632=ORIENTED_EDGE('',*,*,#204102,.T.); #282633=ORIENTED_EDGE('',*,*,#204104,.F.); #282634=ORIENTED_EDGE('',*,*,#204105,.F.); #282635=ORIENTED_EDGE('',*,*,#204106,.T.); #282636=ORIENTED_EDGE('',*,*,#204105,.T.); #282637=ORIENTED_EDGE('',*,*,#204107,.F.); #282638=ORIENTED_EDGE('',*,*,#204108,.F.); #282639=ORIENTED_EDGE('',*,*,#204109,.T.); #282640=ORIENTED_EDGE('',*,*,#204108,.T.); #282641=ORIENTED_EDGE('',*,*,#204110,.F.); #282642=ORIENTED_EDGE('',*,*,#204111,.F.); #282643=ORIENTED_EDGE('',*,*,#204112,.T.); #282644=ORIENTED_EDGE('',*,*,#204111,.T.); #282645=ORIENTED_EDGE('',*,*,#204113,.F.); #282646=ORIENTED_EDGE('',*,*,#204114,.F.); #282647=ORIENTED_EDGE('',*,*,#204115,.T.); #282648=ORIENTED_EDGE('',*,*,#204114,.T.); #282649=ORIENTED_EDGE('',*,*,#204116,.F.); #282650=ORIENTED_EDGE('',*,*,#204117,.F.); #282651=ORIENTED_EDGE('',*,*,#204118,.T.); #282652=ORIENTED_EDGE('',*,*,#204117,.T.); #282653=ORIENTED_EDGE('',*,*,#204119,.F.); #282654=ORIENTED_EDGE('',*,*,#204120,.F.); #282655=ORIENTED_EDGE('',*,*,#204121,.T.); #282656=ORIENTED_EDGE('',*,*,#204120,.T.); #282657=ORIENTED_EDGE('',*,*,#204122,.F.); #282658=ORIENTED_EDGE('',*,*,#204123,.F.); #282659=ORIENTED_EDGE('',*,*,#204124,.T.); #282660=ORIENTED_EDGE('',*,*,#204123,.T.); #282661=ORIENTED_EDGE('',*,*,#204125,.F.); #282662=ORIENTED_EDGE('',*,*,#204126,.F.); #282663=ORIENTED_EDGE('',*,*,#204127,.T.); #282664=ORIENTED_EDGE('',*,*,#204126,.T.); #282665=ORIENTED_EDGE('',*,*,#204128,.F.); #282666=ORIENTED_EDGE('',*,*,#204129,.F.); #282667=ORIENTED_EDGE('',*,*,#204130,.T.); #282668=ORIENTED_EDGE('',*,*,#204129,.T.); #282669=ORIENTED_EDGE('',*,*,#204131,.F.); #282670=ORIENTED_EDGE('',*,*,#204132,.F.); #282671=ORIENTED_EDGE('',*,*,#204133,.T.); #282672=ORIENTED_EDGE('',*,*,#204132,.T.); #282673=ORIENTED_EDGE('',*,*,#204134,.F.); #282674=ORIENTED_EDGE('',*,*,#203968,.F.); #282675=ORIENTED_EDGE('',*,*,#204134,.T.); #282676=ORIENTED_EDGE('',*,*,#204131,.T.); #282677=ORIENTED_EDGE('',*,*,#204128,.T.); #282678=ORIENTED_EDGE('',*,*,#204125,.T.); #282679=ORIENTED_EDGE('',*,*,#204122,.T.); #282680=ORIENTED_EDGE('',*,*,#204119,.T.); #282681=ORIENTED_EDGE('',*,*,#204116,.T.); #282682=ORIENTED_EDGE('',*,*,#204113,.T.); #282683=ORIENTED_EDGE('',*,*,#204110,.T.); #282684=ORIENTED_EDGE('',*,*,#204107,.T.); #282685=ORIENTED_EDGE('',*,*,#204104,.T.); #282686=ORIENTED_EDGE('',*,*,#204101,.T.); #282687=ORIENTED_EDGE('',*,*,#204098,.T.); #282688=ORIENTED_EDGE('',*,*,#204095,.T.); #282689=ORIENTED_EDGE('',*,*,#204092,.T.); #282690=ORIENTED_EDGE('',*,*,#204089,.T.); #282691=ORIENTED_EDGE('',*,*,#204086,.T.); #282692=ORIENTED_EDGE('',*,*,#204083,.T.); #282693=ORIENTED_EDGE('',*,*,#204080,.T.); #282694=ORIENTED_EDGE('',*,*,#204077,.T.); #282695=ORIENTED_EDGE('',*,*,#204074,.T.); #282696=ORIENTED_EDGE('',*,*,#204071,.T.); #282697=ORIENTED_EDGE('',*,*,#204068,.T.); #282698=ORIENTED_EDGE('',*,*,#204065,.T.); #282699=ORIENTED_EDGE('',*,*,#204062,.T.); #282700=ORIENTED_EDGE('',*,*,#204059,.T.); #282701=ORIENTED_EDGE('',*,*,#204056,.T.); #282702=ORIENTED_EDGE('',*,*,#204053,.T.); #282703=ORIENTED_EDGE('',*,*,#204050,.T.); #282704=ORIENTED_EDGE('',*,*,#204047,.T.); #282705=ORIENTED_EDGE('',*,*,#204044,.T.); #282706=ORIENTED_EDGE('',*,*,#204041,.T.); #282707=ORIENTED_EDGE('',*,*,#204038,.T.); #282708=ORIENTED_EDGE('',*,*,#204035,.T.); #282709=ORIENTED_EDGE('',*,*,#204032,.T.); #282710=ORIENTED_EDGE('',*,*,#204029,.T.); #282711=ORIENTED_EDGE('',*,*,#204026,.T.); #282712=ORIENTED_EDGE('',*,*,#204023,.T.); #282713=ORIENTED_EDGE('',*,*,#204020,.T.); #282714=ORIENTED_EDGE('',*,*,#204017,.T.); #282715=ORIENTED_EDGE('',*,*,#204014,.T.); #282716=ORIENTED_EDGE('',*,*,#204011,.T.); #282717=ORIENTED_EDGE('',*,*,#204008,.T.); #282718=ORIENTED_EDGE('',*,*,#204005,.T.); #282719=ORIENTED_EDGE('',*,*,#204002,.T.); #282720=ORIENTED_EDGE('',*,*,#203999,.T.); #282721=ORIENTED_EDGE('',*,*,#203996,.T.); #282722=ORIENTED_EDGE('',*,*,#203993,.T.); #282723=ORIENTED_EDGE('',*,*,#203990,.T.); #282724=ORIENTED_EDGE('',*,*,#203987,.T.); #282725=ORIENTED_EDGE('',*,*,#203984,.T.); #282726=ORIENTED_EDGE('',*,*,#203981,.T.); #282727=ORIENTED_EDGE('',*,*,#203978,.T.); #282728=ORIENTED_EDGE('',*,*,#203975,.T.); #282729=ORIENTED_EDGE('',*,*,#203972,.T.); #282730=ORIENTED_EDGE('',*,*,#203969,.T.); #282731=ORIENTED_EDGE('',*,*,#203962,.T.); #282732=ORIENTED_EDGE('',*,*,#203965,.T.); #282733=ORIENTED_EDGE('',*,*,#203955,.T.); #282734=ORIENTED_EDGE('',*,*,#203959,.T.); #282735=ORIENTED_EDGE('',*,*,#204133,.F.); #282736=ORIENTED_EDGE('',*,*,#203967,.F.); #282737=ORIENTED_EDGE('',*,*,#203971,.F.); #282738=ORIENTED_EDGE('',*,*,#203974,.F.); #282739=ORIENTED_EDGE('',*,*,#203977,.F.); #282740=ORIENTED_EDGE('',*,*,#203980,.F.); #282741=ORIENTED_EDGE('',*,*,#203983,.F.); #282742=ORIENTED_EDGE('',*,*,#203986,.F.); #282743=ORIENTED_EDGE('',*,*,#203989,.F.); #282744=ORIENTED_EDGE('',*,*,#203992,.F.); #282745=ORIENTED_EDGE('',*,*,#203995,.F.); #282746=ORIENTED_EDGE('',*,*,#203998,.F.); #282747=ORIENTED_EDGE('',*,*,#204001,.F.); #282748=ORIENTED_EDGE('',*,*,#204004,.F.); #282749=ORIENTED_EDGE('',*,*,#204007,.F.); #282750=ORIENTED_EDGE('',*,*,#204010,.F.); #282751=ORIENTED_EDGE('',*,*,#204013,.F.); #282752=ORIENTED_EDGE('',*,*,#204016,.F.); #282753=ORIENTED_EDGE('',*,*,#204019,.F.); #282754=ORIENTED_EDGE('',*,*,#204022,.F.); #282755=ORIENTED_EDGE('',*,*,#204025,.F.); #282756=ORIENTED_EDGE('',*,*,#204028,.F.); #282757=ORIENTED_EDGE('',*,*,#204031,.F.); #282758=ORIENTED_EDGE('',*,*,#204034,.F.); #282759=ORIENTED_EDGE('',*,*,#204037,.F.); #282760=ORIENTED_EDGE('',*,*,#204040,.F.); #282761=ORIENTED_EDGE('',*,*,#204043,.F.); #282762=ORIENTED_EDGE('',*,*,#204046,.F.); #282763=ORIENTED_EDGE('',*,*,#204049,.F.); #282764=ORIENTED_EDGE('',*,*,#204052,.F.); #282765=ORIENTED_EDGE('',*,*,#204055,.F.); #282766=ORIENTED_EDGE('',*,*,#204058,.F.); #282767=ORIENTED_EDGE('',*,*,#204061,.F.); #282768=ORIENTED_EDGE('',*,*,#204064,.F.); #282769=ORIENTED_EDGE('',*,*,#204067,.F.); #282770=ORIENTED_EDGE('',*,*,#204070,.F.); #282771=ORIENTED_EDGE('',*,*,#204073,.F.); #282772=ORIENTED_EDGE('',*,*,#204076,.F.); #282773=ORIENTED_EDGE('',*,*,#204079,.F.); #282774=ORIENTED_EDGE('',*,*,#204082,.F.); #282775=ORIENTED_EDGE('',*,*,#204085,.F.); #282776=ORIENTED_EDGE('',*,*,#204088,.F.); #282777=ORIENTED_EDGE('',*,*,#204091,.F.); #282778=ORIENTED_EDGE('',*,*,#204094,.F.); #282779=ORIENTED_EDGE('',*,*,#204097,.F.); #282780=ORIENTED_EDGE('',*,*,#204100,.F.); #282781=ORIENTED_EDGE('',*,*,#204103,.F.); #282782=ORIENTED_EDGE('',*,*,#204106,.F.); #282783=ORIENTED_EDGE('',*,*,#204109,.F.); #282784=ORIENTED_EDGE('',*,*,#204112,.F.); #282785=ORIENTED_EDGE('',*,*,#204115,.F.); #282786=ORIENTED_EDGE('',*,*,#204118,.F.); #282787=ORIENTED_EDGE('',*,*,#204121,.F.); #282788=ORIENTED_EDGE('',*,*,#204124,.F.); #282789=ORIENTED_EDGE('',*,*,#204127,.F.); #282790=ORIENTED_EDGE('',*,*,#204130,.F.); #282791=ORIENTED_EDGE('',*,*,#203963,.T.); #282792=ORIENTED_EDGE('',*,*,#203960,.T.); #282793=ORIENTED_EDGE('',*,*,#203957,.T.); #282794=ORIENTED_EDGE('',*,*,#203966,.T.); #282795=ORIENTED_EDGE('',*,*,#204135,.F.); #282796=ORIENTED_EDGE('',*,*,#204136,.T.); #282797=ORIENTED_EDGE('',*,*,#204137,.F.); #282798=ORIENTED_EDGE('',*,*,#204136,.F.); #282799=ORIENTED_EDGE('',*,*,#204138,.T.); #282800=ORIENTED_EDGE('',*,*,#204139,.T.); #282801=ORIENTED_EDGE('',*,*,#204140,.F.); #282802=ORIENTED_EDGE('',*,*,#204141,.F.); #282803=ORIENTED_EDGE('',*,*,#204142,.T.); #282804=ORIENTED_EDGE('',*,*,#204141,.T.); #282805=ORIENTED_EDGE('',*,*,#204143,.F.); #282806=ORIENTED_EDGE('',*,*,#204144,.F.); #282807=ORIENTED_EDGE('',*,*,#204145,.T.); #282808=ORIENTED_EDGE('',*,*,#204144,.T.); #282809=ORIENTED_EDGE('',*,*,#204146,.F.); #282810=ORIENTED_EDGE('',*,*,#204139,.F.); #282811=ORIENTED_EDGE('',*,*,#204146,.T.); #282812=ORIENTED_EDGE('',*,*,#204143,.T.); #282813=ORIENTED_EDGE('',*,*,#204140,.T.); #282814=ORIENTED_EDGE('',*,*,#204137,.T.); #282815=ORIENTED_EDGE('',*,*,#204145,.F.); #282816=ORIENTED_EDGE('',*,*,#204138,.F.); #282817=ORIENTED_EDGE('',*,*,#204142,.F.); #282818=ORIENTED_EDGE('',*,*,#204135,.T.); #282819=ORIENTED_EDGE('',*,*,#204147,.F.); #282820=ORIENTED_EDGE('',*,*,#204148,.T.); #282821=ORIENTED_EDGE('',*,*,#204149,.F.); #282822=ORIENTED_EDGE('',*,*,#204148,.F.); #282823=ORIENTED_EDGE('',*,*,#204150,.T.); #282824=ORIENTED_EDGE('',*,*,#204151,.T.); #282825=ORIENTED_EDGE('',*,*,#204152,.F.); #282826=ORIENTED_EDGE('',*,*,#204153,.F.); #282827=ORIENTED_EDGE('',*,*,#204154,.T.); #282828=ORIENTED_EDGE('',*,*,#204153,.T.); #282829=ORIENTED_EDGE('',*,*,#204155,.F.); #282830=ORIENTED_EDGE('',*,*,#204156,.F.); #282831=ORIENTED_EDGE('',*,*,#204157,.T.); #282832=ORIENTED_EDGE('',*,*,#204156,.T.); #282833=ORIENTED_EDGE('',*,*,#204158,.F.); #282834=ORIENTED_EDGE('',*,*,#204151,.F.); #282835=ORIENTED_EDGE('',*,*,#204158,.T.); #282836=ORIENTED_EDGE('',*,*,#204155,.T.); #282837=ORIENTED_EDGE('',*,*,#204152,.T.); #282838=ORIENTED_EDGE('',*,*,#204149,.T.); #282839=ORIENTED_EDGE('',*,*,#204157,.F.); #282840=ORIENTED_EDGE('',*,*,#204150,.F.); #282841=ORIENTED_EDGE('',*,*,#204154,.F.); #282842=ORIENTED_EDGE('',*,*,#204147,.T.); #282843=ORIENTED_EDGE('',*,*,#204159,.F.); #282844=ORIENTED_EDGE('',*,*,#204160,.T.); #282845=ORIENTED_EDGE('',*,*,#204161,.F.); #282846=ORIENTED_EDGE('',*,*,#204160,.F.); #282847=ORIENTED_EDGE('',*,*,#204162,.T.); #282848=ORIENTED_EDGE('',*,*,#204163,.T.); #282849=ORIENTED_EDGE('',*,*,#204164,.F.); #282850=ORIENTED_EDGE('',*,*,#204165,.F.); #282851=ORIENTED_EDGE('',*,*,#204166,.T.); #282852=ORIENTED_EDGE('',*,*,#204165,.T.); #282853=ORIENTED_EDGE('',*,*,#204167,.F.); #282854=ORIENTED_EDGE('',*,*,#204168,.F.); #282855=ORIENTED_EDGE('',*,*,#204169,.T.); #282856=ORIENTED_EDGE('',*,*,#204168,.T.); #282857=ORIENTED_EDGE('',*,*,#204170,.F.); #282858=ORIENTED_EDGE('',*,*,#204163,.F.); #282859=ORIENTED_EDGE('',*,*,#204170,.T.); #282860=ORIENTED_EDGE('',*,*,#204167,.T.); #282861=ORIENTED_EDGE('',*,*,#204164,.T.); #282862=ORIENTED_EDGE('',*,*,#204161,.T.); #282863=ORIENTED_EDGE('',*,*,#204169,.F.); #282864=ORIENTED_EDGE('',*,*,#204162,.F.); #282865=ORIENTED_EDGE('',*,*,#204166,.F.); #282866=ORIENTED_EDGE('',*,*,#204159,.T.); #282867=ORIENTED_EDGE('',*,*,#204171,.F.); #282868=ORIENTED_EDGE('',*,*,#204172,.T.); #282869=ORIENTED_EDGE('',*,*,#204173,.F.); #282870=ORIENTED_EDGE('',*,*,#204172,.F.); #282871=ORIENTED_EDGE('',*,*,#204174,.T.); #282872=ORIENTED_EDGE('',*,*,#204175,.T.); #282873=ORIENTED_EDGE('',*,*,#204176,.F.); #282874=ORIENTED_EDGE('',*,*,#204177,.F.); #282875=ORIENTED_EDGE('',*,*,#204178,.T.); #282876=ORIENTED_EDGE('',*,*,#204177,.T.); #282877=ORIENTED_EDGE('',*,*,#204179,.F.); #282878=ORIENTED_EDGE('',*,*,#204180,.F.); #282879=ORIENTED_EDGE('',*,*,#204181,.T.); #282880=ORIENTED_EDGE('',*,*,#204180,.T.); #282881=ORIENTED_EDGE('',*,*,#204182,.F.); #282882=ORIENTED_EDGE('',*,*,#204183,.F.); #282883=ORIENTED_EDGE('',*,*,#204184,.T.); #282884=ORIENTED_EDGE('',*,*,#204183,.T.); #282885=ORIENTED_EDGE('',*,*,#204185,.F.); #282886=ORIENTED_EDGE('',*,*,#204175,.F.); #282887=ORIENTED_EDGE('',*,*,#204185,.T.); #282888=ORIENTED_EDGE('',*,*,#204182,.T.); #282889=ORIENTED_EDGE('',*,*,#204179,.T.); #282890=ORIENTED_EDGE('',*,*,#204176,.T.); #282891=ORIENTED_EDGE('',*,*,#204173,.T.); #282892=ORIENTED_EDGE('',*,*,#204184,.F.); #282893=ORIENTED_EDGE('',*,*,#204174,.F.); #282894=ORIENTED_EDGE('',*,*,#204178,.F.); #282895=ORIENTED_EDGE('',*,*,#204181,.F.); #282896=ORIENTED_EDGE('',*,*,#204171,.T.); #282897=ORIENTED_EDGE('',*,*,#204186,.F.); #282898=ORIENTED_EDGE('',*,*,#204187,.T.); #282899=ORIENTED_EDGE('',*,*,#204188,.F.); #282900=ORIENTED_EDGE('',*,*,#204187,.F.); #282901=ORIENTED_EDGE('',*,*,#204189,.T.); #282902=ORIENTED_EDGE('',*,*,#204190,.T.); #282903=ORIENTED_EDGE('',*,*,#204191,.F.); #282904=ORIENTED_EDGE('',*,*,#204192,.F.); #282905=ORIENTED_EDGE('',*,*,#204193,.T.); #282906=ORIENTED_EDGE('',*,*,#204192,.T.); #282907=ORIENTED_EDGE('',*,*,#204194,.F.); #282908=ORIENTED_EDGE('',*,*,#204195,.F.); #282909=ORIENTED_EDGE('',*,*,#204196,.T.); #282910=ORIENTED_EDGE('',*,*,#204195,.T.); #282911=ORIENTED_EDGE('',*,*,#204197,.F.); #282912=ORIENTED_EDGE('',*,*,#204190,.F.); #282913=ORIENTED_EDGE('',*,*,#204197,.T.); #282914=ORIENTED_EDGE('',*,*,#204194,.T.); #282915=ORIENTED_EDGE('',*,*,#204191,.T.); #282916=ORIENTED_EDGE('',*,*,#204188,.T.); #282917=ORIENTED_EDGE('',*,*,#204196,.F.); #282918=ORIENTED_EDGE('',*,*,#204189,.F.); #282919=ORIENTED_EDGE('',*,*,#204193,.F.); #282920=ORIENTED_EDGE('',*,*,#204186,.T.); #282921=ORIENTED_EDGE('',*,*,#204198,.T.); #282922=ORIENTED_EDGE('',*,*,#204199,.T.); #282923=ORIENTED_EDGE('',*,*,#204200,.F.); #282924=ORIENTED_EDGE('',*,*,#204201,.F.); #282925=ORIENTED_EDGE('',*,*,#204202,.T.); #282926=ORIENTED_EDGE('',*,*,#204201,.T.); #282927=ORIENTED_EDGE('',*,*,#204203,.F.); #282928=ORIENTED_EDGE('',*,*,#204204,.F.); #282929=ORIENTED_EDGE('',*,*,#204205,.T.); #282930=ORIENTED_EDGE('',*,*,#204204,.T.); #282931=ORIENTED_EDGE('',*,*,#204206,.F.); #282932=ORIENTED_EDGE('',*,*,#204207,.F.); #282933=ORIENTED_EDGE('',*,*,#204208,.T.); #282934=ORIENTED_EDGE('',*,*,#204207,.T.); #282935=ORIENTED_EDGE('',*,*,#204209,.F.); #282936=ORIENTED_EDGE('',*,*,#204199,.F.); #282937=ORIENTED_EDGE('',*,*,#204209,.T.); #282938=ORIENTED_EDGE('',*,*,#204206,.T.); #282939=ORIENTED_EDGE('',*,*,#204203,.T.); #282940=ORIENTED_EDGE('',*,*,#204200,.T.); #282941=ORIENTED_EDGE('',*,*,#204208,.F.); #282942=ORIENTED_EDGE('',*,*,#204198,.F.); #282943=ORIENTED_EDGE('',*,*,#204202,.F.); #282944=ORIENTED_EDGE('',*,*,#204205,.F.); #282945=ORIENTED_EDGE('',*,*,#204210,.T.); #282946=ORIENTED_EDGE('',*,*,#204211,.T.); #282947=ORIENTED_EDGE('',*,*,#204212,.F.); #282948=ORIENTED_EDGE('',*,*,#204213,.F.); #282949=ORIENTED_EDGE('',*,*,#204214,.T.); #282950=ORIENTED_EDGE('',*,*,#204213,.T.); #282951=ORIENTED_EDGE('',*,*,#204215,.F.); #282952=ORIENTED_EDGE('',*,*,#204216,.F.); #282953=ORIENTED_EDGE('',*,*,#204217,.T.); #282954=ORIENTED_EDGE('',*,*,#204216,.T.); #282955=ORIENTED_EDGE('',*,*,#204218,.F.); #282956=ORIENTED_EDGE('',*,*,#204219,.F.); #282957=ORIENTED_EDGE('',*,*,#204220,.T.); #282958=ORIENTED_EDGE('',*,*,#204219,.T.); #282959=ORIENTED_EDGE('',*,*,#204221,.F.); #282960=ORIENTED_EDGE('',*,*,#204211,.F.); #282961=ORIENTED_EDGE('',*,*,#204221,.T.); #282962=ORIENTED_EDGE('',*,*,#204218,.T.); #282963=ORIENTED_EDGE('',*,*,#204215,.T.); #282964=ORIENTED_EDGE('',*,*,#204212,.T.); #282965=ORIENTED_EDGE('',*,*,#204220,.F.); #282966=ORIENTED_EDGE('',*,*,#204210,.F.); #282967=ORIENTED_EDGE('',*,*,#204214,.F.); #282968=ORIENTED_EDGE('',*,*,#204217,.F.); #282969=ORIENTED_EDGE('',*,*,#204222,.T.); #282970=ORIENTED_EDGE('',*,*,#204223,.T.); #282971=ORIENTED_EDGE('',*,*,#204224,.F.); #282972=ORIENTED_EDGE('',*,*,#204225,.F.); #282973=ORIENTED_EDGE('',*,*,#204226,.T.); #282974=ORIENTED_EDGE('',*,*,#204225,.T.); #282975=ORIENTED_EDGE('',*,*,#204227,.F.); #282976=ORIENTED_EDGE('',*,*,#204228,.F.); #282977=ORIENTED_EDGE('',*,*,#204229,.T.); #282978=ORIENTED_EDGE('',*,*,#204228,.T.); #282979=ORIENTED_EDGE('',*,*,#204230,.F.); #282980=ORIENTED_EDGE('',*,*,#204231,.F.); #282981=ORIENTED_EDGE('',*,*,#204232,.T.); #282982=ORIENTED_EDGE('',*,*,#204231,.T.); #282983=ORIENTED_EDGE('',*,*,#204233,.F.); #282984=ORIENTED_EDGE('',*,*,#204223,.F.); #282985=ORIENTED_EDGE('',*,*,#204233,.T.); #282986=ORIENTED_EDGE('',*,*,#204230,.T.); #282987=ORIENTED_EDGE('',*,*,#204227,.T.); #282988=ORIENTED_EDGE('',*,*,#204224,.T.); #282989=ORIENTED_EDGE('',*,*,#204232,.F.); #282990=ORIENTED_EDGE('',*,*,#204222,.F.); #282991=ORIENTED_EDGE('',*,*,#204226,.F.); #282992=ORIENTED_EDGE('',*,*,#204229,.F.); #282993=ORIENTED_EDGE('',*,*,#204234,.T.); #282994=ORIENTED_EDGE('',*,*,#204235,.T.); #282995=ORIENTED_EDGE('',*,*,#204236,.F.); #282996=ORIENTED_EDGE('',*,*,#204237,.F.); #282997=ORIENTED_EDGE('',*,*,#204238,.T.); #282998=ORIENTED_EDGE('',*,*,#204237,.T.); #282999=ORIENTED_EDGE('',*,*,#204239,.F.); #283000=ORIENTED_EDGE('',*,*,#204240,.F.); #283001=ORIENTED_EDGE('',*,*,#204241,.T.); #283002=ORIENTED_EDGE('',*,*,#204240,.T.); #283003=ORIENTED_EDGE('',*,*,#204242,.F.); #283004=ORIENTED_EDGE('',*,*,#204243,.F.); #283005=ORIENTED_EDGE('',*,*,#204244,.T.); #283006=ORIENTED_EDGE('',*,*,#204243,.T.); #283007=ORIENTED_EDGE('',*,*,#204245,.F.); #283008=ORIENTED_EDGE('',*,*,#204235,.F.); #283009=ORIENTED_EDGE('',*,*,#204245,.T.); #283010=ORIENTED_EDGE('',*,*,#204242,.T.); #283011=ORIENTED_EDGE('',*,*,#204239,.T.); #283012=ORIENTED_EDGE('',*,*,#204236,.T.); #283013=ORIENTED_EDGE('',*,*,#204244,.F.); #283014=ORIENTED_EDGE('',*,*,#204234,.F.); #283015=ORIENTED_EDGE('',*,*,#204238,.F.); #283016=ORIENTED_EDGE('',*,*,#204241,.F.); #283017=ORIENTED_EDGE('',*,*,#204246,.T.); #283018=ORIENTED_EDGE('',*,*,#204247,.T.); #283019=ORIENTED_EDGE('',*,*,#204248,.F.); #283020=ORIENTED_EDGE('',*,*,#204249,.F.); #283021=ORIENTED_EDGE('',*,*,#204250,.T.); #283022=ORIENTED_EDGE('',*,*,#204249,.T.); #283023=ORIENTED_EDGE('',*,*,#204251,.F.); #283024=ORIENTED_EDGE('',*,*,#204252,.F.); #283025=ORIENTED_EDGE('',*,*,#204253,.T.); #283026=ORIENTED_EDGE('',*,*,#204252,.T.); #283027=ORIENTED_EDGE('',*,*,#204254,.F.); #283028=ORIENTED_EDGE('',*,*,#204255,.F.); #283029=ORIENTED_EDGE('',*,*,#204256,.T.); #283030=ORIENTED_EDGE('',*,*,#204255,.T.); #283031=ORIENTED_EDGE('',*,*,#204257,.F.); #283032=ORIENTED_EDGE('',*,*,#204247,.F.); #283033=ORIENTED_EDGE('',*,*,#204257,.T.); #283034=ORIENTED_EDGE('',*,*,#204254,.T.); #283035=ORIENTED_EDGE('',*,*,#204251,.T.); #283036=ORIENTED_EDGE('',*,*,#204248,.T.); #283037=ORIENTED_EDGE('',*,*,#204256,.F.); #283038=ORIENTED_EDGE('',*,*,#204246,.F.); #283039=ORIENTED_EDGE('',*,*,#204250,.F.); #283040=ORIENTED_EDGE('',*,*,#204253,.F.); #283041=ORIENTED_EDGE('',*,*,#204258,.T.); #283042=ORIENTED_EDGE('',*,*,#204259,.T.); #283043=ORIENTED_EDGE('',*,*,#204260,.F.); #283044=ORIENTED_EDGE('',*,*,#204261,.F.); #283045=ORIENTED_EDGE('',*,*,#204262,.T.); #283046=ORIENTED_EDGE('',*,*,#204261,.T.); #283047=ORIENTED_EDGE('',*,*,#204263,.F.); #283048=ORIENTED_EDGE('',*,*,#204264,.F.); #283049=ORIENTED_EDGE('',*,*,#204265,.T.); #283050=ORIENTED_EDGE('',*,*,#204264,.T.); #283051=ORIENTED_EDGE('',*,*,#204266,.F.); #283052=ORIENTED_EDGE('',*,*,#204267,.F.); #283053=ORIENTED_EDGE('',*,*,#204268,.T.); #283054=ORIENTED_EDGE('',*,*,#204267,.T.); #283055=ORIENTED_EDGE('',*,*,#204269,.F.); #283056=ORIENTED_EDGE('',*,*,#204259,.F.); #283057=ORIENTED_EDGE('',*,*,#204269,.T.); #283058=ORIENTED_EDGE('',*,*,#204266,.T.); #283059=ORIENTED_EDGE('',*,*,#204263,.T.); #283060=ORIENTED_EDGE('',*,*,#204260,.T.); #283061=ORIENTED_EDGE('',*,*,#204268,.F.); #283062=ORIENTED_EDGE('',*,*,#204258,.F.); #283063=ORIENTED_EDGE('',*,*,#204262,.F.); #283064=ORIENTED_EDGE('',*,*,#204265,.F.); #283065=ORIENTED_EDGE('',*,*,#204270,.T.); #283066=ORIENTED_EDGE('',*,*,#204271,.T.); #283067=ORIENTED_EDGE('',*,*,#204272,.F.); #283068=ORIENTED_EDGE('',*,*,#204273,.F.); #283069=ORIENTED_EDGE('',*,*,#204274,.T.); #283070=ORIENTED_EDGE('',*,*,#204273,.T.); #283071=ORIENTED_EDGE('',*,*,#204275,.F.); #283072=ORIENTED_EDGE('',*,*,#204276,.F.); #283073=ORIENTED_EDGE('',*,*,#204277,.T.); #283074=ORIENTED_EDGE('',*,*,#204276,.T.); #283075=ORIENTED_EDGE('',*,*,#204278,.F.); #283076=ORIENTED_EDGE('',*,*,#204279,.F.); #283077=ORIENTED_EDGE('',*,*,#204280,.T.); #283078=ORIENTED_EDGE('',*,*,#204279,.T.); #283079=ORIENTED_EDGE('',*,*,#204281,.F.); #283080=ORIENTED_EDGE('',*,*,#204271,.F.); #283081=ORIENTED_EDGE('',*,*,#204281,.T.); #283082=ORIENTED_EDGE('',*,*,#204278,.T.); #283083=ORIENTED_EDGE('',*,*,#204275,.T.); #283084=ORIENTED_EDGE('',*,*,#204272,.T.); #283085=ORIENTED_EDGE('',*,*,#204280,.F.); #283086=ORIENTED_EDGE('',*,*,#204270,.F.); #283087=ORIENTED_EDGE('',*,*,#204274,.F.); #283088=ORIENTED_EDGE('',*,*,#204277,.F.); #283089=ORIENTED_EDGE('',*,*,#204282,.T.); #283090=ORIENTED_EDGE('',*,*,#204283,.T.); #283091=ORIENTED_EDGE('',*,*,#204284,.F.); #283092=ORIENTED_EDGE('',*,*,#204285,.F.); #283093=ORIENTED_EDGE('',*,*,#204286,.T.); #283094=ORIENTED_EDGE('',*,*,#204285,.T.); #283095=ORIENTED_EDGE('',*,*,#204287,.F.); #283096=ORIENTED_EDGE('',*,*,#204288,.F.); #283097=ORIENTED_EDGE('',*,*,#204289,.T.); #283098=ORIENTED_EDGE('',*,*,#204288,.T.); #283099=ORIENTED_EDGE('',*,*,#204290,.F.); #283100=ORIENTED_EDGE('',*,*,#204291,.F.); #283101=ORIENTED_EDGE('',*,*,#204292,.T.); #283102=ORIENTED_EDGE('',*,*,#204291,.T.); #283103=ORIENTED_EDGE('',*,*,#204293,.F.); #283104=ORIENTED_EDGE('',*,*,#204283,.F.); #283105=ORIENTED_EDGE('',*,*,#204293,.T.); #283106=ORIENTED_EDGE('',*,*,#204290,.T.); #283107=ORIENTED_EDGE('',*,*,#204287,.T.); #283108=ORIENTED_EDGE('',*,*,#204284,.T.); #283109=ORIENTED_EDGE('',*,*,#204292,.F.); #283110=ORIENTED_EDGE('',*,*,#204282,.F.); #283111=ORIENTED_EDGE('',*,*,#204286,.F.); #283112=ORIENTED_EDGE('',*,*,#204289,.F.); #283113=ORIENTED_EDGE('',*,*,#204294,.T.); #283114=ORIENTED_EDGE('',*,*,#204295,.T.); #283115=ORIENTED_EDGE('',*,*,#204296,.F.); #283116=ORIENTED_EDGE('',*,*,#204297,.F.); #283117=ORIENTED_EDGE('',*,*,#204298,.T.); #283118=ORIENTED_EDGE('',*,*,#204297,.T.); #283119=ORIENTED_EDGE('',*,*,#204299,.F.); #283120=ORIENTED_EDGE('',*,*,#204300,.F.); #283121=ORIENTED_EDGE('',*,*,#204301,.T.); #283122=ORIENTED_EDGE('',*,*,#204300,.T.); #283123=ORIENTED_EDGE('',*,*,#204302,.F.); #283124=ORIENTED_EDGE('',*,*,#204303,.F.); #283125=ORIENTED_EDGE('',*,*,#204304,.T.); #283126=ORIENTED_EDGE('',*,*,#204303,.T.); #283127=ORIENTED_EDGE('',*,*,#204305,.F.); #283128=ORIENTED_EDGE('',*,*,#204295,.F.); #283129=ORIENTED_EDGE('',*,*,#204305,.T.); #283130=ORIENTED_EDGE('',*,*,#204302,.T.); #283131=ORIENTED_EDGE('',*,*,#204299,.T.); #283132=ORIENTED_EDGE('',*,*,#204296,.T.); #283133=ORIENTED_EDGE('',*,*,#204304,.F.); #283134=ORIENTED_EDGE('',*,*,#204294,.F.); #283135=ORIENTED_EDGE('',*,*,#204298,.F.); #283136=ORIENTED_EDGE('',*,*,#204301,.F.); #283137=ORIENTED_EDGE('',*,*,#204306,.T.); #283138=ORIENTED_EDGE('',*,*,#204307,.T.); #283139=ORIENTED_EDGE('',*,*,#204308,.F.); #283140=ORIENTED_EDGE('',*,*,#204309,.F.); #283141=ORIENTED_EDGE('',*,*,#204310,.T.); #283142=ORIENTED_EDGE('',*,*,#204309,.T.); #283143=ORIENTED_EDGE('',*,*,#204311,.F.); #283144=ORIENTED_EDGE('',*,*,#204312,.F.); #283145=ORIENTED_EDGE('',*,*,#204313,.T.); #283146=ORIENTED_EDGE('',*,*,#204312,.T.); #283147=ORIENTED_EDGE('',*,*,#204314,.F.); #283148=ORIENTED_EDGE('',*,*,#204315,.F.); #283149=ORIENTED_EDGE('',*,*,#204316,.T.); #283150=ORIENTED_EDGE('',*,*,#204315,.T.); #283151=ORIENTED_EDGE('',*,*,#204317,.F.); #283152=ORIENTED_EDGE('',*,*,#204307,.F.); #283153=ORIENTED_EDGE('',*,*,#204317,.T.); #283154=ORIENTED_EDGE('',*,*,#204314,.T.); #283155=ORIENTED_EDGE('',*,*,#204311,.T.); #283156=ORIENTED_EDGE('',*,*,#204308,.T.); #283157=ORIENTED_EDGE('',*,*,#204316,.F.); #283158=ORIENTED_EDGE('',*,*,#204306,.F.); #283159=ORIENTED_EDGE('',*,*,#204310,.F.); #283160=ORIENTED_EDGE('',*,*,#204313,.F.); #283161=ORIENTED_EDGE('',*,*,#204318,.T.); #283162=ORIENTED_EDGE('',*,*,#204319,.T.); #283163=ORIENTED_EDGE('',*,*,#204320,.F.); #283164=ORIENTED_EDGE('',*,*,#204321,.F.); #283165=ORIENTED_EDGE('',*,*,#204322,.T.); #283166=ORIENTED_EDGE('',*,*,#204321,.T.); #283167=ORIENTED_EDGE('',*,*,#204323,.F.); #283168=ORIENTED_EDGE('',*,*,#204324,.F.); #283169=ORIENTED_EDGE('',*,*,#204325,.T.); #283170=ORIENTED_EDGE('',*,*,#204324,.T.); #283171=ORIENTED_EDGE('',*,*,#204326,.F.); #283172=ORIENTED_EDGE('',*,*,#204327,.F.); #283173=ORIENTED_EDGE('',*,*,#204328,.T.); #283174=ORIENTED_EDGE('',*,*,#204327,.T.); #283175=ORIENTED_EDGE('',*,*,#204329,.F.); #283176=ORIENTED_EDGE('',*,*,#204319,.F.); #283177=ORIENTED_EDGE('',*,*,#204329,.T.); #283178=ORIENTED_EDGE('',*,*,#204326,.T.); #283179=ORIENTED_EDGE('',*,*,#204323,.T.); #283180=ORIENTED_EDGE('',*,*,#204320,.T.); #283181=ORIENTED_EDGE('',*,*,#204328,.F.); #283182=ORIENTED_EDGE('',*,*,#204318,.F.); #283183=ORIENTED_EDGE('',*,*,#204322,.F.); #283184=ORIENTED_EDGE('',*,*,#204325,.F.); #283185=ORIENTED_EDGE('',*,*,#204330,.T.); #283186=ORIENTED_EDGE('',*,*,#204331,.T.); #283187=ORIENTED_EDGE('',*,*,#204332,.F.); #283188=ORIENTED_EDGE('',*,*,#204333,.F.); #283189=ORIENTED_EDGE('',*,*,#204334,.T.); #283190=ORIENTED_EDGE('',*,*,#204333,.T.); #283191=ORIENTED_EDGE('',*,*,#204335,.F.); #283192=ORIENTED_EDGE('',*,*,#204336,.F.); #283193=ORIENTED_EDGE('',*,*,#204337,.T.); #283194=ORIENTED_EDGE('',*,*,#204336,.T.); #283195=ORIENTED_EDGE('',*,*,#204338,.F.); #283196=ORIENTED_EDGE('',*,*,#204339,.F.); #283197=ORIENTED_EDGE('',*,*,#204340,.T.); #283198=ORIENTED_EDGE('',*,*,#204339,.T.); #283199=ORIENTED_EDGE('',*,*,#204341,.F.); #283200=ORIENTED_EDGE('',*,*,#204331,.F.); #283201=ORIENTED_EDGE('',*,*,#204341,.T.); #283202=ORIENTED_EDGE('',*,*,#204338,.T.); #283203=ORIENTED_EDGE('',*,*,#204335,.T.); #283204=ORIENTED_EDGE('',*,*,#204332,.T.); #283205=ORIENTED_EDGE('',*,*,#204340,.F.); #283206=ORIENTED_EDGE('',*,*,#204330,.F.); #283207=ORIENTED_EDGE('',*,*,#204334,.F.); #283208=ORIENTED_EDGE('',*,*,#204337,.F.); #283209=ORIENTED_EDGE('',*,*,#204342,.T.); #283210=ORIENTED_EDGE('',*,*,#204343,.T.); #283211=ORIENTED_EDGE('',*,*,#204344,.F.); #283212=ORIENTED_EDGE('',*,*,#204345,.F.); #283213=ORIENTED_EDGE('',*,*,#204346,.T.); #283214=ORIENTED_EDGE('',*,*,#204345,.T.); #283215=ORIENTED_EDGE('',*,*,#204347,.F.); #283216=ORIENTED_EDGE('',*,*,#204348,.F.); #283217=ORIENTED_EDGE('',*,*,#204349,.T.); #283218=ORIENTED_EDGE('',*,*,#204348,.T.); #283219=ORIENTED_EDGE('',*,*,#204350,.F.); #283220=ORIENTED_EDGE('',*,*,#204351,.F.); #283221=ORIENTED_EDGE('',*,*,#204352,.T.); #283222=ORIENTED_EDGE('',*,*,#204351,.T.); #283223=ORIENTED_EDGE('',*,*,#204353,.F.); #283224=ORIENTED_EDGE('',*,*,#204343,.F.); #283225=ORIENTED_EDGE('',*,*,#204353,.T.); #283226=ORIENTED_EDGE('',*,*,#204350,.T.); #283227=ORIENTED_EDGE('',*,*,#204347,.T.); #283228=ORIENTED_EDGE('',*,*,#204344,.T.); #283229=ORIENTED_EDGE('',*,*,#204352,.F.); #283230=ORIENTED_EDGE('',*,*,#204342,.F.); #283231=ORIENTED_EDGE('',*,*,#204346,.F.); #283232=ORIENTED_EDGE('',*,*,#204349,.F.); #283233=ORIENTED_EDGE('',*,*,#204354,.T.); #283234=ORIENTED_EDGE('',*,*,#204355,.T.); #283235=ORIENTED_EDGE('',*,*,#204356,.F.); #283236=ORIENTED_EDGE('',*,*,#204357,.F.); #283237=ORIENTED_EDGE('',*,*,#204358,.T.); #283238=ORIENTED_EDGE('',*,*,#204357,.T.); #283239=ORIENTED_EDGE('',*,*,#204359,.F.); #283240=ORIENTED_EDGE('',*,*,#204360,.F.); #283241=ORIENTED_EDGE('',*,*,#204361,.T.); #283242=ORIENTED_EDGE('',*,*,#204360,.T.); #283243=ORIENTED_EDGE('',*,*,#204362,.F.); #283244=ORIENTED_EDGE('',*,*,#204363,.F.); #283245=ORIENTED_EDGE('',*,*,#204364,.T.); #283246=ORIENTED_EDGE('',*,*,#204363,.T.); #283247=ORIENTED_EDGE('',*,*,#204365,.F.); #283248=ORIENTED_EDGE('',*,*,#204355,.F.); #283249=ORIENTED_EDGE('',*,*,#204365,.T.); #283250=ORIENTED_EDGE('',*,*,#204362,.T.); #283251=ORIENTED_EDGE('',*,*,#204359,.T.); #283252=ORIENTED_EDGE('',*,*,#204356,.T.); #283253=ORIENTED_EDGE('',*,*,#204364,.F.); #283254=ORIENTED_EDGE('',*,*,#204354,.F.); #283255=ORIENTED_EDGE('',*,*,#204358,.F.); #283256=ORIENTED_EDGE('',*,*,#204361,.F.); #283257=ORIENTED_EDGE('',*,*,#204366,.T.); #283258=ORIENTED_EDGE('',*,*,#204367,.T.); #283259=ORIENTED_EDGE('',*,*,#204368,.F.); #283260=ORIENTED_EDGE('',*,*,#204369,.F.); #283261=ORIENTED_EDGE('',*,*,#204370,.T.); #283262=ORIENTED_EDGE('',*,*,#204369,.T.); #283263=ORIENTED_EDGE('',*,*,#204371,.F.); #283264=ORIENTED_EDGE('',*,*,#204372,.F.); #283265=ORIENTED_EDGE('',*,*,#204373,.T.); #283266=ORIENTED_EDGE('',*,*,#204372,.T.); #283267=ORIENTED_EDGE('',*,*,#204374,.F.); #283268=ORIENTED_EDGE('',*,*,#204375,.F.); #283269=ORIENTED_EDGE('',*,*,#204376,.T.); #283270=ORIENTED_EDGE('',*,*,#204375,.T.); #283271=ORIENTED_EDGE('',*,*,#204377,.F.); #283272=ORIENTED_EDGE('',*,*,#204367,.F.); #283273=ORIENTED_EDGE('',*,*,#204377,.T.); #283274=ORIENTED_EDGE('',*,*,#204374,.T.); #283275=ORIENTED_EDGE('',*,*,#204371,.T.); #283276=ORIENTED_EDGE('',*,*,#204368,.T.); #283277=ORIENTED_EDGE('',*,*,#204376,.F.); #283278=ORIENTED_EDGE('',*,*,#204366,.F.); #283279=ORIENTED_EDGE('',*,*,#204370,.F.); #283280=ORIENTED_EDGE('',*,*,#204373,.F.); #283281=ORIENTED_EDGE('',*,*,#204378,.T.); #283282=ORIENTED_EDGE('',*,*,#204379,.T.); #283283=ORIENTED_EDGE('',*,*,#204380,.F.); #283284=ORIENTED_EDGE('',*,*,#204381,.F.); #283285=ORIENTED_EDGE('',*,*,#204382,.T.); #283286=ORIENTED_EDGE('',*,*,#204381,.T.); #283287=ORIENTED_EDGE('',*,*,#204383,.F.); #283288=ORIENTED_EDGE('',*,*,#204384,.F.); #283289=ORIENTED_EDGE('',*,*,#204385,.T.); #283290=ORIENTED_EDGE('',*,*,#204384,.T.); #283291=ORIENTED_EDGE('',*,*,#204386,.F.); #283292=ORIENTED_EDGE('',*,*,#204387,.F.); #283293=ORIENTED_EDGE('',*,*,#204388,.T.); #283294=ORIENTED_EDGE('',*,*,#204387,.T.); #283295=ORIENTED_EDGE('',*,*,#204389,.F.); #283296=ORIENTED_EDGE('',*,*,#204379,.F.); #283297=ORIENTED_EDGE('',*,*,#204389,.T.); #283298=ORIENTED_EDGE('',*,*,#204386,.T.); #283299=ORIENTED_EDGE('',*,*,#204383,.T.); #283300=ORIENTED_EDGE('',*,*,#204380,.T.); #283301=ORIENTED_EDGE('',*,*,#204388,.F.); #283302=ORIENTED_EDGE('',*,*,#204378,.F.); #283303=ORIENTED_EDGE('',*,*,#204382,.F.); #283304=ORIENTED_EDGE('',*,*,#204385,.F.); #283305=ORIENTED_EDGE('',*,*,#204390,.T.); #283306=ORIENTED_EDGE('',*,*,#204391,.T.); #283307=ORIENTED_EDGE('',*,*,#204392,.F.); #283308=ORIENTED_EDGE('',*,*,#204393,.F.); #283309=ORIENTED_EDGE('',*,*,#204394,.T.); #283310=ORIENTED_EDGE('',*,*,#204393,.T.); #283311=ORIENTED_EDGE('',*,*,#204395,.F.); #283312=ORIENTED_EDGE('',*,*,#204396,.F.); #283313=ORIENTED_EDGE('',*,*,#204397,.T.); #283314=ORIENTED_EDGE('',*,*,#204396,.T.); #283315=ORIENTED_EDGE('',*,*,#204398,.F.); #283316=ORIENTED_EDGE('',*,*,#204399,.F.); #283317=ORIENTED_EDGE('',*,*,#204400,.T.); #283318=ORIENTED_EDGE('',*,*,#204399,.T.); #283319=ORIENTED_EDGE('',*,*,#204401,.F.); #283320=ORIENTED_EDGE('',*,*,#204391,.F.); #283321=ORIENTED_EDGE('',*,*,#204401,.T.); #283322=ORIENTED_EDGE('',*,*,#204398,.T.); #283323=ORIENTED_EDGE('',*,*,#204395,.T.); #283324=ORIENTED_EDGE('',*,*,#204392,.T.); #283325=ORIENTED_EDGE('',*,*,#204400,.F.); #283326=ORIENTED_EDGE('',*,*,#204390,.F.); #283327=ORIENTED_EDGE('',*,*,#204394,.F.); #283328=ORIENTED_EDGE('',*,*,#204397,.F.); #283329=ORIENTED_EDGE('',*,*,#204402,.T.); #283330=ORIENTED_EDGE('',*,*,#204403,.T.); #283331=ORIENTED_EDGE('',*,*,#204404,.F.); #283332=ORIENTED_EDGE('',*,*,#204405,.F.); #283333=ORIENTED_EDGE('',*,*,#204406,.T.); #283334=ORIENTED_EDGE('',*,*,#204405,.T.); #283335=ORIENTED_EDGE('',*,*,#204407,.F.); #283336=ORIENTED_EDGE('',*,*,#204408,.F.); #283337=ORIENTED_EDGE('',*,*,#204409,.T.); #283338=ORIENTED_EDGE('',*,*,#204408,.T.); #283339=ORIENTED_EDGE('',*,*,#204410,.F.); #283340=ORIENTED_EDGE('',*,*,#204411,.F.); #283341=ORIENTED_EDGE('',*,*,#204412,.T.); #283342=ORIENTED_EDGE('',*,*,#204411,.T.); #283343=ORIENTED_EDGE('',*,*,#204413,.F.); #283344=ORIENTED_EDGE('',*,*,#204403,.F.); #283345=ORIENTED_EDGE('',*,*,#204413,.T.); #283346=ORIENTED_EDGE('',*,*,#204410,.T.); #283347=ORIENTED_EDGE('',*,*,#204407,.T.); #283348=ORIENTED_EDGE('',*,*,#204404,.T.); #283349=ORIENTED_EDGE('',*,*,#204412,.F.); #283350=ORIENTED_EDGE('',*,*,#204402,.F.); #283351=ORIENTED_EDGE('',*,*,#204406,.F.); #283352=ORIENTED_EDGE('',*,*,#204409,.F.); #283353=ORIENTED_EDGE('',*,*,#204414,.T.); #283354=ORIENTED_EDGE('',*,*,#204415,.T.); #283355=ORIENTED_EDGE('',*,*,#204416,.F.); #283356=ORIENTED_EDGE('',*,*,#204417,.F.); #283357=ORIENTED_EDGE('',*,*,#204418,.T.); #283358=ORIENTED_EDGE('',*,*,#204417,.T.); #283359=ORIENTED_EDGE('',*,*,#204419,.F.); #283360=ORIENTED_EDGE('',*,*,#204420,.F.); #283361=ORIENTED_EDGE('',*,*,#204421,.T.); #283362=ORIENTED_EDGE('',*,*,#204420,.T.); #283363=ORIENTED_EDGE('',*,*,#204422,.F.); #283364=ORIENTED_EDGE('',*,*,#204423,.F.); #283365=ORIENTED_EDGE('',*,*,#204424,.T.); #283366=ORIENTED_EDGE('',*,*,#204423,.T.); #283367=ORIENTED_EDGE('',*,*,#204425,.F.); #283368=ORIENTED_EDGE('',*,*,#204415,.F.); #283369=ORIENTED_EDGE('',*,*,#204425,.T.); #283370=ORIENTED_EDGE('',*,*,#204422,.T.); #283371=ORIENTED_EDGE('',*,*,#204419,.T.); #283372=ORIENTED_EDGE('',*,*,#204416,.T.); #283373=ORIENTED_EDGE('',*,*,#204424,.F.); #283374=ORIENTED_EDGE('',*,*,#204414,.F.); #283375=ORIENTED_EDGE('',*,*,#204418,.F.); #283376=ORIENTED_EDGE('',*,*,#204421,.F.); #283377=ORIENTED_EDGE('',*,*,#204426,.T.); #283378=ORIENTED_EDGE('',*,*,#204427,.T.); #283379=ORIENTED_EDGE('',*,*,#204428,.F.); #283380=ORIENTED_EDGE('',*,*,#204429,.F.); #283381=ORIENTED_EDGE('',*,*,#204430,.T.); #283382=ORIENTED_EDGE('',*,*,#204429,.T.); #283383=ORIENTED_EDGE('',*,*,#204431,.F.); #283384=ORIENTED_EDGE('',*,*,#204432,.F.); #283385=ORIENTED_EDGE('',*,*,#204433,.T.); #283386=ORIENTED_EDGE('',*,*,#204432,.T.); #283387=ORIENTED_EDGE('',*,*,#204434,.F.); #283388=ORIENTED_EDGE('',*,*,#204435,.F.); #283389=ORIENTED_EDGE('',*,*,#204436,.T.); #283390=ORIENTED_EDGE('',*,*,#204435,.T.); #283391=ORIENTED_EDGE('',*,*,#204437,.F.); #283392=ORIENTED_EDGE('',*,*,#204427,.F.); #283393=ORIENTED_EDGE('',*,*,#204437,.T.); #283394=ORIENTED_EDGE('',*,*,#204434,.T.); #283395=ORIENTED_EDGE('',*,*,#204431,.T.); #283396=ORIENTED_EDGE('',*,*,#204428,.T.); #283397=ORIENTED_EDGE('',*,*,#204436,.F.); #283398=ORIENTED_EDGE('',*,*,#204426,.F.); #283399=ORIENTED_EDGE('',*,*,#204430,.F.); #283400=ORIENTED_EDGE('',*,*,#204433,.F.); #283401=ORIENTED_EDGE('',*,*,#204438,.T.); #283402=ORIENTED_EDGE('',*,*,#204439,.T.); #283403=ORIENTED_EDGE('',*,*,#204440,.F.); #283404=ORIENTED_EDGE('',*,*,#204441,.F.); #283405=ORIENTED_EDGE('',*,*,#204442,.T.); #283406=ORIENTED_EDGE('',*,*,#204441,.T.); #283407=ORIENTED_EDGE('',*,*,#204443,.F.); #283408=ORIENTED_EDGE('',*,*,#204444,.F.); #283409=ORIENTED_EDGE('',*,*,#204445,.T.); #283410=ORIENTED_EDGE('',*,*,#204444,.T.); #283411=ORIENTED_EDGE('',*,*,#204446,.F.); #283412=ORIENTED_EDGE('',*,*,#204447,.F.); #283413=ORIENTED_EDGE('',*,*,#204448,.T.); #283414=ORIENTED_EDGE('',*,*,#204447,.T.); #283415=ORIENTED_EDGE('',*,*,#204449,.F.); #283416=ORIENTED_EDGE('',*,*,#204439,.F.); #283417=ORIENTED_EDGE('',*,*,#204449,.T.); #283418=ORIENTED_EDGE('',*,*,#204446,.T.); #283419=ORIENTED_EDGE('',*,*,#204443,.T.); #283420=ORIENTED_EDGE('',*,*,#204440,.T.); #283421=ORIENTED_EDGE('',*,*,#204448,.F.); #283422=ORIENTED_EDGE('',*,*,#204438,.F.); #283423=ORIENTED_EDGE('',*,*,#204442,.F.); #283424=ORIENTED_EDGE('',*,*,#204445,.F.); #283425=ORIENTED_EDGE('',*,*,#204450,.T.); #283426=ORIENTED_EDGE('',*,*,#204451,.T.); #283427=ORIENTED_EDGE('',*,*,#204452,.F.); #283428=ORIENTED_EDGE('',*,*,#204453,.F.); #283429=ORIENTED_EDGE('',*,*,#204454,.T.); #283430=ORIENTED_EDGE('',*,*,#204453,.T.); #283431=ORIENTED_EDGE('',*,*,#204455,.F.); #283432=ORIENTED_EDGE('',*,*,#204456,.F.); #283433=ORIENTED_EDGE('',*,*,#204457,.T.); #283434=ORIENTED_EDGE('',*,*,#204456,.T.); #283435=ORIENTED_EDGE('',*,*,#204458,.F.); #283436=ORIENTED_EDGE('',*,*,#204459,.F.); #283437=ORIENTED_EDGE('',*,*,#204460,.T.); #283438=ORIENTED_EDGE('',*,*,#204459,.T.); #283439=ORIENTED_EDGE('',*,*,#204461,.F.); #283440=ORIENTED_EDGE('',*,*,#204451,.F.); #283441=ORIENTED_EDGE('',*,*,#204461,.T.); #283442=ORIENTED_EDGE('',*,*,#204458,.T.); #283443=ORIENTED_EDGE('',*,*,#204455,.T.); #283444=ORIENTED_EDGE('',*,*,#204452,.T.); #283445=ORIENTED_EDGE('',*,*,#204460,.F.); #283446=ORIENTED_EDGE('',*,*,#204450,.F.); #283447=ORIENTED_EDGE('',*,*,#204454,.F.); #283448=ORIENTED_EDGE('',*,*,#204457,.F.); #283449=ORIENTED_EDGE('',*,*,#204462,.T.); #283450=ORIENTED_EDGE('',*,*,#204463,.T.); #283451=ORIENTED_EDGE('',*,*,#204464,.F.); #283452=ORIENTED_EDGE('',*,*,#204465,.F.); #283453=ORIENTED_EDGE('',*,*,#204466,.T.); #283454=ORIENTED_EDGE('',*,*,#204465,.T.); #283455=ORIENTED_EDGE('',*,*,#204467,.F.); #283456=ORIENTED_EDGE('',*,*,#204468,.F.); #283457=ORIENTED_EDGE('',*,*,#204469,.T.); #283458=ORIENTED_EDGE('',*,*,#204468,.T.); #283459=ORIENTED_EDGE('',*,*,#204470,.F.); #283460=ORIENTED_EDGE('',*,*,#204471,.F.); #283461=ORIENTED_EDGE('',*,*,#204472,.T.); #283462=ORIENTED_EDGE('',*,*,#204471,.T.); #283463=ORIENTED_EDGE('',*,*,#204473,.F.); #283464=ORIENTED_EDGE('',*,*,#204463,.F.); #283465=ORIENTED_EDGE('',*,*,#204473,.T.); #283466=ORIENTED_EDGE('',*,*,#204470,.T.); #283467=ORIENTED_EDGE('',*,*,#204467,.T.); #283468=ORIENTED_EDGE('',*,*,#204464,.T.); #283469=ORIENTED_EDGE('',*,*,#204472,.F.); #283470=ORIENTED_EDGE('',*,*,#204462,.F.); #283471=ORIENTED_EDGE('',*,*,#204466,.F.); #283472=ORIENTED_EDGE('',*,*,#204469,.F.); #283473=ORIENTED_EDGE('',*,*,#204474,.F.); #283474=ORIENTED_EDGE('',*,*,#204475,.T.); #283475=ORIENTED_EDGE('',*,*,#204476,.F.); #283476=ORIENTED_EDGE('',*,*,#204475,.F.); #283477=ORIENTED_EDGE('',*,*,#204477,.F.); #283478=ORIENTED_EDGE('',*,*,#204478,.T.); #283479=ORIENTED_EDGE('',*,*,#204479,.F.); #283480=ORIENTED_EDGE('',*,*,#204478,.F.); #283481=ORIENTED_EDGE('',*,*,#204480,.T.); #283482=ORIENTED_EDGE('',*,*,#204481,.T.); #283483=ORIENTED_EDGE('',*,*,#204482,.F.); #283484=ORIENTED_EDGE('',*,*,#204483,.F.); #283485=ORIENTED_EDGE('',*,*,#204484,.T.); #283486=ORIENTED_EDGE('',*,*,#204483,.T.); #283487=ORIENTED_EDGE('',*,*,#204485,.F.); #283488=ORIENTED_EDGE('',*,*,#204486,.F.); #283489=ORIENTED_EDGE('',*,*,#204487,.T.); #283490=ORIENTED_EDGE('',*,*,#204486,.T.); #283491=ORIENTED_EDGE('',*,*,#204488,.F.); #283492=ORIENTED_EDGE('',*,*,#204489,.F.); #283493=ORIENTED_EDGE('',*,*,#204490,.T.); #283494=ORIENTED_EDGE('',*,*,#204489,.T.); #283495=ORIENTED_EDGE('',*,*,#204491,.F.); #283496=ORIENTED_EDGE('',*,*,#204481,.F.); #283497=ORIENTED_EDGE('',*,*,#204491,.T.); #283498=ORIENTED_EDGE('',*,*,#204488,.T.); #283499=ORIENTED_EDGE('',*,*,#204485,.T.); #283500=ORIENTED_EDGE('',*,*,#204482,.T.); #283501=ORIENTED_EDGE('',*,*,#204476,.T.); #283502=ORIENTED_EDGE('',*,*,#204479,.T.); #283503=ORIENTED_EDGE('',*,*,#204490,.F.); #283504=ORIENTED_EDGE('',*,*,#204480,.F.); #283505=ORIENTED_EDGE('',*,*,#204484,.F.); #283506=ORIENTED_EDGE('',*,*,#204487,.F.); #283507=ORIENTED_EDGE('',*,*,#204474,.T.); #283508=ORIENTED_EDGE('',*,*,#204477,.T.); #283509=ORIENTED_EDGE('',*,*,#204492,.T.); #283510=ORIENTED_EDGE('',*,*,#204493,.T.); #283511=ORIENTED_EDGE('',*,*,#204494,.F.); #283512=ORIENTED_EDGE('',*,*,#204495,.F.); #283513=ORIENTED_EDGE('',*,*,#204496,.T.); #283514=ORIENTED_EDGE('',*,*,#204495,.T.); #283515=ORIENTED_EDGE('',*,*,#204497,.F.); #283516=ORIENTED_EDGE('',*,*,#204498,.F.); #283517=ORIENTED_EDGE('',*,*,#204499,.T.); #283518=ORIENTED_EDGE('',*,*,#204498,.T.); #283519=ORIENTED_EDGE('',*,*,#204500,.F.); #283520=ORIENTED_EDGE('',*,*,#204501,.F.); #283521=ORIENTED_EDGE('',*,*,#204502,.T.); #283522=ORIENTED_EDGE('',*,*,#204501,.T.); #283523=ORIENTED_EDGE('',*,*,#204503,.F.); #283524=ORIENTED_EDGE('',*,*,#204493,.F.); #283525=ORIENTED_EDGE('',*,*,#204503,.T.); #283526=ORIENTED_EDGE('',*,*,#204500,.T.); #283527=ORIENTED_EDGE('',*,*,#204497,.T.); #283528=ORIENTED_EDGE('',*,*,#204494,.T.); #283529=ORIENTED_EDGE('',*,*,#204502,.F.); #283530=ORIENTED_EDGE('',*,*,#204492,.F.); #283531=ORIENTED_EDGE('',*,*,#204496,.F.); #283532=ORIENTED_EDGE('',*,*,#204499,.F.); #283533=ORIENTED_EDGE('',*,*,#204504,.F.); #283534=ORIENTED_EDGE('',*,*,#204505,.T.); #283535=ORIENTED_EDGE('',*,*,#204506,.F.); #283536=ORIENTED_EDGE('',*,*,#204505,.F.); #283537=ORIENTED_EDGE('',*,*,#204507,.F.); #283538=ORIENTED_EDGE('',*,*,#204508,.T.); #283539=ORIENTED_EDGE('',*,*,#204509,.F.); #283540=ORIENTED_EDGE('',*,*,#204508,.F.); #283541=ORIENTED_EDGE('',*,*,#204510,.T.); #283542=ORIENTED_EDGE('',*,*,#204511,.T.); #283543=ORIENTED_EDGE('',*,*,#204512,.F.); #283544=ORIENTED_EDGE('',*,*,#204513,.F.); #283545=ORIENTED_EDGE('',*,*,#204514,.T.); #283546=ORIENTED_EDGE('',*,*,#204513,.T.); #283547=ORIENTED_EDGE('',*,*,#204515,.F.); #283548=ORIENTED_EDGE('',*,*,#204516,.F.); #283549=ORIENTED_EDGE('',*,*,#204517,.T.); #283550=ORIENTED_EDGE('',*,*,#204516,.T.); #283551=ORIENTED_EDGE('',*,*,#204518,.F.); #283552=ORIENTED_EDGE('',*,*,#204519,.F.); #283553=ORIENTED_EDGE('',*,*,#204520,.T.); #283554=ORIENTED_EDGE('',*,*,#204519,.T.); #283555=ORIENTED_EDGE('',*,*,#204521,.F.); #283556=ORIENTED_EDGE('',*,*,#204511,.F.); #283557=ORIENTED_EDGE('',*,*,#204521,.T.); #283558=ORIENTED_EDGE('',*,*,#204518,.T.); #283559=ORIENTED_EDGE('',*,*,#204515,.T.); #283560=ORIENTED_EDGE('',*,*,#204512,.T.); #283561=ORIENTED_EDGE('',*,*,#204506,.T.); #283562=ORIENTED_EDGE('',*,*,#204509,.T.); #283563=ORIENTED_EDGE('',*,*,#204520,.F.); #283564=ORIENTED_EDGE('',*,*,#204510,.F.); #283565=ORIENTED_EDGE('',*,*,#204514,.F.); #283566=ORIENTED_EDGE('',*,*,#204517,.F.); #283567=ORIENTED_EDGE('',*,*,#204504,.T.); #283568=ORIENTED_EDGE('',*,*,#204507,.T.); #283569=ORIENTED_EDGE('',*,*,#204522,.T.); #283570=ORIENTED_EDGE('',*,*,#204523,.T.); #283571=ORIENTED_EDGE('',*,*,#204524,.F.); #283572=ORIENTED_EDGE('',*,*,#204525,.F.); #283573=ORIENTED_EDGE('',*,*,#204526,.T.); #283574=ORIENTED_EDGE('',*,*,#204525,.T.); #283575=ORIENTED_EDGE('',*,*,#204527,.F.); #283576=ORIENTED_EDGE('',*,*,#204528,.F.); #283577=ORIENTED_EDGE('',*,*,#204529,.T.); #283578=ORIENTED_EDGE('',*,*,#204528,.T.); #283579=ORIENTED_EDGE('',*,*,#204530,.F.); #283580=ORIENTED_EDGE('',*,*,#204531,.F.); #283581=ORIENTED_EDGE('',*,*,#204532,.T.); #283582=ORIENTED_EDGE('',*,*,#204531,.T.); #283583=ORIENTED_EDGE('',*,*,#204533,.F.); #283584=ORIENTED_EDGE('',*,*,#204523,.F.); #283585=ORIENTED_EDGE('',*,*,#204533,.T.); #283586=ORIENTED_EDGE('',*,*,#204530,.T.); #283587=ORIENTED_EDGE('',*,*,#204527,.T.); #283588=ORIENTED_EDGE('',*,*,#204524,.T.); #283589=ORIENTED_EDGE('',*,*,#204532,.F.); #283590=ORIENTED_EDGE('',*,*,#204522,.F.); #283591=ORIENTED_EDGE('',*,*,#204526,.F.); #283592=ORIENTED_EDGE('',*,*,#204529,.F.); #283593=ORIENTED_EDGE('',*,*,#204534,.T.); #283594=ORIENTED_EDGE('',*,*,#204535,.T.); #283595=ORIENTED_EDGE('',*,*,#204536,.F.); #283596=ORIENTED_EDGE('',*,*,#204537,.F.); #283597=ORIENTED_EDGE('',*,*,#204538,.T.); #283598=ORIENTED_EDGE('',*,*,#204537,.T.); #283599=ORIENTED_EDGE('',*,*,#204539,.F.); #283600=ORIENTED_EDGE('',*,*,#204540,.F.); #283601=ORIENTED_EDGE('',*,*,#204541,.T.); #283602=ORIENTED_EDGE('',*,*,#204540,.T.); #283603=ORIENTED_EDGE('',*,*,#204542,.F.); #283604=ORIENTED_EDGE('',*,*,#204543,.F.); #283605=ORIENTED_EDGE('',*,*,#204544,.T.); #283606=ORIENTED_EDGE('',*,*,#204543,.T.); #283607=ORIENTED_EDGE('',*,*,#204545,.F.); #283608=ORIENTED_EDGE('',*,*,#204535,.F.); #283609=ORIENTED_EDGE('',*,*,#204545,.T.); #283610=ORIENTED_EDGE('',*,*,#204542,.T.); #283611=ORIENTED_EDGE('',*,*,#204539,.T.); #283612=ORIENTED_EDGE('',*,*,#204536,.T.); #283613=ORIENTED_EDGE('',*,*,#204544,.F.); #283614=ORIENTED_EDGE('',*,*,#204534,.F.); #283615=ORIENTED_EDGE('',*,*,#204538,.F.); #283616=ORIENTED_EDGE('',*,*,#204541,.F.); #283617=ORIENTED_EDGE('',*,*,#204546,.T.); #283618=ORIENTED_EDGE('',*,*,#204547,.T.); #283619=ORIENTED_EDGE('',*,*,#204548,.F.); #283620=ORIENTED_EDGE('',*,*,#204549,.F.); #283621=ORIENTED_EDGE('',*,*,#204550,.T.); #283622=ORIENTED_EDGE('',*,*,#204549,.T.); #283623=ORIENTED_EDGE('',*,*,#204551,.F.); #283624=ORIENTED_EDGE('',*,*,#204552,.F.); #283625=ORIENTED_EDGE('',*,*,#204553,.T.); #283626=ORIENTED_EDGE('',*,*,#204552,.T.); #283627=ORIENTED_EDGE('',*,*,#204554,.F.); #283628=ORIENTED_EDGE('',*,*,#204555,.F.); #283629=ORIENTED_EDGE('',*,*,#204556,.T.); #283630=ORIENTED_EDGE('',*,*,#204555,.T.); #283631=ORIENTED_EDGE('',*,*,#204557,.F.); #283632=ORIENTED_EDGE('',*,*,#204547,.F.); #283633=ORIENTED_EDGE('',*,*,#204557,.T.); #283634=ORIENTED_EDGE('',*,*,#204554,.T.); #283635=ORIENTED_EDGE('',*,*,#204551,.T.); #283636=ORIENTED_EDGE('',*,*,#204548,.T.); #283637=ORIENTED_EDGE('',*,*,#204556,.F.); #283638=ORIENTED_EDGE('',*,*,#204546,.F.); #283639=ORIENTED_EDGE('',*,*,#204550,.F.); #283640=ORIENTED_EDGE('',*,*,#204553,.F.); #283641=ORIENTED_EDGE('',*,*,#204558,.T.); #283642=ORIENTED_EDGE('',*,*,#204559,.T.); #283643=ORIENTED_EDGE('',*,*,#204560,.F.); #283644=ORIENTED_EDGE('',*,*,#204561,.F.); #283645=ORIENTED_EDGE('',*,*,#204562,.T.); #283646=ORIENTED_EDGE('',*,*,#204561,.T.); #283647=ORIENTED_EDGE('',*,*,#204563,.F.); #283648=ORIENTED_EDGE('',*,*,#204564,.F.); #283649=ORIENTED_EDGE('',*,*,#204565,.T.); #283650=ORIENTED_EDGE('',*,*,#204564,.T.); #283651=ORIENTED_EDGE('',*,*,#204566,.F.); #283652=ORIENTED_EDGE('',*,*,#204567,.F.); #283653=ORIENTED_EDGE('',*,*,#204568,.T.); #283654=ORIENTED_EDGE('',*,*,#204567,.T.); #283655=ORIENTED_EDGE('',*,*,#204569,.F.); #283656=ORIENTED_EDGE('',*,*,#204559,.F.); #283657=ORIENTED_EDGE('',*,*,#204569,.T.); #283658=ORIENTED_EDGE('',*,*,#204566,.T.); #283659=ORIENTED_EDGE('',*,*,#204563,.T.); #283660=ORIENTED_EDGE('',*,*,#204560,.T.); #283661=ORIENTED_EDGE('',*,*,#204568,.F.); #283662=ORIENTED_EDGE('',*,*,#204558,.F.); #283663=ORIENTED_EDGE('',*,*,#204562,.F.); #283664=ORIENTED_EDGE('',*,*,#204565,.F.); #283665=ORIENTED_EDGE('',*,*,#204570,.T.); #283666=ORIENTED_EDGE('',*,*,#204571,.T.); #283667=ORIENTED_EDGE('',*,*,#204572,.F.); #283668=ORIENTED_EDGE('',*,*,#204573,.F.); #283669=ORIENTED_EDGE('',*,*,#204574,.T.); #283670=ORIENTED_EDGE('',*,*,#204573,.T.); #283671=ORIENTED_EDGE('',*,*,#204575,.F.); #283672=ORIENTED_EDGE('',*,*,#204576,.F.); #283673=ORIENTED_EDGE('',*,*,#204577,.T.); #283674=ORIENTED_EDGE('',*,*,#204576,.T.); #283675=ORIENTED_EDGE('',*,*,#204578,.F.); #283676=ORIENTED_EDGE('',*,*,#204579,.F.); #283677=ORIENTED_EDGE('',*,*,#204580,.T.); #283678=ORIENTED_EDGE('',*,*,#204579,.T.); #283679=ORIENTED_EDGE('',*,*,#204581,.F.); #283680=ORIENTED_EDGE('',*,*,#204571,.F.); #283681=ORIENTED_EDGE('',*,*,#204581,.T.); #283682=ORIENTED_EDGE('',*,*,#204578,.T.); #283683=ORIENTED_EDGE('',*,*,#204575,.T.); #283684=ORIENTED_EDGE('',*,*,#204572,.T.); #283685=ORIENTED_EDGE('',*,*,#204580,.F.); #283686=ORIENTED_EDGE('',*,*,#204570,.F.); #283687=ORIENTED_EDGE('',*,*,#204574,.F.); #283688=ORIENTED_EDGE('',*,*,#204577,.F.); #283689=ORIENTED_EDGE('',*,*,#204582,.T.); #283690=ORIENTED_EDGE('',*,*,#204583,.T.); #283691=ORIENTED_EDGE('',*,*,#204584,.F.); #283692=ORIENTED_EDGE('',*,*,#204585,.F.); #283693=ORIENTED_EDGE('',*,*,#204586,.T.); #283694=ORIENTED_EDGE('',*,*,#204585,.T.); #283695=ORIENTED_EDGE('',*,*,#204587,.F.); #283696=ORIENTED_EDGE('',*,*,#204588,.F.); #283697=ORIENTED_EDGE('',*,*,#204589,.T.); #283698=ORIENTED_EDGE('',*,*,#204588,.T.); #283699=ORIENTED_EDGE('',*,*,#204590,.F.); #283700=ORIENTED_EDGE('',*,*,#204591,.F.); #283701=ORIENTED_EDGE('',*,*,#204592,.T.); #283702=ORIENTED_EDGE('',*,*,#204591,.T.); #283703=ORIENTED_EDGE('',*,*,#204593,.F.); #283704=ORIENTED_EDGE('',*,*,#204583,.F.); #283705=ORIENTED_EDGE('',*,*,#204593,.T.); #283706=ORIENTED_EDGE('',*,*,#204590,.T.); #283707=ORIENTED_EDGE('',*,*,#204587,.T.); #283708=ORIENTED_EDGE('',*,*,#204584,.T.); #283709=ORIENTED_EDGE('',*,*,#204592,.F.); #283710=ORIENTED_EDGE('',*,*,#204582,.F.); #283711=ORIENTED_EDGE('',*,*,#204586,.F.); #283712=ORIENTED_EDGE('',*,*,#204589,.F.); #283713=ORIENTED_EDGE('',*,*,#204594,.T.); #283714=ORIENTED_EDGE('',*,*,#204595,.T.); #283715=ORIENTED_EDGE('',*,*,#204596,.F.); #283716=ORIENTED_EDGE('',*,*,#204597,.F.); #283717=ORIENTED_EDGE('',*,*,#204598,.T.); #283718=ORIENTED_EDGE('',*,*,#204597,.T.); #283719=ORIENTED_EDGE('',*,*,#204599,.F.); #283720=ORIENTED_EDGE('',*,*,#204600,.F.); #283721=ORIENTED_EDGE('',*,*,#204601,.T.); #283722=ORIENTED_EDGE('',*,*,#204600,.T.); #283723=ORIENTED_EDGE('',*,*,#204602,.F.); #283724=ORIENTED_EDGE('',*,*,#204603,.F.); #283725=ORIENTED_EDGE('',*,*,#204604,.T.); #283726=ORIENTED_EDGE('',*,*,#204603,.T.); #283727=ORIENTED_EDGE('',*,*,#204605,.F.); #283728=ORIENTED_EDGE('',*,*,#204595,.F.); #283729=ORIENTED_EDGE('',*,*,#204605,.T.); #283730=ORIENTED_EDGE('',*,*,#204602,.T.); #283731=ORIENTED_EDGE('',*,*,#204599,.T.); #283732=ORIENTED_EDGE('',*,*,#204596,.T.); #283733=ORIENTED_EDGE('',*,*,#204604,.F.); #283734=ORIENTED_EDGE('',*,*,#204594,.F.); #283735=ORIENTED_EDGE('',*,*,#204598,.F.); #283736=ORIENTED_EDGE('',*,*,#204601,.F.); #283737=ORIENTED_EDGE('',*,*,#204606,.T.); #283738=ORIENTED_EDGE('',*,*,#204607,.T.); #283739=ORIENTED_EDGE('',*,*,#204608,.F.); #283740=ORIENTED_EDGE('',*,*,#204609,.F.); #283741=ORIENTED_EDGE('',*,*,#204610,.T.); #283742=ORIENTED_EDGE('',*,*,#204609,.T.); #283743=ORIENTED_EDGE('',*,*,#204611,.F.); #283744=ORIENTED_EDGE('',*,*,#204612,.F.); #283745=ORIENTED_EDGE('',*,*,#204613,.T.); #283746=ORIENTED_EDGE('',*,*,#204612,.T.); #283747=ORIENTED_EDGE('',*,*,#204614,.F.); #283748=ORIENTED_EDGE('',*,*,#204615,.F.); #283749=ORIENTED_EDGE('',*,*,#204616,.T.); #283750=ORIENTED_EDGE('',*,*,#204615,.T.); #283751=ORIENTED_EDGE('',*,*,#204617,.F.); #283752=ORIENTED_EDGE('',*,*,#204607,.F.); #283753=ORIENTED_EDGE('',*,*,#204617,.T.); #283754=ORIENTED_EDGE('',*,*,#204614,.T.); #283755=ORIENTED_EDGE('',*,*,#204611,.T.); #283756=ORIENTED_EDGE('',*,*,#204608,.T.); #283757=ORIENTED_EDGE('',*,*,#204616,.F.); #283758=ORIENTED_EDGE('',*,*,#204606,.F.); #283759=ORIENTED_EDGE('',*,*,#204610,.F.); #283760=ORIENTED_EDGE('',*,*,#204613,.F.); #283761=ORIENTED_EDGE('',*,*,#204618,.T.); #283762=ORIENTED_EDGE('',*,*,#204619,.T.); #283763=ORIENTED_EDGE('',*,*,#204620,.F.); #283764=ORIENTED_EDGE('',*,*,#204621,.F.); #283765=ORIENTED_EDGE('',*,*,#204622,.T.); #283766=ORIENTED_EDGE('',*,*,#204621,.T.); #283767=ORIENTED_EDGE('',*,*,#204623,.F.); #283768=ORIENTED_EDGE('',*,*,#204624,.F.); #283769=ORIENTED_EDGE('',*,*,#204625,.T.); #283770=ORIENTED_EDGE('',*,*,#204624,.T.); #283771=ORIENTED_EDGE('',*,*,#204626,.F.); #283772=ORIENTED_EDGE('',*,*,#204627,.F.); #283773=ORIENTED_EDGE('',*,*,#204628,.T.); #283774=ORIENTED_EDGE('',*,*,#204627,.T.); #283775=ORIENTED_EDGE('',*,*,#204629,.F.); #283776=ORIENTED_EDGE('',*,*,#204619,.F.); #283777=ORIENTED_EDGE('',*,*,#204629,.T.); #283778=ORIENTED_EDGE('',*,*,#204626,.T.); #283779=ORIENTED_EDGE('',*,*,#204623,.T.); #283780=ORIENTED_EDGE('',*,*,#204620,.T.); #283781=ORIENTED_EDGE('',*,*,#204628,.F.); #283782=ORIENTED_EDGE('',*,*,#204618,.F.); #283783=ORIENTED_EDGE('',*,*,#204622,.F.); #283784=ORIENTED_EDGE('',*,*,#204625,.F.); #283785=ORIENTED_EDGE('',*,*,#204630,.T.); #283786=ORIENTED_EDGE('',*,*,#204631,.T.); #283787=ORIENTED_EDGE('',*,*,#204632,.F.); #283788=ORIENTED_EDGE('',*,*,#204633,.F.); #283789=ORIENTED_EDGE('',*,*,#204634,.T.); #283790=ORIENTED_EDGE('',*,*,#204633,.T.); #283791=ORIENTED_EDGE('',*,*,#204635,.F.); #283792=ORIENTED_EDGE('',*,*,#204636,.F.); #283793=ORIENTED_EDGE('',*,*,#204637,.T.); #283794=ORIENTED_EDGE('',*,*,#204636,.T.); #283795=ORIENTED_EDGE('',*,*,#204638,.F.); #283796=ORIENTED_EDGE('',*,*,#204639,.F.); #283797=ORIENTED_EDGE('',*,*,#204640,.T.); #283798=ORIENTED_EDGE('',*,*,#204639,.T.); #283799=ORIENTED_EDGE('',*,*,#204641,.F.); #283800=ORIENTED_EDGE('',*,*,#204631,.F.); #283801=ORIENTED_EDGE('',*,*,#204641,.T.); #283802=ORIENTED_EDGE('',*,*,#204638,.T.); #283803=ORIENTED_EDGE('',*,*,#204635,.T.); #283804=ORIENTED_EDGE('',*,*,#204632,.T.); #283805=ORIENTED_EDGE('',*,*,#204640,.F.); #283806=ORIENTED_EDGE('',*,*,#204630,.F.); #283807=ORIENTED_EDGE('',*,*,#204634,.F.); #283808=ORIENTED_EDGE('',*,*,#204637,.F.); #283809=ORIENTED_EDGE('',*,*,#204642,.T.); #283810=ORIENTED_EDGE('',*,*,#204643,.T.); #283811=ORIENTED_EDGE('',*,*,#204644,.F.); #283812=ORIENTED_EDGE('',*,*,#204645,.F.); #283813=ORIENTED_EDGE('',*,*,#204646,.T.); #283814=ORIENTED_EDGE('',*,*,#204645,.T.); #283815=ORIENTED_EDGE('',*,*,#204647,.F.); #283816=ORIENTED_EDGE('',*,*,#204648,.F.); #283817=ORIENTED_EDGE('',*,*,#204649,.T.); #283818=ORIENTED_EDGE('',*,*,#204648,.T.); #283819=ORIENTED_EDGE('',*,*,#204650,.F.); #283820=ORIENTED_EDGE('',*,*,#204651,.F.); #283821=ORIENTED_EDGE('',*,*,#204652,.T.); #283822=ORIENTED_EDGE('',*,*,#204651,.T.); #283823=ORIENTED_EDGE('',*,*,#204653,.F.); #283824=ORIENTED_EDGE('',*,*,#204643,.F.); #283825=ORIENTED_EDGE('',*,*,#204653,.T.); #283826=ORIENTED_EDGE('',*,*,#204650,.T.); #283827=ORIENTED_EDGE('',*,*,#204647,.T.); #283828=ORIENTED_EDGE('',*,*,#204644,.T.); #283829=ORIENTED_EDGE('',*,*,#204652,.F.); #283830=ORIENTED_EDGE('',*,*,#204642,.F.); #283831=ORIENTED_EDGE('',*,*,#204646,.F.); #283832=ORIENTED_EDGE('',*,*,#204649,.F.); #283833=ORIENTED_EDGE('',*,*,#204654,.T.); #283834=ORIENTED_EDGE('',*,*,#204655,.T.); #283835=ORIENTED_EDGE('',*,*,#204656,.F.); #283836=ORIENTED_EDGE('',*,*,#204657,.F.); #283837=ORIENTED_EDGE('',*,*,#204658,.T.); #283838=ORIENTED_EDGE('',*,*,#204657,.T.); #283839=ORIENTED_EDGE('',*,*,#204659,.F.); #283840=ORIENTED_EDGE('',*,*,#204660,.F.); #283841=ORIENTED_EDGE('',*,*,#204661,.T.); #283842=ORIENTED_EDGE('',*,*,#204660,.T.); #283843=ORIENTED_EDGE('',*,*,#204662,.F.); #283844=ORIENTED_EDGE('',*,*,#204663,.F.); #283845=ORIENTED_EDGE('',*,*,#204664,.T.); #283846=ORIENTED_EDGE('',*,*,#204663,.T.); #283847=ORIENTED_EDGE('',*,*,#204665,.F.); #283848=ORIENTED_EDGE('',*,*,#204655,.F.); #283849=ORIENTED_EDGE('',*,*,#204665,.T.); #283850=ORIENTED_EDGE('',*,*,#204662,.T.); #283851=ORIENTED_EDGE('',*,*,#204659,.T.); #283852=ORIENTED_EDGE('',*,*,#204656,.T.); #283853=ORIENTED_EDGE('',*,*,#204664,.F.); #283854=ORIENTED_EDGE('',*,*,#204654,.F.); #283855=ORIENTED_EDGE('',*,*,#204658,.F.); #283856=ORIENTED_EDGE('',*,*,#204661,.F.); #283857=ORIENTED_EDGE('',*,*,#204666,.T.); #283858=ORIENTED_EDGE('',*,*,#204667,.T.); #283859=ORIENTED_EDGE('',*,*,#204668,.F.); #283860=ORIENTED_EDGE('',*,*,#204669,.F.); #283861=ORIENTED_EDGE('',*,*,#204670,.T.); #283862=ORIENTED_EDGE('',*,*,#204669,.T.); #283863=ORIENTED_EDGE('',*,*,#204671,.F.); #283864=ORIENTED_EDGE('',*,*,#204672,.F.); #283865=ORIENTED_EDGE('',*,*,#204673,.T.); #283866=ORIENTED_EDGE('',*,*,#204672,.T.); #283867=ORIENTED_EDGE('',*,*,#204674,.F.); #283868=ORIENTED_EDGE('',*,*,#204675,.F.); #283869=ORIENTED_EDGE('',*,*,#204676,.T.); #283870=ORIENTED_EDGE('',*,*,#204675,.T.); #283871=ORIENTED_EDGE('',*,*,#204677,.F.); #283872=ORIENTED_EDGE('',*,*,#204667,.F.); #283873=ORIENTED_EDGE('',*,*,#204677,.T.); #283874=ORIENTED_EDGE('',*,*,#204674,.T.); #283875=ORIENTED_EDGE('',*,*,#204671,.T.); #283876=ORIENTED_EDGE('',*,*,#204668,.T.); #283877=ORIENTED_EDGE('',*,*,#204676,.F.); #283878=ORIENTED_EDGE('',*,*,#204666,.F.); #283879=ORIENTED_EDGE('',*,*,#204670,.F.); #283880=ORIENTED_EDGE('',*,*,#204673,.F.); #283881=ORIENTED_EDGE('',*,*,#204678,.T.); #283882=ORIENTED_EDGE('',*,*,#204679,.T.); #283883=ORIENTED_EDGE('',*,*,#204680,.F.); #283884=ORIENTED_EDGE('',*,*,#204681,.F.); #283885=ORIENTED_EDGE('',*,*,#204682,.T.); #283886=ORIENTED_EDGE('',*,*,#204681,.T.); #283887=ORIENTED_EDGE('',*,*,#204683,.F.); #283888=ORIENTED_EDGE('',*,*,#204684,.F.); #283889=ORIENTED_EDGE('',*,*,#204685,.T.); #283890=ORIENTED_EDGE('',*,*,#204684,.T.); #283891=ORIENTED_EDGE('',*,*,#204686,.F.); #283892=ORIENTED_EDGE('',*,*,#204687,.F.); #283893=ORIENTED_EDGE('',*,*,#204688,.T.); #283894=ORIENTED_EDGE('',*,*,#204687,.T.); #283895=ORIENTED_EDGE('',*,*,#204689,.F.); #283896=ORIENTED_EDGE('',*,*,#204679,.F.); #283897=ORIENTED_EDGE('',*,*,#204689,.T.); #283898=ORIENTED_EDGE('',*,*,#204686,.T.); #283899=ORIENTED_EDGE('',*,*,#204683,.T.); #283900=ORIENTED_EDGE('',*,*,#204680,.T.); #283901=ORIENTED_EDGE('',*,*,#204688,.F.); #283902=ORIENTED_EDGE('',*,*,#204678,.F.); #283903=ORIENTED_EDGE('',*,*,#204682,.F.); #283904=ORIENTED_EDGE('',*,*,#204685,.F.); #283905=ORIENTED_EDGE('',*,*,#204690,.T.); #283906=ORIENTED_EDGE('',*,*,#204691,.T.); #283907=ORIENTED_EDGE('',*,*,#204692,.F.); #283908=ORIENTED_EDGE('',*,*,#204693,.F.); #283909=ORIENTED_EDGE('',*,*,#204694,.T.); #283910=ORIENTED_EDGE('',*,*,#204693,.T.); #283911=ORIENTED_EDGE('',*,*,#204695,.F.); #283912=ORIENTED_EDGE('',*,*,#204696,.F.); #283913=ORIENTED_EDGE('',*,*,#204697,.T.); #283914=ORIENTED_EDGE('',*,*,#204696,.T.); #283915=ORIENTED_EDGE('',*,*,#204698,.F.); #283916=ORIENTED_EDGE('',*,*,#204699,.F.); #283917=ORIENTED_EDGE('',*,*,#204700,.T.); #283918=ORIENTED_EDGE('',*,*,#204699,.T.); #283919=ORIENTED_EDGE('',*,*,#204701,.F.); #283920=ORIENTED_EDGE('',*,*,#204691,.F.); #283921=ORIENTED_EDGE('',*,*,#204701,.T.); #283922=ORIENTED_EDGE('',*,*,#204698,.T.); #283923=ORIENTED_EDGE('',*,*,#204695,.T.); #283924=ORIENTED_EDGE('',*,*,#204692,.T.); #283925=ORIENTED_EDGE('',*,*,#204700,.F.); #283926=ORIENTED_EDGE('',*,*,#204690,.F.); #283927=ORIENTED_EDGE('',*,*,#204694,.F.); #283928=ORIENTED_EDGE('',*,*,#204697,.F.); #283929=ORIENTED_EDGE('',*,*,#204702,.T.); #283930=ORIENTED_EDGE('',*,*,#204703,.T.); #283931=ORIENTED_EDGE('',*,*,#204704,.F.); #283932=ORIENTED_EDGE('',*,*,#204705,.F.); #283933=ORIENTED_EDGE('',*,*,#204706,.T.); #283934=ORIENTED_EDGE('',*,*,#204705,.T.); #283935=ORIENTED_EDGE('',*,*,#204707,.F.); #283936=ORIENTED_EDGE('',*,*,#204708,.F.); #283937=ORIENTED_EDGE('',*,*,#204709,.T.); #283938=ORIENTED_EDGE('',*,*,#204708,.T.); #283939=ORIENTED_EDGE('',*,*,#204710,.F.); #283940=ORIENTED_EDGE('',*,*,#204711,.F.); #283941=ORIENTED_EDGE('',*,*,#204712,.T.); #283942=ORIENTED_EDGE('',*,*,#204711,.T.); #283943=ORIENTED_EDGE('',*,*,#204713,.F.); #283944=ORIENTED_EDGE('',*,*,#204703,.F.); #283945=ORIENTED_EDGE('',*,*,#204713,.T.); #283946=ORIENTED_EDGE('',*,*,#204710,.T.); #283947=ORIENTED_EDGE('',*,*,#204707,.T.); #283948=ORIENTED_EDGE('',*,*,#204704,.T.); #283949=ORIENTED_EDGE('',*,*,#204712,.F.); #283950=ORIENTED_EDGE('',*,*,#204702,.F.); #283951=ORIENTED_EDGE('',*,*,#204706,.F.); #283952=ORIENTED_EDGE('',*,*,#204709,.F.); #283953=ORIENTED_EDGE('',*,*,#204714,.F.); #283954=ORIENTED_EDGE('',*,*,#204715,.T.); #283955=ORIENTED_EDGE('',*,*,#204716,.F.); #283956=ORIENTED_EDGE('',*,*,#204715,.F.); #283957=ORIENTED_EDGE('',*,*,#204717,.T.); #283958=ORIENTED_EDGE('',*,*,#204718,.T.); #283959=ORIENTED_EDGE('',*,*,#204719,.F.); #283960=ORIENTED_EDGE('',*,*,#204720,.F.); #283961=ORIENTED_EDGE('',*,*,#204721,.T.); #283962=ORIENTED_EDGE('',*,*,#204720,.T.); #283963=ORIENTED_EDGE('',*,*,#204722,.F.); #283964=ORIENTED_EDGE('',*,*,#204723,.F.); #283965=ORIENTED_EDGE('',*,*,#204724,.T.); #283966=ORIENTED_EDGE('',*,*,#204723,.T.); #283967=ORIENTED_EDGE('',*,*,#204725,.F.); #283968=ORIENTED_EDGE('',*,*,#204726,.F.); #283969=ORIENTED_EDGE('',*,*,#204727,.T.); #283970=ORIENTED_EDGE('',*,*,#204726,.T.); #283971=ORIENTED_EDGE('',*,*,#204728,.F.); #283972=ORIENTED_EDGE('',*,*,#204718,.F.); #283973=ORIENTED_EDGE('',*,*,#204728,.T.); #283974=ORIENTED_EDGE('',*,*,#204725,.T.); #283975=ORIENTED_EDGE('',*,*,#204722,.T.); #283976=ORIENTED_EDGE('',*,*,#204719,.T.); #283977=ORIENTED_EDGE('',*,*,#204716,.T.); #283978=ORIENTED_EDGE('',*,*,#204727,.F.); #283979=ORIENTED_EDGE('',*,*,#204717,.F.); #283980=ORIENTED_EDGE('',*,*,#204721,.F.); #283981=ORIENTED_EDGE('',*,*,#204724,.F.); #283982=ORIENTED_EDGE('',*,*,#204714,.T.); #283983=ORIENTED_EDGE('',*,*,#204729,.T.); #283984=ORIENTED_EDGE('',*,*,#204730,.T.); #283985=ORIENTED_EDGE('',*,*,#204731,.F.); #283986=ORIENTED_EDGE('',*,*,#204732,.F.); #283987=ORIENTED_EDGE('',*,*,#204733,.T.); #283988=ORIENTED_EDGE('',*,*,#204732,.T.); #283989=ORIENTED_EDGE('',*,*,#204734,.F.); #283990=ORIENTED_EDGE('',*,*,#204735,.F.); #283991=ORIENTED_EDGE('',*,*,#204736,.T.); #283992=ORIENTED_EDGE('',*,*,#204735,.T.); #283993=ORIENTED_EDGE('',*,*,#204737,.F.); #283994=ORIENTED_EDGE('',*,*,#204738,.F.); #283995=ORIENTED_EDGE('',*,*,#204739,.T.); #283996=ORIENTED_EDGE('',*,*,#204738,.T.); #283997=ORIENTED_EDGE('',*,*,#204740,.F.); #283998=ORIENTED_EDGE('',*,*,#204730,.F.); #283999=ORIENTED_EDGE('',*,*,#204740,.T.); #284000=ORIENTED_EDGE('',*,*,#204737,.T.); #284001=ORIENTED_EDGE('',*,*,#204734,.T.); #284002=ORIENTED_EDGE('',*,*,#204731,.T.); #284003=ORIENTED_EDGE('',*,*,#204739,.F.); #284004=ORIENTED_EDGE('',*,*,#204729,.F.); #284005=ORIENTED_EDGE('',*,*,#204733,.F.); #284006=ORIENTED_EDGE('',*,*,#204736,.F.); #284007=ORIENTED_EDGE('',*,*,#204741,.T.); #284008=ORIENTED_EDGE('',*,*,#204742,.T.); #284009=ORIENTED_EDGE('',*,*,#204743,.F.); #284010=ORIENTED_EDGE('',*,*,#204744,.F.); #284011=ORIENTED_EDGE('',*,*,#204745,.T.); #284012=ORIENTED_EDGE('',*,*,#204744,.T.); #284013=ORIENTED_EDGE('',*,*,#204746,.F.); #284014=ORIENTED_EDGE('',*,*,#204747,.F.); #284015=ORIENTED_EDGE('',*,*,#204748,.T.); #284016=ORIENTED_EDGE('',*,*,#204747,.T.); #284017=ORIENTED_EDGE('',*,*,#204749,.F.); #284018=ORIENTED_EDGE('',*,*,#204750,.F.); #284019=ORIENTED_EDGE('',*,*,#204751,.T.); #284020=ORIENTED_EDGE('',*,*,#204750,.T.); #284021=ORIENTED_EDGE('',*,*,#204752,.F.); #284022=ORIENTED_EDGE('',*,*,#204742,.F.); #284023=ORIENTED_EDGE('',*,*,#204752,.T.); #284024=ORIENTED_EDGE('',*,*,#204749,.T.); #284025=ORIENTED_EDGE('',*,*,#204746,.T.); #284026=ORIENTED_EDGE('',*,*,#204743,.T.); #284027=ORIENTED_EDGE('',*,*,#204751,.F.); #284028=ORIENTED_EDGE('',*,*,#204741,.F.); #284029=ORIENTED_EDGE('',*,*,#204745,.F.); #284030=ORIENTED_EDGE('',*,*,#204748,.F.); #284031=ORIENTED_EDGE('',*,*,#204753,.T.); #284032=ORIENTED_EDGE('',*,*,#204754,.T.); #284033=ORIENTED_EDGE('',*,*,#204755,.F.); #284034=ORIENTED_EDGE('',*,*,#204756,.F.); #284035=ORIENTED_EDGE('',*,*,#204757,.T.); #284036=ORIENTED_EDGE('',*,*,#204756,.T.); #284037=ORIENTED_EDGE('',*,*,#204758,.F.); #284038=ORIENTED_EDGE('',*,*,#204759,.F.); #284039=ORIENTED_EDGE('',*,*,#204760,.T.); #284040=ORIENTED_EDGE('',*,*,#204759,.T.); #284041=ORIENTED_EDGE('',*,*,#204761,.F.); #284042=ORIENTED_EDGE('',*,*,#204762,.F.); #284043=ORIENTED_EDGE('',*,*,#204763,.T.); #284044=ORIENTED_EDGE('',*,*,#204762,.T.); #284045=ORIENTED_EDGE('',*,*,#204764,.F.); #284046=ORIENTED_EDGE('',*,*,#204754,.F.); #284047=ORIENTED_EDGE('',*,*,#204764,.T.); #284048=ORIENTED_EDGE('',*,*,#204761,.T.); #284049=ORIENTED_EDGE('',*,*,#204758,.T.); #284050=ORIENTED_EDGE('',*,*,#204755,.T.); #284051=ORIENTED_EDGE('',*,*,#204763,.F.); #284052=ORIENTED_EDGE('',*,*,#204753,.F.); #284053=ORIENTED_EDGE('',*,*,#204757,.F.); #284054=ORIENTED_EDGE('',*,*,#204760,.F.); #284055=ORIENTED_EDGE('',*,*,#204765,.T.); #284056=ORIENTED_EDGE('',*,*,#204766,.T.); #284057=ORIENTED_EDGE('',*,*,#204767,.F.); #284058=ORIENTED_EDGE('',*,*,#204768,.F.); #284059=ORIENTED_EDGE('',*,*,#204769,.T.); #284060=ORIENTED_EDGE('',*,*,#204768,.T.); #284061=ORIENTED_EDGE('',*,*,#204770,.F.); #284062=ORIENTED_EDGE('',*,*,#204771,.F.); #284063=ORIENTED_EDGE('',*,*,#204772,.T.); #284064=ORIENTED_EDGE('',*,*,#204771,.T.); #284065=ORIENTED_EDGE('',*,*,#204773,.F.); #284066=ORIENTED_EDGE('',*,*,#204774,.F.); #284067=ORIENTED_EDGE('',*,*,#204775,.T.); #284068=ORIENTED_EDGE('',*,*,#204774,.T.); #284069=ORIENTED_EDGE('',*,*,#204776,.F.); #284070=ORIENTED_EDGE('',*,*,#204766,.F.); #284071=ORIENTED_EDGE('',*,*,#204776,.T.); #284072=ORIENTED_EDGE('',*,*,#204773,.T.); #284073=ORIENTED_EDGE('',*,*,#204770,.T.); #284074=ORIENTED_EDGE('',*,*,#204767,.T.); #284075=ORIENTED_EDGE('',*,*,#204775,.F.); #284076=ORIENTED_EDGE('',*,*,#204765,.F.); #284077=ORIENTED_EDGE('',*,*,#204769,.F.); #284078=ORIENTED_EDGE('',*,*,#204772,.F.); #284079=ORIENTED_EDGE('',*,*,#204777,.F.); #284080=ORIENTED_EDGE('',*,*,#204778,.T.); #284081=ORIENTED_EDGE('',*,*,#204779,.F.); #284082=ORIENTED_EDGE('',*,*,#204778,.F.); #284083=ORIENTED_EDGE('',*,*,#204780,.F.); #284084=ORIENTED_EDGE('',*,*,#204781,.T.); #284085=ORIENTED_EDGE('',*,*,#204782,.F.); #284086=ORIENTED_EDGE('',*,*,#204781,.F.); #284087=ORIENTED_EDGE('',*,*,#204783,.F.); #284088=ORIENTED_EDGE('',*,*,#204784,.T.); #284089=ORIENTED_EDGE('',*,*,#204785,.F.); #284090=ORIENTED_EDGE('',*,*,#204784,.F.); #284091=ORIENTED_EDGE('',*,*,#204786,.F.); #284092=ORIENTED_EDGE('',*,*,#204787,.T.); #284093=ORIENTED_EDGE('',*,*,#204788,.F.); #284094=ORIENTED_EDGE('',*,*,#204787,.F.); #284095=ORIENTED_EDGE('',*,*,#204789,.F.); #284096=ORIENTED_EDGE('',*,*,#204790,.T.); #284097=ORIENTED_EDGE('',*,*,#204791,.F.); #284098=ORIENTED_EDGE('',*,*,#204790,.F.); #284099=ORIENTED_EDGE('',*,*,#204792,.F.); #284100=ORIENTED_EDGE('',*,*,#204793,.T.); #284101=ORIENTED_EDGE('',*,*,#204794,.F.); #284102=ORIENTED_EDGE('',*,*,#204793,.F.); #284103=ORIENTED_EDGE('',*,*,#204795,.F.); #284104=ORIENTED_EDGE('',*,*,#204796,.T.); #284105=ORIENTED_EDGE('',*,*,#204797,.F.); #284106=ORIENTED_EDGE('',*,*,#204796,.F.); #284107=ORIENTED_EDGE('',*,*,#204798,.F.); #284108=ORIENTED_EDGE('',*,*,#204799,.T.); #284109=ORIENTED_EDGE('',*,*,#204800,.F.); #284110=ORIENTED_EDGE('',*,*,#204799,.F.); #284111=ORIENTED_EDGE('',*,*,#204801,.F.); #284112=ORIENTED_EDGE('',*,*,#204802,.T.); #284113=ORIENTED_EDGE('',*,*,#204803,.F.); #284114=ORIENTED_EDGE('',*,*,#204802,.F.); #284115=ORIENTED_EDGE('',*,*,#204804,.F.); #284116=ORIENTED_EDGE('',*,*,#204805,.T.); #284117=ORIENTED_EDGE('',*,*,#204806,.F.); #284118=ORIENTED_EDGE('',*,*,#204805,.F.); #284119=ORIENTED_EDGE('',*,*,#204807,.F.); #284120=ORIENTED_EDGE('',*,*,#204808,.T.); #284121=ORIENTED_EDGE('',*,*,#204809,.F.); #284122=ORIENTED_EDGE('',*,*,#204808,.F.); #284123=ORIENTED_EDGE('',*,*,#204810,.F.); #284124=ORIENTED_EDGE('',*,*,#204811,.T.); #284125=ORIENTED_EDGE('',*,*,#204812,.F.); #284126=ORIENTED_EDGE('',*,*,#204811,.F.); #284127=ORIENTED_EDGE('',*,*,#204813,.F.); #284128=ORIENTED_EDGE('',*,*,#204814,.T.); #284129=ORIENTED_EDGE('',*,*,#204815,.F.); #284130=ORIENTED_EDGE('',*,*,#204814,.F.); #284131=ORIENTED_EDGE('',*,*,#204816,.F.); #284132=ORIENTED_EDGE('',*,*,#204817,.T.); #284133=ORIENTED_EDGE('',*,*,#204818,.F.); #284134=ORIENTED_EDGE('',*,*,#204817,.F.); #284135=ORIENTED_EDGE('',*,*,#204819,.F.); #284136=ORIENTED_EDGE('',*,*,#204820,.T.); #284137=ORIENTED_EDGE('',*,*,#204821,.F.); #284138=ORIENTED_EDGE('',*,*,#204820,.F.); #284139=ORIENTED_EDGE('',*,*,#204822,.T.); #284140=ORIENTED_EDGE('',*,*,#204823,.T.); #284141=ORIENTED_EDGE('',*,*,#204824,.F.); #284142=ORIENTED_EDGE('',*,*,#204825,.F.); #284143=ORIENTED_EDGE('',*,*,#204826,.T.); #284144=ORIENTED_EDGE('',*,*,#204825,.T.); #284145=ORIENTED_EDGE('',*,*,#204827,.F.); #284146=ORIENTED_EDGE('',*,*,#204828,.F.); #284147=ORIENTED_EDGE('',*,*,#204829,.T.); #284148=ORIENTED_EDGE('',*,*,#204828,.T.); #284149=ORIENTED_EDGE('',*,*,#204830,.F.); #284150=ORIENTED_EDGE('',*,*,#204831,.F.); #284151=ORIENTED_EDGE('',*,*,#204832,.T.); #284152=ORIENTED_EDGE('',*,*,#204831,.T.); #284153=ORIENTED_EDGE('',*,*,#204833,.F.); #284154=ORIENTED_EDGE('',*,*,#204823,.F.); #284155=ORIENTED_EDGE('',*,*,#204833,.T.); #284156=ORIENTED_EDGE('',*,*,#204830,.T.); #284157=ORIENTED_EDGE('',*,*,#204827,.T.); #284158=ORIENTED_EDGE('',*,*,#204824,.T.); #284159=ORIENTED_EDGE('',*,*,#204779,.T.); #284160=ORIENTED_EDGE('',*,*,#204782,.T.); #284161=ORIENTED_EDGE('',*,*,#204785,.T.); #284162=ORIENTED_EDGE('',*,*,#204788,.T.); #284163=ORIENTED_EDGE('',*,*,#204791,.T.); #284164=ORIENTED_EDGE('',*,*,#204794,.T.); #284165=ORIENTED_EDGE('',*,*,#204797,.T.); #284166=ORIENTED_EDGE('',*,*,#204800,.T.); #284167=ORIENTED_EDGE('',*,*,#204803,.T.); #284168=ORIENTED_EDGE('',*,*,#204806,.T.); #284169=ORIENTED_EDGE('',*,*,#204809,.T.); #284170=ORIENTED_EDGE('',*,*,#204812,.T.); #284171=ORIENTED_EDGE('',*,*,#204815,.T.); #284172=ORIENTED_EDGE('',*,*,#204818,.T.); #284173=ORIENTED_EDGE('',*,*,#204821,.T.); #284174=ORIENTED_EDGE('',*,*,#204832,.F.); #284175=ORIENTED_EDGE('',*,*,#204822,.F.); #284176=ORIENTED_EDGE('',*,*,#204826,.F.); #284177=ORIENTED_EDGE('',*,*,#204829,.F.); #284178=ORIENTED_EDGE('',*,*,#204777,.T.); #284179=ORIENTED_EDGE('',*,*,#204780,.T.); #284180=ORIENTED_EDGE('',*,*,#204783,.T.); #284181=ORIENTED_EDGE('',*,*,#204786,.T.); #284182=ORIENTED_EDGE('',*,*,#204789,.T.); #284183=ORIENTED_EDGE('',*,*,#204792,.T.); #284184=ORIENTED_EDGE('',*,*,#204795,.T.); #284185=ORIENTED_EDGE('',*,*,#204798,.T.); #284186=ORIENTED_EDGE('',*,*,#204801,.T.); #284187=ORIENTED_EDGE('',*,*,#204804,.T.); #284188=ORIENTED_EDGE('',*,*,#204807,.T.); #284189=ORIENTED_EDGE('',*,*,#204810,.T.); #284190=ORIENTED_EDGE('',*,*,#204813,.T.); #284191=ORIENTED_EDGE('',*,*,#204816,.T.); #284192=ORIENTED_EDGE('',*,*,#204819,.T.); #284193=ORIENTED_EDGE('',*,*,#204834,.T.); #284194=ORIENTED_EDGE('',*,*,#204835,.T.); #284195=ORIENTED_EDGE('',*,*,#204836,.F.); #284196=ORIENTED_EDGE('',*,*,#204837,.F.); #284197=ORIENTED_EDGE('',*,*,#204838,.T.); #284198=ORIENTED_EDGE('',*,*,#204837,.T.); #284199=ORIENTED_EDGE('',*,*,#204839,.F.); #284200=ORIENTED_EDGE('',*,*,#204840,.F.); #284201=ORIENTED_EDGE('',*,*,#204841,.T.); #284202=ORIENTED_EDGE('',*,*,#204840,.T.); #284203=ORIENTED_EDGE('',*,*,#204842,.F.); #284204=ORIENTED_EDGE('',*,*,#204843,.F.); #284205=ORIENTED_EDGE('',*,*,#204844,.T.); #284206=ORIENTED_EDGE('',*,*,#204843,.T.); #284207=ORIENTED_EDGE('',*,*,#204845,.F.); #284208=ORIENTED_EDGE('',*,*,#204835,.F.); #284209=ORIENTED_EDGE('',*,*,#204845,.T.); #284210=ORIENTED_EDGE('',*,*,#204842,.T.); #284211=ORIENTED_EDGE('',*,*,#204839,.T.); #284212=ORIENTED_EDGE('',*,*,#204836,.T.); #284213=ORIENTED_EDGE('',*,*,#204844,.F.); #284214=ORIENTED_EDGE('',*,*,#204834,.F.); #284215=ORIENTED_EDGE('',*,*,#204838,.F.); #284216=ORIENTED_EDGE('',*,*,#204841,.F.); #284217=ORIENTED_EDGE('',*,*,#204846,.T.); #284218=ORIENTED_EDGE('',*,*,#204847,.T.); #284219=ORIENTED_EDGE('',*,*,#204848,.F.); #284220=ORIENTED_EDGE('',*,*,#204849,.F.); #284221=ORIENTED_EDGE('',*,*,#204850,.T.); #284222=ORIENTED_EDGE('',*,*,#204849,.T.); #284223=ORIENTED_EDGE('',*,*,#204851,.F.); #284224=ORIENTED_EDGE('',*,*,#204852,.F.); #284225=ORIENTED_EDGE('',*,*,#204853,.T.); #284226=ORIENTED_EDGE('',*,*,#204852,.T.); #284227=ORIENTED_EDGE('',*,*,#204854,.F.); #284228=ORIENTED_EDGE('',*,*,#204855,.F.); #284229=ORIENTED_EDGE('',*,*,#204856,.T.); #284230=ORIENTED_EDGE('',*,*,#204855,.T.); #284231=ORIENTED_EDGE('',*,*,#204857,.F.); #284232=ORIENTED_EDGE('',*,*,#204847,.F.); #284233=ORIENTED_EDGE('',*,*,#204857,.T.); #284234=ORIENTED_EDGE('',*,*,#204854,.T.); #284235=ORIENTED_EDGE('',*,*,#204851,.T.); #284236=ORIENTED_EDGE('',*,*,#204848,.T.); #284237=ORIENTED_EDGE('',*,*,#204856,.F.); #284238=ORIENTED_EDGE('',*,*,#204846,.F.); #284239=ORIENTED_EDGE('',*,*,#204850,.F.); #284240=ORIENTED_EDGE('',*,*,#204853,.F.); #284241=ORIENTED_EDGE('',*,*,#204858,.T.); #284242=ORIENTED_EDGE('',*,*,#204859,.T.); #284243=ORIENTED_EDGE('',*,*,#204860,.F.); #284244=ORIENTED_EDGE('',*,*,#204861,.F.); #284245=ORIENTED_EDGE('',*,*,#204862,.T.); #284246=ORIENTED_EDGE('',*,*,#204861,.T.); #284247=ORIENTED_EDGE('',*,*,#204863,.F.); #284248=ORIENTED_EDGE('',*,*,#204864,.F.); #284249=ORIENTED_EDGE('',*,*,#204865,.T.); #284250=ORIENTED_EDGE('',*,*,#204864,.T.); #284251=ORIENTED_EDGE('',*,*,#204866,.F.); #284252=ORIENTED_EDGE('',*,*,#204867,.F.); #284253=ORIENTED_EDGE('',*,*,#204868,.T.); #284254=ORIENTED_EDGE('',*,*,#204867,.T.); #284255=ORIENTED_EDGE('',*,*,#204869,.F.); #284256=ORIENTED_EDGE('',*,*,#204859,.F.); #284257=ORIENTED_EDGE('',*,*,#204869,.T.); #284258=ORIENTED_EDGE('',*,*,#204866,.T.); #284259=ORIENTED_EDGE('',*,*,#204863,.T.); #284260=ORIENTED_EDGE('',*,*,#204860,.T.); #284261=ORIENTED_EDGE('',*,*,#204868,.F.); #284262=ORIENTED_EDGE('',*,*,#204858,.F.); #284263=ORIENTED_EDGE('',*,*,#204862,.F.); #284264=ORIENTED_EDGE('',*,*,#204865,.F.); #284265=ORIENTED_EDGE('',*,*,#204870,.F.); #284266=ORIENTED_EDGE('',*,*,#204871,.T.); #284267=ORIENTED_EDGE('',*,*,#204872,.F.); #284268=ORIENTED_EDGE('',*,*,#204871,.F.); #284269=ORIENTED_EDGE('',*,*,#204873,.T.); #284270=ORIENTED_EDGE('',*,*,#204874,.T.); #284271=ORIENTED_EDGE('',*,*,#204875,.F.); #284272=ORIENTED_EDGE('',*,*,#204876,.F.); #284273=ORIENTED_EDGE('',*,*,#204877,.T.); #284274=ORIENTED_EDGE('',*,*,#204876,.T.); #284275=ORIENTED_EDGE('',*,*,#204878,.F.); #284276=ORIENTED_EDGE('',*,*,#204879,.F.); #284277=ORIENTED_EDGE('',*,*,#204880,.T.); #284278=ORIENTED_EDGE('',*,*,#204879,.T.); #284279=ORIENTED_EDGE('',*,*,#204881,.F.); #284280=ORIENTED_EDGE('',*,*,#204882,.F.); #284281=ORIENTED_EDGE('',*,*,#204883,.T.); #284282=ORIENTED_EDGE('',*,*,#204882,.T.); #284283=ORIENTED_EDGE('',*,*,#204884,.F.); #284284=ORIENTED_EDGE('',*,*,#204874,.F.); #284285=ORIENTED_EDGE('',*,*,#204884,.T.); #284286=ORIENTED_EDGE('',*,*,#204881,.T.); #284287=ORIENTED_EDGE('',*,*,#204878,.T.); #284288=ORIENTED_EDGE('',*,*,#204875,.T.); #284289=ORIENTED_EDGE('',*,*,#204872,.T.); #284290=ORIENTED_EDGE('',*,*,#204883,.F.); #284291=ORIENTED_EDGE('',*,*,#204873,.F.); #284292=ORIENTED_EDGE('',*,*,#204877,.F.); #284293=ORIENTED_EDGE('',*,*,#204880,.F.); #284294=ORIENTED_EDGE('',*,*,#204870,.T.); #284295=ORIENTED_EDGE('',*,*,#204885,.T.); #284296=ORIENTED_EDGE('',*,*,#204886,.T.); #284297=ORIENTED_EDGE('',*,*,#204887,.F.); #284298=ORIENTED_EDGE('',*,*,#204888,.F.); #284299=ORIENTED_EDGE('',*,*,#204889,.T.); #284300=ORIENTED_EDGE('',*,*,#204888,.T.); #284301=ORIENTED_EDGE('',*,*,#204890,.F.); #284302=ORIENTED_EDGE('',*,*,#204891,.F.); #284303=ORIENTED_EDGE('',*,*,#204892,.T.); #284304=ORIENTED_EDGE('',*,*,#204891,.T.); #284305=ORIENTED_EDGE('',*,*,#204893,.F.); #284306=ORIENTED_EDGE('',*,*,#204894,.F.); #284307=ORIENTED_EDGE('',*,*,#204895,.T.); #284308=ORIENTED_EDGE('',*,*,#204894,.T.); #284309=ORIENTED_EDGE('',*,*,#204896,.F.); #284310=ORIENTED_EDGE('',*,*,#204886,.F.); #284311=ORIENTED_EDGE('',*,*,#204896,.T.); #284312=ORIENTED_EDGE('',*,*,#204893,.T.); #284313=ORIENTED_EDGE('',*,*,#204890,.T.); #284314=ORIENTED_EDGE('',*,*,#204887,.T.); #284315=ORIENTED_EDGE('',*,*,#204895,.F.); #284316=ORIENTED_EDGE('',*,*,#204885,.F.); #284317=ORIENTED_EDGE('',*,*,#204889,.F.); #284318=ORIENTED_EDGE('',*,*,#204892,.F.); #284319=ORIENTED_EDGE('',*,*,#204897,.T.); #284320=ORIENTED_EDGE('',*,*,#204898,.T.); #284321=ORIENTED_EDGE('',*,*,#204899,.F.); #284322=ORIENTED_EDGE('',*,*,#204900,.F.); #284323=ORIENTED_EDGE('',*,*,#204901,.T.); #284324=ORIENTED_EDGE('',*,*,#204900,.T.); #284325=ORIENTED_EDGE('',*,*,#204902,.F.); #284326=ORIENTED_EDGE('',*,*,#204903,.F.); #284327=ORIENTED_EDGE('',*,*,#204904,.T.); #284328=ORIENTED_EDGE('',*,*,#204903,.T.); #284329=ORIENTED_EDGE('',*,*,#204905,.F.); #284330=ORIENTED_EDGE('',*,*,#204906,.F.); #284331=ORIENTED_EDGE('',*,*,#204907,.T.); #284332=ORIENTED_EDGE('',*,*,#204906,.T.); #284333=ORIENTED_EDGE('',*,*,#204908,.F.); #284334=ORIENTED_EDGE('',*,*,#204898,.F.); #284335=ORIENTED_EDGE('',*,*,#204908,.T.); #284336=ORIENTED_EDGE('',*,*,#204905,.T.); #284337=ORIENTED_EDGE('',*,*,#204902,.T.); #284338=ORIENTED_EDGE('',*,*,#204899,.T.); #284339=ORIENTED_EDGE('',*,*,#204907,.F.); #284340=ORIENTED_EDGE('',*,*,#204897,.F.); #284341=ORIENTED_EDGE('',*,*,#204901,.F.); #284342=ORIENTED_EDGE('',*,*,#204904,.F.); #284343=ORIENTED_EDGE('',*,*,#204909,.T.); #284344=ORIENTED_EDGE('',*,*,#204910,.T.); #284345=ORIENTED_EDGE('',*,*,#204911,.F.); #284346=ORIENTED_EDGE('',*,*,#204912,.F.); #284347=ORIENTED_EDGE('',*,*,#204913,.T.); #284348=ORIENTED_EDGE('',*,*,#204912,.T.); #284349=ORIENTED_EDGE('',*,*,#204914,.F.); #284350=ORIENTED_EDGE('',*,*,#204915,.F.); #284351=ORIENTED_EDGE('',*,*,#204916,.T.); #284352=ORIENTED_EDGE('',*,*,#204915,.T.); #284353=ORIENTED_EDGE('',*,*,#204917,.F.); #284354=ORIENTED_EDGE('',*,*,#204918,.F.); #284355=ORIENTED_EDGE('',*,*,#204919,.T.); #284356=ORIENTED_EDGE('',*,*,#204918,.T.); #284357=ORIENTED_EDGE('',*,*,#204920,.F.); #284358=ORIENTED_EDGE('',*,*,#204910,.F.); #284359=ORIENTED_EDGE('',*,*,#204920,.T.); #284360=ORIENTED_EDGE('',*,*,#204917,.T.); #284361=ORIENTED_EDGE('',*,*,#204914,.T.); #284362=ORIENTED_EDGE('',*,*,#204911,.T.); #284363=ORIENTED_EDGE('',*,*,#204919,.F.); #284364=ORIENTED_EDGE('',*,*,#204909,.F.); #284365=ORIENTED_EDGE('',*,*,#204913,.F.); #284366=ORIENTED_EDGE('',*,*,#204916,.F.); #284367=ORIENTED_EDGE('',*,*,#204921,.T.); #284368=ORIENTED_EDGE('',*,*,#204922,.T.); #284369=ORIENTED_EDGE('',*,*,#204923,.F.); #284370=ORIENTED_EDGE('',*,*,#204924,.F.); #284371=ORIENTED_EDGE('',*,*,#204925,.T.); #284372=ORIENTED_EDGE('',*,*,#204924,.T.); #284373=ORIENTED_EDGE('',*,*,#204926,.F.); #284374=ORIENTED_EDGE('',*,*,#204927,.F.); #284375=ORIENTED_EDGE('',*,*,#204928,.T.); #284376=ORIENTED_EDGE('',*,*,#204927,.T.); #284377=ORIENTED_EDGE('',*,*,#204929,.F.); #284378=ORIENTED_EDGE('',*,*,#204930,.F.); #284379=ORIENTED_EDGE('',*,*,#204931,.T.); #284380=ORIENTED_EDGE('',*,*,#204930,.T.); #284381=ORIENTED_EDGE('',*,*,#204932,.F.); #284382=ORIENTED_EDGE('',*,*,#204922,.F.); #284383=ORIENTED_EDGE('',*,*,#204932,.T.); #284384=ORIENTED_EDGE('',*,*,#204929,.T.); #284385=ORIENTED_EDGE('',*,*,#204926,.T.); #284386=ORIENTED_EDGE('',*,*,#204923,.T.); #284387=ORIENTED_EDGE('',*,*,#204931,.F.); #284388=ORIENTED_EDGE('',*,*,#204921,.F.); #284389=ORIENTED_EDGE('',*,*,#204925,.F.); #284390=ORIENTED_EDGE('',*,*,#204928,.F.); #284391=ORIENTED_EDGE('',*,*,#204933,.F.); #284392=ORIENTED_EDGE('',*,*,#204934,.T.); #284393=ORIENTED_EDGE('',*,*,#204935,.F.); #284394=ORIENTED_EDGE('',*,*,#204934,.F.); #284395=ORIENTED_EDGE('',*,*,#204936,.F.); #284396=ORIENTED_EDGE('',*,*,#204937,.T.); #284397=ORIENTED_EDGE('',*,*,#204938,.F.); #284398=ORIENTED_EDGE('',*,*,#204937,.F.); #284399=ORIENTED_EDGE('',*,*,#204939,.F.); #284400=ORIENTED_EDGE('',*,*,#204940,.T.); #284401=ORIENTED_EDGE('',*,*,#204941,.F.); #284402=ORIENTED_EDGE('',*,*,#204940,.F.); #284403=ORIENTED_EDGE('',*,*,#204942,.F.); #284404=ORIENTED_EDGE('',*,*,#204943,.T.); #284405=ORIENTED_EDGE('',*,*,#204944,.F.); #284406=ORIENTED_EDGE('',*,*,#204943,.F.); #284407=ORIENTED_EDGE('',*,*,#204945,.F.); #284408=ORIENTED_EDGE('',*,*,#204946,.T.); #284409=ORIENTED_EDGE('',*,*,#204947,.F.); #284410=ORIENTED_EDGE('',*,*,#204946,.F.); #284411=ORIENTED_EDGE('',*,*,#204948,.F.); #284412=ORIENTED_EDGE('',*,*,#204949,.T.); #284413=ORIENTED_EDGE('',*,*,#204950,.F.); #284414=ORIENTED_EDGE('',*,*,#204949,.F.); #284415=ORIENTED_EDGE('',*,*,#204951,.F.); #284416=ORIENTED_EDGE('',*,*,#204952,.T.); #284417=ORIENTED_EDGE('',*,*,#204953,.F.); #284418=ORIENTED_EDGE('',*,*,#204952,.F.); #284419=ORIENTED_EDGE('',*,*,#204954,.F.); #284420=ORIENTED_EDGE('',*,*,#204955,.T.); #284421=ORIENTED_EDGE('',*,*,#204956,.F.); #284422=ORIENTED_EDGE('',*,*,#204955,.F.); #284423=ORIENTED_EDGE('',*,*,#204957,.F.); #284424=ORIENTED_EDGE('',*,*,#204958,.T.); #284425=ORIENTED_EDGE('',*,*,#204959,.F.); #284426=ORIENTED_EDGE('',*,*,#204958,.F.); #284427=ORIENTED_EDGE('',*,*,#204960,.F.); #284428=ORIENTED_EDGE('',*,*,#204961,.T.); #284429=ORIENTED_EDGE('',*,*,#204962,.F.); #284430=ORIENTED_EDGE('',*,*,#204961,.F.); #284431=ORIENTED_EDGE('',*,*,#204963,.F.); #284432=ORIENTED_EDGE('',*,*,#204964,.T.); #284433=ORIENTED_EDGE('',*,*,#204965,.F.); #284434=ORIENTED_EDGE('',*,*,#204964,.F.); #284435=ORIENTED_EDGE('',*,*,#204966,.F.); #284436=ORIENTED_EDGE('',*,*,#204967,.T.); #284437=ORIENTED_EDGE('',*,*,#204968,.F.); #284438=ORIENTED_EDGE('',*,*,#204967,.F.); #284439=ORIENTED_EDGE('',*,*,#204969,.F.); #284440=ORIENTED_EDGE('',*,*,#204970,.T.); #284441=ORIENTED_EDGE('',*,*,#204971,.F.); #284442=ORIENTED_EDGE('',*,*,#204970,.F.); #284443=ORIENTED_EDGE('',*,*,#204972,.F.); #284444=ORIENTED_EDGE('',*,*,#204973,.T.); #284445=ORIENTED_EDGE('',*,*,#204974,.F.); #284446=ORIENTED_EDGE('',*,*,#204973,.F.); #284447=ORIENTED_EDGE('',*,*,#204975,.F.); #284448=ORIENTED_EDGE('',*,*,#204976,.T.); #284449=ORIENTED_EDGE('',*,*,#204977,.F.); #284450=ORIENTED_EDGE('',*,*,#204976,.F.); #284451=ORIENTED_EDGE('',*,*,#204978,.T.); #284452=ORIENTED_EDGE('',*,*,#204979,.T.); #284453=ORIENTED_EDGE('',*,*,#204980,.F.); #284454=ORIENTED_EDGE('',*,*,#204981,.F.); #284455=ORIENTED_EDGE('',*,*,#204982,.T.); #284456=ORIENTED_EDGE('',*,*,#204981,.T.); #284457=ORIENTED_EDGE('',*,*,#204983,.F.); #284458=ORIENTED_EDGE('',*,*,#204984,.F.); #284459=ORIENTED_EDGE('',*,*,#204985,.T.); #284460=ORIENTED_EDGE('',*,*,#204984,.T.); #284461=ORIENTED_EDGE('',*,*,#204986,.F.); #284462=ORIENTED_EDGE('',*,*,#204987,.F.); #284463=ORIENTED_EDGE('',*,*,#204988,.T.); #284464=ORIENTED_EDGE('',*,*,#204987,.T.); #284465=ORIENTED_EDGE('',*,*,#204989,.F.); #284466=ORIENTED_EDGE('',*,*,#204979,.F.); #284467=ORIENTED_EDGE('',*,*,#204989,.T.); #284468=ORIENTED_EDGE('',*,*,#204986,.T.); #284469=ORIENTED_EDGE('',*,*,#204983,.T.); #284470=ORIENTED_EDGE('',*,*,#204980,.T.); #284471=ORIENTED_EDGE('',*,*,#204935,.T.); #284472=ORIENTED_EDGE('',*,*,#204938,.T.); #284473=ORIENTED_EDGE('',*,*,#204941,.T.); #284474=ORIENTED_EDGE('',*,*,#204944,.T.); #284475=ORIENTED_EDGE('',*,*,#204947,.T.); #284476=ORIENTED_EDGE('',*,*,#204950,.T.); #284477=ORIENTED_EDGE('',*,*,#204953,.T.); #284478=ORIENTED_EDGE('',*,*,#204956,.T.); #284479=ORIENTED_EDGE('',*,*,#204959,.T.); #284480=ORIENTED_EDGE('',*,*,#204962,.T.); #284481=ORIENTED_EDGE('',*,*,#204965,.T.); #284482=ORIENTED_EDGE('',*,*,#204968,.T.); #284483=ORIENTED_EDGE('',*,*,#204971,.T.); #284484=ORIENTED_EDGE('',*,*,#204974,.T.); #284485=ORIENTED_EDGE('',*,*,#204977,.T.); #284486=ORIENTED_EDGE('',*,*,#204988,.F.); #284487=ORIENTED_EDGE('',*,*,#204978,.F.); #284488=ORIENTED_EDGE('',*,*,#204982,.F.); #284489=ORIENTED_EDGE('',*,*,#204985,.F.); #284490=ORIENTED_EDGE('',*,*,#204933,.T.); #284491=ORIENTED_EDGE('',*,*,#204936,.T.); #284492=ORIENTED_EDGE('',*,*,#204939,.T.); #284493=ORIENTED_EDGE('',*,*,#204942,.T.); #284494=ORIENTED_EDGE('',*,*,#204945,.T.); #284495=ORIENTED_EDGE('',*,*,#204948,.T.); #284496=ORIENTED_EDGE('',*,*,#204951,.T.); #284497=ORIENTED_EDGE('',*,*,#204954,.T.); #284498=ORIENTED_EDGE('',*,*,#204957,.T.); #284499=ORIENTED_EDGE('',*,*,#204960,.T.); #284500=ORIENTED_EDGE('',*,*,#204963,.T.); #284501=ORIENTED_EDGE('',*,*,#204966,.T.); #284502=ORIENTED_EDGE('',*,*,#204969,.T.); #284503=ORIENTED_EDGE('',*,*,#204972,.T.); #284504=ORIENTED_EDGE('',*,*,#204975,.T.); #284505=ORIENTED_EDGE('',*,*,#204990,.T.); #284506=ORIENTED_EDGE('',*,*,#204991,.T.); #284507=ORIENTED_EDGE('',*,*,#204992,.F.); #284508=ORIENTED_EDGE('',*,*,#204993,.F.); #284509=ORIENTED_EDGE('',*,*,#204994,.T.); #284510=ORIENTED_EDGE('',*,*,#204993,.T.); #284511=ORIENTED_EDGE('',*,*,#204995,.F.); #284512=ORIENTED_EDGE('',*,*,#204996,.F.); #284513=ORIENTED_EDGE('',*,*,#204997,.T.); #284514=ORIENTED_EDGE('',*,*,#204996,.T.); #284515=ORIENTED_EDGE('',*,*,#204998,.F.); #284516=ORIENTED_EDGE('',*,*,#204999,.F.); #284517=ORIENTED_EDGE('',*,*,#205000,.T.); #284518=ORIENTED_EDGE('',*,*,#204999,.T.); #284519=ORIENTED_EDGE('',*,*,#205001,.F.); #284520=ORIENTED_EDGE('',*,*,#204991,.F.); #284521=ORIENTED_EDGE('',*,*,#205001,.T.); #284522=ORIENTED_EDGE('',*,*,#204998,.T.); #284523=ORIENTED_EDGE('',*,*,#204995,.T.); #284524=ORIENTED_EDGE('',*,*,#204992,.T.); #284525=ORIENTED_EDGE('',*,*,#205000,.F.); #284526=ORIENTED_EDGE('',*,*,#204990,.F.); #284527=ORIENTED_EDGE('',*,*,#204994,.F.); #284528=ORIENTED_EDGE('',*,*,#204997,.F.); #284529=ORIENTED_EDGE('',*,*,#205002,.T.); #284530=ORIENTED_EDGE('',*,*,#205003,.T.); #284531=ORIENTED_EDGE('',*,*,#205004,.F.); #284532=ORIENTED_EDGE('',*,*,#205005,.F.); #284533=ORIENTED_EDGE('',*,*,#205006,.T.); #284534=ORIENTED_EDGE('',*,*,#205005,.T.); #284535=ORIENTED_EDGE('',*,*,#205007,.F.); #284536=ORIENTED_EDGE('',*,*,#205008,.F.); #284537=ORIENTED_EDGE('',*,*,#205009,.T.); #284538=ORIENTED_EDGE('',*,*,#205008,.T.); #284539=ORIENTED_EDGE('',*,*,#205010,.F.); #284540=ORIENTED_EDGE('',*,*,#205011,.F.); #284541=ORIENTED_EDGE('',*,*,#205012,.T.); #284542=ORIENTED_EDGE('',*,*,#205011,.T.); #284543=ORIENTED_EDGE('',*,*,#205013,.F.); #284544=ORIENTED_EDGE('',*,*,#205003,.F.); #284545=ORIENTED_EDGE('',*,*,#205013,.T.); #284546=ORIENTED_EDGE('',*,*,#205010,.T.); #284547=ORIENTED_EDGE('',*,*,#205007,.T.); #284548=ORIENTED_EDGE('',*,*,#205004,.T.); #284549=ORIENTED_EDGE('',*,*,#205012,.F.); #284550=ORIENTED_EDGE('',*,*,#205002,.F.); #284551=ORIENTED_EDGE('',*,*,#205006,.F.); #284552=ORIENTED_EDGE('',*,*,#205009,.F.); #284553=ORIENTED_EDGE('',*,*,#205014,.T.); #284554=ORIENTED_EDGE('',*,*,#205015,.T.); #284555=ORIENTED_EDGE('',*,*,#205016,.F.); #284556=ORIENTED_EDGE('',*,*,#205017,.F.); #284557=ORIENTED_EDGE('',*,*,#205018,.T.); #284558=ORIENTED_EDGE('',*,*,#205017,.T.); #284559=ORIENTED_EDGE('',*,*,#205019,.F.); #284560=ORIENTED_EDGE('',*,*,#205020,.F.); #284561=ORIENTED_EDGE('',*,*,#205021,.T.); #284562=ORIENTED_EDGE('',*,*,#205020,.T.); #284563=ORIENTED_EDGE('',*,*,#205022,.F.); #284564=ORIENTED_EDGE('',*,*,#205023,.F.); #284565=ORIENTED_EDGE('',*,*,#205024,.T.); #284566=ORIENTED_EDGE('',*,*,#205023,.T.); #284567=ORIENTED_EDGE('',*,*,#205025,.F.); #284568=ORIENTED_EDGE('',*,*,#205015,.F.); #284569=ORIENTED_EDGE('',*,*,#205025,.T.); #284570=ORIENTED_EDGE('',*,*,#205022,.T.); #284571=ORIENTED_EDGE('',*,*,#205019,.T.); #284572=ORIENTED_EDGE('',*,*,#205016,.T.); #284573=ORIENTED_EDGE('',*,*,#205024,.F.); #284574=ORIENTED_EDGE('',*,*,#205014,.F.); #284575=ORIENTED_EDGE('',*,*,#205018,.F.); #284576=ORIENTED_EDGE('',*,*,#205021,.F.); #284577=ORIENTED_EDGE('',*,*,#205026,.F.); #284578=ORIENTED_EDGE('',*,*,#205027,.T.); #284579=ORIENTED_EDGE('',*,*,#205028,.F.); #284580=ORIENTED_EDGE('',*,*,#205027,.F.); #284581=ORIENTED_EDGE('',*,*,#205029,.T.); #284582=ORIENTED_EDGE('',*,*,#205030,.T.); #284583=ORIENTED_EDGE('',*,*,#205031,.F.); #284584=ORIENTED_EDGE('',*,*,#205032,.F.); #284585=ORIENTED_EDGE('',*,*,#205033,.T.); #284586=ORIENTED_EDGE('',*,*,#205032,.T.); #284587=ORIENTED_EDGE('',*,*,#205034,.F.); #284588=ORIENTED_EDGE('',*,*,#205035,.F.); #284589=ORIENTED_EDGE('',*,*,#205036,.T.); #284590=ORIENTED_EDGE('',*,*,#205035,.T.); #284591=ORIENTED_EDGE('',*,*,#205037,.F.); #284592=ORIENTED_EDGE('',*,*,#205038,.F.); #284593=ORIENTED_EDGE('',*,*,#205039,.T.); #284594=ORIENTED_EDGE('',*,*,#205038,.T.); #284595=ORIENTED_EDGE('',*,*,#205040,.F.); #284596=ORIENTED_EDGE('',*,*,#205030,.F.); #284597=ORIENTED_EDGE('',*,*,#205040,.T.); #284598=ORIENTED_EDGE('',*,*,#205037,.T.); #284599=ORIENTED_EDGE('',*,*,#205034,.T.); #284600=ORIENTED_EDGE('',*,*,#205031,.T.); #284601=ORIENTED_EDGE('',*,*,#205028,.T.); #284602=ORIENTED_EDGE('',*,*,#205039,.F.); #284603=ORIENTED_EDGE('',*,*,#205029,.F.); #284604=ORIENTED_EDGE('',*,*,#205033,.F.); #284605=ORIENTED_EDGE('',*,*,#205036,.F.); #284606=ORIENTED_EDGE('',*,*,#205026,.T.); #284607=ORIENTED_EDGE('',*,*,#205041,.F.); #284608=ORIENTED_EDGE('',*,*,#205042,.T.); #284609=ORIENTED_EDGE('',*,*,#205043,.F.); #284610=ORIENTED_EDGE('',*,*,#205042,.F.); #284611=ORIENTED_EDGE('',*,*,#205044,.T.); #284612=ORIENTED_EDGE('',*,*,#205045,.T.); #284613=ORIENTED_EDGE('',*,*,#205046,.F.); #284614=ORIENTED_EDGE('',*,*,#205047,.F.); #284615=ORIENTED_EDGE('',*,*,#205048,.T.); #284616=ORIENTED_EDGE('',*,*,#205047,.T.); #284617=ORIENTED_EDGE('',*,*,#205049,.F.); #284618=ORIENTED_EDGE('',*,*,#205050,.F.); #284619=ORIENTED_EDGE('',*,*,#205051,.T.); #284620=ORIENTED_EDGE('',*,*,#205050,.T.); #284621=ORIENTED_EDGE('',*,*,#205052,.F.); #284622=ORIENTED_EDGE('',*,*,#205053,.F.); #284623=ORIENTED_EDGE('',*,*,#205054,.T.); #284624=ORIENTED_EDGE('',*,*,#205053,.T.); #284625=ORIENTED_EDGE('',*,*,#205055,.F.); #284626=ORIENTED_EDGE('',*,*,#205045,.F.); #284627=ORIENTED_EDGE('',*,*,#205055,.T.); #284628=ORIENTED_EDGE('',*,*,#205052,.T.); #284629=ORIENTED_EDGE('',*,*,#205049,.T.); #284630=ORIENTED_EDGE('',*,*,#205046,.T.); #284631=ORIENTED_EDGE('',*,*,#205043,.T.); #284632=ORIENTED_EDGE('',*,*,#205054,.F.); #284633=ORIENTED_EDGE('',*,*,#205044,.F.); #284634=ORIENTED_EDGE('',*,*,#205048,.F.); #284635=ORIENTED_EDGE('',*,*,#205051,.F.); #284636=ORIENTED_EDGE('',*,*,#205041,.T.); #284637=ORIENTED_EDGE('',*,*,#205056,.F.); #284638=ORIENTED_EDGE('',*,*,#205057,.T.); #284639=ORIENTED_EDGE('',*,*,#205058,.F.); #284640=ORIENTED_EDGE('',*,*,#205057,.F.); #284641=ORIENTED_EDGE('',*,*,#205059,.T.); #284642=ORIENTED_EDGE('',*,*,#205060,.T.); #284643=ORIENTED_EDGE('',*,*,#205061,.F.); #284644=ORIENTED_EDGE('',*,*,#205062,.F.); #284645=ORIENTED_EDGE('',*,*,#205063,.T.); #284646=ORIENTED_EDGE('',*,*,#205062,.T.); #284647=ORIENTED_EDGE('',*,*,#205064,.F.); #284648=ORIENTED_EDGE('',*,*,#205065,.F.); #284649=ORIENTED_EDGE('',*,*,#205066,.T.); #284650=ORIENTED_EDGE('',*,*,#205065,.T.); #284651=ORIENTED_EDGE('',*,*,#205067,.F.); #284652=ORIENTED_EDGE('',*,*,#205068,.F.); #284653=ORIENTED_EDGE('',*,*,#205069,.T.); #284654=ORIENTED_EDGE('',*,*,#205068,.T.); #284655=ORIENTED_EDGE('',*,*,#205070,.F.); #284656=ORIENTED_EDGE('',*,*,#205060,.F.); #284657=ORIENTED_EDGE('',*,*,#205070,.T.); #284658=ORIENTED_EDGE('',*,*,#205067,.T.); #284659=ORIENTED_EDGE('',*,*,#205064,.T.); #284660=ORIENTED_EDGE('',*,*,#205061,.T.); #284661=ORIENTED_EDGE('',*,*,#205058,.T.); #284662=ORIENTED_EDGE('',*,*,#205069,.F.); #284663=ORIENTED_EDGE('',*,*,#205059,.F.); #284664=ORIENTED_EDGE('',*,*,#205063,.F.); #284665=ORIENTED_EDGE('',*,*,#205066,.F.); #284666=ORIENTED_EDGE('',*,*,#205056,.T.); #284667=ORIENTED_EDGE('',*,*,#205071,.F.); #284668=ORIENTED_EDGE('',*,*,#205072,.T.); #284669=ORIENTED_EDGE('',*,*,#205073,.F.); #284670=ORIENTED_EDGE('',*,*,#205072,.F.); #284671=ORIENTED_EDGE('',*,*,#205074,.F.); #284672=ORIENTED_EDGE('',*,*,#205075,.T.); #284673=ORIENTED_EDGE('',*,*,#205076,.F.); #284674=ORIENTED_EDGE('',*,*,#205075,.F.); #284675=ORIENTED_EDGE('',*,*,#205077,.F.); #284676=ORIENTED_EDGE('',*,*,#205078,.T.); #284677=ORIENTED_EDGE('',*,*,#205079,.F.); #284678=ORIENTED_EDGE('',*,*,#205078,.F.); #284679=ORIENTED_EDGE('',*,*,#205080,.F.); #284680=ORIENTED_EDGE('',*,*,#205081,.T.); #284681=ORIENTED_EDGE('',*,*,#205082,.F.); #284682=ORIENTED_EDGE('',*,*,#205081,.F.); #284683=ORIENTED_EDGE('',*,*,#205083,.F.); #284684=ORIENTED_EDGE('',*,*,#205084,.T.); #284685=ORIENTED_EDGE('',*,*,#205085,.F.); #284686=ORIENTED_EDGE('',*,*,#205084,.F.); #284687=ORIENTED_EDGE('',*,*,#205086,.F.); #284688=ORIENTED_EDGE('',*,*,#205087,.T.); #284689=ORIENTED_EDGE('',*,*,#205088,.F.); #284690=ORIENTED_EDGE('',*,*,#205087,.F.); #284691=ORIENTED_EDGE('',*,*,#205089,.F.); #284692=ORIENTED_EDGE('',*,*,#205090,.T.); #284693=ORIENTED_EDGE('',*,*,#205091,.F.); #284694=ORIENTED_EDGE('',*,*,#205090,.F.); #284695=ORIENTED_EDGE('',*,*,#205092,.F.); #284696=ORIENTED_EDGE('',*,*,#205093,.T.); #284697=ORIENTED_EDGE('',*,*,#205094,.F.); #284698=ORIENTED_EDGE('',*,*,#205093,.F.); #284699=ORIENTED_EDGE('',*,*,#205095,.F.); #284700=ORIENTED_EDGE('',*,*,#205096,.T.); #284701=ORIENTED_EDGE('',*,*,#205097,.F.); #284702=ORIENTED_EDGE('',*,*,#205096,.F.); #284703=ORIENTED_EDGE('',*,*,#205098,.F.); #284704=ORIENTED_EDGE('',*,*,#205099,.T.); #284705=ORIENTED_EDGE('',*,*,#205100,.F.); #284706=ORIENTED_EDGE('',*,*,#205099,.F.); #284707=ORIENTED_EDGE('',*,*,#205101,.F.); #284708=ORIENTED_EDGE('',*,*,#205102,.T.); #284709=ORIENTED_EDGE('',*,*,#205103,.F.); #284710=ORIENTED_EDGE('',*,*,#205102,.F.); #284711=ORIENTED_EDGE('',*,*,#205104,.F.); #284712=ORIENTED_EDGE('',*,*,#205105,.T.); #284713=ORIENTED_EDGE('',*,*,#205106,.F.); #284714=ORIENTED_EDGE('',*,*,#205105,.F.); #284715=ORIENTED_EDGE('',*,*,#205107,.F.); #284716=ORIENTED_EDGE('',*,*,#205108,.T.); #284717=ORIENTED_EDGE('',*,*,#205109,.F.); #284718=ORIENTED_EDGE('',*,*,#205108,.F.); #284719=ORIENTED_EDGE('',*,*,#205110,.F.); #284720=ORIENTED_EDGE('',*,*,#205111,.T.); #284721=ORIENTED_EDGE('',*,*,#205112,.F.); #284722=ORIENTED_EDGE('',*,*,#205111,.F.); #284723=ORIENTED_EDGE('',*,*,#205113,.F.); #284724=ORIENTED_EDGE('',*,*,#205114,.T.); #284725=ORIENTED_EDGE('',*,*,#205115,.F.); #284726=ORIENTED_EDGE('',*,*,#205114,.F.); #284727=ORIENTED_EDGE('',*,*,#205116,.T.); #284728=ORIENTED_EDGE('',*,*,#205117,.T.); #284729=ORIENTED_EDGE('',*,*,#205118,.F.); #284730=ORIENTED_EDGE('',*,*,#205119,.F.); #284731=ORIENTED_EDGE('',*,*,#205120,.T.); #284732=ORIENTED_EDGE('',*,*,#205119,.T.); #284733=ORIENTED_EDGE('',*,*,#205121,.F.); #284734=ORIENTED_EDGE('',*,*,#205122,.F.); #284735=ORIENTED_EDGE('',*,*,#205123,.T.); #284736=ORIENTED_EDGE('',*,*,#205122,.T.); #284737=ORIENTED_EDGE('',*,*,#205124,.F.); #284738=ORIENTED_EDGE('',*,*,#205125,.F.); #284739=ORIENTED_EDGE('',*,*,#205126,.T.); #284740=ORIENTED_EDGE('',*,*,#205125,.T.); #284741=ORIENTED_EDGE('',*,*,#205127,.F.); #284742=ORIENTED_EDGE('',*,*,#205117,.F.); #284743=ORIENTED_EDGE('',*,*,#205127,.T.); #284744=ORIENTED_EDGE('',*,*,#205124,.T.); #284745=ORIENTED_EDGE('',*,*,#205121,.T.); #284746=ORIENTED_EDGE('',*,*,#205118,.T.); #284747=ORIENTED_EDGE('',*,*,#205073,.T.); #284748=ORIENTED_EDGE('',*,*,#205076,.T.); #284749=ORIENTED_EDGE('',*,*,#205079,.T.); #284750=ORIENTED_EDGE('',*,*,#205082,.T.); #284751=ORIENTED_EDGE('',*,*,#205085,.T.); #284752=ORIENTED_EDGE('',*,*,#205088,.T.); #284753=ORIENTED_EDGE('',*,*,#205091,.T.); #284754=ORIENTED_EDGE('',*,*,#205094,.T.); #284755=ORIENTED_EDGE('',*,*,#205097,.T.); #284756=ORIENTED_EDGE('',*,*,#205100,.T.); #284757=ORIENTED_EDGE('',*,*,#205103,.T.); #284758=ORIENTED_EDGE('',*,*,#205106,.T.); #284759=ORIENTED_EDGE('',*,*,#205109,.T.); #284760=ORIENTED_EDGE('',*,*,#205112,.T.); #284761=ORIENTED_EDGE('',*,*,#205115,.T.); #284762=ORIENTED_EDGE('',*,*,#205126,.F.); #284763=ORIENTED_EDGE('',*,*,#205116,.F.); #284764=ORIENTED_EDGE('',*,*,#205120,.F.); #284765=ORIENTED_EDGE('',*,*,#205123,.F.); #284766=ORIENTED_EDGE('',*,*,#205071,.T.); #284767=ORIENTED_EDGE('',*,*,#205074,.T.); #284768=ORIENTED_EDGE('',*,*,#205077,.T.); #284769=ORIENTED_EDGE('',*,*,#205080,.T.); #284770=ORIENTED_EDGE('',*,*,#205083,.T.); #284771=ORIENTED_EDGE('',*,*,#205086,.T.); #284772=ORIENTED_EDGE('',*,*,#205089,.T.); #284773=ORIENTED_EDGE('',*,*,#205092,.T.); #284774=ORIENTED_EDGE('',*,*,#205095,.T.); #284775=ORIENTED_EDGE('',*,*,#205098,.T.); #284776=ORIENTED_EDGE('',*,*,#205101,.T.); #284777=ORIENTED_EDGE('',*,*,#205104,.T.); #284778=ORIENTED_EDGE('',*,*,#205107,.T.); #284779=ORIENTED_EDGE('',*,*,#205110,.T.); #284780=ORIENTED_EDGE('',*,*,#205113,.T.); #284781=ORIENTED_EDGE('',*,*,#205128,.T.); #284782=ORIENTED_EDGE('',*,*,#205129,.T.); #284783=ORIENTED_EDGE('',*,*,#205130,.F.); #284784=ORIENTED_EDGE('',*,*,#205131,.F.); #284785=ORIENTED_EDGE('',*,*,#205132,.T.); #284786=ORIENTED_EDGE('',*,*,#205131,.T.); #284787=ORIENTED_EDGE('',*,*,#205133,.F.); #284788=ORIENTED_EDGE('',*,*,#205134,.F.); #284789=ORIENTED_EDGE('',*,*,#205135,.T.); #284790=ORIENTED_EDGE('',*,*,#205134,.T.); #284791=ORIENTED_EDGE('',*,*,#205136,.F.); #284792=ORIENTED_EDGE('',*,*,#205137,.F.); #284793=ORIENTED_EDGE('',*,*,#205138,.T.); #284794=ORIENTED_EDGE('',*,*,#205137,.T.); #284795=ORIENTED_EDGE('',*,*,#205139,.F.); #284796=ORIENTED_EDGE('',*,*,#205129,.F.); #284797=ORIENTED_EDGE('',*,*,#205139,.T.); #284798=ORIENTED_EDGE('',*,*,#205136,.T.); #284799=ORIENTED_EDGE('',*,*,#205133,.T.); #284800=ORIENTED_EDGE('',*,*,#205130,.T.); #284801=ORIENTED_EDGE('',*,*,#205138,.F.); #284802=ORIENTED_EDGE('',*,*,#205128,.F.); #284803=ORIENTED_EDGE('',*,*,#205132,.F.); #284804=ORIENTED_EDGE('',*,*,#205135,.F.); #284805=ORIENTED_EDGE('',*,*,#205140,.T.); #284806=ORIENTED_EDGE('',*,*,#205141,.T.); #284807=ORIENTED_EDGE('',*,*,#205142,.F.); #284808=ORIENTED_EDGE('',*,*,#205143,.F.); #284809=ORIENTED_EDGE('',*,*,#205144,.T.); #284810=ORIENTED_EDGE('',*,*,#205143,.T.); #284811=ORIENTED_EDGE('',*,*,#205145,.F.); #284812=ORIENTED_EDGE('',*,*,#205146,.F.); #284813=ORIENTED_EDGE('',*,*,#205147,.T.); #284814=ORIENTED_EDGE('',*,*,#205146,.T.); #284815=ORIENTED_EDGE('',*,*,#205148,.F.); #284816=ORIENTED_EDGE('',*,*,#205149,.F.); #284817=ORIENTED_EDGE('',*,*,#205150,.T.); #284818=ORIENTED_EDGE('',*,*,#205149,.T.); #284819=ORIENTED_EDGE('',*,*,#205151,.F.); #284820=ORIENTED_EDGE('',*,*,#205141,.F.); #284821=ORIENTED_EDGE('',*,*,#205151,.T.); #284822=ORIENTED_EDGE('',*,*,#205148,.T.); #284823=ORIENTED_EDGE('',*,*,#205145,.T.); #284824=ORIENTED_EDGE('',*,*,#205142,.T.); #284825=ORIENTED_EDGE('',*,*,#205150,.F.); #284826=ORIENTED_EDGE('',*,*,#205140,.F.); #284827=ORIENTED_EDGE('',*,*,#205144,.F.); #284828=ORIENTED_EDGE('',*,*,#205147,.F.); #284829=ORIENTED_EDGE('',*,*,#205152,.T.); #284830=ORIENTED_EDGE('',*,*,#205153,.T.); #284831=ORIENTED_EDGE('',*,*,#205154,.F.); #284832=ORIENTED_EDGE('',*,*,#205155,.F.); #284833=ORIENTED_EDGE('',*,*,#205156,.T.); #284834=ORIENTED_EDGE('',*,*,#205155,.T.); #284835=ORIENTED_EDGE('',*,*,#205157,.F.); #284836=ORIENTED_EDGE('',*,*,#205158,.F.); #284837=ORIENTED_EDGE('',*,*,#205159,.T.); #284838=ORIENTED_EDGE('',*,*,#205158,.T.); #284839=ORIENTED_EDGE('',*,*,#205160,.F.); #284840=ORIENTED_EDGE('',*,*,#205161,.F.); #284841=ORIENTED_EDGE('',*,*,#205162,.T.); #284842=ORIENTED_EDGE('',*,*,#205161,.T.); #284843=ORIENTED_EDGE('',*,*,#205163,.F.); #284844=ORIENTED_EDGE('',*,*,#205153,.F.); #284845=ORIENTED_EDGE('',*,*,#205163,.T.); #284846=ORIENTED_EDGE('',*,*,#205160,.T.); #284847=ORIENTED_EDGE('',*,*,#205157,.T.); #284848=ORIENTED_EDGE('',*,*,#205154,.T.); #284849=ORIENTED_EDGE('',*,*,#205162,.F.); #284850=ORIENTED_EDGE('',*,*,#205152,.F.); #284851=ORIENTED_EDGE('',*,*,#205156,.F.); #284852=ORIENTED_EDGE('',*,*,#205159,.F.); #284853=ORIENTED_EDGE('',*,*,#205164,.T.); #284854=ORIENTED_EDGE('',*,*,#205165,.T.); #284855=ORIENTED_EDGE('',*,*,#205166,.F.); #284856=ORIENTED_EDGE('',*,*,#205167,.F.); #284857=ORIENTED_EDGE('',*,*,#205168,.T.); #284858=ORIENTED_EDGE('',*,*,#205167,.T.); #284859=ORIENTED_EDGE('',*,*,#205169,.F.); #284860=ORIENTED_EDGE('',*,*,#205170,.F.); #284861=ORIENTED_EDGE('',*,*,#205171,.T.); #284862=ORIENTED_EDGE('',*,*,#205170,.T.); #284863=ORIENTED_EDGE('',*,*,#205172,.F.); #284864=ORIENTED_EDGE('',*,*,#205173,.F.); #284865=ORIENTED_EDGE('',*,*,#205174,.T.); #284866=ORIENTED_EDGE('',*,*,#205173,.T.); #284867=ORIENTED_EDGE('',*,*,#205175,.F.); #284868=ORIENTED_EDGE('',*,*,#205165,.F.); #284869=ORIENTED_EDGE('',*,*,#205175,.T.); #284870=ORIENTED_EDGE('',*,*,#205172,.T.); #284871=ORIENTED_EDGE('',*,*,#205169,.T.); #284872=ORIENTED_EDGE('',*,*,#205166,.T.); #284873=ORIENTED_EDGE('',*,*,#205174,.F.); #284874=ORIENTED_EDGE('',*,*,#205164,.F.); #284875=ORIENTED_EDGE('',*,*,#205168,.F.); #284876=ORIENTED_EDGE('',*,*,#205171,.F.); #284877=ORIENTED_EDGE('',*,*,#205176,.T.); #284878=ORIENTED_EDGE('',*,*,#205177,.T.); #284879=ORIENTED_EDGE('',*,*,#205178,.F.); #284880=ORIENTED_EDGE('',*,*,#205179,.F.); #284881=ORIENTED_EDGE('',*,*,#205180,.T.); #284882=ORIENTED_EDGE('',*,*,#205179,.T.); #284883=ORIENTED_EDGE('',*,*,#205181,.F.); #284884=ORIENTED_EDGE('',*,*,#205182,.F.); #284885=ORIENTED_EDGE('',*,*,#205183,.T.); #284886=ORIENTED_EDGE('',*,*,#205182,.T.); #284887=ORIENTED_EDGE('',*,*,#205184,.F.); #284888=ORIENTED_EDGE('',*,*,#205185,.F.); #284889=ORIENTED_EDGE('',*,*,#205186,.T.); #284890=ORIENTED_EDGE('',*,*,#205185,.T.); #284891=ORIENTED_EDGE('',*,*,#205187,.F.); #284892=ORIENTED_EDGE('',*,*,#205177,.F.); #284893=ORIENTED_EDGE('',*,*,#205187,.T.); #284894=ORIENTED_EDGE('',*,*,#205184,.T.); #284895=ORIENTED_EDGE('',*,*,#205181,.T.); #284896=ORIENTED_EDGE('',*,*,#205178,.T.); #284897=ORIENTED_EDGE('',*,*,#205186,.F.); #284898=ORIENTED_EDGE('',*,*,#205176,.F.); #284899=ORIENTED_EDGE('',*,*,#205180,.F.); #284900=ORIENTED_EDGE('',*,*,#205183,.F.); #284901=ORIENTED_EDGE('',*,*,#205188,.T.); #284902=ORIENTED_EDGE('',*,*,#205189,.T.); #284903=ORIENTED_EDGE('',*,*,#205190,.F.); #284904=ORIENTED_EDGE('',*,*,#205191,.F.); #284905=ORIENTED_EDGE('',*,*,#205192,.T.); #284906=ORIENTED_EDGE('',*,*,#205191,.T.); #284907=ORIENTED_EDGE('',*,*,#205193,.F.); #284908=ORIENTED_EDGE('',*,*,#205194,.F.); #284909=ORIENTED_EDGE('',*,*,#205195,.T.); #284910=ORIENTED_EDGE('',*,*,#205194,.T.); #284911=ORIENTED_EDGE('',*,*,#205196,.F.); #284912=ORIENTED_EDGE('',*,*,#205197,.F.); #284913=ORIENTED_EDGE('',*,*,#205198,.T.); #284914=ORIENTED_EDGE('',*,*,#205197,.T.); #284915=ORIENTED_EDGE('',*,*,#205199,.F.); #284916=ORIENTED_EDGE('',*,*,#205189,.F.); #284917=ORIENTED_EDGE('',*,*,#205199,.T.); #284918=ORIENTED_EDGE('',*,*,#205196,.T.); #284919=ORIENTED_EDGE('',*,*,#205193,.T.); #284920=ORIENTED_EDGE('',*,*,#205190,.T.); #284921=ORIENTED_EDGE('',*,*,#205198,.F.); #284922=ORIENTED_EDGE('',*,*,#205188,.F.); #284923=ORIENTED_EDGE('',*,*,#205192,.F.); #284924=ORIENTED_EDGE('',*,*,#205195,.F.); #284925=ORIENTED_EDGE('',*,*,#205200,.T.); #284926=ORIENTED_EDGE('',*,*,#205201,.T.); #284927=ORIENTED_EDGE('',*,*,#205202,.F.); #284928=ORIENTED_EDGE('',*,*,#205203,.F.); #284929=ORIENTED_EDGE('',*,*,#205204,.T.); #284930=ORIENTED_EDGE('',*,*,#205203,.T.); #284931=ORIENTED_EDGE('',*,*,#205205,.F.); #284932=ORIENTED_EDGE('',*,*,#205206,.F.); #284933=ORIENTED_EDGE('',*,*,#205207,.T.); #284934=ORIENTED_EDGE('',*,*,#205206,.T.); #284935=ORIENTED_EDGE('',*,*,#205208,.F.); #284936=ORIENTED_EDGE('',*,*,#205209,.F.); #284937=ORIENTED_EDGE('',*,*,#205210,.T.); #284938=ORIENTED_EDGE('',*,*,#205209,.T.); #284939=ORIENTED_EDGE('',*,*,#205211,.F.); #284940=ORIENTED_EDGE('',*,*,#205201,.F.); #284941=ORIENTED_EDGE('',*,*,#205211,.T.); #284942=ORIENTED_EDGE('',*,*,#205208,.T.); #284943=ORIENTED_EDGE('',*,*,#205205,.T.); #284944=ORIENTED_EDGE('',*,*,#205202,.T.); #284945=ORIENTED_EDGE('',*,*,#205210,.F.); #284946=ORIENTED_EDGE('',*,*,#205200,.F.); #284947=ORIENTED_EDGE('',*,*,#205204,.F.); #284948=ORIENTED_EDGE('',*,*,#205207,.F.); #284949=ORIENTED_EDGE('',*,*,#205212,.T.); #284950=ORIENTED_EDGE('',*,*,#205213,.T.); #284951=ORIENTED_EDGE('',*,*,#205214,.F.); #284952=ORIENTED_EDGE('',*,*,#205215,.F.); #284953=ORIENTED_EDGE('',*,*,#205216,.T.); #284954=ORIENTED_EDGE('',*,*,#205215,.T.); #284955=ORIENTED_EDGE('',*,*,#205217,.F.); #284956=ORIENTED_EDGE('',*,*,#205218,.F.); #284957=ORIENTED_EDGE('',*,*,#205219,.T.); #284958=ORIENTED_EDGE('',*,*,#205218,.T.); #284959=ORIENTED_EDGE('',*,*,#205220,.F.); #284960=ORIENTED_EDGE('',*,*,#205221,.F.); #284961=ORIENTED_EDGE('',*,*,#205222,.T.); #284962=ORIENTED_EDGE('',*,*,#205221,.T.); #284963=ORIENTED_EDGE('',*,*,#205223,.F.); #284964=ORIENTED_EDGE('',*,*,#205213,.F.); #284965=ORIENTED_EDGE('',*,*,#205223,.T.); #284966=ORIENTED_EDGE('',*,*,#205220,.T.); #284967=ORIENTED_EDGE('',*,*,#205217,.T.); #284968=ORIENTED_EDGE('',*,*,#205214,.T.); #284969=ORIENTED_EDGE('',*,*,#205222,.F.); #284970=ORIENTED_EDGE('',*,*,#205212,.F.); #284971=ORIENTED_EDGE('',*,*,#205216,.F.); #284972=ORIENTED_EDGE('',*,*,#205219,.F.); #284973=ORIENTED_EDGE('',*,*,#205224,.T.); #284974=ORIENTED_EDGE('',*,*,#205225,.T.); #284975=ORIENTED_EDGE('',*,*,#205226,.F.); #284976=ORIENTED_EDGE('',*,*,#205227,.F.); #284977=ORIENTED_EDGE('',*,*,#205228,.T.); #284978=ORIENTED_EDGE('',*,*,#205227,.T.); #284979=ORIENTED_EDGE('',*,*,#205229,.F.); #284980=ORIENTED_EDGE('',*,*,#205230,.F.); #284981=ORIENTED_EDGE('',*,*,#205231,.T.); #284982=ORIENTED_EDGE('',*,*,#205230,.T.); #284983=ORIENTED_EDGE('',*,*,#205232,.F.); #284984=ORIENTED_EDGE('',*,*,#205233,.F.); #284985=ORIENTED_EDGE('',*,*,#205234,.T.); #284986=ORIENTED_EDGE('',*,*,#205233,.T.); #284987=ORIENTED_EDGE('',*,*,#205235,.F.); #284988=ORIENTED_EDGE('',*,*,#205225,.F.); #284989=ORIENTED_EDGE('',*,*,#205235,.T.); #284990=ORIENTED_EDGE('',*,*,#205232,.T.); #284991=ORIENTED_EDGE('',*,*,#205229,.T.); #284992=ORIENTED_EDGE('',*,*,#205226,.T.); #284993=ORIENTED_EDGE('',*,*,#205234,.F.); #284994=ORIENTED_EDGE('',*,*,#205224,.F.); #284995=ORIENTED_EDGE('',*,*,#205228,.F.); #284996=ORIENTED_EDGE('',*,*,#205231,.F.); #284997=ORIENTED_EDGE('',*,*,#205236,.T.); #284998=ORIENTED_EDGE('',*,*,#205237,.T.); #284999=ORIENTED_EDGE('',*,*,#205238,.F.); #285000=ORIENTED_EDGE('',*,*,#205239,.F.); #285001=ORIENTED_EDGE('',*,*,#205240,.T.); #285002=ORIENTED_EDGE('',*,*,#205239,.T.); #285003=ORIENTED_EDGE('',*,*,#205241,.F.); #285004=ORIENTED_EDGE('',*,*,#205242,.F.); #285005=ORIENTED_EDGE('',*,*,#205243,.T.); #285006=ORIENTED_EDGE('',*,*,#205242,.T.); #285007=ORIENTED_EDGE('',*,*,#205244,.F.); #285008=ORIENTED_EDGE('',*,*,#205245,.F.); #285009=ORIENTED_EDGE('',*,*,#205246,.T.); #285010=ORIENTED_EDGE('',*,*,#205245,.T.); #285011=ORIENTED_EDGE('',*,*,#205247,.F.); #285012=ORIENTED_EDGE('',*,*,#205237,.F.); #285013=ORIENTED_EDGE('',*,*,#205247,.T.); #285014=ORIENTED_EDGE('',*,*,#205244,.T.); #285015=ORIENTED_EDGE('',*,*,#205241,.T.); #285016=ORIENTED_EDGE('',*,*,#205238,.T.); #285017=ORIENTED_EDGE('',*,*,#205246,.F.); #285018=ORIENTED_EDGE('',*,*,#205236,.F.); #285019=ORIENTED_EDGE('',*,*,#205240,.F.); #285020=ORIENTED_EDGE('',*,*,#205243,.F.); #285021=ORIENTED_EDGE('',*,*,#205248,.T.); #285022=ORIENTED_EDGE('',*,*,#205249,.T.); #285023=ORIENTED_EDGE('',*,*,#205250,.F.); #285024=ORIENTED_EDGE('',*,*,#205251,.F.); #285025=ORIENTED_EDGE('',*,*,#205252,.T.); #285026=ORIENTED_EDGE('',*,*,#205251,.T.); #285027=ORIENTED_EDGE('',*,*,#205253,.F.); #285028=ORIENTED_EDGE('',*,*,#205254,.F.); #285029=ORIENTED_EDGE('',*,*,#205255,.T.); #285030=ORIENTED_EDGE('',*,*,#205254,.T.); #285031=ORIENTED_EDGE('',*,*,#205256,.F.); #285032=ORIENTED_EDGE('',*,*,#205257,.F.); #285033=ORIENTED_EDGE('',*,*,#205258,.T.); #285034=ORIENTED_EDGE('',*,*,#205257,.T.); #285035=ORIENTED_EDGE('',*,*,#205259,.F.); #285036=ORIENTED_EDGE('',*,*,#205249,.F.); #285037=ORIENTED_EDGE('',*,*,#205259,.T.); #285038=ORIENTED_EDGE('',*,*,#205256,.T.); #285039=ORIENTED_EDGE('',*,*,#205253,.T.); #285040=ORIENTED_EDGE('',*,*,#205250,.T.); #285041=ORIENTED_EDGE('',*,*,#205258,.F.); #285042=ORIENTED_EDGE('',*,*,#205248,.F.); #285043=ORIENTED_EDGE('',*,*,#205252,.F.); #285044=ORIENTED_EDGE('',*,*,#205255,.F.); #285045=ORIENTED_EDGE('',*,*,#205260,.T.); #285046=ORIENTED_EDGE('',*,*,#205261,.T.); #285047=ORIENTED_EDGE('',*,*,#205262,.F.); #285048=ORIENTED_EDGE('',*,*,#205263,.F.); #285049=ORIENTED_EDGE('',*,*,#205264,.T.); #285050=ORIENTED_EDGE('',*,*,#205263,.T.); #285051=ORIENTED_EDGE('',*,*,#205265,.F.); #285052=ORIENTED_EDGE('',*,*,#205266,.F.); #285053=ORIENTED_EDGE('',*,*,#205267,.T.); #285054=ORIENTED_EDGE('',*,*,#205266,.T.); #285055=ORIENTED_EDGE('',*,*,#205268,.F.); #285056=ORIENTED_EDGE('',*,*,#205269,.F.); #285057=ORIENTED_EDGE('',*,*,#205270,.T.); #285058=ORIENTED_EDGE('',*,*,#205269,.T.); #285059=ORIENTED_EDGE('',*,*,#205271,.F.); #285060=ORIENTED_EDGE('',*,*,#205261,.F.); #285061=ORIENTED_EDGE('',*,*,#205271,.T.); #285062=ORIENTED_EDGE('',*,*,#205268,.T.); #285063=ORIENTED_EDGE('',*,*,#205265,.T.); #285064=ORIENTED_EDGE('',*,*,#205262,.T.); #285065=ORIENTED_EDGE('',*,*,#205270,.F.); #285066=ORIENTED_EDGE('',*,*,#205260,.F.); #285067=ORIENTED_EDGE('',*,*,#205264,.F.); #285068=ORIENTED_EDGE('',*,*,#205267,.F.); #285069=ORIENTED_EDGE('',*,*,#205272,.T.); #285070=ORIENTED_EDGE('',*,*,#205273,.T.); #285071=ORIENTED_EDGE('',*,*,#205274,.F.); #285072=ORIENTED_EDGE('',*,*,#205275,.F.); #285073=ORIENTED_EDGE('',*,*,#205276,.T.); #285074=ORIENTED_EDGE('',*,*,#205275,.T.); #285075=ORIENTED_EDGE('',*,*,#205277,.F.); #285076=ORIENTED_EDGE('',*,*,#205278,.F.); #285077=ORIENTED_EDGE('',*,*,#205279,.T.); #285078=ORIENTED_EDGE('',*,*,#205278,.T.); #285079=ORIENTED_EDGE('',*,*,#205280,.F.); #285080=ORIENTED_EDGE('',*,*,#205281,.F.); #285081=ORIENTED_EDGE('',*,*,#205282,.T.); #285082=ORIENTED_EDGE('',*,*,#205281,.T.); #285083=ORIENTED_EDGE('',*,*,#205283,.F.); #285084=ORIENTED_EDGE('',*,*,#205273,.F.); #285085=ORIENTED_EDGE('',*,*,#205283,.T.); #285086=ORIENTED_EDGE('',*,*,#205280,.T.); #285087=ORIENTED_EDGE('',*,*,#205277,.T.); #285088=ORIENTED_EDGE('',*,*,#205274,.T.); #285089=ORIENTED_EDGE('',*,*,#205282,.F.); #285090=ORIENTED_EDGE('',*,*,#205272,.F.); #285091=ORIENTED_EDGE('',*,*,#205276,.F.); #285092=ORIENTED_EDGE('',*,*,#205279,.F.); #285093=ORIENTED_EDGE('',*,*,#205284,.T.); #285094=ORIENTED_EDGE('',*,*,#205285,.T.); #285095=ORIENTED_EDGE('',*,*,#205286,.F.); #285096=ORIENTED_EDGE('',*,*,#205287,.F.); #285097=ORIENTED_EDGE('',*,*,#205288,.T.); #285098=ORIENTED_EDGE('',*,*,#205287,.T.); #285099=ORIENTED_EDGE('',*,*,#205289,.F.); #285100=ORIENTED_EDGE('',*,*,#205290,.F.); #285101=ORIENTED_EDGE('',*,*,#205291,.T.); #285102=ORIENTED_EDGE('',*,*,#205290,.T.); #285103=ORIENTED_EDGE('',*,*,#205292,.F.); #285104=ORIENTED_EDGE('',*,*,#205293,.F.); #285105=ORIENTED_EDGE('',*,*,#205294,.T.); #285106=ORIENTED_EDGE('',*,*,#205293,.T.); #285107=ORIENTED_EDGE('',*,*,#205295,.F.); #285108=ORIENTED_EDGE('',*,*,#205285,.F.); #285109=ORIENTED_EDGE('',*,*,#205295,.T.); #285110=ORIENTED_EDGE('',*,*,#205292,.T.); #285111=ORIENTED_EDGE('',*,*,#205289,.T.); #285112=ORIENTED_EDGE('',*,*,#205286,.T.); #285113=ORIENTED_EDGE('',*,*,#205294,.F.); #285114=ORIENTED_EDGE('',*,*,#205284,.F.); #285115=ORIENTED_EDGE('',*,*,#205288,.F.); #285116=ORIENTED_EDGE('',*,*,#205291,.F.); #285117=ORIENTED_EDGE('',*,*,#205296,.T.); #285118=ORIENTED_EDGE('',*,*,#205297,.T.); #285119=ORIENTED_EDGE('',*,*,#205298,.F.); #285120=ORIENTED_EDGE('',*,*,#205299,.F.); #285121=ORIENTED_EDGE('',*,*,#205300,.T.); #285122=ORIENTED_EDGE('',*,*,#205299,.T.); #285123=ORIENTED_EDGE('',*,*,#205301,.F.); #285124=ORIENTED_EDGE('',*,*,#205302,.F.); #285125=ORIENTED_EDGE('',*,*,#205303,.T.); #285126=ORIENTED_EDGE('',*,*,#205302,.T.); #285127=ORIENTED_EDGE('',*,*,#205304,.F.); #285128=ORIENTED_EDGE('',*,*,#205305,.F.); #285129=ORIENTED_EDGE('',*,*,#205306,.T.); #285130=ORIENTED_EDGE('',*,*,#205305,.T.); #285131=ORIENTED_EDGE('',*,*,#205307,.F.); #285132=ORIENTED_EDGE('',*,*,#205297,.F.); #285133=ORIENTED_EDGE('',*,*,#205307,.T.); #285134=ORIENTED_EDGE('',*,*,#205304,.T.); #285135=ORIENTED_EDGE('',*,*,#205301,.T.); #285136=ORIENTED_EDGE('',*,*,#205298,.T.); #285137=ORIENTED_EDGE('',*,*,#205306,.F.); #285138=ORIENTED_EDGE('',*,*,#205296,.F.); #285139=ORIENTED_EDGE('',*,*,#205300,.F.); #285140=ORIENTED_EDGE('',*,*,#205303,.F.); #285141=ORIENTED_EDGE('',*,*,#205308,.T.); #285142=ORIENTED_EDGE('',*,*,#205309,.T.); #285143=ORIENTED_EDGE('',*,*,#205310,.F.); #285144=ORIENTED_EDGE('',*,*,#205311,.F.); #285145=ORIENTED_EDGE('',*,*,#205312,.T.); #285146=ORIENTED_EDGE('',*,*,#205311,.T.); #285147=ORIENTED_EDGE('',*,*,#205313,.F.); #285148=ORIENTED_EDGE('',*,*,#205314,.F.); #285149=ORIENTED_EDGE('',*,*,#205315,.T.); #285150=ORIENTED_EDGE('',*,*,#205314,.T.); #285151=ORIENTED_EDGE('',*,*,#205316,.F.); #285152=ORIENTED_EDGE('',*,*,#205317,.F.); #285153=ORIENTED_EDGE('',*,*,#205318,.T.); #285154=ORIENTED_EDGE('',*,*,#205317,.T.); #285155=ORIENTED_EDGE('',*,*,#205319,.F.); #285156=ORIENTED_EDGE('',*,*,#205309,.F.); #285157=ORIENTED_EDGE('',*,*,#205319,.T.); #285158=ORIENTED_EDGE('',*,*,#205316,.T.); #285159=ORIENTED_EDGE('',*,*,#205313,.T.); #285160=ORIENTED_EDGE('',*,*,#205310,.T.); #285161=ORIENTED_EDGE('',*,*,#205318,.F.); #285162=ORIENTED_EDGE('',*,*,#205308,.F.); #285163=ORIENTED_EDGE('',*,*,#205312,.F.); #285164=ORIENTED_EDGE('',*,*,#205315,.F.); #285165=ORIENTED_EDGE('',*,*,#205320,.T.); #285166=ORIENTED_EDGE('',*,*,#205321,.T.); #285167=ORIENTED_EDGE('',*,*,#205322,.F.); #285168=ORIENTED_EDGE('',*,*,#205323,.F.); #285169=ORIENTED_EDGE('',*,*,#205324,.T.); #285170=ORIENTED_EDGE('',*,*,#205323,.T.); #285171=ORIENTED_EDGE('',*,*,#205325,.F.); #285172=ORIENTED_EDGE('',*,*,#205326,.F.); #285173=ORIENTED_EDGE('',*,*,#205327,.T.); #285174=ORIENTED_EDGE('',*,*,#205326,.T.); #285175=ORIENTED_EDGE('',*,*,#205328,.F.); #285176=ORIENTED_EDGE('',*,*,#205329,.F.); #285177=ORIENTED_EDGE('',*,*,#205330,.T.); #285178=ORIENTED_EDGE('',*,*,#205329,.T.); #285179=ORIENTED_EDGE('',*,*,#205331,.F.); #285180=ORIENTED_EDGE('',*,*,#205321,.F.); #285181=ORIENTED_EDGE('',*,*,#205331,.T.); #285182=ORIENTED_EDGE('',*,*,#205328,.T.); #285183=ORIENTED_EDGE('',*,*,#205325,.T.); #285184=ORIENTED_EDGE('',*,*,#205322,.T.); #285185=ORIENTED_EDGE('',*,*,#205330,.F.); #285186=ORIENTED_EDGE('',*,*,#205320,.F.); #285187=ORIENTED_EDGE('',*,*,#205324,.F.); #285188=ORIENTED_EDGE('',*,*,#205327,.F.); #285189=ORIENTED_EDGE('',*,*,#205332,.T.); #285190=ORIENTED_EDGE('',*,*,#205333,.T.); #285191=ORIENTED_EDGE('',*,*,#205334,.F.); #285192=ORIENTED_EDGE('',*,*,#205335,.F.); #285193=ORIENTED_EDGE('',*,*,#205336,.T.); #285194=ORIENTED_EDGE('',*,*,#205335,.T.); #285195=ORIENTED_EDGE('',*,*,#205337,.F.); #285196=ORIENTED_EDGE('',*,*,#205338,.F.); #285197=ORIENTED_EDGE('',*,*,#205339,.T.); #285198=ORIENTED_EDGE('',*,*,#205338,.T.); #285199=ORIENTED_EDGE('',*,*,#205340,.F.); #285200=ORIENTED_EDGE('',*,*,#205341,.F.); #285201=ORIENTED_EDGE('',*,*,#205342,.T.); #285202=ORIENTED_EDGE('',*,*,#205341,.T.); #285203=ORIENTED_EDGE('',*,*,#205343,.F.); #285204=ORIENTED_EDGE('',*,*,#205333,.F.); #285205=ORIENTED_EDGE('',*,*,#205343,.T.); #285206=ORIENTED_EDGE('',*,*,#205340,.T.); #285207=ORIENTED_EDGE('',*,*,#205337,.T.); #285208=ORIENTED_EDGE('',*,*,#205334,.T.); #285209=ORIENTED_EDGE('',*,*,#205342,.F.); #285210=ORIENTED_EDGE('',*,*,#205332,.F.); #285211=ORIENTED_EDGE('',*,*,#205336,.F.); #285212=ORIENTED_EDGE('',*,*,#205339,.F.); #285213=ORIENTED_EDGE('',*,*,#205344,.T.); #285214=ORIENTED_EDGE('',*,*,#205345,.T.); #285215=ORIENTED_EDGE('',*,*,#205346,.F.); #285216=ORIENTED_EDGE('',*,*,#205347,.F.); #285217=ORIENTED_EDGE('',*,*,#205348,.T.); #285218=ORIENTED_EDGE('',*,*,#205347,.T.); #285219=ORIENTED_EDGE('',*,*,#205349,.F.); #285220=ORIENTED_EDGE('',*,*,#205350,.F.); #285221=ORIENTED_EDGE('',*,*,#205351,.T.); #285222=ORIENTED_EDGE('',*,*,#205350,.T.); #285223=ORIENTED_EDGE('',*,*,#205352,.F.); #285224=ORIENTED_EDGE('',*,*,#205353,.F.); #285225=ORIENTED_EDGE('',*,*,#205354,.T.); #285226=ORIENTED_EDGE('',*,*,#205353,.T.); #285227=ORIENTED_EDGE('',*,*,#205355,.F.); #285228=ORIENTED_EDGE('',*,*,#205345,.F.); #285229=ORIENTED_EDGE('',*,*,#205355,.T.); #285230=ORIENTED_EDGE('',*,*,#205352,.T.); #285231=ORIENTED_EDGE('',*,*,#205349,.T.); #285232=ORIENTED_EDGE('',*,*,#205346,.T.); #285233=ORIENTED_EDGE('',*,*,#205354,.F.); #285234=ORIENTED_EDGE('',*,*,#205344,.F.); #285235=ORIENTED_EDGE('',*,*,#205348,.F.); #285236=ORIENTED_EDGE('',*,*,#205351,.F.); #285237=ORIENTED_EDGE('',*,*,#205356,.T.); #285238=ORIENTED_EDGE('',*,*,#205357,.T.); #285239=ORIENTED_EDGE('',*,*,#205358,.F.); #285240=ORIENTED_EDGE('',*,*,#205359,.F.); #285241=ORIENTED_EDGE('',*,*,#205360,.T.); #285242=ORIENTED_EDGE('',*,*,#205359,.T.); #285243=ORIENTED_EDGE('',*,*,#205361,.F.); #285244=ORIENTED_EDGE('',*,*,#205362,.F.); #285245=ORIENTED_EDGE('',*,*,#205363,.T.); #285246=ORIENTED_EDGE('',*,*,#205362,.T.); #285247=ORIENTED_EDGE('',*,*,#205364,.F.); #285248=ORIENTED_EDGE('',*,*,#205365,.F.); #285249=ORIENTED_EDGE('',*,*,#205366,.T.); #285250=ORIENTED_EDGE('',*,*,#205365,.T.); #285251=ORIENTED_EDGE('',*,*,#205367,.F.); #285252=ORIENTED_EDGE('',*,*,#205357,.F.); #285253=ORIENTED_EDGE('',*,*,#205367,.T.); #285254=ORIENTED_EDGE('',*,*,#205364,.T.); #285255=ORIENTED_EDGE('',*,*,#205361,.T.); #285256=ORIENTED_EDGE('',*,*,#205358,.T.); #285257=ORIENTED_EDGE('',*,*,#205366,.F.); #285258=ORIENTED_EDGE('',*,*,#205356,.F.); #285259=ORIENTED_EDGE('',*,*,#205360,.F.); #285260=ORIENTED_EDGE('',*,*,#205363,.F.); #285261=ORIENTED_EDGE('',*,*,#205368,.F.); #285262=ORIENTED_EDGE('',*,*,#205369,.T.); #285263=ORIENTED_EDGE('',*,*,#205370,.F.); #285264=ORIENTED_EDGE('',*,*,#205369,.F.); #285265=ORIENTED_EDGE('',*,*,#205371,.F.); #285266=ORIENTED_EDGE('',*,*,#205372,.T.); #285267=ORIENTED_EDGE('',*,*,#205373,.F.); #285268=ORIENTED_EDGE('',*,*,#205372,.F.); #285269=ORIENTED_EDGE('',*,*,#205374,.F.); #285270=ORIENTED_EDGE('',*,*,#205375,.T.); #285271=ORIENTED_EDGE('',*,*,#205376,.F.); #285272=ORIENTED_EDGE('',*,*,#205375,.F.); #285273=ORIENTED_EDGE('',*,*,#205377,.F.); #285274=ORIENTED_EDGE('',*,*,#205378,.T.); #285275=ORIENTED_EDGE('',*,*,#205379,.F.); #285276=ORIENTED_EDGE('',*,*,#205378,.F.); #285277=ORIENTED_EDGE('',*,*,#205380,.F.); #285278=ORIENTED_EDGE('',*,*,#205381,.T.); #285279=ORIENTED_EDGE('',*,*,#205382,.F.); #285280=ORIENTED_EDGE('',*,*,#205381,.F.); #285281=ORIENTED_EDGE('',*,*,#205383,.F.); #285282=ORIENTED_EDGE('',*,*,#205384,.T.); #285283=ORIENTED_EDGE('',*,*,#205385,.F.); #285284=ORIENTED_EDGE('',*,*,#205384,.F.); #285285=ORIENTED_EDGE('',*,*,#205386,.F.); #285286=ORIENTED_EDGE('',*,*,#205387,.T.); #285287=ORIENTED_EDGE('',*,*,#205388,.F.); #285288=ORIENTED_EDGE('',*,*,#205387,.F.); #285289=ORIENTED_EDGE('',*,*,#205389,.F.); #285290=ORIENTED_EDGE('',*,*,#205390,.T.); #285291=ORIENTED_EDGE('',*,*,#205391,.F.); #285292=ORIENTED_EDGE('',*,*,#205390,.F.); #285293=ORIENTED_EDGE('',*,*,#205392,.F.); #285294=ORIENTED_EDGE('',*,*,#205393,.T.); #285295=ORIENTED_EDGE('',*,*,#205394,.F.); #285296=ORIENTED_EDGE('',*,*,#205393,.F.); #285297=ORIENTED_EDGE('',*,*,#205395,.F.); #285298=ORIENTED_EDGE('',*,*,#205396,.T.); #285299=ORIENTED_EDGE('',*,*,#205397,.F.); #285300=ORIENTED_EDGE('',*,*,#205396,.F.); #285301=ORIENTED_EDGE('',*,*,#205398,.F.); #285302=ORIENTED_EDGE('',*,*,#205399,.T.); #285303=ORIENTED_EDGE('',*,*,#205400,.F.); #285304=ORIENTED_EDGE('',*,*,#205399,.F.); #285305=ORIENTED_EDGE('',*,*,#205401,.F.); #285306=ORIENTED_EDGE('',*,*,#205402,.T.); #285307=ORIENTED_EDGE('',*,*,#205403,.F.); #285308=ORIENTED_EDGE('',*,*,#205402,.F.); #285309=ORIENTED_EDGE('',*,*,#205404,.F.); #285310=ORIENTED_EDGE('',*,*,#205405,.T.); #285311=ORIENTED_EDGE('',*,*,#205406,.F.); #285312=ORIENTED_EDGE('',*,*,#205405,.F.); #285313=ORIENTED_EDGE('',*,*,#205407,.F.); #285314=ORIENTED_EDGE('',*,*,#205408,.T.); #285315=ORIENTED_EDGE('',*,*,#205409,.F.); #285316=ORIENTED_EDGE('',*,*,#205408,.F.); #285317=ORIENTED_EDGE('',*,*,#205410,.F.); #285318=ORIENTED_EDGE('',*,*,#205411,.T.); #285319=ORIENTED_EDGE('',*,*,#205412,.F.); #285320=ORIENTED_EDGE('',*,*,#205411,.F.); #285321=ORIENTED_EDGE('',*,*,#205413,.T.); #285322=ORIENTED_EDGE('',*,*,#205414,.T.); #285323=ORIENTED_EDGE('',*,*,#205415,.F.); #285324=ORIENTED_EDGE('',*,*,#205416,.F.); #285325=ORIENTED_EDGE('',*,*,#205417,.T.); #285326=ORIENTED_EDGE('',*,*,#205416,.T.); #285327=ORIENTED_EDGE('',*,*,#205418,.F.); #285328=ORIENTED_EDGE('',*,*,#205419,.F.); #285329=ORIENTED_EDGE('',*,*,#205420,.T.); #285330=ORIENTED_EDGE('',*,*,#205419,.T.); #285331=ORIENTED_EDGE('',*,*,#205421,.F.); #285332=ORIENTED_EDGE('',*,*,#205422,.F.); #285333=ORIENTED_EDGE('',*,*,#205423,.T.); #285334=ORIENTED_EDGE('',*,*,#205422,.T.); #285335=ORIENTED_EDGE('',*,*,#205424,.F.); #285336=ORIENTED_EDGE('',*,*,#205414,.F.); #285337=ORIENTED_EDGE('',*,*,#205424,.T.); #285338=ORIENTED_EDGE('',*,*,#205421,.T.); #285339=ORIENTED_EDGE('',*,*,#205418,.T.); #285340=ORIENTED_EDGE('',*,*,#205415,.T.); #285341=ORIENTED_EDGE('',*,*,#205370,.T.); #285342=ORIENTED_EDGE('',*,*,#205373,.T.); #285343=ORIENTED_EDGE('',*,*,#205376,.T.); #285344=ORIENTED_EDGE('',*,*,#205379,.T.); #285345=ORIENTED_EDGE('',*,*,#205382,.T.); #285346=ORIENTED_EDGE('',*,*,#205385,.T.); #285347=ORIENTED_EDGE('',*,*,#205388,.T.); #285348=ORIENTED_EDGE('',*,*,#205391,.T.); #285349=ORIENTED_EDGE('',*,*,#205394,.T.); #285350=ORIENTED_EDGE('',*,*,#205397,.T.); #285351=ORIENTED_EDGE('',*,*,#205400,.T.); #285352=ORIENTED_EDGE('',*,*,#205403,.T.); #285353=ORIENTED_EDGE('',*,*,#205406,.T.); #285354=ORIENTED_EDGE('',*,*,#205409,.T.); #285355=ORIENTED_EDGE('',*,*,#205412,.T.); #285356=ORIENTED_EDGE('',*,*,#205423,.F.); #285357=ORIENTED_EDGE('',*,*,#205413,.F.); #285358=ORIENTED_EDGE('',*,*,#205417,.F.); #285359=ORIENTED_EDGE('',*,*,#205420,.F.); #285360=ORIENTED_EDGE('',*,*,#205368,.T.); #285361=ORIENTED_EDGE('',*,*,#205371,.T.); #285362=ORIENTED_EDGE('',*,*,#205374,.T.); #285363=ORIENTED_EDGE('',*,*,#205377,.T.); #285364=ORIENTED_EDGE('',*,*,#205380,.T.); #285365=ORIENTED_EDGE('',*,*,#205383,.T.); #285366=ORIENTED_EDGE('',*,*,#205386,.T.); #285367=ORIENTED_EDGE('',*,*,#205389,.T.); #285368=ORIENTED_EDGE('',*,*,#205392,.T.); #285369=ORIENTED_EDGE('',*,*,#205395,.T.); #285370=ORIENTED_EDGE('',*,*,#205398,.T.); #285371=ORIENTED_EDGE('',*,*,#205401,.T.); #285372=ORIENTED_EDGE('',*,*,#205404,.T.); #285373=ORIENTED_EDGE('',*,*,#205407,.T.); #285374=ORIENTED_EDGE('',*,*,#205410,.T.); #285375=ORIENTED_EDGE('',*,*,#205425,.T.); #285376=ORIENTED_EDGE('',*,*,#205426,.T.); #285377=ORIENTED_EDGE('',*,*,#205427,.F.); #285378=ORIENTED_EDGE('',*,*,#205428,.F.); #285379=ORIENTED_EDGE('',*,*,#205429,.T.); #285380=ORIENTED_EDGE('',*,*,#205428,.T.); #285381=ORIENTED_EDGE('',*,*,#205430,.F.); #285382=ORIENTED_EDGE('',*,*,#205431,.F.); #285383=ORIENTED_EDGE('',*,*,#205432,.T.); #285384=ORIENTED_EDGE('',*,*,#205431,.T.); #285385=ORIENTED_EDGE('',*,*,#205433,.F.); #285386=ORIENTED_EDGE('',*,*,#205434,.F.); #285387=ORIENTED_EDGE('',*,*,#205435,.T.); #285388=ORIENTED_EDGE('',*,*,#205434,.T.); #285389=ORIENTED_EDGE('',*,*,#205436,.F.); #285390=ORIENTED_EDGE('',*,*,#205426,.F.); #285391=ORIENTED_EDGE('',*,*,#205436,.T.); #285392=ORIENTED_EDGE('',*,*,#205433,.T.); #285393=ORIENTED_EDGE('',*,*,#205430,.T.); #285394=ORIENTED_EDGE('',*,*,#205427,.T.); #285395=ORIENTED_EDGE('',*,*,#205435,.F.); #285396=ORIENTED_EDGE('',*,*,#205425,.F.); #285397=ORIENTED_EDGE('',*,*,#205429,.F.); #285398=ORIENTED_EDGE('',*,*,#205432,.F.); #285399=ORIENTED_EDGE('',*,*,#205437,.T.); #285400=ORIENTED_EDGE('',*,*,#205438,.T.); #285401=ORIENTED_EDGE('',*,*,#205439,.F.); #285402=ORIENTED_EDGE('',*,*,#205440,.F.); #285403=ORIENTED_EDGE('',*,*,#205441,.T.); #285404=ORIENTED_EDGE('',*,*,#205440,.T.); #285405=ORIENTED_EDGE('',*,*,#205442,.F.); #285406=ORIENTED_EDGE('',*,*,#205443,.F.); #285407=ORIENTED_EDGE('',*,*,#205444,.T.); #285408=ORIENTED_EDGE('',*,*,#205443,.T.); #285409=ORIENTED_EDGE('',*,*,#205445,.F.); #285410=ORIENTED_EDGE('',*,*,#205446,.F.); #285411=ORIENTED_EDGE('',*,*,#205447,.T.); #285412=ORIENTED_EDGE('',*,*,#205446,.T.); #285413=ORIENTED_EDGE('',*,*,#205448,.F.); #285414=ORIENTED_EDGE('',*,*,#205438,.F.); #285415=ORIENTED_EDGE('',*,*,#205448,.T.); #285416=ORIENTED_EDGE('',*,*,#205445,.T.); #285417=ORIENTED_EDGE('',*,*,#205442,.T.); #285418=ORIENTED_EDGE('',*,*,#205439,.T.); #285419=ORIENTED_EDGE('',*,*,#205447,.F.); #285420=ORIENTED_EDGE('',*,*,#205437,.F.); #285421=ORIENTED_EDGE('',*,*,#205441,.F.); #285422=ORIENTED_EDGE('',*,*,#205444,.F.); #285423=ORIENTED_EDGE('',*,*,#205449,.T.); #285424=ORIENTED_EDGE('',*,*,#205450,.T.); #285425=ORIENTED_EDGE('',*,*,#205451,.F.); #285426=ORIENTED_EDGE('',*,*,#205452,.F.); #285427=ORIENTED_EDGE('',*,*,#205453,.T.); #285428=ORIENTED_EDGE('',*,*,#205452,.T.); #285429=ORIENTED_EDGE('',*,*,#205454,.F.); #285430=ORIENTED_EDGE('',*,*,#205455,.F.); #285431=ORIENTED_EDGE('',*,*,#205456,.T.); #285432=ORIENTED_EDGE('',*,*,#205455,.T.); #285433=ORIENTED_EDGE('',*,*,#205457,.F.); #285434=ORIENTED_EDGE('',*,*,#205458,.F.); #285435=ORIENTED_EDGE('',*,*,#205459,.T.); #285436=ORIENTED_EDGE('',*,*,#205458,.T.); #285437=ORIENTED_EDGE('',*,*,#205460,.F.); #285438=ORIENTED_EDGE('',*,*,#205450,.F.); #285439=ORIENTED_EDGE('',*,*,#205460,.T.); #285440=ORIENTED_EDGE('',*,*,#205457,.T.); #285441=ORIENTED_EDGE('',*,*,#205454,.T.); #285442=ORIENTED_EDGE('',*,*,#205451,.T.); #285443=ORIENTED_EDGE('',*,*,#205459,.F.); #285444=ORIENTED_EDGE('',*,*,#205449,.F.); #285445=ORIENTED_EDGE('',*,*,#205453,.F.); #285446=ORIENTED_EDGE('',*,*,#205456,.F.); #285447=ORIENTED_EDGE('',*,*,#205461,.T.); #285448=ORIENTED_EDGE('',*,*,#205462,.T.); #285449=ORIENTED_EDGE('',*,*,#205463,.F.); #285450=ORIENTED_EDGE('',*,*,#205464,.F.); #285451=ORIENTED_EDGE('',*,*,#205465,.T.); #285452=ORIENTED_EDGE('',*,*,#205464,.T.); #285453=ORIENTED_EDGE('',*,*,#205466,.F.); #285454=ORIENTED_EDGE('',*,*,#205467,.F.); #285455=ORIENTED_EDGE('',*,*,#205468,.T.); #285456=ORIENTED_EDGE('',*,*,#205467,.T.); #285457=ORIENTED_EDGE('',*,*,#205469,.F.); #285458=ORIENTED_EDGE('',*,*,#205470,.F.); #285459=ORIENTED_EDGE('',*,*,#205471,.T.); #285460=ORIENTED_EDGE('',*,*,#205470,.T.); #285461=ORIENTED_EDGE('',*,*,#205472,.F.); #285462=ORIENTED_EDGE('',*,*,#205462,.F.); #285463=ORIENTED_EDGE('',*,*,#205472,.T.); #285464=ORIENTED_EDGE('',*,*,#205469,.T.); #285465=ORIENTED_EDGE('',*,*,#205466,.T.); #285466=ORIENTED_EDGE('',*,*,#205463,.T.); #285467=ORIENTED_EDGE('',*,*,#205471,.F.); #285468=ORIENTED_EDGE('',*,*,#205461,.F.); #285469=ORIENTED_EDGE('',*,*,#205465,.F.); #285470=ORIENTED_EDGE('',*,*,#205468,.F.); #285471=ORIENTED_EDGE('',*,*,#205473,.T.); #285472=ORIENTED_EDGE('',*,*,#205474,.T.); #285473=ORIENTED_EDGE('',*,*,#205475,.F.); #285474=ORIENTED_EDGE('',*,*,#205476,.F.); #285475=ORIENTED_EDGE('',*,*,#205477,.T.); #285476=ORIENTED_EDGE('',*,*,#205476,.T.); #285477=ORIENTED_EDGE('',*,*,#205478,.F.); #285478=ORIENTED_EDGE('',*,*,#205479,.F.); #285479=ORIENTED_EDGE('',*,*,#205480,.T.); #285480=ORIENTED_EDGE('',*,*,#205479,.T.); #285481=ORIENTED_EDGE('',*,*,#205481,.F.); #285482=ORIENTED_EDGE('',*,*,#205482,.F.); #285483=ORIENTED_EDGE('',*,*,#205483,.T.); #285484=ORIENTED_EDGE('',*,*,#205482,.T.); #285485=ORIENTED_EDGE('',*,*,#205484,.F.); #285486=ORIENTED_EDGE('',*,*,#205474,.F.); #285487=ORIENTED_EDGE('',*,*,#205484,.T.); #285488=ORIENTED_EDGE('',*,*,#205481,.T.); #285489=ORIENTED_EDGE('',*,*,#205478,.T.); #285490=ORIENTED_EDGE('',*,*,#205475,.T.); #285491=ORIENTED_EDGE('',*,*,#205483,.F.); #285492=ORIENTED_EDGE('',*,*,#205473,.F.); #285493=ORIENTED_EDGE('',*,*,#205477,.F.); #285494=ORIENTED_EDGE('',*,*,#205480,.F.); #285495=ORIENTED_EDGE('',*,*,#205485,.T.); #285496=ORIENTED_EDGE('',*,*,#205486,.T.); #285497=ORIENTED_EDGE('',*,*,#205487,.F.); #285498=ORIENTED_EDGE('',*,*,#205488,.F.); #285499=ORIENTED_EDGE('',*,*,#205489,.T.); #285500=ORIENTED_EDGE('',*,*,#205488,.T.); #285501=ORIENTED_EDGE('',*,*,#205490,.F.); #285502=ORIENTED_EDGE('',*,*,#205491,.F.); #285503=ORIENTED_EDGE('',*,*,#205492,.T.); #285504=ORIENTED_EDGE('',*,*,#205491,.T.); #285505=ORIENTED_EDGE('',*,*,#205493,.F.); #285506=ORIENTED_EDGE('',*,*,#205494,.F.); #285507=ORIENTED_EDGE('',*,*,#205495,.T.); #285508=ORIENTED_EDGE('',*,*,#205494,.T.); #285509=ORIENTED_EDGE('',*,*,#205496,.F.); #285510=ORIENTED_EDGE('',*,*,#205486,.F.); #285511=ORIENTED_EDGE('',*,*,#205496,.T.); #285512=ORIENTED_EDGE('',*,*,#205493,.T.); #285513=ORIENTED_EDGE('',*,*,#205490,.T.); #285514=ORIENTED_EDGE('',*,*,#205487,.T.); #285515=ORIENTED_EDGE('',*,*,#205495,.F.); #285516=ORIENTED_EDGE('',*,*,#205485,.F.); #285517=ORIENTED_EDGE('',*,*,#205489,.F.); #285518=ORIENTED_EDGE('',*,*,#205492,.F.); #285519=ORIENTED_EDGE('',*,*,#205497,.F.); #285520=ORIENTED_EDGE('',*,*,#205498,.T.); #285521=ORIENTED_EDGE('',*,*,#205499,.F.); #285522=ORIENTED_EDGE('',*,*,#205498,.F.); #285523=ORIENTED_EDGE('',*,*,#205500,.T.); #285524=ORIENTED_EDGE('',*,*,#205501,.T.); #285525=ORIENTED_EDGE('',*,*,#205502,.F.); #285526=ORIENTED_EDGE('',*,*,#205503,.F.); #285527=ORIENTED_EDGE('',*,*,#205504,.T.); #285528=ORIENTED_EDGE('',*,*,#205503,.T.); #285529=ORIENTED_EDGE('',*,*,#205505,.F.); #285530=ORIENTED_EDGE('',*,*,#205506,.F.); #285531=ORIENTED_EDGE('',*,*,#205507,.T.); #285532=ORIENTED_EDGE('',*,*,#205506,.T.); #285533=ORIENTED_EDGE('',*,*,#205508,.F.); #285534=ORIENTED_EDGE('',*,*,#205509,.F.); #285535=ORIENTED_EDGE('',*,*,#205510,.T.); #285536=ORIENTED_EDGE('',*,*,#205509,.T.); #285537=ORIENTED_EDGE('',*,*,#205511,.F.); #285538=ORIENTED_EDGE('',*,*,#205501,.F.); #285539=ORIENTED_EDGE('',*,*,#205511,.T.); #285540=ORIENTED_EDGE('',*,*,#205508,.T.); #285541=ORIENTED_EDGE('',*,*,#205505,.T.); #285542=ORIENTED_EDGE('',*,*,#205502,.T.); #285543=ORIENTED_EDGE('',*,*,#205499,.T.); #285544=ORIENTED_EDGE('',*,*,#205510,.F.); #285545=ORIENTED_EDGE('',*,*,#205500,.F.); #285546=ORIENTED_EDGE('',*,*,#205504,.F.); #285547=ORIENTED_EDGE('',*,*,#205507,.F.); #285548=ORIENTED_EDGE('',*,*,#205497,.T.); #285549=ORIENTED_EDGE('',*,*,#205512,.F.); #285550=ORIENTED_EDGE('',*,*,#205513,.T.); #285551=ORIENTED_EDGE('',*,*,#205514,.F.); #285552=ORIENTED_EDGE('',*,*,#205513,.F.); #285553=ORIENTED_EDGE('',*,*,#205515,.F.); #285554=ORIENTED_EDGE('',*,*,#205516,.T.); #285555=ORIENTED_EDGE('',*,*,#205517,.F.); #285556=ORIENTED_EDGE('',*,*,#205516,.F.); #285557=ORIENTED_EDGE('',*,*,#205518,.T.); #285558=ORIENTED_EDGE('',*,*,#205519,.T.); #285559=ORIENTED_EDGE('',*,*,#205520,.F.); #285560=ORIENTED_EDGE('',*,*,#205521,.F.); #285561=ORIENTED_EDGE('',*,*,#205522,.T.); #285562=ORIENTED_EDGE('',*,*,#205521,.T.); #285563=ORIENTED_EDGE('',*,*,#205523,.F.); #285564=ORIENTED_EDGE('',*,*,#205524,.F.); #285565=ORIENTED_EDGE('',*,*,#205525,.T.); #285566=ORIENTED_EDGE('',*,*,#205524,.T.); #285567=ORIENTED_EDGE('',*,*,#205526,.F.); #285568=ORIENTED_EDGE('',*,*,#205527,.F.); #285569=ORIENTED_EDGE('',*,*,#205528,.T.); #285570=ORIENTED_EDGE('',*,*,#205527,.T.); #285571=ORIENTED_EDGE('',*,*,#205529,.F.); #285572=ORIENTED_EDGE('',*,*,#205519,.F.); #285573=ORIENTED_EDGE('',*,*,#205529,.T.); #285574=ORIENTED_EDGE('',*,*,#205526,.T.); #285575=ORIENTED_EDGE('',*,*,#205523,.T.); #285576=ORIENTED_EDGE('',*,*,#205520,.T.); #285577=ORIENTED_EDGE('',*,*,#205514,.T.); #285578=ORIENTED_EDGE('',*,*,#205517,.T.); #285579=ORIENTED_EDGE('',*,*,#205528,.F.); #285580=ORIENTED_EDGE('',*,*,#205518,.F.); #285581=ORIENTED_EDGE('',*,*,#205522,.F.); #285582=ORIENTED_EDGE('',*,*,#205525,.F.); #285583=ORIENTED_EDGE('',*,*,#205512,.T.); #285584=ORIENTED_EDGE('',*,*,#205515,.T.); #285585=ORIENTED_EDGE('',*,*,#205530,.F.); #285586=ORIENTED_EDGE('',*,*,#205531,.T.); #285587=ORIENTED_EDGE('',*,*,#205532,.F.); #285588=ORIENTED_EDGE('',*,*,#205531,.F.); #285589=ORIENTED_EDGE('',*,*,#205533,.F.); #285590=ORIENTED_EDGE('',*,*,#205534,.T.); #285591=ORIENTED_EDGE('',*,*,#205535,.F.); #285592=ORIENTED_EDGE('',*,*,#205534,.F.); #285593=ORIENTED_EDGE('',*,*,#205536,.T.); #285594=ORIENTED_EDGE('',*,*,#205537,.T.); #285595=ORIENTED_EDGE('',*,*,#205538,.F.); #285596=ORIENTED_EDGE('',*,*,#205539,.F.); #285597=ORIENTED_EDGE('',*,*,#205540,.T.); #285598=ORIENTED_EDGE('',*,*,#205539,.T.); #285599=ORIENTED_EDGE('',*,*,#205541,.F.); #285600=ORIENTED_EDGE('',*,*,#205542,.F.); #285601=ORIENTED_EDGE('',*,*,#205543,.T.); #285602=ORIENTED_EDGE('',*,*,#205542,.T.); #285603=ORIENTED_EDGE('',*,*,#205544,.F.); #285604=ORIENTED_EDGE('',*,*,#205545,.F.); #285605=ORIENTED_EDGE('',*,*,#205546,.T.); #285606=ORIENTED_EDGE('',*,*,#205545,.T.); #285607=ORIENTED_EDGE('',*,*,#205547,.F.); #285608=ORIENTED_EDGE('',*,*,#205537,.F.); #285609=ORIENTED_EDGE('',*,*,#205547,.T.); #285610=ORIENTED_EDGE('',*,*,#205544,.T.); #285611=ORIENTED_EDGE('',*,*,#205541,.T.); #285612=ORIENTED_EDGE('',*,*,#205538,.T.); #285613=ORIENTED_EDGE('',*,*,#205532,.T.); #285614=ORIENTED_EDGE('',*,*,#205535,.T.); #285615=ORIENTED_EDGE('',*,*,#205546,.F.); #285616=ORIENTED_EDGE('',*,*,#205536,.F.); #285617=ORIENTED_EDGE('',*,*,#205540,.F.); #285618=ORIENTED_EDGE('',*,*,#205543,.F.); #285619=ORIENTED_EDGE('',*,*,#205530,.T.); #285620=ORIENTED_EDGE('',*,*,#205533,.T.); #285621=ORIENTED_EDGE('',*,*,#205548,.F.); #285622=ORIENTED_EDGE('',*,*,#205549,.T.); #285623=ORIENTED_EDGE('',*,*,#205550,.F.); #285624=ORIENTED_EDGE('',*,*,#205549,.F.); #285625=ORIENTED_EDGE('',*,*,#205551,.T.); #285626=ORIENTED_EDGE('',*,*,#205552,.T.); #285627=ORIENTED_EDGE('',*,*,#205553,.F.); #285628=ORIENTED_EDGE('',*,*,#205554,.F.); #285629=ORIENTED_EDGE('',*,*,#205555,.T.); #285630=ORIENTED_EDGE('',*,*,#205554,.T.); #285631=ORIENTED_EDGE('',*,*,#205556,.F.); #285632=ORIENTED_EDGE('',*,*,#205557,.F.); #285633=ORIENTED_EDGE('',*,*,#205558,.T.); #285634=ORIENTED_EDGE('',*,*,#205557,.T.); #285635=ORIENTED_EDGE('',*,*,#205559,.F.); #285636=ORIENTED_EDGE('',*,*,#205560,.F.); #285637=ORIENTED_EDGE('',*,*,#205561,.T.); #285638=ORIENTED_EDGE('',*,*,#205560,.T.); #285639=ORIENTED_EDGE('',*,*,#205562,.F.); #285640=ORIENTED_EDGE('',*,*,#205552,.F.); #285641=ORIENTED_EDGE('',*,*,#205562,.T.); #285642=ORIENTED_EDGE('',*,*,#205559,.T.); #285643=ORIENTED_EDGE('',*,*,#205556,.T.); #285644=ORIENTED_EDGE('',*,*,#205553,.T.); #285645=ORIENTED_EDGE('',*,*,#205550,.T.); #285646=ORIENTED_EDGE('',*,*,#205561,.F.); #285647=ORIENTED_EDGE('',*,*,#205551,.F.); #285648=ORIENTED_EDGE('',*,*,#205555,.F.); #285649=ORIENTED_EDGE('',*,*,#205558,.F.); #285650=ORIENTED_EDGE('',*,*,#205548,.T.); #285651=ORIENTED_EDGE('',*,*,#205563,.F.); #285652=ORIENTED_EDGE('',*,*,#205564,.T.); #285653=ORIENTED_EDGE('',*,*,#205565,.F.); #285654=ORIENTED_EDGE('',*,*,#205564,.F.); #285655=ORIENTED_EDGE('',*,*,#205566,.F.); #285656=ORIENTED_EDGE('',*,*,#205567,.T.); #285657=ORIENTED_EDGE('',*,*,#205568,.F.); #285658=ORIENTED_EDGE('',*,*,#205567,.F.); #285659=ORIENTED_EDGE('',*,*,#205569,.F.); #285660=ORIENTED_EDGE('',*,*,#205570,.T.); #285661=ORIENTED_EDGE('',*,*,#205571,.F.); #285662=ORIENTED_EDGE('',*,*,#205570,.F.); #285663=ORIENTED_EDGE('',*,*,#205572,.F.); #285664=ORIENTED_EDGE('',*,*,#205573,.T.); #285665=ORIENTED_EDGE('',*,*,#205574,.F.); #285666=ORIENTED_EDGE('',*,*,#205573,.F.); #285667=ORIENTED_EDGE('',*,*,#205575,.F.); #285668=ORIENTED_EDGE('',*,*,#205576,.T.); #285669=ORIENTED_EDGE('',*,*,#205577,.F.); #285670=ORIENTED_EDGE('',*,*,#205576,.F.); #285671=ORIENTED_EDGE('',*,*,#205578,.F.); #285672=ORIENTED_EDGE('',*,*,#205579,.T.); #285673=ORIENTED_EDGE('',*,*,#205580,.F.); #285674=ORIENTED_EDGE('',*,*,#205579,.F.); #285675=ORIENTED_EDGE('',*,*,#205581,.F.); #285676=ORIENTED_EDGE('',*,*,#205582,.T.); #285677=ORIENTED_EDGE('',*,*,#205583,.F.); #285678=ORIENTED_EDGE('',*,*,#205582,.F.); #285679=ORIENTED_EDGE('',*,*,#205584,.F.); #285680=ORIENTED_EDGE('',*,*,#205585,.T.); #285681=ORIENTED_EDGE('',*,*,#205586,.F.); #285682=ORIENTED_EDGE('',*,*,#205585,.F.); #285683=ORIENTED_EDGE('',*,*,#205587,.F.); #285684=ORIENTED_EDGE('',*,*,#205588,.T.); #285685=ORIENTED_EDGE('',*,*,#205589,.F.); #285686=ORIENTED_EDGE('',*,*,#205588,.F.); #285687=ORIENTED_EDGE('',*,*,#205590,.F.); #285688=ORIENTED_EDGE('',*,*,#205591,.T.); #285689=ORIENTED_EDGE('',*,*,#205592,.F.); #285690=ORIENTED_EDGE('',*,*,#205591,.F.); #285691=ORIENTED_EDGE('',*,*,#205593,.F.); #285692=ORIENTED_EDGE('',*,*,#205594,.T.); #285693=ORIENTED_EDGE('',*,*,#205595,.F.); #285694=ORIENTED_EDGE('',*,*,#205594,.F.); #285695=ORIENTED_EDGE('',*,*,#205596,.F.); #285696=ORIENTED_EDGE('',*,*,#205597,.T.); #285697=ORIENTED_EDGE('',*,*,#205598,.F.); #285698=ORIENTED_EDGE('',*,*,#205597,.F.); #285699=ORIENTED_EDGE('',*,*,#205599,.F.); #285700=ORIENTED_EDGE('',*,*,#205600,.T.); #285701=ORIENTED_EDGE('',*,*,#205601,.F.); #285702=ORIENTED_EDGE('',*,*,#205600,.F.); #285703=ORIENTED_EDGE('',*,*,#205602,.F.); #285704=ORIENTED_EDGE('',*,*,#205603,.T.); #285705=ORIENTED_EDGE('',*,*,#205604,.F.); #285706=ORIENTED_EDGE('',*,*,#205603,.F.); #285707=ORIENTED_EDGE('',*,*,#205605,.F.); #285708=ORIENTED_EDGE('',*,*,#205606,.T.); #285709=ORIENTED_EDGE('',*,*,#205607,.F.); #285710=ORIENTED_EDGE('',*,*,#205606,.F.); #285711=ORIENTED_EDGE('',*,*,#205608,.F.); #285712=ORIENTED_EDGE('',*,*,#205609,.T.); #285713=ORIENTED_EDGE('',*,*,#205610,.F.); #285714=ORIENTED_EDGE('',*,*,#205609,.F.); #285715=ORIENTED_EDGE('',*,*,#205611,.F.); #285716=ORIENTED_EDGE('',*,*,#205612,.T.); #285717=ORIENTED_EDGE('',*,*,#205613,.F.); #285718=ORIENTED_EDGE('',*,*,#205612,.F.); #285719=ORIENTED_EDGE('',*,*,#205614,.F.); #285720=ORIENTED_EDGE('',*,*,#205615,.T.); #285721=ORIENTED_EDGE('',*,*,#205616,.F.); #285722=ORIENTED_EDGE('',*,*,#205615,.F.); #285723=ORIENTED_EDGE('',*,*,#205617,.F.); #285724=ORIENTED_EDGE('',*,*,#205618,.T.); #285725=ORIENTED_EDGE('',*,*,#205619,.F.); #285726=ORIENTED_EDGE('',*,*,#205618,.F.); #285727=ORIENTED_EDGE('',*,*,#205620,.F.); #285728=ORIENTED_EDGE('',*,*,#205621,.T.); #285729=ORIENTED_EDGE('',*,*,#205622,.F.); #285730=ORIENTED_EDGE('',*,*,#205621,.F.); #285731=ORIENTED_EDGE('',*,*,#205623,.F.); #285732=ORIENTED_EDGE('',*,*,#205624,.T.); #285733=ORIENTED_EDGE('',*,*,#205625,.F.); #285734=ORIENTED_EDGE('',*,*,#205624,.F.); #285735=ORIENTED_EDGE('',*,*,#205626,.F.); #285736=ORIENTED_EDGE('',*,*,#205627,.T.); #285737=ORIENTED_EDGE('',*,*,#205628,.F.); #285738=ORIENTED_EDGE('',*,*,#205627,.F.); #285739=ORIENTED_EDGE('',*,*,#205629,.F.); #285740=ORIENTED_EDGE('',*,*,#205630,.T.); #285741=ORIENTED_EDGE('',*,*,#205631,.F.); #285742=ORIENTED_EDGE('',*,*,#205630,.F.); #285743=ORIENTED_EDGE('',*,*,#205632,.F.); #285744=ORIENTED_EDGE('',*,*,#205633,.T.); #285745=ORIENTED_EDGE('',*,*,#205634,.F.); #285746=ORIENTED_EDGE('',*,*,#205633,.F.); #285747=ORIENTED_EDGE('',*,*,#205635,.F.); #285748=ORIENTED_EDGE('',*,*,#205636,.T.); #285749=ORIENTED_EDGE('',*,*,#205637,.F.); #285750=ORIENTED_EDGE('',*,*,#205636,.F.); #285751=ORIENTED_EDGE('',*,*,#205638,.F.); #285752=ORIENTED_EDGE('',*,*,#205639,.T.); #285753=ORIENTED_EDGE('',*,*,#205640,.F.); #285754=ORIENTED_EDGE('',*,*,#205639,.F.); #285755=ORIENTED_EDGE('',*,*,#205641,.F.); #285756=ORIENTED_EDGE('',*,*,#205642,.T.); #285757=ORIENTED_EDGE('',*,*,#205643,.F.); #285758=ORIENTED_EDGE('',*,*,#205642,.F.); #285759=ORIENTED_EDGE('',*,*,#205644,.F.); #285760=ORIENTED_EDGE('',*,*,#205645,.T.); #285761=ORIENTED_EDGE('',*,*,#205646,.F.); #285762=ORIENTED_EDGE('',*,*,#205645,.F.); #285763=ORIENTED_EDGE('',*,*,#205647,.F.); #285764=ORIENTED_EDGE('',*,*,#205648,.T.); #285765=ORIENTED_EDGE('',*,*,#205649,.F.); #285766=ORIENTED_EDGE('',*,*,#205648,.F.); #285767=ORIENTED_EDGE('',*,*,#205650,.F.); #285768=ORIENTED_EDGE('',*,*,#205651,.T.); #285769=ORIENTED_EDGE('',*,*,#205652,.F.); #285770=ORIENTED_EDGE('',*,*,#205651,.F.); #285771=ORIENTED_EDGE('',*,*,#205653,.F.); #285772=ORIENTED_EDGE('',*,*,#205654,.T.); #285773=ORIENTED_EDGE('',*,*,#205655,.F.); #285774=ORIENTED_EDGE('',*,*,#205654,.F.); #285775=ORIENTED_EDGE('',*,*,#205656,.F.); #285776=ORIENTED_EDGE('',*,*,#205657,.T.); #285777=ORIENTED_EDGE('',*,*,#205658,.F.); #285778=ORIENTED_EDGE('',*,*,#205657,.F.); #285779=ORIENTED_EDGE('',*,*,#205659,.F.); #285780=ORIENTED_EDGE('',*,*,#205660,.T.); #285781=ORIENTED_EDGE('',*,*,#205661,.F.); #285782=ORIENTED_EDGE('',*,*,#205660,.F.); #285783=ORIENTED_EDGE('',*,*,#205662,.F.); #285784=ORIENTED_EDGE('',*,*,#205663,.T.); #285785=ORIENTED_EDGE('',*,*,#205664,.F.); #285786=ORIENTED_EDGE('',*,*,#205663,.F.); #285787=ORIENTED_EDGE('',*,*,#205665,.F.); #285788=ORIENTED_EDGE('',*,*,#205666,.T.); #285789=ORIENTED_EDGE('',*,*,#205667,.F.); #285790=ORIENTED_EDGE('',*,*,#205666,.F.); #285791=ORIENTED_EDGE('',*,*,#205668,.F.); #285792=ORIENTED_EDGE('',*,*,#205669,.T.); #285793=ORIENTED_EDGE('',*,*,#205670,.F.); #285794=ORIENTED_EDGE('',*,*,#205669,.F.); #285795=ORIENTED_EDGE('',*,*,#205671,.F.); #285796=ORIENTED_EDGE('',*,*,#205672,.T.); #285797=ORIENTED_EDGE('',*,*,#205673,.F.); #285798=ORIENTED_EDGE('',*,*,#205672,.F.); #285799=ORIENTED_EDGE('',*,*,#205674,.F.); #285800=ORIENTED_EDGE('',*,*,#205675,.T.); #285801=ORIENTED_EDGE('',*,*,#205676,.F.); #285802=ORIENTED_EDGE('',*,*,#205675,.F.); #285803=ORIENTED_EDGE('',*,*,#205677,.F.); #285804=ORIENTED_EDGE('',*,*,#205678,.T.); #285805=ORIENTED_EDGE('',*,*,#205679,.F.); #285806=ORIENTED_EDGE('',*,*,#205678,.F.); #285807=ORIENTED_EDGE('',*,*,#205680,.F.); #285808=ORIENTED_EDGE('',*,*,#205681,.T.); #285809=ORIENTED_EDGE('',*,*,#205682,.F.); #285810=ORIENTED_EDGE('',*,*,#205681,.F.); #285811=ORIENTED_EDGE('',*,*,#205683,.F.); #285812=ORIENTED_EDGE('',*,*,#205684,.T.); #285813=ORIENTED_EDGE('',*,*,#205685,.F.); #285814=ORIENTED_EDGE('',*,*,#205684,.F.); #285815=ORIENTED_EDGE('',*,*,#205686,.F.); #285816=ORIENTED_EDGE('',*,*,#205687,.T.); #285817=ORIENTED_EDGE('',*,*,#205688,.F.); #285818=ORIENTED_EDGE('',*,*,#205687,.F.); #285819=ORIENTED_EDGE('',*,*,#205689,.F.); #285820=ORIENTED_EDGE('',*,*,#205690,.T.); #285821=ORIENTED_EDGE('',*,*,#205691,.F.); #285822=ORIENTED_EDGE('',*,*,#205690,.F.); #285823=ORIENTED_EDGE('',*,*,#205692,.F.); #285824=ORIENTED_EDGE('',*,*,#205693,.T.); #285825=ORIENTED_EDGE('',*,*,#205694,.F.); #285826=ORIENTED_EDGE('',*,*,#205693,.F.); #285827=ORIENTED_EDGE('',*,*,#205695,.F.); #285828=ORIENTED_EDGE('',*,*,#205696,.T.); #285829=ORIENTED_EDGE('',*,*,#205697,.F.); #285830=ORIENTED_EDGE('',*,*,#205696,.F.); #285831=ORIENTED_EDGE('',*,*,#205698,.F.); #285832=ORIENTED_EDGE('',*,*,#205699,.T.); #285833=ORIENTED_EDGE('',*,*,#205700,.F.); #285834=ORIENTED_EDGE('',*,*,#205699,.F.); #285835=ORIENTED_EDGE('',*,*,#205701,.F.); #285836=ORIENTED_EDGE('',*,*,#205702,.T.); #285837=ORIENTED_EDGE('',*,*,#205703,.F.); #285838=ORIENTED_EDGE('',*,*,#205702,.F.); #285839=ORIENTED_EDGE('',*,*,#205704,.F.); #285840=ORIENTED_EDGE('',*,*,#205705,.T.); #285841=ORIENTED_EDGE('',*,*,#205706,.F.); #285842=ORIENTED_EDGE('',*,*,#205705,.F.); #285843=ORIENTED_EDGE('',*,*,#205707,.F.); #285844=ORIENTED_EDGE('',*,*,#205708,.T.); #285845=ORIENTED_EDGE('',*,*,#205709,.F.); #285846=ORIENTED_EDGE('',*,*,#205708,.F.); #285847=ORIENTED_EDGE('',*,*,#205710,.F.); #285848=ORIENTED_EDGE('',*,*,#205711,.T.); #285849=ORIENTED_EDGE('',*,*,#205712,.F.); #285850=ORIENTED_EDGE('',*,*,#205711,.F.); #285851=ORIENTED_EDGE('',*,*,#205713,.F.); #285852=ORIENTED_EDGE('',*,*,#205714,.T.); #285853=ORIENTED_EDGE('',*,*,#205715,.F.); #285854=ORIENTED_EDGE('',*,*,#205714,.F.); #285855=ORIENTED_EDGE('',*,*,#205716,.F.); #285856=ORIENTED_EDGE('',*,*,#205717,.T.); #285857=ORIENTED_EDGE('',*,*,#205718,.F.); #285858=ORIENTED_EDGE('',*,*,#205717,.F.); #285859=ORIENTED_EDGE('',*,*,#205719,.F.); #285860=ORIENTED_EDGE('',*,*,#205720,.T.); #285861=ORIENTED_EDGE('',*,*,#205721,.F.); #285862=ORIENTED_EDGE('',*,*,#205720,.F.); #285863=ORIENTED_EDGE('',*,*,#205722,.F.); #285864=ORIENTED_EDGE('',*,*,#205723,.T.); #285865=ORIENTED_EDGE('',*,*,#205724,.F.); #285866=ORIENTED_EDGE('',*,*,#205723,.F.); #285867=ORIENTED_EDGE('',*,*,#205725,.F.); #285868=ORIENTED_EDGE('',*,*,#205726,.T.); #285869=ORIENTED_EDGE('',*,*,#205727,.F.); #285870=ORIENTED_EDGE('',*,*,#205726,.F.); #285871=ORIENTED_EDGE('',*,*,#205728,.F.); #285872=ORIENTED_EDGE('',*,*,#205729,.T.); #285873=ORIENTED_EDGE('',*,*,#205730,.F.); #285874=ORIENTED_EDGE('',*,*,#205729,.F.); #285875=ORIENTED_EDGE('',*,*,#205731,.F.); #285876=ORIENTED_EDGE('',*,*,#205732,.T.); #285877=ORIENTED_EDGE('',*,*,#205733,.F.); #285878=ORIENTED_EDGE('',*,*,#205732,.F.); #285879=ORIENTED_EDGE('',*,*,#205734,.F.); #285880=ORIENTED_EDGE('',*,*,#205735,.T.); #285881=ORIENTED_EDGE('',*,*,#205736,.F.); #285882=ORIENTED_EDGE('',*,*,#205735,.F.); #285883=ORIENTED_EDGE('',*,*,#205737,.F.); #285884=ORIENTED_EDGE('',*,*,#205738,.T.); #285885=ORIENTED_EDGE('',*,*,#205739,.F.); #285886=ORIENTED_EDGE('',*,*,#205738,.F.); #285887=ORIENTED_EDGE('',*,*,#205740,.F.); #285888=ORIENTED_EDGE('',*,*,#205741,.T.); #285889=ORIENTED_EDGE('',*,*,#205742,.F.); #285890=ORIENTED_EDGE('',*,*,#205741,.F.); #285891=ORIENTED_EDGE('',*,*,#205743,.F.); #285892=ORIENTED_EDGE('',*,*,#205744,.T.); #285893=ORIENTED_EDGE('',*,*,#205745,.F.); #285894=ORIENTED_EDGE('',*,*,#205744,.F.); #285895=ORIENTED_EDGE('',*,*,#205746,.F.); #285896=ORIENTED_EDGE('',*,*,#205747,.T.); #285897=ORIENTED_EDGE('',*,*,#205748,.F.); #285898=ORIENTED_EDGE('',*,*,#205747,.F.); #285899=ORIENTED_EDGE('',*,*,#205749,.F.); #285900=ORIENTED_EDGE('',*,*,#205750,.T.); #285901=ORIENTED_EDGE('',*,*,#205751,.F.); #285902=ORIENTED_EDGE('',*,*,#205750,.F.); #285903=ORIENTED_EDGE('',*,*,#205752,.F.); #285904=ORIENTED_EDGE('',*,*,#205753,.T.); #285905=ORIENTED_EDGE('',*,*,#205754,.F.); #285906=ORIENTED_EDGE('',*,*,#205753,.F.); #285907=ORIENTED_EDGE('',*,*,#205755,.F.); #285908=ORIENTED_EDGE('',*,*,#205756,.T.); #285909=ORIENTED_EDGE('',*,*,#205757,.F.); #285910=ORIENTED_EDGE('',*,*,#205756,.F.); #285911=ORIENTED_EDGE('',*,*,#205758,.F.); #285912=ORIENTED_EDGE('',*,*,#205759,.T.); #285913=ORIENTED_EDGE('',*,*,#205760,.F.); #285914=ORIENTED_EDGE('',*,*,#205759,.F.); #285915=ORIENTED_EDGE('',*,*,#205761,.F.); #285916=ORIENTED_EDGE('',*,*,#205762,.T.); #285917=ORIENTED_EDGE('',*,*,#205763,.F.); #285918=ORIENTED_EDGE('',*,*,#205762,.F.); #285919=ORIENTED_EDGE('',*,*,#205764,.F.); #285920=ORIENTED_EDGE('',*,*,#205765,.T.); #285921=ORIENTED_EDGE('',*,*,#205766,.F.); #285922=ORIENTED_EDGE('',*,*,#205765,.F.); #285923=ORIENTED_EDGE('',*,*,#205767,.F.); #285924=ORIENTED_EDGE('',*,*,#205768,.T.); #285925=ORIENTED_EDGE('',*,*,#205769,.F.); #285926=ORIENTED_EDGE('',*,*,#205768,.F.); #285927=ORIENTED_EDGE('',*,*,#205770,.F.); #285928=ORIENTED_EDGE('',*,*,#205771,.T.); #285929=ORIENTED_EDGE('',*,*,#205772,.F.); #285930=ORIENTED_EDGE('',*,*,#205771,.F.); #285931=ORIENTED_EDGE('',*,*,#205773,.F.); #285932=ORIENTED_EDGE('',*,*,#205774,.T.); #285933=ORIENTED_EDGE('',*,*,#205775,.F.); #285934=ORIENTED_EDGE('',*,*,#205774,.F.); #285935=ORIENTED_EDGE('',*,*,#205776,.F.); #285936=ORIENTED_EDGE('',*,*,#205777,.T.); #285937=ORIENTED_EDGE('',*,*,#205778,.F.); #285938=ORIENTED_EDGE('',*,*,#205777,.F.); #285939=ORIENTED_EDGE('',*,*,#205779,.F.); #285940=ORIENTED_EDGE('',*,*,#205780,.T.); #285941=ORIENTED_EDGE('',*,*,#205781,.F.); #285942=ORIENTED_EDGE('',*,*,#205780,.F.); #285943=ORIENTED_EDGE('',*,*,#205782,.F.); #285944=ORIENTED_EDGE('',*,*,#205783,.T.); #285945=ORIENTED_EDGE('',*,*,#205784,.F.); #285946=ORIENTED_EDGE('',*,*,#205783,.F.); #285947=ORIENTED_EDGE('',*,*,#205785,.F.); #285948=ORIENTED_EDGE('',*,*,#205786,.T.); #285949=ORIENTED_EDGE('',*,*,#205787,.F.); #285950=ORIENTED_EDGE('',*,*,#205786,.F.); #285951=ORIENTED_EDGE('',*,*,#205788,.F.); #285952=ORIENTED_EDGE('',*,*,#205789,.T.); #285953=ORIENTED_EDGE('',*,*,#205790,.F.); #285954=ORIENTED_EDGE('',*,*,#205789,.F.); #285955=ORIENTED_EDGE('',*,*,#205791,.F.); #285956=ORIENTED_EDGE('',*,*,#205792,.T.); #285957=ORIENTED_EDGE('',*,*,#205793,.F.); #285958=ORIENTED_EDGE('',*,*,#205792,.F.); #285959=ORIENTED_EDGE('',*,*,#205794,.F.); #285960=ORIENTED_EDGE('',*,*,#205795,.T.); #285961=ORIENTED_EDGE('',*,*,#205796,.F.); #285962=ORIENTED_EDGE('',*,*,#205795,.F.); #285963=ORIENTED_EDGE('',*,*,#205797,.F.); #285964=ORIENTED_EDGE('',*,*,#205798,.T.); #285965=ORIENTED_EDGE('',*,*,#205799,.F.); #285966=ORIENTED_EDGE('',*,*,#205798,.F.); #285967=ORIENTED_EDGE('',*,*,#205800,.F.); #285968=ORIENTED_EDGE('',*,*,#205801,.T.); #285969=ORIENTED_EDGE('',*,*,#205802,.F.); #285970=ORIENTED_EDGE('',*,*,#205801,.F.); #285971=ORIENTED_EDGE('',*,*,#205803,.F.); #285972=ORIENTED_EDGE('',*,*,#205804,.T.); #285973=ORIENTED_EDGE('',*,*,#205805,.F.); #285974=ORIENTED_EDGE('',*,*,#205804,.F.); #285975=ORIENTED_EDGE('',*,*,#205806,.F.); #285976=ORIENTED_EDGE('',*,*,#205807,.T.); #285977=ORIENTED_EDGE('',*,*,#205808,.F.); #285978=ORIENTED_EDGE('',*,*,#205807,.F.); #285979=ORIENTED_EDGE('',*,*,#205809,.F.); #285980=ORIENTED_EDGE('',*,*,#205810,.T.); #285981=ORIENTED_EDGE('',*,*,#205811,.F.); #285982=ORIENTED_EDGE('',*,*,#205810,.F.); #285983=ORIENTED_EDGE('',*,*,#205812,.F.); #285984=ORIENTED_EDGE('',*,*,#205813,.T.); #285985=ORIENTED_EDGE('',*,*,#205814,.F.); #285986=ORIENTED_EDGE('',*,*,#205813,.F.); #285987=ORIENTED_EDGE('',*,*,#205815,.F.); #285988=ORIENTED_EDGE('',*,*,#205816,.T.); #285989=ORIENTED_EDGE('',*,*,#205817,.F.); #285990=ORIENTED_EDGE('',*,*,#205816,.F.); #285991=ORIENTED_EDGE('',*,*,#205818,.F.); #285992=ORIENTED_EDGE('',*,*,#205819,.T.); #285993=ORIENTED_EDGE('',*,*,#205820,.F.); #285994=ORIENTED_EDGE('',*,*,#205819,.F.); #285995=ORIENTED_EDGE('',*,*,#205821,.F.); #285996=ORIENTED_EDGE('',*,*,#205822,.T.); #285997=ORIENTED_EDGE('',*,*,#205823,.F.); #285998=ORIENTED_EDGE('',*,*,#205822,.F.); #285999=ORIENTED_EDGE('',*,*,#205824,.F.); #286000=ORIENTED_EDGE('',*,*,#205825,.T.); #286001=ORIENTED_EDGE('',*,*,#205826,.F.); #286002=ORIENTED_EDGE('',*,*,#205825,.F.); #286003=ORIENTED_EDGE('',*,*,#205827,.F.); #286004=ORIENTED_EDGE('',*,*,#205828,.T.); #286005=ORIENTED_EDGE('',*,*,#205829,.F.); #286006=ORIENTED_EDGE('',*,*,#205828,.F.); #286007=ORIENTED_EDGE('',*,*,#205830,.F.); #286008=ORIENTED_EDGE('',*,*,#205831,.T.); #286009=ORIENTED_EDGE('',*,*,#205832,.F.); #286010=ORIENTED_EDGE('',*,*,#205831,.F.); #286011=ORIENTED_EDGE('',*,*,#205833,.F.); #286012=ORIENTED_EDGE('',*,*,#205834,.T.); #286013=ORIENTED_EDGE('',*,*,#205835,.F.); #286014=ORIENTED_EDGE('',*,*,#205834,.F.); #286015=ORIENTED_EDGE('',*,*,#205836,.F.); #286016=ORIENTED_EDGE('',*,*,#205837,.T.); #286017=ORIENTED_EDGE('',*,*,#205838,.F.); #286018=ORIENTED_EDGE('',*,*,#205837,.F.); #286019=ORIENTED_EDGE('',*,*,#205839,.F.); #286020=ORIENTED_EDGE('',*,*,#205840,.T.); #286021=ORIENTED_EDGE('',*,*,#205841,.F.); #286022=ORIENTED_EDGE('',*,*,#205840,.F.); #286023=ORIENTED_EDGE('',*,*,#205842,.F.); #286024=ORIENTED_EDGE('',*,*,#205843,.T.); #286025=ORIENTED_EDGE('',*,*,#205844,.F.); #286026=ORIENTED_EDGE('',*,*,#205843,.F.); #286027=ORIENTED_EDGE('',*,*,#205845,.F.); #286028=ORIENTED_EDGE('',*,*,#205846,.T.); #286029=ORIENTED_EDGE('',*,*,#205847,.F.); #286030=ORIENTED_EDGE('',*,*,#205846,.F.); #286031=ORIENTED_EDGE('',*,*,#205848,.F.); #286032=ORIENTED_EDGE('',*,*,#205849,.T.); #286033=ORIENTED_EDGE('',*,*,#205850,.F.); #286034=ORIENTED_EDGE('',*,*,#205849,.F.); #286035=ORIENTED_EDGE('',*,*,#205851,.F.); #286036=ORIENTED_EDGE('',*,*,#205852,.T.); #286037=ORIENTED_EDGE('',*,*,#205853,.F.); #286038=ORIENTED_EDGE('',*,*,#205852,.F.); #286039=ORIENTED_EDGE('',*,*,#205854,.F.); #286040=ORIENTED_EDGE('',*,*,#205855,.T.); #286041=ORIENTED_EDGE('',*,*,#205856,.F.); #286042=ORIENTED_EDGE('',*,*,#205855,.F.); #286043=ORIENTED_EDGE('',*,*,#205857,.F.); #286044=ORIENTED_EDGE('',*,*,#205858,.T.); #286045=ORIENTED_EDGE('',*,*,#205859,.F.); #286046=ORIENTED_EDGE('',*,*,#205858,.F.); #286047=ORIENTED_EDGE('',*,*,#205860,.F.); #286048=ORIENTED_EDGE('',*,*,#205861,.T.); #286049=ORIENTED_EDGE('',*,*,#205862,.F.); #286050=ORIENTED_EDGE('',*,*,#205861,.F.); #286051=ORIENTED_EDGE('',*,*,#205863,.F.); #286052=ORIENTED_EDGE('',*,*,#205864,.T.); #286053=ORIENTED_EDGE('',*,*,#205865,.F.); #286054=ORIENTED_EDGE('',*,*,#205864,.F.); #286055=ORIENTED_EDGE('',*,*,#205866,.F.); #286056=ORIENTED_EDGE('',*,*,#205867,.T.); #286057=ORIENTED_EDGE('',*,*,#205868,.F.); #286058=ORIENTED_EDGE('',*,*,#205867,.F.); #286059=ORIENTED_EDGE('',*,*,#205869,.F.); #286060=ORIENTED_EDGE('',*,*,#205870,.T.); #286061=ORIENTED_EDGE('',*,*,#205871,.F.); #286062=ORIENTED_EDGE('',*,*,#205870,.F.); #286063=ORIENTED_EDGE('',*,*,#205872,.F.); #286064=ORIENTED_EDGE('',*,*,#205873,.T.); #286065=ORIENTED_EDGE('',*,*,#205874,.F.); #286066=ORIENTED_EDGE('',*,*,#205873,.F.); #286067=ORIENTED_EDGE('',*,*,#205875,.F.); #286068=ORIENTED_EDGE('',*,*,#205876,.T.); #286069=ORIENTED_EDGE('',*,*,#205877,.F.); #286070=ORIENTED_EDGE('',*,*,#205876,.F.); #286071=ORIENTED_EDGE('',*,*,#205878,.F.); #286072=ORIENTED_EDGE('',*,*,#205879,.T.); #286073=ORIENTED_EDGE('',*,*,#205880,.F.); #286074=ORIENTED_EDGE('',*,*,#205879,.F.); #286075=ORIENTED_EDGE('',*,*,#205881,.F.); #286076=ORIENTED_EDGE('',*,*,#205882,.T.); #286077=ORIENTED_EDGE('',*,*,#205883,.F.); #286078=ORIENTED_EDGE('',*,*,#205882,.F.); #286079=ORIENTED_EDGE('',*,*,#205884,.F.); #286080=ORIENTED_EDGE('',*,*,#205885,.T.); #286081=ORIENTED_EDGE('',*,*,#205886,.F.); #286082=ORIENTED_EDGE('',*,*,#205885,.F.); #286083=ORIENTED_EDGE('',*,*,#205887,.F.); #286084=ORIENTED_EDGE('',*,*,#205888,.T.); #286085=ORIENTED_EDGE('',*,*,#205889,.F.); #286086=ORIENTED_EDGE('',*,*,#205888,.F.); #286087=ORIENTED_EDGE('',*,*,#205890,.F.); #286088=ORIENTED_EDGE('',*,*,#205891,.T.); #286089=ORIENTED_EDGE('',*,*,#205892,.F.); #286090=ORIENTED_EDGE('',*,*,#205891,.F.); #286091=ORIENTED_EDGE('',*,*,#205893,.F.); #286092=ORIENTED_EDGE('',*,*,#205894,.T.); #286093=ORIENTED_EDGE('',*,*,#205895,.F.); #286094=ORIENTED_EDGE('',*,*,#205894,.F.); #286095=ORIENTED_EDGE('',*,*,#205896,.F.); #286096=ORIENTED_EDGE('',*,*,#205897,.T.); #286097=ORIENTED_EDGE('',*,*,#205898,.F.); #286098=ORIENTED_EDGE('',*,*,#205897,.F.); #286099=ORIENTED_EDGE('',*,*,#205899,.F.); #286100=ORIENTED_EDGE('',*,*,#205900,.T.); #286101=ORIENTED_EDGE('',*,*,#205901,.F.); #286102=ORIENTED_EDGE('',*,*,#205900,.F.); #286103=ORIENTED_EDGE('',*,*,#205902,.F.); #286104=ORIENTED_EDGE('',*,*,#205903,.T.); #286105=ORIENTED_EDGE('',*,*,#205904,.F.); #286106=ORIENTED_EDGE('',*,*,#205903,.F.); #286107=ORIENTED_EDGE('',*,*,#205905,.F.); #286108=ORIENTED_EDGE('',*,*,#205906,.T.); #286109=ORIENTED_EDGE('',*,*,#205907,.F.); #286110=ORIENTED_EDGE('',*,*,#205906,.F.); #286111=ORIENTED_EDGE('',*,*,#205908,.F.); #286112=ORIENTED_EDGE('',*,*,#205909,.T.); #286113=ORIENTED_EDGE('',*,*,#205910,.F.); #286114=ORIENTED_EDGE('',*,*,#205909,.F.); #286115=ORIENTED_EDGE('',*,*,#205911,.F.); #286116=ORIENTED_EDGE('',*,*,#205912,.T.); #286117=ORIENTED_EDGE('',*,*,#205913,.F.); #286118=ORIENTED_EDGE('',*,*,#205912,.F.); #286119=ORIENTED_EDGE('',*,*,#205914,.F.); #286120=ORIENTED_EDGE('',*,*,#205915,.T.); #286121=ORIENTED_EDGE('',*,*,#205916,.F.); #286122=ORIENTED_EDGE('',*,*,#205915,.F.); #286123=ORIENTED_EDGE('',*,*,#205917,.F.); #286124=ORIENTED_EDGE('',*,*,#205918,.T.); #286125=ORIENTED_EDGE('',*,*,#205919,.F.); #286126=ORIENTED_EDGE('',*,*,#205918,.F.); #286127=ORIENTED_EDGE('',*,*,#205920,.F.); #286128=ORIENTED_EDGE('',*,*,#205921,.T.); #286129=ORIENTED_EDGE('',*,*,#205922,.F.); #286130=ORIENTED_EDGE('',*,*,#205921,.F.); #286131=ORIENTED_EDGE('',*,*,#205923,.F.); #286132=ORIENTED_EDGE('',*,*,#205924,.T.); #286133=ORIENTED_EDGE('',*,*,#205925,.F.); #286134=ORIENTED_EDGE('',*,*,#205924,.F.); #286135=ORIENTED_EDGE('',*,*,#205926,.F.); #286136=ORIENTED_EDGE('',*,*,#205927,.T.); #286137=ORIENTED_EDGE('',*,*,#205928,.F.); #286138=ORIENTED_EDGE('',*,*,#205927,.F.); #286139=ORIENTED_EDGE('',*,*,#205929,.F.); #286140=ORIENTED_EDGE('',*,*,#205930,.T.); #286141=ORIENTED_EDGE('',*,*,#205931,.F.); #286142=ORIENTED_EDGE('',*,*,#205930,.F.); #286143=ORIENTED_EDGE('',*,*,#205932,.F.); #286144=ORIENTED_EDGE('',*,*,#205933,.T.); #286145=ORIENTED_EDGE('',*,*,#205934,.F.); #286146=ORIENTED_EDGE('',*,*,#205933,.F.); #286147=ORIENTED_EDGE('',*,*,#205935,.F.); #286148=ORIENTED_EDGE('',*,*,#205936,.T.); #286149=ORIENTED_EDGE('',*,*,#205937,.F.); #286150=ORIENTED_EDGE('',*,*,#205936,.F.); #286151=ORIENTED_EDGE('',*,*,#205938,.F.); #286152=ORIENTED_EDGE('',*,*,#205939,.T.); #286153=ORIENTED_EDGE('',*,*,#205940,.F.); #286154=ORIENTED_EDGE('',*,*,#205939,.F.); #286155=ORIENTED_EDGE('',*,*,#205941,.F.); #286156=ORIENTED_EDGE('',*,*,#205942,.T.); #286157=ORIENTED_EDGE('',*,*,#205943,.F.); #286158=ORIENTED_EDGE('',*,*,#205942,.F.); #286159=ORIENTED_EDGE('',*,*,#205944,.F.); #286160=ORIENTED_EDGE('',*,*,#205945,.T.); #286161=ORIENTED_EDGE('',*,*,#205946,.F.); #286162=ORIENTED_EDGE('',*,*,#205945,.F.); #286163=ORIENTED_EDGE('',*,*,#205947,.F.); #286164=ORIENTED_EDGE('',*,*,#205948,.T.); #286165=ORIENTED_EDGE('',*,*,#205949,.F.); #286166=ORIENTED_EDGE('',*,*,#205948,.F.); #286167=ORIENTED_EDGE('',*,*,#205950,.F.); #286168=ORIENTED_EDGE('',*,*,#205951,.T.); #286169=ORIENTED_EDGE('',*,*,#205952,.F.); #286170=ORIENTED_EDGE('',*,*,#205951,.F.); #286171=ORIENTED_EDGE('',*,*,#205953,.F.); #286172=ORIENTED_EDGE('',*,*,#205954,.T.); #286173=ORIENTED_EDGE('',*,*,#205955,.F.); #286174=ORIENTED_EDGE('',*,*,#205954,.F.); #286175=ORIENTED_EDGE('',*,*,#205956,.F.); #286176=ORIENTED_EDGE('',*,*,#205957,.T.); #286177=ORIENTED_EDGE('',*,*,#205958,.F.); #286178=ORIENTED_EDGE('',*,*,#205957,.F.); #286179=ORIENTED_EDGE('',*,*,#205959,.F.); #286180=ORIENTED_EDGE('',*,*,#205960,.T.); #286181=ORIENTED_EDGE('',*,*,#205961,.F.); #286182=ORIENTED_EDGE('',*,*,#205960,.F.); #286183=ORIENTED_EDGE('',*,*,#205962,.F.); #286184=ORIENTED_EDGE('',*,*,#205963,.T.); #286185=ORIENTED_EDGE('',*,*,#205964,.F.); #286186=ORIENTED_EDGE('',*,*,#205963,.F.); #286187=ORIENTED_EDGE('',*,*,#205965,.F.); #286188=ORIENTED_EDGE('',*,*,#205966,.T.); #286189=ORIENTED_EDGE('',*,*,#205967,.F.); #286190=ORIENTED_EDGE('',*,*,#205966,.F.); #286191=ORIENTED_EDGE('',*,*,#205968,.F.); #286192=ORIENTED_EDGE('',*,*,#205969,.T.); #286193=ORIENTED_EDGE('',*,*,#205970,.F.); #286194=ORIENTED_EDGE('',*,*,#205969,.F.); #286195=ORIENTED_EDGE('',*,*,#205971,.F.); #286196=ORIENTED_EDGE('',*,*,#205972,.T.); #286197=ORIENTED_EDGE('',*,*,#205973,.F.); #286198=ORIENTED_EDGE('',*,*,#205972,.F.); #286199=ORIENTED_EDGE('',*,*,#205974,.F.); #286200=ORIENTED_EDGE('',*,*,#205975,.T.); #286201=ORIENTED_EDGE('',*,*,#205976,.F.); #286202=ORIENTED_EDGE('',*,*,#205975,.F.); #286203=ORIENTED_EDGE('',*,*,#205977,.F.); #286204=ORIENTED_EDGE('',*,*,#205978,.T.); #286205=ORIENTED_EDGE('',*,*,#205979,.F.); #286206=ORIENTED_EDGE('',*,*,#205978,.F.); #286207=ORIENTED_EDGE('',*,*,#205980,.F.); #286208=ORIENTED_EDGE('',*,*,#205981,.T.); #286209=ORIENTED_EDGE('',*,*,#205982,.F.); #286210=ORIENTED_EDGE('',*,*,#205981,.F.); #286211=ORIENTED_EDGE('',*,*,#205983,.F.); #286212=ORIENTED_EDGE('',*,*,#205984,.T.); #286213=ORIENTED_EDGE('',*,*,#205985,.F.); #286214=ORIENTED_EDGE('',*,*,#205984,.F.); #286215=ORIENTED_EDGE('',*,*,#205986,.F.); #286216=ORIENTED_EDGE('',*,*,#205987,.T.); #286217=ORIENTED_EDGE('',*,*,#205988,.F.); #286218=ORIENTED_EDGE('',*,*,#205987,.F.); #286219=ORIENTED_EDGE('',*,*,#205989,.F.); #286220=ORIENTED_EDGE('',*,*,#205990,.T.); #286221=ORIENTED_EDGE('',*,*,#205991,.F.); #286222=ORIENTED_EDGE('',*,*,#205990,.F.); #286223=ORIENTED_EDGE('',*,*,#205992,.F.); #286224=ORIENTED_EDGE('',*,*,#205993,.T.); #286225=ORIENTED_EDGE('',*,*,#205994,.F.); #286226=ORIENTED_EDGE('',*,*,#205993,.F.); #286227=ORIENTED_EDGE('',*,*,#205995,.F.); #286228=ORIENTED_EDGE('',*,*,#205996,.T.); #286229=ORIENTED_EDGE('',*,*,#205997,.F.); #286230=ORIENTED_EDGE('',*,*,#205996,.F.); #286231=ORIENTED_EDGE('',*,*,#205998,.F.); #286232=ORIENTED_EDGE('',*,*,#205999,.T.); #286233=ORIENTED_EDGE('',*,*,#206000,.F.); #286234=ORIENTED_EDGE('',*,*,#205999,.F.); #286235=ORIENTED_EDGE('',*,*,#206001,.F.); #286236=ORIENTED_EDGE('',*,*,#206002,.T.); #286237=ORIENTED_EDGE('',*,*,#206003,.F.); #286238=ORIENTED_EDGE('',*,*,#206002,.F.); #286239=ORIENTED_EDGE('',*,*,#206004,.F.); #286240=ORIENTED_EDGE('',*,*,#206005,.T.); #286241=ORIENTED_EDGE('',*,*,#206006,.F.); #286242=ORIENTED_EDGE('',*,*,#206005,.F.); #286243=ORIENTED_EDGE('',*,*,#206007,.F.); #286244=ORIENTED_EDGE('',*,*,#206008,.T.); #286245=ORIENTED_EDGE('',*,*,#206009,.F.); #286246=ORIENTED_EDGE('',*,*,#206008,.F.); #286247=ORIENTED_EDGE('',*,*,#206010,.F.); #286248=ORIENTED_EDGE('',*,*,#206011,.T.); #286249=ORIENTED_EDGE('',*,*,#206012,.F.); #286250=ORIENTED_EDGE('',*,*,#206011,.F.); #286251=ORIENTED_EDGE('',*,*,#206013,.F.); #286252=ORIENTED_EDGE('',*,*,#206014,.T.); #286253=ORIENTED_EDGE('',*,*,#206015,.F.); #286254=ORIENTED_EDGE('',*,*,#206014,.F.); #286255=ORIENTED_EDGE('',*,*,#206016,.F.); #286256=ORIENTED_EDGE('',*,*,#206017,.T.); #286257=ORIENTED_EDGE('',*,*,#206018,.F.); #286258=ORIENTED_EDGE('',*,*,#206017,.F.); #286259=ORIENTED_EDGE('',*,*,#206019,.F.); #286260=ORIENTED_EDGE('',*,*,#206020,.T.); #286261=ORIENTED_EDGE('',*,*,#206021,.F.); #286262=ORIENTED_EDGE('',*,*,#206020,.F.); #286263=ORIENTED_EDGE('',*,*,#206022,.F.); #286264=ORIENTED_EDGE('',*,*,#206023,.T.); #286265=ORIENTED_EDGE('',*,*,#206024,.F.); #286266=ORIENTED_EDGE('',*,*,#206023,.F.); #286267=ORIENTED_EDGE('',*,*,#206025,.F.); #286268=ORIENTED_EDGE('',*,*,#206026,.T.); #286269=ORIENTED_EDGE('',*,*,#206027,.F.); #286270=ORIENTED_EDGE('',*,*,#206026,.F.); #286271=ORIENTED_EDGE('',*,*,#206028,.F.); #286272=ORIENTED_EDGE('',*,*,#206029,.T.); #286273=ORIENTED_EDGE('',*,*,#206030,.F.); #286274=ORIENTED_EDGE('',*,*,#206029,.F.); #286275=ORIENTED_EDGE('',*,*,#206031,.F.); #286276=ORIENTED_EDGE('',*,*,#206032,.T.); #286277=ORIENTED_EDGE('',*,*,#206033,.F.); #286278=ORIENTED_EDGE('',*,*,#206032,.F.); #286279=ORIENTED_EDGE('',*,*,#206034,.F.); #286280=ORIENTED_EDGE('',*,*,#206035,.T.); #286281=ORIENTED_EDGE('',*,*,#206036,.F.); #286282=ORIENTED_EDGE('',*,*,#206035,.F.); #286283=ORIENTED_EDGE('',*,*,#206037,.F.); #286284=ORIENTED_EDGE('',*,*,#206038,.T.); #286285=ORIENTED_EDGE('',*,*,#206039,.F.); #286286=ORIENTED_EDGE('',*,*,#206038,.F.); #286287=ORIENTED_EDGE('',*,*,#206040,.F.); #286288=ORIENTED_EDGE('',*,*,#206041,.T.); #286289=ORIENTED_EDGE('',*,*,#206042,.F.); #286290=ORIENTED_EDGE('',*,*,#206041,.F.); #286291=ORIENTED_EDGE('',*,*,#206043,.F.); #286292=ORIENTED_EDGE('',*,*,#206044,.T.); #286293=ORIENTED_EDGE('',*,*,#206045,.F.); #286294=ORIENTED_EDGE('',*,*,#206044,.F.); #286295=ORIENTED_EDGE('',*,*,#206046,.F.); #286296=ORIENTED_EDGE('',*,*,#206047,.T.); #286297=ORIENTED_EDGE('',*,*,#206048,.F.); #286298=ORIENTED_EDGE('',*,*,#206047,.F.); #286299=ORIENTED_EDGE('',*,*,#206049,.F.); #286300=ORIENTED_EDGE('',*,*,#206050,.T.); #286301=ORIENTED_EDGE('',*,*,#206051,.F.); #286302=ORIENTED_EDGE('',*,*,#206050,.F.); #286303=ORIENTED_EDGE('',*,*,#206052,.F.); #286304=ORIENTED_EDGE('',*,*,#206053,.F.); #286305=ORIENTED_EDGE('',*,*,#206054,.F.); #286306=ORIENTED_EDGE('',*,*,#206055,.T.); #286307=ORIENTED_EDGE('',*,*,#206056,.F.); #286308=ORIENTED_EDGE('',*,*,#206055,.F.); #286309=ORIENTED_EDGE('',*,*,#206057,.F.); #286310=ORIENTED_EDGE('',*,*,#206058,.T.); #286311=ORIENTED_EDGE('',*,*,#206059,.F.); #286312=ORIENTED_EDGE('',*,*,#206058,.F.); #286313=ORIENTED_EDGE('',*,*,#206060,.F.); #286314=ORIENTED_EDGE('',*,*,#206061,.T.); #286315=ORIENTED_EDGE('',*,*,#206062,.F.); #286316=ORIENTED_EDGE('',*,*,#206061,.F.); #286317=ORIENTED_EDGE('',*,*,#206063,.F.); #286318=ORIENTED_EDGE('',*,*,#206053,.T.); #286319=ORIENTED_EDGE('',*,*,#206064,.F.); #286320=ORIENTED_EDGE('',*,*,#206065,.T.); #286321=ORIENTED_EDGE('',*,*,#206066,.F.); #286322=ORIENTED_EDGE('',*,*,#206065,.F.); #286323=ORIENTED_EDGE('',*,*,#206067,.F.); #286324=ORIENTED_EDGE('',*,*,#206068,.F.); #286325=ORIENTED_EDGE('',*,*,#206069,.F.); #286326=ORIENTED_EDGE('',*,*,#206070,.T.); #286327=ORIENTED_EDGE('',*,*,#206071,.F.); #286328=ORIENTED_EDGE('',*,*,#206070,.F.); #286329=ORIENTED_EDGE('',*,*,#206072,.F.); #286330=ORIENTED_EDGE('',*,*,#206073,.T.); #286331=ORIENTED_EDGE('',*,*,#206074,.F.); #286332=ORIENTED_EDGE('',*,*,#206073,.F.); #286333=ORIENTED_EDGE('',*,*,#206075,.F.); #286334=ORIENTED_EDGE('',*,*,#206076,.T.); #286335=ORIENTED_EDGE('',*,*,#206077,.F.); #286336=ORIENTED_EDGE('',*,*,#206076,.F.); #286337=ORIENTED_EDGE('',*,*,#206078,.F.); #286338=ORIENTED_EDGE('',*,*,#206068,.T.); #286339=ORIENTED_EDGE('',*,*,#206079,.F.); #286340=ORIENTED_EDGE('',*,*,#206080,.T.); #286341=ORIENTED_EDGE('',*,*,#206081,.F.); #286342=ORIENTED_EDGE('',*,*,#206080,.F.); #286343=ORIENTED_EDGE('',*,*,#206082,.F.); #286344=ORIENTED_EDGE('',*,*,#206083,.T.); #286345=ORIENTED_EDGE('',*,*,#206084,.F.); #286346=ORIENTED_EDGE('',*,*,#206083,.F.); #286347=ORIENTED_EDGE('',*,*,#206085,.F.); #286348=ORIENTED_EDGE('',*,*,#206086,.T.); #286349=ORIENTED_EDGE('',*,*,#206087,.F.); #286350=ORIENTED_EDGE('',*,*,#206086,.F.); #286351=ORIENTED_EDGE('',*,*,#206088,.F.); #286352=ORIENTED_EDGE('',*,*,#206089,.F.); #286353=ORIENTED_EDGE('',*,*,#206090,.F.); #286354=ORIENTED_EDGE('',*,*,#206091,.T.); #286355=ORIENTED_EDGE('',*,*,#206092,.F.); #286356=ORIENTED_EDGE('',*,*,#206091,.F.); #286357=ORIENTED_EDGE('',*,*,#206093,.F.); #286358=ORIENTED_EDGE('',*,*,#206094,.T.); #286359=ORIENTED_EDGE('',*,*,#206095,.F.); #286360=ORIENTED_EDGE('',*,*,#206094,.F.); #286361=ORIENTED_EDGE('',*,*,#206096,.F.); #286362=ORIENTED_EDGE('',*,*,#206097,.T.); #286363=ORIENTED_EDGE('',*,*,#206098,.F.); #286364=ORIENTED_EDGE('',*,*,#206097,.F.); #286365=ORIENTED_EDGE('',*,*,#206099,.F.); #286366=ORIENTED_EDGE('',*,*,#206089,.T.); #286367=ORIENTED_EDGE('',*,*,#206100,.F.); #286368=ORIENTED_EDGE('',*,*,#206101,.T.); #286369=ORIENTED_EDGE('',*,*,#206102,.F.); #286370=ORIENTED_EDGE('',*,*,#206101,.F.); #286371=ORIENTED_EDGE('',*,*,#206103,.F.); #286372=ORIENTED_EDGE('',*,*,#206104,.T.); #286373=ORIENTED_EDGE('',*,*,#206105,.F.); #286374=ORIENTED_EDGE('',*,*,#206104,.F.); #286375=ORIENTED_EDGE('',*,*,#206106,.F.); #286376=ORIENTED_EDGE('',*,*,#206107,.T.); #286377=ORIENTED_EDGE('',*,*,#206108,.F.); #286378=ORIENTED_EDGE('',*,*,#206107,.F.); #286379=ORIENTED_EDGE('',*,*,#206109,.F.); #286380=ORIENTED_EDGE('',*,*,#206110,.T.); #286381=ORIENTED_EDGE('',*,*,#206111,.F.); #286382=ORIENTED_EDGE('',*,*,#206110,.F.); #286383=ORIENTED_EDGE('',*,*,#206112,.F.); #286384=ORIENTED_EDGE('',*,*,#206113,.T.); #286385=ORIENTED_EDGE('',*,*,#206114,.F.); #286386=ORIENTED_EDGE('',*,*,#206113,.F.); #286387=ORIENTED_EDGE('',*,*,#206115,.F.); #286388=ORIENTED_EDGE('',*,*,#206116,.T.); #286389=ORIENTED_EDGE('',*,*,#206117,.F.); #286390=ORIENTED_EDGE('',*,*,#206116,.F.); #286391=ORIENTED_EDGE('',*,*,#206118,.F.); #286392=ORIENTED_EDGE('',*,*,#206119,.T.); #286393=ORIENTED_EDGE('',*,*,#206120,.F.); #286394=ORIENTED_EDGE('',*,*,#206119,.F.); #286395=ORIENTED_EDGE('',*,*,#206121,.F.); #286396=ORIENTED_EDGE('',*,*,#206122,.T.); #286397=ORIENTED_EDGE('',*,*,#206123,.F.); #286398=ORIENTED_EDGE('',*,*,#206122,.F.); #286399=ORIENTED_EDGE('',*,*,#206124,.F.); #286400=ORIENTED_EDGE('',*,*,#206125,.T.); #286401=ORIENTED_EDGE('',*,*,#206126,.F.); #286402=ORIENTED_EDGE('',*,*,#206125,.F.); #286403=ORIENTED_EDGE('',*,*,#206127,.F.); #286404=ORIENTED_EDGE('',*,*,#206128,.T.); #286405=ORIENTED_EDGE('',*,*,#206129,.F.); #286406=ORIENTED_EDGE('',*,*,#206128,.F.); #286407=ORIENTED_EDGE('',*,*,#206130,.F.); #286408=ORIENTED_EDGE('',*,*,#206131,.F.); #286409=ORIENTED_EDGE('',*,*,#206132,.F.); #286410=ORIENTED_EDGE('',*,*,#206133,.T.); #286411=ORIENTED_EDGE('',*,*,#206134,.F.); #286412=ORIENTED_EDGE('',*,*,#206133,.F.); #286413=ORIENTED_EDGE('',*,*,#206135,.F.); #286414=ORIENTED_EDGE('',*,*,#206136,.T.); #286415=ORIENTED_EDGE('',*,*,#206137,.F.); #286416=ORIENTED_EDGE('',*,*,#206136,.F.); #286417=ORIENTED_EDGE('',*,*,#206138,.F.); #286418=ORIENTED_EDGE('',*,*,#206139,.T.); #286419=ORIENTED_EDGE('',*,*,#206140,.F.); #286420=ORIENTED_EDGE('',*,*,#206139,.F.); #286421=ORIENTED_EDGE('',*,*,#206141,.F.); #286422=ORIENTED_EDGE('',*,*,#206131,.T.); #286423=ORIENTED_EDGE('',*,*,#206142,.F.); #286424=ORIENTED_EDGE('',*,*,#206143,.T.); #286425=ORIENTED_EDGE('',*,*,#206144,.F.); #286426=ORIENTED_EDGE('',*,*,#206143,.F.); #286427=ORIENTED_EDGE('',*,*,#206145,.F.); #286428=ORIENTED_EDGE('',*,*,#206146,.F.); #286429=ORIENTED_EDGE('',*,*,#206147,.F.); #286430=ORIENTED_EDGE('',*,*,#206148,.T.); #286431=ORIENTED_EDGE('',*,*,#206149,.F.); #286432=ORIENTED_EDGE('',*,*,#206148,.F.); #286433=ORIENTED_EDGE('',*,*,#206150,.F.); #286434=ORIENTED_EDGE('',*,*,#206151,.T.); #286435=ORIENTED_EDGE('',*,*,#206152,.F.); #286436=ORIENTED_EDGE('',*,*,#206151,.F.); #286437=ORIENTED_EDGE('',*,*,#206153,.F.); #286438=ORIENTED_EDGE('',*,*,#206154,.T.); #286439=ORIENTED_EDGE('',*,*,#206155,.F.); #286440=ORIENTED_EDGE('',*,*,#206154,.F.); #286441=ORIENTED_EDGE('',*,*,#206156,.F.); #286442=ORIENTED_EDGE('',*,*,#206146,.T.); #286443=ORIENTED_EDGE('',*,*,#206157,.F.); #286444=ORIENTED_EDGE('',*,*,#206158,.T.); #286445=ORIENTED_EDGE('',*,*,#206159,.F.); #286446=ORIENTED_EDGE('',*,*,#206158,.F.); #286447=ORIENTED_EDGE('',*,*,#206160,.F.); #286448=ORIENTED_EDGE('',*,*,#206161,.F.); #286449=ORIENTED_EDGE('',*,*,#206162,.F.); #286450=ORIENTED_EDGE('',*,*,#206163,.T.); #286451=ORIENTED_EDGE('',*,*,#206164,.F.); #286452=ORIENTED_EDGE('',*,*,#206163,.F.); #286453=ORIENTED_EDGE('',*,*,#206165,.F.); #286454=ORIENTED_EDGE('',*,*,#206166,.T.); #286455=ORIENTED_EDGE('',*,*,#206167,.F.); #286456=ORIENTED_EDGE('',*,*,#206166,.F.); #286457=ORIENTED_EDGE('',*,*,#206168,.F.); #286458=ORIENTED_EDGE('',*,*,#206169,.T.); #286459=ORIENTED_EDGE('',*,*,#206170,.F.); #286460=ORIENTED_EDGE('',*,*,#206169,.F.); #286461=ORIENTED_EDGE('',*,*,#206171,.F.); #286462=ORIENTED_EDGE('',*,*,#206161,.T.); #286463=ORIENTED_EDGE('',*,*,#206172,.F.); #286464=ORIENTED_EDGE('',*,*,#206173,.T.); #286465=ORIENTED_EDGE('',*,*,#206174,.F.); #286466=ORIENTED_EDGE('',*,*,#206173,.F.); #286467=ORIENTED_EDGE('',*,*,#206175,.F.); #286468=ORIENTED_EDGE('',*,*,#206176,.T.); #286469=ORIENTED_EDGE('',*,*,#206177,.F.); #286470=ORIENTED_EDGE('',*,*,#206176,.F.); #286471=ORIENTED_EDGE('',*,*,#206178,.F.); #286472=ORIENTED_EDGE('',*,*,#206179,.T.); #286473=ORIENTED_EDGE('',*,*,#206180,.F.); #286474=ORIENTED_EDGE('',*,*,#206179,.F.); #286475=ORIENTED_EDGE('',*,*,#206181,.F.); #286476=ORIENTED_EDGE('',*,*,#206182,.T.); #286477=ORIENTED_EDGE('',*,*,#206183,.F.); #286478=ORIENTED_EDGE('',*,*,#206182,.F.); #286479=ORIENTED_EDGE('',*,*,#206184,.F.); #286480=ORIENTED_EDGE('',*,*,#206185,.T.); #286481=ORIENTED_EDGE('',*,*,#206186,.F.); #286482=ORIENTED_EDGE('',*,*,#206185,.F.); #286483=ORIENTED_EDGE('',*,*,#206187,.F.); #286484=ORIENTED_EDGE('',*,*,#206188,.T.); #286485=ORIENTED_EDGE('',*,*,#206189,.F.); #286486=ORIENTED_EDGE('',*,*,#206188,.F.); #286487=ORIENTED_EDGE('',*,*,#206190,.F.); #286488=ORIENTED_EDGE('',*,*,#206191,.T.); #286489=ORIENTED_EDGE('',*,*,#206192,.F.); #286490=ORIENTED_EDGE('',*,*,#206191,.F.); #286491=ORIENTED_EDGE('',*,*,#206193,.F.); #286492=ORIENTED_EDGE('',*,*,#206194,.T.); #286493=ORIENTED_EDGE('',*,*,#206195,.F.); #286494=ORIENTED_EDGE('',*,*,#206194,.F.); #286495=ORIENTED_EDGE('',*,*,#206196,.F.); #286496=ORIENTED_EDGE('',*,*,#206197,.T.); #286497=ORIENTED_EDGE('',*,*,#206198,.F.); #286498=ORIENTED_EDGE('',*,*,#206197,.F.); #286499=ORIENTED_EDGE('',*,*,#206199,.F.); #286500=ORIENTED_EDGE('',*,*,#206200,.T.); #286501=ORIENTED_EDGE('',*,*,#206201,.F.); #286502=ORIENTED_EDGE('',*,*,#206200,.F.); #286503=ORIENTED_EDGE('',*,*,#206202,.F.); #286504=ORIENTED_EDGE('',*,*,#206203,.T.); #286505=ORIENTED_EDGE('',*,*,#206204,.F.); #286506=ORIENTED_EDGE('',*,*,#206203,.F.); #286507=ORIENTED_EDGE('',*,*,#206205,.F.); #286508=ORIENTED_EDGE('',*,*,#206206,.T.); #286509=ORIENTED_EDGE('',*,*,#206207,.F.); #286510=ORIENTED_EDGE('',*,*,#206206,.F.); #286511=ORIENTED_EDGE('',*,*,#206208,.F.); #286512=ORIENTED_EDGE('',*,*,#206209,.T.); #286513=ORIENTED_EDGE('',*,*,#206210,.F.); #286514=ORIENTED_EDGE('',*,*,#206209,.F.); #286515=ORIENTED_EDGE('',*,*,#206211,.F.); #286516=ORIENTED_EDGE('',*,*,#206212,.T.); #286517=ORIENTED_EDGE('',*,*,#206213,.F.); #286518=ORIENTED_EDGE('',*,*,#206212,.F.); #286519=ORIENTED_EDGE('',*,*,#206214,.F.); #286520=ORIENTED_EDGE('',*,*,#206215,.T.); #286521=ORIENTED_EDGE('',*,*,#206216,.F.); #286522=ORIENTED_EDGE('',*,*,#206215,.F.); #286523=ORIENTED_EDGE('',*,*,#206217,.F.); #286524=ORIENTED_EDGE('',*,*,#206218,.T.); #286525=ORIENTED_EDGE('',*,*,#206219,.F.); #286526=ORIENTED_EDGE('',*,*,#206218,.F.); #286527=ORIENTED_EDGE('',*,*,#206220,.F.); #286528=ORIENTED_EDGE('',*,*,#206221,.T.); #286529=ORIENTED_EDGE('',*,*,#206222,.F.); #286530=ORIENTED_EDGE('',*,*,#206221,.F.); #286531=ORIENTED_EDGE('',*,*,#206223,.F.); #286532=ORIENTED_EDGE('',*,*,#206224,.T.); #286533=ORIENTED_EDGE('',*,*,#206225,.F.); #286534=ORIENTED_EDGE('',*,*,#206224,.F.); #286535=ORIENTED_EDGE('',*,*,#206226,.F.); #286536=ORIENTED_EDGE('',*,*,#206227,.T.); #286537=ORIENTED_EDGE('',*,*,#206228,.F.); #286538=ORIENTED_EDGE('',*,*,#206227,.F.); #286539=ORIENTED_EDGE('',*,*,#206229,.F.); #286540=ORIENTED_EDGE('',*,*,#206230,.T.); #286541=ORIENTED_EDGE('',*,*,#206231,.F.); #286542=ORIENTED_EDGE('',*,*,#206230,.F.); #286543=ORIENTED_EDGE('',*,*,#206232,.F.); #286544=ORIENTED_EDGE('',*,*,#206233,.T.); #286545=ORIENTED_EDGE('',*,*,#206234,.F.); #286546=ORIENTED_EDGE('',*,*,#206233,.F.); #286547=ORIENTED_EDGE('',*,*,#206235,.F.); #286548=ORIENTED_EDGE('',*,*,#206236,.T.); #286549=ORIENTED_EDGE('',*,*,#206237,.F.); #286550=ORIENTED_EDGE('',*,*,#206236,.F.); #286551=ORIENTED_EDGE('',*,*,#206238,.F.); #286552=ORIENTED_EDGE('',*,*,#206239,.T.); #286553=ORIENTED_EDGE('',*,*,#206240,.F.); #286554=ORIENTED_EDGE('',*,*,#206239,.F.); #286555=ORIENTED_EDGE('',*,*,#206241,.F.); #286556=ORIENTED_EDGE('',*,*,#206242,.T.); #286557=ORIENTED_EDGE('',*,*,#206243,.F.); #286558=ORIENTED_EDGE('',*,*,#206242,.F.); #286559=ORIENTED_EDGE('',*,*,#206244,.F.); #286560=ORIENTED_EDGE('',*,*,#206245,.T.); #286561=ORIENTED_EDGE('',*,*,#206246,.F.); #286562=ORIENTED_EDGE('',*,*,#206245,.F.); #286563=ORIENTED_EDGE('',*,*,#206247,.F.); #286564=ORIENTED_EDGE('',*,*,#206248,.T.); #286565=ORIENTED_EDGE('',*,*,#206249,.F.); #286566=ORIENTED_EDGE('',*,*,#206248,.F.); #286567=ORIENTED_EDGE('',*,*,#206250,.F.); #286568=ORIENTED_EDGE('',*,*,#206251,.T.); #286569=ORIENTED_EDGE('',*,*,#206252,.F.); #286570=ORIENTED_EDGE('',*,*,#206251,.F.); #286571=ORIENTED_EDGE('',*,*,#206253,.F.); #286572=ORIENTED_EDGE('',*,*,#206254,.T.); #286573=ORIENTED_EDGE('',*,*,#206255,.F.); #286574=ORIENTED_EDGE('',*,*,#206254,.F.); #286575=ORIENTED_EDGE('',*,*,#206256,.F.); #286576=ORIENTED_EDGE('',*,*,#206257,.T.); #286577=ORIENTED_EDGE('',*,*,#206258,.F.); #286578=ORIENTED_EDGE('',*,*,#206257,.F.); #286579=ORIENTED_EDGE('',*,*,#206259,.F.); #286580=ORIENTED_EDGE('',*,*,#206260,.T.); #286581=ORIENTED_EDGE('',*,*,#206261,.F.); #286582=ORIENTED_EDGE('',*,*,#206260,.F.); #286583=ORIENTED_EDGE('',*,*,#206262,.F.); #286584=ORIENTED_EDGE('',*,*,#206263,.T.); #286585=ORIENTED_EDGE('',*,*,#206264,.F.); #286586=ORIENTED_EDGE('',*,*,#206263,.F.); #286587=ORIENTED_EDGE('',*,*,#206265,.F.); #286588=ORIENTED_EDGE('',*,*,#206266,.T.); #286589=ORIENTED_EDGE('',*,*,#206267,.F.); #286590=ORIENTED_EDGE('',*,*,#206266,.F.); #286591=ORIENTED_EDGE('',*,*,#206268,.F.); #286592=ORIENTED_EDGE('',*,*,#206269,.T.); #286593=ORIENTED_EDGE('',*,*,#206270,.F.); #286594=ORIENTED_EDGE('',*,*,#206269,.F.); #286595=ORIENTED_EDGE('',*,*,#206271,.F.); #286596=ORIENTED_EDGE('',*,*,#206272,.T.); #286597=ORIENTED_EDGE('',*,*,#206273,.F.); #286598=ORIENTED_EDGE('',*,*,#206272,.F.); #286599=ORIENTED_EDGE('',*,*,#206274,.F.); #286600=ORIENTED_EDGE('',*,*,#206275,.T.); #286601=ORIENTED_EDGE('',*,*,#206276,.F.); #286602=ORIENTED_EDGE('',*,*,#206275,.F.); #286603=ORIENTED_EDGE('',*,*,#206277,.F.); #286604=ORIENTED_EDGE('',*,*,#206278,.T.); #286605=ORIENTED_EDGE('',*,*,#206279,.F.); #286606=ORIENTED_EDGE('',*,*,#206278,.F.); #286607=ORIENTED_EDGE('',*,*,#206280,.F.); #286608=ORIENTED_EDGE('',*,*,#206281,.T.); #286609=ORIENTED_EDGE('',*,*,#206282,.F.); #286610=ORIENTED_EDGE('',*,*,#206281,.F.); #286611=ORIENTED_EDGE('',*,*,#206283,.F.); #286612=ORIENTED_EDGE('',*,*,#206284,.T.); #286613=ORIENTED_EDGE('',*,*,#206285,.F.); #286614=ORIENTED_EDGE('',*,*,#206284,.F.); #286615=ORIENTED_EDGE('',*,*,#206286,.F.); #286616=ORIENTED_EDGE('',*,*,#206287,.T.); #286617=ORIENTED_EDGE('',*,*,#206288,.F.); #286618=ORIENTED_EDGE('',*,*,#206287,.F.); #286619=ORIENTED_EDGE('',*,*,#206289,.F.); #286620=ORIENTED_EDGE('',*,*,#206290,.T.); #286621=ORIENTED_EDGE('',*,*,#206291,.F.); #286622=ORIENTED_EDGE('',*,*,#206290,.F.); #286623=ORIENTED_EDGE('',*,*,#206292,.F.); #286624=ORIENTED_EDGE('',*,*,#206293,.T.); #286625=ORIENTED_EDGE('',*,*,#206294,.F.); #286626=ORIENTED_EDGE('',*,*,#206293,.F.); #286627=ORIENTED_EDGE('',*,*,#206295,.F.); #286628=ORIENTED_EDGE('',*,*,#206296,.T.); #286629=ORIENTED_EDGE('',*,*,#206297,.F.); #286630=ORIENTED_EDGE('',*,*,#206296,.F.); #286631=ORIENTED_EDGE('',*,*,#206298,.F.); #286632=ORIENTED_EDGE('',*,*,#206299,.T.); #286633=ORIENTED_EDGE('',*,*,#206300,.F.); #286634=ORIENTED_EDGE('',*,*,#206299,.F.); #286635=ORIENTED_EDGE('',*,*,#206301,.F.); #286636=ORIENTED_EDGE('',*,*,#206302,.T.); #286637=ORIENTED_EDGE('',*,*,#206303,.F.); #286638=ORIENTED_EDGE('',*,*,#206302,.F.); #286639=ORIENTED_EDGE('',*,*,#206304,.F.); #286640=ORIENTED_EDGE('',*,*,#206305,.T.); #286641=ORIENTED_EDGE('',*,*,#206306,.F.); #286642=ORIENTED_EDGE('',*,*,#206305,.F.); #286643=ORIENTED_EDGE('',*,*,#206307,.F.); #286644=ORIENTED_EDGE('',*,*,#206308,.T.); #286645=ORIENTED_EDGE('',*,*,#206309,.F.); #286646=ORIENTED_EDGE('',*,*,#206308,.F.); #286647=ORIENTED_EDGE('',*,*,#206310,.F.); #286648=ORIENTED_EDGE('',*,*,#206311,.T.); #286649=ORIENTED_EDGE('',*,*,#206312,.F.); #286650=ORIENTED_EDGE('',*,*,#206311,.F.); #286651=ORIENTED_EDGE('',*,*,#206313,.F.); #286652=ORIENTED_EDGE('',*,*,#206314,.T.); #286653=ORIENTED_EDGE('',*,*,#206315,.F.); #286654=ORIENTED_EDGE('',*,*,#206314,.F.); #286655=ORIENTED_EDGE('',*,*,#206316,.F.); #286656=ORIENTED_EDGE('',*,*,#206317,.T.); #286657=ORIENTED_EDGE('',*,*,#206318,.F.); #286658=ORIENTED_EDGE('',*,*,#206317,.F.); #286659=ORIENTED_EDGE('',*,*,#206319,.F.); #286660=ORIENTED_EDGE('',*,*,#206320,.T.); #286661=ORIENTED_EDGE('',*,*,#206321,.F.); #286662=ORIENTED_EDGE('',*,*,#206320,.F.); #286663=ORIENTED_EDGE('',*,*,#206322,.F.); #286664=ORIENTED_EDGE('',*,*,#206323,.T.); #286665=ORIENTED_EDGE('',*,*,#206324,.F.); #286666=ORIENTED_EDGE('',*,*,#206323,.F.); #286667=ORIENTED_EDGE('',*,*,#206325,.F.); #286668=ORIENTED_EDGE('',*,*,#206326,.T.); #286669=ORIENTED_EDGE('',*,*,#206327,.F.); #286670=ORIENTED_EDGE('',*,*,#206326,.F.); #286671=ORIENTED_EDGE('',*,*,#206328,.F.); #286672=ORIENTED_EDGE('',*,*,#206329,.T.); #286673=ORIENTED_EDGE('',*,*,#206330,.F.); #286674=ORIENTED_EDGE('',*,*,#206329,.F.); #286675=ORIENTED_EDGE('',*,*,#206331,.F.); #286676=ORIENTED_EDGE('',*,*,#206332,.T.); #286677=ORIENTED_EDGE('',*,*,#206333,.F.); #286678=ORIENTED_EDGE('',*,*,#206332,.F.); #286679=ORIENTED_EDGE('',*,*,#206334,.F.); #286680=ORIENTED_EDGE('',*,*,#206335,.T.); #286681=ORIENTED_EDGE('',*,*,#206336,.F.); #286682=ORIENTED_EDGE('',*,*,#206335,.F.); #286683=ORIENTED_EDGE('',*,*,#206337,.F.); #286684=ORIENTED_EDGE('',*,*,#206338,.T.); #286685=ORIENTED_EDGE('',*,*,#206339,.F.); #286686=ORIENTED_EDGE('',*,*,#206338,.F.); #286687=ORIENTED_EDGE('',*,*,#206340,.F.); #286688=ORIENTED_EDGE('',*,*,#206341,.T.); #286689=ORIENTED_EDGE('',*,*,#206342,.F.); #286690=ORIENTED_EDGE('',*,*,#206341,.F.); #286691=ORIENTED_EDGE('',*,*,#206343,.F.); #286692=ORIENTED_EDGE('',*,*,#206344,.T.); #286693=ORIENTED_EDGE('',*,*,#206345,.F.); #286694=ORIENTED_EDGE('',*,*,#206344,.F.); #286695=ORIENTED_EDGE('',*,*,#206346,.F.); #286696=ORIENTED_EDGE('',*,*,#206347,.T.); #286697=ORIENTED_EDGE('',*,*,#206348,.F.); #286698=ORIENTED_EDGE('',*,*,#206347,.F.); #286699=ORIENTED_EDGE('',*,*,#206349,.F.); #286700=ORIENTED_EDGE('',*,*,#206350,.T.); #286701=ORIENTED_EDGE('',*,*,#206351,.F.); #286702=ORIENTED_EDGE('',*,*,#206350,.F.); #286703=ORIENTED_EDGE('',*,*,#206352,.F.); #286704=ORIENTED_EDGE('',*,*,#206353,.T.); #286705=ORIENTED_EDGE('',*,*,#206354,.F.); #286706=ORIENTED_EDGE('',*,*,#206353,.F.); #286707=ORIENTED_EDGE('',*,*,#206355,.F.); #286708=ORIENTED_EDGE('',*,*,#206356,.T.); #286709=ORIENTED_EDGE('',*,*,#206357,.F.); #286710=ORIENTED_EDGE('',*,*,#206356,.F.); #286711=ORIENTED_EDGE('',*,*,#206358,.F.); #286712=ORIENTED_EDGE('',*,*,#206359,.T.); #286713=ORIENTED_EDGE('',*,*,#206360,.F.); #286714=ORIENTED_EDGE('',*,*,#206359,.F.); #286715=ORIENTED_EDGE('',*,*,#206361,.F.); #286716=ORIENTED_EDGE('',*,*,#206362,.T.); #286717=ORIENTED_EDGE('',*,*,#206363,.F.); #286718=ORIENTED_EDGE('',*,*,#206362,.F.); #286719=ORIENTED_EDGE('',*,*,#206364,.F.); #286720=ORIENTED_EDGE('',*,*,#206365,.T.); #286721=ORIENTED_EDGE('',*,*,#206366,.F.); #286722=ORIENTED_EDGE('',*,*,#206365,.F.); #286723=ORIENTED_EDGE('',*,*,#206367,.F.); #286724=ORIENTED_EDGE('',*,*,#206368,.T.); #286725=ORIENTED_EDGE('',*,*,#206369,.F.); #286726=ORIENTED_EDGE('',*,*,#206368,.F.); #286727=ORIENTED_EDGE('',*,*,#206370,.F.); #286728=ORIENTED_EDGE('',*,*,#206371,.T.); #286729=ORIENTED_EDGE('',*,*,#206372,.F.); #286730=ORIENTED_EDGE('',*,*,#206371,.F.); #286731=ORIENTED_EDGE('',*,*,#206373,.F.); #286732=ORIENTED_EDGE('',*,*,#206374,.T.); #286733=ORIENTED_EDGE('',*,*,#206375,.F.); #286734=ORIENTED_EDGE('',*,*,#206374,.F.); #286735=ORIENTED_EDGE('',*,*,#206376,.F.); #286736=ORIENTED_EDGE('',*,*,#206377,.T.); #286737=ORIENTED_EDGE('',*,*,#206378,.F.); #286738=ORIENTED_EDGE('',*,*,#206377,.F.); #286739=ORIENTED_EDGE('',*,*,#206379,.F.); #286740=ORIENTED_EDGE('',*,*,#206380,.T.); #286741=ORIENTED_EDGE('',*,*,#206381,.F.); #286742=ORIENTED_EDGE('',*,*,#206380,.F.); #286743=ORIENTED_EDGE('',*,*,#206382,.F.); #286744=ORIENTED_EDGE('',*,*,#206383,.T.); #286745=ORIENTED_EDGE('',*,*,#206384,.F.); #286746=ORIENTED_EDGE('',*,*,#206383,.F.); #286747=ORIENTED_EDGE('',*,*,#206385,.F.); #286748=ORIENTED_EDGE('',*,*,#206386,.T.); #286749=ORIENTED_EDGE('',*,*,#206387,.F.); #286750=ORIENTED_EDGE('',*,*,#206386,.F.); #286751=ORIENTED_EDGE('',*,*,#206388,.F.); #286752=ORIENTED_EDGE('',*,*,#206389,.T.); #286753=ORIENTED_EDGE('',*,*,#206390,.F.); #286754=ORIENTED_EDGE('',*,*,#206389,.F.); #286755=ORIENTED_EDGE('',*,*,#206391,.F.); #286756=ORIENTED_EDGE('',*,*,#206392,.T.); #286757=ORIENTED_EDGE('',*,*,#206393,.F.); #286758=ORIENTED_EDGE('',*,*,#206392,.F.); #286759=ORIENTED_EDGE('',*,*,#206394,.F.); #286760=ORIENTED_EDGE('',*,*,#206395,.T.); #286761=ORIENTED_EDGE('',*,*,#206396,.F.); #286762=ORIENTED_EDGE('',*,*,#206395,.F.); #286763=ORIENTED_EDGE('',*,*,#206397,.F.); #286764=ORIENTED_EDGE('',*,*,#206398,.T.); #286765=ORIENTED_EDGE('',*,*,#206399,.F.); #286766=ORIENTED_EDGE('',*,*,#206398,.F.); #286767=ORIENTED_EDGE('',*,*,#206400,.F.); #286768=ORIENTED_EDGE('',*,*,#206401,.T.); #286769=ORIENTED_EDGE('',*,*,#206402,.F.); #286770=ORIENTED_EDGE('',*,*,#206401,.F.); #286771=ORIENTED_EDGE('',*,*,#206403,.F.); #286772=ORIENTED_EDGE('',*,*,#206404,.T.); #286773=ORIENTED_EDGE('',*,*,#206405,.F.); #286774=ORIENTED_EDGE('',*,*,#206404,.F.); #286775=ORIENTED_EDGE('',*,*,#206406,.F.); #286776=ORIENTED_EDGE('',*,*,#206407,.T.); #286777=ORIENTED_EDGE('',*,*,#206408,.F.); #286778=ORIENTED_EDGE('',*,*,#206407,.F.); #286779=ORIENTED_EDGE('',*,*,#206409,.F.); #286780=ORIENTED_EDGE('',*,*,#206410,.T.); #286781=ORIENTED_EDGE('',*,*,#206411,.F.); #286782=ORIENTED_EDGE('',*,*,#206410,.F.); #286783=ORIENTED_EDGE('',*,*,#206412,.F.); #286784=ORIENTED_EDGE('',*,*,#206413,.T.); #286785=ORIENTED_EDGE('',*,*,#206414,.F.); #286786=ORIENTED_EDGE('',*,*,#206413,.F.); #286787=ORIENTED_EDGE('',*,*,#206415,.F.); #286788=ORIENTED_EDGE('',*,*,#206416,.T.); #286789=ORIENTED_EDGE('',*,*,#206417,.F.); #286790=ORIENTED_EDGE('',*,*,#206416,.F.); #286791=ORIENTED_EDGE('',*,*,#206418,.F.); #286792=ORIENTED_EDGE('',*,*,#206419,.T.); #286793=ORIENTED_EDGE('',*,*,#206420,.F.); #286794=ORIENTED_EDGE('',*,*,#206419,.F.); #286795=ORIENTED_EDGE('',*,*,#206421,.F.); #286796=ORIENTED_EDGE('',*,*,#206422,.T.); #286797=ORIENTED_EDGE('',*,*,#206423,.F.); #286798=ORIENTED_EDGE('',*,*,#206422,.F.); #286799=ORIENTED_EDGE('',*,*,#206424,.F.); #286800=ORIENTED_EDGE('',*,*,#206425,.T.); #286801=ORIENTED_EDGE('',*,*,#206426,.F.); #286802=ORIENTED_EDGE('',*,*,#206425,.F.); #286803=ORIENTED_EDGE('',*,*,#206427,.F.); #286804=ORIENTED_EDGE('',*,*,#206428,.T.); #286805=ORIENTED_EDGE('',*,*,#206429,.F.); #286806=ORIENTED_EDGE('',*,*,#206428,.F.); #286807=ORIENTED_EDGE('',*,*,#206430,.F.); #286808=ORIENTED_EDGE('',*,*,#206431,.T.); #286809=ORIENTED_EDGE('',*,*,#206432,.F.); #286810=ORIENTED_EDGE('',*,*,#206431,.F.); #286811=ORIENTED_EDGE('',*,*,#206433,.F.); #286812=ORIENTED_EDGE('',*,*,#206434,.T.); #286813=ORIENTED_EDGE('',*,*,#206435,.F.); #286814=ORIENTED_EDGE('',*,*,#206434,.F.); #286815=ORIENTED_EDGE('',*,*,#206436,.F.); #286816=ORIENTED_EDGE('',*,*,#206437,.T.); #286817=ORIENTED_EDGE('',*,*,#206438,.F.); #286818=ORIENTED_EDGE('',*,*,#206437,.F.); #286819=ORIENTED_EDGE('',*,*,#206439,.F.); #286820=ORIENTED_EDGE('',*,*,#206440,.T.); #286821=ORIENTED_EDGE('',*,*,#206441,.F.); #286822=ORIENTED_EDGE('',*,*,#206440,.F.); #286823=ORIENTED_EDGE('',*,*,#206442,.F.); #286824=ORIENTED_EDGE('',*,*,#206443,.T.); #286825=ORIENTED_EDGE('',*,*,#206444,.F.); #286826=ORIENTED_EDGE('',*,*,#206443,.F.); #286827=ORIENTED_EDGE('',*,*,#206445,.F.); #286828=ORIENTED_EDGE('',*,*,#206446,.T.); #286829=ORIENTED_EDGE('',*,*,#206447,.F.); #286830=ORIENTED_EDGE('',*,*,#206446,.F.); #286831=ORIENTED_EDGE('',*,*,#206448,.F.); #286832=ORIENTED_EDGE('',*,*,#206449,.T.); #286833=ORIENTED_EDGE('',*,*,#206450,.F.); #286834=ORIENTED_EDGE('',*,*,#206449,.F.); #286835=ORIENTED_EDGE('',*,*,#206451,.F.); #286836=ORIENTED_EDGE('',*,*,#206452,.T.); #286837=ORIENTED_EDGE('',*,*,#206453,.F.); #286838=ORIENTED_EDGE('',*,*,#206452,.F.); #286839=ORIENTED_EDGE('',*,*,#206454,.F.); #286840=ORIENTED_EDGE('',*,*,#206455,.T.); #286841=ORIENTED_EDGE('',*,*,#206456,.F.); #286842=ORIENTED_EDGE('',*,*,#206455,.F.); #286843=ORIENTED_EDGE('',*,*,#206457,.F.); #286844=ORIENTED_EDGE('',*,*,#206458,.T.); #286845=ORIENTED_EDGE('',*,*,#206459,.F.); #286846=ORIENTED_EDGE('',*,*,#206458,.F.); #286847=ORIENTED_EDGE('',*,*,#206460,.F.); #286848=ORIENTED_EDGE('',*,*,#206461,.T.); #286849=ORIENTED_EDGE('',*,*,#206462,.F.); #286850=ORIENTED_EDGE('',*,*,#206461,.F.); #286851=ORIENTED_EDGE('',*,*,#206463,.F.); #286852=ORIENTED_EDGE('',*,*,#206464,.T.); #286853=ORIENTED_EDGE('',*,*,#206465,.F.); #286854=ORIENTED_EDGE('',*,*,#206464,.F.); #286855=ORIENTED_EDGE('',*,*,#206466,.F.); #286856=ORIENTED_EDGE('',*,*,#206467,.T.); #286857=ORIENTED_EDGE('',*,*,#206468,.F.); #286858=ORIENTED_EDGE('',*,*,#206467,.F.); #286859=ORIENTED_EDGE('',*,*,#206469,.F.); #286860=ORIENTED_EDGE('',*,*,#206470,.T.); #286861=ORIENTED_EDGE('',*,*,#206471,.F.); #286862=ORIENTED_EDGE('',*,*,#206470,.F.); #286863=ORIENTED_EDGE('',*,*,#206472,.F.); #286864=ORIENTED_EDGE('',*,*,#206473,.T.); #286865=ORIENTED_EDGE('',*,*,#206474,.F.); #286866=ORIENTED_EDGE('',*,*,#206473,.F.); #286867=ORIENTED_EDGE('',*,*,#206475,.F.); #286868=ORIENTED_EDGE('',*,*,#206476,.T.); #286869=ORIENTED_EDGE('',*,*,#206477,.F.); #286870=ORIENTED_EDGE('',*,*,#206476,.F.); #286871=ORIENTED_EDGE('',*,*,#206478,.F.); #286872=ORIENTED_EDGE('',*,*,#206479,.T.); #286873=ORIENTED_EDGE('',*,*,#206480,.F.); #286874=ORIENTED_EDGE('',*,*,#206479,.F.); #286875=ORIENTED_EDGE('',*,*,#206481,.F.); #286876=ORIENTED_EDGE('',*,*,#206482,.T.); #286877=ORIENTED_EDGE('',*,*,#206483,.F.); #286878=ORIENTED_EDGE('',*,*,#206482,.F.); #286879=ORIENTED_EDGE('',*,*,#206484,.F.); #286880=ORIENTED_EDGE('',*,*,#206485,.T.); #286881=ORIENTED_EDGE('',*,*,#206486,.F.); #286882=ORIENTED_EDGE('',*,*,#206485,.F.); #286883=ORIENTED_EDGE('',*,*,#206487,.F.); #286884=ORIENTED_EDGE('',*,*,#206488,.T.); #286885=ORIENTED_EDGE('',*,*,#206489,.F.); #286886=ORIENTED_EDGE('',*,*,#206488,.F.); #286887=ORIENTED_EDGE('',*,*,#206490,.F.); #286888=ORIENTED_EDGE('',*,*,#206491,.T.); #286889=ORIENTED_EDGE('',*,*,#206492,.F.); #286890=ORIENTED_EDGE('',*,*,#206491,.F.); #286891=ORIENTED_EDGE('',*,*,#206493,.F.); #286892=ORIENTED_EDGE('',*,*,#206494,.T.); #286893=ORIENTED_EDGE('',*,*,#206495,.F.); #286894=ORIENTED_EDGE('',*,*,#206494,.F.); #286895=ORIENTED_EDGE('',*,*,#206496,.F.); #286896=ORIENTED_EDGE('',*,*,#206497,.T.); #286897=ORIENTED_EDGE('',*,*,#206498,.F.); #286898=ORIENTED_EDGE('',*,*,#206497,.F.); #286899=ORIENTED_EDGE('',*,*,#206499,.F.); #286900=ORIENTED_EDGE('',*,*,#206500,.T.); #286901=ORIENTED_EDGE('',*,*,#206501,.F.); #286902=ORIENTED_EDGE('',*,*,#206500,.F.); #286903=ORIENTED_EDGE('',*,*,#206502,.F.); #286904=ORIENTED_EDGE('',*,*,#206503,.T.); #286905=ORIENTED_EDGE('',*,*,#206504,.F.); #286906=ORIENTED_EDGE('',*,*,#206503,.F.); #286907=ORIENTED_EDGE('',*,*,#206505,.F.); #286908=ORIENTED_EDGE('',*,*,#206506,.T.); #286909=ORIENTED_EDGE('',*,*,#206507,.F.); #286910=ORIENTED_EDGE('',*,*,#206506,.F.); #286911=ORIENTED_EDGE('',*,*,#206508,.F.); #286912=ORIENTED_EDGE('',*,*,#206509,.T.); #286913=ORIENTED_EDGE('',*,*,#206510,.F.); #286914=ORIENTED_EDGE('',*,*,#206509,.F.); #286915=ORIENTED_EDGE('',*,*,#206511,.F.); #286916=ORIENTED_EDGE('',*,*,#206512,.T.); #286917=ORIENTED_EDGE('',*,*,#206513,.F.); #286918=ORIENTED_EDGE('',*,*,#206512,.F.); #286919=ORIENTED_EDGE('',*,*,#206514,.F.); #286920=ORIENTED_EDGE('',*,*,#206515,.T.); #286921=ORIENTED_EDGE('',*,*,#206516,.F.); #286922=ORIENTED_EDGE('',*,*,#206515,.F.); #286923=ORIENTED_EDGE('',*,*,#206517,.F.); #286924=ORIENTED_EDGE('',*,*,#206518,.T.); #286925=ORIENTED_EDGE('',*,*,#206519,.F.); #286926=ORIENTED_EDGE('',*,*,#206518,.F.); #286927=ORIENTED_EDGE('',*,*,#206520,.F.); #286928=ORIENTED_EDGE('',*,*,#206521,.T.); #286929=ORIENTED_EDGE('',*,*,#206522,.F.); #286930=ORIENTED_EDGE('',*,*,#206521,.F.); #286931=ORIENTED_EDGE('',*,*,#206523,.F.); #286932=ORIENTED_EDGE('',*,*,#206524,.T.); #286933=ORIENTED_EDGE('',*,*,#206525,.F.); #286934=ORIENTED_EDGE('',*,*,#206524,.F.); #286935=ORIENTED_EDGE('',*,*,#206526,.F.); #286936=ORIENTED_EDGE('',*,*,#206527,.T.); #286937=ORIENTED_EDGE('',*,*,#206528,.F.); #286938=ORIENTED_EDGE('',*,*,#206527,.F.); #286939=ORIENTED_EDGE('',*,*,#206529,.F.); #286940=ORIENTED_EDGE('',*,*,#206530,.T.); #286941=ORIENTED_EDGE('',*,*,#206531,.F.); #286942=ORIENTED_EDGE('',*,*,#206530,.F.); #286943=ORIENTED_EDGE('',*,*,#206532,.F.); #286944=ORIENTED_EDGE('',*,*,#206533,.T.); #286945=ORIENTED_EDGE('',*,*,#206534,.F.); #286946=ORIENTED_EDGE('',*,*,#206533,.F.); #286947=ORIENTED_EDGE('',*,*,#206535,.F.); #286948=ORIENTED_EDGE('',*,*,#206536,.T.); #286949=ORIENTED_EDGE('',*,*,#206537,.F.); #286950=ORIENTED_EDGE('',*,*,#206536,.F.); #286951=ORIENTED_EDGE('',*,*,#206538,.F.); #286952=ORIENTED_EDGE('',*,*,#206539,.T.); #286953=ORIENTED_EDGE('',*,*,#206540,.F.); #286954=ORIENTED_EDGE('',*,*,#206539,.F.); #286955=ORIENTED_EDGE('',*,*,#206541,.F.); #286956=ORIENTED_EDGE('',*,*,#206542,.T.); #286957=ORIENTED_EDGE('',*,*,#206543,.F.); #286958=ORIENTED_EDGE('',*,*,#206542,.F.); #286959=ORIENTED_EDGE('',*,*,#206544,.F.); #286960=ORIENTED_EDGE('',*,*,#206545,.T.); #286961=ORIENTED_EDGE('',*,*,#206546,.F.); #286962=ORIENTED_EDGE('',*,*,#206545,.F.); #286963=ORIENTED_EDGE('',*,*,#206547,.F.); #286964=ORIENTED_EDGE('',*,*,#206548,.T.); #286965=ORIENTED_EDGE('',*,*,#206549,.F.); #286966=ORIENTED_EDGE('',*,*,#206548,.F.); #286967=ORIENTED_EDGE('',*,*,#206550,.F.); #286968=ORIENTED_EDGE('',*,*,#206551,.T.); #286969=ORIENTED_EDGE('',*,*,#206552,.F.); #286970=ORIENTED_EDGE('',*,*,#206551,.F.); #286971=ORIENTED_EDGE('',*,*,#206553,.F.); #286972=ORIENTED_EDGE('',*,*,#206554,.T.); #286973=ORIENTED_EDGE('',*,*,#206555,.F.); #286974=ORIENTED_EDGE('',*,*,#206554,.F.); #286975=ORIENTED_EDGE('',*,*,#206556,.F.); #286976=ORIENTED_EDGE('',*,*,#206557,.T.); #286977=ORIENTED_EDGE('',*,*,#206558,.F.); #286978=ORIENTED_EDGE('',*,*,#206557,.F.); #286979=ORIENTED_EDGE('',*,*,#206559,.F.); #286980=ORIENTED_EDGE('',*,*,#206560,.T.); #286981=ORIENTED_EDGE('',*,*,#206561,.F.); #286982=ORIENTED_EDGE('',*,*,#206560,.F.); #286983=ORIENTED_EDGE('',*,*,#206562,.F.); #286984=ORIENTED_EDGE('',*,*,#206563,.T.); #286985=ORIENTED_EDGE('',*,*,#206564,.F.); #286986=ORIENTED_EDGE('',*,*,#206563,.F.); #286987=ORIENTED_EDGE('',*,*,#206565,.F.); #286988=ORIENTED_EDGE('',*,*,#206566,.T.); #286989=ORIENTED_EDGE('',*,*,#206567,.F.); #286990=ORIENTED_EDGE('',*,*,#206566,.F.); #286991=ORIENTED_EDGE('',*,*,#206568,.F.); #286992=ORIENTED_EDGE('',*,*,#206569,.T.); #286993=ORIENTED_EDGE('',*,*,#206570,.T.); #286994=ORIENTED_EDGE('',*,*,#206569,.F.); #286995=ORIENTED_EDGE('',*,*,#206568,.T.); #286996=ORIENTED_EDGE('',*,*,#205563,.T.); #286997=ORIENTED_EDGE('',*,*,#205566,.T.); #286998=ORIENTED_EDGE('',*,*,#205571,.T.); #286999=ORIENTED_EDGE('',*,*,#205574,.T.); #287000=ORIENTED_EDGE('',*,*,#205577,.T.); #287001=ORIENTED_EDGE('',*,*,#205580,.T.); #287002=ORIENTED_EDGE('',*,*,#205583,.T.); #287003=ORIENTED_EDGE('',*,*,#205586,.T.); #287004=ORIENTED_EDGE('',*,*,#205589,.T.); #287005=ORIENTED_EDGE('',*,*,#205592,.T.); #287006=ORIENTED_EDGE('',*,*,#205595,.T.); #287007=ORIENTED_EDGE('',*,*,#205598,.T.); #287008=ORIENTED_EDGE('',*,*,#205601,.T.); #287009=ORIENTED_EDGE('',*,*,#205604,.T.); #287010=ORIENTED_EDGE('',*,*,#205607,.T.); #287011=ORIENTED_EDGE('',*,*,#205610,.T.); #287012=ORIENTED_EDGE('',*,*,#205613,.T.); #287013=ORIENTED_EDGE('',*,*,#205616,.T.); #287014=ORIENTED_EDGE('',*,*,#205619,.T.); #287015=ORIENTED_EDGE('',*,*,#205622,.T.); #287016=ORIENTED_EDGE('',*,*,#205625,.T.); #287017=ORIENTED_EDGE('',*,*,#205628,.T.); #287018=ORIENTED_EDGE('',*,*,#205631,.T.); #287019=ORIENTED_EDGE('',*,*,#205634,.T.); #287020=ORIENTED_EDGE('',*,*,#205637,.T.); #287021=ORIENTED_EDGE('',*,*,#205640,.T.); #287022=ORIENTED_EDGE('',*,*,#205643,.T.); #287023=ORIENTED_EDGE('',*,*,#205646,.T.); #287024=ORIENTED_EDGE('',*,*,#205649,.T.); #287025=ORIENTED_EDGE('',*,*,#205652,.T.); #287026=ORIENTED_EDGE('',*,*,#205655,.T.); #287027=ORIENTED_EDGE('',*,*,#205658,.T.); #287028=ORIENTED_EDGE('',*,*,#205661,.T.); #287029=ORIENTED_EDGE('',*,*,#205664,.T.); #287030=ORIENTED_EDGE('',*,*,#205667,.T.); #287031=ORIENTED_EDGE('',*,*,#205670,.T.); #287032=ORIENTED_EDGE('',*,*,#205673,.T.); #287033=ORIENTED_EDGE('',*,*,#205676,.T.); #287034=ORIENTED_EDGE('',*,*,#205679,.T.); #287035=ORIENTED_EDGE('',*,*,#205682,.T.); #287036=ORIENTED_EDGE('',*,*,#205685,.T.); #287037=ORIENTED_EDGE('',*,*,#205688,.T.); #287038=ORIENTED_EDGE('',*,*,#205691,.T.); #287039=ORIENTED_EDGE('',*,*,#205694,.T.); #287040=ORIENTED_EDGE('',*,*,#205697,.T.); #287041=ORIENTED_EDGE('',*,*,#205700,.T.); #287042=ORIENTED_EDGE('',*,*,#205703,.T.); #287043=ORIENTED_EDGE('',*,*,#205706,.T.); #287044=ORIENTED_EDGE('',*,*,#205709,.T.); #287045=ORIENTED_EDGE('',*,*,#205712,.T.); #287046=ORIENTED_EDGE('',*,*,#205715,.T.); #287047=ORIENTED_EDGE('',*,*,#205718,.T.); #287048=ORIENTED_EDGE('',*,*,#205721,.T.); #287049=ORIENTED_EDGE('',*,*,#205724,.T.); #287050=ORIENTED_EDGE('',*,*,#205727,.T.); #287051=ORIENTED_EDGE('',*,*,#205730,.T.); #287052=ORIENTED_EDGE('',*,*,#205733,.T.); #287053=ORIENTED_EDGE('',*,*,#205736,.T.); #287054=ORIENTED_EDGE('',*,*,#205739,.T.); #287055=ORIENTED_EDGE('',*,*,#205742,.T.); #287056=ORIENTED_EDGE('',*,*,#205745,.T.); #287057=ORIENTED_EDGE('',*,*,#205748,.T.); #287058=ORIENTED_EDGE('',*,*,#205751,.T.); #287059=ORIENTED_EDGE('',*,*,#205754,.T.); #287060=ORIENTED_EDGE('',*,*,#205757,.T.); #287061=ORIENTED_EDGE('',*,*,#205760,.T.); #287062=ORIENTED_EDGE('',*,*,#205763,.T.); #287063=ORIENTED_EDGE('',*,*,#205766,.T.); #287064=ORIENTED_EDGE('',*,*,#205769,.T.); #287065=ORIENTED_EDGE('',*,*,#205772,.T.); #287066=ORIENTED_EDGE('',*,*,#205775,.T.); #287067=ORIENTED_EDGE('',*,*,#205778,.T.); #287068=ORIENTED_EDGE('',*,*,#205781,.T.); #287069=ORIENTED_EDGE('',*,*,#205784,.T.); #287070=ORIENTED_EDGE('',*,*,#205787,.T.); #287071=ORIENTED_EDGE('',*,*,#205790,.T.); #287072=ORIENTED_EDGE('',*,*,#205793,.T.); #287073=ORIENTED_EDGE('',*,*,#205796,.T.); #287074=ORIENTED_EDGE('',*,*,#205799,.T.); #287075=ORIENTED_EDGE('',*,*,#205802,.T.); #287076=ORIENTED_EDGE('',*,*,#205805,.T.); #287077=ORIENTED_EDGE('',*,*,#205808,.T.); #287078=ORIENTED_EDGE('',*,*,#205811,.T.); #287079=ORIENTED_EDGE('',*,*,#205814,.T.); #287080=ORIENTED_EDGE('',*,*,#205817,.T.); #287081=ORIENTED_EDGE('',*,*,#205820,.T.); #287082=ORIENTED_EDGE('',*,*,#205823,.T.); #287083=ORIENTED_EDGE('',*,*,#205826,.T.); #287084=ORIENTED_EDGE('',*,*,#205829,.T.); #287085=ORIENTED_EDGE('',*,*,#205832,.T.); #287086=ORIENTED_EDGE('',*,*,#205835,.T.); #287087=ORIENTED_EDGE('',*,*,#205838,.T.); #287088=ORIENTED_EDGE('',*,*,#205841,.T.); #287089=ORIENTED_EDGE('',*,*,#205844,.T.); #287090=ORIENTED_EDGE('',*,*,#205847,.T.); #287091=ORIENTED_EDGE('',*,*,#205850,.T.); #287092=ORIENTED_EDGE('',*,*,#205853,.T.); #287093=ORIENTED_EDGE('',*,*,#205856,.T.); #287094=ORIENTED_EDGE('',*,*,#205859,.T.); #287095=ORIENTED_EDGE('',*,*,#205862,.T.); #287096=ORIENTED_EDGE('',*,*,#205865,.T.); #287097=ORIENTED_EDGE('',*,*,#205868,.T.); #287098=ORIENTED_EDGE('',*,*,#205871,.T.); #287099=ORIENTED_EDGE('',*,*,#205874,.T.); #287100=ORIENTED_EDGE('',*,*,#205877,.T.); #287101=ORIENTED_EDGE('',*,*,#205880,.T.); #287102=ORIENTED_EDGE('',*,*,#205883,.T.); #287103=ORIENTED_EDGE('',*,*,#205886,.T.); #287104=ORIENTED_EDGE('',*,*,#205889,.T.); #287105=ORIENTED_EDGE('',*,*,#205892,.T.); #287106=ORIENTED_EDGE('',*,*,#205895,.T.); #287107=ORIENTED_EDGE('',*,*,#205898,.T.); #287108=ORIENTED_EDGE('',*,*,#205901,.T.); #287109=ORIENTED_EDGE('',*,*,#205904,.T.); #287110=ORIENTED_EDGE('',*,*,#205907,.T.); #287111=ORIENTED_EDGE('',*,*,#205910,.T.); #287112=ORIENTED_EDGE('',*,*,#205913,.T.); #287113=ORIENTED_EDGE('',*,*,#205916,.T.); #287114=ORIENTED_EDGE('',*,*,#205919,.T.); #287115=ORIENTED_EDGE('',*,*,#205922,.T.); #287116=ORIENTED_EDGE('',*,*,#205925,.T.); #287117=ORIENTED_EDGE('',*,*,#205928,.T.); #287118=ORIENTED_EDGE('',*,*,#205931,.T.); #287119=ORIENTED_EDGE('',*,*,#205934,.T.); #287120=ORIENTED_EDGE('',*,*,#205937,.T.); #287121=ORIENTED_EDGE('',*,*,#205940,.T.); #287122=ORIENTED_EDGE('',*,*,#205943,.T.); #287123=ORIENTED_EDGE('',*,*,#205946,.T.); #287124=ORIENTED_EDGE('',*,*,#205949,.T.); #287125=ORIENTED_EDGE('',*,*,#205952,.T.); #287126=ORIENTED_EDGE('',*,*,#205955,.T.); #287127=ORIENTED_EDGE('',*,*,#205958,.T.); #287128=ORIENTED_EDGE('',*,*,#205961,.T.); #287129=ORIENTED_EDGE('',*,*,#205964,.T.); #287130=ORIENTED_EDGE('',*,*,#205967,.T.); #287131=ORIENTED_EDGE('',*,*,#205970,.T.); #287132=ORIENTED_EDGE('',*,*,#205973,.T.); #287133=ORIENTED_EDGE('',*,*,#205976,.T.); #287134=ORIENTED_EDGE('',*,*,#205979,.T.); #287135=ORIENTED_EDGE('',*,*,#205982,.T.); #287136=ORIENTED_EDGE('',*,*,#205985,.T.); #287137=ORIENTED_EDGE('',*,*,#205988,.T.); #287138=ORIENTED_EDGE('',*,*,#205991,.T.); #287139=ORIENTED_EDGE('',*,*,#205994,.T.); #287140=ORIENTED_EDGE('',*,*,#205997,.T.); #287141=ORIENTED_EDGE('',*,*,#206000,.T.); #287142=ORIENTED_EDGE('',*,*,#206003,.T.); #287143=ORIENTED_EDGE('',*,*,#206006,.T.); #287144=ORIENTED_EDGE('',*,*,#206009,.T.); #287145=ORIENTED_EDGE('',*,*,#206012,.T.); #287146=ORIENTED_EDGE('',*,*,#206015,.T.); #287147=ORIENTED_EDGE('',*,*,#206018,.T.); #287148=ORIENTED_EDGE('',*,*,#206021,.T.); #287149=ORIENTED_EDGE('',*,*,#206024,.T.); #287150=ORIENTED_EDGE('',*,*,#206027,.T.); #287151=ORIENTED_EDGE('',*,*,#206030,.T.); #287152=ORIENTED_EDGE('',*,*,#206033,.T.); #287153=ORIENTED_EDGE('',*,*,#206036,.T.); #287154=ORIENTED_EDGE('',*,*,#206039,.T.); #287155=ORIENTED_EDGE('',*,*,#206042,.T.); #287156=ORIENTED_EDGE('',*,*,#206045,.T.); #287157=ORIENTED_EDGE('',*,*,#206048,.T.); #287158=ORIENTED_EDGE('',*,*,#206051,.T.); #287159=ORIENTED_EDGE('',*,*,#206059,.T.); #287160=ORIENTED_EDGE('',*,*,#206062,.T.); #287161=ORIENTED_EDGE('',*,*,#206052,.T.); #287162=ORIENTED_EDGE('',*,*,#206056,.T.); #287163=ORIENTED_EDGE('',*,*,#206066,.T.); #287164=ORIENTED_EDGE('',*,*,#206074,.T.); #287165=ORIENTED_EDGE('',*,*,#206077,.T.); #287166=ORIENTED_EDGE('',*,*,#206067,.T.); #287167=ORIENTED_EDGE('',*,*,#206071,.T.); #287168=ORIENTED_EDGE('',*,*,#206081,.T.); #287169=ORIENTED_EDGE('',*,*,#206084,.T.); #287170=ORIENTED_EDGE('',*,*,#206087,.T.); #287171=ORIENTED_EDGE('',*,*,#206095,.T.); #287172=ORIENTED_EDGE('',*,*,#206098,.T.); #287173=ORIENTED_EDGE('',*,*,#206088,.T.); #287174=ORIENTED_EDGE('',*,*,#206092,.T.); #287175=ORIENTED_EDGE('',*,*,#206102,.T.); #287176=ORIENTED_EDGE('',*,*,#206105,.T.); #287177=ORIENTED_EDGE('',*,*,#206108,.T.); #287178=ORIENTED_EDGE('',*,*,#206111,.T.); #287179=ORIENTED_EDGE('',*,*,#206114,.T.); #287180=ORIENTED_EDGE('',*,*,#206117,.T.); #287181=ORIENTED_EDGE('',*,*,#206120,.T.); #287182=ORIENTED_EDGE('',*,*,#206123,.T.); #287183=ORIENTED_EDGE('',*,*,#206126,.T.); #287184=ORIENTED_EDGE('',*,*,#206129,.T.); #287185=ORIENTED_EDGE('',*,*,#206137,.T.); #287186=ORIENTED_EDGE('',*,*,#206140,.T.); #287187=ORIENTED_EDGE('',*,*,#206130,.T.); #287188=ORIENTED_EDGE('',*,*,#206134,.T.); #287189=ORIENTED_EDGE('',*,*,#206144,.T.); #287190=ORIENTED_EDGE('',*,*,#206152,.T.); #287191=ORIENTED_EDGE('',*,*,#206155,.T.); #287192=ORIENTED_EDGE('',*,*,#206145,.T.); #287193=ORIENTED_EDGE('',*,*,#206149,.T.); #287194=ORIENTED_EDGE('',*,*,#206159,.T.); #287195=ORIENTED_EDGE('',*,*,#206167,.T.); #287196=ORIENTED_EDGE('',*,*,#206170,.T.); #287197=ORIENTED_EDGE('',*,*,#206160,.T.); #287198=ORIENTED_EDGE('',*,*,#206164,.T.); #287199=ORIENTED_EDGE('',*,*,#206174,.T.); #287200=ORIENTED_EDGE('',*,*,#206177,.T.); #287201=ORIENTED_EDGE('',*,*,#206180,.T.); #287202=ORIENTED_EDGE('',*,*,#206183,.T.); #287203=ORIENTED_EDGE('',*,*,#206186,.T.); #287204=ORIENTED_EDGE('',*,*,#206189,.T.); #287205=ORIENTED_EDGE('',*,*,#206192,.T.); #287206=ORIENTED_EDGE('',*,*,#206195,.T.); #287207=ORIENTED_EDGE('',*,*,#206198,.T.); #287208=ORIENTED_EDGE('',*,*,#206201,.T.); #287209=ORIENTED_EDGE('',*,*,#206204,.T.); #287210=ORIENTED_EDGE('',*,*,#206207,.T.); #287211=ORIENTED_EDGE('',*,*,#206210,.T.); #287212=ORIENTED_EDGE('',*,*,#206213,.T.); #287213=ORIENTED_EDGE('',*,*,#206216,.T.); #287214=ORIENTED_EDGE('',*,*,#206219,.T.); #287215=ORIENTED_EDGE('',*,*,#206222,.T.); #287216=ORIENTED_EDGE('',*,*,#206225,.T.); #287217=ORIENTED_EDGE('',*,*,#206228,.T.); #287218=ORIENTED_EDGE('',*,*,#206231,.T.); #287219=ORIENTED_EDGE('',*,*,#206234,.T.); #287220=ORIENTED_EDGE('',*,*,#206237,.T.); #287221=ORIENTED_EDGE('',*,*,#206240,.T.); #287222=ORIENTED_EDGE('',*,*,#206243,.T.); #287223=ORIENTED_EDGE('',*,*,#206246,.T.); #287224=ORIENTED_EDGE('',*,*,#206249,.T.); #287225=ORIENTED_EDGE('',*,*,#206252,.T.); #287226=ORIENTED_EDGE('',*,*,#206255,.T.); #287227=ORIENTED_EDGE('',*,*,#206258,.T.); #287228=ORIENTED_EDGE('',*,*,#206261,.T.); #287229=ORIENTED_EDGE('',*,*,#206264,.T.); #287230=ORIENTED_EDGE('',*,*,#206267,.T.); #287231=ORIENTED_EDGE('',*,*,#206270,.T.); #287232=ORIENTED_EDGE('',*,*,#206273,.T.); #287233=ORIENTED_EDGE('',*,*,#206276,.T.); #287234=ORIENTED_EDGE('',*,*,#206279,.T.); #287235=ORIENTED_EDGE('',*,*,#206282,.T.); #287236=ORIENTED_EDGE('',*,*,#206285,.T.); #287237=ORIENTED_EDGE('',*,*,#206288,.T.); #287238=ORIENTED_EDGE('',*,*,#206291,.T.); #287239=ORIENTED_EDGE('',*,*,#206294,.T.); #287240=ORIENTED_EDGE('',*,*,#206297,.T.); #287241=ORIENTED_EDGE('',*,*,#206300,.T.); #287242=ORIENTED_EDGE('',*,*,#206303,.T.); #287243=ORIENTED_EDGE('',*,*,#206306,.T.); #287244=ORIENTED_EDGE('',*,*,#206309,.T.); #287245=ORIENTED_EDGE('',*,*,#206312,.T.); #287246=ORIENTED_EDGE('',*,*,#206315,.T.); #287247=ORIENTED_EDGE('',*,*,#206318,.T.); #287248=ORIENTED_EDGE('',*,*,#206321,.T.); #287249=ORIENTED_EDGE('',*,*,#206324,.T.); #287250=ORIENTED_EDGE('',*,*,#206327,.T.); #287251=ORIENTED_EDGE('',*,*,#206330,.T.); #287252=ORIENTED_EDGE('',*,*,#206333,.T.); #287253=ORIENTED_EDGE('',*,*,#206336,.T.); #287254=ORIENTED_EDGE('',*,*,#206339,.T.); #287255=ORIENTED_EDGE('',*,*,#206342,.T.); #287256=ORIENTED_EDGE('',*,*,#206345,.T.); #287257=ORIENTED_EDGE('',*,*,#206348,.T.); #287258=ORIENTED_EDGE('',*,*,#206351,.T.); #287259=ORIENTED_EDGE('',*,*,#206354,.T.); #287260=ORIENTED_EDGE('',*,*,#206357,.T.); #287261=ORIENTED_EDGE('',*,*,#206360,.T.); #287262=ORIENTED_EDGE('',*,*,#206363,.T.); #287263=ORIENTED_EDGE('',*,*,#206366,.T.); #287264=ORIENTED_EDGE('',*,*,#206369,.T.); #287265=ORIENTED_EDGE('',*,*,#206372,.T.); #287266=ORIENTED_EDGE('',*,*,#206375,.T.); #287267=ORIENTED_EDGE('',*,*,#206378,.T.); #287268=ORIENTED_EDGE('',*,*,#206381,.T.); #287269=ORIENTED_EDGE('',*,*,#206384,.T.); #287270=ORIENTED_EDGE('',*,*,#206387,.T.); #287271=ORIENTED_EDGE('',*,*,#206390,.T.); #287272=ORIENTED_EDGE('',*,*,#206393,.T.); #287273=ORIENTED_EDGE('',*,*,#206396,.T.); #287274=ORIENTED_EDGE('',*,*,#206399,.T.); #287275=ORIENTED_EDGE('',*,*,#206402,.T.); #287276=ORIENTED_EDGE('',*,*,#206405,.T.); #287277=ORIENTED_EDGE('',*,*,#206408,.T.); #287278=ORIENTED_EDGE('',*,*,#206411,.T.); #287279=ORIENTED_EDGE('',*,*,#206414,.T.); #287280=ORIENTED_EDGE('',*,*,#206417,.T.); #287281=ORIENTED_EDGE('',*,*,#206420,.T.); #287282=ORIENTED_EDGE('',*,*,#206423,.T.); #287283=ORIENTED_EDGE('',*,*,#206426,.T.); #287284=ORIENTED_EDGE('',*,*,#206429,.T.); #287285=ORIENTED_EDGE('',*,*,#206432,.T.); #287286=ORIENTED_EDGE('',*,*,#206435,.T.); #287287=ORIENTED_EDGE('',*,*,#206438,.T.); #287288=ORIENTED_EDGE('',*,*,#206441,.T.); #287289=ORIENTED_EDGE('',*,*,#206444,.T.); #287290=ORIENTED_EDGE('',*,*,#206447,.T.); #287291=ORIENTED_EDGE('',*,*,#206450,.T.); #287292=ORIENTED_EDGE('',*,*,#206453,.T.); #287293=ORIENTED_EDGE('',*,*,#206456,.T.); #287294=ORIENTED_EDGE('',*,*,#206459,.T.); #287295=ORIENTED_EDGE('',*,*,#206462,.T.); #287296=ORIENTED_EDGE('',*,*,#206465,.T.); #287297=ORIENTED_EDGE('',*,*,#206468,.T.); #287298=ORIENTED_EDGE('',*,*,#206471,.T.); #287299=ORIENTED_EDGE('',*,*,#206474,.T.); #287300=ORIENTED_EDGE('',*,*,#206477,.T.); #287301=ORIENTED_EDGE('',*,*,#206480,.T.); #287302=ORIENTED_EDGE('',*,*,#206483,.T.); #287303=ORIENTED_EDGE('',*,*,#206486,.T.); #287304=ORIENTED_EDGE('',*,*,#206489,.T.); #287305=ORIENTED_EDGE('',*,*,#206492,.T.); #287306=ORIENTED_EDGE('',*,*,#206495,.T.); #287307=ORIENTED_EDGE('',*,*,#206498,.T.); #287308=ORIENTED_EDGE('',*,*,#206501,.T.); #287309=ORIENTED_EDGE('',*,*,#206504,.T.); #287310=ORIENTED_EDGE('',*,*,#206507,.T.); #287311=ORIENTED_EDGE('',*,*,#206510,.T.); #287312=ORIENTED_EDGE('',*,*,#206513,.T.); #287313=ORIENTED_EDGE('',*,*,#206516,.T.); #287314=ORIENTED_EDGE('',*,*,#206519,.T.); #287315=ORIENTED_EDGE('',*,*,#206522,.T.); #287316=ORIENTED_EDGE('',*,*,#206525,.T.); #287317=ORIENTED_EDGE('',*,*,#206528,.T.); #287318=ORIENTED_EDGE('',*,*,#206531,.T.); #287319=ORIENTED_EDGE('',*,*,#206534,.T.); #287320=ORIENTED_EDGE('',*,*,#206537,.T.); #287321=ORIENTED_EDGE('',*,*,#206540,.T.); #287322=ORIENTED_EDGE('',*,*,#206543,.T.); #287323=ORIENTED_EDGE('',*,*,#206546,.T.); #287324=ORIENTED_EDGE('',*,*,#206549,.T.); #287325=ORIENTED_EDGE('',*,*,#206552,.T.); #287326=ORIENTED_EDGE('',*,*,#206555,.T.); #287327=ORIENTED_EDGE('',*,*,#206558,.T.); #287328=ORIENTED_EDGE('',*,*,#206561,.T.); #287329=ORIENTED_EDGE('',*,*,#206564,.T.); #287330=ORIENTED_EDGE('',*,*,#206567,.T.); #287331=ORIENTED_EDGE('',*,*,#206570,.F.); #287332=ORIENTED_EDGE('',*,*,#205565,.T.); #287333=ORIENTED_EDGE('',*,*,#205568,.T.); #287334=ORIENTED_EDGE('',*,*,#205569,.T.); #287335=ORIENTED_EDGE('',*,*,#205572,.T.); #287336=ORIENTED_EDGE('',*,*,#205575,.T.); #287337=ORIENTED_EDGE('',*,*,#205578,.T.); #287338=ORIENTED_EDGE('',*,*,#205581,.T.); #287339=ORIENTED_EDGE('',*,*,#205584,.T.); #287340=ORIENTED_EDGE('',*,*,#205587,.T.); #287341=ORIENTED_EDGE('',*,*,#205590,.T.); #287342=ORIENTED_EDGE('',*,*,#205593,.T.); #287343=ORIENTED_EDGE('',*,*,#205596,.T.); #287344=ORIENTED_EDGE('',*,*,#205599,.T.); #287345=ORIENTED_EDGE('',*,*,#205602,.T.); #287346=ORIENTED_EDGE('',*,*,#205605,.T.); #287347=ORIENTED_EDGE('',*,*,#205608,.T.); #287348=ORIENTED_EDGE('',*,*,#205611,.T.); #287349=ORIENTED_EDGE('',*,*,#205614,.T.); #287350=ORIENTED_EDGE('',*,*,#205617,.T.); #287351=ORIENTED_EDGE('',*,*,#205620,.T.); #287352=ORIENTED_EDGE('',*,*,#205623,.T.); #287353=ORIENTED_EDGE('',*,*,#205626,.T.); #287354=ORIENTED_EDGE('',*,*,#205629,.T.); #287355=ORIENTED_EDGE('',*,*,#205632,.T.); #287356=ORIENTED_EDGE('',*,*,#205635,.T.); #287357=ORIENTED_EDGE('',*,*,#205638,.T.); #287358=ORIENTED_EDGE('',*,*,#205641,.T.); #287359=ORIENTED_EDGE('',*,*,#205644,.T.); #287360=ORIENTED_EDGE('',*,*,#205647,.T.); #287361=ORIENTED_EDGE('',*,*,#205650,.T.); #287362=ORIENTED_EDGE('',*,*,#205653,.T.); #287363=ORIENTED_EDGE('',*,*,#205656,.T.); #287364=ORIENTED_EDGE('',*,*,#205659,.T.); #287365=ORIENTED_EDGE('',*,*,#205662,.T.); #287366=ORIENTED_EDGE('',*,*,#205665,.T.); #287367=ORIENTED_EDGE('',*,*,#205668,.T.); #287368=ORIENTED_EDGE('',*,*,#205671,.T.); #287369=ORIENTED_EDGE('',*,*,#205674,.T.); #287370=ORIENTED_EDGE('',*,*,#205677,.T.); #287371=ORIENTED_EDGE('',*,*,#205680,.T.); #287372=ORIENTED_EDGE('',*,*,#205683,.T.); #287373=ORIENTED_EDGE('',*,*,#205686,.T.); #287374=ORIENTED_EDGE('',*,*,#205689,.T.); #287375=ORIENTED_EDGE('',*,*,#205692,.T.); #287376=ORIENTED_EDGE('',*,*,#205695,.T.); #287377=ORIENTED_EDGE('',*,*,#205698,.T.); #287378=ORIENTED_EDGE('',*,*,#205701,.T.); #287379=ORIENTED_EDGE('',*,*,#205704,.T.); #287380=ORIENTED_EDGE('',*,*,#205707,.T.); #287381=ORIENTED_EDGE('',*,*,#205710,.T.); #287382=ORIENTED_EDGE('',*,*,#205713,.T.); #287383=ORIENTED_EDGE('',*,*,#205716,.T.); #287384=ORIENTED_EDGE('',*,*,#205719,.T.); #287385=ORIENTED_EDGE('',*,*,#205722,.T.); #287386=ORIENTED_EDGE('',*,*,#205725,.T.); #287387=ORIENTED_EDGE('',*,*,#205728,.T.); #287388=ORIENTED_EDGE('',*,*,#205731,.T.); #287389=ORIENTED_EDGE('',*,*,#205734,.T.); #287390=ORIENTED_EDGE('',*,*,#205737,.T.); #287391=ORIENTED_EDGE('',*,*,#205740,.T.); #287392=ORIENTED_EDGE('',*,*,#205743,.T.); #287393=ORIENTED_EDGE('',*,*,#205746,.T.); #287394=ORIENTED_EDGE('',*,*,#205749,.T.); #287395=ORIENTED_EDGE('',*,*,#205752,.T.); #287396=ORIENTED_EDGE('',*,*,#205755,.T.); #287397=ORIENTED_EDGE('',*,*,#205758,.T.); #287398=ORIENTED_EDGE('',*,*,#205761,.T.); #287399=ORIENTED_EDGE('',*,*,#205764,.T.); #287400=ORIENTED_EDGE('',*,*,#205767,.T.); #287401=ORIENTED_EDGE('',*,*,#205770,.T.); #287402=ORIENTED_EDGE('',*,*,#205773,.T.); #287403=ORIENTED_EDGE('',*,*,#205776,.T.); #287404=ORIENTED_EDGE('',*,*,#205779,.T.); #287405=ORIENTED_EDGE('',*,*,#205782,.T.); #287406=ORIENTED_EDGE('',*,*,#205785,.T.); #287407=ORIENTED_EDGE('',*,*,#205788,.T.); #287408=ORIENTED_EDGE('',*,*,#205791,.T.); #287409=ORIENTED_EDGE('',*,*,#205794,.T.); #287410=ORIENTED_EDGE('',*,*,#205797,.T.); #287411=ORIENTED_EDGE('',*,*,#205800,.T.); #287412=ORIENTED_EDGE('',*,*,#205803,.T.); #287413=ORIENTED_EDGE('',*,*,#205806,.T.); #287414=ORIENTED_EDGE('',*,*,#205809,.T.); #287415=ORIENTED_EDGE('',*,*,#205812,.T.); #287416=ORIENTED_EDGE('',*,*,#205815,.T.); #287417=ORIENTED_EDGE('',*,*,#205818,.T.); #287418=ORIENTED_EDGE('',*,*,#205821,.T.); #287419=ORIENTED_EDGE('',*,*,#205824,.T.); #287420=ORIENTED_EDGE('',*,*,#205827,.T.); #287421=ORIENTED_EDGE('',*,*,#205830,.T.); #287422=ORIENTED_EDGE('',*,*,#205833,.T.); #287423=ORIENTED_EDGE('',*,*,#205836,.T.); #287424=ORIENTED_EDGE('',*,*,#205839,.T.); #287425=ORIENTED_EDGE('',*,*,#205842,.T.); #287426=ORIENTED_EDGE('',*,*,#205845,.T.); #287427=ORIENTED_EDGE('',*,*,#205848,.T.); #287428=ORIENTED_EDGE('',*,*,#205851,.T.); #287429=ORIENTED_EDGE('',*,*,#205854,.T.); #287430=ORIENTED_EDGE('',*,*,#205857,.T.); #287431=ORIENTED_EDGE('',*,*,#205860,.T.); #287432=ORIENTED_EDGE('',*,*,#205863,.T.); #287433=ORIENTED_EDGE('',*,*,#205866,.T.); #287434=ORIENTED_EDGE('',*,*,#205869,.T.); #287435=ORIENTED_EDGE('',*,*,#205872,.T.); #287436=ORIENTED_EDGE('',*,*,#205875,.T.); #287437=ORIENTED_EDGE('',*,*,#205878,.T.); #287438=ORIENTED_EDGE('',*,*,#205881,.T.); #287439=ORIENTED_EDGE('',*,*,#205884,.T.); #287440=ORIENTED_EDGE('',*,*,#205887,.T.); #287441=ORIENTED_EDGE('',*,*,#205890,.T.); #287442=ORIENTED_EDGE('',*,*,#205893,.T.); #287443=ORIENTED_EDGE('',*,*,#205896,.T.); #287444=ORIENTED_EDGE('',*,*,#205899,.T.); #287445=ORIENTED_EDGE('',*,*,#205902,.T.); #287446=ORIENTED_EDGE('',*,*,#205905,.T.); #287447=ORIENTED_EDGE('',*,*,#205908,.T.); #287448=ORIENTED_EDGE('',*,*,#205911,.T.); #287449=ORIENTED_EDGE('',*,*,#205914,.T.); #287450=ORIENTED_EDGE('',*,*,#205917,.T.); #287451=ORIENTED_EDGE('',*,*,#205920,.T.); #287452=ORIENTED_EDGE('',*,*,#205923,.T.); #287453=ORIENTED_EDGE('',*,*,#205926,.T.); #287454=ORIENTED_EDGE('',*,*,#205929,.T.); #287455=ORIENTED_EDGE('',*,*,#205932,.T.); #287456=ORIENTED_EDGE('',*,*,#205935,.T.); #287457=ORIENTED_EDGE('',*,*,#205938,.T.); #287458=ORIENTED_EDGE('',*,*,#205941,.T.); #287459=ORIENTED_EDGE('',*,*,#205944,.T.); #287460=ORIENTED_EDGE('',*,*,#205947,.T.); #287461=ORIENTED_EDGE('',*,*,#205950,.T.); #287462=ORIENTED_EDGE('',*,*,#205953,.T.); #287463=ORIENTED_EDGE('',*,*,#205956,.T.); #287464=ORIENTED_EDGE('',*,*,#205959,.T.); #287465=ORIENTED_EDGE('',*,*,#205962,.T.); #287466=ORIENTED_EDGE('',*,*,#205965,.T.); #287467=ORIENTED_EDGE('',*,*,#205968,.T.); #287468=ORIENTED_EDGE('',*,*,#205971,.T.); #287469=ORIENTED_EDGE('',*,*,#205974,.T.); #287470=ORIENTED_EDGE('',*,*,#205977,.T.); #287471=ORIENTED_EDGE('',*,*,#205980,.T.); #287472=ORIENTED_EDGE('',*,*,#205983,.T.); #287473=ORIENTED_EDGE('',*,*,#205986,.T.); #287474=ORIENTED_EDGE('',*,*,#205989,.T.); #287475=ORIENTED_EDGE('',*,*,#205992,.T.); #287476=ORIENTED_EDGE('',*,*,#205995,.T.); #287477=ORIENTED_EDGE('',*,*,#205998,.T.); #287478=ORIENTED_EDGE('',*,*,#206001,.T.); #287479=ORIENTED_EDGE('',*,*,#206004,.T.); #287480=ORIENTED_EDGE('',*,*,#206007,.T.); #287481=ORIENTED_EDGE('',*,*,#206010,.T.); #287482=ORIENTED_EDGE('',*,*,#206013,.T.); #287483=ORIENTED_EDGE('',*,*,#206016,.T.); #287484=ORIENTED_EDGE('',*,*,#206019,.T.); #287485=ORIENTED_EDGE('',*,*,#206022,.T.); #287486=ORIENTED_EDGE('',*,*,#206025,.T.); #287487=ORIENTED_EDGE('',*,*,#206028,.T.); #287488=ORIENTED_EDGE('',*,*,#206031,.T.); #287489=ORIENTED_EDGE('',*,*,#206034,.T.); #287490=ORIENTED_EDGE('',*,*,#206037,.T.); #287491=ORIENTED_EDGE('',*,*,#206040,.T.); #287492=ORIENTED_EDGE('',*,*,#206043,.T.); #287493=ORIENTED_EDGE('',*,*,#206046,.T.); #287494=ORIENTED_EDGE('',*,*,#206049,.T.); #287495=ORIENTED_EDGE('',*,*,#206060,.T.); #287496=ORIENTED_EDGE('',*,*,#206057,.T.); #287497=ORIENTED_EDGE('',*,*,#206054,.T.); #287498=ORIENTED_EDGE('',*,*,#206063,.T.); #287499=ORIENTED_EDGE('',*,*,#206064,.T.); #287500=ORIENTED_EDGE('',*,*,#206075,.T.); #287501=ORIENTED_EDGE('',*,*,#206072,.T.); #287502=ORIENTED_EDGE('',*,*,#206069,.T.); #287503=ORIENTED_EDGE('',*,*,#206078,.T.); #287504=ORIENTED_EDGE('',*,*,#206079,.T.); #287505=ORIENTED_EDGE('',*,*,#206082,.T.); #287506=ORIENTED_EDGE('',*,*,#206085,.T.); #287507=ORIENTED_EDGE('',*,*,#206096,.T.); #287508=ORIENTED_EDGE('',*,*,#206093,.T.); #287509=ORIENTED_EDGE('',*,*,#206090,.T.); #287510=ORIENTED_EDGE('',*,*,#206099,.T.); #287511=ORIENTED_EDGE('',*,*,#206100,.T.); #287512=ORIENTED_EDGE('',*,*,#206103,.T.); #287513=ORIENTED_EDGE('',*,*,#206106,.T.); #287514=ORIENTED_EDGE('',*,*,#206109,.T.); #287515=ORIENTED_EDGE('',*,*,#206112,.T.); #287516=ORIENTED_EDGE('',*,*,#206115,.T.); #287517=ORIENTED_EDGE('',*,*,#206118,.T.); #287518=ORIENTED_EDGE('',*,*,#206121,.T.); #287519=ORIENTED_EDGE('',*,*,#206124,.T.); #287520=ORIENTED_EDGE('',*,*,#206127,.T.); #287521=ORIENTED_EDGE('',*,*,#206138,.T.); #287522=ORIENTED_EDGE('',*,*,#206135,.T.); #287523=ORIENTED_EDGE('',*,*,#206132,.T.); #287524=ORIENTED_EDGE('',*,*,#206141,.T.); #287525=ORIENTED_EDGE('',*,*,#206142,.T.); #287526=ORIENTED_EDGE('',*,*,#206153,.T.); #287527=ORIENTED_EDGE('',*,*,#206150,.T.); #287528=ORIENTED_EDGE('',*,*,#206147,.T.); #287529=ORIENTED_EDGE('',*,*,#206156,.T.); #287530=ORIENTED_EDGE('',*,*,#206157,.T.); #287531=ORIENTED_EDGE('',*,*,#206168,.T.); #287532=ORIENTED_EDGE('',*,*,#206165,.T.); #287533=ORIENTED_EDGE('',*,*,#206162,.T.); #287534=ORIENTED_EDGE('',*,*,#206171,.T.); #287535=ORIENTED_EDGE('',*,*,#206172,.T.); #287536=ORIENTED_EDGE('',*,*,#206175,.T.); #287537=ORIENTED_EDGE('',*,*,#206178,.T.); #287538=ORIENTED_EDGE('',*,*,#206181,.T.); #287539=ORIENTED_EDGE('',*,*,#206184,.T.); #287540=ORIENTED_EDGE('',*,*,#206187,.T.); #287541=ORIENTED_EDGE('',*,*,#206190,.T.); #287542=ORIENTED_EDGE('',*,*,#206193,.T.); #287543=ORIENTED_EDGE('',*,*,#206196,.T.); #287544=ORIENTED_EDGE('',*,*,#206199,.T.); #287545=ORIENTED_EDGE('',*,*,#206202,.T.); #287546=ORIENTED_EDGE('',*,*,#206205,.T.); #287547=ORIENTED_EDGE('',*,*,#206208,.T.); #287548=ORIENTED_EDGE('',*,*,#206211,.T.); #287549=ORIENTED_EDGE('',*,*,#206214,.T.); #287550=ORIENTED_EDGE('',*,*,#206217,.T.); #287551=ORIENTED_EDGE('',*,*,#206220,.T.); #287552=ORIENTED_EDGE('',*,*,#206223,.T.); #287553=ORIENTED_EDGE('',*,*,#206226,.T.); #287554=ORIENTED_EDGE('',*,*,#206229,.T.); #287555=ORIENTED_EDGE('',*,*,#206232,.T.); #287556=ORIENTED_EDGE('',*,*,#206235,.T.); #287557=ORIENTED_EDGE('',*,*,#206238,.T.); #287558=ORIENTED_EDGE('',*,*,#206241,.T.); #287559=ORIENTED_EDGE('',*,*,#206244,.T.); #287560=ORIENTED_EDGE('',*,*,#206247,.T.); #287561=ORIENTED_EDGE('',*,*,#206250,.T.); #287562=ORIENTED_EDGE('',*,*,#206253,.T.); #287563=ORIENTED_EDGE('',*,*,#206256,.T.); #287564=ORIENTED_EDGE('',*,*,#206259,.T.); #287565=ORIENTED_EDGE('',*,*,#206262,.T.); #287566=ORIENTED_EDGE('',*,*,#206265,.T.); #287567=ORIENTED_EDGE('',*,*,#206268,.T.); #287568=ORIENTED_EDGE('',*,*,#206271,.T.); #287569=ORIENTED_EDGE('',*,*,#206274,.T.); #287570=ORIENTED_EDGE('',*,*,#206277,.T.); #287571=ORIENTED_EDGE('',*,*,#206280,.T.); #287572=ORIENTED_EDGE('',*,*,#206283,.T.); #287573=ORIENTED_EDGE('',*,*,#206286,.T.); #287574=ORIENTED_EDGE('',*,*,#206289,.T.); #287575=ORIENTED_EDGE('',*,*,#206292,.T.); #287576=ORIENTED_EDGE('',*,*,#206295,.T.); #287577=ORIENTED_EDGE('',*,*,#206298,.T.); #287578=ORIENTED_EDGE('',*,*,#206301,.T.); #287579=ORIENTED_EDGE('',*,*,#206304,.T.); #287580=ORIENTED_EDGE('',*,*,#206307,.T.); #287581=ORIENTED_EDGE('',*,*,#206310,.T.); #287582=ORIENTED_EDGE('',*,*,#206313,.T.); #287583=ORIENTED_EDGE('',*,*,#206316,.T.); #287584=ORIENTED_EDGE('',*,*,#206319,.T.); #287585=ORIENTED_EDGE('',*,*,#206322,.T.); #287586=ORIENTED_EDGE('',*,*,#206325,.T.); #287587=ORIENTED_EDGE('',*,*,#206328,.T.); #287588=ORIENTED_EDGE('',*,*,#206331,.T.); #287589=ORIENTED_EDGE('',*,*,#206334,.T.); #287590=ORIENTED_EDGE('',*,*,#206337,.T.); #287591=ORIENTED_EDGE('',*,*,#206340,.T.); #287592=ORIENTED_EDGE('',*,*,#206343,.T.); #287593=ORIENTED_EDGE('',*,*,#206346,.T.); #287594=ORIENTED_EDGE('',*,*,#206349,.T.); #287595=ORIENTED_EDGE('',*,*,#206352,.T.); #287596=ORIENTED_EDGE('',*,*,#206355,.T.); #287597=ORIENTED_EDGE('',*,*,#206358,.T.); #287598=ORIENTED_EDGE('',*,*,#206361,.T.); #287599=ORIENTED_EDGE('',*,*,#206364,.T.); #287600=ORIENTED_EDGE('',*,*,#206367,.T.); #287601=ORIENTED_EDGE('',*,*,#206370,.T.); #287602=ORIENTED_EDGE('',*,*,#206373,.T.); #287603=ORIENTED_EDGE('',*,*,#206376,.T.); #287604=ORIENTED_EDGE('',*,*,#206379,.T.); #287605=ORIENTED_EDGE('',*,*,#206382,.T.); #287606=ORIENTED_EDGE('',*,*,#206385,.T.); #287607=ORIENTED_EDGE('',*,*,#206388,.T.); #287608=ORIENTED_EDGE('',*,*,#206391,.T.); #287609=ORIENTED_EDGE('',*,*,#206394,.T.); #287610=ORIENTED_EDGE('',*,*,#206397,.T.); #287611=ORIENTED_EDGE('',*,*,#206400,.T.); #287612=ORIENTED_EDGE('',*,*,#206403,.T.); #287613=ORIENTED_EDGE('',*,*,#206406,.T.); #287614=ORIENTED_EDGE('',*,*,#206409,.T.); #287615=ORIENTED_EDGE('',*,*,#206412,.T.); #287616=ORIENTED_EDGE('',*,*,#206415,.T.); #287617=ORIENTED_EDGE('',*,*,#206418,.T.); #287618=ORIENTED_EDGE('',*,*,#206421,.T.); #287619=ORIENTED_EDGE('',*,*,#206424,.T.); #287620=ORIENTED_EDGE('',*,*,#206427,.T.); #287621=ORIENTED_EDGE('',*,*,#206430,.T.); #287622=ORIENTED_EDGE('',*,*,#206433,.T.); #287623=ORIENTED_EDGE('',*,*,#206436,.T.); #287624=ORIENTED_EDGE('',*,*,#206439,.T.); #287625=ORIENTED_EDGE('',*,*,#206442,.T.); #287626=ORIENTED_EDGE('',*,*,#206445,.T.); #287627=ORIENTED_EDGE('',*,*,#206448,.T.); #287628=ORIENTED_EDGE('',*,*,#206451,.T.); #287629=ORIENTED_EDGE('',*,*,#206454,.T.); #287630=ORIENTED_EDGE('',*,*,#206457,.T.); #287631=ORIENTED_EDGE('',*,*,#206460,.T.); #287632=ORIENTED_EDGE('',*,*,#206463,.T.); #287633=ORIENTED_EDGE('',*,*,#206466,.T.); #287634=ORIENTED_EDGE('',*,*,#206469,.T.); #287635=ORIENTED_EDGE('',*,*,#206472,.T.); #287636=ORIENTED_EDGE('',*,*,#206475,.T.); #287637=ORIENTED_EDGE('',*,*,#206478,.T.); #287638=ORIENTED_EDGE('',*,*,#206481,.T.); #287639=ORIENTED_EDGE('',*,*,#206484,.T.); #287640=ORIENTED_EDGE('',*,*,#206487,.T.); #287641=ORIENTED_EDGE('',*,*,#206490,.T.); #287642=ORIENTED_EDGE('',*,*,#206493,.T.); #287643=ORIENTED_EDGE('',*,*,#206496,.T.); #287644=ORIENTED_EDGE('',*,*,#206499,.T.); #287645=ORIENTED_EDGE('',*,*,#206502,.T.); #287646=ORIENTED_EDGE('',*,*,#206505,.T.); #287647=ORIENTED_EDGE('',*,*,#206508,.T.); #287648=ORIENTED_EDGE('',*,*,#206511,.T.); #287649=ORIENTED_EDGE('',*,*,#206514,.T.); #287650=ORIENTED_EDGE('',*,*,#206517,.T.); #287651=ORIENTED_EDGE('',*,*,#206520,.T.); #287652=ORIENTED_EDGE('',*,*,#206523,.T.); #287653=ORIENTED_EDGE('',*,*,#206526,.T.); #287654=ORIENTED_EDGE('',*,*,#206529,.T.); #287655=ORIENTED_EDGE('',*,*,#206532,.T.); #287656=ORIENTED_EDGE('',*,*,#206535,.T.); #287657=ORIENTED_EDGE('',*,*,#206538,.T.); #287658=ORIENTED_EDGE('',*,*,#206541,.T.); #287659=ORIENTED_EDGE('',*,*,#206544,.T.); #287660=ORIENTED_EDGE('',*,*,#206547,.T.); #287661=ORIENTED_EDGE('',*,*,#206550,.T.); #287662=ORIENTED_EDGE('',*,*,#206553,.T.); #287663=ORIENTED_EDGE('',*,*,#206556,.T.); #287664=ORIENTED_EDGE('',*,*,#206559,.T.); #287665=ORIENTED_EDGE('',*,*,#206562,.T.); #287666=ORIENTED_EDGE('',*,*,#206565,.T.); #287667=ORIENTED_EDGE('',*,*,#206571,.F.); #287668=ORIENTED_EDGE('',*,*,#206572,.T.); #287669=ORIENTED_EDGE('',*,*,#206573,.F.); #287670=ORIENTED_EDGE('',*,*,#206572,.F.); #287671=ORIENTED_EDGE('',*,*,#206574,.F.); #287672=ORIENTED_EDGE('',*,*,#206575,.T.); #287673=ORIENTED_EDGE('',*,*,#206576,.F.); #287674=ORIENTED_EDGE('',*,*,#206575,.F.); #287675=ORIENTED_EDGE('',*,*,#206577,.F.); #287676=ORIENTED_EDGE('',*,*,#206578,.T.); #287677=ORIENTED_EDGE('',*,*,#206579,.F.); #287678=ORIENTED_EDGE('',*,*,#206578,.F.); #287679=ORIENTED_EDGE('',*,*,#206580,.F.); #287680=ORIENTED_EDGE('',*,*,#206581,.T.); #287681=ORIENTED_EDGE('',*,*,#206582,.F.); #287682=ORIENTED_EDGE('',*,*,#206581,.F.); #287683=ORIENTED_EDGE('',*,*,#206583,.F.); #287684=ORIENTED_EDGE('',*,*,#206584,.T.); #287685=ORIENTED_EDGE('',*,*,#206585,.F.); #287686=ORIENTED_EDGE('',*,*,#206584,.F.); #287687=ORIENTED_EDGE('',*,*,#206586,.F.); #287688=ORIENTED_EDGE('',*,*,#206587,.T.); #287689=ORIENTED_EDGE('',*,*,#206588,.F.); #287690=ORIENTED_EDGE('',*,*,#206587,.F.); #287691=ORIENTED_EDGE('',*,*,#206589,.F.); #287692=ORIENTED_EDGE('',*,*,#206590,.T.); #287693=ORIENTED_EDGE('',*,*,#206591,.F.); #287694=ORIENTED_EDGE('',*,*,#206590,.F.); #287695=ORIENTED_EDGE('',*,*,#206592,.F.); #287696=ORIENTED_EDGE('',*,*,#206593,.T.); #287697=ORIENTED_EDGE('',*,*,#206594,.F.); #287698=ORIENTED_EDGE('',*,*,#206593,.F.); #287699=ORIENTED_EDGE('',*,*,#206595,.F.); #287700=ORIENTED_EDGE('',*,*,#206596,.T.); #287701=ORIENTED_EDGE('',*,*,#206597,.F.); #287702=ORIENTED_EDGE('',*,*,#206596,.F.); #287703=ORIENTED_EDGE('',*,*,#206598,.F.); #287704=ORIENTED_EDGE('',*,*,#206599,.T.); #287705=ORIENTED_EDGE('',*,*,#206600,.F.); #287706=ORIENTED_EDGE('',*,*,#206599,.F.); #287707=ORIENTED_EDGE('',*,*,#206601,.F.); #287708=ORIENTED_EDGE('',*,*,#206602,.T.); #287709=ORIENTED_EDGE('',*,*,#206603,.F.); #287710=ORIENTED_EDGE('',*,*,#206602,.F.); #287711=ORIENTED_EDGE('',*,*,#206604,.F.); #287712=ORIENTED_EDGE('',*,*,#206605,.T.); #287713=ORIENTED_EDGE('',*,*,#206606,.F.); #287714=ORIENTED_EDGE('',*,*,#206605,.F.); #287715=ORIENTED_EDGE('',*,*,#206607,.F.); #287716=ORIENTED_EDGE('',*,*,#206608,.T.); #287717=ORIENTED_EDGE('',*,*,#206609,.F.); #287718=ORIENTED_EDGE('',*,*,#206608,.F.); #287719=ORIENTED_EDGE('',*,*,#206610,.F.); #287720=ORIENTED_EDGE('',*,*,#206611,.T.); #287721=ORIENTED_EDGE('',*,*,#206612,.F.); #287722=ORIENTED_EDGE('',*,*,#206611,.F.); #287723=ORIENTED_EDGE('',*,*,#206613,.F.); #287724=ORIENTED_EDGE('',*,*,#206614,.T.); #287725=ORIENTED_EDGE('',*,*,#206615,.F.); #287726=ORIENTED_EDGE('',*,*,#206614,.F.); #287727=ORIENTED_EDGE('',*,*,#206616,.F.); #287728=ORIENTED_EDGE('',*,*,#206617,.T.); #287729=ORIENTED_EDGE('',*,*,#206618,.F.); #287730=ORIENTED_EDGE('',*,*,#206617,.F.); #287731=ORIENTED_EDGE('',*,*,#206619,.F.); #287732=ORIENTED_EDGE('',*,*,#206620,.T.); #287733=ORIENTED_EDGE('',*,*,#206621,.F.); #287734=ORIENTED_EDGE('',*,*,#206620,.F.); #287735=ORIENTED_EDGE('',*,*,#206622,.F.); #287736=ORIENTED_EDGE('',*,*,#206623,.T.); #287737=ORIENTED_EDGE('',*,*,#206624,.F.); #287738=ORIENTED_EDGE('',*,*,#206623,.F.); #287739=ORIENTED_EDGE('',*,*,#206625,.F.); #287740=ORIENTED_EDGE('',*,*,#206626,.T.); #287741=ORIENTED_EDGE('',*,*,#206627,.F.); #287742=ORIENTED_EDGE('',*,*,#206626,.F.); #287743=ORIENTED_EDGE('',*,*,#206628,.F.); #287744=ORIENTED_EDGE('',*,*,#206629,.T.); #287745=ORIENTED_EDGE('',*,*,#206630,.F.); #287746=ORIENTED_EDGE('',*,*,#206629,.F.); #287747=ORIENTED_EDGE('',*,*,#206631,.F.); #287748=ORIENTED_EDGE('',*,*,#206632,.T.); #287749=ORIENTED_EDGE('',*,*,#206633,.F.); #287750=ORIENTED_EDGE('',*,*,#206632,.F.); #287751=ORIENTED_EDGE('',*,*,#206634,.F.); #287752=ORIENTED_EDGE('',*,*,#206635,.T.); #287753=ORIENTED_EDGE('',*,*,#206636,.F.); #287754=ORIENTED_EDGE('',*,*,#206635,.F.); #287755=ORIENTED_EDGE('',*,*,#206637,.F.); #287756=ORIENTED_EDGE('',*,*,#206638,.T.); #287757=ORIENTED_EDGE('',*,*,#206639,.F.); #287758=ORIENTED_EDGE('',*,*,#206638,.F.); #287759=ORIENTED_EDGE('',*,*,#206640,.F.); #287760=ORIENTED_EDGE('',*,*,#206641,.T.); #287761=ORIENTED_EDGE('',*,*,#206642,.F.); #287762=ORIENTED_EDGE('',*,*,#206641,.F.); #287763=ORIENTED_EDGE('',*,*,#206643,.F.); #287764=ORIENTED_EDGE('',*,*,#206644,.T.); #287765=ORIENTED_EDGE('',*,*,#206645,.F.); #287766=ORIENTED_EDGE('',*,*,#206644,.F.); #287767=ORIENTED_EDGE('',*,*,#206646,.F.); #287768=ORIENTED_EDGE('',*,*,#206647,.T.); #287769=ORIENTED_EDGE('',*,*,#206648,.F.); #287770=ORIENTED_EDGE('',*,*,#206647,.F.); #287771=ORIENTED_EDGE('',*,*,#206649,.F.); #287772=ORIENTED_EDGE('',*,*,#206650,.T.); #287773=ORIENTED_EDGE('',*,*,#206651,.F.); #287774=ORIENTED_EDGE('',*,*,#206650,.F.); #287775=ORIENTED_EDGE('',*,*,#206652,.F.); #287776=ORIENTED_EDGE('',*,*,#206653,.T.); #287777=ORIENTED_EDGE('',*,*,#206654,.F.); #287778=ORIENTED_EDGE('',*,*,#206653,.F.); #287779=ORIENTED_EDGE('',*,*,#206655,.F.); #287780=ORIENTED_EDGE('',*,*,#206656,.T.); #287781=ORIENTED_EDGE('',*,*,#206657,.F.); #287782=ORIENTED_EDGE('',*,*,#206656,.F.); #287783=ORIENTED_EDGE('',*,*,#206658,.F.); #287784=ORIENTED_EDGE('',*,*,#206659,.T.); #287785=ORIENTED_EDGE('',*,*,#206660,.F.); #287786=ORIENTED_EDGE('',*,*,#206659,.F.); #287787=ORIENTED_EDGE('',*,*,#206661,.F.); #287788=ORIENTED_EDGE('',*,*,#206662,.T.); #287789=ORIENTED_EDGE('',*,*,#206663,.F.); #287790=ORIENTED_EDGE('',*,*,#206662,.F.); #287791=ORIENTED_EDGE('',*,*,#206664,.F.); #287792=ORIENTED_EDGE('',*,*,#206665,.T.); #287793=ORIENTED_EDGE('',*,*,#206666,.F.); #287794=ORIENTED_EDGE('',*,*,#206665,.F.); #287795=ORIENTED_EDGE('',*,*,#206667,.F.); #287796=ORIENTED_EDGE('',*,*,#206668,.T.); #287797=ORIENTED_EDGE('',*,*,#206669,.F.); #287798=ORIENTED_EDGE('',*,*,#206668,.F.); #287799=ORIENTED_EDGE('',*,*,#206670,.F.); #287800=ORIENTED_EDGE('',*,*,#206671,.T.); #287801=ORIENTED_EDGE('',*,*,#206672,.F.); #287802=ORIENTED_EDGE('',*,*,#206671,.F.); #287803=ORIENTED_EDGE('',*,*,#206673,.F.); #287804=ORIENTED_EDGE('',*,*,#206674,.T.); #287805=ORIENTED_EDGE('',*,*,#206675,.F.); #287806=ORIENTED_EDGE('',*,*,#206674,.F.); #287807=ORIENTED_EDGE('',*,*,#206676,.F.); #287808=ORIENTED_EDGE('',*,*,#206677,.T.); #287809=ORIENTED_EDGE('',*,*,#206678,.F.); #287810=ORIENTED_EDGE('',*,*,#206677,.F.); #287811=ORIENTED_EDGE('',*,*,#206679,.F.); #287812=ORIENTED_EDGE('',*,*,#206680,.T.); #287813=ORIENTED_EDGE('',*,*,#206681,.F.); #287814=ORIENTED_EDGE('',*,*,#206680,.F.); #287815=ORIENTED_EDGE('',*,*,#206682,.F.); #287816=ORIENTED_EDGE('',*,*,#206683,.T.); #287817=ORIENTED_EDGE('',*,*,#206684,.F.); #287818=ORIENTED_EDGE('',*,*,#206683,.F.); #287819=ORIENTED_EDGE('',*,*,#206685,.F.); #287820=ORIENTED_EDGE('',*,*,#206686,.T.); #287821=ORIENTED_EDGE('',*,*,#206687,.F.); #287822=ORIENTED_EDGE('',*,*,#206686,.F.); #287823=ORIENTED_EDGE('',*,*,#206688,.F.); #287824=ORIENTED_EDGE('',*,*,#206689,.T.); #287825=ORIENTED_EDGE('',*,*,#206690,.F.); #287826=ORIENTED_EDGE('',*,*,#206689,.F.); #287827=ORIENTED_EDGE('',*,*,#206691,.F.); #287828=ORIENTED_EDGE('',*,*,#206692,.T.); #287829=ORIENTED_EDGE('',*,*,#206693,.F.); #287830=ORIENTED_EDGE('',*,*,#206692,.F.); #287831=ORIENTED_EDGE('',*,*,#206694,.F.); #287832=ORIENTED_EDGE('',*,*,#206695,.T.); #287833=ORIENTED_EDGE('',*,*,#206696,.F.); #287834=ORIENTED_EDGE('',*,*,#206695,.F.); #287835=ORIENTED_EDGE('',*,*,#206697,.F.); #287836=ORIENTED_EDGE('',*,*,#206698,.T.); #287837=ORIENTED_EDGE('',*,*,#206699,.F.); #287838=ORIENTED_EDGE('',*,*,#206698,.F.); #287839=ORIENTED_EDGE('',*,*,#206700,.F.); #287840=ORIENTED_EDGE('',*,*,#206701,.T.); #287841=ORIENTED_EDGE('',*,*,#206702,.F.); #287842=ORIENTED_EDGE('',*,*,#206701,.F.); #287843=ORIENTED_EDGE('',*,*,#206703,.F.); #287844=ORIENTED_EDGE('',*,*,#206704,.T.); #287845=ORIENTED_EDGE('',*,*,#206705,.F.); #287846=ORIENTED_EDGE('',*,*,#206704,.F.); #287847=ORIENTED_EDGE('',*,*,#206706,.F.); #287848=ORIENTED_EDGE('',*,*,#206707,.T.); #287849=ORIENTED_EDGE('',*,*,#206708,.F.); #287850=ORIENTED_EDGE('',*,*,#206707,.F.); #287851=ORIENTED_EDGE('',*,*,#206709,.F.); #287852=ORIENTED_EDGE('',*,*,#206710,.T.); #287853=ORIENTED_EDGE('',*,*,#206711,.F.); #287854=ORIENTED_EDGE('',*,*,#206710,.F.); #287855=ORIENTED_EDGE('',*,*,#206712,.F.); #287856=ORIENTED_EDGE('',*,*,#206713,.T.); #287857=ORIENTED_EDGE('',*,*,#206714,.F.); #287858=ORIENTED_EDGE('',*,*,#206713,.F.); #287859=ORIENTED_EDGE('',*,*,#206715,.F.); #287860=ORIENTED_EDGE('',*,*,#206716,.T.); #287861=ORIENTED_EDGE('',*,*,#206717,.F.); #287862=ORIENTED_EDGE('',*,*,#206716,.F.); #287863=ORIENTED_EDGE('',*,*,#206718,.F.); #287864=ORIENTED_EDGE('',*,*,#206719,.T.); #287865=ORIENTED_EDGE('',*,*,#206720,.F.); #287866=ORIENTED_EDGE('',*,*,#206719,.F.); #287867=ORIENTED_EDGE('',*,*,#206721,.F.); #287868=ORIENTED_EDGE('',*,*,#206722,.T.); #287869=ORIENTED_EDGE('',*,*,#206723,.F.); #287870=ORIENTED_EDGE('',*,*,#206722,.F.); #287871=ORIENTED_EDGE('',*,*,#206724,.F.); #287872=ORIENTED_EDGE('',*,*,#206725,.T.); #287873=ORIENTED_EDGE('',*,*,#206726,.F.); #287874=ORIENTED_EDGE('',*,*,#206725,.F.); #287875=ORIENTED_EDGE('',*,*,#206727,.F.); #287876=ORIENTED_EDGE('',*,*,#206728,.T.); #287877=ORIENTED_EDGE('',*,*,#206729,.F.); #287878=ORIENTED_EDGE('',*,*,#206728,.F.); #287879=ORIENTED_EDGE('',*,*,#206730,.F.); #287880=ORIENTED_EDGE('',*,*,#206731,.T.); #287881=ORIENTED_EDGE('',*,*,#206732,.F.); #287882=ORIENTED_EDGE('',*,*,#206731,.F.); #287883=ORIENTED_EDGE('',*,*,#206733,.F.); #287884=ORIENTED_EDGE('',*,*,#206734,.T.); #287885=ORIENTED_EDGE('',*,*,#206735,.F.); #287886=ORIENTED_EDGE('',*,*,#206734,.F.); #287887=ORIENTED_EDGE('',*,*,#206736,.F.); #287888=ORIENTED_EDGE('',*,*,#206737,.T.); #287889=ORIENTED_EDGE('',*,*,#206738,.F.); #287890=ORIENTED_EDGE('',*,*,#206737,.F.); #287891=ORIENTED_EDGE('',*,*,#206739,.F.); #287892=ORIENTED_EDGE('',*,*,#206740,.T.); #287893=ORIENTED_EDGE('',*,*,#206741,.F.); #287894=ORIENTED_EDGE('',*,*,#206740,.F.); #287895=ORIENTED_EDGE('',*,*,#206742,.F.); #287896=ORIENTED_EDGE('',*,*,#206743,.T.); #287897=ORIENTED_EDGE('',*,*,#206744,.F.); #287898=ORIENTED_EDGE('',*,*,#206743,.F.); #287899=ORIENTED_EDGE('',*,*,#206745,.F.); #287900=ORIENTED_EDGE('',*,*,#206746,.T.); #287901=ORIENTED_EDGE('',*,*,#206747,.F.); #287902=ORIENTED_EDGE('',*,*,#206746,.F.); #287903=ORIENTED_EDGE('',*,*,#206748,.F.); #287904=ORIENTED_EDGE('',*,*,#206749,.T.); #287905=ORIENTED_EDGE('',*,*,#206750,.F.); #287906=ORIENTED_EDGE('',*,*,#206749,.F.); #287907=ORIENTED_EDGE('',*,*,#206751,.F.); #287908=ORIENTED_EDGE('',*,*,#206752,.T.); #287909=ORIENTED_EDGE('',*,*,#206753,.F.); #287910=ORIENTED_EDGE('',*,*,#206752,.F.); #287911=ORIENTED_EDGE('',*,*,#206754,.F.); #287912=ORIENTED_EDGE('',*,*,#206755,.T.); #287913=ORIENTED_EDGE('',*,*,#206756,.F.); #287914=ORIENTED_EDGE('',*,*,#206755,.F.); #287915=ORIENTED_EDGE('',*,*,#206757,.F.); #287916=ORIENTED_EDGE('',*,*,#206758,.T.); #287917=ORIENTED_EDGE('',*,*,#206759,.F.); #287918=ORIENTED_EDGE('',*,*,#206758,.F.); #287919=ORIENTED_EDGE('',*,*,#206760,.F.); #287920=ORIENTED_EDGE('',*,*,#206761,.T.); #287921=ORIENTED_EDGE('',*,*,#206762,.F.); #287922=ORIENTED_EDGE('',*,*,#206761,.F.); #287923=ORIENTED_EDGE('',*,*,#206763,.F.); #287924=ORIENTED_EDGE('',*,*,#206764,.T.); #287925=ORIENTED_EDGE('',*,*,#206765,.F.); #287926=ORIENTED_EDGE('',*,*,#206764,.F.); #287927=ORIENTED_EDGE('',*,*,#206766,.F.); #287928=ORIENTED_EDGE('',*,*,#206767,.T.); #287929=ORIENTED_EDGE('',*,*,#206768,.F.); #287930=ORIENTED_EDGE('',*,*,#206767,.F.); #287931=ORIENTED_EDGE('',*,*,#206769,.F.); #287932=ORIENTED_EDGE('',*,*,#206770,.T.); #287933=ORIENTED_EDGE('',*,*,#206771,.F.); #287934=ORIENTED_EDGE('',*,*,#206770,.F.); #287935=ORIENTED_EDGE('',*,*,#206772,.F.); #287936=ORIENTED_EDGE('',*,*,#206773,.T.); #287937=ORIENTED_EDGE('',*,*,#206774,.F.); #287938=ORIENTED_EDGE('',*,*,#206773,.F.); #287939=ORIENTED_EDGE('',*,*,#206775,.F.); #287940=ORIENTED_EDGE('',*,*,#206776,.T.); #287941=ORIENTED_EDGE('',*,*,#206777,.F.); #287942=ORIENTED_EDGE('',*,*,#206776,.F.); #287943=ORIENTED_EDGE('',*,*,#206778,.F.); #287944=ORIENTED_EDGE('',*,*,#206779,.T.); #287945=ORIENTED_EDGE('',*,*,#206780,.F.); #287946=ORIENTED_EDGE('',*,*,#206779,.F.); #287947=ORIENTED_EDGE('',*,*,#206781,.F.); #287948=ORIENTED_EDGE('',*,*,#206782,.T.); #287949=ORIENTED_EDGE('',*,*,#206783,.F.); #287950=ORIENTED_EDGE('',*,*,#206782,.F.); #287951=ORIENTED_EDGE('',*,*,#206784,.F.); #287952=ORIENTED_EDGE('',*,*,#206785,.T.); #287953=ORIENTED_EDGE('',*,*,#206786,.F.); #287954=ORIENTED_EDGE('',*,*,#206785,.F.); #287955=ORIENTED_EDGE('',*,*,#206787,.F.); #287956=ORIENTED_EDGE('',*,*,#206788,.T.); #287957=ORIENTED_EDGE('',*,*,#206789,.F.); #287958=ORIENTED_EDGE('',*,*,#206788,.F.); #287959=ORIENTED_EDGE('',*,*,#206790,.F.); #287960=ORIENTED_EDGE('',*,*,#206791,.T.); #287961=ORIENTED_EDGE('',*,*,#206792,.F.); #287962=ORIENTED_EDGE('',*,*,#206791,.F.); #287963=ORIENTED_EDGE('',*,*,#206793,.F.); #287964=ORIENTED_EDGE('',*,*,#206794,.T.); #287965=ORIENTED_EDGE('',*,*,#206795,.F.); #287966=ORIENTED_EDGE('',*,*,#206794,.F.); #287967=ORIENTED_EDGE('',*,*,#206796,.F.); #287968=ORIENTED_EDGE('',*,*,#206797,.T.); #287969=ORIENTED_EDGE('',*,*,#206798,.F.); #287970=ORIENTED_EDGE('',*,*,#206797,.F.); #287971=ORIENTED_EDGE('',*,*,#206799,.F.); #287972=ORIENTED_EDGE('',*,*,#206800,.T.); #287973=ORIENTED_EDGE('',*,*,#206801,.F.); #287974=ORIENTED_EDGE('',*,*,#206800,.F.); #287975=ORIENTED_EDGE('',*,*,#206802,.F.); #287976=ORIENTED_EDGE('',*,*,#206803,.T.); #287977=ORIENTED_EDGE('',*,*,#206804,.F.); #287978=ORIENTED_EDGE('',*,*,#206803,.F.); #287979=ORIENTED_EDGE('',*,*,#206805,.F.); #287980=ORIENTED_EDGE('',*,*,#206806,.T.); #287981=ORIENTED_EDGE('',*,*,#206807,.F.); #287982=ORIENTED_EDGE('',*,*,#206806,.F.); #287983=ORIENTED_EDGE('',*,*,#206808,.F.); #287984=ORIENTED_EDGE('',*,*,#206809,.T.); #287985=ORIENTED_EDGE('',*,*,#206810,.F.); #287986=ORIENTED_EDGE('',*,*,#206809,.F.); #287987=ORIENTED_EDGE('',*,*,#206811,.F.); #287988=ORIENTED_EDGE('',*,*,#206812,.T.); #287989=ORIENTED_EDGE('',*,*,#206813,.F.); #287990=ORIENTED_EDGE('',*,*,#206812,.F.); #287991=ORIENTED_EDGE('',*,*,#206814,.F.); #287992=ORIENTED_EDGE('',*,*,#206815,.T.); #287993=ORIENTED_EDGE('',*,*,#206816,.F.); #287994=ORIENTED_EDGE('',*,*,#206815,.F.); #287995=ORIENTED_EDGE('',*,*,#206817,.F.); #287996=ORIENTED_EDGE('',*,*,#206818,.T.); #287997=ORIENTED_EDGE('',*,*,#206819,.F.); #287998=ORIENTED_EDGE('',*,*,#206818,.F.); #287999=ORIENTED_EDGE('',*,*,#206820,.F.); #288000=ORIENTED_EDGE('',*,*,#206821,.T.); #288001=ORIENTED_EDGE('',*,*,#206822,.F.); #288002=ORIENTED_EDGE('',*,*,#206821,.F.); #288003=ORIENTED_EDGE('',*,*,#206823,.F.); #288004=ORIENTED_EDGE('',*,*,#206824,.T.); #288005=ORIENTED_EDGE('',*,*,#206825,.F.); #288006=ORIENTED_EDGE('',*,*,#206824,.F.); #288007=ORIENTED_EDGE('',*,*,#206826,.F.); #288008=ORIENTED_EDGE('',*,*,#206827,.T.); #288009=ORIENTED_EDGE('',*,*,#206828,.F.); #288010=ORIENTED_EDGE('',*,*,#206827,.F.); #288011=ORIENTED_EDGE('',*,*,#206829,.F.); #288012=ORIENTED_EDGE('',*,*,#206830,.T.); #288013=ORIENTED_EDGE('',*,*,#206831,.F.); #288014=ORIENTED_EDGE('',*,*,#206830,.F.); #288015=ORIENTED_EDGE('',*,*,#206832,.F.); #288016=ORIENTED_EDGE('',*,*,#206833,.T.); #288017=ORIENTED_EDGE('',*,*,#206834,.F.); #288018=ORIENTED_EDGE('',*,*,#206833,.F.); #288019=ORIENTED_EDGE('',*,*,#206835,.F.); #288020=ORIENTED_EDGE('',*,*,#206836,.T.); #288021=ORIENTED_EDGE('',*,*,#206837,.F.); #288022=ORIENTED_EDGE('',*,*,#206836,.F.); #288023=ORIENTED_EDGE('',*,*,#206838,.F.); #288024=ORIENTED_EDGE('',*,*,#206839,.T.); #288025=ORIENTED_EDGE('',*,*,#206840,.F.); #288026=ORIENTED_EDGE('',*,*,#206839,.F.); #288027=ORIENTED_EDGE('',*,*,#206841,.F.); #288028=ORIENTED_EDGE('',*,*,#206842,.T.); #288029=ORIENTED_EDGE('',*,*,#206843,.F.); #288030=ORIENTED_EDGE('',*,*,#206842,.F.); #288031=ORIENTED_EDGE('',*,*,#206844,.F.); #288032=ORIENTED_EDGE('',*,*,#206845,.T.); #288033=ORIENTED_EDGE('',*,*,#206846,.F.); #288034=ORIENTED_EDGE('',*,*,#206845,.F.); #288035=ORIENTED_EDGE('',*,*,#206847,.F.); #288036=ORIENTED_EDGE('',*,*,#206848,.T.); #288037=ORIENTED_EDGE('',*,*,#206849,.F.); #288038=ORIENTED_EDGE('',*,*,#206848,.F.); #288039=ORIENTED_EDGE('',*,*,#206850,.F.); #288040=ORIENTED_EDGE('',*,*,#206851,.T.); #288041=ORIENTED_EDGE('',*,*,#206852,.F.); #288042=ORIENTED_EDGE('',*,*,#206851,.F.); #288043=ORIENTED_EDGE('',*,*,#206853,.F.); #288044=ORIENTED_EDGE('',*,*,#206854,.T.); #288045=ORIENTED_EDGE('',*,*,#206855,.F.); #288046=ORIENTED_EDGE('',*,*,#206854,.F.); #288047=ORIENTED_EDGE('',*,*,#206856,.F.); #288048=ORIENTED_EDGE('',*,*,#206857,.T.); #288049=ORIENTED_EDGE('',*,*,#206858,.F.); #288050=ORIENTED_EDGE('',*,*,#206857,.F.); #288051=ORIENTED_EDGE('',*,*,#206859,.F.); #288052=ORIENTED_EDGE('',*,*,#206860,.T.); #288053=ORIENTED_EDGE('',*,*,#206861,.F.); #288054=ORIENTED_EDGE('',*,*,#206860,.F.); #288055=ORIENTED_EDGE('',*,*,#206862,.F.); #288056=ORIENTED_EDGE('',*,*,#206863,.T.); #288057=ORIENTED_EDGE('',*,*,#206864,.F.); #288058=ORIENTED_EDGE('',*,*,#206863,.F.); #288059=ORIENTED_EDGE('',*,*,#206865,.F.); #288060=ORIENTED_EDGE('',*,*,#206866,.T.); #288061=ORIENTED_EDGE('',*,*,#206867,.F.); #288062=ORIENTED_EDGE('',*,*,#206866,.F.); #288063=ORIENTED_EDGE('',*,*,#206868,.F.); #288064=ORIENTED_EDGE('',*,*,#206869,.T.); #288065=ORIENTED_EDGE('',*,*,#206870,.F.); #288066=ORIENTED_EDGE('',*,*,#206869,.F.); #288067=ORIENTED_EDGE('',*,*,#206871,.F.); #288068=ORIENTED_EDGE('',*,*,#206872,.T.); #288069=ORIENTED_EDGE('',*,*,#206873,.F.); #288070=ORIENTED_EDGE('',*,*,#206872,.F.); #288071=ORIENTED_EDGE('',*,*,#206874,.F.); #288072=ORIENTED_EDGE('',*,*,#206875,.T.); #288073=ORIENTED_EDGE('',*,*,#206876,.F.); #288074=ORIENTED_EDGE('',*,*,#206875,.F.); #288075=ORIENTED_EDGE('',*,*,#206877,.F.); #288076=ORIENTED_EDGE('',*,*,#206878,.T.); #288077=ORIENTED_EDGE('',*,*,#206879,.F.); #288078=ORIENTED_EDGE('',*,*,#206878,.F.); #288079=ORIENTED_EDGE('',*,*,#206880,.F.); #288080=ORIENTED_EDGE('',*,*,#206881,.T.); #288081=ORIENTED_EDGE('',*,*,#206882,.F.); #288082=ORIENTED_EDGE('',*,*,#206881,.F.); #288083=ORIENTED_EDGE('',*,*,#206883,.F.); #288084=ORIENTED_EDGE('',*,*,#206884,.T.); #288085=ORIENTED_EDGE('',*,*,#206885,.F.); #288086=ORIENTED_EDGE('',*,*,#206884,.F.); #288087=ORIENTED_EDGE('',*,*,#206886,.F.); #288088=ORIENTED_EDGE('',*,*,#206887,.T.); #288089=ORIENTED_EDGE('',*,*,#206888,.F.); #288090=ORIENTED_EDGE('',*,*,#206887,.F.); #288091=ORIENTED_EDGE('',*,*,#206889,.F.); #288092=ORIENTED_EDGE('',*,*,#206890,.T.); #288093=ORIENTED_EDGE('',*,*,#206891,.F.); #288094=ORIENTED_EDGE('',*,*,#206890,.F.); #288095=ORIENTED_EDGE('',*,*,#206892,.F.); #288096=ORIENTED_EDGE('',*,*,#206893,.T.); #288097=ORIENTED_EDGE('',*,*,#206894,.F.); #288098=ORIENTED_EDGE('',*,*,#206893,.F.); #288099=ORIENTED_EDGE('',*,*,#206895,.F.); #288100=ORIENTED_EDGE('',*,*,#206896,.T.); #288101=ORIENTED_EDGE('',*,*,#206897,.F.); #288102=ORIENTED_EDGE('',*,*,#206896,.F.); #288103=ORIENTED_EDGE('',*,*,#206898,.F.); #288104=ORIENTED_EDGE('',*,*,#206899,.T.); #288105=ORIENTED_EDGE('',*,*,#206900,.F.); #288106=ORIENTED_EDGE('',*,*,#206899,.F.); #288107=ORIENTED_EDGE('',*,*,#206901,.F.); #288108=ORIENTED_EDGE('',*,*,#206902,.T.); #288109=ORIENTED_EDGE('',*,*,#206903,.F.); #288110=ORIENTED_EDGE('',*,*,#206902,.F.); #288111=ORIENTED_EDGE('',*,*,#206904,.F.); #288112=ORIENTED_EDGE('',*,*,#206905,.T.); #288113=ORIENTED_EDGE('',*,*,#206906,.F.); #288114=ORIENTED_EDGE('',*,*,#206905,.F.); #288115=ORIENTED_EDGE('',*,*,#206907,.F.); #288116=ORIENTED_EDGE('',*,*,#206908,.T.); #288117=ORIENTED_EDGE('',*,*,#206909,.F.); #288118=ORIENTED_EDGE('',*,*,#206908,.F.); #288119=ORIENTED_EDGE('',*,*,#206910,.F.); #288120=ORIENTED_EDGE('',*,*,#206911,.T.); #288121=ORIENTED_EDGE('',*,*,#206912,.F.); #288122=ORIENTED_EDGE('',*,*,#206911,.F.); #288123=ORIENTED_EDGE('',*,*,#206913,.F.); #288124=ORIENTED_EDGE('',*,*,#206914,.T.); #288125=ORIENTED_EDGE('',*,*,#206915,.F.); #288126=ORIENTED_EDGE('',*,*,#206914,.F.); #288127=ORIENTED_EDGE('',*,*,#206916,.F.); #288128=ORIENTED_EDGE('',*,*,#206917,.T.); #288129=ORIENTED_EDGE('',*,*,#206918,.F.); #288130=ORIENTED_EDGE('',*,*,#206917,.F.); #288131=ORIENTED_EDGE('',*,*,#206919,.F.); #288132=ORIENTED_EDGE('',*,*,#206920,.T.); #288133=ORIENTED_EDGE('',*,*,#206921,.F.); #288134=ORIENTED_EDGE('',*,*,#206920,.F.); #288135=ORIENTED_EDGE('',*,*,#206922,.F.); #288136=ORIENTED_EDGE('',*,*,#206923,.T.); #288137=ORIENTED_EDGE('',*,*,#206924,.F.); #288138=ORIENTED_EDGE('',*,*,#206923,.F.); #288139=ORIENTED_EDGE('',*,*,#206925,.F.); #288140=ORIENTED_EDGE('',*,*,#206926,.T.); #288141=ORIENTED_EDGE('',*,*,#206927,.F.); #288142=ORIENTED_EDGE('',*,*,#206926,.F.); #288143=ORIENTED_EDGE('',*,*,#206928,.F.); #288144=ORIENTED_EDGE('',*,*,#206929,.T.); #288145=ORIENTED_EDGE('',*,*,#206930,.F.); #288146=ORIENTED_EDGE('',*,*,#206929,.F.); #288147=ORIENTED_EDGE('',*,*,#206931,.F.); #288148=ORIENTED_EDGE('',*,*,#206932,.T.); #288149=ORIENTED_EDGE('',*,*,#206933,.F.); #288150=ORIENTED_EDGE('',*,*,#206932,.F.); #288151=ORIENTED_EDGE('',*,*,#206934,.F.); #288152=ORIENTED_EDGE('',*,*,#206935,.T.); #288153=ORIENTED_EDGE('',*,*,#206936,.F.); #288154=ORIENTED_EDGE('',*,*,#206935,.F.); #288155=ORIENTED_EDGE('',*,*,#206937,.F.); #288156=ORIENTED_EDGE('',*,*,#206938,.T.); #288157=ORIENTED_EDGE('',*,*,#206939,.F.); #288158=ORIENTED_EDGE('',*,*,#206938,.F.); #288159=ORIENTED_EDGE('',*,*,#206940,.F.); #288160=ORIENTED_EDGE('',*,*,#206941,.T.); #288161=ORIENTED_EDGE('',*,*,#206942,.F.); #288162=ORIENTED_EDGE('',*,*,#206941,.F.); #288163=ORIENTED_EDGE('',*,*,#206943,.F.); #288164=ORIENTED_EDGE('',*,*,#206944,.T.); #288165=ORIENTED_EDGE('',*,*,#206945,.F.); #288166=ORIENTED_EDGE('',*,*,#206944,.F.); #288167=ORIENTED_EDGE('',*,*,#206946,.F.); #288168=ORIENTED_EDGE('',*,*,#206947,.T.); #288169=ORIENTED_EDGE('',*,*,#206948,.F.); #288170=ORIENTED_EDGE('',*,*,#206947,.F.); #288171=ORIENTED_EDGE('',*,*,#206949,.F.); #288172=ORIENTED_EDGE('',*,*,#206950,.T.); #288173=ORIENTED_EDGE('',*,*,#206951,.F.); #288174=ORIENTED_EDGE('',*,*,#206950,.F.); #288175=ORIENTED_EDGE('',*,*,#206952,.F.); #288176=ORIENTED_EDGE('',*,*,#206953,.T.); #288177=ORIENTED_EDGE('',*,*,#206954,.F.); #288178=ORIENTED_EDGE('',*,*,#206953,.F.); #288179=ORIENTED_EDGE('',*,*,#206955,.F.); #288180=ORIENTED_EDGE('',*,*,#206956,.T.); #288181=ORIENTED_EDGE('',*,*,#206957,.F.); #288182=ORIENTED_EDGE('',*,*,#206956,.F.); #288183=ORIENTED_EDGE('',*,*,#206958,.F.); #288184=ORIENTED_EDGE('',*,*,#206959,.T.); #288185=ORIENTED_EDGE('',*,*,#206960,.F.); #288186=ORIENTED_EDGE('',*,*,#206959,.F.); #288187=ORIENTED_EDGE('',*,*,#206961,.F.); #288188=ORIENTED_EDGE('',*,*,#206962,.T.); #288189=ORIENTED_EDGE('',*,*,#206963,.F.); #288190=ORIENTED_EDGE('',*,*,#206962,.F.); #288191=ORIENTED_EDGE('',*,*,#206964,.F.); #288192=ORIENTED_EDGE('',*,*,#206965,.T.); #288193=ORIENTED_EDGE('',*,*,#206966,.F.); #288194=ORIENTED_EDGE('',*,*,#206965,.F.); #288195=ORIENTED_EDGE('',*,*,#206967,.F.); #288196=ORIENTED_EDGE('',*,*,#206968,.T.); #288197=ORIENTED_EDGE('',*,*,#206969,.F.); #288198=ORIENTED_EDGE('',*,*,#206968,.F.); #288199=ORIENTED_EDGE('',*,*,#206970,.F.); #288200=ORIENTED_EDGE('',*,*,#206971,.T.); #288201=ORIENTED_EDGE('',*,*,#206972,.F.); #288202=ORIENTED_EDGE('',*,*,#206971,.F.); #288203=ORIENTED_EDGE('',*,*,#206973,.F.); #288204=ORIENTED_EDGE('',*,*,#206974,.T.); #288205=ORIENTED_EDGE('',*,*,#206975,.F.); #288206=ORIENTED_EDGE('',*,*,#206974,.F.); #288207=ORIENTED_EDGE('',*,*,#206976,.F.); #288208=ORIENTED_EDGE('',*,*,#206977,.T.); #288209=ORIENTED_EDGE('',*,*,#206978,.F.); #288210=ORIENTED_EDGE('',*,*,#206977,.F.); #288211=ORIENTED_EDGE('',*,*,#206979,.F.); #288212=ORIENTED_EDGE('',*,*,#206980,.T.); #288213=ORIENTED_EDGE('',*,*,#206981,.F.); #288214=ORIENTED_EDGE('',*,*,#206980,.F.); #288215=ORIENTED_EDGE('',*,*,#206982,.F.); #288216=ORIENTED_EDGE('',*,*,#206983,.T.); #288217=ORIENTED_EDGE('',*,*,#206984,.F.); #288218=ORIENTED_EDGE('',*,*,#206983,.F.); #288219=ORIENTED_EDGE('',*,*,#206985,.F.); #288220=ORIENTED_EDGE('',*,*,#206986,.T.); #288221=ORIENTED_EDGE('',*,*,#206987,.F.); #288222=ORIENTED_EDGE('',*,*,#206986,.F.); #288223=ORIENTED_EDGE('',*,*,#206988,.F.); #288224=ORIENTED_EDGE('',*,*,#206989,.T.); #288225=ORIENTED_EDGE('',*,*,#206990,.F.); #288226=ORIENTED_EDGE('',*,*,#206989,.F.); #288227=ORIENTED_EDGE('',*,*,#206991,.F.); #288228=ORIENTED_EDGE('',*,*,#206992,.T.); #288229=ORIENTED_EDGE('',*,*,#206993,.F.); #288230=ORIENTED_EDGE('',*,*,#206992,.F.); #288231=ORIENTED_EDGE('',*,*,#206994,.F.); #288232=ORIENTED_EDGE('',*,*,#206995,.T.); #288233=ORIENTED_EDGE('',*,*,#206996,.F.); #288234=ORIENTED_EDGE('',*,*,#206995,.F.); #288235=ORIENTED_EDGE('',*,*,#206997,.F.); #288236=ORIENTED_EDGE('',*,*,#206998,.T.); #288237=ORIENTED_EDGE('',*,*,#206999,.F.); #288238=ORIENTED_EDGE('',*,*,#206998,.F.); #288239=ORIENTED_EDGE('',*,*,#207000,.F.); #288240=ORIENTED_EDGE('',*,*,#207001,.T.); #288241=ORIENTED_EDGE('',*,*,#207002,.F.); #288242=ORIENTED_EDGE('',*,*,#207001,.F.); #288243=ORIENTED_EDGE('',*,*,#207003,.F.); #288244=ORIENTED_EDGE('',*,*,#207004,.T.); #288245=ORIENTED_EDGE('',*,*,#207005,.F.); #288246=ORIENTED_EDGE('',*,*,#207004,.F.); #288247=ORIENTED_EDGE('',*,*,#207006,.F.); #288248=ORIENTED_EDGE('',*,*,#207007,.T.); #288249=ORIENTED_EDGE('',*,*,#207008,.F.); #288250=ORIENTED_EDGE('',*,*,#207007,.F.); #288251=ORIENTED_EDGE('',*,*,#207009,.F.); #288252=ORIENTED_EDGE('',*,*,#207010,.T.); #288253=ORIENTED_EDGE('',*,*,#207011,.F.); #288254=ORIENTED_EDGE('',*,*,#207010,.F.); #288255=ORIENTED_EDGE('',*,*,#207012,.F.); #288256=ORIENTED_EDGE('',*,*,#207013,.T.); #288257=ORIENTED_EDGE('',*,*,#207014,.F.); #288258=ORIENTED_EDGE('',*,*,#207013,.F.); #288259=ORIENTED_EDGE('',*,*,#207015,.F.); #288260=ORIENTED_EDGE('',*,*,#207016,.T.); #288261=ORIENTED_EDGE('',*,*,#207017,.F.); #288262=ORIENTED_EDGE('',*,*,#207016,.F.); #288263=ORIENTED_EDGE('',*,*,#207018,.F.); #288264=ORIENTED_EDGE('',*,*,#207019,.T.); #288265=ORIENTED_EDGE('',*,*,#207020,.F.); #288266=ORIENTED_EDGE('',*,*,#207019,.F.); #288267=ORIENTED_EDGE('',*,*,#207021,.F.); #288268=ORIENTED_EDGE('',*,*,#207022,.T.); #288269=ORIENTED_EDGE('',*,*,#207023,.F.); #288270=ORIENTED_EDGE('',*,*,#207022,.F.); #288271=ORIENTED_EDGE('',*,*,#207024,.F.); #288272=ORIENTED_EDGE('',*,*,#207025,.T.); #288273=ORIENTED_EDGE('',*,*,#207026,.F.); #288274=ORIENTED_EDGE('',*,*,#207025,.F.); #288275=ORIENTED_EDGE('',*,*,#207027,.F.); #288276=ORIENTED_EDGE('',*,*,#207028,.T.); #288277=ORIENTED_EDGE('',*,*,#207029,.F.); #288278=ORIENTED_EDGE('',*,*,#207028,.F.); #288279=ORIENTED_EDGE('',*,*,#207030,.F.); #288280=ORIENTED_EDGE('',*,*,#207031,.T.); #288281=ORIENTED_EDGE('',*,*,#207032,.F.); #288282=ORIENTED_EDGE('',*,*,#207031,.F.); #288283=ORIENTED_EDGE('',*,*,#207033,.F.); #288284=ORIENTED_EDGE('',*,*,#207034,.T.); #288285=ORIENTED_EDGE('',*,*,#207035,.F.); #288286=ORIENTED_EDGE('',*,*,#207034,.F.); #288287=ORIENTED_EDGE('',*,*,#207036,.F.); #288288=ORIENTED_EDGE('',*,*,#207037,.T.); #288289=ORIENTED_EDGE('',*,*,#207038,.F.); #288290=ORIENTED_EDGE('',*,*,#207037,.F.); #288291=ORIENTED_EDGE('',*,*,#207039,.F.); #288292=ORIENTED_EDGE('',*,*,#207040,.T.); #288293=ORIENTED_EDGE('',*,*,#207041,.F.); #288294=ORIENTED_EDGE('',*,*,#207040,.F.); #288295=ORIENTED_EDGE('',*,*,#207042,.F.); #288296=ORIENTED_EDGE('',*,*,#207043,.T.); #288297=ORIENTED_EDGE('',*,*,#207044,.F.); #288298=ORIENTED_EDGE('',*,*,#207043,.F.); #288299=ORIENTED_EDGE('',*,*,#207045,.F.); #288300=ORIENTED_EDGE('',*,*,#207046,.T.); #288301=ORIENTED_EDGE('',*,*,#207047,.F.); #288302=ORIENTED_EDGE('',*,*,#207046,.F.); #288303=ORIENTED_EDGE('',*,*,#207048,.F.); #288304=ORIENTED_EDGE('',*,*,#207049,.T.); #288305=ORIENTED_EDGE('',*,*,#207050,.F.); #288306=ORIENTED_EDGE('',*,*,#207049,.F.); #288307=ORIENTED_EDGE('',*,*,#207051,.F.); #288308=ORIENTED_EDGE('',*,*,#207052,.T.); #288309=ORIENTED_EDGE('',*,*,#207053,.F.); #288310=ORIENTED_EDGE('',*,*,#207052,.F.); #288311=ORIENTED_EDGE('',*,*,#207054,.F.); #288312=ORIENTED_EDGE('',*,*,#207055,.T.); #288313=ORIENTED_EDGE('',*,*,#207056,.F.); #288314=ORIENTED_EDGE('',*,*,#207055,.F.); #288315=ORIENTED_EDGE('',*,*,#207057,.F.); #288316=ORIENTED_EDGE('',*,*,#207058,.T.); #288317=ORIENTED_EDGE('',*,*,#207059,.F.); #288318=ORIENTED_EDGE('',*,*,#207058,.F.); #288319=ORIENTED_EDGE('',*,*,#207060,.F.); #288320=ORIENTED_EDGE('',*,*,#207061,.F.); #288321=ORIENTED_EDGE('',*,*,#207062,.F.); #288322=ORIENTED_EDGE('',*,*,#207063,.T.); #288323=ORIENTED_EDGE('',*,*,#207064,.F.); #288324=ORIENTED_EDGE('',*,*,#207063,.F.); #288325=ORIENTED_EDGE('',*,*,#207065,.F.); #288326=ORIENTED_EDGE('',*,*,#207066,.T.); #288327=ORIENTED_EDGE('',*,*,#207067,.F.); #288328=ORIENTED_EDGE('',*,*,#207066,.F.); #288329=ORIENTED_EDGE('',*,*,#207068,.F.); #288330=ORIENTED_EDGE('',*,*,#207069,.T.); #288331=ORIENTED_EDGE('',*,*,#207070,.F.); #288332=ORIENTED_EDGE('',*,*,#207069,.F.); #288333=ORIENTED_EDGE('',*,*,#207071,.F.); #288334=ORIENTED_EDGE('',*,*,#207061,.T.); #288335=ORIENTED_EDGE('',*,*,#207072,.F.); #288336=ORIENTED_EDGE('',*,*,#207073,.T.); #288337=ORIENTED_EDGE('',*,*,#207074,.F.); #288338=ORIENTED_EDGE('',*,*,#207073,.F.); #288339=ORIENTED_EDGE('',*,*,#207075,.F.); #288340=ORIENTED_EDGE('',*,*,#207076,.F.); #288341=ORIENTED_EDGE('',*,*,#207077,.F.); #288342=ORIENTED_EDGE('',*,*,#207078,.T.); #288343=ORIENTED_EDGE('',*,*,#207079,.F.); #288344=ORIENTED_EDGE('',*,*,#207078,.F.); #288345=ORIENTED_EDGE('',*,*,#207080,.F.); #288346=ORIENTED_EDGE('',*,*,#207081,.T.); #288347=ORIENTED_EDGE('',*,*,#207082,.F.); #288348=ORIENTED_EDGE('',*,*,#207081,.F.); #288349=ORIENTED_EDGE('',*,*,#207083,.F.); #288350=ORIENTED_EDGE('',*,*,#207084,.T.); #288351=ORIENTED_EDGE('',*,*,#207085,.F.); #288352=ORIENTED_EDGE('',*,*,#207084,.F.); #288353=ORIENTED_EDGE('',*,*,#207086,.F.); #288354=ORIENTED_EDGE('',*,*,#207076,.T.); #288355=ORIENTED_EDGE('',*,*,#207087,.F.); #288356=ORIENTED_EDGE('',*,*,#207088,.T.); #288357=ORIENTED_EDGE('',*,*,#207089,.F.); #288358=ORIENTED_EDGE('',*,*,#207088,.F.); #288359=ORIENTED_EDGE('',*,*,#207090,.F.); #288360=ORIENTED_EDGE('',*,*,#207091,.T.); #288361=ORIENTED_EDGE('',*,*,#207092,.F.); #288362=ORIENTED_EDGE('',*,*,#207091,.F.); #288363=ORIENTED_EDGE('',*,*,#207093,.F.); #288364=ORIENTED_EDGE('',*,*,#207094,.T.); #288365=ORIENTED_EDGE('',*,*,#207095,.F.); #288366=ORIENTED_EDGE('',*,*,#207094,.F.); #288367=ORIENTED_EDGE('',*,*,#207096,.F.); #288368=ORIENTED_EDGE('',*,*,#207097,.F.); #288369=ORIENTED_EDGE('',*,*,#207098,.F.); #288370=ORIENTED_EDGE('',*,*,#207099,.T.); #288371=ORIENTED_EDGE('',*,*,#207100,.F.); #288372=ORIENTED_EDGE('',*,*,#207099,.F.); #288373=ORIENTED_EDGE('',*,*,#207101,.F.); #288374=ORIENTED_EDGE('',*,*,#207102,.T.); #288375=ORIENTED_EDGE('',*,*,#207103,.F.); #288376=ORIENTED_EDGE('',*,*,#207102,.F.); #288377=ORIENTED_EDGE('',*,*,#207104,.F.); #288378=ORIENTED_EDGE('',*,*,#207105,.T.); #288379=ORIENTED_EDGE('',*,*,#207106,.F.); #288380=ORIENTED_EDGE('',*,*,#207105,.F.); #288381=ORIENTED_EDGE('',*,*,#207107,.F.); #288382=ORIENTED_EDGE('',*,*,#207097,.T.); #288383=ORIENTED_EDGE('',*,*,#207108,.F.); #288384=ORIENTED_EDGE('',*,*,#207109,.T.); #288385=ORIENTED_EDGE('',*,*,#207110,.F.); #288386=ORIENTED_EDGE('',*,*,#207109,.F.); #288387=ORIENTED_EDGE('',*,*,#207111,.F.); #288388=ORIENTED_EDGE('',*,*,#207112,.T.); #288389=ORIENTED_EDGE('',*,*,#207113,.F.); #288390=ORIENTED_EDGE('',*,*,#207112,.F.); #288391=ORIENTED_EDGE('',*,*,#207114,.F.); #288392=ORIENTED_EDGE('',*,*,#207115,.T.); #288393=ORIENTED_EDGE('',*,*,#207116,.F.); #288394=ORIENTED_EDGE('',*,*,#207115,.F.); #288395=ORIENTED_EDGE('',*,*,#207117,.F.); #288396=ORIENTED_EDGE('',*,*,#207118,.T.); #288397=ORIENTED_EDGE('',*,*,#207119,.F.); #288398=ORIENTED_EDGE('',*,*,#207118,.F.); #288399=ORIENTED_EDGE('',*,*,#207120,.F.); #288400=ORIENTED_EDGE('',*,*,#207121,.T.); #288401=ORIENTED_EDGE('',*,*,#207122,.F.); #288402=ORIENTED_EDGE('',*,*,#207121,.F.); #288403=ORIENTED_EDGE('',*,*,#207123,.F.); #288404=ORIENTED_EDGE('',*,*,#207124,.T.); #288405=ORIENTED_EDGE('',*,*,#207125,.F.); #288406=ORIENTED_EDGE('',*,*,#207124,.F.); #288407=ORIENTED_EDGE('',*,*,#207126,.F.); #288408=ORIENTED_EDGE('',*,*,#207127,.T.); #288409=ORIENTED_EDGE('',*,*,#207128,.F.); #288410=ORIENTED_EDGE('',*,*,#207127,.F.); #288411=ORIENTED_EDGE('',*,*,#207129,.F.); #288412=ORIENTED_EDGE('',*,*,#207130,.T.); #288413=ORIENTED_EDGE('',*,*,#207131,.F.); #288414=ORIENTED_EDGE('',*,*,#207130,.F.); #288415=ORIENTED_EDGE('',*,*,#207132,.F.); #288416=ORIENTED_EDGE('',*,*,#207133,.T.); #288417=ORIENTED_EDGE('',*,*,#207134,.F.); #288418=ORIENTED_EDGE('',*,*,#207133,.F.); #288419=ORIENTED_EDGE('',*,*,#207135,.F.); #288420=ORIENTED_EDGE('',*,*,#207136,.T.); #288421=ORIENTED_EDGE('',*,*,#207137,.F.); #288422=ORIENTED_EDGE('',*,*,#207136,.F.); #288423=ORIENTED_EDGE('',*,*,#207138,.F.); #288424=ORIENTED_EDGE('',*,*,#207139,.F.); #288425=ORIENTED_EDGE('',*,*,#207140,.F.); #288426=ORIENTED_EDGE('',*,*,#207141,.T.); #288427=ORIENTED_EDGE('',*,*,#207142,.F.); #288428=ORIENTED_EDGE('',*,*,#207141,.F.); #288429=ORIENTED_EDGE('',*,*,#207143,.F.); #288430=ORIENTED_EDGE('',*,*,#207144,.T.); #288431=ORIENTED_EDGE('',*,*,#207145,.F.); #288432=ORIENTED_EDGE('',*,*,#207144,.F.); #288433=ORIENTED_EDGE('',*,*,#207146,.F.); #288434=ORIENTED_EDGE('',*,*,#207147,.T.); #288435=ORIENTED_EDGE('',*,*,#207148,.F.); #288436=ORIENTED_EDGE('',*,*,#207147,.F.); #288437=ORIENTED_EDGE('',*,*,#207149,.F.); #288438=ORIENTED_EDGE('',*,*,#207139,.T.); #288439=ORIENTED_EDGE('',*,*,#207150,.F.); #288440=ORIENTED_EDGE('',*,*,#207151,.T.); #288441=ORIENTED_EDGE('',*,*,#207152,.F.); #288442=ORIENTED_EDGE('',*,*,#207151,.F.); #288443=ORIENTED_EDGE('',*,*,#207153,.F.); #288444=ORIENTED_EDGE('',*,*,#207154,.F.); #288445=ORIENTED_EDGE('',*,*,#207155,.F.); #288446=ORIENTED_EDGE('',*,*,#207156,.T.); #288447=ORIENTED_EDGE('',*,*,#207157,.F.); #288448=ORIENTED_EDGE('',*,*,#207156,.F.); #288449=ORIENTED_EDGE('',*,*,#207158,.F.); #288450=ORIENTED_EDGE('',*,*,#207159,.T.); #288451=ORIENTED_EDGE('',*,*,#207160,.F.); #288452=ORIENTED_EDGE('',*,*,#207159,.F.); #288453=ORIENTED_EDGE('',*,*,#207161,.F.); #288454=ORIENTED_EDGE('',*,*,#207162,.T.); #288455=ORIENTED_EDGE('',*,*,#207163,.F.); #288456=ORIENTED_EDGE('',*,*,#207162,.F.); #288457=ORIENTED_EDGE('',*,*,#207164,.F.); #288458=ORIENTED_EDGE('',*,*,#207154,.T.); #288459=ORIENTED_EDGE('',*,*,#207165,.F.); #288460=ORIENTED_EDGE('',*,*,#207166,.T.); #288461=ORIENTED_EDGE('',*,*,#207167,.F.); #288462=ORIENTED_EDGE('',*,*,#207166,.F.); #288463=ORIENTED_EDGE('',*,*,#207168,.F.); #288464=ORIENTED_EDGE('',*,*,#207169,.F.); #288465=ORIENTED_EDGE('',*,*,#207170,.F.); #288466=ORIENTED_EDGE('',*,*,#207171,.T.); #288467=ORIENTED_EDGE('',*,*,#207172,.F.); #288468=ORIENTED_EDGE('',*,*,#207171,.F.); #288469=ORIENTED_EDGE('',*,*,#207173,.F.); #288470=ORIENTED_EDGE('',*,*,#207174,.T.); #288471=ORIENTED_EDGE('',*,*,#207175,.F.); #288472=ORIENTED_EDGE('',*,*,#207174,.F.); #288473=ORIENTED_EDGE('',*,*,#207176,.F.); #288474=ORIENTED_EDGE('',*,*,#207177,.T.); #288475=ORIENTED_EDGE('',*,*,#207178,.F.); #288476=ORIENTED_EDGE('',*,*,#207177,.F.); #288477=ORIENTED_EDGE('',*,*,#207179,.F.); #288478=ORIENTED_EDGE('',*,*,#207169,.T.); #288479=ORIENTED_EDGE('',*,*,#207180,.F.); #288480=ORIENTED_EDGE('',*,*,#207181,.T.); #288481=ORIENTED_EDGE('',*,*,#207182,.F.); #288482=ORIENTED_EDGE('',*,*,#207181,.F.); #288483=ORIENTED_EDGE('',*,*,#207183,.F.); #288484=ORIENTED_EDGE('',*,*,#207184,.T.); #288485=ORIENTED_EDGE('',*,*,#207185,.F.); #288486=ORIENTED_EDGE('',*,*,#207184,.F.); #288487=ORIENTED_EDGE('',*,*,#207186,.F.); #288488=ORIENTED_EDGE('',*,*,#207187,.T.); #288489=ORIENTED_EDGE('',*,*,#207188,.F.); #288490=ORIENTED_EDGE('',*,*,#207187,.F.); #288491=ORIENTED_EDGE('',*,*,#207189,.F.); #288492=ORIENTED_EDGE('',*,*,#207190,.T.); #288493=ORIENTED_EDGE('',*,*,#207191,.F.); #288494=ORIENTED_EDGE('',*,*,#207190,.F.); #288495=ORIENTED_EDGE('',*,*,#207192,.F.); #288496=ORIENTED_EDGE('',*,*,#207193,.T.); #288497=ORIENTED_EDGE('',*,*,#207194,.F.); #288498=ORIENTED_EDGE('',*,*,#207193,.F.); #288499=ORIENTED_EDGE('',*,*,#207195,.F.); #288500=ORIENTED_EDGE('',*,*,#207196,.T.); #288501=ORIENTED_EDGE('',*,*,#207197,.F.); #288502=ORIENTED_EDGE('',*,*,#207196,.F.); #288503=ORIENTED_EDGE('',*,*,#207198,.F.); #288504=ORIENTED_EDGE('',*,*,#207199,.T.); #288505=ORIENTED_EDGE('',*,*,#207200,.F.); #288506=ORIENTED_EDGE('',*,*,#207199,.F.); #288507=ORIENTED_EDGE('',*,*,#207201,.F.); #288508=ORIENTED_EDGE('',*,*,#207202,.T.); #288509=ORIENTED_EDGE('',*,*,#207203,.F.); #288510=ORIENTED_EDGE('',*,*,#207202,.F.); #288511=ORIENTED_EDGE('',*,*,#207204,.F.); #288512=ORIENTED_EDGE('',*,*,#207205,.T.); #288513=ORIENTED_EDGE('',*,*,#207206,.F.); #288514=ORIENTED_EDGE('',*,*,#207205,.F.); #288515=ORIENTED_EDGE('',*,*,#207207,.F.); #288516=ORIENTED_EDGE('',*,*,#207208,.T.); #288517=ORIENTED_EDGE('',*,*,#207209,.F.); #288518=ORIENTED_EDGE('',*,*,#207208,.F.); #288519=ORIENTED_EDGE('',*,*,#207210,.F.); #288520=ORIENTED_EDGE('',*,*,#207211,.T.); #288521=ORIENTED_EDGE('',*,*,#207212,.F.); #288522=ORIENTED_EDGE('',*,*,#207211,.F.); #288523=ORIENTED_EDGE('',*,*,#207213,.F.); #288524=ORIENTED_EDGE('',*,*,#207214,.T.); #288525=ORIENTED_EDGE('',*,*,#207215,.F.); #288526=ORIENTED_EDGE('',*,*,#207214,.F.); #288527=ORIENTED_EDGE('',*,*,#207216,.F.); #288528=ORIENTED_EDGE('',*,*,#207217,.T.); #288529=ORIENTED_EDGE('',*,*,#207218,.F.); #288530=ORIENTED_EDGE('',*,*,#207217,.F.); #288531=ORIENTED_EDGE('',*,*,#207219,.F.); #288532=ORIENTED_EDGE('',*,*,#207220,.T.); #288533=ORIENTED_EDGE('',*,*,#207221,.F.); #288534=ORIENTED_EDGE('',*,*,#207220,.F.); #288535=ORIENTED_EDGE('',*,*,#207222,.F.); #288536=ORIENTED_EDGE('',*,*,#207223,.T.); #288537=ORIENTED_EDGE('',*,*,#207224,.F.); #288538=ORIENTED_EDGE('',*,*,#207223,.F.); #288539=ORIENTED_EDGE('',*,*,#207225,.F.); #288540=ORIENTED_EDGE('',*,*,#207226,.T.); #288541=ORIENTED_EDGE('',*,*,#207227,.F.); #288542=ORIENTED_EDGE('',*,*,#207226,.F.); #288543=ORIENTED_EDGE('',*,*,#207228,.F.); #288544=ORIENTED_EDGE('',*,*,#207229,.T.); #288545=ORIENTED_EDGE('',*,*,#207230,.F.); #288546=ORIENTED_EDGE('',*,*,#207229,.F.); #288547=ORIENTED_EDGE('',*,*,#207231,.F.); #288548=ORIENTED_EDGE('',*,*,#207232,.T.); #288549=ORIENTED_EDGE('',*,*,#207233,.F.); #288550=ORIENTED_EDGE('',*,*,#207232,.F.); #288551=ORIENTED_EDGE('',*,*,#207234,.F.); #288552=ORIENTED_EDGE('',*,*,#207235,.T.); #288553=ORIENTED_EDGE('',*,*,#207236,.F.); #288554=ORIENTED_EDGE('',*,*,#207235,.F.); #288555=ORIENTED_EDGE('',*,*,#207237,.F.); #288556=ORIENTED_EDGE('',*,*,#207238,.T.); #288557=ORIENTED_EDGE('',*,*,#207239,.F.); #288558=ORIENTED_EDGE('',*,*,#207238,.F.); #288559=ORIENTED_EDGE('',*,*,#207240,.F.); #288560=ORIENTED_EDGE('',*,*,#207241,.T.); #288561=ORIENTED_EDGE('',*,*,#207242,.F.); #288562=ORIENTED_EDGE('',*,*,#207241,.F.); #288563=ORIENTED_EDGE('',*,*,#207243,.F.); #288564=ORIENTED_EDGE('',*,*,#207244,.T.); #288565=ORIENTED_EDGE('',*,*,#207245,.F.); #288566=ORIENTED_EDGE('',*,*,#207244,.F.); #288567=ORIENTED_EDGE('',*,*,#207246,.F.); #288568=ORIENTED_EDGE('',*,*,#207247,.T.); #288569=ORIENTED_EDGE('',*,*,#207248,.F.); #288570=ORIENTED_EDGE('',*,*,#207247,.F.); #288571=ORIENTED_EDGE('',*,*,#207249,.F.); #288572=ORIENTED_EDGE('',*,*,#207250,.T.); #288573=ORIENTED_EDGE('',*,*,#207251,.F.); #288574=ORIENTED_EDGE('',*,*,#207250,.F.); #288575=ORIENTED_EDGE('',*,*,#207252,.F.); #288576=ORIENTED_EDGE('',*,*,#207253,.T.); #288577=ORIENTED_EDGE('',*,*,#207254,.F.); #288578=ORIENTED_EDGE('',*,*,#207253,.F.); #288579=ORIENTED_EDGE('',*,*,#207255,.F.); #288580=ORIENTED_EDGE('',*,*,#207256,.T.); #288581=ORIENTED_EDGE('',*,*,#207257,.F.); #288582=ORIENTED_EDGE('',*,*,#207256,.F.); #288583=ORIENTED_EDGE('',*,*,#207258,.F.); #288584=ORIENTED_EDGE('',*,*,#207259,.T.); #288585=ORIENTED_EDGE('',*,*,#207260,.F.); #288586=ORIENTED_EDGE('',*,*,#207259,.F.); #288587=ORIENTED_EDGE('',*,*,#207261,.F.); #288588=ORIENTED_EDGE('',*,*,#207262,.T.); #288589=ORIENTED_EDGE('',*,*,#207263,.F.); #288590=ORIENTED_EDGE('',*,*,#207262,.F.); #288591=ORIENTED_EDGE('',*,*,#207264,.F.); #288592=ORIENTED_EDGE('',*,*,#207265,.T.); #288593=ORIENTED_EDGE('',*,*,#207266,.F.); #288594=ORIENTED_EDGE('',*,*,#207265,.F.); #288595=ORIENTED_EDGE('',*,*,#207267,.F.); #288596=ORIENTED_EDGE('',*,*,#207268,.T.); #288597=ORIENTED_EDGE('',*,*,#207269,.F.); #288598=ORIENTED_EDGE('',*,*,#207268,.F.); #288599=ORIENTED_EDGE('',*,*,#207270,.F.); #288600=ORIENTED_EDGE('',*,*,#207271,.T.); #288601=ORIENTED_EDGE('',*,*,#207272,.F.); #288602=ORIENTED_EDGE('',*,*,#207271,.F.); #288603=ORIENTED_EDGE('',*,*,#207273,.F.); #288604=ORIENTED_EDGE('',*,*,#207274,.T.); #288605=ORIENTED_EDGE('',*,*,#207275,.F.); #288606=ORIENTED_EDGE('',*,*,#207274,.F.); #288607=ORIENTED_EDGE('',*,*,#207276,.F.); #288608=ORIENTED_EDGE('',*,*,#207277,.T.); #288609=ORIENTED_EDGE('',*,*,#207278,.F.); #288610=ORIENTED_EDGE('',*,*,#207277,.F.); #288611=ORIENTED_EDGE('',*,*,#207279,.F.); #288612=ORIENTED_EDGE('',*,*,#207280,.T.); #288613=ORIENTED_EDGE('',*,*,#207281,.F.); #288614=ORIENTED_EDGE('',*,*,#207280,.F.); #288615=ORIENTED_EDGE('',*,*,#207282,.F.); #288616=ORIENTED_EDGE('',*,*,#207283,.T.); #288617=ORIENTED_EDGE('',*,*,#207284,.F.); #288618=ORIENTED_EDGE('',*,*,#207283,.F.); #288619=ORIENTED_EDGE('',*,*,#207285,.F.); #288620=ORIENTED_EDGE('',*,*,#207286,.T.); #288621=ORIENTED_EDGE('',*,*,#207287,.F.); #288622=ORIENTED_EDGE('',*,*,#207286,.F.); #288623=ORIENTED_EDGE('',*,*,#207288,.F.); #288624=ORIENTED_EDGE('',*,*,#207289,.T.); #288625=ORIENTED_EDGE('',*,*,#207290,.F.); #288626=ORIENTED_EDGE('',*,*,#207289,.F.); #288627=ORIENTED_EDGE('',*,*,#207291,.F.); #288628=ORIENTED_EDGE('',*,*,#207292,.T.); #288629=ORIENTED_EDGE('',*,*,#207293,.F.); #288630=ORIENTED_EDGE('',*,*,#207292,.F.); #288631=ORIENTED_EDGE('',*,*,#207294,.F.); #288632=ORIENTED_EDGE('',*,*,#207295,.T.); #288633=ORIENTED_EDGE('',*,*,#207296,.F.); #288634=ORIENTED_EDGE('',*,*,#207295,.F.); #288635=ORIENTED_EDGE('',*,*,#207297,.F.); #288636=ORIENTED_EDGE('',*,*,#207298,.T.); #288637=ORIENTED_EDGE('',*,*,#207299,.F.); #288638=ORIENTED_EDGE('',*,*,#207298,.F.); #288639=ORIENTED_EDGE('',*,*,#207300,.F.); #288640=ORIENTED_EDGE('',*,*,#207301,.T.); #288641=ORIENTED_EDGE('',*,*,#207302,.F.); #288642=ORIENTED_EDGE('',*,*,#207301,.F.); #288643=ORIENTED_EDGE('',*,*,#207303,.F.); #288644=ORIENTED_EDGE('',*,*,#207304,.T.); #288645=ORIENTED_EDGE('',*,*,#207305,.F.); #288646=ORIENTED_EDGE('',*,*,#207304,.F.); #288647=ORIENTED_EDGE('',*,*,#207306,.F.); #288648=ORIENTED_EDGE('',*,*,#207307,.T.); #288649=ORIENTED_EDGE('',*,*,#207308,.F.); #288650=ORIENTED_EDGE('',*,*,#207307,.F.); #288651=ORIENTED_EDGE('',*,*,#207309,.F.); #288652=ORIENTED_EDGE('',*,*,#207310,.T.); #288653=ORIENTED_EDGE('',*,*,#207311,.F.); #288654=ORIENTED_EDGE('',*,*,#207310,.F.); #288655=ORIENTED_EDGE('',*,*,#207312,.F.); #288656=ORIENTED_EDGE('',*,*,#207313,.T.); #288657=ORIENTED_EDGE('',*,*,#207314,.F.); #288658=ORIENTED_EDGE('',*,*,#207313,.F.); #288659=ORIENTED_EDGE('',*,*,#207315,.F.); #288660=ORIENTED_EDGE('',*,*,#207316,.T.); #288661=ORIENTED_EDGE('',*,*,#207317,.F.); #288662=ORIENTED_EDGE('',*,*,#207316,.F.); #288663=ORIENTED_EDGE('',*,*,#207318,.F.); #288664=ORIENTED_EDGE('',*,*,#207319,.T.); #288665=ORIENTED_EDGE('',*,*,#207320,.F.); #288666=ORIENTED_EDGE('',*,*,#207319,.F.); #288667=ORIENTED_EDGE('',*,*,#207321,.F.); #288668=ORIENTED_EDGE('',*,*,#207322,.T.); #288669=ORIENTED_EDGE('',*,*,#207323,.F.); #288670=ORIENTED_EDGE('',*,*,#207322,.F.); #288671=ORIENTED_EDGE('',*,*,#207324,.F.); #288672=ORIENTED_EDGE('',*,*,#207325,.T.); #288673=ORIENTED_EDGE('',*,*,#207326,.F.); #288674=ORIENTED_EDGE('',*,*,#207325,.F.); #288675=ORIENTED_EDGE('',*,*,#207327,.F.); #288676=ORIENTED_EDGE('',*,*,#207328,.T.); #288677=ORIENTED_EDGE('',*,*,#207329,.F.); #288678=ORIENTED_EDGE('',*,*,#207328,.F.); #288679=ORIENTED_EDGE('',*,*,#207330,.F.); #288680=ORIENTED_EDGE('',*,*,#207331,.T.); #288681=ORIENTED_EDGE('',*,*,#207332,.F.); #288682=ORIENTED_EDGE('',*,*,#207331,.F.); #288683=ORIENTED_EDGE('',*,*,#207333,.F.); #288684=ORIENTED_EDGE('',*,*,#207334,.T.); #288685=ORIENTED_EDGE('',*,*,#207335,.F.); #288686=ORIENTED_EDGE('',*,*,#207334,.F.); #288687=ORIENTED_EDGE('',*,*,#207336,.F.); #288688=ORIENTED_EDGE('',*,*,#207337,.T.); #288689=ORIENTED_EDGE('',*,*,#207338,.F.); #288690=ORIENTED_EDGE('',*,*,#207337,.F.); #288691=ORIENTED_EDGE('',*,*,#207339,.F.); #288692=ORIENTED_EDGE('',*,*,#207340,.T.); #288693=ORIENTED_EDGE('',*,*,#207341,.F.); #288694=ORIENTED_EDGE('',*,*,#207340,.F.); #288695=ORIENTED_EDGE('',*,*,#207342,.F.); #288696=ORIENTED_EDGE('',*,*,#207343,.T.); #288697=ORIENTED_EDGE('',*,*,#207344,.F.); #288698=ORIENTED_EDGE('',*,*,#207343,.F.); #288699=ORIENTED_EDGE('',*,*,#207345,.F.); #288700=ORIENTED_EDGE('',*,*,#207346,.T.); #288701=ORIENTED_EDGE('',*,*,#207347,.F.); #288702=ORIENTED_EDGE('',*,*,#207346,.F.); #288703=ORIENTED_EDGE('',*,*,#207348,.F.); #288704=ORIENTED_EDGE('',*,*,#207349,.T.); #288705=ORIENTED_EDGE('',*,*,#207350,.F.); #288706=ORIENTED_EDGE('',*,*,#207349,.F.); #288707=ORIENTED_EDGE('',*,*,#207351,.F.); #288708=ORIENTED_EDGE('',*,*,#207352,.T.); #288709=ORIENTED_EDGE('',*,*,#207353,.F.); #288710=ORIENTED_EDGE('',*,*,#207352,.F.); #288711=ORIENTED_EDGE('',*,*,#207354,.F.); #288712=ORIENTED_EDGE('',*,*,#207355,.T.); #288713=ORIENTED_EDGE('',*,*,#207356,.F.); #288714=ORIENTED_EDGE('',*,*,#207355,.F.); #288715=ORIENTED_EDGE('',*,*,#207357,.F.); #288716=ORIENTED_EDGE('',*,*,#207358,.T.); #288717=ORIENTED_EDGE('',*,*,#207359,.F.); #288718=ORIENTED_EDGE('',*,*,#207358,.F.); #288719=ORIENTED_EDGE('',*,*,#207360,.F.); #288720=ORIENTED_EDGE('',*,*,#207361,.T.); #288721=ORIENTED_EDGE('',*,*,#207362,.F.); #288722=ORIENTED_EDGE('',*,*,#207361,.F.); #288723=ORIENTED_EDGE('',*,*,#207363,.F.); #288724=ORIENTED_EDGE('',*,*,#207364,.T.); #288725=ORIENTED_EDGE('',*,*,#207365,.F.); #288726=ORIENTED_EDGE('',*,*,#207364,.F.); #288727=ORIENTED_EDGE('',*,*,#207366,.F.); #288728=ORIENTED_EDGE('',*,*,#207367,.T.); #288729=ORIENTED_EDGE('',*,*,#207368,.F.); #288730=ORIENTED_EDGE('',*,*,#207367,.F.); #288731=ORIENTED_EDGE('',*,*,#207369,.F.); #288732=ORIENTED_EDGE('',*,*,#207370,.T.); #288733=ORIENTED_EDGE('',*,*,#207371,.F.); #288734=ORIENTED_EDGE('',*,*,#207370,.F.); #288735=ORIENTED_EDGE('',*,*,#207372,.F.); #288736=ORIENTED_EDGE('',*,*,#207373,.T.); #288737=ORIENTED_EDGE('',*,*,#207374,.F.); #288738=ORIENTED_EDGE('',*,*,#207373,.F.); #288739=ORIENTED_EDGE('',*,*,#207375,.F.); #288740=ORIENTED_EDGE('',*,*,#207376,.T.); #288741=ORIENTED_EDGE('',*,*,#207377,.F.); #288742=ORIENTED_EDGE('',*,*,#207376,.F.); #288743=ORIENTED_EDGE('',*,*,#207378,.F.); #288744=ORIENTED_EDGE('',*,*,#207379,.T.); #288745=ORIENTED_EDGE('',*,*,#207380,.F.); #288746=ORIENTED_EDGE('',*,*,#207379,.F.); #288747=ORIENTED_EDGE('',*,*,#207381,.F.); #288748=ORIENTED_EDGE('',*,*,#207382,.T.); #288749=ORIENTED_EDGE('',*,*,#207383,.F.); #288750=ORIENTED_EDGE('',*,*,#207382,.F.); #288751=ORIENTED_EDGE('',*,*,#207384,.F.); #288752=ORIENTED_EDGE('',*,*,#207385,.T.); #288753=ORIENTED_EDGE('',*,*,#207386,.F.); #288754=ORIENTED_EDGE('',*,*,#207385,.F.); #288755=ORIENTED_EDGE('',*,*,#207387,.F.); #288756=ORIENTED_EDGE('',*,*,#207388,.T.); #288757=ORIENTED_EDGE('',*,*,#207389,.F.); #288758=ORIENTED_EDGE('',*,*,#207388,.F.); #288759=ORIENTED_EDGE('',*,*,#207390,.F.); #288760=ORIENTED_EDGE('',*,*,#207391,.T.); #288761=ORIENTED_EDGE('',*,*,#207392,.F.); #288762=ORIENTED_EDGE('',*,*,#207391,.F.); #288763=ORIENTED_EDGE('',*,*,#207393,.F.); #288764=ORIENTED_EDGE('',*,*,#207394,.T.); #288765=ORIENTED_EDGE('',*,*,#207395,.F.); #288766=ORIENTED_EDGE('',*,*,#207394,.F.); #288767=ORIENTED_EDGE('',*,*,#207396,.F.); #288768=ORIENTED_EDGE('',*,*,#207397,.T.); #288769=ORIENTED_EDGE('',*,*,#207398,.F.); #288770=ORIENTED_EDGE('',*,*,#207397,.F.); #288771=ORIENTED_EDGE('',*,*,#207399,.F.); #288772=ORIENTED_EDGE('',*,*,#207400,.T.); #288773=ORIENTED_EDGE('',*,*,#207401,.F.); #288774=ORIENTED_EDGE('',*,*,#207400,.F.); #288775=ORIENTED_EDGE('',*,*,#207402,.F.); #288776=ORIENTED_EDGE('',*,*,#207403,.T.); #288777=ORIENTED_EDGE('',*,*,#207404,.F.); #288778=ORIENTED_EDGE('',*,*,#207403,.F.); #288779=ORIENTED_EDGE('',*,*,#207405,.F.); #288780=ORIENTED_EDGE('',*,*,#207406,.T.); #288781=ORIENTED_EDGE('',*,*,#207407,.F.); #288782=ORIENTED_EDGE('',*,*,#207406,.F.); #288783=ORIENTED_EDGE('',*,*,#207408,.F.); #288784=ORIENTED_EDGE('',*,*,#207409,.T.); #288785=ORIENTED_EDGE('',*,*,#207410,.F.); #288786=ORIENTED_EDGE('',*,*,#207409,.F.); #288787=ORIENTED_EDGE('',*,*,#207411,.F.); #288788=ORIENTED_EDGE('',*,*,#207412,.T.); #288789=ORIENTED_EDGE('',*,*,#207413,.F.); #288790=ORIENTED_EDGE('',*,*,#207412,.F.); #288791=ORIENTED_EDGE('',*,*,#207414,.F.); #288792=ORIENTED_EDGE('',*,*,#207415,.T.); #288793=ORIENTED_EDGE('',*,*,#207416,.F.); #288794=ORIENTED_EDGE('',*,*,#207415,.F.); #288795=ORIENTED_EDGE('',*,*,#207417,.F.); #288796=ORIENTED_EDGE('',*,*,#207418,.T.); #288797=ORIENTED_EDGE('',*,*,#207419,.F.); #288798=ORIENTED_EDGE('',*,*,#207418,.F.); #288799=ORIENTED_EDGE('',*,*,#207420,.F.); #288800=ORIENTED_EDGE('',*,*,#207421,.T.); #288801=ORIENTED_EDGE('',*,*,#207422,.F.); #288802=ORIENTED_EDGE('',*,*,#207421,.F.); #288803=ORIENTED_EDGE('',*,*,#207423,.F.); #288804=ORIENTED_EDGE('',*,*,#207424,.T.); #288805=ORIENTED_EDGE('',*,*,#207425,.F.); #288806=ORIENTED_EDGE('',*,*,#207424,.F.); #288807=ORIENTED_EDGE('',*,*,#207426,.F.); #288808=ORIENTED_EDGE('',*,*,#207427,.T.); #288809=ORIENTED_EDGE('',*,*,#207428,.F.); #288810=ORIENTED_EDGE('',*,*,#207427,.F.); #288811=ORIENTED_EDGE('',*,*,#207429,.F.); #288812=ORIENTED_EDGE('',*,*,#207430,.T.); #288813=ORIENTED_EDGE('',*,*,#207431,.F.); #288814=ORIENTED_EDGE('',*,*,#207430,.F.); #288815=ORIENTED_EDGE('',*,*,#207432,.F.); #288816=ORIENTED_EDGE('',*,*,#207433,.T.); #288817=ORIENTED_EDGE('',*,*,#207434,.F.); #288818=ORIENTED_EDGE('',*,*,#207433,.F.); #288819=ORIENTED_EDGE('',*,*,#207435,.F.); #288820=ORIENTED_EDGE('',*,*,#207436,.T.); #288821=ORIENTED_EDGE('',*,*,#207437,.F.); #288822=ORIENTED_EDGE('',*,*,#207436,.F.); #288823=ORIENTED_EDGE('',*,*,#207438,.F.); #288824=ORIENTED_EDGE('',*,*,#207439,.T.); #288825=ORIENTED_EDGE('',*,*,#207440,.F.); #288826=ORIENTED_EDGE('',*,*,#207439,.F.); #288827=ORIENTED_EDGE('',*,*,#207441,.F.); #288828=ORIENTED_EDGE('',*,*,#207442,.T.); #288829=ORIENTED_EDGE('',*,*,#207443,.F.); #288830=ORIENTED_EDGE('',*,*,#207442,.F.); #288831=ORIENTED_EDGE('',*,*,#207444,.F.); #288832=ORIENTED_EDGE('',*,*,#207445,.T.); #288833=ORIENTED_EDGE('',*,*,#207446,.F.); #288834=ORIENTED_EDGE('',*,*,#207445,.F.); #288835=ORIENTED_EDGE('',*,*,#207447,.F.); #288836=ORIENTED_EDGE('',*,*,#207448,.T.); #288837=ORIENTED_EDGE('',*,*,#207449,.F.); #288838=ORIENTED_EDGE('',*,*,#207448,.F.); #288839=ORIENTED_EDGE('',*,*,#207450,.F.); #288840=ORIENTED_EDGE('',*,*,#207451,.T.); #288841=ORIENTED_EDGE('',*,*,#207452,.F.); #288842=ORIENTED_EDGE('',*,*,#207451,.F.); #288843=ORIENTED_EDGE('',*,*,#207453,.F.); #288844=ORIENTED_EDGE('',*,*,#207454,.T.); #288845=ORIENTED_EDGE('',*,*,#207455,.F.); #288846=ORIENTED_EDGE('',*,*,#207454,.F.); #288847=ORIENTED_EDGE('',*,*,#207456,.F.); #288848=ORIENTED_EDGE('',*,*,#207457,.T.); #288849=ORIENTED_EDGE('',*,*,#207458,.F.); #288850=ORIENTED_EDGE('',*,*,#207457,.F.); #288851=ORIENTED_EDGE('',*,*,#207459,.F.); #288852=ORIENTED_EDGE('',*,*,#207460,.T.); #288853=ORIENTED_EDGE('',*,*,#207461,.F.); #288854=ORIENTED_EDGE('',*,*,#207460,.F.); #288855=ORIENTED_EDGE('',*,*,#207462,.F.); #288856=ORIENTED_EDGE('',*,*,#207463,.T.); #288857=ORIENTED_EDGE('',*,*,#207464,.F.); #288858=ORIENTED_EDGE('',*,*,#207463,.F.); #288859=ORIENTED_EDGE('',*,*,#207465,.F.); #288860=ORIENTED_EDGE('',*,*,#207466,.T.); #288861=ORIENTED_EDGE('',*,*,#207467,.F.); #288862=ORIENTED_EDGE('',*,*,#207466,.F.); #288863=ORIENTED_EDGE('',*,*,#207468,.F.); #288864=ORIENTED_EDGE('',*,*,#207469,.T.); #288865=ORIENTED_EDGE('',*,*,#207470,.F.); #288866=ORIENTED_EDGE('',*,*,#207469,.F.); #288867=ORIENTED_EDGE('',*,*,#207471,.F.); #288868=ORIENTED_EDGE('',*,*,#207472,.T.); #288869=ORIENTED_EDGE('',*,*,#207473,.F.); #288870=ORIENTED_EDGE('',*,*,#207472,.F.); #288871=ORIENTED_EDGE('',*,*,#207474,.F.); #288872=ORIENTED_EDGE('',*,*,#207475,.T.); #288873=ORIENTED_EDGE('',*,*,#207476,.F.); #288874=ORIENTED_EDGE('',*,*,#207475,.F.); #288875=ORIENTED_EDGE('',*,*,#207477,.F.); #288876=ORIENTED_EDGE('',*,*,#207478,.T.); #288877=ORIENTED_EDGE('',*,*,#207479,.F.); #288878=ORIENTED_EDGE('',*,*,#207478,.F.); #288879=ORIENTED_EDGE('',*,*,#207480,.F.); #288880=ORIENTED_EDGE('',*,*,#207481,.T.); #288881=ORIENTED_EDGE('',*,*,#207482,.F.); #288882=ORIENTED_EDGE('',*,*,#207481,.F.); #288883=ORIENTED_EDGE('',*,*,#207483,.F.); #288884=ORIENTED_EDGE('',*,*,#207484,.T.); #288885=ORIENTED_EDGE('',*,*,#207485,.F.); #288886=ORIENTED_EDGE('',*,*,#207484,.F.); #288887=ORIENTED_EDGE('',*,*,#207486,.F.); #288888=ORIENTED_EDGE('',*,*,#207487,.T.); #288889=ORIENTED_EDGE('',*,*,#207488,.F.); #288890=ORIENTED_EDGE('',*,*,#207487,.F.); #288891=ORIENTED_EDGE('',*,*,#207489,.F.); #288892=ORIENTED_EDGE('',*,*,#207490,.T.); #288893=ORIENTED_EDGE('',*,*,#207491,.F.); #288894=ORIENTED_EDGE('',*,*,#207490,.F.); #288895=ORIENTED_EDGE('',*,*,#207492,.F.); #288896=ORIENTED_EDGE('',*,*,#207493,.T.); #288897=ORIENTED_EDGE('',*,*,#207494,.F.); #288898=ORIENTED_EDGE('',*,*,#207493,.F.); #288899=ORIENTED_EDGE('',*,*,#207495,.F.); #288900=ORIENTED_EDGE('',*,*,#207496,.T.); #288901=ORIENTED_EDGE('',*,*,#207497,.F.); #288902=ORIENTED_EDGE('',*,*,#207496,.F.); #288903=ORIENTED_EDGE('',*,*,#207498,.F.); #288904=ORIENTED_EDGE('',*,*,#207499,.T.); #288905=ORIENTED_EDGE('',*,*,#207500,.F.); #288906=ORIENTED_EDGE('',*,*,#207499,.F.); #288907=ORIENTED_EDGE('',*,*,#207501,.F.); #288908=ORIENTED_EDGE('',*,*,#207502,.T.); #288909=ORIENTED_EDGE('',*,*,#207503,.F.); #288910=ORIENTED_EDGE('',*,*,#207502,.F.); #288911=ORIENTED_EDGE('',*,*,#207504,.F.); #288912=ORIENTED_EDGE('',*,*,#207505,.T.); #288913=ORIENTED_EDGE('',*,*,#207506,.F.); #288914=ORIENTED_EDGE('',*,*,#207505,.F.); #288915=ORIENTED_EDGE('',*,*,#207507,.F.); #288916=ORIENTED_EDGE('',*,*,#207508,.T.); #288917=ORIENTED_EDGE('',*,*,#207509,.F.); #288918=ORIENTED_EDGE('',*,*,#207508,.F.); #288919=ORIENTED_EDGE('',*,*,#207510,.F.); #288920=ORIENTED_EDGE('',*,*,#207511,.T.); #288921=ORIENTED_EDGE('',*,*,#207512,.F.); #288922=ORIENTED_EDGE('',*,*,#207511,.F.); #288923=ORIENTED_EDGE('',*,*,#207513,.F.); #288924=ORIENTED_EDGE('',*,*,#207514,.T.); #288925=ORIENTED_EDGE('',*,*,#207515,.F.); #288926=ORIENTED_EDGE('',*,*,#207514,.F.); #288927=ORIENTED_EDGE('',*,*,#207516,.F.); #288928=ORIENTED_EDGE('',*,*,#207517,.T.); #288929=ORIENTED_EDGE('',*,*,#207518,.F.); #288930=ORIENTED_EDGE('',*,*,#207517,.F.); #288931=ORIENTED_EDGE('',*,*,#207519,.F.); #288932=ORIENTED_EDGE('',*,*,#207520,.T.); #288933=ORIENTED_EDGE('',*,*,#207521,.F.); #288934=ORIENTED_EDGE('',*,*,#207520,.F.); #288935=ORIENTED_EDGE('',*,*,#207522,.F.); #288936=ORIENTED_EDGE('',*,*,#207523,.T.); #288937=ORIENTED_EDGE('',*,*,#207524,.F.); #288938=ORIENTED_EDGE('',*,*,#207523,.F.); #288939=ORIENTED_EDGE('',*,*,#207525,.F.); #288940=ORIENTED_EDGE('',*,*,#207526,.T.); #288941=ORIENTED_EDGE('',*,*,#207527,.F.); #288942=ORIENTED_EDGE('',*,*,#207526,.F.); #288943=ORIENTED_EDGE('',*,*,#207528,.F.); #288944=ORIENTED_EDGE('',*,*,#207529,.T.); #288945=ORIENTED_EDGE('',*,*,#207530,.F.); #288946=ORIENTED_EDGE('',*,*,#207529,.F.); #288947=ORIENTED_EDGE('',*,*,#207531,.F.); #288948=ORIENTED_EDGE('',*,*,#207532,.T.); #288949=ORIENTED_EDGE('',*,*,#207533,.F.); #288950=ORIENTED_EDGE('',*,*,#207532,.F.); #288951=ORIENTED_EDGE('',*,*,#207534,.F.); #288952=ORIENTED_EDGE('',*,*,#207535,.T.); #288953=ORIENTED_EDGE('',*,*,#207536,.F.); #288954=ORIENTED_EDGE('',*,*,#207535,.F.); #288955=ORIENTED_EDGE('',*,*,#207537,.F.); #288956=ORIENTED_EDGE('',*,*,#207538,.T.); #288957=ORIENTED_EDGE('',*,*,#207539,.F.); #288958=ORIENTED_EDGE('',*,*,#207538,.F.); #288959=ORIENTED_EDGE('',*,*,#207540,.F.); #288960=ORIENTED_EDGE('',*,*,#207541,.T.); #288961=ORIENTED_EDGE('',*,*,#207542,.F.); #288962=ORIENTED_EDGE('',*,*,#207541,.F.); #288963=ORIENTED_EDGE('',*,*,#207543,.F.); #288964=ORIENTED_EDGE('',*,*,#207544,.T.); #288965=ORIENTED_EDGE('',*,*,#207545,.F.); #288966=ORIENTED_EDGE('',*,*,#207544,.F.); #288967=ORIENTED_EDGE('',*,*,#207546,.F.); #288968=ORIENTED_EDGE('',*,*,#207547,.T.); #288969=ORIENTED_EDGE('',*,*,#207548,.F.); #288970=ORIENTED_EDGE('',*,*,#207547,.F.); #288971=ORIENTED_EDGE('',*,*,#207549,.F.); #288972=ORIENTED_EDGE('',*,*,#207550,.T.); #288973=ORIENTED_EDGE('',*,*,#207551,.F.); #288974=ORIENTED_EDGE('',*,*,#207550,.F.); #288975=ORIENTED_EDGE('',*,*,#207552,.F.); #288976=ORIENTED_EDGE('',*,*,#207553,.T.); #288977=ORIENTED_EDGE('',*,*,#207554,.F.); #288978=ORIENTED_EDGE('',*,*,#207553,.F.); #288979=ORIENTED_EDGE('',*,*,#207555,.F.); #288980=ORIENTED_EDGE('',*,*,#207556,.T.); #288981=ORIENTED_EDGE('',*,*,#207557,.F.); #288982=ORIENTED_EDGE('',*,*,#207556,.F.); #288983=ORIENTED_EDGE('',*,*,#207558,.F.); #288984=ORIENTED_EDGE('',*,*,#207559,.T.); #288985=ORIENTED_EDGE('',*,*,#207560,.F.); #288986=ORIENTED_EDGE('',*,*,#207559,.F.); #288987=ORIENTED_EDGE('',*,*,#207561,.F.); #288988=ORIENTED_EDGE('',*,*,#207562,.T.); #288989=ORIENTED_EDGE('',*,*,#207563,.F.); #288990=ORIENTED_EDGE('',*,*,#207562,.F.); #288991=ORIENTED_EDGE('',*,*,#207564,.F.); #288992=ORIENTED_EDGE('',*,*,#207565,.T.); #288993=ORIENTED_EDGE('',*,*,#207566,.F.); #288994=ORIENTED_EDGE('',*,*,#207565,.F.); #288995=ORIENTED_EDGE('',*,*,#207567,.F.); #288996=ORIENTED_EDGE('',*,*,#207568,.T.); #288997=ORIENTED_EDGE('',*,*,#207569,.F.); #288998=ORIENTED_EDGE('',*,*,#207568,.F.); #288999=ORIENTED_EDGE('',*,*,#207570,.F.); #289000=ORIENTED_EDGE('',*,*,#207571,.T.); #289001=ORIENTED_EDGE('',*,*,#207572,.F.); #289002=ORIENTED_EDGE('',*,*,#207571,.F.); #289003=ORIENTED_EDGE('',*,*,#207573,.F.); #289004=ORIENTED_EDGE('',*,*,#207574,.T.); #289005=ORIENTED_EDGE('',*,*,#207575,.F.); #289006=ORIENTED_EDGE('',*,*,#207574,.F.); #289007=ORIENTED_EDGE('',*,*,#207576,.F.); #289008=ORIENTED_EDGE('',*,*,#207577,.T.); #289009=ORIENTED_EDGE('',*,*,#207578,.F.); #289010=ORIENTED_EDGE('',*,*,#207577,.F.); #289011=ORIENTED_EDGE('',*,*,#207578,.T.); #289012=ORIENTED_EDGE('',*,*,#206571,.T.); #289013=ORIENTED_EDGE('',*,*,#206574,.T.); #289014=ORIENTED_EDGE('',*,*,#206579,.T.); #289015=ORIENTED_EDGE('',*,*,#206582,.T.); #289016=ORIENTED_EDGE('',*,*,#206585,.T.); #289017=ORIENTED_EDGE('',*,*,#206588,.T.); #289018=ORIENTED_EDGE('',*,*,#206591,.T.); #289019=ORIENTED_EDGE('',*,*,#206594,.T.); #289020=ORIENTED_EDGE('',*,*,#206597,.T.); #289021=ORIENTED_EDGE('',*,*,#206600,.T.); #289022=ORIENTED_EDGE('',*,*,#206603,.T.); #289023=ORIENTED_EDGE('',*,*,#206606,.T.); #289024=ORIENTED_EDGE('',*,*,#206609,.T.); #289025=ORIENTED_EDGE('',*,*,#206612,.T.); #289026=ORIENTED_EDGE('',*,*,#206615,.T.); #289027=ORIENTED_EDGE('',*,*,#206618,.T.); #289028=ORIENTED_EDGE('',*,*,#206621,.T.); #289029=ORIENTED_EDGE('',*,*,#206624,.T.); #289030=ORIENTED_EDGE('',*,*,#206627,.T.); #289031=ORIENTED_EDGE('',*,*,#206630,.T.); #289032=ORIENTED_EDGE('',*,*,#206633,.T.); #289033=ORIENTED_EDGE('',*,*,#206636,.T.); #289034=ORIENTED_EDGE('',*,*,#206639,.T.); #289035=ORIENTED_EDGE('',*,*,#206642,.T.); #289036=ORIENTED_EDGE('',*,*,#206645,.T.); #289037=ORIENTED_EDGE('',*,*,#206648,.T.); #289038=ORIENTED_EDGE('',*,*,#206651,.T.); #289039=ORIENTED_EDGE('',*,*,#206654,.T.); #289040=ORIENTED_EDGE('',*,*,#206657,.T.); #289041=ORIENTED_EDGE('',*,*,#206660,.T.); #289042=ORIENTED_EDGE('',*,*,#206663,.T.); #289043=ORIENTED_EDGE('',*,*,#206666,.T.); #289044=ORIENTED_EDGE('',*,*,#206669,.T.); #289045=ORIENTED_EDGE('',*,*,#206672,.T.); #289046=ORIENTED_EDGE('',*,*,#206675,.T.); #289047=ORIENTED_EDGE('',*,*,#206678,.T.); #289048=ORIENTED_EDGE('',*,*,#206681,.T.); #289049=ORIENTED_EDGE('',*,*,#206684,.T.); #289050=ORIENTED_EDGE('',*,*,#206687,.T.); #289051=ORIENTED_EDGE('',*,*,#206690,.T.); #289052=ORIENTED_EDGE('',*,*,#206693,.T.); #289053=ORIENTED_EDGE('',*,*,#206696,.T.); #289054=ORIENTED_EDGE('',*,*,#206699,.T.); #289055=ORIENTED_EDGE('',*,*,#206702,.T.); #289056=ORIENTED_EDGE('',*,*,#206705,.T.); #289057=ORIENTED_EDGE('',*,*,#206708,.T.); #289058=ORIENTED_EDGE('',*,*,#206711,.T.); #289059=ORIENTED_EDGE('',*,*,#206714,.T.); #289060=ORIENTED_EDGE('',*,*,#206717,.T.); #289061=ORIENTED_EDGE('',*,*,#206720,.T.); #289062=ORIENTED_EDGE('',*,*,#206723,.T.); #289063=ORIENTED_EDGE('',*,*,#206726,.T.); #289064=ORIENTED_EDGE('',*,*,#206729,.T.); #289065=ORIENTED_EDGE('',*,*,#206732,.T.); #289066=ORIENTED_EDGE('',*,*,#206735,.T.); #289067=ORIENTED_EDGE('',*,*,#206738,.T.); #289068=ORIENTED_EDGE('',*,*,#206741,.T.); #289069=ORIENTED_EDGE('',*,*,#206744,.T.); #289070=ORIENTED_EDGE('',*,*,#206747,.T.); #289071=ORIENTED_EDGE('',*,*,#206750,.T.); #289072=ORIENTED_EDGE('',*,*,#206753,.T.); #289073=ORIENTED_EDGE('',*,*,#206756,.T.); #289074=ORIENTED_EDGE('',*,*,#206759,.T.); #289075=ORIENTED_EDGE('',*,*,#206762,.T.); #289076=ORIENTED_EDGE('',*,*,#206765,.T.); #289077=ORIENTED_EDGE('',*,*,#206768,.T.); #289078=ORIENTED_EDGE('',*,*,#206771,.T.); #289079=ORIENTED_EDGE('',*,*,#206774,.T.); #289080=ORIENTED_EDGE('',*,*,#206777,.T.); #289081=ORIENTED_EDGE('',*,*,#206780,.T.); #289082=ORIENTED_EDGE('',*,*,#206783,.T.); #289083=ORIENTED_EDGE('',*,*,#206786,.T.); #289084=ORIENTED_EDGE('',*,*,#206789,.T.); #289085=ORIENTED_EDGE('',*,*,#206792,.T.); #289086=ORIENTED_EDGE('',*,*,#206795,.T.); #289087=ORIENTED_EDGE('',*,*,#206798,.T.); #289088=ORIENTED_EDGE('',*,*,#206801,.T.); #289089=ORIENTED_EDGE('',*,*,#206804,.T.); #289090=ORIENTED_EDGE('',*,*,#206807,.T.); #289091=ORIENTED_EDGE('',*,*,#206810,.T.); #289092=ORIENTED_EDGE('',*,*,#206813,.T.); #289093=ORIENTED_EDGE('',*,*,#206816,.T.); #289094=ORIENTED_EDGE('',*,*,#206819,.T.); #289095=ORIENTED_EDGE('',*,*,#206822,.T.); #289096=ORIENTED_EDGE('',*,*,#206825,.T.); #289097=ORIENTED_EDGE('',*,*,#206828,.T.); #289098=ORIENTED_EDGE('',*,*,#206831,.T.); #289099=ORIENTED_EDGE('',*,*,#206834,.T.); #289100=ORIENTED_EDGE('',*,*,#206837,.T.); #289101=ORIENTED_EDGE('',*,*,#206840,.T.); #289102=ORIENTED_EDGE('',*,*,#206843,.T.); #289103=ORIENTED_EDGE('',*,*,#206846,.T.); #289104=ORIENTED_EDGE('',*,*,#206849,.T.); #289105=ORIENTED_EDGE('',*,*,#206852,.T.); #289106=ORIENTED_EDGE('',*,*,#206855,.T.); #289107=ORIENTED_EDGE('',*,*,#206858,.T.); #289108=ORIENTED_EDGE('',*,*,#206861,.T.); #289109=ORIENTED_EDGE('',*,*,#206864,.T.); #289110=ORIENTED_EDGE('',*,*,#206867,.T.); #289111=ORIENTED_EDGE('',*,*,#206870,.T.); #289112=ORIENTED_EDGE('',*,*,#206873,.T.); #289113=ORIENTED_EDGE('',*,*,#206876,.T.); #289114=ORIENTED_EDGE('',*,*,#206879,.T.); #289115=ORIENTED_EDGE('',*,*,#206882,.T.); #289116=ORIENTED_EDGE('',*,*,#206885,.T.); #289117=ORIENTED_EDGE('',*,*,#206888,.T.); #289118=ORIENTED_EDGE('',*,*,#206891,.T.); #289119=ORIENTED_EDGE('',*,*,#206894,.T.); #289120=ORIENTED_EDGE('',*,*,#206897,.T.); #289121=ORIENTED_EDGE('',*,*,#206900,.T.); #289122=ORIENTED_EDGE('',*,*,#206903,.T.); #289123=ORIENTED_EDGE('',*,*,#206906,.T.); #289124=ORIENTED_EDGE('',*,*,#206909,.T.); #289125=ORIENTED_EDGE('',*,*,#206912,.T.); #289126=ORIENTED_EDGE('',*,*,#206915,.T.); #289127=ORIENTED_EDGE('',*,*,#206918,.T.); #289128=ORIENTED_EDGE('',*,*,#206921,.T.); #289129=ORIENTED_EDGE('',*,*,#206924,.T.); #289130=ORIENTED_EDGE('',*,*,#206927,.T.); #289131=ORIENTED_EDGE('',*,*,#206930,.T.); #289132=ORIENTED_EDGE('',*,*,#206933,.T.); #289133=ORIENTED_EDGE('',*,*,#206936,.T.); #289134=ORIENTED_EDGE('',*,*,#206939,.T.); #289135=ORIENTED_EDGE('',*,*,#206942,.T.); #289136=ORIENTED_EDGE('',*,*,#206945,.T.); #289137=ORIENTED_EDGE('',*,*,#206948,.T.); #289138=ORIENTED_EDGE('',*,*,#206951,.T.); #289139=ORIENTED_EDGE('',*,*,#206954,.T.); #289140=ORIENTED_EDGE('',*,*,#206957,.T.); #289141=ORIENTED_EDGE('',*,*,#206960,.T.); #289142=ORIENTED_EDGE('',*,*,#206963,.T.); #289143=ORIENTED_EDGE('',*,*,#206966,.T.); #289144=ORIENTED_EDGE('',*,*,#206969,.T.); #289145=ORIENTED_EDGE('',*,*,#206972,.T.); #289146=ORIENTED_EDGE('',*,*,#206975,.T.); #289147=ORIENTED_EDGE('',*,*,#206978,.T.); #289148=ORIENTED_EDGE('',*,*,#206981,.T.); #289149=ORIENTED_EDGE('',*,*,#206984,.T.); #289150=ORIENTED_EDGE('',*,*,#206987,.T.); #289151=ORIENTED_EDGE('',*,*,#206990,.T.); #289152=ORIENTED_EDGE('',*,*,#206993,.T.); #289153=ORIENTED_EDGE('',*,*,#206996,.T.); #289154=ORIENTED_EDGE('',*,*,#206999,.T.); #289155=ORIENTED_EDGE('',*,*,#207002,.T.); #289156=ORIENTED_EDGE('',*,*,#207005,.T.); #289157=ORIENTED_EDGE('',*,*,#207008,.T.); #289158=ORIENTED_EDGE('',*,*,#207011,.T.); #289159=ORIENTED_EDGE('',*,*,#207014,.T.); #289160=ORIENTED_EDGE('',*,*,#207017,.T.); #289161=ORIENTED_EDGE('',*,*,#207020,.T.); #289162=ORIENTED_EDGE('',*,*,#207023,.T.); #289163=ORIENTED_EDGE('',*,*,#207026,.T.); #289164=ORIENTED_EDGE('',*,*,#207029,.T.); #289165=ORIENTED_EDGE('',*,*,#207032,.T.); #289166=ORIENTED_EDGE('',*,*,#207035,.T.); #289167=ORIENTED_EDGE('',*,*,#207038,.T.); #289168=ORIENTED_EDGE('',*,*,#207041,.T.); #289169=ORIENTED_EDGE('',*,*,#207044,.T.); #289170=ORIENTED_EDGE('',*,*,#207047,.T.); #289171=ORIENTED_EDGE('',*,*,#207050,.T.); #289172=ORIENTED_EDGE('',*,*,#207053,.T.); #289173=ORIENTED_EDGE('',*,*,#207056,.T.); #289174=ORIENTED_EDGE('',*,*,#207059,.T.); #289175=ORIENTED_EDGE('',*,*,#207067,.T.); #289176=ORIENTED_EDGE('',*,*,#207070,.T.); #289177=ORIENTED_EDGE('',*,*,#207060,.T.); #289178=ORIENTED_EDGE('',*,*,#207064,.T.); #289179=ORIENTED_EDGE('',*,*,#207074,.T.); #289180=ORIENTED_EDGE('',*,*,#207082,.T.); #289181=ORIENTED_EDGE('',*,*,#207085,.T.); #289182=ORIENTED_EDGE('',*,*,#207075,.T.); #289183=ORIENTED_EDGE('',*,*,#207079,.T.); #289184=ORIENTED_EDGE('',*,*,#207089,.T.); #289185=ORIENTED_EDGE('',*,*,#207092,.T.); #289186=ORIENTED_EDGE('',*,*,#207095,.T.); #289187=ORIENTED_EDGE('',*,*,#207103,.T.); #289188=ORIENTED_EDGE('',*,*,#207106,.T.); #289189=ORIENTED_EDGE('',*,*,#207096,.T.); #289190=ORIENTED_EDGE('',*,*,#207100,.T.); #289191=ORIENTED_EDGE('',*,*,#207110,.T.); #289192=ORIENTED_EDGE('',*,*,#207113,.T.); #289193=ORIENTED_EDGE('',*,*,#207116,.T.); #289194=ORIENTED_EDGE('',*,*,#207119,.T.); #289195=ORIENTED_EDGE('',*,*,#207122,.T.); #289196=ORIENTED_EDGE('',*,*,#207125,.T.); #289197=ORIENTED_EDGE('',*,*,#207128,.T.); #289198=ORIENTED_EDGE('',*,*,#207131,.T.); #289199=ORIENTED_EDGE('',*,*,#207134,.T.); #289200=ORIENTED_EDGE('',*,*,#207137,.T.); #289201=ORIENTED_EDGE('',*,*,#207145,.T.); #289202=ORIENTED_EDGE('',*,*,#207148,.T.); #289203=ORIENTED_EDGE('',*,*,#207138,.T.); #289204=ORIENTED_EDGE('',*,*,#207142,.T.); #289205=ORIENTED_EDGE('',*,*,#207152,.T.); #289206=ORIENTED_EDGE('',*,*,#207160,.T.); #289207=ORIENTED_EDGE('',*,*,#207163,.T.); #289208=ORIENTED_EDGE('',*,*,#207153,.T.); #289209=ORIENTED_EDGE('',*,*,#207157,.T.); #289210=ORIENTED_EDGE('',*,*,#207167,.T.); #289211=ORIENTED_EDGE('',*,*,#207175,.T.); #289212=ORIENTED_EDGE('',*,*,#207178,.T.); #289213=ORIENTED_EDGE('',*,*,#207168,.T.); #289214=ORIENTED_EDGE('',*,*,#207172,.T.); #289215=ORIENTED_EDGE('',*,*,#207182,.T.); #289216=ORIENTED_EDGE('',*,*,#207185,.T.); #289217=ORIENTED_EDGE('',*,*,#207188,.T.); #289218=ORIENTED_EDGE('',*,*,#207191,.T.); #289219=ORIENTED_EDGE('',*,*,#207194,.T.); #289220=ORIENTED_EDGE('',*,*,#207197,.T.); #289221=ORIENTED_EDGE('',*,*,#207200,.T.); #289222=ORIENTED_EDGE('',*,*,#207203,.T.); #289223=ORIENTED_EDGE('',*,*,#207206,.T.); #289224=ORIENTED_EDGE('',*,*,#207209,.T.); #289225=ORIENTED_EDGE('',*,*,#207212,.T.); #289226=ORIENTED_EDGE('',*,*,#207215,.T.); #289227=ORIENTED_EDGE('',*,*,#207218,.T.); #289228=ORIENTED_EDGE('',*,*,#207221,.T.); #289229=ORIENTED_EDGE('',*,*,#207224,.T.); #289230=ORIENTED_EDGE('',*,*,#207227,.T.); #289231=ORIENTED_EDGE('',*,*,#207230,.T.); #289232=ORIENTED_EDGE('',*,*,#207233,.T.); #289233=ORIENTED_EDGE('',*,*,#207236,.T.); #289234=ORIENTED_EDGE('',*,*,#207239,.T.); #289235=ORIENTED_EDGE('',*,*,#207242,.T.); #289236=ORIENTED_EDGE('',*,*,#207245,.T.); #289237=ORIENTED_EDGE('',*,*,#207248,.T.); #289238=ORIENTED_EDGE('',*,*,#207251,.T.); #289239=ORIENTED_EDGE('',*,*,#207254,.T.); #289240=ORIENTED_EDGE('',*,*,#207257,.T.); #289241=ORIENTED_EDGE('',*,*,#207260,.T.); #289242=ORIENTED_EDGE('',*,*,#207263,.T.); #289243=ORIENTED_EDGE('',*,*,#207266,.T.); #289244=ORIENTED_EDGE('',*,*,#207269,.T.); #289245=ORIENTED_EDGE('',*,*,#207272,.T.); #289246=ORIENTED_EDGE('',*,*,#207275,.T.); #289247=ORIENTED_EDGE('',*,*,#207278,.T.); #289248=ORIENTED_EDGE('',*,*,#207281,.T.); #289249=ORIENTED_EDGE('',*,*,#207284,.T.); #289250=ORIENTED_EDGE('',*,*,#207287,.T.); #289251=ORIENTED_EDGE('',*,*,#207290,.T.); #289252=ORIENTED_EDGE('',*,*,#207293,.T.); #289253=ORIENTED_EDGE('',*,*,#207296,.T.); #289254=ORIENTED_EDGE('',*,*,#207299,.T.); #289255=ORIENTED_EDGE('',*,*,#207302,.T.); #289256=ORIENTED_EDGE('',*,*,#207305,.T.); #289257=ORIENTED_EDGE('',*,*,#207308,.T.); #289258=ORIENTED_EDGE('',*,*,#207311,.T.); #289259=ORIENTED_EDGE('',*,*,#207314,.T.); #289260=ORIENTED_EDGE('',*,*,#207317,.T.); #289261=ORIENTED_EDGE('',*,*,#207320,.T.); #289262=ORIENTED_EDGE('',*,*,#207323,.T.); #289263=ORIENTED_EDGE('',*,*,#207326,.T.); #289264=ORIENTED_EDGE('',*,*,#207329,.T.); #289265=ORIENTED_EDGE('',*,*,#207332,.T.); #289266=ORIENTED_EDGE('',*,*,#207335,.T.); #289267=ORIENTED_EDGE('',*,*,#207338,.T.); #289268=ORIENTED_EDGE('',*,*,#207341,.T.); #289269=ORIENTED_EDGE('',*,*,#207344,.T.); #289270=ORIENTED_EDGE('',*,*,#207347,.T.); #289271=ORIENTED_EDGE('',*,*,#207350,.T.); #289272=ORIENTED_EDGE('',*,*,#207353,.T.); #289273=ORIENTED_EDGE('',*,*,#207356,.T.); #289274=ORIENTED_EDGE('',*,*,#207359,.T.); #289275=ORIENTED_EDGE('',*,*,#207362,.T.); #289276=ORIENTED_EDGE('',*,*,#207365,.T.); #289277=ORIENTED_EDGE('',*,*,#207368,.T.); #289278=ORIENTED_EDGE('',*,*,#207371,.T.); #289279=ORIENTED_EDGE('',*,*,#207374,.T.); #289280=ORIENTED_EDGE('',*,*,#207377,.T.); #289281=ORIENTED_EDGE('',*,*,#207380,.T.); #289282=ORIENTED_EDGE('',*,*,#207383,.T.); #289283=ORIENTED_EDGE('',*,*,#207386,.T.); #289284=ORIENTED_EDGE('',*,*,#207389,.T.); #289285=ORIENTED_EDGE('',*,*,#207392,.T.); #289286=ORIENTED_EDGE('',*,*,#207395,.T.); #289287=ORIENTED_EDGE('',*,*,#207398,.T.); #289288=ORIENTED_EDGE('',*,*,#207401,.T.); #289289=ORIENTED_EDGE('',*,*,#207404,.T.); #289290=ORIENTED_EDGE('',*,*,#207407,.T.); #289291=ORIENTED_EDGE('',*,*,#207410,.T.); #289292=ORIENTED_EDGE('',*,*,#207413,.T.); #289293=ORIENTED_EDGE('',*,*,#207416,.T.); #289294=ORIENTED_EDGE('',*,*,#207419,.T.); #289295=ORIENTED_EDGE('',*,*,#207422,.T.); #289296=ORIENTED_EDGE('',*,*,#207425,.T.); #289297=ORIENTED_EDGE('',*,*,#207428,.T.); #289298=ORIENTED_EDGE('',*,*,#207431,.T.); #289299=ORIENTED_EDGE('',*,*,#207434,.T.); #289300=ORIENTED_EDGE('',*,*,#207437,.T.); #289301=ORIENTED_EDGE('',*,*,#207440,.T.); #289302=ORIENTED_EDGE('',*,*,#207443,.T.); #289303=ORIENTED_EDGE('',*,*,#207446,.T.); #289304=ORIENTED_EDGE('',*,*,#207449,.T.); #289305=ORIENTED_EDGE('',*,*,#207452,.T.); #289306=ORIENTED_EDGE('',*,*,#207455,.T.); #289307=ORIENTED_EDGE('',*,*,#207458,.T.); #289308=ORIENTED_EDGE('',*,*,#207461,.T.); #289309=ORIENTED_EDGE('',*,*,#207464,.T.); #289310=ORIENTED_EDGE('',*,*,#207467,.T.); #289311=ORIENTED_EDGE('',*,*,#207470,.T.); #289312=ORIENTED_EDGE('',*,*,#207473,.T.); #289313=ORIENTED_EDGE('',*,*,#207476,.T.); #289314=ORIENTED_EDGE('',*,*,#207479,.T.); #289315=ORIENTED_EDGE('',*,*,#207482,.T.); #289316=ORIENTED_EDGE('',*,*,#207485,.T.); #289317=ORIENTED_EDGE('',*,*,#207488,.T.); #289318=ORIENTED_EDGE('',*,*,#207491,.T.); #289319=ORIENTED_EDGE('',*,*,#207494,.T.); #289320=ORIENTED_EDGE('',*,*,#207497,.T.); #289321=ORIENTED_EDGE('',*,*,#207500,.T.); #289322=ORIENTED_EDGE('',*,*,#207503,.T.); #289323=ORIENTED_EDGE('',*,*,#207506,.T.); #289324=ORIENTED_EDGE('',*,*,#207509,.T.); #289325=ORIENTED_EDGE('',*,*,#207512,.T.); #289326=ORIENTED_EDGE('',*,*,#207515,.T.); #289327=ORIENTED_EDGE('',*,*,#207518,.T.); #289328=ORIENTED_EDGE('',*,*,#207521,.T.); #289329=ORIENTED_EDGE('',*,*,#207524,.T.); #289330=ORIENTED_EDGE('',*,*,#207527,.T.); #289331=ORIENTED_EDGE('',*,*,#207530,.T.); #289332=ORIENTED_EDGE('',*,*,#207533,.T.); #289333=ORIENTED_EDGE('',*,*,#207536,.T.); #289334=ORIENTED_EDGE('',*,*,#207539,.T.); #289335=ORIENTED_EDGE('',*,*,#207542,.T.); #289336=ORIENTED_EDGE('',*,*,#207545,.T.); #289337=ORIENTED_EDGE('',*,*,#207548,.T.); #289338=ORIENTED_EDGE('',*,*,#207551,.T.); #289339=ORIENTED_EDGE('',*,*,#207554,.T.); #289340=ORIENTED_EDGE('',*,*,#207557,.T.); #289341=ORIENTED_EDGE('',*,*,#207560,.T.); #289342=ORIENTED_EDGE('',*,*,#207563,.T.); #289343=ORIENTED_EDGE('',*,*,#207566,.T.); #289344=ORIENTED_EDGE('',*,*,#207569,.T.); #289345=ORIENTED_EDGE('',*,*,#207572,.T.); #289346=ORIENTED_EDGE('',*,*,#207575,.T.); #289347=ORIENTED_EDGE('',*,*,#207576,.T.); #289348=ORIENTED_EDGE('',*,*,#206573,.T.); #289349=ORIENTED_EDGE('',*,*,#206576,.T.); #289350=ORIENTED_EDGE('',*,*,#206577,.T.); #289351=ORIENTED_EDGE('',*,*,#206580,.T.); #289352=ORIENTED_EDGE('',*,*,#206583,.T.); #289353=ORIENTED_EDGE('',*,*,#206586,.T.); #289354=ORIENTED_EDGE('',*,*,#206589,.T.); #289355=ORIENTED_EDGE('',*,*,#206592,.T.); #289356=ORIENTED_EDGE('',*,*,#206595,.T.); #289357=ORIENTED_EDGE('',*,*,#206598,.T.); #289358=ORIENTED_EDGE('',*,*,#206601,.T.); #289359=ORIENTED_EDGE('',*,*,#206604,.T.); #289360=ORIENTED_EDGE('',*,*,#206607,.T.); #289361=ORIENTED_EDGE('',*,*,#206610,.T.); #289362=ORIENTED_EDGE('',*,*,#206613,.T.); #289363=ORIENTED_EDGE('',*,*,#206616,.T.); #289364=ORIENTED_EDGE('',*,*,#206619,.T.); #289365=ORIENTED_EDGE('',*,*,#206622,.T.); #289366=ORIENTED_EDGE('',*,*,#206625,.T.); #289367=ORIENTED_EDGE('',*,*,#206628,.T.); #289368=ORIENTED_EDGE('',*,*,#206631,.T.); #289369=ORIENTED_EDGE('',*,*,#206634,.T.); #289370=ORIENTED_EDGE('',*,*,#206637,.T.); #289371=ORIENTED_EDGE('',*,*,#206640,.T.); #289372=ORIENTED_EDGE('',*,*,#206643,.T.); #289373=ORIENTED_EDGE('',*,*,#206646,.T.); #289374=ORIENTED_EDGE('',*,*,#206649,.T.); #289375=ORIENTED_EDGE('',*,*,#206652,.T.); #289376=ORIENTED_EDGE('',*,*,#206655,.T.); #289377=ORIENTED_EDGE('',*,*,#206658,.T.); #289378=ORIENTED_EDGE('',*,*,#206661,.T.); #289379=ORIENTED_EDGE('',*,*,#206664,.T.); #289380=ORIENTED_EDGE('',*,*,#206667,.T.); #289381=ORIENTED_EDGE('',*,*,#206670,.T.); #289382=ORIENTED_EDGE('',*,*,#206673,.T.); #289383=ORIENTED_EDGE('',*,*,#206676,.T.); #289384=ORIENTED_EDGE('',*,*,#206679,.T.); #289385=ORIENTED_EDGE('',*,*,#206682,.T.); #289386=ORIENTED_EDGE('',*,*,#206685,.T.); #289387=ORIENTED_EDGE('',*,*,#206688,.T.); #289388=ORIENTED_EDGE('',*,*,#206691,.T.); #289389=ORIENTED_EDGE('',*,*,#206694,.T.); #289390=ORIENTED_EDGE('',*,*,#206697,.T.); #289391=ORIENTED_EDGE('',*,*,#206700,.T.); #289392=ORIENTED_EDGE('',*,*,#206703,.T.); #289393=ORIENTED_EDGE('',*,*,#206706,.T.); #289394=ORIENTED_EDGE('',*,*,#206709,.T.); #289395=ORIENTED_EDGE('',*,*,#206712,.T.); #289396=ORIENTED_EDGE('',*,*,#206715,.T.); #289397=ORIENTED_EDGE('',*,*,#206718,.T.); #289398=ORIENTED_EDGE('',*,*,#206721,.T.); #289399=ORIENTED_EDGE('',*,*,#206724,.T.); #289400=ORIENTED_EDGE('',*,*,#206727,.T.); #289401=ORIENTED_EDGE('',*,*,#206730,.T.); #289402=ORIENTED_EDGE('',*,*,#206733,.T.); #289403=ORIENTED_EDGE('',*,*,#206736,.T.); #289404=ORIENTED_EDGE('',*,*,#206739,.T.); #289405=ORIENTED_EDGE('',*,*,#206742,.T.); #289406=ORIENTED_EDGE('',*,*,#206745,.T.); #289407=ORIENTED_EDGE('',*,*,#206748,.T.); #289408=ORIENTED_EDGE('',*,*,#206751,.T.); #289409=ORIENTED_EDGE('',*,*,#206754,.T.); #289410=ORIENTED_EDGE('',*,*,#206757,.T.); #289411=ORIENTED_EDGE('',*,*,#206760,.T.); #289412=ORIENTED_EDGE('',*,*,#206763,.T.); #289413=ORIENTED_EDGE('',*,*,#206766,.T.); #289414=ORIENTED_EDGE('',*,*,#206769,.T.); #289415=ORIENTED_EDGE('',*,*,#206772,.T.); #289416=ORIENTED_EDGE('',*,*,#206775,.T.); #289417=ORIENTED_EDGE('',*,*,#206778,.T.); #289418=ORIENTED_EDGE('',*,*,#206781,.T.); #289419=ORIENTED_EDGE('',*,*,#206784,.T.); #289420=ORIENTED_EDGE('',*,*,#206787,.T.); #289421=ORIENTED_EDGE('',*,*,#206790,.T.); #289422=ORIENTED_EDGE('',*,*,#206793,.T.); #289423=ORIENTED_EDGE('',*,*,#206796,.T.); #289424=ORIENTED_EDGE('',*,*,#206799,.T.); #289425=ORIENTED_EDGE('',*,*,#206802,.T.); #289426=ORIENTED_EDGE('',*,*,#206805,.T.); #289427=ORIENTED_EDGE('',*,*,#206808,.T.); #289428=ORIENTED_EDGE('',*,*,#206811,.T.); #289429=ORIENTED_EDGE('',*,*,#206814,.T.); #289430=ORIENTED_EDGE('',*,*,#206817,.T.); #289431=ORIENTED_EDGE('',*,*,#206820,.T.); #289432=ORIENTED_EDGE('',*,*,#206823,.T.); #289433=ORIENTED_EDGE('',*,*,#206826,.T.); #289434=ORIENTED_EDGE('',*,*,#206829,.T.); #289435=ORIENTED_EDGE('',*,*,#206832,.T.); #289436=ORIENTED_EDGE('',*,*,#206835,.T.); #289437=ORIENTED_EDGE('',*,*,#206838,.T.); #289438=ORIENTED_EDGE('',*,*,#206841,.T.); #289439=ORIENTED_EDGE('',*,*,#206844,.T.); #289440=ORIENTED_EDGE('',*,*,#206847,.T.); #289441=ORIENTED_EDGE('',*,*,#206850,.T.); #289442=ORIENTED_EDGE('',*,*,#206853,.T.); #289443=ORIENTED_EDGE('',*,*,#206856,.T.); #289444=ORIENTED_EDGE('',*,*,#206859,.T.); #289445=ORIENTED_EDGE('',*,*,#206862,.T.); #289446=ORIENTED_EDGE('',*,*,#206865,.T.); #289447=ORIENTED_EDGE('',*,*,#206868,.T.); #289448=ORIENTED_EDGE('',*,*,#206871,.T.); #289449=ORIENTED_EDGE('',*,*,#206874,.T.); #289450=ORIENTED_EDGE('',*,*,#206877,.T.); #289451=ORIENTED_EDGE('',*,*,#206880,.T.); #289452=ORIENTED_EDGE('',*,*,#206883,.T.); #289453=ORIENTED_EDGE('',*,*,#206886,.T.); #289454=ORIENTED_EDGE('',*,*,#206889,.T.); #289455=ORIENTED_EDGE('',*,*,#206892,.T.); #289456=ORIENTED_EDGE('',*,*,#206895,.T.); #289457=ORIENTED_EDGE('',*,*,#206898,.T.); #289458=ORIENTED_EDGE('',*,*,#206901,.T.); #289459=ORIENTED_EDGE('',*,*,#206904,.T.); #289460=ORIENTED_EDGE('',*,*,#206907,.T.); #289461=ORIENTED_EDGE('',*,*,#206910,.T.); #289462=ORIENTED_EDGE('',*,*,#206913,.T.); #289463=ORIENTED_EDGE('',*,*,#206916,.T.); #289464=ORIENTED_EDGE('',*,*,#206919,.T.); #289465=ORIENTED_EDGE('',*,*,#206922,.T.); #289466=ORIENTED_EDGE('',*,*,#206925,.T.); #289467=ORIENTED_EDGE('',*,*,#206928,.T.); #289468=ORIENTED_EDGE('',*,*,#206931,.T.); #289469=ORIENTED_EDGE('',*,*,#206934,.T.); #289470=ORIENTED_EDGE('',*,*,#206937,.T.); #289471=ORIENTED_EDGE('',*,*,#206940,.T.); #289472=ORIENTED_EDGE('',*,*,#206943,.T.); #289473=ORIENTED_EDGE('',*,*,#206946,.T.); #289474=ORIENTED_EDGE('',*,*,#206949,.T.); #289475=ORIENTED_EDGE('',*,*,#206952,.T.); #289476=ORIENTED_EDGE('',*,*,#206955,.T.); #289477=ORIENTED_EDGE('',*,*,#206958,.T.); #289478=ORIENTED_EDGE('',*,*,#206961,.T.); #289479=ORIENTED_EDGE('',*,*,#206964,.T.); #289480=ORIENTED_EDGE('',*,*,#206967,.T.); #289481=ORIENTED_EDGE('',*,*,#206970,.T.); #289482=ORIENTED_EDGE('',*,*,#206973,.T.); #289483=ORIENTED_EDGE('',*,*,#206976,.T.); #289484=ORIENTED_EDGE('',*,*,#206979,.T.); #289485=ORIENTED_EDGE('',*,*,#206982,.T.); #289486=ORIENTED_EDGE('',*,*,#206985,.T.); #289487=ORIENTED_EDGE('',*,*,#206988,.T.); #289488=ORIENTED_EDGE('',*,*,#206991,.T.); #289489=ORIENTED_EDGE('',*,*,#206994,.T.); #289490=ORIENTED_EDGE('',*,*,#206997,.T.); #289491=ORIENTED_EDGE('',*,*,#207000,.T.); #289492=ORIENTED_EDGE('',*,*,#207003,.T.); #289493=ORIENTED_EDGE('',*,*,#207006,.T.); #289494=ORIENTED_EDGE('',*,*,#207009,.T.); #289495=ORIENTED_EDGE('',*,*,#207012,.T.); #289496=ORIENTED_EDGE('',*,*,#207015,.T.); #289497=ORIENTED_EDGE('',*,*,#207018,.T.); #289498=ORIENTED_EDGE('',*,*,#207021,.T.); #289499=ORIENTED_EDGE('',*,*,#207024,.T.); #289500=ORIENTED_EDGE('',*,*,#207027,.T.); #289501=ORIENTED_EDGE('',*,*,#207030,.T.); #289502=ORIENTED_EDGE('',*,*,#207033,.T.); #289503=ORIENTED_EDGE('',*,*,#207036,.T.); #289504=ORIENTED_EDGE('',*,*,#207039,.T.); #289505=ORIENTED_EDGE('',*,*,#207042,.T.); #289506=ORIENTED_EDGE('',*,*,#207045,.T.); #289507=ORIENTED_EDGE('',*,*,#207048,.T.); #289508=ORIENTED_EDGE('',*,*,#207051,.T.); #289509=ORIENTED_EDGE('',*,*,#207054,.T.); #289510=ORIENTED_EDGE('',*,*,#207057,.T.); #289511=ORIENTED_EDGE('',*,*,#207068,.T.); #289512=ORIENTED_EDGE('',*,*,#207065,.T.); #289513=ORIENTED_EDGE('',*,*,#207062,.T.); #289514=ORIENTED_EDGE('',*,*,#207071,.T.); #289515=ORIENTED_EDGE('',*,*,#207072,.T.); #289516=ORIENTED_EDGE('',*,*,#207083,.T.); #289517=ORIENTED_EDGE('',*,*,#207080,.T.); #289518=ORIENTED_EDGE('',*,*,#207077,.T.); #289519=ORIENTED_EDGE('',*,*,#207086,.T.); #289520=ORIENTED_EDGE('',*,*,#207087,.T.); #289521=ORIENTED_EDGE('',*,*,#207090,.T.); #289522=ORIENTED_EDGE('',*,*,#207093,.T.); #289523=ORIENTED_EDGE('',*,*,#207104,.T.); #289524=ORIENTED_EDGE('',*,*,#207101,.T.); #289525=ORIENTED_EDGE('',*,*,#207098,.T.); #289526=ORIENTED_EDGE('',*,*,#207107,.T.); #289527=ORIENTED_EDGE('',*,*,#207108,.T.); #289528=ORIENTED_EDGE('',*,*,#207111,.T.); #289529=ORIENTED_EDGE('',*,*,#207114,.T.); #289530=ORIENTED_EDGE('',*,*,#207117,.T.); #289531=ORIENTED_EDGE('',*,*,#207120,.T.); #289532=ORIENTED_EDGE('',*,*,#207123,.T.); #289533=ORIENTED_EDGE('',*,*,#207126,.T.); #289534=ORIENTED_EDGE('',*,*,#207129,.T.); #289535=ORIENTED_EDGE('',*,*,#207132,.T.); #289536=ORIENTED_EDGE('',*,*,#207135,.T.); #289537=ORIENTED_EDGE('',*,*,#207146,.T.); #289538=ORIENTED_EDGE('',*,*,#207143,.T.); #289539=ORIENTED_EDGE('',*,*,#207140,.T.); #289540=ORIENTED_EDGE('',*,*,#207149,.T.); #289541=ORIENTED_EDGE('',*,*,#207150,.T.); #289542=ORIENTED_EDGE('',*,*,#207161,.T.); #289543=ORIENTED_EDGE('',*,*,#207158,.T.); #289544=ORIENTED_EDGE('',*,*,#207155,.T.); #289545=ORIENTED_EDGE('',*,*,#207164,.T.); #289546=ORIENTED_EDGE('',*,*,#207165,.T.); #289547=ORIENTED_EDGE('',*,*,#207176,.T.); #289548=ORIENTED_EDGE('',*,*,#207173,.T.); #289549=ORIENTED_EDGE('',*,*,#207170,.T.); #289550=ORIENTED_EDGE('',*,*,#207179,.T.); #289551=ORIENTED_EDGE('',*,*,#207180,.T.); #289552=ORIENTED_EDGE('',*,*,#207183,.T.); #289553=ORIENTED_EDGE('',*,*,#207186,.T.); #289554=ORIENTED_EDGE('',*,*,#207189,.T.); #289555=ORIENTED_EDGE('',*,*,#207192,.T.); #289556=ORIENTED_EDGE('',*,*,#207195,.T.); #289557=ORIENTED_EDGE('',*,*,#207198,.T.); #289558=ORIENTED_EDGE('',*,*,#207201,.T.); #289559=ORIENTED_EDGE('',*,*,#207204,.T.); #289560=ORIENTED_EDGE('',*,*,#207207,.T.); #289561=ORIENTED_EDGE('',*,*,#207210,.T.); #289562=ORIENTED_EDGE('',*,*,#207213,.T.); #289563=ORIENTED_EDGE('',*,*,#207216,.T.); #289564=ORIENTED_EDGE('',*,*,#207219,.T.); #289565=ORIENTED_EDGE('',*,*,#207222,.T.); #289566=ORIENTED_EDGE('',*,*,#207225,.T.); #289567=ORIENTED_EDGE('',*,*,#207228,.T.); #289568=ORIENTED_EDGE('',*,*,#207231,.T.); #289569=ORIENTED_EDGE('',*,*,#207234,.T.); #289570=ORIENTED_EDGE('',*,*,#207237,.T.); #289571=ORIENTED_EDGE('',*,*,#207240,.T.); #289572=ORIENTED_EDGE('',*,*,#207243,.T.); #289573=ORIENTED_EDGE('',*,*,#207246,.T.); #289574=ORIENTED_EDGE('',*,*,#207249,.T.); #289575=ORIENTED_EDGE('',*,*,#207252,.T.); #289576=ORIENTED_EDGE('',*,*,#207255,.T.); #289577=ORIENTED_EDGE('',*,*,#207258,.T.); #289578=ORIENTED_EDGE('',*,*,#207261,.T.); #289579=ORIENTED_EDGE('',*,*,#207264,.T.); #289580=ORIENTED_EDGE('',*,*,#207267,.T.); #289581=ORIENTED_EDGE('',*,*,#207270,.T.); #289582=ORIENTED_EDGE('',*,*,#207273,.T.); #289583=ORIENTED_EDGE('',*,*,#207276,.T.); #289584=ORIENTED_EDGE('',*,*,#207279,.T.); #289585=ORIENTED_EDGE('',*,*,#207282,.T.); #289586=ORIENTED_EDGE('',*,*,#207285,.T.); #289587=ORIENTED_EDGE('',*,*,#207288,.T.); #289588=ORIENTED_EDGE('',*,*,#207291,.T.); #289589=ORIENTED_EDGE('',*,*,#207294,.T.); #289590=ORIENTED_EDGE('',*,*,#207297,.T.); #289591=ORIENTED_EDGE('',*,*,#207300,.T.); #289592=ORIENTED_EDGE('',*,*,#207303,.T.); #289593=ORIENTED_EDGE('',*,*,#207306,.T.); #289594=ORIENTED_EDGE('',*,*,#207309,.T.); #289595=ORIENTED_EDGE('',*,*,#207312,.T.); #289596=ORIENTED_EDGE('',*,*,#207315,.T.); #289597=ORIENTED_EDGE('',*,*,#207318,.T.); #289598=ORIENTED_EDGE('',*,*,#207321,.T.); #289599=ORIENTED_EDGE('',*,*,#207324,.T.); #289600=ORIENTED_EDGE('',*,*,#207327,.T.); #289601=ORIENTED_EDGE('',*,*,#207330,.T.); #289602=ORIENTED_EDGE('',*,*,#207333,.T.); #289603=ORIENTED_EDGE('',*,*,#207336,.T.); #289604=ORIENTED_EDGE('',*,*,#207339,.T.); #289605=ORIENTED_EDGE('',*,*,#207342,.T.); #289606=ORIENTED_EDGE('',*,*,#207345,.T.); #289607=ORIENTED_EDGE('',*,*,#207348,.T.); #289608=ORIENTED_EDGE('',*,*,#207351,.T.); #289609=ORIENTED_EDGE('',*,*,#207354,.T.); #289610=ORIENTED_EDGE('',*,*,#207357,.T.); #289611=ORIENTED_EDGE('',*,*,#207360,.T.); #289612=ORIENTED_EDGE('',*,*,#207363,.T.); #289613=ORIENTED_EDGE('',*,*,#207366,.T.); #289614=ORIENTED_EDGE('',*,*,#207369,.T.); #289615=ORIENTED_EDGE('',*,*,#207372,.T.); #289616=ORIENTED_EDGE('',*,*,#207375,.T.); #289617=ORIENTED_EDGE('',*,*,#207378,.T.); #289618=ORIENTED_EDGE('',*,*,#207381,.T.); #289619=ORIENTED_EDGE('',*,*,#207384,.T.); #289620=ORIENTED_EDGE('',*,*,#207387,.T.); #289621=ORIENTED_EDGE('',*,*,#207390,.T.); #289622=ORIENTED_EDGE('',*,*,#207393,.T.); #289623=ORIENTED_EDGE('',*,*,#207396,.T.); #289624=ORIENTED_EDGE('',*,*,#207399,.T.); #289625=ORIENTED_EDGE('',*,*,#207402,.T.); #289626=ORIENTED_EDGE('',*,*,#207405,.T.); #289627=ORIENTED_EDGE('',*,*,#207408,.T.); #289628=ORIENTED_EDGE('',*,*,#207411,.T.); #289629=ORIENTED_EDGE('',*,*,#207414,.T.); #289630=ORIENTED_EDGE('',*,*,#207417,.T.); #289631=ORIENTED_EDGE('',*,*,#207420,.T.); #289632=ORIENTED_EDGE('',*,*,#207423,.T.); #289633=ORIENTED_EDGE('',*,*,#207426,.T.); #289634=ORIENTED_EDGE('',*,*,#207429,.T.); #289635=ORIENTED_EDGE('',*,*,#207432,.T.); #289636=ORIENTED_EDGE('',*,*,#207435,.T.); #289637=ORIENTED_EDGE('',*,*,#207438,.T.); #289638=ORIENTED_EDGE('',*,*,#207441,.T.); #289639=ORIENTED_EDGE('',*,*,#207444,.T.); #289640=ORIENTED_EDGE('',*,*,#207447,.T.); #289641=ORIENTED_EDGE('',*,*,#207450,.T.); #289642=ORIENTED_EDGE('',*,*,#207453,.T.); #289643=ORIENTED_EDGE('',*,*,#207456,.T.); #289644=ORIENTED_EDGE('',*,*,#207459,.T.); #289645=ORIENTED_EDGE('',*,*,#207462,.T.); #289646=ORIENTED_EDGE('',*,*,#207465,.T.); #289647=ORIENTED_EDGE('',*,*,#207468,.T.); #289648=ORIENTED_EDGE('',*,*,#207471,.T.); #289649=ORIENTED_EDGE('',*,*,#207474,.T.); #289650=ORIENTED_EDGE('',*,*,#207477,.T.); #289651=ORIENTED_EDGE('',*,*,#207480,.T.); #289652=ORIENTED_EDGE('',*,*,#207483,.T.); #289653=ORIENTED_EDGE('',*,*,#207486,.T.); #289654=ORIENTED_EDGE('',*,*,#207489,.T.); #289655=ORIENTED_EDGE('',*,*,#207492,.T.); #289656=ORIENTED_EDGE('',*,*,#207495,.T.); #289657=ORIENTED_EDGE('',*,*,#207498,.T.); #289658=ORIENTED_EDGE('',*,*,#207501,.T.); #289659=ORIENTED_EDGE('',*,*,#207504,.T.); #289660=ORIENTED_EDGE('',*,*,#207507,.T.); #289661=ORIENTED_EDGE('',*,*,#207510,.T.); #289662=ORIENTED_EDGE('',*,*,#207513,.T.); #289663=ORIENTED_EDGE('',*,*,#207516,.T.); #289664=ORIENTED_EDGE('',*,*,#207519,.T.); #289665=ORIENTED_EDGE('',*,*,#207522,.T.); #289666=ORIENTED_EDGE('',*,*,#207525,.T.); #289667=ORIENTED_EDGE('',*,*,#207528,.T.); #289668=ORIENTED_EDGE('',*,*,#207531,.T.); #289669=ORIENTED_EDGE('',*,*,#207534,.T.); #289670=ORIENTED_EDGE('',*,*,#207537,.T.); #289671=ORIENTED_EDGE('',*,*,#207540,.T.); #289672=ORIENTED_EDGE('',*,*,#207543,.T.); #289673=ORIENTED_EDGE('',*,*,#207546,.T.); #289674=ORIENTED_EDGE('',*,*,#207549,.T.); #289675=ORIENTED_EDGE('',*,*,#207552,.T.); #289676=ORIENTED_EDGE('',*,*,#207555,.T.); #289677=ORIENTED_EDGE('',*,*,#207558,.T.); #289678=ORIENTED_EDGE('',*,*,#207561,.T.); #289679=ORIENTED_EDGE('',*,*,#207564,.T.); #289680=ORIENTED_EDGE('',*,*,#207567,.T.); #289681=ORIENTED_EDGE('',*,*,#207570,.T.); #289682=ORIENTED_EDGE('',*,*,#207573,.T.); #289683=ORIENTED_EDGE('',*,*,#207579,.T.); #289684=ORIENTED_EDGE('',*,*,#207580,.T.); #289685=ORIENTED_EDGE('',*,*,#207581,.T.); #289686=ORIENTED_EDGE('',*,*,#207582,.T.); #289687=ORIENTED_EDGE('',*,*,#207580,.F.); #289688=ORIENTED_EDGE('',*,*,#207583,.T.); #289689=ORIENTED_EDGE('',*,*,#207584,.F.); #289690=ORIENTED_EDGE('',*,*,#207585,.F.); #289691=ORIENTED_EDGE('',*,*,#207579,.F.); #289692=ORIENTED_EDGE('',*,*,#207586,.F.); #289693=ORIENTED_EDGE('',*,*,#207587,.T.); #289694=ORIENTED_EDGE('',*,*,#207588,.T.); #289695=ORIENTED_EDGE('',*,*,#207589,.F.); #289696=ORIENTED_EDGE('',*,*,#207590,.T.); #289697=ORIENTED_EDGE('',*,*,#207591,.T.); #289698=ORIENTED_EDGE('',*,*,#207592,.T.); #289699=ORIENTED_EDGE('',*,*,#207593,.F.); #289700=ORIENTED_EDGE('',*,*,#207594,.T.); #289701=ORIENTED_EDGE('',*,*,#207595,.T.); #289702=ORIENTED_EDGE('',*,*,#207596,.T.); #289703=ORIENTED_EDGE('',*,*,#207597,.F.); #289704=ORIENTED_EDGE('',*,*,#207598,.T.); #289705=ORIENTED_EDGE('',*,*,#207599,.T.); #289706=ORIENTED_EDGE('',*,*,#207600,.T.); #289707=ORIENTED_EDGE('',*,*,#207601,.F.); #289708=ORIENTED_EDGE('',*,*,#207602,.T.); #289709=ORIENTED_EDGE('',*,*,#207603,.T.); #289710=ORIENTED_EDGE('',*,*,#207604,.T.); #289711=ORIENTED_EDGE('',*,*,#207605,.F.); #289712=ORIENTED_EDGE('',*,*,#207606,.F.); #289713=ORIENTED_EDGE('',*,*,#207607,.T.); #289714=ORIENTED_EDGE('',*,*,#207608,.T.); #289715=ORIENTED_EDGE('',*,*,#207609,.F.); #289716=ORIENTED_EDGE('',*,*,#207583,.F.); #289717=ORIENTED_EDGE('',*,*,#207610,.T.); #289718=ORIENTED_EDGE('',*,*,#207584,.T.); #289719=ORIENTED_EDGE('',*,*,#207609,.T.); #289720=ORIENTED_EDGE('',*,*,#207611,.T.); #289721=ORIENTED_EDGE('',*,*,#207581,.F.); #289722=ORIENTED_EDGE('',*,*,#207585,.T.); #289723=ORIENTED_EDGE('',*,*,#207610,.F.); #289724=ORIENTED_EDGE('',*,*,#207612,.T.); #289725=ORIENTED_EDGE('',*,*,#207613,.F.); #289726=ORIENTED_EDGE('',*,*,#207614,.T.); #289727=ORIENTED_EDGE('',*,*,#207611,.F.); #289728=ORIENTED_EDGE('',*,*,#207608,.F.); #289729=ORIENTED_EDGE('',*,*,#207615,.F.); #289730=ORIENTED_EDGE('',*,*,#207616,.F.); #289731=ORIENTED_EDGE('',*,*,#207617,.F.); #289732=ORIENTED_EDGE('',*,*,#207618,.F.); #289733=ORIENTED_EDGE('',*,*,#207619,.F.); #289734=ORIENTED_EDGE('',*,*,#207612,.F.); #289735=ORIENTED_EDGE('',*,*,#207620,.F.); #289736=ORIENTED_EDGE('',*,*,#207621,.T.); #289737=ORIENTED_EDGE('',*,*,#207615,.T.); #289738=ORIENTED_EDGE('',*,*,#207607,.F.); #289739=ORIENTED_EDGE('',*,*,#207622,.F.); #289740=ORIENTED_EDGE('',*,*,#207623,.T.); #289741=ORIENTED_EDGE('',*,*,#207624,.T.); #289742=ORIENTED_EDGE('',*,*,#207587,.F.); #289743=ORIENTED_EDGE('',*,*,#207625,.F.); #289744=ORIENTED_EDGE('',*,*,#207626,.F.); #289745=ORIENTED_EDGE('',*,*,#207627,.T.); #289746=ORIENTED_EDGE('',*,*,#207628,.T.); #289747=ORIENTED_EDGE('',*,*,#207629,.F.); #289748=ORIENTED_EDGE('',*,*,#207630,.F.); #289749=ORIENTED_EDGE('',*,*,#207631,.T.); #289750=ORIENTED_EDGE('',*,*,#207632,.T.); #289751=ORIENTED_EDGE('',*,*,#207633,.F.); #289752=ORIENTED_EDGE('',*,*,#207634,.F.); #289753=ORIENTED_EDGE('',*,*,#207635,.T.); #289754=ORIENTED_EDGE('',*,*,#207636,.T.); #289755=ORIENTED_EDGE('',*,*,#207637,.F.); #289756=ORIENTED_EDGE('',*,*,#207638,.F.); #289757=ORIENTED_EDGE('',*,*,#207639,.T.); #289758=ORIENTED_EDGE('',*,*,#207640,.T.); #289759=ORIENTED_EDGE('',*,*,#207641,.T.); #289760=ORIENTED_EDGE('',*,*,#207642,.F.); #289761=ORIENTED_EDGE('',*,*,#207591,.F.); #289762=ORIENTED_EDGE('',*,*,#207643,.T.); #289763=ORIENTED_EDGE('',*,*,#207644,.F.); #289764=ORIENTED_EDGE('',*,*,#207645,.T.); #289765=ORIENTED_EDGE('',*,*,#207646,.T.); #289766=ORIENTED_EDGE('',*,*,#207647,.F.); #289767=ORIENTED_EDGE('',*,*,#207648,.T.); #289768=ORIENTED_EDGE('',*,*,#207649,.F.); #289769=ORIENTED_EDGE('',*,*,#207595,.F.); #289770=ORIENTED_EDGE('',*,*,#207650,.T.); #289771=ORIENTED_EDGE('',*,*,#207651,.F.); #289772=ORIENTED_EDGE('',*,*,#207652,.T.); #289773=ORIENTED_EDGE('',*,*,#207653,.T.); #289774=ORIENTED_EDGE('',*,*,#207654,.F.); #289775=ORIENTED_EDGE('',*,*,#207655,.T.); #289776=ORIENTED_EDGE('',*,*,#207656,.F.); #289777=ORIENTED_EDGE('',*,*,#207599,.F.); #289778=ORIENTED_EDGE('',*,*,#207657,.T.); #289779=ORIENTED_EDGE('',*,*,#207658,.F.); #289780=ORIENTED_EDGE('',*,*,#207659,.T.); #289781=ORIENTED_EDGE('',*,*,#207660,.T.); #289782=ORIENTED_EDGE('',*,*,#207661,.F.); #289783=ORIENTED_EDGE('',*,*,#207662,.F.); #289784=ORIENTED_EDGE('',*,*,#207663,.T.); #289785=ORIENTED_EDGE('',*,*,#207593,.T.); #289786=ORIENTED_EDGE('',*,*,#207664,.T.); #289787=ORIENTED_EDGE('',*,*,#207665,.F.); #289788=ORIENTED_EDGE('',*,*,#207666,.T.); #289789=ORIENTED_EDGE('',*,*,#207589,.T.); #289790=ORIENTED_EDGE('',*,*,#207667,.T.); #289791=ORIENTED_EDGE('',*,*,#207668,.T.); #289792=ORIENTED_EDGE('',*,*,#207669,.F.); #289793=ORIENTED_EDGE('',*,*,#207603,.F.); #289794=ORIENTED_EDGE('',*,*,#207670,.T.); #289795=ORIENTED_EDGE('',*,*,#207671,.F.); #289796=ORIENTED_EDGE('',*,*,#207672,.T.); #289797=ORIENTED_EDGE('',*,*,#207673,.T.); #289798=ORIENTED_EDGE('',*,*,#207674,.F.); #289799=ORIENTED_EDGE('',*,*,#207601,.T.); #289800=ORIENTED_EDGE('',*,*,#207675,.T.); #289801=ORIENTED_EDGE('',*,*,#207676,.F.); #289802=ORIENTED_EDGE('',*,*,#207677,.T.); #289803=ORIENTED_EDGE('',*,*,#207655,.F.); #289804=ORIENTED_EDGE('',*,*,#207678,.F.); #289805=ORIENTED_EDGE('',*,*,#207679,.T.); #289806=ORIENTED_EDGE('',*,*,#207680,.F.); #289807=ORIENTED_EDGE('',*,*,#207648,.F.); #289808=ORIENTED_EDGE('',*,*,#207681,.F.); #289809=ORIENTED_EDGE('',*,*,#207662,.T.); #289810=ORIENTED_EDGE('',*,*,#207682,.F.); #289811=ORIENTED_EDGE('',*,*,#207641,.F.); #289812=ORIENTED_EDGE('',*,*,#207683,.F.); #289813=ORIENTED_EDGE('',*,*,#207665,.T.); #289814=ORIENTED_EDGE('',*,*,#207684,.T.); #289815=ORIENTED_EDGE('',*,*,#207623,.F.); #289816=ORIENTED_EDGE('',*,*,#207685,.F.); #289817=ORIENTED_EDGE('',*,*,#207686,.T.); #289818=ORIENTED_EDGE('',*,*,#207687,.F.); #289819=ORIENTED_EDGE('',*,*,#207668,.F.); #289820=ORIENTED_EDGE('',*,*,#207688,.F.); #289821=ORIENTED_EDGE('',*,*,#207676,.T.); #289822=ORIENTED_EDGE('',*,*,#207689,.F.); #289823=ORIENTED_EDGE('',*,*,#207605,.T.); #289824=ORIENTED_EDGE('',*,*,#207690,.T.); #289825=ORIENTED_EDGE('',*,*,#207686,.F.); #289826=ORIENTED_EDGE('',*,*,#207691,.F.); #289827=ORIENTED_EDGE('',*,*,#207685,.T.); #289828=ORIENTED_EDGE('',*,*,#207622,.T.); #289829=ORIENTED_EDGE('',*,*,#207606,.T.); #289830=ORIENTED_EDGE('',*,*,#207691,.T.); #289831=ORIENTED_EDGE('',*,*,#207684,.F.); #289832=ORIENTED_EDGE('',*,*,#207667,.F.); #289833=ORIENTED_EDGE('',*,*,#207588,.F.); #289834=ORIENTED_EDGE('',*,*,#207624,.F.); #289835=ORIENTED_EDGE('',*,*,#207582,.F.); #289836=ORIENTED_EDGE('',*,*,#207614,.F.); #289837=ORIENTED_EDGE('',*,*,#207692,.T.); #289838=ORIENTED_EDGE('',*,*,#207693,.T.); #289839=ORIENTED_EDGE('',*,*,#207694,.T.); #289840=ORIENTED_EDGE('',*,*,#207695,.F.); #289841=ORIENTED_EDGE('',*,*,#207620,.T.); #289842=ORIENTED_EDGE('',*,*,#207586,.T.); #289843=ORIENTED_EDGE('',*,*,#207616,.T.); #289844=ORIENTED_EDGE('',*,*,#207621,.F.); #289845=ORIENTED_EDGE('',*,*,#207695,.T.); #289846=ORIENTED_EDGE('',*,*,#207696,.T.); #289847=ORIENTED_EDGE('',*,*,#207617,.T.); #289848=ORIENTED_EDGE('',*,*,#207696,.F.); #289849=ORIENTED_EDGE('',*,*,#207694,.F.); #289850=ORIENTED_EDGE('',*,*,#207697,.T.); #289851=ORIENTED_EDGE('',*,*,#207618,.T.); #289852=ORIENTED_EDGE('',*,*,#207697,.F.); #289853=ORIENTED_EDGE('',*,*,#207693,.F.); #289854=ORIENTED_EDGE('',*,*,#207698,.T.); #289855=ORIENTED_EDGE('',*,*,#207619,.T.); #289856=ORIENTED_EDGE('',*,*,#207698,.F.); #289857=ORIENTED_EDGE('',*,*,#207692,.F.); #289858=ORIENTED_EDGE('',*,*,#207613,.T.); #289859=ORIENTED_EDGE('',*,*,#207688,.T.); #289860=ORIENTED_EDGE('',*,*,#207670,.F.); #289861=ORIENTED_EDGE('',*,*,#207602,.F.); #289862=ORIENTED_EDGE('',*,*,#207677,.F.); #289863=ORIENTED_EDGE('',*,*,#207604,.F.); #289864=ORIENTED_EDGE('',*,*,#207669,.T.); #289865=ORIENTED_EDGE('',*,*,#207687,.T.); #289866=ORIENTED_EDGE('',*,*,#207690,.F.); #289867=ORIENTED_EDGE('',*,*,#207699,.T.); #289868=ORIENTED_EDGE('',*,*,#207700,.T.); #289869=ORIENTED_EDGE('',*,*,#207701,.T.); #289870=ORIENTED_EDGE('',*,*,#207702,.T.); #289871=ORIENTED_EDGE('',*,*,#207703,.T.); #289872=ORIENTED_EDGE('',*,*,#207704,.T.); #289873=ORIENTED_EDGE('',*,*,#207705,.F.); #289874=ORIENTED_EDGE('',*,*,#207673,.F.); #289875=ORIENTED_EDGE('',*,*,#207706,.T.); #289876=ORIENTED_EDGE('',*,*,#207707,.T.); #289877=ORIENTED_EDGE('',*,*,#207708,.F.); #289878=ORIENTED_EDGE('',*,*,#207671,.T.); #289879=ORIENTED_EDGE('',*,*,#207708,.T.); #289880=ORIENTED_EDGE('',*,*,#207709,.T.); #289881=ORIENTED_EDGE('',*,*,#207703,.F.); #289882=ORIENTED_EDGE('',*,*,#207672,.F.); #289883=ORIENTED_EDGE('',*,*,#207705,.T.); #289884=ORIENTED_EDGE('',*,*,#207710,.T.); #289885=ORIENTED_EDGE('',*,*,#207706,.F.); #289886=ORIENTED_EDGE('',*,*,#207674,.T.); #289887=ORIENTED_EDGE('',*,*,#207711,.F.); #289888=ORIENTED_EDGE('',*,*,#207712,.T.); #289889=ORIENTED_EDGE('',*,*,#207713,.T.); #289890=ORIENTED_EDGE('',*,*,#207638,.T.); #289891=ORIENTED_EDGE('',*,*,#207714,.F.); #289892=ORIENTED_EDGE('',*,*,#207715,.T.); #289893=ORIENTED_EDGE('',*,*,#207716,.T.); #289894=ORIENTED_EDGE('',*,*,#207640,.F.); #289895=ORIENTED_EDGE('',*,*,#207716,.F.); #289896=ORIENTED_EDGE('',*,*,#207717,.T.); #289897=ORIENTED_EDGE('',*,*,#207711,.T.); #289898=ORIENTED_EDGE('',*,*,#207637,.T.); #289899=ORIENTED_EDGE('',*,*,#207713,.F.); #289900=ORIENTED_EDGE('',*,*,#207718,.T.); #289901=ORIENTED_EDGE('',*,*,#207714,.T.); #289902=ORIENTED_EDGE('',*,*,#207639,.F.); #289903=ORIENTED_EDGE('',*,*,#207719,.T.); #289904=ORIENTED_EDGE('',*,*,#207720,.T.); #289905=ORIENTED_EDGE('',*,*,#207721,.T.); #289906=ORIENTED_EDGE('',*,*,#207722,.T.); #289907=ORIENTED_EDGE('',*,*,#207723,.F.); #289908=ORIENTED_EDGE('',*,*,#207709,.F.); #289909=ORIENTED_EDGE('',*,*,#207724,.F.); #289910=ORIENTED_EDGE('',*,*,#207702,.F.); #289911=ORIENTED_EDGE('',*,*,#207724,.T.); #289912=ORIENTED_EDGE('',*,*,#207707,.F.); #289913=ORIENTED_EDGE('',*,*,#207725,.F.); #289914=ORIENTED_EDGE('',*,*,#207699,.F.); #289915=ORIENTED_EDGE('',*,*,#207723,.T.); #289916=ORIENTED_EDGE('',*,*,#207701,.F.); #289917=ORIENTED_EDGE('',*,*,#207726,.F.); #289918=ORIENTED_EDGE('',*,*,#207704,.F.); #289919=ORIENTED_EDGE('',*,*,#207725,.T.); #289920=ORIENTED_EDGE('',*,*,#207710,.F.); #289921=ORIENTED_EDGE('',*,*,#207726,.T.); #289922=ORIENTED_EDGE('',*,*,#207700,.F.); #289923=ORIENTED_EDGE('',*,*,#207727,.F.); #289924=ORIENTED_EDGE('',*,*,#207712,.F.); #289925=ORIENTED_EDGE('',*,*,#207728,.F.); #289926=ORIENTED_EDGE('',*,*,#207722,.F.); #289927=ORIENTED_EDGE('',*,*,#207728,.T.); #289928=ORIENTED_EDGE('',*,*,#207717,.F.); #289929=ORIENTED_EDGE('',*,*,#207729,.F.); #289930=ORIENTED_EDGE('',*,*,#207719,.F.); #289931=ORIENTED_EDGE('',*,*,#207727,.T.); #289932=ORIENTED_EDGE('',*,*,#207721,.F.); #289933=ORIENTED_EDGE('',*,*,#207730,.F.); #289934=ORIENTED_EDGE('',*,*,#207718,.F.); #289935=ORIENTED_EDGE('',*,*,#207729,.T.); #289936=ORIENTED_EDGE('',*,*,#207715,.F.); #289937=ORIENTED_EDGE('',*,*,#207730,.T.); #289938=ORIENTED_EDGE('',*,*,#207720,.F.); #289939=ORIENTED_EDGE('',*,*,#207683,.T.); #289940=ORIENTED_EDGE('',*,*,#207643,.F.); #289941=ORIENTED_EDGE('',*,*,#207590,.F.); #289942=ORIENTED_EDGE('',*,*,#207666,.F.); #289943=ORIENTED_EDGE('',*,*,#207592,.F.); #289944=ORIENTED_EDGE('',*,*,#207642,.T.); #289945=ORIENTED_EDGE('',*,*,#207682,.T.); #289946=ORIENTED_EDGE('',*,*,#207664,.F.); #289947=ORIENTED_EDGE('',*,*,#207681,.T.); #289948=ORIENTED_EDGE('',*,*,#207650,.F.); #289949=ORIENTED_EDGE('',*,*,#207594,.F.); #289950=ORIENTED_EDGE('',*,*,#207663,.F.); #289951=ORIENTED_EDGE('',*,*,#207596,.F.); #289952=ORIENTED_EDGE('',*,*,#207649,.T.); #289953=ORIENTED_EDGE('',*,*,#207680,.T.); #289954=ORIENTED_EDGE('',*,*,#207731,.F.); #289955=ORIENTED_EDGE('',*,*,#207678,.T.); #289956=ORIENTED_EDGE('',*,*,#207657,.F.); #289957=ORIENTED_EDGE('',*,*,#207598,.F.); #289958=ORIENTED_EDGE('',*,*,#207732,.F.); #289959=ORIENTED_EDGE('',*,*,#207600,.F.); #289960=ORIENTED_EDGE('',*,*,#207656,.T.); #289961=ORIENTED_EDGE('',*,*,#207689,.T.); #289962=ORIENTED_EDGE('',*,*,#207675,.F.); #289963=ORIENTED_EDGE('',*,*,#207733,.T.); #289964=ORIENTED_EDGE('',*,*,#207734,.T.); #289965=ORIENTED_EDGE('',*,*,#207735,.T.); #289966=ORIENTED_EDGE('',*,*,#207736,.T.); #289967=ORIENTED_EDGE('',*,*,#207737,.T.); #289968=ORIENTED_EDGE('',*,*,#207738,.T.); #289969=ORIENTED_EDGE('',*,*,#207739,.F.); #289970=ORIENTED_EDGE('',*,*,#207660,.F.); #289971=ORIENTED_EDGE('',*,*,#207740,.T.); #289972=ORIENTED_EDGE('',*,*,#207741,.T.); #289973=ORIENTED_EDGE('',*,*,#207742,.F.); #289974=ORIENTED_EDGE('',*,*,#207658,.T.); #289975=ORIENTED_EDGE('',*,*,#207742,.T.); #289976=ORIENTED_EDGE('',*,*,#207743,.T.); #289977=ORIENTED_EDGE('',*,*,#207737,.F.); #289978=ORIENTED_EDGE('',*,*,#207659,.F.); #289979=ORIENTED_EDGE('',*,*,#207739,.T.); #289980=ORIENTED_EDGE('',*,*,#207744,.T.); #289981=ORIENTED_EDGE('',*,*,#207740,.F.); #289982=ORIENTED_EDGE('',*,*,#207661,.T.); #289983=ORIENTED_EDGE('',*,*,#207745,.F.); #289984=ORIENTED_EDGE('',*,*,#207746,.T.); #289985=ORIENTED_EDGE('',*,*,#207747,.T.); #289986=ORIENTED_EDGE('',*,*,#207626,.T.); #289987=ORIENTED_EDGE('',*,*,#207748,.F.); #289988=ORIENTED_EDGE('',*,*,#207749,.T.); #289989=ORIENTED_EDGE('',*,*,#207750,.T.); #289990=ORIENTED_EDGE('',*,*,#207628,.F.); #289991=ORIENTED_EDGE('',*,*,#207750,.F.); #289992=ORIENTED_EDGE('',*,*,#207751,.T.); #289993=ORIENTED_EDGE('',*,*,#207745,.T.); #289994=ORIENTED_EDGE('',*,*,#207625,.T.); #289995=ORIENTED_EDGE('',*,*,#207747,.F.); #289996=ORIENTED_EDGE('',*,*,#207752,.T.); #289997=ORIENTED_EDGE('',*,*,#207748,.T.); #289998=ORIENTED_EDGE('',*,*,#207627,.F.); #289999=ORIENTED_EDGE('',*,*,#207753,.T.); #290000=ORIENTED_EDGE('',*,*,#207754,.T.); #290001=ORIENTED_EDGE('',*,*,#207755,.T.); #290002=ORIENTED_EDGE('',*,*,#207756,.T.); #290003=ORIENTED_EDGE('',*,*,#207757,.T.); #290004=ORIENTED_EDGE('',*,*,#207758,.T.); #290005=ORIENTED_EDGE('',*,*,#207759,.T.); #290006=ORIENTED_EDGE('',*,*,#207760,.T.); #290007=ORIENTED_EDGE('',*,*,#207761,.T.); #290008=ORIENTED_EDGE('',*,*,#207762,.T.); #290009=ORIENTED_EDGE('',*,*,#207763,.F.); #290010=ORIENTED_EDGE('',*,*,#207653,.F.); #290011=ORIENTED_EDGE('',*,*,#207764,.T.); #290012=ORIENTED_EDGE('',*,*,#207765,.T.); #290013=ORIENTED_EDGE('',*,*,#207766,.F.); #290014=ORIENTED_EDGE('',*,*,#207651,.T.); #290015=ORIENTED_EDGE('',*,*,#207766,.T.); #290016=ORIENTED_EDGE('',*,*,#207767,.T.); #290017=ORIENTED_EDGE('',*,*,#207761,.F.); #290018=ORIENTED_EDGE('',*,*,#207652,.F.); #290019=ORIENTED_EDGE('',*,*,#207763,.T.); #290020=ORIENTED_EDGE('',*,*,#207768,.T.); #290021=ORIENTED_EDGE('',*,*,#207764,.F.); #290022=ORIENTED_EDGE('',*,*,#207654,.T.); #290023=ORIENTED_EDGE('',*,*,#207769,.F.); #290024=ORIENTED_EDGE('',*,*,#207770,.T.); #290025=ORIENTED_EDGE('',*,*,#207771,.T.); #290026=ORIENTED_EDGE('',*,*,#207634,.T.); #290027=ORIENTED_EDGE('',*,*,#207772,.F.); #290028=ORIENTED_EDGE('',*,*,#207773,.T.); #290029=ORIENTED_EDGE('',*,*,#207774,.T.); #290030=ORIENTED_EDGE('',*,*,#207636,.F.); #290031=ORIENTED_EDGE('',*,*,#207774,.F.); #290032=ORIENTED_EDGE('',*,*,#207775,.T.); #290033=ORIENTED_EDGE('',*,*,#207769,.T.); #290034=ORIENTED_EDGE('',*,*,#207633,.T.); #290035=ORIENTED_EDGE('',*,*,#207771,.F.); #290036=ORIENTED_EDGE('',*,*,#207776,.T.); #290037=ORIENTED_EDGE('',*,*,#207772,.T.); #290038=ORIENTED_EDGE('',*,*,#207635,.F.); #290039=ORIENTED_EDGE('',*,*,#207777,.T.); #290040=ORIENTED_EDGE('',*,*,#207778,.T.); #290041=ORIENTED_EDGE('',*,*,#207779,.T.); #290042=ORIENTED_EDGE('',*,*,#207780,.T.); #290043=ORIENTED_EDGE('',*,*,#207781,.T.); #290044=ORIENTED_EDGE('',*,*,#207782,.T.); #290045=ORIENTED_EDGE('',*,*,#207783,.T.); #290046=ORIENTED_EDGE('',*,*,#207784,.T.); #290047=ORIENTED_EDGE('',*,*,#207785,.T.); #290048=ORIENTED_EDGE('',*,*,#207786,.T.); #290049=ORIENTED_EDGE('',*,*,#207787,.F.); #290050=ORIENTED_EDGE('',*,*,#207646,.F.); #290051=ORIENTED_EDGE('',*,*,#207788,.T.); #290052=ORIENTED_EDGE('',*,*,#207789,.T.); #290053=ORIENTED_EDGE('',*,*,#207790,.F.); #290054=ORIENTED_EDGE('',*,*,#207644,.T.); #290055=ORIENTED_EDGE('',*,*,#207790,.T.); #290056=ORIENTED_EDGE('',*,*,#207791,.T.); #290057=ORIENTED_EDGE('',*,*,#207785,.F.); #290058=ORIENTED_EDGE('',*,*,#207645,.F.); #290059=ORIENTED_EDGE('',*,*,#207787,.T.); #290060=ORIENTED_EDGE('',*,*,#207792,.T.); #290061=ORIENTED_EDGE('',*,*,#207788,.F.); #290062=ORIENTED_EDGE('',*,*,#207647,.T.); #290063=ORIENTED_EDGE('',*,*,#207793,.F.); #290064=ORIENTED_EDGE('',*,*,#207794,.T.); #290065=ORIENTED_EDGE('',*,*,#207795,.T.); #290066=ORIENTED_EDGE('',*,*,#207630,.T.); #290067=ORIENTED_EDGE('',*,*,#207796,.F.); #290068=ORIENTED_EDGE('',*,*,#207797,.T.); #290069=ORIENTED_EDGE('',*,*,#207798,.T.); #290070=ORIENTED_EDGE('',*,*,#207632,.F.); #290071=ORIENTED_EDGE('',*,*,#207798,.F.); #290072=ORIENTED_EDGE('',*,*,#207799,.T.); #290073=ORIENTED_EDGE('',*,*,#207793,.T.); #290074=ORIENTED_EDGE('',*,*,#207629,.T.); #290075=ORIENTED_EDGE('',*,*,#207795,.F.); #290076=ORIENTED_EDGE('',*,*,#207800,.T.); #290077=ORIENTED_EDGE('',*,*,#207796,.T.); #290078=ORIENTED_EDGE('',*,*,#207631,.F.); #290079=ORIENTED_EDGE('',*,*,#207801,.T.); #290080=ORIENTED_EDGE('',*,*,#207802,.T.); #290081=ORIENTED_EDGE('',*,*,#207803,.T.); #290082=ORIENTED_EDGE('',*,*,#207804,.T.); #290083=ORIENTED_EDGE('',*,*,#207805,.F.); #290084=ORIENTED_EDGE('',*,*,#207743,.F.); #290085=ORIENTED_EDGE('',*,*,#207806,.F.); #290086=ORIENTED_EDGE('',*,*,#207736,.F.); #290087=ORIENTED_EDGE('',*,*,#207806,.T.); #290088=ORIENTED_EDGE('',*,*,#207741,.F.); #290089=ORIENTED_EDGE('',*,*,#207807,.F.); #290090=ORIENTED_EDGE('',*,*,#207733,.F.); #290091=ORIENTED_EDGE('',*,*,#207805,.T.); #290092=ORIENTED_EDGE('',*,*,#207735,.F.); #290093=ORIENTED_EDGE('',*,*,#207808,.F.); #290094=ORIENTED_EDGE('',*,*,#207738,.F.); #290095=ORIENTED_EDGE('',*,*,#207807,.T.); #290096=ORIENTED_EDGE('',*,*,#207744,.F.); #290097=ORIENTED_EDGE('',*,*,#207808,.T.); #290098=ORIENTED_EDGE('',*,*,#207734,.F.); #290099=ORIENTED_EDGE('',*,*,#207809,.F.); #290100=ORIENTED_EDGE('',*,*,#207746,.F.); #290101=ORIENTED_EDGE('',*,*,#207810,.F.); #290102=ORIENTED_EDGE('',*,*,#207756,.F.); #290103=ORIENTED_EDGE('',*,*,#207810,.T.); #290104=ORIENTED_EDGE('',*,*,#207751,.F.); #290105=ORIENTED_EDGE('',*,*,#207811,.F.); #290106=ORIENTED_EDGE('',*,*,#207753,.F.); #290107=ORIENTED_EDGE('',*,*,#207809,.T.); #290108=ORIENTED_EDGE('',*,*,#207755,.F.); #290109=ORIENTED_EDGE('',*,*,#207812,.F.); #290110=ORIENTED_EDGE('',*,*,#207752,.F.); #290111=ORIENTED_EDGE('',*,*,#207811,.T.); #290112=ORIENTED_EDGE('',*,*,#207749,.F.); #290113=ORIENTED_EDGE('',*,*,#207812,.T.); #290114=ORIENTED_EDGE('',*,*,#207754,.F.); #290115=ORIENTED_EDGE('',*,*,#207813,.F.); #290116=ORIENTED_EDGE('',*,*,#207767,.F.); #290117=ORIENTED_EDGE('',*,*,#207814,.F.); #290118=ORIENTED_EDGE('',*,*,#207760,.F.); #290119=ORIENTED_EDGE('',*,*,#207814,.T.); #290120=ORIENTED_EDGE('',*,*,#207765,.F.); #290121=ORIENTED_EDGE('',*,*,#207815,.F.); #290122=ORIENTED_EDGE('',*,*,#207757,.F.); #290123=ORIENTED_EDGE('',*,*,#207813,.T.); #290124=ORIENTED_EDGE('',*,*,#207759,.F.); #290125=ORIENTED_EDGE('',*,*,#207816,.F.); #290126=ORIENTED_EDGE('',*,*,#207762,.F.); #290127=ORIENTED_EDGE('',*,*,#207815,.T.); #290128=ORIENTED_EDGE('',*,*,#207768,.F.); #290129=ORIENTED_EDGE('',*,*,#207816,.T.); #290130=ORIENTED_EDGE('',*,*,#207758,.F.); #290131=ORIENTED_EDGE('',*,*,#207817,.F.); #290132=ORIENTED_EDGE('',*,*,#207770,.F.); #290133=ORIENTED_EDGE('',*,*,#207818,.F.); #290134=ORIENTED_EDGE('',*,*,#207780,.F.); #290135=ORIENTED_EDGE('',*,*,#207818,.T.); #290136=ORIENTED_EDGE('',*,*,#207775,.F.); #290137=ORIENTED_EDGE('',*,*,#207819,.F.); #290138=ORIENTED_EDGE('',*,*,#207777,.F.); #290139=ORIENTED_EDGE('',*,*,#207817,.T.); #290140=ORIENTED_EDGE('',*,*,#207779,.F.); #290141=ORIENTED_EDGE('',*,*,#207820,.F.); #290142=ORIENTED_EDGE('',*,*,#207776,.F.); #290143=ORIENTED_EDGE('',*,*,#207819,.T.); #290144=ORIENTED_EDGE('',*,*,#207773,.F.); #290145=ORIENTED_EDGE('',*,*,#207820,.T.); #290146=ORIENTED_EDGE('',*,*,#207778,.F.); #290147=ORIENTED_EDGE('',*,*,#207821,.F.); #290148=ORIENTED_EDGE('',*,*,#207791,.F.); #290149=ORIENTED_EDGE('',*,*,#207822,.F.); #290150=ORIENTED_EDGE('',*,*,#207784,.F.); #290151=ORIENTED_EDGE('',*,*,#207822,.T.); #290152=ORIENTED_EDGE('',*,*,#207789,.F.); #290153=ORIENTED_EDGE('',*,*,#207823,.F.); #290154=ORIENTED_EDGE('',*,*,#207781,.F.); #290155=ORIENTED_EDGE('',*,*,#207821,.T.); #290156=ORIENTED_EDGE('',*,*,#207783,.F.); #290157=ORIENTED_EDGE('',*,*,#207824,.F.); #290158=ORIENTED_EDGE('',*,*,#207786,.F.); #290159=ORIENTED_EDGE('',*,*,#207823,.T.); #290160=ORIENTED_EDGE('',*,*,#207792,.F.); #290161=ORIENTED_EDGE('',*,*,#207824,.T.); #290162=ORIENTED_EDGE('',*,*,#207782,.F.); #290163=ORIENTED_EDGE('',*,*,#207825,.F.); #290164=ORIENTED_EDGE('',*,*,#207794,.F.); #290165=ORIENTED_EDGE('',*,*,#207826,.F.); #290166=ORIENTED_EDGE('',*,*,#207804,.F.); #290167=ORIENTED_EDGE('',*,*,#207826,.T.); #290168=ORIENTED_EDGE('',*,*,#207799,.F.); #290169=ORIENTED_EDGE('',*,*,#207827,.F.); #290170=ORIENTED_EDGE('',*,*,#207801,.F.); #290171=ORIENTED_EDGE('',*,*,#207825,.T.); #290172=ORIENTED_EDGE('',*,*,#207803,.F.); #290173=ORIENTED_EDGE('',*,*,#207828,.F.); #290174=ORIENTED_EDGE('',*,*,#207800,.F.); #290175=ORIENTED_EDGE('',*,*,#207827,.T.); #290176=ORIENTED_EDGE('',*,*,#207797,.F.); #290177=ORIENTED_EDGE('',*,*,#207828,.T.); #290178=ORIENTED_EDGE('',*,*,#207802,.F.); #290179=ORIENTED_EDGE('',*,*,#207679,.F.); #290180=ORIENTED_EDGE('',*,*,#207732,.T.); #290181=ORIENTED_EDGE('',*,*,#207597,.T.); #290182=ORIENTED_EDGE('',*,*,#207731,.T.); #290183=ORIENTED_EDGE('',*,*,#207829,.F.); #290184=ORIENTED_EDGE('',*,*,#207830,.F.); #290185=ORIENTED_EDGE('',*,*,#207831,.F.); #290186=ORIENTED_EDGE('',*,*,#207832,.F.); #290187=ORIENTED_EDGE('',*,*,#207833,.F.); #290188=ORIENTED_EDGE('',*,*,#207834,.F.); #290189=ORIENTED_EDGE('',*,*,#207835,.F.); #290190=ORIENTED_EDGE('',*,*,#207836,.F.); #290191=ORIENTED_EDGE('',*,*,#207837,.F.); #290192=ORIENTED_EDGE('',*,*,#207838,.F.); #290193=ORIENTED_EDGE('',*,*,#207839,.F.); #290194=ORIENTED_EDGE('',*,*,#207840,.F.); #290195=ORIENTED_EDGE('',*,*,#207841,.F.); #290196=ORIENTED_EDGE('',*,*,#207842,.F.); #290197=ORIENTED_EDGE('',*,*,#207843,.F.); #290198=ORIENTED_EDGE('',*,*,#207842,.T.); #290199=ORIENTED_EDGE('',*,*,#207844,.T.); #290200=ORIENTED_EDGE('',*,*,#207837,.T.); #290201=ORIENTED_EDGE('',*,*,#207845,.T.); #290202=ORIENTED_EDGE('',*,*,#207846,.F.); #290203=ORIENTED_EDGE('',*,*,#207847,.F.); #290204=ORIENTED_EDGE('',*,*,#207848,.F.); #290205=ORIENTED_EDGE('',*,*,#207849,.F.); #290206=ORIENTED_EDGE('',*,*,#207850,.F.); #290207=ORIENTED_EDGE('',*,*,#207851,.F.); #290208=ORIENTED_EDGE('',*,*,#207836,.T.); #290209=ORIENTED_EDGE('',*,*,#207852,.T.); #290210=ORIENTED_EDGE('',*,*,#207850,.T.); #290211=ORIENTED_EDGE('',*,*,#207853,.T.); #290212=ORIENTED_EDGE('',*,*,#207839,.T.); #290213=ORIENTED_EDGE('',*,*,#207854,.T.); #290214=ORIENTED_EDGE('',*,*,#207851,.T.); #290215=ORIENTED_EDGE('',*,*,#207855,.T.); #290216=ORIENTED_EDGE('',*,*,#207856,.F.); #290217=ORIENTED_EDGE('',*,*,#207857,.F.); #290218=ORIENTED_EDGE('',*,*,#207858,.F.); #290219=ORIENTED_EDGE('',*,*,#207843,.T.); #290220=ORIENTED_EDGE('',*,*,#207859,.T.); #290221=ORIENTED_EDGE('',*,*,#207857,.T.); #290222=ORIENTED_EDGE('',*,*,#207860,.T.); #290223=ORIENTED_EDGE('',*,*,#207849,.T.); #290224=ORIENTED_EDGE('',*,*,#207861,.T.); #290225=ORIENTED_EDGE('',*,*,#207858,.T.); #290226=ORIENTED_EDGE('',*,*,#207862,.T.); #290227=ORIENTED_EDGE('',*,*,#207847,.T.); #290228=ORIENTED_EDGE('',*,*,#207863,.T.); #290229=ORIENTED_EDGE('',*,*,#207856,.T.); #290230=ORIENTED_EDGE('',*,*,#207864,.T.); #290231=ORIENTED_EDGE('',*,*,#207838,.T.); #290232=ORIENTED_EDGE('',*,*,#207865,.T.); #290233=ORIENTED_EDGE('',*,*,#207848,.T.); #290234=ORIENTED_EDGE('',*,*,#207866,.T.); #290235=ORIENTED_EDGE('',*,*,#207846,.T.); #290236=ORIENTED_EDGE('',*,*,#207867,.T.); #290237=ORIENTED_EDGE('',*,*,#207834,.T.); #290238=ORIENTED_EDGE('',*,*,#207868,.T.); #290239=ORIENTED_EDGE('',*,*,#207833,.T.); #290240=ORIENTED_EDGE('',*,*,#207869,.T.); #290241=ORIENTED_EDGE('',*,*,#207841,.T.); #290242=ORIENTED_EDGE('',*,*,#207870,.T.); #290243=ORIENTED_EDGE('',*,*,#207829,.T.); #290244=ORIENTED_EDGE('',*,*,#207871,.T.); #290245=ORIENTED_EDGE('',*,*,#207840,.T.); #290246=ORIENTED_EDGE('',*,*,#207872,.T.); #290247=ORIENTED_EDGE('',*,*,#207830,.T.); #290248=ORIENTED_EDGE('',*,*,#207873,.T.); #290249=ORIENTED_EDGE('',*,*,#207835,.T.); #290250=ORIENTED_EDGE('',*,*,#207874,.T.); #290251=ORIENTED_EDGE('',*,*,#207832,.T.); #290252=ORIENTED_EDGE('',*,*,#207875,.T.); #290253=ORIENTED_EDGE('',*,*,#207831,.T.); #290254=ORIENTED_EDGE('',*,*,#207876,.T.); #290255=ORIENTED_EDGE('',*,*,#207844,.F.); #290256=ORIENTED_EDGE('',*,*,#207869,.F.); #290257=ORIENTED_EDGE('',*,*,#207876,.F.); #290258=ORIENTED_EDGE('',*,*,#207874,.F.); #290259=ORIENTED_EDGE('',*,*,#207845,.F.); #290260=ORIENTED_EDGE('',*,*,#207853,.F.); #290261=ORIENTED_EDGE('',*,*,#207862,.F.); #290262=ORIENTED_EDGE('',*,*,#207859,.F.); #290263=ORIENTED_EDGE('',*,*,#207852,.F.); #290264=ORIENTED_EDGE('',*,*,#207873,.F.); #290265=ORIENTED_EDGE('',*,*,#207872,.F.); #290266=ORIENTED_EDGE('',*,*,#207855,.F.); #290267=ORIENTED_EDGE('',*,*,#207854,.F.); #290268=ORIENTED_EDGE('',*,*,#207866,.F.); #290269=ORIENTED_EDGE('',*,*,#207864,.F.); #290270=ORIENTED_EDGE('',*,*,#207861,.F.); #290271=ORIENTED_EDGE('',*,*,#207860,.F.); #290272=ORIENTED_EDGE('',*,*,#207863,.F.); #290273=ORIENTED_EDGE('',*,*,#207868,.F.); #290274=ORIENTED_EDGE('',*,*,#207870,.F.); #290275=ORIENTED_EDGE('',*,*,#207865,.F.); #290276=ORIENTED_EDGE('',*,*,#207871,.F.); #290277=ORIENTED_EDGE('',*,*,#207875,.F.); #290278=ORIENTED_EDGE('',*,*,#207867,.F.); #290279=ORIENTED_EDGE('',*,*,#207877,.F.); #290280=ORIENTED_EDGE('',*,*,#207878,.F.); #290281=ORIENTED_EDGE('',*,*,#207879,.F.); #290282=ORIENTED_EDGE('',*,*,#207880,.F.); #290283=ORIENTED_EDGE('',*,*,#207881,.F.); #290284=ORIENTED_EDGE('',*,*,#207882,.F.); #290285=ORIENTED_EDGE('',*,*,#207883,.F.); #290286=ORIENTED_EDGE('',*,*,#207884,.F.); #290287=ORIENTED_EDGE('',*,*,#207885,.F.); #290288=ORIENTED_EDGE('',*,*,#207886,.F.); #290289=ORIENTED_EDGE('',*,*,#207887,.F.); #290290=ORIENTED_EDGE('',*,*,#207888,.F.); #290291=ORIENTED_EDGE('',*,*,#207889,.F.); #290292=ORIENTED_EDGE('',*,*,#207890,.F.); #290293=ORIENTED_EDGE('',*,*,#207891,.F.); #290294=ORIENTED_EDGE('',*,*,#207890,.T.); #290295=ORIENTED_EDGE('',*,*,#207892,.T.); #290296=ORIENTED_EDGE('',*,*,#207885,.T.); #290297=ORIENTED_EDGE('',*,*,#207893,.T.); #290298=ORIENTED_EDGE('',*,*,#207894,.F.); #290299=ORIENTED_EDGE('',*,*,#207895,.F.); #290300=ORIENTED_EDGE('',*,*,#207896,.F.); #290301=ORIENTED_EDGE('',*,*,#207897,.F.); #290302=ORIENTED_EDGE('',*,*,#207898,.F.); #290303=ORIENTED_EDGE('',*,*,#207899,.F.); #290304=ORIENTED_EDGE('',*,*,#207884,.T.); #290305=ORIENTED_EDGE('',*,*,#207900,.T.); #290306=ORIENTED_EDGE('',*,*,#207898,.T.); #290307=ORIENTED_EDGE('',*,*,#207901,.T.); #290308=ORIENTED_EDGE('',*,*,#207887,.T.); #290309=ORIENTED_EDGE('',*,*,#207902,.T.); #290310=ORIENTED_EDGE('',*,*,#207899,.T.); #290311=ORIENTED_EDGE('',*,*,#207903,.T.); #290312=ORIENTED_EDGE('',*,*,#207904,.F.); #290313=ORIENTED_EDGE('',*,*,#207905,.F.); #290314=ORIENTED_EDGE('',*,*,#207906,.F.); #290315=ORIENTED_EDGE('',*,*,#207905,.T.); #290316=ORIENTED_EDGE('',*,*,#207907,.T.); #290317=ORIENTED_EDGE('',*,*,#207891,.T.); #290318=ORIENTED_EDGE('',*,*,#207908,.T.); #290319=ORIENTED_EDGE('',*,*,#207897,.T.); #290320=ORIENTED_EDGE('',*,*,#207909,.T.); #290321=ORIENTED_EDGE('',*,*,#207906,.T.); #290322=ORIENTED_EDGE('',*,*,#207910,.T.); #290323=ORIENTED_EDGE('',*,*,#207895,.T.); #290324=ORIENTED_EDGE('',*,*,#207911,.T.); #290325=ORIENTED_EDGE('',*,*,#207904,.T.); #290326=ORIENTED_EDGE('',*,*,#207912,.T.); #290327=ORIENTED_EDGE('',*,*,#207886,.T.); #290328=ORIENTED_EDGE('',*,*,#207913,.T.); #290329=ORIENTED_EDGE('',*,*,#207896,.T.); #290330=ORIENTED_EDGE('',*,*,#207914,.T.); #290331=ORIENTED_EDGE('',*,*,#207894,.T.); #290332=ORIENTED_EDGE('',*,*,#207915,.T.); #290333=ORIENTED_EDGE('',*,*,#207882,.T.); #290334=ORIENTED_EDGE('',*,*,#207916,.T.); #290335=ORIENTED_EDGE('',*,*,#207881,.T.); #290336=ORIENTED_EDGE('',*,*,#207917,.T.); #290337=ORIENTED_EDGE('',*,*,#207889,.T.); #290338=ORIENTED_EDGE('',*,*,#207918,.T.); #290339=ORIENTED_EDGE('',*,*,#207877,.T.); #290340=ORIENTED_EDGE('',*,*,#207919,.T.); #290341=ORIENTED_EDGE('',*,*,#207888,.T.); #290342=ORIENTED_EDGE('',*,*,#207920,.T.); #290343=ORIENTED_EDGE('',*,*,#207878,.T.); #290344=ORIENTED_EDGE('',*,*,#207921,.T.); #290345=ORIENTED_EDGE('',*,*,#207883,.T.); #290346=ORIENTED_EDGE('',*,*,#207922,.T.); #290347=ORIENTED_EDGE('',*,*,#207880,.T.); #290348=ORIENTED_EDGE('',*,*,#207923,.T.); #290349=ORIENTED_EDGE('',*,*,#207879,.T.); #290350=ORIENTED_EDGE('',*,*,#207924,.T.); #290351=ORIENTED_EDGE('',*,*,#207892,.F.); #290352=ORIENTED_EDGE('',*,*,#207917,.F.); #290353=ORIENTED_EDGE('',*,*,#207924,.F.); #290354=ORIENTED_EDGE('',*,*,#207922,.F.); #290355=ORIENTED_EDGE('',*,*,#207893,.F.); #290356=ORIENTED_EDGE('',*,*,#207901,.F.); #290357=ORIENTED_EDGE('',*,*,#207910,.F.); #290358=ORIENTED_EDGE('',*,*,#207908,.F.); #290359=ORIENTED_EDGE('',*,*,#207900,.F.); #290360=ORIENTED_EDGE('',*,*,#207921,.F.); #290361=ORIENTED_EDGE('',*,*,#207920,.F.); #290362=ORIENTED_EDGE('',*,*,#207903,.F.); #290363=ORIENTED_EDGE('',*,*,#207902,.F.); #290364=ORIENTED_EDGE('',*,*,#207914,.F.); #290365=ORIENTED_EDGE('',*,*,#207912,.F.); #290366=ORIENTED_EDGE('',*,*,#207909,.F.); #290367=ORIENTED_EDGE('',*,*,#207907,.F.); #290368=ORIENTED_EDGE('',*,*,#207911,.F.); #290369=ORIENTED_EDGE('',*,*,#207916,.F.); #290370=ORIENTED_EDGE('',*,*,#207918,.F.); #290371=ORIENTED_EDGE('',*,*,#207913,.F.); #290372=ORIENTED_EDGE('',*,*,#207919,.F.); #290373=ORIENTED_EDGE('',*,*,#207923,.F.); #290374=ORIENTED_EDGE('',*,*,#207915,.F.); #290375=ORIENTED_EDGE('',*,*,#207925,.F.); #290376=ORIENTED_EDGE('',*,*,#207926,.T.); #290377=ORIENTED_EDGE('',*,*,#207927,.F.); #290378=ORIENTED_EDGE('',*,*,#207928,.F.); #290379=ORIENTED_EDGE('',*,*,#207929,.T.); #290380=ORIENTED_EDGE('',*,*,#207928,.T.); #290381=ORIENTED_EDGE('',*,*,#207930,.F.); #290382=ORIENTED_EDGE('',*,*,#207931,.F.); #290383=ORIENTED_EDGE('',*,*,#207932,.T.); #290384=ORIENTED_EDGE('',*,*,#207931,.T.); #290385=ORIENTED_EDGE('',*,*,#207933,.F.); #290386=ORIENTED_EDGE('',*,*,#207934,.F.); #290387=ORIENTED_EDGE('',*,*,#207935,.T.); #290388=ORIENTED_EDGE('',*,*,#207934,.T.); #290389=ORIENTED_EDGE('',*,*,#207936,.F.); #290390=ORIENTED_EDGE('',*,*,#207926,.F.); #290391=ORIENTED_EDGE('',*,*,#207936,.T.); #290392=ORIENTED_EDGE('',*,*,#207933,.T.); #290393=ORIENTED_EDGE('',*,*,#207930,.T.); #290394=ORIENTED_EDGE('',*,*,#207927,.T.); #290395=ORIENTED_EDGE('',*,*,#207935,.F.); #290396=ORIENTED_EDGE('',*,*,#207925,.T.); #290397=ORIENTED_EDGE('',*,*,#207929,.F.); #290398=ORIENTED_EDGE('',*,*,#207932,.F.); #290399=ORIENTED_EDGE('',*,*,#207937,.F.); #290400=ORIENTED_EDGE('',*,*,#207938,.F.); #290401=ORIENTED_EDGE('',*,*,#207939,.F.); #290402=ORIENTED_EDGE('',*,*,#207940,.F.); #290403=ORIENTED_EDGE('',*,*,#207941,.T.); #290404=ORIENTED_EDGE('',*,*,#207942,.T.); #290405=ORIENTED_EDGE('',*,*,#207943,.T.); #290406=ORIENTED_EDGE('',*,*,#207944,.T.); #290407=ORIENTED_EDGE('',*,*,#207941,.F.); #290408=ORIENTED_EDGE('',*,*,#207945,.T.); #290409=ORIENTED_EDGE('',*,*,#207937,.T.); #290410=ORIENTED_EDGE('',*,*,#207946,.F.); #290411=ORIENTED_EDGE('',*,*,#207942,.F.); #290412=ORIENTED_EDGE('',*,*,#207946,.T.); #290413=ORIENTED_EDGE('',*,*,#207940,.T.); #290414=ORIENTED_EDGE('',*,*,#207947,.F.); #290415=ORIENTED_EDGE('',*,*,#207943,.F.); #290416=ORIENTED_EDGE('',*,*,#207947,.T.); #290417=ORIENTED_EDGE('',*,*,#207939,.T.); #290418=ORIENTED_EDGE('',*,*,#207948,.F.); #290419=ORIENTED_EDGE('',*,*,#207944,.F.); #290420=ORIENTED_EDGE('',*,*,#207948,.T.); #290421=ORIENTED_EDGE('',*,*,#207938,.T.); #290422=ORIENTED_EDGE('',*,*,#207945,.F.); #290423=ORIENTED_EDGE('',*,*,#207949,.T.); #290424=ORIENTED_EDGE('',*,*,#207950,.T.); #290425=ORIENTED_EDGE('',*,*,#207951,.F.); #290426=ORIENTED_EDGE('',*,*,#207952,.F.); #290427=ORIENTED_EDGE('',*,*,#207953,.T.); #290428=ORIENTED_EDGE('',*,*,#207952,.T.); #290429=ORIENTED_EDGE('',*,*,#207954,.F.); #290430=ORIENTED_EDGE('',*,*,#207955,.F.); #290431=ORIENTED_EDGE('',*,*,#207956,.T.); #290432=ORIENTED_EDGE('',*,*,#207955,.T.); #290433=ORIENTED_EDGE('',*,*,#207957,.F.); #290434=ORIENTED_EDGE('',*,*,#207958,.F.); #290435=ORIENTED_EDGE('',*,*,#207959,.T.); #290436=ORIENTED_EDGE('',*,*,#207958,.T.); #290437=ORIENTED_EDGE('',*,*,#207960,.F.); #290438=ORIENTED_EDGE('',*,*,#207950,.F.); #290439=ORIENTED_EDGE('',*,*,#207960,.T.); #290440=ORIENTED_EDGE('',*,*,#207957,.T.); #290441=ORIENTED_EDGE('',*,*,#207954,.T.); #290442=ORIENTED_EDGE('',*,*,#207951,.T.); #290443=ORIENTED_EDGE('',*,*,#207959,.F.); #290444=ORIENTED_EDGE('',*,*,#207949,.F.); #290445=ORIENTED_EDGE('',*,*,#207953,.F.); #290446=ORIENTED_EDGE('',*,*,#207956,.F.); #290447=ORIENTED_EDGE('',*,*,#207961,.F.); #290448=ORIENTED_EDGE('',*,*,#207962,.F.); #290449=ORIENTED_EDGE('',*,*,#207963,.F.); #290450=ORIENTED_EDGE('',*,*,#207964,.F.); #290451=ORIENTED_EDGE('',*,*,#207965,.T.); #290452=ORIENTED_EDGE('',*,*,#207966,.T.); #290453=ORIENTED_EDGE('',*,*,#207967,.T.); #290454=ORIENTED_EDGE('',*,*,#207968,.F.); #290455=ORIENTED_EDGE('',*,*,#207969,.T.); #290456=ORIENTED_EDGE('',*,*,#207970,.F.); #290457=ORIENTED_EDGE('',*,*,#207971,.F.); #290458=ORIENTED_EDGE('',*,*,#207972,.T.); #290459=ORIENTED_EDGE('',*,*,#207973,.F.); #290460=ORIENTED_EDGE('',*,*,#207974,.F.); #290461=ORIENTED_EDGE('',*,*,#207975,.F.); #290462=ORIENTED_EDGE('',*,*,#207976,.T.); #290463=ORIENTED_EDGE('',*,*,#207977,.F.); #290464=ORIENTED_EDGE('',*,*,#207978,.F.); #290465=ORIENTED_EDGE('',*,*,#207979,.T.); #290466=ORIENTED_EDGE('',*,*,#207980,.T.); #290467=ORIENTED_EDGE('',*,*,#207981,.F.); #290468=ORIENTED_EDGE('',*,*,#207982,.T.); #290469=ORIENTED_EDGE('',*,*,#207983,.T.); #290470=ORIENTED_EDGE('',*,*,#207984,.F.); #290471=ORIENTED_EDGE('',*,*,#207985,.T.); #290472=ORIENTED_EDGE('',*,*,#207986,.T.); #290473=ORIENTED_EDGE('',*,*,#207987,.F.); #290474=ORIENTED_EDGE('',*,*,#207988,.F.); #290475=ORIENTED_EDGE('',*,*,#207989,.F.); #290476=ORIENTED_EDGE('',*,*,#207990,.F.); #290477=ORIENTED_EDGE('',*,*,#207991,.F.); #290478=ORIENTED_EDGE('',*,*,#207992,.F.); #290479=ORIENTED_EDGE('',*,*,#207993,.T.); #290480=ORIENTED_EDGE('',*,*,#207994,.T.); #290481=ORIENTED_EDGE('',*,*,#207995,.T.); #290482=ORIENTED_EDGE('',*,*,#207996,.F.); #290483=ORIENTED_EDGE('',*,*,#207997,.F.); #290484=ORIENTED_EDGE('',*,*,#207996,.T.); #290485=ORIENTED_EDGE('',*,*,#207998,.T.); #290486=ORIENTED_EDGE('',*,*,#207982,.F.); #290487=ORIENTED_EDGE('',*,*,#207999,.F.); #290488=ORIENTED_EDGE('',*,*,#207986,.F.); #290489=ORIENTED_EDGE('',*,*,#208000,.T.); #290490=ORIENTED_EDGE('',*,*,#207992,.T.); #290491=ORIENTED_EDGE('',*,*,#208001,.F.); #290492=ORIENTED_EDGE('',*,*,#208002,.T.); #290493=ORIENTED_EDGE('',*,*,#208003,.T.); #290494=ORIENTED_EDGE('',*,*,#208004,.T.); #290495=ORIENTED_EDGE('',*,*,#208005,.T.); #290496=ORIENTED_EDGE('',*,*,#208006,.F.); #290497=ORIENTED_EDGE('',*,*,#208007,.T.); #290498=ORIENTED_EDGE('',*,*,#208008,.T.); #290499=ORIENTED_EDGE('',*,*,#208009,.T.); #290500=ORIENTED_EDGE('',*,*,#208010,.F.); #290501=ORIENTED_EDGE('',*,*,#208011,.F.); #290502=ORIENTED_EDGE('',*,*,#208012,.T.); #290503=ORIENTED_EDGE('',*,*,#208013,.T.); #290504=ORIENTED_EDGE('',*,*,#208014,.F.); #290505=ORIENTED_EDGE('',*,*,#208015,.T.); #290506=ORIENTED_EDGE('',*,*,#208016,.F.); #290507=ORIENTED_EDGE('',*,*,#208017,.F.); #290508=ORIENTED_EDGE('',*,*,#208015,.F.); #290509=ORIENTED_EDGE('',*,*,#208018,.F.); #290510=ORIENTED_EDGE('',*,*,#208019,.F.); #290511=ORIENTED_EDGE('',*,*,#208020,.F.); #290512=ORIENTED_EDGE('',*,*,#208021,.T.); #290513=ORIENTED_EDGE('',*,*,#208022,.T.); #290514=ORIENTED_EDGE('',*,*,#208023,.T.); #290515=ORIENTED_EDGE('',*,*,#208024,.F.); #290516=ORIENTED_EDGE('',*,*,#208025,.F.); #290517=ORIENTED_EDGE('',*,*,#208026,.F.); #290518=ORIENTED_EDGE('',*,*,#208027,.F.); #290519=ORIENTED_EDGE('',*,*,#208028,.F.); #290520=ORIENTED_EDGE('',*,*,#208029,.F.); #290521=ORIENTED_EDGE('',*,*,#208030,.F.); #290522=ORIENTED_EDGE('',*,*,#208031,.F.); #290523=ORIENTED_EDGE('',*,*,#208032,.F.); #290524=ORIENTED_EDGE('',*,*,#208033,.F.); #290525=ORIENTED_EDGE('',*,*,#208034,.F.); #290526=ORIENTED_EDGE('',*,*,#208035,.F.); #290527=ORIENTED_EDGE('',*,*,#208036,.F.); #290528=ORIENTED_EDGE('',*,*,#208037,.F.); #290529=ORIENTED_EDGE('',*,*,#208038,.F.); #290530=ORIENTED_EDGE('',*,*,#208039,.F.); #290531=ORIENTED_EDGE('',*,*,#208040,.T.); #290532=ORIENTED_EDGE('',*,*,#208041,.T.); #290533=ORIENTED_EDGE('',*,*,#208042,.F.); #290534=ORIENTED_EDGE('',*,*,#208043,.F.); #290535=ORIENTED_EDGE('',*,*,#208044,.T.); #290536=ORIENTED_EDGE('',*,*,#208045,.T.); #290537=ORIENTED_EDGE('',*,*,#208046,.T.); #290538=ORIENTED_EDGE('',*,*,#208047,.F.); #290539=ORIENTED_EDGE('',*,*,#208048,.T.); #290540=ORIENTED_EDGE('',*,*,#208049,.F.); #290541=ORIENTED_EDGE('',*,*,#208050,.T.); #290542=ORIENTED_EDGE('',*,*,#208044,.F.); #290543=ORIENTED_EDGE('',*,*,#208051,.T.); #290544=ORIENTED_EDGE('',*,*,#208052,.F.); #290545=ORIENTED_EDGE('',*,*,#208053,.F.); #290546=ORIENTED_EDGE('',*,*,#208054,.F.); #290547=ORIENTED_EDGE('',*,*,#208055,.T.); #290548=ORIENTED_EDGE('',*,*,#208056,.F.); #290549=ORIENTED_EDGE('',*,*,#208057,.T.); #290550=ORIENTED_EDGE('',*,*,#208058,.T.); #290551=ORIENTED_EDGE('',*,*,#208059,.T.); #290552=ORIENTED_EDGE('',*,*,#208060,.F.); #290553=ORIENTED_EDGE('',*,*,#208061,.T.); #290554=ORIENTED_EDGE('',*,*,#208062,.T.); #290555=ORIENTED_EDGE('',*,*,#208063,.T.); #290556=ORIENTED_EDGE('',*,*,#208064,.F.); #290557=ORIENTED_EDGE('',*,*,#208065,.T.); #290558=ORIENTED_EDGE('',*,*,#208066,.T.); #290559=ORIENTED_EDGE('',*,*,#208067,.T.); #290560=ORIENTED_EDGE('',*,*,#208068,.F.); #290561=ORIENTED_EDGE('',*,*,#208069,.T.); #290562=ORIENTED_EDGE('',*,*,#208070,.T.); #290563=ORIENTED_EDGE('',*,*,#208071,.T.); #290564=ORIENTED_EDGE('',*,*,#208072,.F.); #290565=ORIENTED_EDGE('',*,*,#208073,.T.); #290566=ORIENTED_EDGE('',*,*,#208074,.T.); #290567=ORIENTED_EDGE('',*,*,#208075,.T.); #290568=ORIENTED_EDGE('',*,*,#208076,.F.); #290569=ORIENTED_EDGE('',*,*,#208077,.T.); #290570=ORIENTED_EDGE('',*,*,#208078,.T.); #290571=ORIENTED_EDGE('',*,*,#208079,.T.); #290572=ORIENTED_EDGE('',*,*,#208080,.F.); #290573=ORIENTED_EDGE('',*,*,#208081,.T.); #290574=ORIENTED_EDGE('',*,*,#208082,.T.); #290575=ORIENTED_EDGE('',*,*,#208083,.T.); #290576=ORIENTED_EDGE('',*,*,#208084,.F.); #290577=ORIENTED_EDGE('',*,*,#208085,.T.); #290578=ORIENTED_EDGE('',*,*,#208086,.T.); #290579=ORIENTED_EDGE('',*,*,#208087,.T.); #290580=ORIENTED_EDGE('',*,*,#208088,.F.); #290581=ORIENTED_EDGE('',*,*,#208089,.T.); #290582=ORIENTED_EDGE('',*,*,#208090,.T.); #290583=ORIENTED_EDGE('',*,*,#208091,.T.); #290584=ORIENTED_EDGE('',*,*,#208092,.F.); #290585=ORIENTED_EDGE('',*,*,#208093,.T.); #290586=ORIENTED_EDGE('',*,*,#208094,.T.); #290587=ORIENTED_EDGE('',*,*,#208095,.T.); #290588=ORIENTED_EDGE('',*,*,#208096,.F.); #290589=ORIENTED_EDGE('',*,*,#208097,.T.); #290590=ORIENTED_EDGE('',*,*,#208098,.T.); #290591=ORIENTED_EDGE('',*,*,#208099,.T.); #290592=ORIENTED_EDGE('',*,*,#208100,.F.); #290593=ORIENTED_EDGE('',*,*,#208101,.T.); #290594=ORIENTED_EDGE('',*,*,#208102,.T.); #290595=ORIENTED_EDGE('',*,*,#208103,.T.); #290596=ORIENTED_EDGE('',*,*,#208102,.F.); #290597=ORIENTED_EDGE('',*,*,#208104,.T.); #290598=ORIENTED_EDGE('',*,*,#208105,.T.); #290599=ORIENTED_EDGE('',*,*,#208106,.F.); #290600=ORIENTED_EDGE('',*,*,#208105,.F.); #290601=ORIENTED_EDGE('',*,*,#208107,.T.); #290602=ORIENTED_EDGE('',*,*,#208108,.T.); #290603=ORIENTED_EDGE('',*,*,#208109,.F.); #290604=ORIENTED_EDGE('',*,*,#208110,.F.); #290605=ORIENTED_EDGE('',*,*,#208111,.T.); #290606=ORIENTED_EDGE('',*,*,#208112,.T.); #290607=ORIENTED_EDGE('',*,*,#208113,.F.); #290608=ORIENTED_EDGE('',*,*,#208112,.F.); #290609=ORIENTED_EDGE('',*,*,#208114,.T.); #290610=ORIENTED_EDGE('',*,*,#208096,.T.); #290611=ORIENTED_EDGE('',*,*,#208115,.F.); #290612=ORIENTED_EDGE('',*,*,#208098,.F.); #290613=ORIENTED_EDGE('',*,*,#208116,.T.); #290614=ORIENTED_EDGE('',*,*,#208117,.T.); #290615=ORIENTED_EDGE('',*,*,#208118,.F.); #290616=ORIENTED_EDGE('',*,*,#208117,.F.); #290617=ORIENTED_EDGE('',*,*,#208119,.T.); #290618=ORIENTED_EDGE('',*,*,#208120,.T.); #290619=ORIENTED_EDGE('',*,*,#208121,.F.); #290620=ORIENTED_EDGE('',*,*,#208122,.F.); #290621=ORIENTED_EDGE('',*,*,#208123,.T.); #290622=ORIENTED_EDGE('',*,*,#208124,.T.); #290623=ORIENTED_EDGE('',*,*,#208125,.F.); #290624=ORIENTED_EDGE('',*,*,#208124,.F.); #290625=ORIENTED_EDGE('',*,*,#208126,.T.); #290626=ORIENTED_EDGE('',*,*,#208092,.T.); #290627=ORIENTED_EDGE('',*,*,#208127,.F.); #290628=ORIENTED_EDGE('',*,*,#208094,.F.); #290629=ORIENTED_EDGE('',*,*,#208128,.T.); #290630=ORIENTED_EDGE('',*,*,#208129,.T.); #290631=ORIENTED_EDGE('',*,*,#208130,.F.); #290632=ORIENTED_EDGE('',*,*,#208129,.F.); #290633=ORIENTED_EDGE('',*,*,#208131,.T.); #290634=ORIENTED_EDGE('',*,*,#208132,.T.); #290635=ORIENTED_EDGE('',*,*,#208133,.F.); #290636=ORIENTED_EDGE('',*,*,#208134,.F.); #290637=ORIENTED_EDGE('',*,*,#208135,.T.); #290638=ORIENTED_EDGE('',*,*,#208136,.T.); #290639=ORIENTED_EDGE('',*,*,#208137,.F.); #290640=ORIENTED_EDGE('',*,*,#208136,.F.); #290641=ORIENTED_EDGE('',*,*,#208138,.T.); #290642=ORIENTED_EDGE('',*,*,#208088,.T.); #290643=ORIENTED_EDGE('',*,*,#208139,.F.); #290644=ORIENTED_EDGE('',*,*,#208090,.F.); #290645=ORIENTED_EDGE('',*,*,#208140,.T.); #290646=ORIENTED_EDGE('',*,*,#208141,.T.); #290647=ORIENTED_EDGE('',*,*,#208142,.F.); #290648=ORIENTED_EDGE('',*,*,#208141,.F.); #290649=ORIENTED_EDGE('',*,*,#208143,.T.); #290650=ORIENTED_EDGE('',*,*,#208144,.T.); #290651=ORIENTED_EDGE('',*,*,#208145,.F.); #290652=ORIENTED_EDGE('',*,*,#208146,.F.); #290653=ORIENTED_EDGE('',*,*,#208147,.T.); #290654=ORIENTED_EDGE('',*,*,#208148,.T.); #290655=ORIENTED_EDGE('',*,*,#208149,.F.); #290656=ORIENTED_EDGE('',*,*,#208148,.F.); #290657=ORIENTED_EDGE('',*,*,#208150,.T.); #290658=ORIENTED_EDGE('',*,*,#208084,.T.); #290659=ORIENTED_EDGE('',*,*,#208151,.F.); #290660=ORIENTED_EDGE('',*,*,#208086,.F.); #290661=ORIENTED_EDGE('',*,*,#208152,.T.); #290662=ORIENTED_EDGE('',*,*,#208153,.T.); #290663=ORIENTED_EDGE('',*,*,#208154,.F.); #290664=ORIENTED_EDGE('',*,*,#208153,.F.); #290665=ORIENTED_EDGE('',*,*,#208155,.T.); #290666=ORIENTED_EDGE('',*,*,#208156,.T.); #290667=ORIENTED_EDGE('',*,*,#208157,.F.); #290668=ORIENTED_EDGE('',*,*,#208158,.F.); #290669=ORIENTED_EDGE('',*,*,#208159,.T.); #290670=ORIENTED_EDGE('',*,*,#208160,.T.); #290671=ORIENTED_EDGE('',*,*,#208161,.F.); #290672=ORIENTED_EDGE('',*,*,#208160,.F.); #290673=ORIENTED_EDGE('',*,*,#208162,.T.); #290674=ORIENTED_EDGE('',*,*,#208080,.T.); #290675=ORIENTED_EDGE('',*,*,#208163,.F.); #290676=ORIENTED_EDGE('',*,*,#208082,.F.); #290677=ORIENTED_EDGE('',*,*,#208164,.T.); #290678=ORIENTED_EDGE('',*,*,#208165,.T.); #290679=ORIENTED_EDGE('',*,*,#208166,.F.); #290680=ORIENTED_EDGE('',*,*,#208165,.F.); #290681=ORIENTED_EDGE('',*,*,#208167,.T.); #290682=ORIENTED_EDGE('',*,*,#208168,.T.); #290683=ORIENTED_EDGE('',*,*,#208169,.F.); #290684=ORIENTED_EDGE('',*,*,#208170,.F.); #290685=ORIENTED_EDGE('',*,*,#208171,.T.); #290686=ORIENTED_EDGE('',*,*,#208172,.T.); #290687=ORIENTED_EDGE('',*,*,#208173,.F.); #290688=ORIENTED_EDGE('',*,*,#208172,.F.); #290689=ORIENTED_EDGE('',*,*,#208174,.T.); #290690=ORIENTED_EDGE('',*,*,#208056,.T.); #290691=ORIENTED_EDGE('',*,*,#208175,.F.); #290692=ORIENTED_EDGE('',*,*,#208078,.F.); #290693=ORIENTED_EDGE('',*,*,#208176,.T.); #290694=ORIENTED_EDGE('',*,*,#208177,.T.); #290695=ORIENTED_EDGE('',*,*,#208178,.F.); #290696=ORIENTED_EDGE('',*,*,#208177,.F.); #290697=ORIENTED_EDGE('',*,*,#208179,.T.); #290698=ORIENTED_EDGE('',*,*,#208180,.T.); #290699=ORIENTED_EDGE('',*,*,#208181,.F.); #290700=ORIENTED_EDGE('',*,*,#208182,.F.); #290701=ORIENTED_EDGE('',*,*,#208076,.T.); #290702=ORIENTED_EDGE('',*,*,#208183,.T.); #290703=ORIENTED_EDGE('',*,*,#208184,.F.); #290704=ORIENTED_EDGE('',*,*,#208185,.F.); #290705=ORIENTED_EDGE('',*,*,#208184,.T.); #290706=ORIENTED_EDGE('',*,*,#208186,.T.); #290707=ORIENTED_EDGE('',*,*,#208187,.F.); #290708=ORIENTED_EDGE('',*,*,#208188,.F.); #290709=ORIENTED_EDGE('',*,*,#208189,.T.); #290710=ORIENTED_EDGE('',*,*,#208190,.T.); #290711=ORIENTED_EDGE('',*,*,#208191,.F.); #290712=ORIENTED_EDGE('',*,*,#208192,.F.); #290713=ORIENTED_EDGE('',*,*,#208191,.T.); #290714=ORIENTED_EDGE('',*,*,#208193,.T.); #290715=ORIENTED_EDGE('',*,*,#208074,.F.); #290716=ORIENTED_EDGE('',*,*,#208194,.F.); #290717=ORIENTED_EDGE('',*,*,#208072,.T.); #290718=ORIENTED_EDGE('',*,*,#208195,.T.); #290719=ORIENTED_EDGE('',*,*,#208196,.F.); #290720=ORIENTED_EDGE('',*,*,#208197,.F.); #290721=ORIENTED_EDGE('',*,*,#208196,.T.); #290722=ORIENTED_EDGE('',*,*,#208198,.T.); #290723=ORIENTED_EDGE('',*,*,#208199,.F.); #290724=ORIENTED_EDGE('',*,*,#208200,.F.); #290725=ORIENTED_EDGE('',*,*,#208201,.T.); #290726=ORIENTED_EDGE('',*,*,#208202,.T.); #290727=ORIENTED_EDGE('',*,*,#208203,.F.); #290728=ORIENTED_EDGE('',*,*,#208204,.F.); #290729=ORIENTED_EDGE('',*,*,#208203,.T.); #290730=ORIENTED_EDGE('',*,*,#208205,.T.); #290731=ORIENTED_EDGE('',*,*,#208070,.F.); #290732=ORIENTED_EDGE('',*,*,#208206,.F.); #290733=ORIENTED_EDGE('',*,*,#208068,.T.); #290734=ORIENTED_EDGE('',*,*,#208207,.T.); #290735=ORIENTED_EDGE('',*,*,#208208,.F.); #290736=ORIENTED_EDGE('',*,*,#208209,.F.); #290737=ORIENTED_EDGE('',*,*,#208208,.T.); #290738=ORIENTED_EDGE('',*,*,#208210,.T.); #290739=ORIENTED_EDGE('',*,*,#208211,.F.); #290740=ORIENTED_EDGE('',*,*,#208212,.F.); #290741=ORIENTED_EDGE('',*,*,#208213,.T.); #290742=ORIENTED_EDGE('',*,*,#208214,.T.); #290743=ORIENTED_EDGE('',*,*,#208215,.F.); #290744=ORIENTED_EDGE('',*,*,#208216,.F.); #290745=ORIENTED_EDGE('',*,*,#208215,.T.); #290746=ORIENTED_EDGE('',*,*,#208217,.T.); #290747=ORIENTED_EDGE('',*,*,#208066,.F.); #290748=ORIENTED_EDGE('',*,*,#208218,.F.); #290749=ORIENTED_EDGE('',*,*,#208064,.T.); #290750=ORIENTED_EDGE('',*,*,#208219,.T.); #290751=ORIENTED_EDGE('',*,*,#208220,.F.); #290752=ORIENTED_EDGE('',*,*,#208221,.F.); #290753=ORIENTED_EDGE('',*,*,#208220,.T.); #290754=ORIENTED_EDGE('',*,*,#208222,.T.); #290755=ORIENTED_EDGE('',*,*,#208223,.F.); #290756=ORIENTED_EDGE('',*,*,#208224,.F.); #290757=ORIENTED_EDGE('',*,*,#208225,.T.); #290758=ORIENTED_EDGE('',*,*,#208226,.T.); #290759=ORIENTED_EDGE('',*,*,#208227,.F.); #290760=ORIENTED_EDGE('',*,*,#208228,.F.); #290761=ORIENTED_EDGE('',*,*,#208227,.T.); #290762=ORIENTED_EDGE('',*,*,#208229,.T.); #290763=ORIENTED_EDGE('',*,*,#208062,.F.); #290764=ORIENTED_EDGE('',*,*,#208230,.F.); #290765=ORIENTED_EDGE('',*,*,#208060,.T.); #290766=ORIENTED_EDGE('',*,*,#208231,.T.); #290767=ORIENTED_EDGE('',*,*,#208232,.F.); #290768=ORIENTED_EDGE('',*,*,#208233,.F.); #290769=ORIENTED_EDGE('',*,*,#208232,.T.); #290770=ORIENTED_EDGE('',*,*,#208234,.T.); #290771=ORIENTED_EDGE('',*,*,#208235,.F.); #290772=ORIENTED_EDGE('',*,*,#208236,.F.); #290773=ORIENTED_EDGE('',*,*,#208237,.T.); #290774=ORIENTED_EDGE('',*,*,#208238,.T.); #290775=ORIENTED_EDGE('',*,*,#208239,.F.); #290776=ORIENTED_EDGE('',*,*,#208240,.F.); #290777=ORIENTED_EDGE('',*,*,#208239,.T.); #290778=ORIENTED_EDGE('',*,*,#208241,.T.); #290779=ORIENTED_EDGE('',*,*,#208058,.F.); #290780=ORIENTED_EDGE('',*,*,#208242,.F.); #290781=ORIENTED_EDGE('',*,*,#208100,.T.); #290782=ORIENTED_EDGE('',*,*,#208243,.T.); #290783=ORIENTED_EDGE('',*,*,#208244,.F.); #290784=ORIENTED_EDGE('',*,*,#208245,.F.); #290785=ORIENTED_EDGE('',*,*,#208244,.T.); #290786=ORIENTED_EDGE('',*,*,#208246,.T.); #290787=ORIENTED_EDGE('',*,*,#208247,.F.); #290788=ORIENTED_EDGE('',*,*,#208248,.T.); #290789=ORIENTED_EDGE('',*,*,#208249,.T.); #290790=ORIENTED_EDGE('',*,*,#208250,.T.); #290791=ORIENTED_EDGE('',*,*,#207963,.T.); #290792=ORIENTED_EDGE('',*,*,#208043,.T.); #290793=ORIENTED_EDGE('',*,*,#208251,.T.); #290794=ORIENTED_EDGE('',*,*,#208252,.F.); #290795=ORIENTED_EDGE('',*,*,#208248,.F.); #290796=ORIENTED_EDGE('',*,*,#208253,.F.); #290797=ORIENTED_EDGE('',*,*,#208254,.T.); #290798=ORIENTED_EDGE('',*,*,#208255,.T.); #290799=ORIENTED_EDGE('',*,*,#208256,.T.); #290800=ORIENTED_EDGE('',*,*,#208257,.F.); #290801=ORIENTED_EDGE('',*,*,#208256,.F.); #290802=ORIENTED_EDGE('',*,*,#208258,.F.); #290803=ORIENTED_EDGE('',*,*,#208046,.F.); #290804=ORIENTED_EDGE('',*,*,#207965,.F.); #290805=ORIENTED_EDGE('',*,*,#208259,.F.); #290806=ORIENTED_EDGE('',*,*,#208243,.F.); #290807=ORIENTED_EDGE('',*,*,#208103,.F.); #290808=ORIENTED_EDGE('',*,*,#208106,.T.); #290809=ORIENTED_EDGE('',*,*,#208109,.T.); #290810=ORIENTED_EDGE('',*,*,#208260,.T.); #290811=ORIENTED_EDGE('',*,*,#208113,.T.); #290812=ORIENTED_EDGE('',*,*,#208115,.T.); #290813=ORIENTED_EDGE('',*,*,#208099,.F.); #290814=ORIENTED_EDGE('',*,*,#208118,.T.); #290815=ORIENTED_EDGE('',*,*,#208121,.T.); #290816=ORIENTED_EDGE('',*,*,#208261,.T.); #290817=ORIENTED_EDGE('',*,*,#208125,.T.); #290818=ORIENTED_EDGE('',*,*,#208127,.T.); #290819=ORIENTED_EDGE('',*,*,#208095,.F.); #290820=ORIENTED_EDGE('',*,*,#208130,.T.); #290821=ORIENTED_EDGE('',*,*,#208133,.T.); #290822=ORIENTED_EDGE('',*,*,#208262,.T.); #290823=ORIENTED_EDGE('',*,*,#208137,.T.); #290824=ORIENTED_EDGE('',*,*,#208139,.T.); #290825=ORIENTED_EDGE('',*,*,#208091,.F.); #290826=ORIENTED_EDGE('',*,*,#208142,.T.); #290827=ORIENTED_EDGE('',*,*,#208145,.T.); #290828=ORIENTED_EDGE('',*,*,#208263,.T.); #290829=ORIENTED_EDGE('',*,*,#208149,.T.); #290830=ORIENTED_EDGE('',*,*,#208151,.T.); #290831=ORIENTED_EDGE('',*,*,#208087,.F.); #290832=ORIENTED_EDGE('',*,*,#208154,.T.); #290833=ORIENTED_EDGE('',*,*,#208157,.T.); #290834=ORIENTED_EDGE('',*,*,#208264,.T.); #290835=ORIENTED_EDGE('',*,*,#208161,.T.); #290836=ORIENTED_EDGE('',*,*,#208163,.T.); #290837=ORIENTED_EDGE('',*,*,#208083,.F.); #290838=ORIENTED_EDGE('',*,*,#208166,.T.); #290839=ORIENTED_EDGE('',*,*,#208169,.T.); #290840=ORIENTED_EDGE('',*,*,#208265,.T.); #290841=ORIENTED_EDGE('',*,*,#208173,.T.); #290842=ORIENTED_EDGE('',*,*,#208175,.T.); #290843=ORIENTED_EDGE('',*,*,#208059,.F.); #290844=ORIENTED_EDGE('',*,*,#208241,.F.); #290845=ORIENTED_EDGE('',*,*,#208238,.F.); #290846=ORIENTED_EDGE('',*,*,#208266,.F.); #290847=ORIENTED_EDGE('',*,*,#208234,.F.); #290848=ORIENTED_EDGE('',*,*,#208231,.F.); #290849=ORIENTED_EDGE('',*,*,#208063,.F.); #290850=ORIENTED_EDGE('',*,*,#208229,.F.); #290851=ORIENTED_EDGE('',*,*,#208226,.F.); #290852=ORIENTED_EDGE('',*,*,#208267,.F.); #290853=ORIENTED_EDGE('',*,*,#208222,.F.); #290854=ORIENTED_EDGE('',*,*,#208219,.F.); #290855=ORIENTED_EDGE('',*,*,#208067,.F.); #290856=ORIENTED_EDGE('',*,*,#208217,.F.); #290857=ORIENTED_EDGE('',*,*,#208214,.F.); #290858=ORIENTED_EDGE('',*,*,#208268,.F.); #290859=ORIENTED_EDGE('',*,*,#208210,.F.); #290860=ORIENTED_EDGE('',*,*,#208207,.F.); #290861=ORIENTED_EDGE('',*,*,#208071,.F.); #290862=ORIENTED_EDGE('',*,*,#208205,.F.); #290863=ORIENTED_EDGE('',*,*,#208202,.F.); #290864=ORIENTED_EDGE('',*,*,#208269,.F.); #290865=ORIENTED_EDGE('',*,*,#208198,.F.); #290866=ORIENTED_EDGE('',*,*,#208195,.F.); #290867=ORIENTED_EDGE('',*,*,#208075,.F.); #290868=ORIENTED_EDGE('',*,*,#208193,.F.); #290869=ORIENTED_EDGE('',*,*,#208190,.F.); #290870=ORIENTED_EDGE('',*,*,#208270,.F.); #290871=ORIENTED_EDGE('',*,*,#208186,.F.); #290872=ORIENTED_EDGE('',*,*,#208183,.F.); #290873=ORIENTED_EDGE('',*,*,#208079,.F.); #290874=ORIENTED_EDGE('',*,*,#208178,.T.); #290875=ORIENTED_EDGE('',*,*,#208181,.T.); #290876=ORIENTED_EDGE('',*,*,#208271,.T.); #290877=ORIENTED_EDGE('',*,*,#208259,.T.); #290878=ORIENTED_EDGE('',*,*,#207964,.T.); #290879=ORIENTED_EDGE('',*,*,#208250,.F.); #290880=ORIENTED_EDGE('',*,*,#208272,.F.); #290881=ORIENTED_EDGE('',*,*,#208246,.F.); #290882=ORIENTED_EDGE('',*,*,#208047,.T.); #290883=ORIENTED_EDGE('',*,*,#208258,.T.); #290884=ORIENTED_EDGE('',*,*,#208273,.T.); #290885=ORIENTED_EDGE('',*,*,#208274,.F.); #290886=ORIENTED_EDGE('',*,*,#208275,.F.); #290887=ORIENTED_EDGE('',*,*,#208276,.F.); #290888=ORIENTED_EDGE('',*,*,#208277,.T.); #290889=ORIENTED_EDGE('',*,*,#208251,.F.); #290890=ORIENTED_EDGE('',*,*,#208042,.T.); #290891=ORIENTED_EDGE('',*,*,#208278,.T.); #290892=ORIENTED_EDGE('',*,*,#208279,.T.); #290893=ORIENTED_EDGE('',*,*,#208280,.T.); #290894=ORIENTED_EDGE('',*,*,#208281,.F.); #290895=ORIENTED_EDGE('',*,*,#208282,.T.); #290896=ORIENTED_EDGE('',*,*,#208283,.F.); #290897=ORIENTED_EDGE('',*,*,#208284,.T.); #290898=ORIENTED_EDGE('',*,*,#208285,.T.); #290899=ORIENTED_EDGE('',*,*,#208286,.T.); #290900=ORIENTED_EDGE('',*,*,#208285,.F.); #290901=ORIENTED_EDGE('',*,*,#208287,.F.); #290902=ORIENTED_EDGE('',*,*,#208288,.F.); #290903=ORIENTED_EDGE('',*,*,#208289,.T.); #290904=ORIENTED_EDGE('',*,*,#208290,.T.); #290905=ORIENTED_EDGE('',*,*,#208291,.F.); #290906=ORIENTED_EDGE('',*,*,#208292,.T.); #290907=ORIENTED_EDGE('',*,*,#208293,.T.); #290908=ORIENTED_EDGE('',*,*,#208294,.F.); #290909=ORIENTED_EDGE('',*,*,#208295,.F.); #290910=ORIENTED_EDGE('',*,*,#208296,.F.); #290911=ORIENTED_EDGE('',*,*,#208297,.T.); #290912=ORIENTED_EDGE('',*,*,#208298,.T.); #290913=ORIENTED_EDGE('',*,*,#208299,.T.); #290914=ORIENTED_EDGE('',*,*,#208300,.F.); #290915=ORIENTED_EDGE('',*,*,#208301,.F.); #290916=ORIENTED_EDGE('',*,*,#208302,.T.); #290917=ORIENTED_EDGE('',*,*,#208303,.T.); #290918=ORIENTED_EDGE('',*,*,#208304,.T.); #290919=ORIENTED_EDGE('',*,*,#208305,.T.); #290920=ORIENTED_EDGE('',*,*,#208306,.F.); #290921=ORIENTED_EDGE('',*,*,#208307,.F.); #290922=ORIENTED_EDGE('',*,*,#208308,.F.); #290923=ORIENTED_EDGE('',*,*,#208309,.T.); #290924=ORIENTED_EDGE('',*,*,#208310,.T.); #290925=ORIENTED_EDGE('',*,*,#208311,.F.); #290926=ORIENTED_EDGE('',*,*,#208312,.F.); #290927=ORIENTED_EDGE('',*,*,#208313,.F.); #290928=ORIENTED_EDGE('',*,*,#208314,.T.); #290929=ORIENTED_EDGE('',*,*,#208289,.F.); #290930=ORIENTED_EDGE('',*,*,#208315,.F.); #290931=ORIENTED_EDGE('',*,*,#208316,.T.); #290932=ORIENTED_EDGE('',*,*,#208317,.T.); #290933=ORIENTED_EDGE('',*,*,#208318,.T.); #290934=ORIENTED_EDGE('',*,*,#208319,.T.); #290935=ORIENTED_EDGE('',*,*,#208320,.T.); #290936=ORIENTED_EDGE('',*,*,#208321,.T.); #290937=ORIENTED_EDGE('',*,*,#208322,.F.); #290938=ORIENTED_EDGE('',*,*,#208323,.F.); #290939=ORIENTED_EDGE('',*,*,#208324,.F.); #290940=ORIENTED_EDGE('',*,*,#207980,.F.); #290941=ORIENTED_EDGE('',*,*,#208325,.F.); #290942=ORIENTED_EDGE('',*,*,#208317,.F.); #290943=ORIENTED_EDGE('',*,*,#208326,.T.); #290944=ORIENTED_EDGE('',*,*,#208293,.F.); #290945=ORIENTED_EDGE('',*,*,#208327,.F.); #290946=ORIENTED_EDGE('',*,*,#208328,.F.); #290947=ORIENTED_EDGE('',*,*,#208305,.F.); #290948=ORIENTED_EDGE('',*,*,#208329,.T.); #290949=ORIENTED_EDGE('',*,*,#208310,.F.); #290950=ORIENTED_EDGE('',*,*,#208330,.F.); #290951=ORIENTED_EDGE('',*,*,#208331,.F.); #290952=ORIENTED_EDGE('',*,*,#207983,.F.); #290953=ORIENTED_EDGE('',*,*,#207998,.F.); #290954=ORIENTED_EDGE('',*,*,#207995,.F.); #290955=ORIENTED_EDGE('',*,*,#208332,.F.); #290956=ORIENTED_EDGE('',*,*,#208333,.T.); #290957=ORIENTED_EDGE('',*,*,#208334,.T.); #290958=ORIENTED_EDGE('',*,*,#208335,.T.); #290959=ORIENTED_EDGE('',*,*,#208336,.T.); #290960=ORIENTED_EDGE('',*,*,#208337,.T.); #290961=ORIENTED_EDGE('',*,*,#208313,.T.); #290962=ORIENTED_EDGE('',*,*,#208338,.T.); #290963=ORIENTED_EDGE('',*,*,#208339,.T.); #290964=ORIENTED_EDGE('',*,*,#208340,.F.); #290965=ORIENTED_EDGE('',*,*,#208341,.F.); #290966=ORIENTED_EDGE('',*,*,#208334,.F.); #290967=ORIENTED_EDGE('',*,*,#208342,.T.); #290968=ORIENTED_EDGE('',*,*,#208005,.F.); #290969=ORIENTED_EDGE('',*,*,#208343,.T.); #290970=ORIENTED_EDGE('',*,*,#208009,.F.); #290971=ORIENTED_EDGE('',*,*,#208344,.T.); #290972=ORIENTED_EDGE('',*,*,#208338,.F.); #290973=ORIENTED_EDGE('',*,*,#208345,.F.); #290974=ORIENTED_EDGE('',*,*,#208346,.F.); #290975=ORIENTED_EDGE('',*,*,#208321,.F.); #290976=ORIENTED_EDGE('',*,*,#208347,.F.); #290977=ORIENTED_EDGE('',*,*,#208013,.F.); #290978=ORIENTED_EDGE('',*,*,#208348,.F.); #290979=ORIENTED_EDGE('',*,*,#208349,.T.); #290980=ORIENTED_EDGE('',*,*,#208350,.T.); #290981=ORIENTED_EDGE('',*,*,#208292,.F.); #290982=ORIENTED_EDGE('',*,*,#208279,.F.); #290983=ORIENTED_EDGE('',*,*,#208351,.T.); #290984=ORIENTED_EDGE('',*,*,#208352,.T.); #290985=ORIENTED_EDGE('',*,*,#208353,.T.); #290986=ORIENTED_EDGE('',*,*,#208349,.F.); #290987=ORIENTED_EDGE('',*,*,#208354,.T.); #290988=ORIENTED_EDGE('',*,*,#208352,.F.); #290989=ORIENTED_EDGE('',*,*,#208355,.T.); #290990=ORIENTED_EDGE('',*,*,#208014,.T.); #290991=ORIENTED_EDGE('',*,*,#208356,.T.); #290992=ORIENTED_EDGE('',*,*,#208004,.F.); #290993=ORIENTED_EDGE('',*,*,#208052,.T.); #290994=ORIENTED_EDGE('',*,*,#208357,.T.); #290995=ORIENTED_EDGE('',*,*,#208358,.T.); #290996=ORIENTED_EDGE('',*,*,#208357,.F.); #290997=ORIENTED_EDGE('',*,*,#208359,.T.); #290998=ORIENTED_EDGE('',*,*,#208276,.T.); #290999=ORIENTED_EDGE('',*,*,#208360,.F.); #291000=ORIENTED_EDGE('',*,*,#208283,.T.); #291001=ORIENTED_EDGE('',*,*,#208361,.T.); #291002=ORIENTED_EDGE('',*,*,#208362,.F.); #291003=ORIENTED_EDGE('',*,*,#208363,.T.); #291004=ORIENTED_EDGE('',*,*,#207984,.T.); #291005=ORIENTED_EDGE('',*,*,#208331,.T.); #291006=ORIENTED_EDGE('',*,*,#208364,.T.); #291007=ORIENTED_EDGE('',*,*,#208365,.T.); #291008=ORIENTED_EDGE('',*,*,#208366,.T.); #291009=ORIENTED_EDGE('',*,*,#208367,.T.); #291010=ORIENTED_EDGE('',*,*,#208308,.T.); #291011=ORIENTED_EDGE('',*,*,#208368,.F.); #291012=ORIENTED_EDGE('',*,*,#208369,.F.); #291013=ORIENTED_EDGE('',*,*,#208370,.F.); #291014=ORIENTED_EDGE('',*,*,#208371,.F.); #291015=ORIENTED_EDGE('',*,*,#208315,.T.); #291016=ORIENTED_EDGE('',*,*,#207979,.F.); #291017=ORIENTED_EDGE('',*,*,#208372,.T.); #291018=ORIENTED_EDGE('',*,*,#208373,.T.); #291019=ORIENTED_EDGE('',*,*,#208374,.T.); #291020=ORIENTED_EDGE('',*,*,#208375,.F.); #291021=ORIENTED_EDGE('',*,*,#208376,.T.); #291022=ORIENTED_EDGE('',*,*,#208362,.T.); #291023=ORIENTED_EDGE('',*,*,#208325,.T.); #291024=ORIENTED_EDGE('',*,*,#208375,.T.); #291025=ORIENTED_EDGE('',*,*,#208377,.T.); #291026=ORIENTED_EDGE('',*,*,#208378,.F.); #291027=ORIENTED_EDGE('',*,*,#208379,.T.); #291028=ORIENTED_EDGE('',*,*,#208380,.T.); #291029=ORIENTED_EDGE('',*,*,#208381,.T.); #291030=ORIENTED_EDGE('',*,*,#207977,.T.); #291031=ORIENTED_EDGE('',*,*,#208324,.T.); #291032=ORIENTED_EDGE('',*,*,#208382,.F.); #291033=ORIENTED_EDGE('',*,*,#208383,.T.); #291034=ORIENTED_EDGE('',*,*,#208378,.T.); #291035=ORIENTED_EDGE('',*,*,#208384,.T.); #291036=ORIENTED_EDGE('',*,*,#207994,.F.); #291037=ORIENTED_EDGE('',*,*,#208385,.T.); #291038=ORIENTED_EDGE('',*,*,#208382,.T.); #291039=ORIENTED_EDGE('',*,*,#208323,.T.); #291040=ORIENTED_EDGE('',*,*,#208386,.F.); #291041=ORIENTED_EDGE('',*,*,#208387,.F.); #291042=ORIENTED_EDGE('',*,*,#208388,.T.); #291043=ORIENTED_EDGE('',*,*,#208340,.T.); #291044=ORIENTED_EDGE('',*,*,#208389,.F.); #291045=ORIENTED_EDGE('',*,*,#208390,.T.); #291046=ORIENTED_EDGE('',*,*,#208391,.T.); #291047=ORIENTED_EDGE('',*,*,#208332,.T.); #291048=ORIENTED_EDGE('',*,*,#208392,.T.); #291049=ORIENTED_EDGE('',*,*,#208393,.T.); #291050=ORIENTED_EDGE('',*,*,#208394,.T.); #291051=ORIENTED_EDGE('',*,*,#208395,.T.); #291052=ORIENTED_EDGE('',*,*,#208396,.T.); #291053=ORIENTED_EDGE('',*,*,#208397,.T.); #291054=ORIENTED_EDGE('',*,*,#208398,.T.); #291055=ORIENTED_EDGE('',*,*,#208399,.T.); #291056=ORIENTED_EDGE('',*,*,#208400,.F.); #291057=ORIENTED_EDGE('',*,*,#208401,.F.); #291058=ORIENTED_EDGE('',*,*,#208402,.T.); #291059=ORIENTED_EDGE('',*,*,#208403,.T.); #291060=ORIENTED_EDGE('',*,*,#208404,.F.); #291061=ORIENTED_EDGE('',*,*,#208405,.F.); #291062=ORIENTED_EDGE('',*,*,#208406,.T.); #291063=ORIENTED_EDGE('',*,*,#208401,.T.); #291064=ORIENTED_EDGE('',*,*,#208407,.F.); #291065=ORIENTED_EDGE('',*,*,#208403,.F.); #291066=ORIENTED_EDGE('',*,*,#208408,.T.); #291067=ORIENTED_EDGE('',*,*,#208409,.T.); #291068=ORIENTED_EDGE('',*,*,#208410,.F.); #291069=ORIENTED_EDGE('',*,*,#208409,.F.); #291070=ORIENTED_EDGE('',*,*,#208411,.T.); #291071=ORIENTED_EDGE('',*,*,#208412,.T.); #291072=ORIENTED_EDGE('',*,*,#208413,.F.); #291073=ORIENTED_EDGE('',*,*,#208412,.F.); #291074=ORIENTED_EDGE('',*,*,#208414,.T.); #291075=ORIENTED_EDGE('',*,*,#208415,.T.); #291076=ORIENTED_EDGE('',*,*,#208416,.T.); #291077=ORIENTED_EDGE('',*,*,#208417,.T.); #291078=ORIENTED_EDGE('',*,*,#208418,.F.); #291079=ORIENTED_EDGE('',*,*,#208415,.F.); #291080=ORIENTED_EDGE('',*,*,#208419,.T.); #291081=ORIENTED_EDGE('',*,*,#208420,.T.); #291082=ORIENTED_EDGE('',*,*,#208421,.T.); #291083=ORIENTED_EDGE('',*,*,#208422,.T.); #291084=ORIENTED_EDGE('',*,*,#208423,.F.); #291085=ORIENTED_EDGE('',*,*,#208424,.T.); #291086=ORIENTED_EDGE('',*,*,#208425,.T.); #291087=ORIENTED_EDGE('',*,*,#208426,.T.); #291088=ORIENTED_EDGE('',*,*,#208427,.F.); #291089=ORIENTED_EDGE('',*,*,#208426,.F.); #291090=ORIENTED_EDGE('',*,*,#208428,.T.); #291091=ORIENTED_EDGE('',*,*,#208429,.T.); #291092=ORIENTED_EDGE('',*,*,#208430,.F.); #291093=ORIENTED_EDGE('',*,*,#208429,.F.); #291094=ORIENTED_EDGE('',*,*,#208431,.T.); #291095=ORIENTED_EDGE('',*,*,#208405,.T.); #291096=ORIENTED_EDGE('',*,*,#208432,.F.); #291097=ORIENTED_EDGE('',*,*,#208433,.T.); #291098=ORIENTED_EDGE('',*,*,#208434,.F.); #291099=ORIENTED_EDGE('',*,*,#208416,.F.); #291100=ORIENTED_EDGE('',*,*,#208414,.F.); #291101=ORIENTED_EDGE('',*,*,#208411,.F.); #291102=ORIENTED_EDGE('',*,*,#208408,.F.); #291103=ORIENTED_EDGE('',*,*,#208402,.F.); #291104=ORIENTED_EDGE('',*,*,#208406,.F.); #291105=ORIENTED_EDGE('',*,*,#208431,.F.); #291106=ORIENTED_EDGE('',*,*,#208428,.F.); #291107=ORIENTED_EDGE('',*,*,#208425,.F.); #291108=ORIENTED_EDGE('',*,*,#208418,.T.); #291109=ORIENTED_EDGE('',*,*,#208435,.T.); #291110=ORIENTED_EDGE('',*,*,#208436,.T.); #291111=ORIENTED_EDGE('',*,*,#208437,.T.); #291112=ORIENTED_EDGE('',*,*,#208423,.T.); #291113=ORIENTED_EDGE('',*,*,#208427,.T.); #291114=ORIENTED_EDGE('',*,*,#208430,.T.); #291115=ORIENTED_EDGE('',*,*,#208404,.T.); #291116=ORIENTED_EDGE('',*,*,#208400,.T.); #291117=ORIENTED_EDGE('',*,*,#208407,.T.); #291118=ORIENTED_EDGE('',*,*,#208410,.T.); #291119=ORIENTED_EDGE('',*,*,#208413,.T.); #291120=ORIENTED_EDGE('',*,*,#208438,.F.); #291121=ORIENTED_EDGE('',*,*,#207985,.F.); #291122=ORIENTED_EDGE('',*,*,#208439,.F.); #291123=ORIENTED_EDGE('',*,*,#208397,.F.); #291124=ORIENTED_EDGE('',*,*,#208439,.T.); #291125=ORIENTED_EDGE('',*,*,#208363,.F.); #291126=ORIENTED_EDGE('',*,*,#208440,.F.); #291127=ORIENTED_EDGE('',*,*,#208398,.F.); #291128=ORIENTED_EDGE('',*,*,#208438,.T.); #291129=ORIENTED_EDGE('',*,*,#208396,.F.); #291130=ORIENTED_EDGE('',*,*,#208441,.F.); #291131=ORIENTED_EDGE('',*,*,#208000,.F.); #291132=ORIENTED_EDGE('',*,*,#208440,.T.); #291133=ORIENTED_EDGE('',*,*,#208376,.F.); #291134=ORIENTED_EDGE('',*,*,#208442,.F.); #291135=ORIENTED_EDGE('',*,*,#208399,.F.); #291136=ORIENTED_EDGE('',*,*,#208441,.T.); #291137=ORIENTED_EDGE('',*,*,#208395,.F.); #291138=ORIENTED_EDGE('',*,*,#208443,.F.); #291139=ORIENTED_EDGE('',*,*,#207993,.F.); #291140=ORIENTED_EDGE('',*,*,#208442,.T.); #291141=ORIENTED_EDGE('',*,*,#208379,.F.); #291142=ORIENTED_EDGE('',*,*,#208444,.F.); #291143=ORIENTED_EDGE('',*,*,#208392,.F.); #291144=ORIENTED_EDGE('',*,*,#208443,.T.); #291145=ORIENTED_EDGE('',*,*,#208394,.F.); #291146=ORIENTED_EDGE('',*,*,#208445,.F.); #291147=ORIENTED_EDGE('',*,*,#208385,.F.); #291148=ORIENTED_EDGE('',*,*,#208444,.T.); #291149=ORIENTED_EDGE('',*,*,#208383,.F.); #291150=ORIENTED_EDGE('',*,*,#208445,.T.); #291151=ORIENTED_EDGE('',*,*,#208393,.F.); #291152=ORIENTED_EDGE('',*,*,#208446,.F.); #291153=ORIENTED_EDGE('',*,*,#208286,.F.); #291154=ORIENTED_EDGE('',*,*,#208314,.F.); #291155=ORIENTED_EDGE('',*,*,#208337,.F.); #291156=ORIENTED_EDGE('',*,*,#208446,.T.); #291157=ORIENTED_EDGE('',*,*,#208336,.F.); #291158=ORIENTED_EDGE('',*,*,#208447,.F.); #291159=ORIENTED_EDGE('',*,*,#208361,.F.); #291160=ORIENTED_EDGE('',*,*,#208327,.T.); #291161=ORIENTED_EDGE('',*,*,#208350,.F.); #291162=ORIENTED_EDGE('',*,*,#208448,.F.); #291163=ORIENTED_EDGE('',*,*,#208318,.F.); #291164=ORIENTED_EDGE('',*,*,#208447,.T.); #291165=ORIENTED_EDGE('',*,*,#208335,.F.); #291166=ORIENTED_EDGE('',*,*,#208343,.F.); #291167=ORIENTED_EDGE('',*,*,#208358,.F.); #291168=ORIENTED_EDGE('',*,*,#208448,.T.); #291169=ORIENTED_EDGE('',*,*,#208353,.F.); #291170=ORIENTED_EDGE('',*,*,#208449,.F.); #291171=ORIENTED_EDGE('',*,*,#208319,.F.); #291172=ORIENTED_EDGE('',*,*,#208449,.T.); #291173=ORIENTED_EDGE('',*,*,#208356,.F.); #291174=ORIENTED_EDGE('',*,*,#208347,.T.); #291175=ORIENTED_EDGE('',*,*,#208320,.F.); #291176=ORIENTED_EDGE('',*,*,#208436,.F.); #291177=ORIENTED_EDGE('',*,*,#208450,.T.); #291178=ORIENTED_EDGE('',*,*,#208419,.F.); #291179=ORIENTED_EDGE('',*,*,#208451,.T.); #291180=ORIENTED_EDGE('',*,*,#208421,.F.); #291181=ORIENTED_EDGE('',*,*,#208452,.T.); #291182=ORIENTED_EDGE('',*,*,#208433,.F.); #291183=ORIENTED_EDGE('',*,*,#208453,.T.); #291184=ORIENTED_EDGE('',*,*,#208432,.T.); #291185=ORIENTED_EDGE('',*,*,#208424,.F.); #291186=ORIENTED_EDGE('',*,*,#208437,.F.); #291187=ORIENTED_EDGE('',*,*,#208451,.F.); #291188=ORIENTED_EDGE('',*,*,#208422,.F.); #291189=ORIENTED_EDGE('',*,*,#208453,.F.); #291190=ORIENTED_EDGE('',*,*,#208452,.F.); #291191=ORIENTED_EDGE('',*,*,#208420,.F.); #291192=ORIENTED_EDGE('',*,*,#208450,.F.); #291193=ORIENTED_EDGE('',*,*,#208435,.F.); #291194=ORIENTED_EDGE('',*,*,#208417,.F.); #291195=ORIENTED_EDGE('',*,*,#208434,.T.); #291196=ORIENTED_EDGE('',*,*,#208346,.T.); #291197=ORIENTED_EDGE('',*,*,#208012,.F.); #291198=ORIENTED_EDGE('',*,*,#208454,.F.); #291199=ORIENTED_EDGE('',*,*,#208386,.T.); #291200=ORIENTED_EDGE('',*,*,#208322,.T.); #291201=ORIENTED_EDGE('',*,*,#208345,.T.); #291202=ORIENTED_EDGE('',*,*,#208341,.T.); #291203=ORIENTED_EDGE('',*,*,#208388,.F.); #291204=ORIENTED_EDGE('',*,*,#208455,.F.); #291205=ORIENTED_EDGE('',*,*,#208010,.T.); #291206=ORIENTED_EDGE('',*,*,#208454,.T.); #291207=ORIENTED_EDGE('',*,*,#208011,.T.); #291208=ORIENTED_EDGE('',*,*,#208455,.T.); #291209=ORIENTED_EDGE('',*,*,#208387,.T.); #291210=ORIENTED_EDGE('',*,*,#208333,.F.); #291211=ORIENTED_EDGE('',*,*,#208391,.F.); #291212=ORIENTED_EDGE('',*,*,#208456,.T.); #291213=ORIENTED_EDGE('',*,*,#208006,.T.); #291214=ORIENTED_EDGE('',*,*,#208342,.F.); #291215=ORIENTED_EDGE('',*,*,#208008,.F.); #291216=ORIENTED_EDGE('',*,*,#208457,.T.); #291217=ORIENTED_EDGE('',*,*,#208389,.T.); #291218=ORIENTED_EDGE('',*,*,#208339,.F.); #291219=ORIENTED_EDGE('',*,*,#208344,.F.); #291220=ORIENTED_EDGE('',*,*,#208456,.F.); #291221=ORIENTED_EDGE('',*,*,#208390,.F.); #291222=ORIENTED_EDGE('',*,*,#208457,.F.); #291223=ORIENTED_EDGE('',*,*,#208007,.F.); #291224=ORIENTED_EDGE('',*,*,#208316,.F.); #291225=ORIENTED_EDGE('',*,*,#208371,.T.); #291226=ORIENTED_EDGE('',*,*,#208458,.T.); #291227=ORIENTED_EDGE('',*,*,#208290,.F.); #291228=ORIENTED_EDGE('',*,*,#208326,.F.); #291229=ORIENTED_EDGE('',*,*,#208302,.F.); #291230=ORIENTED_EDGE('',*,*,#208459,.F.); #291231=ORIENTED_EDGE('',*,*,#208460,.F.); #291232=ORIENTED_EDGE('',*,*,#208461,.T.); #291233=ORIENTED_EDGE('',*,*,#208280,.F.); #291234=ORIENTED_EDGE('',*,*,#208354,.F.); #291235=ORIENTED_EDGE('',*,*,#208348,.T.); #291236=ORIENTED_EDGE('',*,*,#208291,.T.); #291237=ORIENTED_EDGE('',*,*,#208458,.F.); #291238=ORIENTED_EDGE('',*,*,#208370,.T.); #291239=ORIENTED_EDGE('',*,*,#208462,.T.); #291240=ORIENTED_EDGE('',*,*,#208303,.F.); #291241=ORIENTED_EDGE('',*,*,#208462,.F.); #291242=ORIENTED_EDGE('',*,*,#208369,.T.); #291243=ORIENTED_EDGE('',*,*,#208463,.T.); #291244=ORIENTED_EDGE('',*,*,#208304,.F.); #291245=ORIENTED_EDGE('',*,*,#208463,.F.); #291246=ORIENTED_EDGE('',*,*,#208368,.T.); #291247=ORIENTED_EDGE('',*,*,#208311,.T.); #291248=ORIENTED_EDGE('',*,*,#208329,.F.); #291249=ORIENTED_EDGE('',*,*,#208464,.T.); #291250=ORIENTED_EDGE('',*,*,#208296,.T.); #291251=ORIENTED_EDGE('',*,*,#208465,.F.); #291252=ORIENTED_EDGE('',*,*,#208466,.F.); #291253=ORIENTED_EDGE('',*,*,#208467,.T.); #291254=ORIENTED_EDGE('',*,*,#208300,.T.); #291255=ORIENTED_EDGE('',*,*,#208468,.T.); #291256=ORIENTED_EDGE('',*,*,#208469,.F.); #291257=ORIENTED_EDGE('',*,*,#208470,.T.); #291258=ORIENTED_EDGE('',*,*,#208471,.T.); #291259=ORIENTED_EDGE('',*,*,#208472,.T.); #291260=ORIENTED_EDGE('',*,*,#208473,.F.); #291261=ORIENTED_EDGE('',*,*,#208474,.T.); #291262=ORIENTED_EDGE('',*,*,#208469,.T.); #291263=ORIENTED_EDGE('',*,*,#208475,.T.); #291264=ORIENTED_EDGE('',*,*,#208473,.T.); #291265=ORIENTED_EDGE('',*,*,#208312,.T.); #291266=ORIENTED_EDGE('',*,*,#208288,.T.); #291267=ORIENTED_EDGE('',*,*,#208476,.F.); #291268=ORIENTED_EDGE('',*,*,#208364,.F.); #291269=ORIENTED_EDGE('',*,*,#208330,.T.); #291270=ORIENTED_EDGE('',*,*,#208476,.T.); #291271=ORIENTED_EDGE('',*,*,#208287,.T.); #291272=ORIENTED_EDGE('',*,*,#208284,.F.); #291273=ORIENTED_EDGE('',*,*,#208360,.T.); #291274=ORIENTED_EDGE('',*,*,#208275,.T.); #291275=ORIENTED_EDGE('',*,*,#208477,.F.); #291276=ORIENTED_EDGE('',*,*,#208478,.F.); #291277=ORIENTED_EDGE('',*,*,#208479,.T.); #291278=ORIENTED_EDGE('',*,*,#208294,.T.); #291279=ORIENTED_EDGE('',*,*,#208480,.F.); #291280=ORIENTED_EDGE('',*,*,#208365,.F.); #291281=ORIENTED_EDGE('',*,*,#208307,.T.); #291282=ORIENTED_EDGE('',*,*,#208481,.F.); #291283=ORIENTED_EDGE('',*,*,#208366,.F.); #291284=ORIENTED_EDGE('',*,*,#208480,.T.); #291285=ORIENTED_EDGE('',*,*,#208328,.T.); #291286=ORIENTED_EDGE('',*,*,#208309,.F.); #291287=ORIENTED_EDGE('',*,*,#208367,.F.); #291288=ORIENTED_EDGE('',*,*,#208481,.T.); #291289=ORIENTED_EDGE('',*,*,#208306,.T.); #291290=ORIENTED_EDGE('',*,*,#208468,.F.); #291291=ORIENTED_EDGE('',*,*,#208299,.F.); #291292=ORIENTED_EDGE('',*,*,#208482,.T.); #291293=ORIENTED_EDGE('',*,*,#208470,.F.); #291294=ORIENTED_EDGE('',*,*,#208475,.F.); #291295=ORIENTED_EDGE('',*,*,#208472,.F.); #291296=ORIENTED_EDGE('',*,*,#208483,.T.); #291297=ORIENTED_EDGE('',*,*,#208297,.F.); #291298=ORIENTED_EDGE('',*,*,#208464,.F.); #291299=ORIENTED_EDGE('',*,*,#208474,.F.); #291300=ORIENTED_EDGE('',*,*,#208482,.F.); #291301=ORIENTED_EDGE('',*,*,#208298,.F.); #291302=ORIENTED_EDGE('',*,*,#208483,.F.); #291303=ORIENTED_EDGE('',*,*,#208471,.F.); #291304=ORIENTED_EDGE('',*,*,#208295,.T.); #291305=ORIENTED_EDGE('',*,*,#208479,.F.); #291306=ORIENTED_EDGE('',*,*,#208484,.F.); #291307=ORIENTED_EDGE('',*,*,#208465,.T.); #291308=ORIENTED_EDGE('',*,*,#208274,.T.); #291309=ORIENTED_EDGE('',*,*,#208485,.F.); #291310=ORIENTED_EDGE('',*,*,#208486,.F.); #291311=ORIENTED_EDGE('',*,*,#208477,.T.); #291312=ORIENTED_EDGE('',*,*,#208485,.T.); #291313=ORIENTED_EDGE('',*,*,#208487,.F.); #291314=ORIENTED_EDGE('',*,*,#208488,.T.); #291315=ORIENTED_EDGE('',*,*,#208489,.T.); #291316=ORIENTED_EDGE('',*,*,#208490,.F.); #291317=ORIENTED_EDGE('',*,*,#208491,.F.); #291318=ORIENTED_EDGE('',*,*,#208281,.T.); #291319=ORIENTED_EDGE('',*,*,#208461,.F.); #291320=ORIENTED_EDGE('',*,*,#208492,.F.); #291321=ORIENTED_EDGE('',*,*,#208490,.T.); #291322=ORIENTED_EDGE('',*,*,#208301,.T.); #291323=ORIENTED_EDGE('',*,*,#208467,.F.); #291324=ORIENTED_EDGE('',*,*,#208493,.F.); #291325=ORIENTED_EDGE('',*,*,#208459,.T.); #291326=ORIENTED_EDGE('',*,*,#208484,.T.); #291327=ORIENTED_EDGE('',*,*,#208478,.T.); #291328=ORIENTED_EDGE('',*,*,#208486,.T.); #291329=ORIENTED_EDGE('',*,*,#208491,.T.); #291330=ORIENTED_EDGE('',*,*,#208492,.T.); #291331=ORIENTED_EDGE('',*,*,#208460,.T.); #291332=ORIENTED_EDGE('',*,*,#208493,.T.); #291333=ORIENTED_EDGE('',*,*,#208466,.T.); #291334=ORIENTED_EDGE('',*,*,#208494,.F.); #291335=ORIENTED_EDGE('',*,*,#208495,.T.); #291336=ORIENTED_EDGE('',*,*,#208495,.F.); #291337=ORIENTED_EDGE('',*,*,#208496,.T.); #291338=ORIENTED_EDGE('',*,*,#208497,.T.); #291339=ORIENTED_EDGE('',*,*,#208496,.F.); #291340=ORIENTED_EDGE('',*,*,#208498,.T.); #291341=ORIENTED_EDGE('',*,*,#208494,.T.); #291342=ORIENTED_EDGE('',*,*,#208499,.T.); #291343=ORIENTED_EDGE('',*,*,#208500,.T.); #291344=ORIENTED_EDGE('',*,*,#208499,.F.); #291345=ORIENTED_EDGE('',*,*,#208501,.T.); #291346=ORIENTED_EDGE('',*,*,#208500,.F.); #291347=ORIENTED_EDGE('',*,*,#208502,.T.); #291348=ORIENTED_EDGE('',*,*,#208501,.F.); #291349=ORIENTED_EDGE('',*,*,#208502,.F.); #291350=ORIENTED_EDGE('',*,*,#208497,.F.); #291351=ORIENTED_EDGE('',*,*,#208503,.T.); #291352=ORIENTED_EDGE('',*,*,#208498,.F.); #291353=ORIENTED_EDGE('',*,*,#208503,.F.); #291354=ORIENTED_EDGE('',*,*,#208277,.F.); #291355=ORIENTED_EDGE('',*,*,#208359,.F.); #291356=ORIENTED_EDGE('',*,*,#208051,.F.); #291357=ORIENTED_EDGE('',*,*,#208048,.F.); #291358=ORIENTED_EDGE('',*,*,#208003,.F.); #291359=ORIENTED_EDGE('',*,*,#208504,.F.); #291360=ORIENTED_EDGE('',*,*,#208505,.F.); #291361=ORIENTED_EDGE('',*,*,#208053,.T.); #291362=ORIENTED_EDGE('',*,*,#208506,.F.); #291363=ORIENTED_EDGE('',*,*,#208507,.T.); #291364=ORIENTED_EDGE('',*,*,#208508,.F.); #291365=ORIENTED_EDGE('',*,*,#208509,.F.); #291366=ORIENTED_EDGE('',*,*,#208018,.T.); #291367=ORIENTED_EDGE('',*,*,#208355,.F.); #291368=ORIENTED_EDGE('',*,*,#208510,.F.); #291369=ORIENTED_EDGE('',*,*,#208040,.F.); #291370=ORIENTED_EDGE('',*,*,#208278,.F.); #291371=ORIENTED_EDGE('',*,*,#208041,.F.); #291372=ORIENTED_EDGE('',*,*,#208510,.T.); #291373=ORIENTED_EDGE('',*,*,#208351,.F.); #291374=ORIENTED_EDGE('',*,*,#208273,.F.); #291375=ORIENTED_EDGE('',*,*,#208255,.F.); #291376=ORIENTED_EDGE('',*,*,#208511,.T.); #291377=ORIENTED_EDGE('',*,*,#208487,.T.); #291378=ORIENTED_EDGE('',*,*,#208282,.F.); #291379=ORIENTED_EDGE('',*,*,#208489,.F.); #291380=ORIENTED_EDGE('',*,*,#208512,.T.); #291381=ORIENTED_EDGE('',*,*,#208253,.T.); #291382=ORIENTED_EDGE('',*,*,#208511,.F.); #291383=ORIENTED_EDGE('',*,*,#208254,.F.); #291384=ORIENTED_EDGE('',*,*,#208512,.F.); #291385=ORIENTED_EDGE('',*,*,#208488,.F.); #291386=ORIENTED_EDGE('',*,*,#208252,.T.); #291387=ORIENTED_EDGE('',*,*,#208257,.T.); #291388=ORIENTED_EDGE('',*,*,#208271,.F.); #291389=ORIENTED_EDGE('',*,*,#208180,.F.); #291390=ORIENTED_EDGE('',*,*,#208513,.F.); #291391=ORIENTED_EDGE('',*,*,#208187,.T.); #291392=ORIENTED_EDGE('',*,*,#208270,.T.); #291393=ORIENTED_EDGE('',*,*,#208189,.F.); #291394=ORIENTED_EDGE('',*,*,#208514,.F.); #291395=ORIENTED_EDGE('',*,*,#208199,.T.); #291396=ORIENTED_EDGE('',*,*,#208269,.T.); #291397=ORIENTED_EDGE('',*,*,#208201,.F.); #291398=ORIENTED_EDGE('',*,*,#208515,.F.); #291399=ORIENTED_EDGE('',*,*,#208211,.T.); #291400=ORIENTED_EDGE('',*,*,#208268,.T.); #291401=ORIENTED_EDGE('',*,*,#208213,.F.); #291402=ORIENTED_EDGE('',*,*,#208516,.F.); #291403=ORIENTED_EDGE('',*,*,#208223,.T.); #291404=ORIENTED_EDGE('',*,*,#208267,.T.); #291405=ORIENTED_EDGE('',*,*,#208225,.F.); #291406=ORIENTED_EDGE('',*,*,#208517,.F.); #291407=ORIENTED_EDGE('',*,*,#208235,.T.); #291408=ORIENTED_EDGE('',*,*,#208266,.T.); #291409=ORIENTED_EDGE('',*,*,#208237,.F.); #291410=ORIENTED_EDGE('',*,*,#208518,.F.); #291411=ORIENTED_EDGE('',*,*,#208170,.T.); #291412=ORIENTED_EDGE('',*,*,#208265,.F.); #291413=ORIENTED_EDGE('',*,*,#208168,.F.); #291414=ORIENTED_EDGE('',*,*,#208519,.F.); #291415=ORIENTED_EDGE('',*,*,#208158,.T.); #291416=ORIENTED_EDGE('',*,*,#208264,.F.); #291417=ORIENTED_EDGE('',*,*,#208156,.F.); #291418=ORIENTED_EDGE('',*,*,#208520,.F.); #291419=ORIENTED_EDGE('',*,*,#208146,.T.); #291420=ORIENTED_EDGE('',*,*,#208263,.F.); #291421=ORIENTED_EDGE('',*,*,#208144,.F.); #291422=ORIENTED_EDGE('',*,*,#208521,.F.); #291423=ORIENTED_EDGE('',*,*,#208134,.T.); #291424=ORIENTED_EDGE('',*,*,#208262,.F.); #291425=ORIENTED_EDGE('',*,*,#208132,.F.); #291426=ORIENTED_EDGE('',*,*,#208522,.F.); #291427=ORIENTED_EDGE('',*,*,#208122,.T.); #291428=ORIENTED_EDGE('',*,*,#208261,.F.); #291429=ORIENTED_EDGE('',*,*,#208120,.F.); #291430=ORIENTED_EDGE('',*,*,#208523,.F.); #291431=ORIENTED_EDGE('',*,*,#208110,.T.); #291432=ORIENTED_EDGE('',*,*,#208260,.F.); #291433=ORIENTED_EDGE('',*,*,#208108,.F.); #291434=ORIENTED_EDGE('',*,*,#208524,.F.); #291435=ORIENTED_EDGE('',*,*,#208247,.T.); #291436=ORIENTED_EDGE('',*,*,#208272,.T.); #291437=ORIENTED_EDGE('',*,*,#208249,.F.); #291438=ORIENTED_EDGE('',*,*,#208525,.F.); #291439=ORIENTED_EDGE('',*,*,#208245,.T.); #291440=ORIENTED_EDGE('',*,*,#208524,.T.); #291441=ORIENTED_EDGE('',*,*,#208107,.F.); #291442=ORIENTED_EDGE('',*,*,#208101,.F.); #291443=ORIENTED_EDGE('',*,*,#208242,.T.); #291444=ORIENTED_EDGE('',*,*,#208525,.T.); #291445=ORIENTED_EDGE('',*,*,#208104,.F.); #291446=ORIENTED_EDGE('',*,*,#208526,.T.); #291447=ORIENTED_EDGE('',*,*,#208240,.T.); #291448=ORIENTED_EDGE('',*,*,#208057,.F.); #291449=ORIENTED_EDGE('',*,*,#208174,.F.); #291450=ORIENTED_EDGE('',*,*,#208518,.T.); #291451=ORIENTED_EDGE('',*,*,#208236,.T.); #291452=ORIENTED_EDGE('',*,*,#208526,.F.); #291453=ORIENTED_EDGE('',*,*,#208171,.F.); #291454=ORIENTED_EDGE('',*,*,#208224,.T.); #291455=ORIENTED_EDGE('',*,*,#208527,.F.); #291456=ORIENTED_EDGE('',*,*,#208233,.T.); #291457=ORIENTED_EDGE('',*,*,#208517,.T.); #291458=ORIENTED_EDGE('',*,*,#208228,.T.); #291459=ORIENTED_EDGE('',*,*,#208061,.F.); #291460=ORIENTED_EDGE('',*,*,#208230,.T.); #291461=ORIENTED_EDGE('',*,*,#208527,.T.); #291462=ORIENTED_EDGE('',*,*,#208212,.T.); #291463=ORIENTED_EDGE('',*,*,#208528,.F.); #291464=ORIENTED_EDGE('',*,*,#208221,.T.); #291465=ORIENTED_EDGE('',*,*,#208516,.T.); #291466=ORIENTED_EDGE('',*,*,#208216,.T.); #291467=ORIENTED_EDGE('',*,*,#208065,.F.); #291468=ORIENTED_EDGE('',*,*,#208218,.T.); #291469=ORIENTED_EDGE('',*,*,#208528,.T.); #291470=ORIENTED_EDGE('',*,*,#208200,.T.); #291471=ORIENTED_EDGE('',*,*,#208529,.F.); #291472=ORIENTED_EDGE('',*,*,#208209,.T.); #291473=ORIENTED_EDGE('',*,*,#208515,.T.); #291474=ORIENTED_EDGE('',*,*,#208204,.T.); #291475=ORIENTED_EDGE('',*,*,#208069,.F.); #291476=ORIENTED_EDGE('',*,*,#208206,.T.); #291477=ORIENTED_EDGE('',*,*,#208529,.T.); #291478=ORIENTED_EDGE('',*,*,#208188,.T.); #291479=ORIENTED_EDGE('',*,*,#208530,.F.); #291480=ORIENTED_EDGE('',*,*,#208197,.T.); #291481=ORIENTED_EDGE('',*,*,#208514,.T.); #291482=ORIENTED_EDGE('',*,*,#208192,.T.); #291483=ORIENTED_EDGE('',*,*,#208073,.F.); #291484=ORIENTED_EDGE('',*,*,#208194,.T.); #291485=ORIENTED_EDGE('',*,*,#208530,.T.); #291486=ORIENTED_EDGE('',*,*,#208531,.F.); #291487=ORIENTED_EDGE('',*,*,#208185,.T.); #291488=ORIENTED_EDGE('',*,*,#208513,.T.); #291489=ORIENTED_EDGE('',*,*,#208179,.F.); #291490=ORIENTED_EDGE('',*,*,#208077,.F.); #291491=ORIENTED_EDGE('',*,*,#208182,.T.); #291492=ORIENTED_EDGE('',*,*,#208531,.T.); #291493=ORIENTED_EDGE('',*,*,#208176,.F.); #291494=ORIENTED_EDGE('',*,*,#208519,.T.); #291495=ORIENTED_EDGE('',*,*,#208167,.F.); #291496=ORIENTED_EDGE('',*,*,#208532,.F.); #291497=ORIENTED_EDGE('',*,*,#208159,.F.); #291498=ORIENTED_EDGE('',*,*,#208532,.T.); #291499=ORIENTED_EDGE('',*,*,#208164,.F.); #291500=ORIENTED_EDGE('',*,*,#208081,.F.); #291501=ORIENTED_EDGE('',*,*,#208162,.F.); #291502=ORIENTED_EDGE('',*,*,#208520,.T.); #291503=ORIENTED_EDGE('',*,*,#208155,.F.); #291504=ORIENTED_EDGE('',*,*,#208533,.F.); #291505=ORIENTED_EDGE('',*,*,#208147,.F.); #291506=ORIENTED_EDGE('',*,*,#208533,.T.); #291507=ORIENTED_EDGE('',*,*,#208152,.F.); #291508=ORIENTED_EDGE('',*,*,#208085,.F.); #291509=ORIENTED_EDGE('',*,*,#208150,.F.); #291510=ORIENTED_EDGE('',*,*,#208521,.T.); #291511=ORIENTED_EDGE('',*,*,#208143,.F.); #291512=ORIENTED_EDGE('',*,*,#208534,.F.); #291513=ORIENTED_EDGE('',*,*,#208135,.F.); #291514=ORIENTED_EDGE('',*,*,#208534,.T.); #291515=ORIENTED_EDGE('',*,*,#208140,.F.); #291516=ORIENTED_EDGE('',*,*,#208089,.F.); #291517=ORIENTED_EDGE('',*,*,#208138,.F.); #291518=ORIENTED_EDGE('',*,*,#208522,.T.); #291519=ORIENTED_EDGE('',*,*,#208131,.F.); #291520=ORIENTED_EDGE('',*,*,#208535,.F.); #291521=ORIENTED_EDGE('',*,*,#208123,.F.); #291522=ORIENTED_EDGE('',*,*,#208535,.T.); #291523=ORIENTED_EDGE('',*,*,#208128,.F.); #291524=ORIENTED_EDGE('',*,*,#208093,.F.); #291525=ORIENTED_EDGE('',*,*,#208126,.F.); #291526=ORIENTED_EDGE('',*,*,#208536,.T.); #291527=ORIENTED_EDGE('',*,*,#208116,.F.); #291528=ORIENTED_EDGE('',*,*,#208097,.F.); #291529=ORIENTED_EDGE('',*,*,#208114,.F.); #291530=ORIENTED_EDGE('',*,*,#208523,.T.); #291531=ORIENTED_EDGE('',*,*,#208119,.F.); #291532=ORIENTED_EDGE('',*,*,#208536,.F.); #291533=ORIENTED_EDGE('',*,*,#208111,.F.); #291534=ORIENTED_EDGE('',*,*,#208537,.T.); #291535=ORIENTED_EDGE('',*,*,#208538,.T.); #291536=ORIENTED_EDGE('',*,*,#208539,.T.); #291537=ORIENTED_EDGE('',*,*,#207971,.T.); #291538=ORIENTED_EDGE('',*,*,#208540,.F.); #291539=ORIENTED_EDGE('',*,*,#208541,.F.); #291540=ORIENTED_EDGE('',*,*,#207970,.T.); #291541=ORIENTED_EDGE('',*,*,#208542,.F.); #291542=ORIENTED_EDGE('',*,*,#208543,.F.); #291543=ORIENTED_EDGE('',*,*,#208540,.T.); #291544=ORIENTED_EDGE('',*,*,#208544,.F.); #291545=ORIENTED_EDGE('',*,*,#208504,.T.); #291546=ORIENTED_EDGE('',*,*,#208002,.F.); #291547=ORIENTED_EDGE('',*,*,#208545,.F.); #291548=ORIENTED_EDGE('',*,*,#208546,.T.); #291549=ORIENTED_EDGE('',*,*,#208547,.F.); #291550=ORIENTED_EDGE('',*,*,#208542,.T.); #291551=ORIENTED_EDGE('',*,*,#207969,.F.); #291552=ORIENTED_EDGE('',*,*,#208017,.T.); #291553=ORIENTED_EDGE('',*,*,#208548,.T.); #291554=ORIENTED_EDGE('',*,*,#207975,.T.); #291555=ORIENTED_EDGE('',*,*,#208549,.F.); #291556=ORIENTED_EDGE('',*,*,#208021,.F.); #291557=ORIENTED_EDGE('',*,*,#208550,.F.); #291558=ORIENTED_EDGE('',*,*,#208551,.F.); #291559=ORIENTED_EDGE('',*,*,#208016,.T.); #291560=ORIENTED_EDGE('',*,*,#207974,.T.); #291561=ORIENTED_EDGE('',*,*,#208552,.F.); #291562=ORIENTED_EDGE('',*,*,#208022,.F.); #291563=ORIENTED_EDGE('',*,*,#208549,.T.); #291564=ORIENTED_EDGE('',*,*,#208553,.T.); #291565=ORIENTED_EDGE('',*,*,#208554,.T.); #291566=ORIENTED_EDGE('',*,*,#208555,.F.); #291567=ORIENTED_EDGE('',*,*,#208023,.F.); #291568=ORIENTED_EDGE('',*,*,#208552,.T.); #291569=ORIENTED_EDGE('',*,*,#207973,.T.); #291570=ORIENTED_EDGE('',*,*,#208555,.T.); #291571=ORIENTED_EDGE('',*,*,#208556,.T.); #291572=ORIENTED_EDGE('',*,*,#208537,.F.); #291573=ORIENTED_EDGE('',*,*,#208557,.T.); #291574=ORIENTED_EDGE('',*,*,#208558,.F.); #291575=ORIENTED_EDGE('',*,*,#208559,.F.); #291576=ORIENTED_EDGE('',*,*,#208560,.T.); #291577=ORIENTED_EDGE('',*,*,#208024,.T.); #291578=ORIENTED_EDGE('',*,*,#208557,.F.); #291579=ORIENTED_EDGE('',*,*,#208541,.T.); #291580=ORIENTED_EDGE('',*,*,#208543,.T.); #291581=ORIENTED_EDGE('',*,*,#208547,.T.); #291582=ORIENTED_EDGE('',*,*,#208561,.F.); #291583=ORIENTED_EDGE('',*,*,#208562,.F.); #291584=ORIENTED_EDGE('',*,*,#208563,.F.); #291585=ORIENTED_EDGE('',*,*,#208564,.F.); #291586=ORIENTED_EDGE('',*,*,#208565,.F.); #291587=ORIENTED_EDGE('',*,*,#208566,.F.); #291588=ORIENTED_EDGE('',*,*,#208567,.F.); #291589=ORIENTED_EDGE('',*,*,#208568,.F.); #291590=ORIENTED_EDGE('',*,*,#208569,.F.); #291591=ORIENTED_EDGE('',*,*,#208570,.F.); #291592=ORIENTED_EDGE('',*,*,#208571,.F.); #291593=ORIENTED_EDGE('',*,*,#208572,.F.); #291594=ORIENTED_EDGE('',*,*,#208573,.F.); #291595=ORIENTED_EDGE('',*,*,#208574,.F.); #291596=ORIENTED_EDGE('',*,*,#208575,.F.); #291597=ORIENTED_EDGE('',*,*,#208576,.T.); #291598=ORIENTED_EDGE('',*,*,#208577,.T.); #291599=ORIENTED_EDGE('',*,*,#208045,.F.); #291600=ORIENTED_EDGE('',*,*,#208050,.F.); #291601=ORIENTED_EDGE('',*,*,#207966,.F.); #291602=ORIENTED_EDGE('',*,*,#208577,.F.); #291603=ORIENTED_EDGE('',*,*,#208578,.T.); #291604=ORIENTED_EDGE('',*,*,#208579,.T.); #291605=ORIENTED_EDGE('',*,*,#208055,.F.); #291606=ORIENTED_EDGE('',*,*,#208580,.F.); #291607=ORIENTED_EDGE('',*,*,#207967,.F.); #291608=ORIENTED_EDGE('',*,*,#208579,.F.); #291609=ORIENTED_EDGE('',*,*,#208581,.T.); #291610=ORIENTED_EDGE('',*,*,#208049,.T.); #291611=ORIENTED_EDGE('',*,*,#208581,.F.); #291612=ORIENTED_EDGE('',*,*,#208578,.F.); #291613=ORIENTED_EDGE('',*,*,#208576,.F.); #291614=ORIENTED_EDGE('',*,*,#208506,.T.); #291615=ORIENTED_EDGE('',*,*,#208039,.T.); #291616=ORIENTED_EDGE('',*,*,#208582,.F.); #291617=ORIENTED_EDGE('',*,*,#208583,.F.); #291618=ORIENTED_EDGE('',*,*,#207962,.T.); #291619=ORIENTED_EDGE('',*,*,#208584,.F.); #291620=ORIENTED_EDGE('',*,*,#208585,.F.); #291621=ORIENTED_EDGE('',*,*,#208582,.T.); #291622=ORIENTED_EDGE('',*,*,#208586,.T.); #291623=ORIENTED_EDGE('',*,*,#208508,.T.); #291624=ORIENTED_EDGE('',*,*,#208587,.F.); #291625=ORIENTED_EDGE('',*,*,#208584,.T.); #291626=ORIENTED_EDGE('',*,*,#207961,.T.); #291627=ORIENTED_EDGE('',*,*,#208583,.T.); #291628=ORIENTED_EDGE('',*,*,#208585,.T.); #291629=ORIENTED_EDGE('',*,*,#208587,.T.); #291630=ORIENTED_EDGE('',*,*,#208507,.F.); #291631=ORIENTED_EDGE('',*,*,#208020,.T.); #291632=ORIENTED_EDGE('',*,*,#208588,.F.); #291633=ORIENTED_EDGE('',*,*,#208589,.F.); #291634=ORIENTED_EDGE('',*,*,#208550,.T.); #291635=ORIENTED_EDGE('',*,*,#208038,.T.); #291636=ORIENTED_EDGE('',*,*,#208590,.F.); #291637=ORIENTED_EDGE('',*,*,#208591,.F.); #291638=ORIENTED_EDGE('',*,*,#208588,.T.); #291639=ORIENTED_EDGE('',*,*,#208037,.T.); #291640=ORIENTED_EDGE('',*,*,#208592,.F.); #291641=ORIENTED_EDGE('',*,*,#208593,.F.); #291642=ORIENTED_EDGE('',*,*,#208590,.T.); #291643=ORIENTED_EDGE('',*,*,#208036,.T.); #291644=ORIENTED_EDGE('',*,*,#208594,.F.); #291645=ORIENTED_EDGE('',*,*,#208595,.F.); #291646=ORIENTED_EDGE('',*,*,#208592,.T.); #291647=ORIENTED_EDGE('',*,*,#208035,.T.); #291648=ORIENTED_EDGE('',*,*,#208596,.F.); #291649=ORIENTED_EDGE('',*,*,#208597,.F.); #291650=ORIENTED_EDGE('',*,*,#208594,.T.); #291651=ORIENTED_EDGE('',*,*,#208034,.T.); #291652=ORIENTED_EDGE('',*,*,#208598,.F.); #291653=ORIENTED_EDGE('',*,*,#208599,.F.); #291654=ORIENTED_EDGE('',*,*,#208596,.T.); #291655=ORIENTED_EDGE('',*,*,#208033,.T.); #291656=ORIENTED_EDGE('',*,*,#208600,.F.); #291657=ORIENTED_EDGE('',*,*,#208601,.F.); #291658=ORIENTED_EDGE('',*,*,#208598,.T.); #291659=ORIENTED_EDGE('',*,*,#208032,.T.); #291660=ORIENTED_EDGE('',*,*,#208602,.F.); #291661=ORIENTED_EDGE('',*,*,#208603,.F.); #291662=ORIENTED_EDGE('',*,*,#208600,.T.); #291663=ORIENTED_EDGE('',*,*,#208031,.T.); #291664=ORIENTED_EDGE('',*,*,#208604,.F.); #291665=ORIENTED_EDGE('',*,*,#208605,.F.); #291666=ORIENTED_EDGE('',*,*,#208602,.T.); #291667=ORIENTED_EDGE('',*,*,#208030,.T.); #291668=ORIENTED_EDGE('',*,*,#208606,.F.); #291669=ORIENTED_EDGE('',*,*,#208607,.F.); #291670=ORIENTED_EDGE('',*,*,#208604,.T.); #291671=ORIENTED_EDGE('',*,*,#208029,.T.); #291672=ORIENTED_EDGE('',*,*,#208608,.F.); #291673=ORIENTED_EDGE('',*,*,#208609,.F.); #291674=ORIENTED_EDGE('',*,*,#208606,.T.); #291675=ORIENTED_EDGE('',*,*,#208028,.T.); #291676=ORIENTED_EDGE('',*,*,#208610,.F.); #291677=ORIENTED_EDGE('',*,*,#208611,.F.); #291678=ORIENTED_EDGE('',*,*,#208608,.T.); #291679=ORIENTED_EDGE('',*,*,#208027,.T.); #291680=ORIENTED_EDGE('',*,*,#208612,.F.); #291681=ORIENTED_EDGE('',*,*,#208613,.F.); #291682=ORIENTED_EDGE('',*,*,#208610,.T.); #291683=ORIENTED_EDGE('',*,*,#208026,.T.); #291684=ORIENTED_EDGE('',*,*,#208614,.F.); #291685=ORIENTED_EDGE('',*,*,#208615,.F.); #291686=ORIENTED_EDGE('',*,*,#208612,.T.); #291687=ORIENTED_EDGE('',*,*,#208025,.T.); #291688=ORIENTED_EDGE('',*,*,#208560,.F.); #291689=ORIENTED_EDGE('',*,*,#208616,.F.); #291690=ORIENTED_EDGE('',*,*,#208614,.T.); #291691=ORIENTED_EDGE('',*,*,#208575,.T.); #291692=ORIENTED_EDGE('',*,*,#208617,.F.); #291693=ORIENTED_EDGE('',*,*,#208618,.F.); #291694=ORIENTED_EDGE('',*,*,#208558,.T.); #291695=ORIENTED_EDGE('',*,*,#208574,.T.); #291696=ORIENTED_EDGE('',*,*,#208619,.F.); #291697=ORIENTED_EDGE('',*,*,#208620,.F.); #291698=ORIENTED_EDGE('',*,*,#208617,.T.); #291699=ORIENTED_EDGE('',*,*,#208573,.T.); #291700=ORIENTED_EDGE('',*,*,#208621,.F.); #291701=ORIENTED_EDGE('',*,*,#208622,.F.); #291702=ORIENTED_EDGE('',*,*,#208619,.T.); #291703=ORIENTED_EDGE('',*,*,#208572,.T.); #291704=ORIENTED_EDGE('',*,*,#208623,.F.); #291705=ORIENTED_EDGE('',*,*,#208624,.F.); #291706=ORIENTED_EDGE('',*,*,#208621,.T.); #291707=ORIENTED_EDGE('',*,*,#208571,.T.); #291708=ORIENTED_EDGE('',*,*,#208625,.F.); #291709=ORIENTED_EDGE('',*,*,#208626,.F.); #291710=ORIENTED_EDGE('',*,*,#208623,.T.); #291711=ORIENTED_EDGE('',*,*,#208570,.T.); #291712=ORIENTED_EDGE('',*,*,#208627,.F.); #291713=ORIENTED_EDGE('',*,*,#208628,.F.); #291714=ORIENTED_EDGE('',*,*,#208625,.T.); #291715=ORIENTED_EDGE('',*,*,#208569,.T.); #291716=ORIENTED_EDGE('',*,*,#208629,.F.); #291717=ORIENTED_EDGE('',*,*,#208630,.F.); #291718=ORIENTED_EDGE('',*,*,#208627,.T.); #291719=ORIENTED_EDGE('',*,*,#208568,.T.); #291720=ORIENTED_EDGE('',*,*,#208631,.F.); #291721=ORIENTED_EDGE('',*,*,#208632,.F.); #291722=ORIENTED_EDGE('',*,*,#208629,.T.); #291723=ORIENTED_EDGE('',*,*,#208567,.T.); #291724=ORIENTED_EDGE('',*,*,#208633,.F.); #291725=ORIENTED_EDGE('',*,*,#208634,.F.); #291726=ORIENTED_EDGE('',*,*,#208631,.T.); #291727=ORIENTED_EDGE('',*,*,#208566,.T.); #291728=ORIENTED_EDGE('',*,*,#208635,.F.); #291729=ORIENTED_EDGE('',*,*,#208636,.F.); #291730=ORIENTED_EDGE('',*,*,#208633,.T.); #291731=ORIENTED_EDGE('',*,*,#208565,.T.); #291732=ORIENTED_EDGE('',*,*,#208637,.F.); #291733=ORIENTED_EDGE('',*,*,#208638,.F.); #291734=ORIENTED_EDGE('',*,*,#208635,.T.); #291735=ORIENTED_EDGE('',*,*,#208564,.T.); #291736=ORIENTED_EDGE('',*,*,#208639,.F.); #291737=ORIENTED_EDGE('',*,*,#208640,.F.); #291738=ORIENTED_EDGE('',*,*,#208637,.T.); #291739=ORIENTED_EDGE('',*,*,#208563,.T.); #291740=ORIENTED_EDGE('',*,*,#208641,.F.); #291741=ORIENTED_EDGE('',*,*,#208642,.F.); #291742=ORIENTED_EDGE('',*,*,#208639,.T.); #291743=ORIENTED_EDGE('',*,*,#208562,.T.); #291744=ORIENTED_EDGE('',*,*,#208643,.F.); #291745=ORIENTED_EDGE('',*,*,#208644,.F.); #291746=ORIENTED_EDGE('',*,*,#208641,.T.); #291747=ORIENTED_EDGE('',*,*,#208561,.T.); #291748=ORIENTED_EDGE('',*,*,#208546,.F.); #291749=ORIENTED_EDGE('',*,*,#208645,.F.); #291750=ORIENTED_EDGE('',*,*,#208643,.T.); #291751=ORIENTED_EDGE('',*,*,#208001,.T.); #291752=ORIENTED_EDGE('',*,*,#208551,.T.); #291753=ORIENTED_EDGE('',*,*,#208589,.T.); #291754=ORIENTED_EDGE('',*,*,#208591,.T.); #291755=ORIENTED_EDGE('',*,*,#208593,.T.); #291756=ORIENTED_EDGE('',*,*,#208595,.T.); #291757=ORIENTED_EDGE('',*,*,#208597,.T.); #291758=ORIENTED_EDGE('',*,*,#208599,.T.); #291759=ORIENTED_EDGE('',*,*,#208601,.T.); #291760=ORIENTED_EDGE('',*,*,#208603,.T.); #291761=ORIENTED_EDGE('',*,*,#208605,.T.); #291762=ORIENTED_EDGE('',*,*,#208607,.T.); #291763=ORIENTED_EDGE('',*,*,#208609,.T.); #291764=ORIENTED_EDGE('',*,*,#208611,.T.); #291765=ORIENTED_EDGE('',*,*,#208613,.T.); #291766=ORIENTED_EDGE('',*,*,#208615,.T.); #291767=ORIENTED_EDGE('',*,*,#208616,.T.); #291768=ORIENTED_EDGE('',*,*,#208559,.T.); #291769=ORIENTED_EDGE('',*,*,#208618,.T.); #291770=ORIENTED_EDGE('',*,*,#208620,.T.); #291771=ORIENTED_EDGE('',*,*,#208622,.T.); #291772=ORIENTED_EDGE('',*,*,#208624,.T.); #291773=ORIENTED_EDGE('',*,*,#208626,.T.); #291774=ORIENTED_EDGE('',*,*,#208628,.T.); #291775=ORIENTED_EDGE('',*,*,#208630,.T.); #291776=ORIENTED_EDGE('',*,*,#208632,.T.); #291777=ORIENTED_EDGE('',*,*,#208634,.T.); #291778=ORIENTED_EDGE('',*,*,#208636,.T.); #291779=ORIENTED_EDGE('',*,*,#208638,.T.); #291780=ORIENTED_EDGE('',*,*,#208640,.T.); #291781=ORIENTED_EDGE('',*,*,#208642,.T.); #291782=ORIENTED_EDGE('',*,*,#208644,.T.); #291783=ORIENTED_EDGE('',*,*,#208645,.T.); #291784=ORIENTED_EDGE('',*,*,#208545,.T.); #291785=ORIENTED_EDGE('',*,*,#207981,.T.); #291786=ORIENTED_EDGE('',*,*,#208646,.T.); #291787=ORIENTED_EDGE('',*,*,#207989,.T.); #291788=ORIENTED_EDGE('',*,*,#207997,.T.); #291789=ORIENTED_EDGE('',*,*,#207988,.T.); #291790=ORIENTED_EDGE('',*,*,#208647,.T.); #291791=ORIENTED_EDGE('',*,*,#207990,.T.); #291792=ORIENTED_EDGE('',*,*,#208646,.F.); #291793=ORIENTED_EDGE('',*,*,#207987,.T.); #291794=ORIENTED_EDGE('',*,*,#207999,.T.); #291795=ORIENTED_EDGE('',*,*,#207991,.T.); #291796=ORIENTED_EDGE('',*,*,#208647,.F.); #291797=ORIENTED_EDGE('',*,*,#207978,.T.); #291798=ORIENTED_EDGE('',*,*,#208381,.F.); #291799=ORIENTED_EDGE('',*,*,#208648,.F.); #291800=ORIENTED_EDGE('',*,*,#208372,.F.); #291801=ORIENTED_EDGE('',*,*,#208649,.T.); #291802=ORIENTED_EDGE('',*,*,#208384,.F.); #291803=ORIENTED_EDGE('',*,*,#208377,.F.); #291804=ORIENTED_EDGE('',*,*,#208374,.F.); #291805=ORIENTED_EDGE('',*,*,#208648,.T.); #291806=ORIENTED_EDGE('',*,*,#208380,.F.); #291807=ORIENTED_EDGE('',*,*,#208649,.F.); #291808=ORIENTED_EDGE('',*,*,#208373,.F.); #291809=ORIENTED_EDGE('',*,*,#208580,.T.); #291810=ORIENTED_EDGE('',*,*,#208054,.T.); #291811=ORIENTED_EDGE('',*,*,#208505,.T.); #291812=ORIENTED_EDGE('',*,*,#208544,.T.); #291813=ORIENTED_EDGE('',*,*,#207968,.T.); #291814=ORIENTED_EDGE('',*,*,#208539,.F.); #291815=ORIENTED_EDGE('',*,*,#208650,.T.); #291816=ORIENTED_EDGE('',*,*,#208553,.F.); #291817=ORIENTED_EDGE('',*,*,#207972,.F.); #291818=ORIENTED_EDGE('',*,*,#208019,.T.); #291819=ORIENTED_EDGE('',*,*,#208509,.T.); #291820=ORIENTED_EDGE('',*,*,#208586,.F.); #291821=ORIENTED_EDGE('',*,*,#207976,.F.); #291822=ORIENTED_EDGE('',*,*,#208548,.F.); #291823=ORIENTED_EDGE('',*,*,#208554,.F.); #291824=ORIENTED_EDGE('',*,*,#208650,.F.); #291825=ORIENTED_EDGE('',*,*,#208538,.F.); #291826=ORIENTED_EDGE('',*,*,#208556,.F.); #291827=ORIENTED_EDGE('',*,*,#208651,.T.); #291828=ORIENTED_EDGE('',*,*,#208652,.F.); #291829=ORIENTED_EDGE('',*,*,#208653,.F.); #291830=ORIENTED_EDGE('',*,*,#208654,.T.); #291831=ORIENTED_EDGE('',*,*,#208655,.T.); #291832=ORIENTED_EDGE('',*,*,#208656,.T.); #291833=ORIENTED_EDGE('',*,*,#208657,.T.); #291834=ORIENTED_EDGE('',*,*,#208658,.T.); #291835=ORIENTED_EDGE('',*,*,#208659,.T.); #291836=ORIENTED_EDGE('',*,*,#208660,.T.); #291837=ORIENTED_EDGE('',*,*,#208661,.F.); #291838=ORIENTED_EDGE('',*,*,#208662,.F.); #291839=ORIENTED_EDGE('',*,*,#208663,.F.); #291840=ORIENTED_EDGE('',*,*,#208664,.F.); #291841=ORIENTED_EDGE('',*,*,#208665,.F.); #291842=ORIENTED_EDGE('',*,*,#208652,.T.); #291843=ORIENTED_EDGE('',*,*,#208666,.T.); #291844=ORIENTED_EDGE('',*,*,#208667,.F.); #291845=ORIENTED_EDGE('',*,*,#208668,.F.); #291846=ORIENTED_EDGE('',*,*,#208669,.T.); #291847=ORIENTED_EDGE('',*,*,#208670,.T.); #291848=ORIENTED_EDGE('',*,*,#208671,.T.); #291849=ORIENTED_EDGE('',*,*,#208672,.T.); #291850=ORIENTED_EDGE('',*,*,#208673,.F.); #291851=ORIENTED_EDGE('',*,*,#208674,.F.); #291852=ORIENTED_EDGE('',*,*,#208675,.F.); #291853=ORIENTED_EDGE('',*,*,#208676,.F.); #291854=ORIENTED_EDGE('',*,*,#208677,.F.); #291855=ORIENTED_EDGE('',*,*,#208678,.T.); #291856=ORIENTED_EDGE('',*,*,#208679,.F.); #291857=ORIENTED_EDGE('',*,*,#208680,.F.); #291858=ORIENTED_EDGE('',*,*,#208667,.T.); #291859=ORIENTED_EDGE('',*,*,#208681,.F.); #291860=ORIENTED_EDGE('',*,*,#208682,.T.); #291861=ORIENTED_EDGE('',*,*,#208683,.F.); #291862=ORIENTED_EDGE('',*,*,#208679,.T.); #291863=ORIENTED_EDGE('',*,*,#208684,.T.); #291864=ORIENTED_EDGE('',*,*,#208685,.T.); #291865=ORIENTED_EDGE('',*,*,#208686,.F.); #291866=ORIENTED_EDGE('',*,*,#208687,.T.); #291867=ORIENTED_EDGE('',*,*,#208681,.T.); #291868=ORIENTED_EDGE('',*,*,#208688,.T.); #291869=ORIENTED_EDGE('',*,*,#208689,.F.); #291870=ORIENTED_EDGE('',*,*,#208690,.T.); #291871=ORIENTED_EDGE('',*,*,#208686,.T.); #291872=ORIENTED_EDGE('',*,*,#208691,.T.); #291873=ORIENTED_EDGE('',*,*,#208692,.T.); #291874=ORIENTED_EDGE('',*,*,#208654,.F.); #291875=ORIENTED_EDGE('',*,*,#208683,.T.); #291876=ORIENTED_EDGE('',*,*,#208693,.T.); #291877=ORIENTED_EDGE('',*,*,#208689,.T.); #291878=ORIENTED_EDGE('',*,*,#208653,.T.); #291879=ORIENTED_EDGE('',*,*,#208665,.T.); #291880=ORIENTED_EDGE('',*,*,#208694,.T.); #291881=ORIENTED_EDGE('',*,*,#208668,.T.); #291882=ORIENTED_EDGE('',*,*,#208680,.T.); #291883=ORIENTED_EDGE('',*,*,#208692,.F.); #291884=ORIENTED_EDGE('',*,*,#208695,.T.); #291885=ORIENTED_EDGE('',*,*,#208684,.F.); #291886=ORIENTED_EDGE('',*,*,#208678,.F.); #291887=ORIENTED_EDGE('',*,*,#208666,.F.); #291888=ORIENTED_EDGE('',*,*,#208696,.F.); #291889=ORIENTED_EDGE('',*,*,#208655,.F.); #291890=ORIENTED_EDGE('',*,*,#208651,.F.); #291891=ORIENTED_EDGE('',*,*,#208660,.F.); #291892=ORIENTED_EDGE('',*,*,#208697,.F.); #291893=ORIENTED_EDGE('',*,*,#208673,.T.); #291894=ORIENTED_EDGE('',*,*,#208698,.T.); #291895=ORIENTED_EDGE('',*,*,#208664,.T.); #291896=ORIENTED_EDGE('',*,*,#208699,.F.); #291897=ORIENTED_EDGE('',*,*,#208669,.F.); #291898=ORIENTED_EDGE('',*,*,#208694,.F.); #291899=ORIENTED_EDGE('',*,*,#208663,.T.); #291900=ORIENTED_EDGE('',*,*,#208700,.F.); #291901=ORIENTED_EDGE('',*,*,#208670,.F.); #291902=ORIENTED_EDGE('',*,*,#208699,.T.); #291903=ORIENTED_EDGE('',*,*,#208662,.T.); #291904=ORIENTED_EDGE('',*,*,#208701,.F.); #291905=ORIENTED_EDGE('',*,*,#208671,.F.); #291906=ORIENTED_EDGE('',*,*,#208700,.T.); #291907=ORIENTED_EDGE('',*,*,#208661,.T.); #291908=ORIENTED_EDGE('',*,*,#208698,.F.); #291909=ORIENTED_EDGE('',*,*,#208672,.F.); #291910=ORIENTED_EDGE('',*,*,#208701,.T.); #291911=ORIENTED_EDGE('',*,*,#208656,.F.); #291912=ORIENTED_EDGE('',*,*,#208696,.T.); #291913=ORIENTED_EDGE('',*,*,#208677,.T.); #291914=ORIENTED_EDGE('',*,*,#208702,.T.); #291915=ORIENTED_EDGE('',*,*,#208657,.F.); #291916=ORIENTED_EDGE('',*,*,#208702,.F.); #291917=ORIENTED_EDGE('',*,*,#208676,.T.); #291918=ORIENTED_EDGE('',*,*,#208703,.T.); #291919=ORIENTED_EDGE('',*,*,#208658,.F.); #291920=ORIENTED_EDGE('',*,*,#208703,.F.); #291921=ORIENTED_EDGE('',*,*,#208675,.T.); #291922=ORIENTED_EDGE('',*,*,#208704,.T.); #291923=ORIENTED_EDGE('',*,*,#208659,.F.); #291924=ORIENTED_EDGE('',*,*,#208704,.F.); #291925=ORIENTED_EDGE('',*,*,#208674,.T.); #291926=ORIENTED_EDGE('',*,*,#208697,.T.); #291927=ORIENTED_EDGE('',*,*,#208682,.F.); #291928=ORIENTED_EDGE('',*,*,#208687,.F.); #291929=ORIENTED_EDGE('',*,*,#208690,.F.); #291930=ORIENTED_EDGE('',*,*,#208693,.F.); #291931=ORIENTED_EDGE('',*,*,#208685,.F.); #291932=ORIENTED_EDGE('',*,*,#208695,.F.); #291933=ORIENTED_EDGE('',*,*,#208691,.F.); #291934=ORIENTED_EDGE('',*,*,#208688,.F.); #291935=ORIENTED_EDGE('',*,*,#208705,.T.); #291936=ORIENTED_EDGE('',*,*,#208706,.F.); #291937=ORIENTED_EDGE('',*,*,#208707,.F.); #291938=ORIENTED_EDGE('',*,*,#208708,.T.); #291939=ORIENTED_EDGE('',*,*,#208709,.T.); #291940=ORIENTED_EDGE('',*,*,#208710,.T.); #291941=ORIENTED_EDGE('',*,*,#208711,.T.); #291942=ORIENTED_EDGE('',*,*,#208712,.T.); #291943=ORIENTED_EDGE('',*,*,#208713,.T.); #291944=ORIENTED_EDGE('',*,*,#208714,.T.); #291945=ORIENTED_EDGE('',*,*,#208715,.F.); #291946=ORIENTED_EDGE('',*,*,#208716,.F.); #291947=ORIENTED_EDGE('',*,*,#208717,.F.); #291948=ORIENTED_EDGE('',*,*,#208718,.F.); #291949=ORIENTED_EDGE('',*,*,#208719,.F.); #291950=ORIENTED_EDGE('',*,*,#208706,.T.); #291951=ORIENTED_EDGE('',*,*,#208720,.T.); #291952=ORIENTED_EDGE('',*,*,#208721,.F.); #291953=ORIENTED_EDGE('',*,*,#208722,.F.); #291954=ORIENTED_EDGE('',*,*,#208723,.T.); #291955=ORIENTED_EDGE('',*,*,#208724,.T.); #291956=ORIENTED_EDGE('',*,*,#208725,.T.); #291957=ORIENTED_EDGE('',*,*,#208726,.T.); #291958=ORIENTED_EDGE('',*,*,#208727,.F.); #291959=ORIENTED_EDGE('',*,*,#208728,.F.); #291960=ORIENTED_EDGE('',*,*,#208729,.F.); #291961=ORIENTED_EDGE('',*,*,#208730,.F.); #291962=ORIENTED_EDGE('',*,*,#208731,.F.); #291963=ORIENTED_EDGE('',*,*,#208732,.T.); #291964=ORIENTED_EDGE('',*,*,#208733,.F.); #291965=ORIENTED_EDGE('',*,*,#208734,.F.); #291966=ORIENTED_EDGE('',*,*,#208721,.T.); #291967=ORIENTED_EDGE('',*,*,#208735,.F.); #291968=ORIENTED_EDGE('',*,*,#208736,.T.); #291969=ORIENTED_EDGE('',*,*,#208737,.F.); #291970=ORIENTED_EDGE('',*,*,#208733,.T.); #291971=ORIENTED_EDGE('',*,*,#208738,.T.); #291972=ORIENTED_EDGE('',*,*,#208739,.T.); #291973=ORIENTED_EDGE('',*,*,#208740,.F.); #291974=ORIENTED_EDGE('',*,*,#208741,.T.); #291975=ORIENTED_EDGE('',*,*,#208735,.T.); #291976=ORIENTED_EDGE('',*,*,#208742,.T.); #291977=ORIENTED_EDGE('',*,*,#208743,.F.); #291978=ORIENTED_EDGE('',*,*,#208744,.T.); #291979=ORIENTED_EDGE('',*,*,#208740,.T.); #291980=ORIENTED_EDGE('',*,*,#208745,.T.); #291981=ORIENTED_EDGE('',*,*,#208746,.T.); #291982=ORIENTED_EDGE('',*,*,#208708,.F.); #291983=ORIENTED_EDGE('',*,*,#208737,.T.); #291984=ORIENTED_EDGE('',*,*,#208747,.T.); #291985=ORIENTED_EDGE('',*,*,#208743,.T.); #291986=ORIENTED_EDGE('',*,*,#208707,.T.); #291987=ORIENTED_EDGE('',*,*,#208719,.T.); #291988=ORIENTED_EDGE('',*,*,#208748,.T.); #291989=ORIENTED_EDGE('',*,*,#208722,.T.); #291990=ORIENTED_EDGE('',*,*,#208734,.T.); #291991=ORIENTED_EDGE('',*,*,#208746,.F.); #291992=ORIENTED_EDGE('',*,*,#208749,.T.); #291993=ORIENTED_EDGE('',*,*,#208738,.F.); #291994=ORIENTED_EDGE('',*,*,#208732,.F.); #291995=ORIENTED_EDGE('',*,*,#208720,.F.); #291996=ORIENTED_EDGE('',*,*,#208750,.F.); #291997=ORIENTED_EDGE('',*,*,#208709,.F.); #291998=ORIENTED_EDGE('',*,*,#208705,.F.); #291999=ORIENTED_EDGE('',*,*,#208714,.F.); #292000=ORIENTED_EDGE('',*,*,#208751,.F.); #292001=ORIENTED_EDGE('',*,*,#208727,.T.); #292002=ORIENTED_EDGE('',*,*,#208752,.T.); #292003=ORIENTED_EDGE('',*,*,#208718,.T.); #292004=ORIENTED_EDGE('',*,*,#208753,.F.); #292005=ORIENTED_EDGE('',*,*,#208723,.F.); #292006=ORIENTED_EDGE('',*,*,#208748,.F.); #292007=ORIENTED_EDGE('',*,*,#208717,.T.); #292008=ORIENTED_EDGE('',*,*,#208754,.F.); #292009=ORIENTED_EDGE('',*,*,#208724,.F.); #292010=ORIENTED_EDGE('',*,*,#208753,.T.); #292011=ORIENTED_EDGE('',*,*,#208716,.T.); #292012=ORIENTED_EDGE('',*,*,#208755,.F.); #292013=ORIENTED_EDGE('',*,*,#208725,.F.); #292014=ORIENTED_EDGE('',*,*,#208754,.T.); #292015=ORIENTED_EDGE('',*,*,#208715,.T.); #292016=ORIENTED_EDGE('',*,*,#208752,.F.); #292017=ORIENTED_EDGE('',*,*,#208726,.F.); #292018=ORIENTED_EDGE('',*,*,#208755,.T.); #292019=ORIENTED_EDGE('',*,*,#208710,.F.); #292020=ORIENTED_EDGE('',*,*,#208750,.T.); #292021=ORIENTED_EDGE('',*,*,#208731,.T.); #292022=ORIENTED_EDGE('',*,*,#208756,.T.); #292023=ORIENTED_EDGE('',*,*,#208711,.F.); #292024=ORIENTED_EDGE('',*,*,#208756,.F.); #292025=ORIENTED_EDGE('',*,*,#208730,.T.); #292026=ORIENTED_EDGE('',*,*,#208757,.T.); #292027=ORIENTED_EDGE('',*,*,#208712,.F.); #292028=ORIENTED_EDGE('',*,*,#208757,.F.); #292029=ORIENTED_EDGE('',*,*,#208729,.T.); #292030=ORIENTED_EDGE('',*,*,#208758,.T.); #292031=ORIENTED_EDGE('',*,*,#208713,.F.); #292032=ORIENTED_EDGE('',*,*,#208758,.F.); #292033=ORIENTED_EDGE('',*,*,#208728,.T.); #292034=ORIENTED_EDGE('',*,*,#208751,.T.); #292035=ORIENTED_EDGE('',*,*,#208736,.F.); #292036=ORIENTED_EDGE('',*,*,#208741,.F.); #292037=ORIENTED_EDGE('',*,*,#208744,.F.); #292038=ORIENTED_EDGE('',*,*,#208747,.F.); #292039=ORIENTED_EDGE('',*,*,#208739,.F.); #292040=ORIENTED_EDGE('',*,*,#208749,.F.); #292041=ORIENTED_EDGE('',*,*,#208745,.F.); #292042=ORIENTED_EDGE('',*,*,#208742,.F.); #292043=ORIENTED_EDGE('',*,*,#208759,.T.); #292044=ORIENTED_EDGE('',*,*,#208760,.F.); #292045=ORIENTED_EDGE('',*,*,#208761,.F.); #292046=ORIENTED_EDGE('',*,*,#208762,.T.); #292047=ORIENTED_EDGE('',*,*,#208763,.T.); #292048=ORIENTED_EDGE('',*,*,#208764,.T.); #292049=ORIENTED_EDGE('',*,*,#208765,.T.); #292050=ORIENTED_EDGE('',*,*,#208766,.T.); #292051=ORIENTED_EDGE('',*,*,#208767,.T.); #292052=ORIENTED_EDGE('',*,*,#208768,.T.); #292053=ORIENTED_EDGE('',*,*,#208769,.F.); #292054=ORIENTED_EDGE('',*,*,#208770,.F.); #292055=ORIENTED_EDGE('',*,*,#208771,.F.); #292056=ORIENTED_EDGE('',*,*,#208772,.F.); #292057=ORIENTED_EDGE('',*,*,#208773,.F.); #292058=ORIENTED_EDGE('',*,*,#208760,.T.); #292059=ORIENTED_EDGE('',*,*,#208774,.T.); #292060=ORIENTED_EDGE('',*,*,#208775,.F.); #292061=ORIENTED_EDGE('',*,*,#208776,.F.); #292062=ORIENTED_EDGE('',*,*,#208777,.T.); #292063=ORIENTED_EDGE('',*,*,#208778,.T.); #292064=ORIENTED_EDGE('',*,*,#208779,.T.); #292065=ORIENTED_EDGE('',*,*,#208780,.T.); #292066=ORIENTED_EDGE('',*,*,#208781,.F.); #292067=ORIENTED_EDGE('',*,*,#208782,.F.); #292068=ORIENTED_EDGE('',*,*,#208783,.F.); #292069=ORIENTED_EDGE('',*,*,#208784,.F.); #292070=ORIENTED_EDGE('',*,*,#208785,.F.); #292071=ORIENTED_EDGE('',*,*,#208786,.T.); #292072=ORIENTED_EDGE('',*,*,#208787,.F.); #292073=ORIENTED_EDGE('',*,*,#208788,.F.); #292074=ORIENTED_EDGE('',*,*,#208775,.T.); #292075=ORIENTED_EDGE('',*,*,#208789,.F.); #292076=ORIENTED_EDGE('',*,*,#208790,.T.); #292077=ORIENTED_EDGE('',*,*,#208791,.F.); #292078=ORIENTED_EDGE('',*,*,#208787,.T.); #292079=ORIENTED_EDGE('',*,*,#208792,.T.); #292080=ORIENTED_EDGE('',*,*,#208793,.T.); #292081=ORIENTED_EDGE('',*,*,#208794,.F.); #292082=ORIENTED_EDGE('',*,*,#208795,.T.); #292083=ORIENTED_EDGE('',*,*,#208789,.T.); #292084=ORIENTED_EDGE('',*,*,#208796,.T.); #292085=ORIENTED_EDGE('',*,*,#208797,.F.); #292086=ORIENTED_EDGE('',*,*,#208798,.T.); #292087=ORIENTED_EDGE('',*,*,#208794,.T.); #292088=ORIENTED_EDGE('',*,*,#208799,.T.); #292089=ORIENTED_EDGE('',*,*,#208800,.T.); #292090=ORIENTED_EDGE('',*,*,#208762,.F.); #292091=ORIENTED_EDGE('',*,*,#208791,.T.); #292092=ORIENTED_EDGE('',*,*,#208801,.T.); #292093=ORIENTED_EDGE('',*,*,#208797,.T.); #292094=ORIENTED_EDGE('',*,*,#208761,.T.); #292095=ORIENTED_EDGE('',*,*,#208773,.T.); #292096=ORIENTED_EDGE('',*,*,#208802,.T.); #292097=ORIENTED_EDGE('',*,*,#208776,.T.); #292098=ORIENTED_EDGE('',*,*,#208788,.T.); #292099=ORIENTED_EDGE('',*,*,#208800,.F.); #292100=ORIENTED_EDGE('',*,*,#208803,.T.); #292101=ORIENTED_EDGE('',*,*,#208792,.F.); #292102=ORIENTED_EDGE('',*,*,#208786,.F.); #292103=ORIENTED_EDGE('',*,*,#208774,.F.); #292104=ORIENTED_EDGE('',*,*,#208804,.F.); #292105=ORIENTED_EDGE('',*,*,#208763,.F.); #292106=ORIENTED_EDGE('',*,*,#208759,.F.); #292107=ORIENTED_EDGE('',*,*,#208768,.F.); #292108=ORIENTED_EDGE('',*,*,#208805,.F.); #292109=ORIENTED_EDGE('',*,*,#208781,.T.); #292110=ORIENTED_EDGE('',*,*,#208806,.T.); #292111=ORIENTED_EDGE('',*,*,#208772,.T.); #292112=ORIENTED_EDGE('',*,*,#208807,.F.); #292113=ORIENTED_EDGE('',*,*,#208777,.F.); #292114=ORIENTED_EDGE('',*,*,#208802,.F.); #292115=ORIENTED_EDGE('',*,*,#208771,.T.); #292116=ORIENTED_EDGE('',*,*,#208808,.F.); #292117=ORIENTED_EDGE('',*,*,#208778,.F.); #292118=ORIENTED_EDGE('',*,*,#208807,.T.); #292119=ORIENTED_EDGE('',*,*,#208770,.T.); #292120=ORIENTED_EDGE('',*,*,#208809,.F.); #292121=ORIENTED_EDGE('',*,*,#208779,.F.); #292122=ORIENTED_EDGE('',*,*,#208808,.T.); #292123=ORIENTED_EDGE('',*,*,#208769,.T.); #292124=ORIENTED_EDGE('',*,*,#208806,.F.); #292125=ORIENTED_EDGE('',*,*,#208780,.F.); #292126=ORIENTED_EDGE('',*,*,#208809,.T.); #292127=ORIENTED_EDGE('',*,*,#208764,.F.); #292128=ORIENTED_EDGE('',*,*,#208804,.T.); #292129=ORIENTED_EDGE('',*,*,#208785,.T.); #292130=ORIENTED_EDGE('',*,*,#208810,.T.); #292131=ORIENTED_EDGE('',*,*,#208765,.F.); #292132=ORIENTED_EDGE('',*,*,#208810,.F.); #292133=ORIENTED_EDGE('',*,*,#208784,.T.); #292134=ORIENTED_EDGE('',*,*,#208811,.T.); #292135=ORIENTED_EDGE('',*,*,#208766,.F.); #292136=ORIENTED_EDGE('',*,*,#208811,.F.); #292137=ORIENTED_EDGE('',*,*,#208783,.T.); #292138=ORIENTED_EDGE('',*,*,#208812,.T.); #292139=ORIENTED_EDGE('',*,*,#208767,.F.); #292140=ORIENTED_EDGE('',*,*,#208812,.F.); #292141=ORIENTED_EDGE('',*,*,#208782,.T.); #292142=ORIENTED_EDGE('',*,*,#208805,.T.); #292143=ORIENTED_EDGE('',*,*,#208790,.F.); #292144=ORIENTED_EDGE('',*,*,#208795,.F.); #292145=ORIENTED_EDGE('',*,*,#208798,.F.); #292146=ORIENTED_EDGE('',*,*,#208801,.F.); #292147=ORIENTED_EDGE('',*,*,#208793,.F.); #292148=ORIENTED_EDGE('',*,*,#208803,.F.); #292149=ORIENTED_EDGE('',*,*,#208799,.F.); #292150=ORIENTED_EDGE('',*,*,#208796,.F.); #292151=ORIENTED_EDGE('',*,*,#208813,.T.); #292152=ORIENTED_EDGE('',*,*,#208814,.F.); #292153=ORIENTED_EDGE('',*,*,#208815,.F.); #292154=ORIENTED_EDGE('',*,*,#208816,.T.); #292155=ORIENTED_EDGE('',*,*,#208817,.T.); #292156=ORIENTED_EDGE('',*,*,#208818,.T.); #292157=ORIENTED_EDGE('',*,*,#208819,.T.); #292158=ORIENTED_EDGE('',*,*,#208820,.T.); #292159=ORIENTED_EDGE('',*,*,#208821,.T.); #292160=ORIENTED_EDGE('',*,*,#208822,.T.); #292161=ORIENTED_EDGE('',*,*,#208823,.F.); #292162=ORIENTED_EDGE('',*,*,#208824,.F.); #292163=ORIENTED_EDGE('',*,*,#208825,.F.); #292164=ORIENTED_EDGE('',*,*,#208826,.F.); #292165=ORIENTED_EDGE('',*,*,#208827,.F.); #292166=ORIENTED_EDGE('',*,*,#208814,.T.); #292167=ORIENTED_EDGE('',*,*,#208828,.T.); #292168=ORIENTED_EDGE('',*,*,#208829,.F.); #292169=ORIENTED_EDGE('',*,*,#208830,.F.); #292170=ORIENTED_EDGE('',*,*,#208831,.T.); #292171=ORIENTED_EDGE('',*,*,#208832,.T.); #292172=ORIENTED_EDGE('',*,*,#208833,.T.); #292173=ORIENTED_EDGE('',*,*,#208834,.T.); #292174=ORIENTED_EDGE('',*,*,#208835,.F.); #292175=ORIENTED_EDGE('',*,*,#208836,.F.); #292176=ORIENTED_EDGE('',*,*,#208837,.F.); #292177=ORIENTED_EDGE('',*,*,#208838,.F.); #292178=ORIENTED_EDGE('',*,*,#208839,.F.); #292179=ORIENTED_EDGE('',*,*,#208840,.T.); #292180=ORIENTED_EDGE('',*,*,#208841,.F.); #292181=ORIENTED_EDGE('',*,*,#208842,.F.); #292182=ORIENTED_EDGE('',*,*,#208829,.T.); #292183=ORIENTED_EDGE('',*,*,#208843,.F.); #292184=ORIENTED_EDGE('',*,*,#208844,.T.); #292185=ORIENTED_EDGE('',*,*,#208845,.F.); #292186=ORIENTED_EDGE('',*,*,#208841,.T.); #292187=ORIENTED_EDGE('',*,*,#208846,.T.); #292188=ORIENTED_EDGE('',*,*,#208847,.T.); #292189=ORIENTED_EDGE('',*,*,#208848,.F.); #292190=ORIENTED_EDGE('',*,*,#208849,.T.); #292191=ORIENTED_EDGE('',*,*,#208843,.T.); #292192=ORIENTED_EDGE('',*,*,#208850,.T.); #292193=ORIENTED_EDGE('',*,*,#208851,.F.); #292194=ORIENTED_EDGE('',*,*,#208852,.T.); #292195=ORIENTED_EDGE('',*,*,#208848,.T.); #292196=ORIENTED_EDGE('',*,*,#208853,.T.); #292197=ORIENTED_EDGE('',*,*,#208854,.T.); #292198=ORIENTED_EDGE('',*,*,#208816,.F.); #292199=ORIENTED_EDGE('',*,*,#208845,.T.); #292200=ORIENTED_EDGE('',*,*,#208855,.T.); #292201=ORIENTED_EDGE('',*,*,#208851,.T.); #292202=ORIENTED_EDGE('',*,*,#208815,.T.); #292203=ORIENTED_EDGE('',*,*,#208827,.T.); #292204=ORIENTED_EDGE('',*,*,#208856,.T.); #292205=ORIENTED_EDGE('',*,*,#208830,.T.); #292206=ORIENTED_EDGE('',*,*,#208842,.T.); #292207=ORIENTED_EDGE('',*,*,#208854,.F.); #292208=ORIENTED_EDGE('',*,*,#208857,.T.); #292209=ORIENTED_EDGE('',*,*,#208846,.F.); #292210=ORIENTED_EDGE('',*,*,#208840,.F.); #292211=ORIENTED_EDGE('',*,*,#208828,.F.); #292212=ORIENTED_EDGE('',*,*,#208858,.F.); #292213=ORIENTED_EDGE('',*,*,#208817,.F.); #292214=ORIENTED_EDGE('',*,*,#208813,.F.); #292215=ORIENTED_EDGE('',*,*,#208822,.F.); #292216=ORIENTED_EDGE('',*,*,#208859,.F.); #292217=ORIENTED_EDGE('',*,*,#208835,.T.); #292218=ORIENTED_EDGE('',*,*,#208860,.T.); #292219=ORIENTED_EDGE('',*,*,#208826,.T.); #292220=ORIENTED_EDGE('',*,*,#208861,.F.); #292221=ORIENTED_EDGE('',*,*,#208831,.F.); #292222=ORIENTED_EDGE('',*,*,#208856,.F.); #292223=ORIENTED_EDGE('',*,*,#208825,.T.); #292224=ORIENTED_EDGE('',*,*,#208862,.F.); #292225=ORIENTED_EDGE('',*,*,#208832,.F.); #292226=ORIENTED_EDGE('',*,*,#208861,.T.); #292227=ORIENTED_EDGE('',*,*,#208824,.T.); #292228=ORIENTED_EDGE('',*,*,#208863,.F.); #292229=ORIENTED_EDGE('',*,*,#208833,.F.); #292230=ORIENTED_EDGE('',*,*,#208862,.T.); #292231=ORIENTED_EDGE('',*,*,#208823,.T.); #292232=ORIENTED_EDGE('',*,*,#208860,.F.); #292233=ORIENTED_EDGE('',*,*,#208834,.F.); #292234=ORIENTED_EDGE('',*,*,#208863,.T.); #292235=ORIENTED_EDGE('',*,*,#208818,.F.); #292236=ORIENTED_EDGE('',*,*,#208858,.T.); #292237=ORIENTED_EDGE('',*,*,#208839,.T.); #292238=ORIENTED_EDGE('',*,*,#208864,.T.); #292239=ORIENTED_EDGE('',*,*,#208819,.F.); #292240=ORIENTED_EDGE('',*,*,#208864,.F.); #292241=ORIENTED_EDGE('',*,*,#208838,.T.); #292242=ORIENTED_EDGE('',*,*,#208865,.T.); #292243=ORIENTED_EDGE('',*,*,#208820,.F.); #292244=ORIENTED_EDGE('',*,*,#208865,.F.); #292245=ORIENTED_EDGE('',*,*,#208837,.T.); #292246=ORIENTED_EDGE('',*,*,#208866,.T.); #292247=ORIENTED_EDGE('',*,*,#208821,.F.); #292248=ORIENTED_EDGE('',*,*,#208866,.F.); #292249=ORIENTED_EDGE('',*,*,#208836,.T.); #292250=ORIENTED_EDGE('',*,*,#208859,.T.); #292251=ORIENTED_EDGE('',*,*,#208844,.F.); #292252=ORIENTED_EDGE('',*,*,#208849,.F.); #292253=ORIENTED_EDGE('',*,*,#208852,.F.); #292254=ORIENTED_EDGE('',*,*,#208855,.F.); #292255=ORIENTED_EDGE('',*,*,#208847,.F.); #292256=ORIENTED_EDGE('',*,*,#208857,.F.); #292257=ORIENTED_EDGE('',*,*,#208853,.F.); #292258=ORIENTED_EDGE('',*,*,#208850,.F.); #292259=ORIENTED_EDGE('',*,*,#208867,.T.); #292260=ORIENTED_EDGE('',*,*,#208868,.F.); #292261=ORIENTED_EDGE('',*,*,#208869,.F.); #292262=ORIENTED_EDGE('',*,*,#208870,.T.); #292263=ORIENTED_EDGE('',*,*,#208871,.T.); #292264=ORIENTED_EDGE('',*,*,#208872,.T.); #292265=ORIENTED_EDGE('',*,*,#208873,.T.); #292266=ORIENTED_EDGE('',*,*,#208874,.T.); #292267=ORIENTED_EDGE('',*,*,#208875,.T.); #292268=ORIENTED_EDGE('',*,*,#208876,.T.); #292269=ORIENTED_EDGE('',*,*,#208877,.F.); #292270=ORIENTED_EDGE('',*,*,#208878,.F.); #292271=ORIENTED_EDGE('',*,*,#208879,.F.); #292272=ORIENTED_EDGE('',*,*,#208880,.F.); #292273=ORIENTED_EDGE('',*,*,#208881,.F.); #292274=ORIENTED_EDGE('',*,*,#208868,.T.); #292275=ORIENTED_EDGE('',*,*,#208882,.T.); #292276=ORIENTED_EDGE('',*,*,#208883,.F.); #292277=ORIENTED_EDGE('',*,*,#208884,.F.); #292278=ORIENTED_EDGE('',*,*,#208885,.T.); #292279=ORIENTED_EDGE('',*,*,#208886,.T.); #292280=ORIENTED_EDGE('',*,*,#208887,.T.); #292281=ORIENTED_EDGE('',*,*,#208888,.T.); #292282=ORIENTED_EDGE('',*,*,#208889,.F.); #292283=ORIENTED_EDGE('',*,*,#208890,.F.); #292284=ORIENTED_EDGE('',*,*,#208891,.F.); #292285=ORIENTED_EDGE('',*,*,#208892,.F.); #292286=ORIENTED_EDGE('',*,*,#208893,.F.); #292287=ORIENTED_EDGE('',*,*,#208894,.T.); #292288=ORIENTED_EDGE('',*,*,#208895,.F.); #292289=ORIENTED_EDGE('',*,*,#208896,.F.); #292290=ORIENTED_EDGE('',*,*,#208883,.T.); #292291=ORIENTED_EDGE('',*,*,#208897,.F.); #292292=ORIENTED_EDGE('',*,*,#208898,.T.); #292293=ORIENTED_EDGE('',*,*,#208899,.F.); #292294=ORIENTED_EDGE('',*,*,#208895,.T.); #292295=ORIENTED_EDGE('',*,*,#208900,.T.); #292296=ORIENTED_EDGE('',*,*,#208901,.T.); #292297=ORIENTED_EDGE('',*,*,#208902,.F.); #292298=ORIENTED_EDGE('',*,*,#208903,.T.); #292299=ORIENTED_EDGE('',*,*,#208897,.T.); #292300=ORIENTED_EDGE('',*,*,#208904,.T.); #292301=ORIENTED_EDGE('',*,*,#208905,.F.); #292302=ORIENTED_EDGE('',*,*,#208906,.T.); #292303=ORIENTED_EDGE('',*,*,#208902,.T.); #292304=ORIENTED_EDGE('',*,*,#208907,.T.); #292305=ORIENTED_EDGE('',*,*,#208908,.T.); #292306=ORIENTED_EDGE('',*,*,#208870,.F.); #292307=ORIENTED_EDGE('',*,*,#208899,.T.); #292308=ORIENTED_EDGE('',*,*,#208909,.T.); #292309=ORIENTED_EDGE('',*,*,#208905,.T.); #292310=ORIENTED_EDGE('',*,*,#208869,.T.); #292311=ORIENTED_EDGE('',*,*,#208881,.T.); #292312=ORIENTED_EDGE('',*,*,#208910,.T.); #292313=ORIENTED_EDGE('',*,*,#208884,.T.); #292314=ORIENTED_EDGE('',*,*,#208896,.T.); #292315=ORIENTED_EDGE('',*,*,#208908,.F.); #292316=ORIENTED_EDGE('',*,*,#208911,.T.); #292317=ORIENTED_EDGE('',*,*,#208900,.F.); #292318=ORIENTED_EDGE('',*,*,#208894,.F.); #292319=ORIENTED_EDGE('',*,*,#208882,.F.); #292320=ORIENTED_EDGE('',*,*,#208912,.F.); #292321=ORIENTED_EDGE('',*,*,#208871,.F.); #292322=ORIENTED_EDGE('',*,*,#208867,.F.); #292323=ORIENTED_EDGE('',*,*,#208876,.F.); #292324=ORIENTED_EDGE('',*,*,#208913,.F.); #292325=ORIENTED_EDGE('',*,*,#208889,.T.); #292326=ORIENTED_EDGE('',*,*,#208914,.T.); #292327=ORIENTED_EDGE('',*,*,#208880,.T.); #292328=ORIENTED_EDGE('',*,*,#208915,.F.); #292329=ORIENTED_EDGE('',*,*,#208885,.F.); #292330=ORIENTED_EDGE('',*,*,#208910,.F.); #292331=ORIENTED_EDGE('',*,*,#208879,.T.); #292332=ORIENTED_EDGE('',*,*,#208916,.F.); #292333=ORIENTED_EDGE('',*,*,#208886,.F.); #292334=ORIENTED_EDGE('',*,*,#208915,.T.); #292335=ORIENTED_EDGE('',*,*,#208878,.T.); #292336=ORIENTED_EDGE('',*,*,#208917,.F.); #292337=ORIENTED_EDGE('',*,*,#208887,.F.); #292338=ORIENTED_EDGE('',*,*,#208916,.T.); #292339=ORIENTED_EDGE('',*,*,#208877,.T.); #292340=ORIENTED_EDGE('',*,*,#208914,.F.); #292341=ORIENTED_EDGE('',*,*,#208888,.F.); #292342=ORIENTED_EDGE('',*,*,#208917,.T.); #292343=ORIENTED_EDGE('',*,*,#208872,.F.); #292344=ORIENTED_EDGE('',*,*,#208912,.T.); #292345=ORIENTED_EDGE('',*,*,#208893,.T.); #292346=ORIENTED_EDGE('',*,*,#208918,.T.); #292347=ORIENTED_EDGE('',*,*,#208873,.F.); #292348=ORIENTED_EDGE('',*,*,#208918,.F.); #292349=ORIENTED_EDGE('',*,*,#208892,.T.); #292350=ORIENTED_EDGE('',*,*,#208919,.T.); #292351=ORIENTED_EDGE('',*,*,#208874,.F.); #292352=ORIENTED_EDGE('',*,*,#208919,.F.); #292353=ORIENTED_EDGE('',*,*,#208891,.T.); #292354=ORIENTED_EDGE('',*,*,#208920,.T.); #292355=ORIENTED_EDGE('',*,*,#208875,.F.); #292356=ORIENTED_EDGE('',*,*,#208920,.F.); #292357=ORIENTED_EDGE('',*,*,#208890,.T.); #292358=ORIENTED_EDGE('',*,*,#208913,.T.); #292359=ORIENTED_EDGE('',*,*,#208898,.F.); #292360=ORIENTED_EDGE('',*,*,#208903,.F.); #292361=ORIENTED_EDGE('',*,*,#208906,.F.); #292362=ORIENTED_EDGE('',*,*,#208909,.F.); #292363=ORIENTED_EDGE('',*,*,#208901,.F.); #292364=ORIENTED_EDGE('',*,*,#208911,.F.); #292365=ORIENTED_EDGE('',*,*,#208907,.F.); #292366=ORIENTED_EDGE('',*,*,#208904,.F.); #292367=ORIENTED_EDGE('',*,*,#208921,.T.); #292368=ORIENTED_EDGE('',*,*,#208922,.F.); #292369=ORIENTED_EDGE('',*,*,#208923,.F.); #292370=ORIENTED_EDGE('',*,*,#208924,.T.); #292371=ORIENTED_EDGE('',*,*,#208925,.T.); #292372=ORIENTED_EDGE('',*,*,#208926,.T.); #292373=ORIENTED_EDGE('',*,*,#208927,.T.); #292374=ORIENTED_EDGE('',*,*,#208928,.T.); #292375=ORIENTED_EDGE('',*,*,#208929,.T.); #292376=ORIENTED_EDGE('',*,*,#208930,.T.); #292377=ORIENTED_EDGE('',*,*,#208931,.F.); #292378=ORIENTED_EDGE('',*,*,#208932,.F.); #292379=ORIENTED_EDGE('',*,*,#208933,.F.); #292380=ORIENTED_EDGE('',*,*,#208934,.F.); #292381=ORIENTED_EDGE('',*,*,#208935,.F.); #292382=ORIENTED_EDGE('',*,*,#208922,.T.); #292383=ORIENTED_EDGE('',*,*,#208936,.T.); #292384=ORIENTED_EDGE('',*,*,#208937,.F.); #292385=ORIENTED_EDGE('',*,*,#208938,.F.); #292386=ORIENTED_EDGE('',*,*,#208939,.T.); #292387=ORIENTED_EDGE('',*,*,#208940,.T.); #292388=ORIENTED_EDGE('',*,*,#208941,.T.); #292389=ORIENTED_EDGE('',*,*,#208942,.T.); #292390=ORIENTED_EDGE('',*,*,#208943,.F.); #292391=ORIENTED_EDGE('',*,*,#208944,.F.); #292392=ORIENTED_EDGE('',*,*,#208945,.F.); #292393=ORIENTED_EDGE('',*,*,#208946,.F.); #292394=ORIENTED_EDGE('',*,*,#208947,.F.); #292395=ORIENTED_EDGE('',*,*,#208948,.T.); #292396=ORIENTED_EDGE('',*,*,#208949,.F.); #292397=ORIENTED_EDGE('',*,*,#208950,.F.); #292398=ORIENTED_EDGE('',*,*,#208937,.T.); #292399=ORIENTED_EDGE('',*,*,#208951,.F.); #292400=ORIENTED_EDGE('',*,*,#208952,.T.); #292401=ORIENTED_EDGE('',*,*,#208953,.F.); #292402=ORIENTED_EDGE('',*,*,#208949,.T.); #292403=ORIENTED_EDGE('',*,*,#208954,.T.); #292404=ORIENTED_EDGE('',*,*,#208955,.T.); #292405=ORIENTED_EDGE('',*,*,#208956,.F.); #292406=ORIENTED_EDGE('',*,*,#208957,.T.); #292407=ORIENTED_EDGE('',*,*,#208951,.T.); #292408=ORIENTED_EDGE('',*,*,#208958,.T.); #292409=ORIENTED_EDGE('',*,*,#208959,.F.); #292410=ORIENTED_EDGE('',*,*,#208960,.T.); #292411=ORIENTED_EDGE('',*,*,#208956,.T.); #292412=ORIENTED_EDGE('',*,*,#208961,.T.); #292413=ORIENTED_EDGE('',*,*,#208962,.T.); #292414=ORIENTED_EDGE('',*,*,#208924,.F.); #292415=ORIENTED_EDGE('',*,*,#208953,.T.); #292416=ORIENTED_EDGE('',*,*,#208963,.T.); #292417=ORIENTED_EDGE('',*,*,#208959,.T.); #292418=ORIENTED_EDGE('',*,*,#208923,.T.); #292419=ORIENTED_EDGE('',*,*,#208935,.T.); #292420=ORIENTED_EDGE('',*,*,#208964,.T.); #292421=ORIENTED_EDGE('',*,*,#208938,.T.); #292422=ORIENTED_EDGE('',*,*,#208950,.T.); #292423=ORIENTED_EDGE('',*,*,#208962,.F.); #292424=ORIENTED_EDGE('',*,*,#208965,.T.); #292425=ORIENTED_EDGE('',*,*,#208954,.F.); #292426=ORIENTED_EDGE('',*,*,#208948,.F.); #292427=ORIENTED_EDGE('',*,*,#208936,.F.); #292428=ORIENTED_EDGE('',*,*,#208966,.F.); #292429=ORIENTED_EDGE('',*,*,#208925,.F.); #292430=ORIENTED_EDGE('',*,*,#208921,.F.); #292431=ORIENTED_EDGE('',*,*,#208930,.F.); #292432=ORIENTED_EDGE('',*,*,#208967,.F.); #292433=ORIENTED_EDGE('',*,*,#208943,.T.); #292434=ORIENTED_EDGE('',*,*,#208968,.T.); #292435=ORIENTED_EDGE('',*,*,#208934,.T.); #292436=ORIENTED_EDGE('',*,*,#208969,.F.); #292437=ORIENTED_EDGE('',*,*,#208939,.F.); #292438=ORIENTED_EDGE('',*,*,#208964,.F.); #292439=ORIENTED_EDGE('',*,*,#208933,.T.); #292440=ORIENTED_EDGE('',*,*,#208970,.F.); #292441=ORIENTED_EDGE('',*,*,#208940,.F.); #292442=ORIENTED_EDGE('',*,*,#208969,.T.); #292443=ORIENTED_EDGE('',*,*,#208932,.T.); #292444=ORIENTED_EDGE('',*,*,#208971,.F.); #292445=ORIENTED_EDGE('',*,*,#208941,.F.); #292446=ORIENTED_EDGE('',*,*,#208970,.T.); #292447=ORIENTED_EDGE('',*,*,#208931,.T.); #292448=ORIENTED_EDGE('',*,*,#208968,.F.); #292449=ORIENTED_EDGE('',*,*,#208942,.F.); #292450=ORIENTED_EDGE('',*,*,#208971,.T.); #292451=ORIENTED_EDGE('',*,*,#208926,.F.); #292452=ORIENTED_EDGE('',*,*,#208966,.T.); #292453=ORIENTED_EDGE('',*,*,#208947,.T.); #292454=ORIENTED_EDGE('',*,*,#208972,.T.); #292455=ORIENTED_EDGE('',*,*,#208927,.F.); #292456=ORIENTED_EDGE('',*,*,#208972,.F.); #292457=ORIENTED_EDGE('',*,*,#208946,.T.); #292458=ORIENTED_EDGE('',*,*,#208973,.T.); #292459=ORIENTED_EDGE('',*,*,#208928,.F.); #292460=ORIENTED_EDGE('',*,*,#208973,.F.); #292461=ORIENTED_EDGE('',*,*,#208945,.T.); #292462=ORIENTED_EDGE('',*,*,#208974,.T.); #292463=ORIENTED_EDGE('',*,*,#208929,.F.); #292464=ORIENTED_EDGE('',*,*,#208974,.F.); #292465=ORIENTED_EDGE('',*,*,#208944,.T.); #292466=ORIENTED_EDGE('',*,*,#208967,.T.); #292467=ORIENTED_EDGE('',*,*,#208952,.F.); #292468=ORIENTED_EDGE('',*,*,#208957,.F.); #292469=ORIENTED_EDGE('',*,*,#208960,.F.); #292470=ORIENTED_EDGE('',*,*,#208963,.F.); #292471=ORIENTED_EDGE('',*,*,#208955,.F.); #292472=ORIENTED_EDGE('',*,*,#208965,.F.); #292473=ORIENTED_EDGE('',*,*,#208961,.F.); #292474=ORIENTED_EDGE('',*,*,#208958,.F.); #292475=ORIENTED_EDGE('',*,*,#208975,.T.); #292476=ORIENTED_EDGE('',*,*,#208976,.F.); #292477=ORIENTED_EDGE('',*,*,#208977,.F.); #292478=ORIENTED_EDGE('',*,*,#208978,.T.); #292479=ORIENTED_EDGE('',*,*,#208979,.T.); #292480=ORIENTED_EDGE('',*,*,#208980,.T.); #292481=ORIENTED_EDGE('',*,*,#208981,.T.); #292482=ORIENTED_EDGE('',*,*,#208982,.T.); #292483=ORIENTED_EDGE('',*,*,#208983,.T.); #292484=ORIENTED_EDGE('',*,*,#208984,.T.); #292485=ORIENTED_EDGE('',*,*,#208985,.F.); #292486=ORIENTED_EDGE('',*,*,#208986,.F.); #292487=ORIENTED_EDGE('',*,*,#208987,.F.); #292488=ORIENTED_EDGE('',*,*,#208988,.F.); #292489=ORIENTED_EDGE('',*,*,#208989,.F.); #292490=ORIENTED_EDGE('',*,*,#208976,.T.); #292491=ORIENTED_EDGE('',*,*,#208990,.T.); #292492=ORIENTED_EDGE('',*,*,#208991,.F.); #292493=ORIENTED_EDGE('',*,*,#208992,.F.); #292494=ORIENTED_EDGE('',*,*,#208993,.T.); #292495=ORIENTED_EDGE('',*,*,#208994,.T.); #292496=ORIENTED_EDGE('',*,*,#208995,.T.); #292497=ORIENTED_EDGE('',*,*,#208996,.T.); #292498=ORIENTED_EDGE('',*,*,#208997,.F.); #292499=ORIENTED_EDGE('',*,*,#208998,.F.); #292500=ORIENTED_EDGE('',*,*,#208999,.F.); #292501=ORIENTED_EDGE('',*,*,#209000,.F.); #292502=ORIENTED_EDGE('',*,*,#209001,.F.); #292503=ORIENTED_EDGE('',*,*,#209002,.T.); #292504=ORIENTED_EDGE('',*,*,#209003,.F.); #292505=ORIENTED_EDGE('',*,*,#209004,.F.); #292506=ORIENTED_EDGE('',*,*,#208991,.T.); #292507=ORIENTED_EDGE('',*,*,#209005,.F.); #292508=ORIENTED_EDGE('',*,*,#209006,.T.); #292509=ORIENTED_EDGE('',*,*,#209007,.F.); #292510=ORIENTED_EDGE('',*,*,#209003,.T.); #292511=ORIENTED_EDGE('',*,*,#209008,.T.); #292512=ORIENTED_EDGE('',*,*,#209009,.T.); #292513=ORIENTED_EDGE('',*,*,#209010,.F.); #292514=ORIENTED_EDGE('',*,*,#209011,.T.); #292515=ORIENTED_EDGE('',*,*,#209005,.T.); #292516=ORIENTED_EDGE('',*,*,#209012,.T.); #292517=ORIENTED_EDGE('',*,*,#209013,.F.); #292518=ORIENTED_EDGE('',*,*,#209014,.T.); #292519=ORIENTED_EDGE('',*,*,#209010,.T.); #292520=ORIENTED_EDGE('',*,*,#209015,.T.); #292521=ORIENTED_EDGE('',*,*,#209016,.T.); #292522=ORIENTED_EDGE('',*,*,#208978,.F.); #292523=ORIENTED_EDGE('',*,*,#209007,.T.); #292524=ORIENTED_EDGE('',*,*,#209017,.T.); #292525=ORIENTED_EDGE('',*,*,#209013,.T.); #292526=ORIENTED_EDGE('',*,*,#208977,.T.); #292527=ORIENTED_EDGE('',*,*,#208989,.T.); #292528=ORIENTED_EDGE('',*,*,#209018,.T.); #292529=ORIENTED_EDGE('',*,*,#208992,.T.); #292530=ORIENTED_EDGE('',*,*,#209004,.T.); #292531=ORIENTED_EDGE('',*,*,#209016,.F.); #292532=ORIENTED_EDGE('',*,*,#209019,.T.); #292533=ORIENTED_EDGE('',*,*,#209008,.F.); #292534=ORIENTED_EDGE('',*,*,#209002,.F.); #292535=ORIENTED_EDGE('',*,*,#208990,.F.); #292536=ORIENTED_EDGE('',*,*,#209020,.F.); #292537=ORIENTED_EDGE('',*,*,#208979,.F.); #292538=ORIENTED_EDGE('',*,*,#208975,.F.); #292539=ORIENTED_EDGE('',*,*,#208984,.F.); #292540=ORIENTED_EDGE('',*,*,#209021,.F.); #292541=ORIENTED_EDGE('',*,*,#208997,.T.); #292542=ORIENTED_EDGE('',*,*,#209022,.T.); #292543=ORIENTED_EDGE('',*,*,#208988,.T.); #292544=ORIENTED_EDGE('',*,*,#209023,.F.); #292545=ORIENTED_EDGE('',*,*,#208993,.F.); #292546=ORIENTED_EDGE('',*,*,#209018,.F.); #292547=ORIENTED_EDGE('',*,*,#208987,.T.); #292548=ORIENTED_EDGE('',*,*,#209024,.F.); #292549=ORIENTED_EDGE('',*,*,#208994,.F.); #292550=ORIENTED_EDGE('',*,*,#209023,.T.); #292551=ORIENTED_EDGE('',*,*,#208986,.T.); #292552=ORIENTED_EDGE('',*,*,#209025,.F.); #292553=ORIENTED_EDGE('',*,*,#208995,.F.); #292554=ORIENTED_EDGE('',*,*,#209024,.T.); #292555=ORIENTED_EDGE('',*,*,#208985,.T.); #292556=ORIENTED_EDGE('',*,*,#209022,.F.); #292557=ORIENTED_EDGE('',*,*,#208996,.F.); #292558=ORIENTED_EDGE('',*,*,#209025,.T.); #292559=ORIENTED_EDGE('',*,*,#208980,.F.); #292560=ORIENTED_EDGE('',*,*,#209020,.T.); #292561=ORIENTED_EDGE('',*,*,#209001,.T.); #292562=ORIENTED_EDGE('',*,*,#209026,.T.); #292563=ORIENTED_EDGE('',*,*,#208981,.F.); #292564=ORIENTED_EDGE('',*,*,#209026,.F.); #292565=ORIENTED_EDGE('',*,*,#209000,.T.); #292566=ORIENTED_EDGE('',*,*,#209027,.T.); #292567=ORIENTED_EDGE('',*,*,#208982,.F.); #292568=ORIENTED_EDGE('',*,*,#209027,.F.); #292569=ORIENTED_EDGE('',*,*,#208999,.T.); #292570=ORIENTED_EDGE('',*,*,#209028,.T.); #292571=ORIENTED_EDGE('',*,*,#208983,.F.); #292572=ORIENTED_EDGE('',*,*,#209028,.F.); #292573=ORIENTED_EDGE('',*,*,#208998,.T.); #292574=ORIENTED_EDGE('',*,*,#209021,.T.); #292575=ORIENTED_EDGE('',*,*,#209006,.F.); #292576=ORIENTED_EDGE('',*,*,#209011,.F.); #292577=ORIENTED_EDGE('',*,*,#209014,.F.); #292578=ORIENTED_EDGE('',*,*,#209017,.F.); #292579=ORIENTED_EDGE('',*,*,#209009,.F.); #292580=ORIENTED_EDGE('',*,*,#209019,.F.); #292581=ORIENTED_EDGE('',*,*,#209015,.F.); #292582=ORIENTED_EDGE('',*,*,#209012,.F.); #292583=ORIENTED_EDGE('',*,*,#209029,.F.); #292584=ORIENTED_EDGE('',*,*,#209030,.F.); #292585=ORIENTED_EDGE('',*,*,#209031,.T.); #292586=ORIENTED_EDGE('',*,*,#209032,.T.); #292587=ORIENTED_EDGE('',*,*,#209033,.F.); #292588=ORIENTED_EDGE('',*,*,#209032,.F.); #292589=ORIENTED_EDGE('',*,*,#209034,.T.); #292590=ORIENTED_EDGE('',*,*,#209035,.T.); #292591=ORIENTED_EDGE('',*,*,#209036,.T.); #292592=ORIENTED_EDGE('',*,*,#209037,.T.); #292593=ORIENTED_EDGE('',*,*,#209038,.T.); #292594=ORIENTED_EDGE('',*,*,#209039,.F.); #292595=ORIENTED_EDGE('',*,*,#209040,.F.); #292596=ORIENTED_EDGE('',*,*,#209041,.F.); #292597=ORIENTED_EDGE('',*,*,#209042,.F.); #292598=ORIENTED_EDGE('',*,*,#209043,.F.); #292599=ORIENTED_EDGE('',*,*,#209044,.F.); #292600=ORIENTED_EDGE('',*,*,#209045,.T.); #292601=ORIENTED_EDGE('',*,*,#209046,.T.); #292602=ORIENTED_EDGE('',*,*,#209047,.T.); #292603=ORIENTED_EDGE('',*,*,#209048,.T.); #292604=ORIENTED_EDGE('',*,*,#209049,.T.); #292605=ORIENTED_EDGE('',*,*,#209050,.F.); #292606=ORIENTED_EDGE('',*,*,#209051,.F.); #292607=ORIENTED_EDGE('',*,*,#209052,.F.); #292608=ORIENTED_EDGE('',*,*,#209053,.F.); #292609=ORIENTED_EDGE('',*,*,#209054,.T.); #292610=ORIENTED_EDGE('',*,*,#209055,.T.); #292611=ORIENTED_EDGE('',*,*,#209056,.F.); #292612=ORIENTED_EDGE('',*,*,#209055,.F.); #292613=ORIENTED_EDGE('',*,*,#209057,.T.); #292614=ORIENTED_EDGE('',*,*,#209058,.T.); #292615=ORIENTED_EDGE('',*,*,#209059,.T.); #292616=ORIENTED_EDGE('',*,*,#209060,.F.); #292617=ORIENTED_EDGE('',*,*,#209061,.F.); #292618=ORIENTED_EDGE('',*,*,#209058,.F.); #292619=ORIENTED_EDGE('',*,*,#209062,.T.); #292620=ORIENTED_EDGE('',*,*,#209063,.F.); #292621=ORIENTED_EDGE('',*,*,#209064,.T.); #292622=ORIENTED_EDGE('',*,*,#209065,.F.); #292623=ORIENTED_EDGE('',*,*,#209059,.F.); #292624=ORIENTED_EDGE('',*,*,#209066,.F.); #292625=ORIENTED_EDGE('',*,*,#209067,.T.); #292626=ORIENTED_EDGE('',*,*,#209030,.T.); #292627=ORIENTED_EDGE('',*,*,#209068,.F.); #292628=ORIENTED_EDGE('',*,*,#209069,.F.); #292629=ORIENTED_EDGE('',*,*,#209064,.F.); #292630=ORIENTED_EDGE('',*,*,#209070,.F.); #292631=ORIENTED_EDGE('',*,*,#209062,.F.); #292632=ORIENTED_EDGE('',*,*,#209057,.F.); #292633=ORIENTED_EDGE('',*,*,#209054,.F.); #292634=ORIENTED_EDGE('',*,*,#209071,.F.); #292635=ORIENTED_EDGE('',*,*,#209034,.F.); #292636=ORIENTED_EDGE('',*,*,#209031,.F.); #292637=ORIENTED_EDGE('',*,*,#209067,.F.); #292638=ORIENTED_EDGE('',*,*,#209072,.F.); #292639=ORIENTED_EDGE('',*,*,#209068,.T.); #292640=ORIENTED_EDGE('',*,*,#209029,.T.); #292641=ORIENTED_EDGE('',*,*,#209033,.T.); #292642=ORIENTED_EDGE('',*,*,#209073,.T.); #292643=ORIENTED_EDGE('',*,*,#209044,.T.); #292644=ORIENTED_EDGE('',*,*,#209056,.T.); #292645=ORIENTED_EDGE('',*,*,#209061,.T.); #292646=ORIENTED_EDGE('',*,*,#209074,.F.); #292647=ORIENTED_EDGE('',*,*,#209039,.T.); #292648=ORIENTED_EDGE('',*,*,#209075,.F.); #292649=ORIENTED_EDGE('',*,*,#209049,.F.); #292650=ORIENTED_EDGE('',*,*,#209076,.T.); #292651=ORIENTED_EDGE('',*,*,#209035,.F.); #292652=ORIENTED_EDGE('',*,*,#209071,.T.); #292653=ORIENTED_EDGE('',*,*,#209053,.T.); #292654=ORIENTED_EDGE('',*,*,#209077,.T.); #292655=ORIENTED_EDGE('',*,*,#209036,.F.); #292656=ORIENTED_EDGE('',*,*,#209077,.F.); #292657=ORIENTED_EDGE('',*,*,#209052,.T.); #292658=ORIENTED_EDGE('',*,*,#209078,.T.); #292659=ORIENTED_EDGE('',*,*,#209037,.F.); #292660=ORIENTED_EDGE('',*,*,#209078,.F.); #292661=ORIENTED_EDGE('',*,*,#209051,.T.); #292662=ORIENTED_EDGE('',*,*,#209079,.T.); #292663=ORIENTED_EDGE('',*,*,#209038,.F.); #292664=ORIENTED_EDGE('',*,*,#209079,.F.); #292665=ORIENTED_EDGE('',*,*,#209050,.T.); #292666=ORIENTED_EDGE('',*,*,#209075,.T.); #292667=ORIENTED_EDGE('',*,*,#209043,.T.); #292668=ORIENTED_EDGE('',*,*,#209080,.F.); #292669=ORIENTED_EDGE('',*,*,#209045,.F.); #292670=ORIENTED_EDGE('',*,*,#209073,.F.); #292671=ORIENTED_EDGE('',*,*,#209042,.T.); #292672=ORIENTED_EDGE('',*,*,#209081,.F.); #292673=ORIENTED_EDGE('',*,*,#209046,.F.); #292674=ORIENTED_EDGE('',*,*,#209080,.T.); #292675=ORIENTED_EDGE('',*,*,#209041,.T.); #292676=ORIENTED_EDGE('',*,*,#209082,.F.); #292677=ORIENTED_EDGE('',*,*,#209047,.F.); #292678=ORIENTED_EDGE('',*,*,#209081,.T.); #292679=ORIENTED_EDGE('',*,*,#209040,.T.); #292680=ORIENTED_EDGE('',*,*,#209076,.F.); #292681=ORIENTED_EDGE('',*,*,#209048,.F.); #292682=ORIENTED_EDGE('',*,*,#209082,.T.); #292683=ORIENTED_EDGE('',*,*,#209063,.T.); #292684=ORIENTED_EDGE('',*,*,#209072,.T.); #292685=ORIENTED_EDGE('',*,*,#209070,.T.); #292686=ORIENTED_EDGE('',*,*,#209066,.T.); #292687=ORIENTED_EDGE('',*,*,#209060,.T.); #292688=ORIENTED_EDGE('',*,*,#209065,.T.); #292689=ORIENTED_EDGE('',*,*,#209069,.T.); #292690=ORIENTED_EDGE('',*,*,#209074,.T.); #292691=ORIENTED_EDGE('',*,*,#209083,.F.); #292692=ORIENTED_EDGE('',*,*,#209084,.F.); #292693=ORIENTED_EDGE('',*,*,#209085,.T.); #292694=ORIENTED_EDGE('',*,*,#209086,.T.); #292695=ORIENTED_EDGE('',*,*,#209087,.F.); #292696=ORIENTED_EDGE('',*,*,#209086,.F.); #292697=ORIENTED_EDGE('',*,*,#209088,.T.); #292698=ORIENTED_EDGE('',*,*,#209089,.T.); #292699=ORIENTED_EDGE('',*,*,#209090,.T.); #292700=ORIENTED_EDGE('',*,*,#209091,.T.); #292701=ORIENTED_EDGE('',*,*,#209092,.T.); #292702=ORIENTED_EDGE('',*,*,#209093,.F.); #292703=ORIENTED_EDGE('',*,*,#209094,.F.); #292704=ORIENTED_EDGE('',*,*,#209095,.F.); #292705=ORIENTED_EDGE('',*,*,#209096,.F.); #292706=ORIENTED_EDGE('',*,*,#209097,.F.); #292707=ORIENTED_EDGE('',*,*,#209098,.F.); #292708=ORIENTED_EDGE('',*,*,#209099,.T.); #292709=ORIENTED_EDGE('',*,*,#209100,.T.); #292710=ORIENTED_EDGE('',*,*,#209101,.T.); #292711=ORIENTED_EDGE('',*,*,#209102,.T.); #292712=ORIENTED_EDGE('',*,*,#209103,.T.); #292713=ORIENTED_EDGE('',*,*,#209104,.F.); #292714=ORIENTED_EDGE('',*,*,#209105,.F.); #292715=ORIENTED_EDGE('',*,*,#209106,.F.); #292716=ORIENTED_EDGE('',*,*,#209107,.F.); #292717=ORIENTED_EDGE('',*,*,#209108,.T.); #292718=ORIENTED_EDGE('',*,*,#209109,.T.); #292719=ORIENTED_EDGE('',*,*,#209110,.F.); #292720=ORIENTED_EDGE('',*,*,#209109,.F.); #292721=ORIENTED_EDGE('',*,*,#209111,.T.); #292722=ORIENTED_EDGE('',*,*,#209112,.T.); #292723=ORIENTED_EDGE('',*,*,#209113,.T.); #292724=ORIENTED_EDGE('',*,*,#209114,.F.); #292725=ORIENTED_EDGE('',*,*,#209115,.F.); #292726=ORIENTED_EDGE('',*,*,#209112,.F.); #292727=ORIENTED_EDGE('',*,*,#209116,.T.); #292728=ORIENTED_EDGE('',*,*,#209117,.F.); #292729=ORIENTED_EDGE('',*,*,#209118,.T.); #292730=ORIENTED_EDGE('',*,*,#209119,.F.); #292731=ORIENTED_EDGE('',*,*,#209113,.F.); #292732=ORIENTED_EDGE('',*,*,#209120,.F.); #292733=ORIENTED_EDGE('',*,*,#209121,.T.); #292734=ORIENTED_EDGE('',*,*,#209084,.T.); #292735=ORIENTED_EDGE('',*,*,#209122,.F.); #292736=ORIENTED_EDGE('',*,*,#209123,.F.); #292737=ORIENTED_EDGE('',*,*,#209118,.F.); #292738=ORIENTED_EDGE('',*,*,#209124,.F.); #292739=ORIENTED_EDGE('',*,*,#209116,.F.); #292740=ORIENTED_EDGE('',*,*,#209111,.F.); #292741=ORIENTED_EDGE('',*,*,#209108,.F.); #292742=ORIENTED_EDGE('',*,*,#209125,.F.); #292743=ORIENTED_EDGE('',*,*,#209088,.F.); #292744=ORIENTED_EDGE('',*,*,#209085,.F.); #292745=ORIENTED_EDGE('',*,*,#209121,.F.); #292746=ORIENTED_EDGE('',*,*,#209126,.F.); #292747=ORIENTED_EDGE('',*,*,#209122,.T.); #292748=ORIENTED_EDGE('',*,*,#209083,.T.); #292749=ORIENTED_EDGE('',*,*,#209087,.T.); #292750=ORIENTED_EDGE('',*,*,#209127,.T.); #292751=ORIENTED_EDGE('',*,*,#209098,.T.); #292752=ORIENTED_EDGE('',*,*,#209110,.T.); #292753=ORIENTED_EDGE('',*,*,#209115,.T.); #292754=ORIENTED_EDGE('',*,*,#209128,.F.); #292755=ORIENTED_EDGE('',*,*,#209093,.T.); #292756=ORIENTED_EDGE('',*,*,#209129,.F.); #292757=ORIENTED_EDGE('',*,*,#209103,.F.); #292758=ORIENTED_EDGE('',*,*,#209130,.T.); #292759=ORIENTED_EDGE('',*,*,#209089,.F.); #292760=ORIENTED_EDGE('',*,*,#209125,.T.); #292761=ORIENTED_EDGE('',*,*,#209107,.T.); #292762=ORIENTED_EDGE('',*,*,#209131,.T.); #292763=ORIENTED_EDGE('',*,*,#209090,.F.); #292764=ORIENTED_EDGE('',*,*,#209131,.F.); #292765=ORIENTED_EDGE('',*,*,#209106,.T.); #292766=ORIENTED_EDGE('',*,*,#209132,.T.); #292767=ORIENTED_EDGE('',*,*,#209091,.F.); #292768=ORIENTED_EDGE('',*,*,#209132,.F.); #292769=ORIENTED_EDGE('',*,*,#209105,.T.); #292770=ORIENTED_EDGE('',*,*,#209133,.T.); #292771=ORIENTED_EDGE('',*,*,#209092,.F.); #292772=ORIENTED_EDGE('',*,*,#209133,.F.); #292773=ORIENTED_EDGE('',*,*,#209104,.T.); #292774=ORIENTED_EDGE('',*,*,#209129,.T.); #292775=ORIENTED_EDGE('',*,*,#209097,.T.); #292776=ORIENTED_EDGE('',*,*,#209134,.F.); #292777=ORIENTED_EDGE('',*,*,#209099,.F.); #292778=ORIENTED_EDGE('',*,*,#209127,.F.); #292779=ORIENTED_EDGE('',*,*,#209096,.T.); #292780=ORIENTED_EDGE('',*,*,#209135,.F.); #292781=ORIENTED_EDGE('',*,*,#209100,.F.); #292782=ORIENTED_EDGE('',*,*,#209134,.T.); #292783=ORIENTED_EDGE('',*,*,#209095,.T.); #292784=ORIENTED_EDGE('',*,*,#209136,.F.); #292785=ORIENTED_EDGE('',*,*,#209101,.F.); #292786=ORIENTED_EDGE('',*,*,#209135,.T.); #292787=ORIENTED_EDGE('',*,*,#209094,.T.); #292788=ORIENTED_EDGE('',*,*,#209130,.F.); #292789=ORIENTED_EDGE('',*,*,#209102,.F.); #292790=ORIENTED_EDGE('',*,*,#209136,.T.); #292791=ORIENTED_EDGE('',*,*,#209117,.T.); #292792=ORIENTED_EDGE('',*,*,#209126,.T.); #292793=ORIENTED_EDGE('',*,*,#209124,.T.); #292794=ORIENTED_EDGE('',*,*,#209120,.T.); #292795=ORIENTED_EDGE('',*,*,#209114,.T.); #292796=ORIENTED_EDGE('',*,*,#209119,.T.); #292797=ORIENTED_EDGE('',*,*,#209123,.T.); #292798=ORIENTED_EDGE('',*,*,#209128,.T.); #292799=ORIENTED_EDGE('',*,*,#209137,.F.); #292800=ORIENTED_EDGE('',*,*,#209138,.F.); #292801=ORIENTED_EDGE('',*,*,#209139,.T.); #292802=ORIENTED_EDGE('',*,*,#209140,.T.); #292803=ORIENTED_EDGE('',*,*,#209141,.F.); #292804=ORIENTED_EDGE('',*,*,#209140,.F.); #292805=ORIENTED_EDGE('',*,*,#209142,.T.); #292806=ORIENTED_EDGE('',*,*,#209143,.T.); #292807=ORIENTED_EDGE('',*,*,#209144,.T.); #292808=ORIENTED_EDGE('',*,*,#209145,.T.); #292809=ORIENTED_EDGE('',*,*,#209146,.T.); #292810=ORIENTED_EDGE('',*,*,#209147,.F.); #292811=ORIENTED_EDGE('',*,*,#209148,.F.); #292812=ORIENTED_EDGE('',*,*,#209149,.F.); #292813=ORIENTED_EDGE('',*,*,#209150,.F.); #292814=ORIENTED_EDGE('',*,*,#209151,.F.); #292815=ORIENTED_EDGE('',*,*,#209152,.F.); #292816=ORIENTED_EDGE('',*,*,#209153,.T.); #292817=ORIENTED_EDGE('',*,*,#209154,.T.); #292818=ORIENTED_EDGE('',*,*,#209155,.T.); #292819=ORIENTED_EDGE('',*,*,#209156,.T.); #292820=ORIENTED_EDGE('',*,*,#209157,.T.); #292821=ORIENTED_EDGE('',*,*,#209158,.F.); #292822=ORIENTED_EDGE('',*,*,#209159,.F.); #292823=ORIENTED_EDGE('',*,*,#209160,.F.); #292824=ORIENTED_EDGE('',*,*,#209161,.F.); #292825=ORIENTED_EDGE('',*,*,#209162,.T.); #292826=ORIENTED_EDGE('',*,*,#209163,.T.); #292827=ORIENTED_EDGE('',*,*,#209164,.F.); #292828=ORIENTED_EDGE('',*,*,#209163,.F.); #292829=ORIENTED_EDGE('',*,*,#209165,.T.); #292830=ORIENTED_EDGE('',*,*,#209166,.T.); #292831=ORIENTED_EDGE('',*,*,#209167,.T.); #292832=ORIENTED_EDGE('',*,*,#209168,.F.); #292833=ORIENTED_EDGE('',*,*,#209169,.F.); #292834=ORIENTED_EDGE('',*,*,#209166,.F.); #292835=ORIENTED_EDGE('',*,*,#209170,.T.); #292836=ORIENTED_EDGE('',*,*,#209171,.F.); #292837=ORIENTED_EDGE('',*,*,#209172,.T.); #292838=ORIENTED_EDGE('',*,*,#209173,.F.); #292839=ORIENTED_EDGE('',*,*,#209167,.F.); #292840=ORIENTED_EDGE('',*,*,#209174,.F.); #292841=ORIENTED_EDGE('',*,*,#209175,.T.); #292842=ORIENTED_EDGE('',*,*,#209138,.T.); #292843=ORIENTED_EDGE('',*,*,#209176,.F.); #292844=ORIENTED_EDGE('',*,*,#209177,.F.); #292845=ORIENTED_EDGE('',*,*,#209172,.F.); #292846=ORIENTED_EDGE('',*,*,#209178,.F.); #292847=ORIENTED_EDGE('',*,*,#209170,.F.); #292848=ORIENTED_EDGE('',*,*,#209165,.F.); #292849=ORIENTED_EDGE('',*,*,#209162,.F.); #292850=ORIENTED_EDGE('',*,*,#209179,.F.); #292851=ORIENTED_EDGE('',*,*,#209142,.F.); #292852=ORIENTED_EDGE('',*,*,#209139,.F.); #292853=ORIENTED_EDGE('',*,*,#209175,.F.); #292854=ORIENTED_EDGE('',*,*,#209180,.F.); #292855=ORIENTED_EDGE('',*,*,#209176,.T.); #292856=ORIENTED_EDGE('',*,*,#209137,.T.); #292857=ORIENTED_EDGE('',*,*,#209141,.T.); #292858=ORIENTED_EDGE('',*,*,#209181,.T.); #292859=ORIENTED_EDGE('',*,*,#209152,.T.); #292860=ORIENTED_EDGE('',*,*,#209164,.T.); #292861=ORIENTED_EDGE('',*,*,#209169,.T.); #292862=ORIENTED_EDGE('',*,*,#209182,.F.); #292863=ORIENTED_EDGE('',*,*,#209147,.T.); #292864=ORIENTED_EDGE('',*,*,#209183,.F.); #292865=ORIENTED_EDGE('',*,*,#209157,.F.); #292866=ORIENTED_EDGE('',*,*,#209184,.T.); #292867=ORIENTED_EDGE('',*,*,#209143,.F.); #292868=ORIENTED_EDGE('',*,*,#209179,.T.); #292869=ORIENTED_EDGE('',*,*,#209161,.T.); #292870=ORIENTED_EDGE('',*,*,#209185,.T.); #292871=ORIENTED_EDGE('',*,*,#209144,.F.); #292872=ORIENTED_EDGE('',*,*,#209185,.F.); #292873=ORIENTED_EDGE('',*,*,#209160,.T.); #292874=ORIENTED_EDGE('',*,*,#209186,.T.); #292875=ORIENTED_EDGE('',*,*,#209145,.F.); #292876=ORIENTED_EDGE('',*,*,#209186,.F.); #292877=ORIENTED_EDGE('',*,*,#209159,.T.); #292878=ORIENTED_EDGE('',*,*,#209187,.T.); #292879=ORIENTED_EDGE('',*,*,#209146,.F.); #292880=ORIENTED_EDGE('',*,*,#209187,.F.); #292881=ORIENTED_EDGE('',*,*,#209158,.T.); #292882=ORIENTED_EDGE('',*,*,#209183,.T.); #292883=ORIENTED_EDGE('',*,*,#209151,.T.); #292884=ORIENTED_EDGE('',*,*,#209188,.F.); #292885=ORIENTED_EDGE('',*,*,#209153,.F.); #292886=ORIENTED_EDGE('',*,*,#209181,.F.); #292887=ORIENTED_EDGE('',*,*,#209150,.T.); #292888=ORIENTED_EDGE('',*,*,#209189,.F.); #292889=ORIENTED_EDGE('',*,*,#209154,.F.); #292890=ORIENTED_EDGE('',*,*,#209188,.T.); #292891=ORIENTED_EDGE('',*,*,#209149,.T.); #292892=ORIENTED_EDGE('',*,*,#209190,.F.); #292893=ORIENTED_EDGE('',*,*,#209155,.F.); #292894=ORIENTED_EDGE('',*,*,#209189,.T.); #292895=ORIENTED_EDGE('',*,*,#209148,.T.); #292896=ORIENTED_EDGE('',*,*,#209184,.F.); #292897=ORIENTED_EDGE('',*,*,#209156,.F.); #292898=ORIENTED_EDGE('',*,*,#209190,.T.); #292899=ORIENTED_EDGE('',*,*,#209171,.T.); #292900=ORIENTED_EDGE('',*,*,#209180,.T.); #292901=ORIENTED_EDGE('',*,*,#209178,.T.); #292902=ORIENTED_EDGE('',*,*,#209174,.T.); #292903=ORIENTED_EDGE('',*,*,#209168,.T.); #292904=ORIENTED_EDGE('',*,*,#209173,.T.); #292905=ORIENTED_EDGE('',*,*,#209177,.T.); #292906=ORIENTED_EDGE('',*,*,#209182,.T.); #292907=ORIENTED_EDGE('',*,*,#209191,.F.); #292908=ORIENTED_EDGE('',*,*,#209192,.F.); #292909=ORIENTED_EDGE('',*,*,#209193,.T.); #292910=ORIENTED_EDGE('',*,*,#209194,.T.); #292911=ORIENTED_EDGE('',*,*,#209195,.F.); #292912=ORIENTED_EDGE('',*,*,#209194,.F.); #292913=ORIENTED_EDGE('',*,*,#209196,.T.); #292914=ORIENTED_EDGE('',*,*,#209197,.T.); #292915=ORIENTED_EDGE('',*,*,#209198,.T.); #292916=ORIENTED_EDGE('',*,*,#209199,.T.); #292917=ORIENTED_EDGE('',*,*,#209200,.T.); #292918=ORIENTED_EDGE('',*,*,#209201,.F.); #292919=ORIENTED_EDGE('',*,*,#209202,.F.); #292920=ORIENTED_EDGE('',*,*,#209203,.F.); #292921=ORIENTED_EDGE('',*,*,#209204,.F.); #292922=ORIENTED_EDGE('',*,*,#209205,.F.); #292923=ORIENTED_EDGE('',*,*,#209206,.F.); #292924=ORIENTED_EDGE('',*,*,#209207,.T.); #292925=ORIENTED_EDGE('',*,*,#209208,.T.); #292926=ORIENTED_EDGE('',*,*,#209209,.T.); #292927=ORIENTED_EDGE('',*,*,#209210,.T.); #292928=ORIENTED_EDGE('',*,*,#209211,.T.); #292929=ORIENTED_EDGE('',*,*,#209212,.F.); #292930=ORIENTED_EDGE('',*,*,#209213,.F.); #292931=ORIENTED_EDGE('',*,*,#209214,.F.); #292932=ORIENTED_EDGE('',*,*,#209215,.F.); #292933=ORIENTED_EDGE('',*,*,#209216,.T.); #292934=ORIENTED_EDGE('',*,*,#209217,.T.); #292935=ORIENTED_EDGE('',*,*,#209218,.F.); #292936=ORIENTED_EDGE('',*,*,#209217,.F.); #292937=ORIENTED_EDGE('',*,*,#209219,.T.); #292938=ORIENTED_EDGE('',*,*,#209220,.T.); #292939=ORIENTED_EDGE('',*,*,#209221,.T.); #292940=ORIENTED_EDGE('',*,*,#209222,.F.); #292941=ORIENTED_EDGE('',*,*,#209223,.F.); #292942=ORIENTED_EDGE('',*,*,#209220,.F.); #292943=ORIENTED_EDGE('',*,*,#209224,.T.); #292944=ORIENTED_EDGE('',*,*,#209225,.F.); #292945=ORIENTED_EDGE('',*,*,#209226,.T.); #292946=ORIENTED_EDGE('',*,*,#209227,.F.); #292947=ORIENTED_EDGE('',*,*,#209221,.F.); #292948=ORIENTED_EDGE('',*,*,#209228,.F.); #292949=ORIENTED_EDGE('',*,*,#209229,.T.); #292950=ORIENTED_EDGE('',*,*,#209192,.T.); #292951=ORIENTED_EDGE('',*,*,#209230,.F.); #292952=ORIENTED_EDGE('',*,*,#209231,.F.); #292953=ORIENTED_EDGE('',*,*,#209226,.F.); #292954=ORIENTED_EDGE('',*,*,#209232,.F.); #292955=ORIENTED_EDGE('',*,*,#209224,.F.); #292956=ORIENTED_EDGE('',*,*,#209219,.F.); #292957=ORIENTED_EDGE('',*,*,#209216,.F.); #292958=ORIENTED_EDGE('',*,*,#209233,.F.); #292959=ORIENTED_EDGE('',*,*,#209196,.F.); #292960=ORIENTED_EDGE('',*,*,#209193,.F.); #292961=ORIENTED_EDGE('',*,*,#209229,.F.); #292962=ORIENTED_EDGE('',*,*,#209234,.F.); #292963=ORIENTED_EDGE('',*,*,#209230,.T.); #292964=ORIENTED_EDGE('',*,*,#209191,.T.); #292965=ORIENTED_EDGE('',*,*,#209195,.T.); #292966=ORIENTED_EDGE('',*,*,#209235,.T.); #292967=ORIENTED_EDGE('',*,*,#209206,.T.); #292968=ORIENTED_EDGE('',*,*,#209218,.T.); #292969=ORIENTED_EDGE('',*,*,#209223,.T.); #292970=ORIENTED_EDGE('',*,*,#209236,.F.); #292971=ORIENTED_EDGE('',*,*,#209201,.T.); #292972=ORIENTED_EDGE('',*,*,#209237,.F.); #292973=ORIENTED_EDGE('',*,*,#209211,.F.); #292974=ORIENTED_EDGE('',*,*,#209238,.T.); #292975=ORIENTED_EDGE('',*,*,#209197,.F.); #292976=ORIENTED_EDGE('',*,*,#209233,.T.); #292977=ORIENTED_EDGE('',*,*,#209215,.T.); #292978=ORIENTED_EDGE('',*,*,#209239,.T.); #292979=ORIENTED_EDGE('',*,*,#209198,.F.); #292980=ORIENTED_EDGE('',*,*,#209239,.F.); #292981=ORIENTED_EDGE('',*,*,#209214,.T.); #292982=ORIENTED_EDGE('',*,*,#209240,.T.); #292983=ORIENTED_EDGE('',*,*,#209199,.F.); #292984=ORIENTED_EDGE('',*,*,#209240,.F.); #292985=ORIENTED_EDGE('',*,*,#209213,.T.); #292986=ORIENTED_EDGE('',*,*,#209241,.T.); #292987=ORIENTED_EDGE('',*,*,#209200,.F.); #292988=ORIENTED_EDGE('',*,*,#209241,.F.); #292989=ORIENTED_EDGE('',*,*,#209212,.T.); #292990=ORIENTED_EDGE('',*,*,#209237,.T.); #292991=ORIENTED_EDGE('',*,*,#209205,.T.); #292992=ORIENTED_EDGE('',*,*,#209242,.F.); #292993=ORIENTED_EDGE('',*,*,#209207,.F.); #292994=ORIENTED_EDGE('',*,*,#209235,.F.); #292995=ORIENTED_EDGE('',*,*,#209204,.T.); #292996=ORIENTED_EDGE('',*,*,#209243,.F.); #292997=ORIENTED_EDGE('',*,*,#209208,.F.); #292998=ORIENTED_EDGE('',*,*,#209242,.T.); #292999=ORIENTED_EDGE('',*,*,#209203,.T.); #293000=ORIENTED_EDGE('',*,*,#209244,.F.); #293001=ORIENTED_EDGE('',*,*,#209209,.F.); #293002=ORIENTED_EDGE('',*,*,#209243,.T.); #293003=ORIENTED_EDGE('',*,*,#209202,.T.); #293004=ORIENTED_EDGE('',*,*,#209238,.F.); #293005=ORIENTED_EDGE('',*,*,#209210,.F.); #293006=ORIENTED_EDGE('',*,*,#209244,.T.); #293007=ORIENTED_EDGE('',*,*,#209225,.T.); #293008=ORIENTED_EDGE('',*,*,#209234,.T.); #293009=ORIENTED_EDGE('',*,*,#209232,.T.); #293010=ORIENTED_EDGE('',*,*,#209228,.T.); #293011=ORIENTED_EDGE('',*,*,#209222,.T.); #293012=ORIENTED_EDGE('',*,*,#209227,.T.); #293013=ORIENTED_EDGE('',*,*,#209231,.T.); #293014=ORIENTED_EDGE('',*,*,#209236,.T.); #293015=ORIENTED_EDGE('',*,*,#209245,.F.); #293016=ORIENTED_EDGE('',*,*,#209246,.F.); #293017=ORIENTED_EDGE('',*,*,#209247,.T.); #293018=ORIENTED_EDGE('',*,*,#209248,.T.); #293019=ORIENTED_EDGE('',*,*,#209249,.F.); #293020=ORIENTED_EDGE('',*,*,#209248,.F.); #293021=ORIENTED_EDGE('',*,*,#209250,.T.); #293022=ORIENTED_EDGE('',*,*,#209251,.T.); #293023=ORIENTED_EDGE('',*,*,#209252,.T.); #293024=ORIENTED_EDGE('',*,*,#209253,.T.); #293025=ORIENTED_EDGE('',*,*,#209254,.T.); #293026=ORIENTED_EDGE('',*,*,#209255,.F.); #293027=ORIENTED_EDGE('',*,*,#209256,.F.); #293028=ORIENTED_EDGE('',*,*,#209257,.F.); #293029=ORIENTED_EDGE('',*,*,#209258,.F.); #293030=ORIENTED_EDGE('',*,*,#209259,.F.); #293031=ORIENTED_EDGE('',*,*,#209260,.F.); #293032=ORIENTED_EDGE('',*,*,#209261,.T.); #293033=ORIENTED_EDGE('',*,*,#209262,.T.); #293034=ORIENTED_EDGE('',*,*,#209263,.T.); #293035=ORIENTED_EDGE('',*,*,#209264,.T.); #293036=ORIENTED_EDGE('',*,*,#209265,.T.); #293037=ORIENTED_EDGE('',*,*,#209266,.F.); #293038=ORIENTED_EDGE('',*,*,#209267,.F.); #293039=ORIENTED_EDGE('',*,*,#209268,.F.); #293040=ORIENTED_EDGE('',*,*,#209269,.F.); #293041=ORIENTED_EDGE('',*,*,#209270,.T.); #293042=ORIENTED_EDGE('',*,*,#209271,.T.); #293043=ORIENTED_EDGE('',*,*,#209272,.F.); #293044=ORIENTED_EDGE('',*,*,#209271,.F.); #293045=ORIENTED_EDGE('',*,*,#209273,.T.); #293046=ORIENTED_EDGE('',*,*,#209274,.T.); #293047=ORIENTED_EDGE('',*,*,#209275,.T.); #293048=ORIENTED_EDGE('',*,*,#209276,.F.); #293049=ORIENTED_EDGE('',*,*,#209277,.F.); #293050=ORIENTED_EDGE('',*,*,#209274,.F.); #293051=ORIENTED_EDGE('',*,*,#209278,.T.); #293052=ORIENTED_EDGE('',*,*,#209279,.F.); #293053=ORIENTED_EDGE('',*,*,#209280,.T.); #293054=ORIENTED_EDGE('',*,*,#209281,.F.); #293055=ORIENTED_EDGE('',*,*,#209275,.F.); #293056=ORIENTED_EDGE('',*,*,#209282,.F.); #293057=ORIENTED_EDGE('',*,*,#209283,.T.); #293058=ORIENTED_EDGE('',*,*,#209246,.T.); #293059=ORIENTED_EDGE('',*,*,#209284,.F.); #293060=ORIENTED_EDGE('',*,*,#209285,.F.); #293061=ORIENTED_EDGE('',*,*,#209280,.F.); #293062=ORIENTED_EDGE('',*,*,#209286,.F.); #293063=ORIENTED_EDGE('',*,*,#209278,.F.); #293064=ORIENTED_EDGE('',*,*,#209273,.F.); #293065=ORIENTED_EDGE('',*,*,#209270,.F.); #293066=ORIENTED_EDGE('',*,*,#209287,.F.); #293067=ORIENTED_EDGE('',*,*,#209250,.F.); #293068=ORIENTED_EDGE('',*,*,#209247,.F.); #293069=ORIENTED_EDGE('',*,*,#209283,.F.); #293070=ORIENTED_EDGE('',*,*,#209288,.F.); #293071=ORIENTED_EDGE('',*,*,#209284,.T.); #293072=ORIENTED_EDGE('',*,*,#209245,.T.); #293073=ORIENTED_EDGE('',*,*,#209249,.T.); #293074=ORIENTED_EDGE('',*,*,#209289,.T.); #293075=ORIENTED_EDGE('',*,*,#209260,.T.); #293076=ORIENTED_EDGE('',*,*,#209272,.T.); #293077=ORIENTED_EDGE('',*,*,#209277,.T.); #293078=ORIENTED_EDGE('',*,*,#209290,.F.); #293079=ORIENTED_EDGE('',*,*,#209255,.T.); #293080=ORIENTED_EDGE('',*,*,#209291,.F.); #293081=ORIENTED_EDGE('',*,*,#209265,.F.); #293082=ORIENTED_EDGE('',*,*,#209292,.T.); #293083=ORIENTED_EDGE('',*,*,#209251,.F.); #293084=ORIENTED_EDGE('',*,*,#209287,.T.); #293085=ORIENTED_EDGE('',*,*,#209269,.T.); #293086=ORIENTED_EDGE('',*,*,#209293,.T.); #293087=ORIENTED_EDGE('',*,*,#209252,.F.); #293088=ORIENTED_EDGE('',*,*,#209293,.F.); #293089=ORIENTED_EDGE('',*,*,#209268,.T.); #293090=ORIENTED_EDGE('',*,*,#209294,.T.); #293091=ORIENTED_EDGE('',*,*,#209253,.F.); #293092=ORIENTED_EDGE('',*,*,#209294,.F.); #293093=ORIENTED_EDGE('',*,*,#209267,.T.); #293094=ORIENTED_EDGE('',*,*,#209295,.T.); #293095=ORIENTED_EDGE('',*,*,#209254,.F.); #293096=ORIENTED_EDGE('',*,*,#209295,.F.); #293097=ORIENTED_EDGE('',*,*,#209266,.T.); #293098=ORIENTED_EDGE('',*,*,#209291,.T.); #293099=ORIENTED_EDGE('',*,*,#209259,.T.); #293100=ORIENTED_EDGE('',*,*,#209296,.F.); #293101=ORIENTED_EDGE('',*,*,#209261,.F.); #293102=ORIENTED_EDGE('',*,*,#209289,.F.); #293103=ORIENTED_EDGE('',*,*,#209258,.T.); #293104=ORIENTED_EDGE('',*,*,#209297,.F.); #293105=ORIENTED_EDGE('',*,*,#209262,.F.); #293106=ORIENTED_EDGE('',*,*,#209296,.T.); #293107=ORIENTED_EDGE('',*,*,#209257,.T.); #293108=ORIENTED_EDGE('',*,*,#209298,.F.); #293109=ORIENTED_EDGE('',*,*,#209263,.F.); #293110=ORIENTED_EDGE('',*,*,#209297,.T.); #293111=ORIENTED_EDGE('',*,*,#209256,.T.); #293112=ORIENTED_EDGE('',*,*,#209292,.F.); #293113=ORIENTED_EDGE('',*,*,#209264,.F.); #293114=ORIENTED_EDGE('',*,*,#209298,.T.); #293115=ORIENTED_EDGE('',*,*,#209279,.T.); #293116=ORIENTED_EDGE('',*,*,#209288,.T.); #293117=ORIENTED_EDGE('',*,*,#209286,.T.); #293118=ORIENTED_EDGE('',*,*,#209282,.T.); #293119=ORIENTED_EDGE('',*,*,#209276,.T.); #293120=ORIENTED_EDGE('',*,*,#209281,.T.); #293121=ORIENTED_EDGE('',*,*,#209285,.T.); #293122=ORIENTED_EDGE('',*,*,#209290,.T.); #293123=ORIENTED_EDGE('',*,*,#209299,.F.); #293124=ORIENTED_EDGE('',*,*,#209300,.F.); #293125=ORIENTED_EDGE('',*,*,#209301,.T.); #293126=ORIENTED_EDGE('',*,*,#209302,.T.); #293127=ORIENTED_EDGE('',*,*,#209303,.F.); #293128=ORIENTED_EDGE('',*,*,#209302,.F.); #293129=ORIENTED_EDGE('',*,*,#209304,.T.); #293130=ORIENTED_EDGE('',*,*,#209305,.T.); #293131=ORIENTED_EDGE('',*,*,#209306,.T.); #293132=ORIENTED_EDGE('',*,*,#209307,.T.); #293133=ORIENTED_EDGE('',*,*,#209308,.T.); #293134=ORIENTED_EDGE('',*,*,#209309,.F.); #293135=ORIENTED_EDGE('',*,*,#209310,.F.); #293136=ORIENTED_EDGE('',*,*,#209311,.F.); #293137=ORIENTED_EDGE('',*,*,#209312,.F.); #293138=ORIENTED_EDGE('',*,*,#209313,.F.); #293139=ORIENTED_EDGE('',*,*,#209314,.F.); #293140=ORIENTED_EDGE('',*,*,#209315,.T.); #293141=ORIENTED_EDGE('',*,*,#209316,.T.); #293142=ORIENTED_EDGE('',*,*,#209317,.T.); #293143=ORIENTED_EDGE('',*,*,#209318,.T.); #293144=ORIENTED_EDGE('',*,*,#209319,.T.); #293145=ORIENTED_EDGE('',*,*,#209320,.F.); #293146=ORIENTED_EDGE('',*,*,#209321,.F.); #293147=ORIENTED_EDGE('',*,*,#209322,.F.); #293148=ORIENTED_EDGE('',*,*,#209323,.F.); #293149=ORIENTED_EDGE('',*,*,#209324,.T.); #293150=ORIENTED_EDGE('',*,*,#209325,.T.); #293151=ORIENTED_EDGE('',*,*,#209326,.F.); #293152=ORIENTED_EDGE('',*,*,#209325,.F.); #293153=ORIENTED_EDGE('',*,*,#209327,.T.); #293154=ORIENTED_EDGE('',*,*,#209328,.T.); #293155=ORIENTED_EDGE('',*,*,#209329,.T.); #293156=ORIENTED_EDGE('',*,*,#209330,.F.); #293157=ORIENTED_EDGE('',*,*,#209331,.F.); #293158=ORIENTED_EDGE('',*,*,#209328,.F.); #293159=ORIENTED_EDGE('',*,*,#209332,.T.); #293160=ORIENTED_EDGE('',*,*,#209333,.F.); #293161=ORIENTED_EDGE('',*,*,#209334,.T.); #293162=ORIENTED_EDGE('',*,*,#209335,.F.); #293163=ORIENTED_EDGE('',*,*,#209329,.F.); #293164=ORIENTED_EDGE('',*,*,#209336,.F.); #293165=ORIENTED_EDGE('',*,*,#209337,.T.); #293166=ORIENTED_EDGE('',*,*,#209300,.T.); #293167=ORIENTED_EDGE('',*,*,#209338,.F.); #293168=ORIENTED_EDGE('',*,*,#209339,.F.); #293169=ORIENTED_EDGE('',*,*,#209334,.F.); #293170=ORIENTED_EDGE('',*,*,#209340,.F.); #293171=ORIENTED_EDGE('',*,*,#209332,.F.); #293172=ORIENTED_EDGE('',*,*,#209327,.F.); #293173=ORIENTED_EDGE('',*,*,#209324,.F.); #293174=ORIENTED_EDGE('',*,*,#209341,.F.); #293175=ORIENTED_EDGE('',*,*,#209304,.F.); #293176=ORIENTED_EDGE('',*,*,#209301,.F.); #293177=ORIENTED_EDGE('',*,*,#209337,.F.); #293178=ORIENTED_EDGE('',*,*,#209342,.F.); #293179=ORIENTED_EDGE('',*,*,#209338,.T.); #293180=ORIENTED_EDGE('',*,*,#209299,.T.); #293181=ORIENTED_EDGE('',*,*,#209303,.T.); #293182=ORIENTED_EDGE('',*,*,#209343,.T.); #293183=ORIENTED_EDGE('',*,*,#209314,.T.); #293184=ORIENTED_EDGE('',*,*,#209326,.T.); #293185=ORIENTED_EDGE('',*,*,#209331,.T.); #293186=ORIENTED_EDGE('',*,*,#209344,.F.); #293187=ORIENTED_EDGE('',*,*,#209309,.T.); #293188=ORIENTED_EDGE('',*,*,#209345,.F.); #293189=ORIENTED_EDGE('',*,*,#209319,.F.); #293190=ORIENTED_EDGE('',*,*,#209346,.T.); #293191=ORIENTED_EDGE('',*,*,#209305,.F.); #293192=ORIENTED_EDGE('',*,*,#209341,.T.); #293193=ORIENTED_EDGE('',*,*,#209323,.T.); #293194=ORIENTED_EDGE('',*,*,#209347,.T.); #293195=ORIENTED_EDGE('',*,*,#209306,.F.); #293196=ORIENTED_EDGE('',*,*,#209347,.F.); #293197=ORIENTED_EDGE('',*,*,#209322,.T.); #293198=ORIENTED_EDGE('',*,*,#209348,.T.); #293199=ORIENTED_EDGE('',*,*,#209307,.F.); #293200=ORIENTED_EDGE('',*,*,#209348,.F.); #293201=ORIENTED_EDGE('',*,*,#209321,.T.); #293202=ORIENTED_EDGE('',*,*,#209349,.T.); #293203=ORIENTED_EDGE('',*,*,#209308,.F.); #293204=ORIENTED_EDGE('',*,*,#209349,.F.); #293205=ORIENTED_EDGE('',*,*,#209320,.T.); #293206=ORIENTED_EDGE('',*,*,#209345,.T.); #293207=ORIENTED_EDGE('',*,*,#209313,.T.); #293208=ORIENTED_EDGE('',*,*,#209350,.F.); #293209=ORIENTED_EDGE('',*,*,#209315,.F.); #293210=ORIENTED_EDGE('',*,*,#209343,.F.); #293211=ORIENTED_EDGE('',*,*,#209312,.T.); #293212=ORIENTED_EDGE('',*,*,#209351,.F.); #293213=ORIENTED_EDGE('',*,*,#209316,.F.); #293214=ORIENTED_EDGE('',*,*,#209350,.T.); #293215=ORIENTED_EDGE('',*,*,#209311,.T.); #293216=ORIENTED_EDGE('',*,*,#209352,.F.); #293217=ORIENTED_EDGE('',*,*,#209317,.F.); #293218=ORIENTED_EDGE('',*,*,#209351,.T.); #293219=ORIENTED_EDGE('',*,*,#209310,.T.); #293220=ORIENTED_EDGE('',*,*,#209346,.F.); #293221=ORIENTED_EDGE('',*,*,#209318,.F.); #293222=ORIENTED_EDGE('',*,*,#209352,.T.); #293223=ORIENTED_EDGE('',*,*,#209333,.T.); #293224=ORIENTED_EDGE('',*,*,#209342,.T.); #293225=ORIENTED_EDGE('',*,*,#209340,.T.); #293226=ORIENTED_EDGE('',*,*,#209336,.T.); #293227=ORIENTED_EDGE('',*,*,#209330,.T.); #293228=ORIENTED_EDGE('',*,*,#209335,.T.); #293229=ORIENTED_EDGE('',*,*,#209339,.T.); #293230=ORIENTED_EDGE('',*,*,#209344,.T.); #293231=ORIENTED_EDGE('',*,*,#209353,.F.); #293232=ORIENTED_EDGE('',*,*,#209354,.F.); #293233=ORIENTED_EDGE('',*,*,#209355,.T.); #293234=ORIENTED_EDGE('',*,*,#209356,.T.); #293235=ORIENTED_EDGE('',*,*,#209357,.F.); #293236=ORIENTED_EDGE('',*,*,#209356,.F.); #293237=ORIENTED_EDGE('',*,*,#209358,.T.); #293238=ORIENTED_EDGE('',*,*,#209359,.T.); #293239=ORIENTED_EDGE('',*,*,#209360,.T.); #293240=ORIENTED_EDGE('',*,*,#209361,.T.); #293241=ORIENTED_EDGE('',*,*,#209362,.T.); #293242=ORIENTED_EDGE('',*,*,#209363,.F.); #293243=ORIENTED_EDGE('',*,*,#209364,.F.); #293244=ORIENTED_EDGE('',*,*,#209365,.F.); #293245=ORIENTED_EDGE('',*,*,#209366,.F.); #293246=ORIENTED_EDGE('',*,*,#209367,.F.); #293247=ORIENTED_EDGE('',*,*,#209368,.F.); #293248=ORIENTED_EDGE('',*,*,#209369,.T.); #293249=ORIENTED_EDGE('',*,*,#209370,.T.); #293250=ORIENTED_EDGE('',*,*,#209371,.T.); #293251=ORIENTED_EDGE('',*,*,#209372,.T.); #293252=ORIENTED_EDGE('',*,*,#209373,.T.); #293253=ORIENTED_EDGE('',*,*,#209374,.F.); #293254=ORIENTED_EDGE('',*,*,#209375,.F.); #293255=ORIENTED_EDGE('',*,*,#209376,.F.); #293256=ORIENTED_EDGE('',*,*,#209377,.F.); #293257=ORIENTED_EDGE('',*,*,#209378,.T.); #293258=ORIENTED_EDGE('',*,*,#209379,.T.); #293259=ORIENTED_EDGE('',*,*,#209380,.F.); #293260=ORIENTED_EDGE('',*,*,#209379,.F.); #293261=ORIENTED_EDGE('',*,*,#209381,.T.); #293262=ORIENTED_EDGE('',*,*,#209382,.T.); #293263=ORIENTED_EDGE('',*,*,#209383,.T.); #293264=ORIENTED_EDGE('',*,*,#209384,.F.); #293265=ORIENTED_EDGE('',*,*,#209385,.F.); #293266=ORIENTED_EDGE('',*,*,#209382,.F.); #293267=ORIENTED_EDGE('',*,*,#209386,.T.); #293268=ORIENTED_EDGE('',*,*,#209387,.F.); #293269=ORIENTED_EDGE('',*,*,#209388,.T.); #293270=ORIENTED_EDGE('',*,*,#209389,.F.); #293271=ORIENTED_EDGE('',*,*,#209383,.F.); #293272=ORIENTED_EDGE('',*,*,#209390,.F.); #293273=ORIENTED_EDGE('',*,*,#209391,.T.); #293274=ORIENTED_EDGE('',*,*,#209354,.T.); #293275=ORIENTED_EDGE('',*,*,#209392,.F.); #293276=ORIENTED_EDGE('',*,*,#209393,.F.); #293277=ORIENTED_EDGE('',*,*,#209388,.F.); #293278=ORIENTED_EDGE('',*,*,#209394,.F.); #293279=ORIENTED_EDGE('',*,*,#209386,.F.); #293280=ORIENTED_EDGE('',*,*,#209381,.F.); #293281=ORIENTED_EDGE('',*,*,#209378,.F.); #293282=ORIENTED_EDGE('',*,*,#209395,.F.); #293283=ORIENTED_EDGE('',*,*,#209358,.F.); #293284=ORIENTED_EDGE('',*,*,#209355,.F.); #293285=ORIENTED_EDGE('',*,*,#209391,.F.); #293286=ORIENTED_EDGE('',*,*,#209396,.F.); #293287=ORIENTED_EDGE('',*,*,#209392,.T.); #293288=ORIENTED_EDGE('',*,*,#209353,.T.); #293289=ORIENTED_EDGE('',*,*,#209357,.T.); #293290=ORIENTED_EDGE('',*,*,#209397,.T.); #293291=ORIENTED_EDGE('',*,*,#209368,.T.); #293292=ORIENTED_EDGE('',*,*,#209380,.T.); #293293=ORIENTED_EDGE('',*,*,#209385,.T.); #293294=ORIENTED_EDGE('',*,*,#209398,.F.); #293295=ORIENTED_EDGE('',*,*,#209363,.T.); #293296=ORIENTED_EDGE('',*,*,#209399,.F.); #293297=ORIENTED_EDGE('',*,*,#209373,.F.); #293298=ORIENTED_EDGE('',*,*,#209400,.T.); #293299=ORIENTED_EDGE('',*,*,#209359,.F.); #293300=ORIENTED_EDGE('',*,*,#209395,.T.); #293301=ORIENTED_EDGE('',*,*,#209377,.T.); #293302=ORIENTED_EDGE('',*,*,#209401,.T.); #293303=ORIENTED_EDGE('',*,*,#209360,.F.); #293304=ORIENTED_EDGE('',*,*,#209401,.F.); #293305=ORIENTED_EDGE('',*,*,#209376,.T.); #293306=ORIENTED_EDGE('',*,*,#209402,.T.); #293307=ORIENTED_EDGE('',*,*,#209361,.F.); #293308=ORIENTED_EDGE('',*,*,#209402,.F.); #293309=ORIENTED_EDGE('',*,*,#209375,.T.); #293310=ORIENTED_EDGE('',*,*,#209403,.T.); #293311=ORIENTED_EDGE('',*,*,#209362,.F.); #293312=ORIENTED_EDGE('',*,*,#209403,.F.); #293313=ORIENTED_EDGE('',*,*,#209374,.T.); #293314=ORIENTED_EDGE('',*,*,#209399,.T.); #293315=ORIENTED_EDGE('',*,*,#209367,.T.); #293316=ORIENTED_EDGE('',*,*,#209404,.F.); #293317=ORIENTED_EDGE('',*,*,#209369,.F.); #293318=ORIENTED_EDGE('',*,*,#209397,.F.); #293319=ORIENTED_EDGE('',*,*,#209366,.T.); #293320=ORIENTED_EDGE('',*,*,#209405,.F.); #293321=ORIENTED_EDGE('',*,*,#209370,.F.); #293322=ORIENTED_EDGE('',*,*,#209404,.T.); #293323=ORIENTED_EDGE('',*,*,#209365,.T.); #293324=ORIENTED_EDGE('',*,*,#209406,.F.); #293325=ORIENTED_EDGE('',*,*,#209371,.F.); #293326=ORIENTED_EDGE('',*,*,#209405,.T.); #293327=ORIENTED_EDGE('',*,*,#209364,.T.); #293328=ORIENTED_EDGE('',*,*,#209400,.F.); #293329=ORIENTED_EDGE('',*,*,#209372,.F.); #293330=ORIENTED_EDGE('',*,*,#209406,.T.); #293331=ORIENTED_EDGE('',*,*,#209387,.T.); #293332=ORIENTED_EDGE('',*,*,#209396,.T.); #293333=ORIENTED_EDGE('',*,*,#209394,.T.); #293334=ORIENTED_EDGE('',*,*,#209390,.T.); #293335=ORIENTED_EDGE('',*,*,#209384,.T.); #293336=ORIENTED_EDGE('',*,*,#209389,.T.); #293337=ORIENTED_EDGE('',*,*,#209393,.T.); #293338=ORIENTED_EDGE('',*,*,#209398,.T.); #293339=ORIENTED_EDGE('',*,*,#209407,.T.); #293340=ORIENTED_EDGE('',*,*,#209408,.F.); #293341=ORIENTED_EDGE('',*,*,#209409,.F.); #293342=ORIENTED_EDGE('',*,*,#209410,.T.); #293343=ORIENTED_EDGE('',*,*,#209411,.T.); #293344=ORIENTED_EDGE('',*,*,#209412,.F.); #293345=ORIENTED_EDGE('',*,*,#209413,.F.); #293346=ORIENTED_EDGE('',*,*,#209408,.T.); #293347=ORIENTED_EDGE('',*,*,#209414,.T.); #293348=ORIENTED_EDGE('',*,*,#209415,.F.); #293349=ORIENTED_EDGE('',*,*,#209416,.F.); #293350=ORIENTED_EDGE('',*,*,#209412,.T.); #293351=ORIENTED_EDGE('',*,*,#209417,.T.); #293352=ORIENTED_EDGE('',*,*,#209418,.F.); #293353=ORIENTED_EDGE('',*,*,#209419,.F.); #293354=ORIENTED_EDGE('',*,*,#209415,.T.); #293355=ORIENTED_EDGE('',*,*,#209420,.T.); #293356=ORIENTED_EDGE('',*,*,#209421,.F.); #293357=ORIENTED_EDGE('',*,*,#209422,.F.); #293358=ORIENTED_EDGE('',*,*,#209418,.T.); #293359=ORIENTED_EDGE('',*,*,#209423,.T.); #293360=ORIENTED_EDGE('',*,*,#209424,.F.); #293361=ORIENTED_EDGE('',*,*,#209425,.F.); #293362=ORIENTED_EDGE('',*,*,#209421,.T.); #293363=ORIENTED_EDGE('',*,*,#209426,.T.); #293364=ORIENTED_EDGE('',*,*,#209427,.F.); #293365=ORIENTED_EDGE('',*,*,#209428,.F.); #293366=ORIENTED_EDGE('',*,*,#209424,.T.); #293367=ORIENTED_EDGE('',*,*,#209429,.T.); #293368=ORIENTED_EDGE('',*,*,#209430,.F.); #293369=ORIENTED_EDGE('',*,*,#209431,.F.); #293370=ORIENTED_EDGE('',*,*,#209427,.T.); #293371=ORIENTED_EDGE('',*,*,#209432,.T.); #293372=ORIENTED_EDGE('',*,*,#209433,.F.); #293373=ORIENTED_EDGE('',*,*,#209434,.F.); #293374=ORIENTED_EDGE('',*,*,#209430,.T.); #293375=ORIENTED_EDGE('',*,*,#209435,.T.); #293376=ORIENTED_EDGE('',*,*,#209436,.F.); #293377=ORIENTED_EDGE('',*,*,#209437,.F.); #293378=ORIENTED_EDGE('',*,*,#209433,.T.); #293379=ORIENTED_EDGE('',*,*,#209438,.T.); #293380=ORIENTED_EDGE('',*,*,#209439,.F.); #293381=ORIENTED_EDGE('',*,*,#209440,.F.); #293382=ORIENTED_EDGE('',*,*,#209436,.T.); #293383=ORIENTED_EDGE('',*,*,#209441,.T.); #293384=ORIENTED_EDGE('',*,*,#209442,.F.); #293385=ORIENTED_EDGE('',*,*,#209443,.F.); #293386=ORIENTED_EDGE('',*,*,#209439,.T.); #293387=ORIENTED_EDGE('',*,*,#209444,.T.); #293388=ORIENTED_EDGE('',*,*,#209445,.F.); #293389=ORIENTED_EDGE('',*,*,#209446,.F.); #293390=ORIENTED_EDGE('',*,*,#209442,.T.); #293391=ORIENTED_EDGE('',*,*,#209447,.T.); #293392=ORIENTED_EDGE('',*,*,#209448,.F.); #293393=ORIENTED_EDGE('',*,*,#209449,.F.); #293394=ORIENTED_EDGE('',*,*,#209445,.T.); #293395=ORIENTED_EDGE('',*,*,#209450,.T.); #293396=ORIENTED_EDGE('',*,*,#209451,.F.); #293397=ORIENTED_EDGE('',*,*,#209452,.F.); #293398=ORIENTED_EDGE('',*,*,#209448,.T.); #293399=ORIENTED_EDGE('',*,*,#209453,.T.); #293400=ORIENTED_EDGE('',*,*,#209454,.F.); #293401=ORIENTED_EDGE('',*,*,#209455,.F.); #293402=ORIENTED_EDGE('',*,*,#209451,.T.); #293403=ORIENTED_EDGE('',*,*,#209456,.T.); #293404=ORIENTED_EDGE('',*,*,#209457,.F.); #293405=ORIENTED_EDGE('',*,*,#209458,.F.); #293406=ORIENTED_EDGE('',*,*,#209454,.T.); #293407=ORIENTED_EDGE('',*,*,#209459,.T.); #293408=ORIENTED_EDGE('',*,*,#209460,.F.); #293409=ORIENTED_EDGE('',*,*,#209461,.F.); #293410=ORIENTED_EDGE('',*,*,#209457,.T.); #293411=ORIENTED_EDGE('',*,*,#209462,.T.); #293412=ORIENTED_EDGE('',*,*,#209463,.F.); #293413=ORIENTED_EDGE('',*,*,#209464,.F.); #293414=ORIENTED_EDGE('',*,*,#209460,.T.); #293415=ORIENTED_EDGE('',*,*,#209465,.T.); #293416=ORIENTED_EDGE('',*,*,#209466,.F.); #293417=ORIENTED_EDGE('',*,*,#209467,.F.); #293418=ORIENTED_EDGE('',*,*,#209463,.T.); #293419=ORIENTED_EDGE('',*,*,#209468,.T.); #293420=ORIENTED_EDGE('',*,*,#209469,.F.); #293421=ORIENTED_EDGE('',*,*,#209470,.F.); #293422=ORIENTED_EDGE('',*,*,#209466,.T.); #293423=ORIENTED_EDGE('',*,*,#209471,.T.); #293424=ORIENTED_EDGE('',*,*,#209472,.F.); #293425=ORIENTED_EDGE('',*,*,#209473,.F.); #293426=ORIENTED_EDGE('',*,*,#209469,.T.); #293427=ORIENTED_EDGE('',*,*,#209474,.T.); #293428=ORIENTED_EDGE('',*,*,#209475,.F.); #293429=ORIENTED_EDGE('',*,*,#209476,.F.); #293430=ORIENTED_EDGE('',*,*,#209472,.T.); #293431=ORIENTED_EDGE('',*,*,#209477,.T.); #293432=ORIENTED_EDGE('',*,*,#209478,.F.); #293433=ORIENTED_EDGE('',*,*,#209479,.F.); #293434=ORIENTED_EDGE('',*,*,#209475,.T.); #293435=ORIENTED_EDGE('',*,*,#209480,.T.); #293436=ORIENTED_EDGE('',*,*,#209481,.F.); #293437=ORIENTED_EDGE('',*,*,#209482,.F.); #293438=ORIENTED_EDGE('',*,*,#209478,.T.); #293439=ORIENTED_EDGE('',*,*,#209483,.T.); #293440=ORIENTED_EDGE('',*,*,#209484,.F.); #293441=ORIENTED_EDGE('',*,*,#209485,.F.); #293442=ORIENTED_EDGE('',*,*,#209481,.T.); #293443=ORIENTED_EDGE('',*,*,#209486,.T.); #293444=ORIENTED_EDGE('',*,*,#209487,.F.); #293445=ORIENTED_EDGE('',*,*,#209488,.F.); #293446=ORIENTED_EDGE('',*,*,#209484,.T.); #293447=ORIENTED_EDGE('',*,*,#209489,.T.); #293448=ORIENTED_EDGE('',*,*,#209490,.F.); #293449=ORIENTED_EDGE('',*,*,#209491,.F.); #293450=ORIENTED_EDGE('',*,*,#209487,.T.); #293451=ORIENTED_EDGE('',*,*,#209492,.T.); #293452=ORIENTED_EDGE('',*,*,#209493,.F.); #293453=ORIENTED_EDGE('',*,*,#209494,.F.); #293454=ORIENTED_EDGE('',*,*,#209490,.T.); #293455=ORIENTED_EDGE('',*,*,#209495,.T.); #293456=ORIENTED_EDGE('',*,*,#209496,.F.); #293457=ORIENTED_EDGE('',*,*,#209497,.F.); #293458=ORIENTED_EDGE('',*,*,#209493,.T.); #293459=ORIENTED_EDGE('',*,*,#209498,.T.); #293460=ORIENTED_EDGE('',*,*,#209499,.F.); #293461=ORIENTED_EDGE('',*,*,#209500,.F.); #293462=ORIENTED_EDGE('',*,*,#209496,.T.); #293463=ORIENTED_EDGE('',*,*,#209501,.T.); #293464=ORIENTED_EDGE('',*,*,#209502,.F.); #293465=ORIENTED_EDGE('',*,*,#209503,.F.); #293466=ORIENTED_EDGE('',*,*,#209499,.T.); #293467=ORIENTED_EDGE('',*,*,#209504,.T.); #293468=ORIENTED_EDGE('',*,*,#209505,.F.); #293469=ORIENTED_EDGE('',*,*,#209506,.F.); #293470=ORIENTED_EDGE('',*,*,#209502,.T.); #293471=ORIENTED_EDGE('',*,*,#209507,.T.); #293472=ORIENTED_EDGE('',*,*,#209508,.F.); #293473=ORIENTED_EDGE('',*,*,#209509,.F.); #293474=ORIENTED_EDGE('',*,*,#209505,.T.); #293475=ORIENTED_EDGE('',*,*,#209510,.T.); #293476=ORIENTED_EDGE('',*,*,#209511,.F.); #293477=ORIENTED_EDGE('',*,*,#209512,.F.); #293478=ORIENTED_EDGE('',*,*,#209508,.T.); #293479=ORIENTED_EDGE('',*,*,#209513,.T.); #293480=ORIENTED_EDGE('',*,*,#209410,.F.); #293481=ORIENTED_EDGE('',*,*,#209514,.F.); #293482=ORIENTED_EDGE('',*,*,#209511,.T.); #293483=ORIENTED_EDGE('',*,*,#209409,.T.); #293484=ORIENTED_EDGE('',*,*,#209413,.T.); #293485=ORIENTED_EDGE('',*,*,#209416,.T.); #293486=ORIENTED_EDGE('',*,*,#209419,.T.); #293487=ORIENTED_EDGE('',*,*,#209422,.T.); #293488=ORIENTED_EDGE('',*,*,#209425,.T.); #293489=ORIENTED_EDGE('',*,*,#209428,.T.); #293490=ORIENTED_EDGE('',*,*,#209431,.T.); #293491=ORIENTED_EDGE('',*,*,#209434,.T.); #293492=ORIENTED_EDGE('',*,*,#209437,.T.); #293493=ORIENTED_EDGE('',*,*,#209440,.T.); #293494=ORIENTED_EDGE('',*,*,#209443,.T.); #293495=ORIENTED_EDGE('',*,*,#209446,.T.); #293496=ORIENTED_EDGE('',*,*,#209449,.T.); #293497=ORIENTED_EDGE('',*,*,#209452,.T.); #293498=ORIENTED_EDGE('',*,*,#209455,.T.); #293499=ORIENTED_EDGE('',*,*,#209458,.T.); #293500=ORIENTED_EDGE('',*,*,#209461,.T.); #293501=ORIENTED_EDGE('',*,*,#209464,.T.); #293502=ORIENTED_EDGE('',*,*,#209467,.T.); #293503=ORIENTED_EDGE('',*,*,#209470,.T.); #293504=ORIENTED_EDGE('',*,*,#209473,.T.); #293505=ORIENTED_EDGE('',*,*,#209476,.T.); #293506=ORIENTED_EDGE('',*,*,#209479,.T.); #293507=ORIENTED_EDGE('',*,*,#209482,.T.); #293508=ORIENTED_EDGE('',*,*,#209485,.T.); #293509=ORIENTED_EDGE('',*,*,#209488,.T.); #293510=ORIENTED_EDGE('',*,*,#209491,.T.); #293511=ORIENTED_EDGE('',*,*,#209494,.T.); #293512=ORIENTED_EDGE('',*,*,#209497,.T.); #293513=ORIENTED_EDGE('',*,*,#209500,.T.); #293514=ORIENTED_EDGE('',*,*,#209503,.T.); #293515=ORIENTED_EDGE('',*,*,#209506,.T.); #293516=ORIENTED_EDGE('',*,*,#209509,.T.); #293517=ORIENTED_EDGE('',*,*,#209512,.T.); #293518=ORIENTED_EDGE('',*,*,#209514,.T.); #293519=ORIENTED_EDGE('',*,*,#209407,.F.); #293520=ORIENTED_EDGE('',*,*,#209513,.F.); #293521=ORIENTED_EDGE('',*,*,#209510,.F.); #293522=ORIENTED_EDGE('',*,*,#209507,.F.); #293523=ORIENTED_EDGE('',*,*,#209504,.F.); #293524=ORIENTED_EDGE('',*,*,#209501,.F.); #293525=ORIENTED_EDGE('',*,*,#209498,.F.); #293526=ORIENTED_EDGE('',*,*,#209495,.F.); #293527=ORIENTED_EDGE('',*,*,#209492,.F.); #293528=ORIENTED_EDGE('',*,*,#209489,.F.); #293529=ORIENTED_EDGE('',*,*,#209486,.F.); #293530=ORIENTED_EDGE('',*,*,#209483,.F.); #293531=ORIENTED_EDGE('',*,*,#209480,.F.); #293532=ORIENTED_EDGE('',*,*,#209477,.F.); #293533=ORIENTED_EDGE('',*,*,#209474,.F.); #293534=ORIENTED_EDGE('',*,*,#209471,.F.); #293535=ORIENTED_EDGE('',*,*,#209468,.F.); #293536=ORIENTED_EDGE('',*,*,#209465,.F.); #293537=ORIENTED_EDGE('',*,*,#209462,.F.); #293538=ORIENTED_EDGE('',*,*,#209459,.F.); #293539=ORIENTED_EDGE('',*,*,#209456,.F.); #293540=ORIENTED_EDGE('',*,*,#209453,.F.); #293541=ORIENTED_EDGE('',*,*,#209450,.F.); #293542=ORIENTED_EDGE('',*,*,#209447,.F.); #293543=ORIENTED_EDGE('',*,*,#209444,.F.); #293544=ORIENTED_EDGE('',*,*,#209441,.F.); #293545=ORIENTED_EDGE('',*,*,#209438,.F.); #293546=ORIENTED_EDGE('',*,*,#209435,.F.); #293547=ORIENTED_EDGE('',*,*,#209432,.F.); #293548=ORIENTED_EDGE('',*,*,#209429,.F.); #293549=ORIENTED_EDGE('',*,*,#209426,.F.); #293550=ORIENTED_EDGE('',*,*,#209423,.F.); #293551=ORIENTED_EDGE('',*,*,#209420,.F.); #293552=ORIENTED_EDGE('',*,*,#209417,.F.); #293553=ORIENTED_EDGE('',*,*,#209414,.F.); #293554=ORIENTED_EDGE('',*,*,#209411,.F.); #293555=ORIENTED_EDGE('',*,*,#209515,.T.); #293556=ORIENTED_EDGE('',*,*,#209516,.T.); #293557=ORIENTED_EDGE('',*,*,#209517,.F.); #293558=ORIENTED_EDGE('',*,*,#209518,.F.); #293559=ORIENTED_EDGE('',*,*,#209519,.F.); #293560=ORIENTED_EDGE('',*,*,#209520,.T.); #293561=ORIENTED_EDGE('',*,*,#209521,.T.); #293562=ORIENTED_EDGE('',*,*,#209522,.F.); #293563=ORIENTED_EDGE('',*,*,#209523,.F.); #293564=ORIENTED_EDGE('',*,*,#209524,.F.); #293565=ORIENTED_EDGE('',*,*,#209525,.F.); #293566=ORIENTED_EDGE('',*,*,#209526,.F.); #293567=ORIENTED_EDGE('',*,*,#209527,.F.); #293568=ORIENTED_EDGE('',*,*,#209528,.F.); #293569=ORIENTED_EDGE('',*,*,#209529,.F.); #293570=ORIENTED_EDGE('',*,*,#209530,.T.); #293571=ORIENTED_EDGE('',*,*,#209531,.T.); #293572=ORIENTED_EDGE('',*,*,#209532,.T.); #293573=ORIENTED_EDGE('',*,*,#209533,.T.); #293574=ORIENTED_EDGE('',*,*,#209534,.T.); #293575=ORIENTED_EDGE('',*,*,#209535,.T.); #293576=ORIENTED_EDGE('',*,*,#209536,.T.); #293577=ORIENTED_EDGE('',*,*,#209537,.T.); #293578=ORIENTED_EDGE('',*,*,#209538,.T.); #293579=ORIENTED_EDGE('',*,*,#209539,.T.); #293580=ORIENTED_EDGE('',*,*,#209540,.F.); #293581=ORIENTED_EDGE('',*,*,#209541,.F.); #293582=ORIENTED_EDGE('',*,*,#209542,.F.); #293583=ORIENTED_EDGE('',*,*,#209543,.F.); #293584=ORIENTED_EDGE('',*,*,#209544,.F.); #293585=ORIENTED_EDGE('',*,*,#209545,.F.); #293586=ORIENTED_EDGE('',*,*,#209546,.F.); #293587=ORIENTED_EDGE('',*,*,#209547,.F.); #293588=ORIENTED_EDGE('',*,*,#209548,.F.); #293589=ORIENTED_EDGE('',*,*,#209549,.F.); #293590=ORIENTED_EDGE('',*,*,#209550,.F.); #293591=ORIENTED_EDGE('',*,*,#209551,.F.); #293592=ORIENTED_EDGE('',*,*,#209552,.F.); #293593=ORIENTED_EDGE('',*,*,#209553,.F.); #293594=ORIENTED_EDGE('',*,*,#209554,.F.); #293595=ORIENTED_EDGE('',*,*,#209555,.F.); #293596=ORIENTED_EDGE('',*,*,#209556,.F.); #293597=ORIENTED_EDGE('',*,*,#209557,.F.); #293598=ORIENTED_EDGE('',*,*,#209558,.T.); #293599=ORIENTED_EDGE('',*,*,#209559,.F.); #293600=ORIENTED_EDGE('',*,*,#209560,.F.); #293601=ORIENTED_EDGE('',*,*,#209561,.T.); #293602=ORIENTED_EDGE('',*,*,#209562,.T.); #293603=ORIENTED_EDGE('',*,*,#209563,.T.); #293604=ORIENTED_EDGE('',*,*,#209564,.F.); #293605=ORIENTED_EDGE('',*,*,#209565,.T.); #293606=ORIENTED_EDGE('',*,*,#209566,.T.); #293607=ORIENTED_EDGE('',*,*,#209567,.T.); #293608=ORIENTED_EDGE('',*,*,#209568,.T.); #293609=ORIENTED_EDGE('',*,*,#209569,.T.); #293610=ORIENTED_EDGE('',*,*,#209570,.F.); #293611=ORIENTED_EDGE('',*,*,#209571,.F.); #293612=ORIENTED_EDGE('',*,*,#209572,.F.); #293613=ORIENTED_EDGE('',*,*,#209573,.F.); #293614=ORIENTED_EDGE('',*,*,#209574,.F.); #293615=ORIENTED_EDGE('',*,*,#209575,.F.); #293616=ORIENTED_EDGE('',*,*,#209576,.F.); #293617=ORIENTED_EDGE('',*,*,#209577,.F.); #293618=ORIENTED_EDGE('',*,*,#209578,.F.); #293619=ORIENTED_EDGE('',*,*,#209579,.F.); #293620=ORIENTED_EDGE('',*,*,#209580,.F.); #293621=ORIENTED_EDGE('',*,*,#209581,.F.); #293622=ORIENTED_EDGE('',*,*,#209582,.T.); #293623=ORIENTED_EDGE('',*,*,#209583,.F.); #293624=ORIENTED_EDGE('',*,*,#209584,.F.); #293625=ORIENTED_EDGE('',*,*,#209585,.F.); #293626=ORIENTED_EDGE('',*,*,#209586,.F.); #293627=ORIENTED_EDGE('',*,*,#209587,.F.); #293628=ORIENTED_EDGE('',*,*,#209588,.F.); #293629=ORIENTED_EDGE('',*,*,#209589,.F.); #293630=ORIENTED_EDGE('',*,*,#209590,.F.); #293631=ORIENTED_EDGE('',*,*,#209591,.F.); #293632=ORIENTED_EDGE('',*,*,#209592,.F.); #293633=ORIENTED_EDGE('',*,*,#209593,.T.); #293634=ORIENTED_EDGE('',*,*,#209594,.T.); #293635=ORIENTED_EDGE('',*,*,#209595,.F.); #293636=ORIENTED_EDGE('',*,*,#209596,.T.); #293637=ORIENTED_EDGE('',*,*,#209597,.T.); #293638=ORIENTED_EDGE('',*,*,#209598,.T.); #293639=ORIENTED_EDGE('',*,*,#209599,.T.); #293640=ORIENTED_EDGE('',*,*,#209600,.T.); #293641=ORIENTED_EDGE('',*,*,#209601,.T.); #293642=ORIENTED_EDGE('',*,*,#209602,.T.); #293643=ORIENTED_EDGE('',*,*,#209603,.F.); #293644=ORIENTED_EDGE('',*,*,#209604,.F.); #293645=ORIENTED_EDGE('',*,*,#209605,.F.); #293646=ORIENTED_EDGE('',*,*,#209606,.F.); #293647=ORIENTED_EDGE('',*,*,#209607,.F.); #293648=ORIENTED_EDGE('',*,*,#209608,.F.); #293649=ORIENTED_EDGE('',*,*,#209609,.F.); #293650=ORIENTED_EDGE('',*,*,#209610,.F.); #293651=ORIENTED_EDGE('',*,*,#209611,.F.); #293652=ORIENTED_EDGE('',*,*,#209612,.F.); #293653=ORIENTED_EDGE('',*,*,#209613,.F.); #293654=ORIENTED_EDGE('',*,*,#209614,.F.); #293655=ORIENTED_EDGE('',*,*,#209615,.F.); #293656=ORIENTED_EDGE('',*,*,#209616,.T.); #293657=ORIENTED_EDGE('',*,*,#209572,.T.); #293658=ORIENTED_EDGE('',*,*,#209617,.F.); #293659=ORIENTED_EDGE('',*,*,#209561,.F.); #293660=ORIENTED_EDGE('',*,*,#209618,.F.); #293661=ORIENTED_EDGE('',*,*,#209538,.F.); #293662=ORIENTED_EDGE('',*,*,#209619,.T.); #293663=ORIENTED_EDGE('',*,*,#209595,.T.); #293664=ORIENTED_EDGE('',*,*,#209620,.T.); #293665=ORIENTED_EDGE('',*,*,#209621,.T.); #293666=ORIENTED_EDGE('',*,*,#209622,.T.); #293667=ORIENTED_EDGE('',*,*,#209623,.T.); #293668=ORIENTED_EDGE('',*,*,#209624,.T.); #293669=ORIENTED_EDGE('',*,*,#209625,.F.); #293670=ORIENTED_EDGE('',*,*,#209626,.F.); #293671=ORIENTED_EDGE('',*,*,#209627,.T.); #293672=ORIENTED_EDGE('',*,*,#209628,.T.); #293673=ORIENTED_EDGE('',*,*,#209629,.T.); #293674=ORIENTED_EDGE('',*,*,#209630,.F.); #293675=ORIENTED_EDGE('',*,*,#209631,.F.); #293676=ORIENTED_EDGE('',*,*,#209632,.F.); #293677=ORIENTED_EDGE('',*,*,#209633,.T.); #293678=ORIENTED_EDGE('',*,*,#209627,.F.); #293679=ORIENTED_EDGE('',*,*,#209634,.T.); #293680=ORIENTED_EDGE('',*,*,#209635,.F.); #293681=ORIENTED_EDGE('',*,*,#209636,.T.); #293682=ORIENTED_EDGE('',*,*,#209622,.F.); #293683=ORIENTED_EDGE('',*,*,#209637,.T.); #293684=ORIENTED_EDGE('',*,*,#209638,.T.); #293685=ORIENTED_EDGE('',*,*,#209639,.T.); #293686=ORIENTED_EDGE('',*,*,#209640,.F.); #293687=ORIENTED_EDGE('',*,*,#209641,.T.); #293688=ORIENTED_EDGE('',*,*,#209642,.T.); #293689=ORIENTED_EDGE('',*,*,#209643,.T.); #293690=ORIENTED_EDGE('',*,*,#209644,.T.); #293691=ORIENTED_EDGE('',*,*,#209645,.T.); #293692=ORIENTED_EDGE('',*,*,#209646,.T.); #293693=ORIENTED_EDGE('',*,*,#209647,.F.); #293694=ORIENTED_EDGE('',*,*,#209648,.T.); #293695=ORIENTED_EDGE('',*,*,#209649,.T.); #293696=ORIENTED_EDGE('',*,*,#209650,.T.); #293697=ORIENTED_EDGE('',*,*,#209651,.T.); #293698=ORIENTED_EDGE('',*,*,#209652,.F.); #293699=ORIENTED_EDGE('',*,*,#209653,.T.); #293700=ORIENTED_EDGE('',*,*,#209654,.T.); #293701=ORIENTED_EDGE('',*,*,#209655,.T.); #293702=ORIENTED_EDGE('',*,*,#209656,.T.); #293703=ORIENTED_EDGE('',*,*,#209657,.T.); #293704=ORIENTED_EDGE('',*,*,#209658,.F.); #293705=ORIENTED_EDGE('',*,*,#209659,.T.); #293706=ORIENTED_EDGE('',*,*,#209660,.T.); #293707=ORIENTED_EDGE('',*,*,#209661,.T.); #293708=ORIENTED_EDGE('',*,*,#209662,.T.); #293709=ORIENTED_EDGE('',*,*,#209663,.T.); #293710=ORIENTED_EDGE('',*,*,#209664,.T.); #293711=ORIENTED_EDGE('',*,*,#209665,.T.); #293712=ORIENTED_EDGE('',*,*,#209666,.T.); #293713=ORIENTED_EDGE('',*,*,#209667,.T.); #293714=ORIENTED_EDGE('',*,*,#209668,.T.); #293715=ORIENTED_EDGE('',*,*,#209669,.T.); #293716=ORIENTED_EDGE('',*,*,#209670,.T.); #293717=ORIENTED_EDGE('',*,*,#209671,.T.); #293718=ORIENTED_EDGE('',*,*,#209672,.T.); #293719=ORIENTED_EDGE('',*,*,#209673,.T.); #293720=ORIENTED_EDGE('',*,*,#209674,.T.); #293721=ORIENTED_EDGE('',*,*,#209675,.T.); #293722=ORIENTED_EDGE('',*,*,#209676,.T.); #293723=ORIENTED_EDGE('',*,*,#209677,.T.); #293724=ORIENTED_EDGE('',*,*,#209678,.T.); #293725=ORIENTED_EDGE('',*,*,#209679,.F.); #293726=ORIENTED_EDGE('',*,*,#209680,.T.); #293727=ORIENTED_EDGE('',*,*,#209681,.T.); #293728=ORIENTED_EDGE('',*,*,#209682,.F.); #293729=ORIENTED_EDGE('',*,*,#209683,.F.); #293730=ORIENTED_EDGE('',*,*,#209684,.F.); #293731=ORIENTED_EDGE('',*,*,#209685,.F.); #293732=ORIENTED_EDGE('',*,*,#209686,.F.); #293733=ORIENTED_EDGE('',*,*,#209687,.F.); #293734=ORIENTED_EDGE('',*,*,#209688,.F.); #293735=ORIENTED_EDGE('',*,*,#209689,.F.); #293736=ORIENTED_EDGE('',*,*,#209690,.F.); #293737=ORIENTED_EDGE('',*,*,#209691,.F.); #293738=ORIENTED_EDGE('',*,*,#209692,.F.); #293739=ORIENTED_EDGE('',*,*,#209693,.F.); #293740=ORIENTED_EDGE('',*,*,#209694,.F.); #293741=ORIENTED_EDGE('',*,*,#209695,.F.); #293742=ORIENTED_EDGE('',*,*,#209696,.F.); #293743=ORIENTED_EDGE('',*,*,#209697,.T.); #293744=ORIENTED_EDGE('',*,*,#209698,.T.); #293745=ORIENTED_EDGE('',*,*,#209699,.F.); #293746=ORIENTED_EDGE('',*,*,#209700,.F.); #293747=ORIENTED_EDGE('',*,*,#209701,.T.); #293748=ORIENTED_EDGE('',*,*,#209702,.T.); #293749=ORIENTED_EDGE('',*,*,#209703,.F.); #293750=ORIENTED_EDGE('',*,*,#209704,.T.); #293751=ORIENTED_EDGE('',*,*,#209705,.F.); #293752=ORIENTED_EDGE('',*,*,#209706,.T.); #293753=ORIENTED_EDGE('',*,*,#209707,.T.); #293754=ORIENTED_EDGE('',*,*,#209708,.T.); #293755=ORIENTED_EDGE('',*,*,#209709,.T.); #293756=ORIENTED_EDGE('',*,*,#209710,.T.); #293757=ORIENTED_EDGE('',*,*,#209711,.F.); #293758=ORIENTED_EDGE('',*,*,#209712,.F.); #293759=ORIENTED_EDGE('',*,*,#209713,.T.); #293760=ORIENTED_EDGE('',*,*,#209704,.F.); #293761=ORIENTED_EDGE('',*,*,#209714,.F.); #293762=ORIENTED_EDGE('',*,*,#209715,.F.); #293763=ORIENTED_EDGE('',*,*,#209716,.F.); #293764=ORIENTED_EDGE('',*,*,#209717,.F.); #293765=ORIENTED_EDGE('',*,*,#209718,.F.); #293766=ORIENTED_EDGE('',*,*,#209719,.T.); #293767=ORIENTED_EDGE('',*,*,#209720,.T.); #293768=ORIENTED_EDGE('',*,*,#209721,.T.); #293769=ORIENTED_EDGE('',*,*,#209722,.T.); #293770=ORIENTED_EDGE('',*,*,#209723,.T.); #293771=ORIENTED_EDGE('',*,*,#209724,.T.); #293772=ORIENTED_EDGE('',*,*,#209725,.T.); #293773=ORIENTED_EDGE('',*,*,#209726,.T.); #293774=ORIENTED_EDGE('',*,*,#209727,.T.); #293775=ORIENTED_EDGE('',*,*,#209728,.T.); #293776=ORIENTED_EDGE('',*,*,#209729,.T.); #293777=ORIENTED_EDGE('',*,*,#209730,.T.); #293778=ORIENTED_EDGE('',*,*,#209731,.T.); #293779=ORIENTED_EDGE('',*,*,#209732,.F.); #293780=ORIENTED_EDGE('',*,*,#209733,.F.); #293781=ORIENTED_EDGE('',*,*,#209734,.F.); #293782=ORIENTED_EDGE('',*,*,#209735,.F.); #293783=ORIENTED_EDGE('',*,*,#209736,.F.); #293784=ORIENTED_EDGE('',*,*,#209737,.F.); #293785=ORIENTED_EDGE('',*,*,#209738,.F.); #293786=ORIENTED_EDGE('',*,*,#209739,.F.); #293787=ORIENTED_EDGE('',*,*,#209520,.F.); #293788=ORIENTED_EDGE('',*,*,#209740,.T.); #293789=ORIENTED_EDGE('',*,*,#209741,.T.); #293790=ORIENTED_EDGE('',*,*,#209742,.T.); #293791=ORIENTED_EDGE('',*,*,#209743,.T.); #293792=ORIENTED_EDGE('',*,*,#209744,.T.); #293793=ORIENTED_EDGE('',*,*,#209745,.T.); #293794=ORIENTED_EDGE('',*,*,#209746,.T.); #293795=ORIENTED_EDGE('',*,*,#209515,.F.); #293796=ORIENTED_EDGE('',*,*,#209747,.F.); #293797=ORIENTED_EDGE('',*,*,#209748,.T.); #293798=ORIENTED_EDGE('',*,*,#209749,.T.); #293799=ORIENTED_EDGE('',*,*,#209750,.T.); #293800=ORIENTED_EDGE('',*,*,#209751,.T.); #293801=ORIENTED_EDGE('',*,*,#209752,.T.); #293802=ORIENTED_EDGE('',*,*,#209753,.F.); #293803=ORIENTED_EDGE('',*,*,#209754,.T.); #293804=ORIENTED_EDGE('',*,*,#209755,.T.); #293805=ORIENTED_EDGE('',*,*,#209756,.F.); #293806=ORIENTED_EDGE('',*,*,#209748,.F.); #293807=ORIENTED_EDGE('',*,*,#209757,.T.); #293808=ORIENTED_EDGE('',*,*,#209758,.T.); #293809=ORIENTED_EDGE('',*,*,#209759,.F.); #293810=ORIENTED_EDGE('',*,*,#209752,.F.); #293811=ORIENTED_EDGE('',*,*,#209760,.T.); #293812=ORIENTED_EDGE('',*,*,#209761,.T.); #293813=ORIENTED_EDGE('',*,*,#209762,.F.); #293814=ORIENTED_EDGE('',*,*,#209651,.F.); #293815=ORIENTED_EDGE('',*,*,#209763,.T.); #293816=ORIENTED_EDGE('',*,*,#209764,.T.); #293817=ORIENTED_EDGE('',*,*,#209765,.F.); #293818=ORIENTED_EDGE('',*,*,#209655,.F.); #293819=ORIENTED_EDGE('',*,*,#209766,.T.); #293820=ORIENTED_EDGE('',*,*,#209767,.T.); #293821=ORIENTED_EDGE('',*,*,#209768,.F.); #293822=ORIENTED_EDGE('',*,*,#209769,.F.); #293823=ORIENTED_EDGE('',*,*,#209770,.F.); #293824=ORIENTED_EDGE('',*,*,#209771,.F.); #293825=ORIENTED_EDGE('',*,*,#209772,.F.); #293826=ORIENTED_EDGE('',*,*,#209773,.F.); #293827=ORIENTED_EDGE('',*,*,#209676,.F.); #293828=ORIENTED_EDGE('',*,*,#209774,.T.); #293829=ORIENTED_EDGE('',*,*,#209775,.T.); #293830=ORIENTED_EDGE('',*,*,#209776,.T.); #293831=ORIENTED_EDGE('',*,*,#209777,.F.); #293832=ORIENTED_EDGE('',*,*,#209641,.F.); #293833=ORIENTED_EDGE('',*,*,#209778,.F.); #293834=ORIENTED_EDGE('',*,*,#209779,.T.); #293835=ORIENTED_EDGE('',*,*,#209780,.T.); #293836=ORIENTED_EDGE('',*,*,#209781,.F.); #293837=ORIENTED_EDGE('',*,*,#209782,.T.); #293838=ORIENTED_EDGE('',*,*,#209783,.T.); #293839=ORIENTED_EDGE('',*,*,#209784,.T.); #293840=ORIENTED_EDGE('',*,*,#209785,.T.); #293841=ORIENTED_EDGE('',*,*,#209786,.T.); #293842=ORIENTED_EDGE('',*,*,#209787,.T.); #293843=ORIENTED_EDGE('',*,*,#209788,.T.); #293844=ORIENTED_EDGE('',*,*,#209789,.T.); #293845=ORIENTED_EDGE('',*,*,#209790,.T.); #293846=ORIENTED_EDGE('',*,*,#209791,.T.); #293847=ORIENTED_EDGE('',*,*,#209792,.T.); #293848=ORIENTED_EDGE('',*,*,#209793,.T.); #293849=ORIENTED_EDGE('',*,*,#209794,.T.); #293850=ORIENTED_EDGE('',*,*,#209795,.T.); #293851=ORIENTED_EDGE('',*,*,#209796,.T.); #293852=ORIENTED_EDGE('',*,*,#209797,.T.); #293853=ORIENTED_EDGE('',*,*,#209798,.T.); #293854=ORIENTED_EDGE('',*,*,#209799,.T.); #293855=ORIENTED_EDGE('',*,*,#209800,.F.); #293856=ORIENTED_EDGE('',*,*,#209801,.T.); #293857=ORIENTED_EDGE('',*,*,#209802,.F.); #293858=ORIENTED_EDGE('',*,*,#209803,.T.); #293859=ORIENTED_EDGE('',*,*,#209804,.F.); #293860=ORIENTED_EDGE('',*,*,#209805,.F.); #293861=ORIENTED_EDGE('',*,*,#209806,.F.); #293862=ORIENTED_EDGE('',*,*,#209807,.F.); #293863=ORIENTED_EDGE('',*,*,#209808,.F.); #293864=ORIENTED_EDGE('',*,*,#209809,.F.); #293865=ORIENTED_EDGE('',*,*,#209810,.F.); #293866=ORIENTED_EDGE('',*,*,#209811,.F.); #293867=ORIENTED_EDGE('',*,*,#209812,.F.); #293868=ORIENTED_EDGE('',*,*,#209813,.F.); #293869=ORIENTED_EDGE('',*,*,#209814,.F.); #293870=ORIENTED_EDGE('',*,*,#209815,.F.); #293871=ORIENTED_EDGE('',*,*,#209816,.F.); #293872=ORIENTED_EDGE('',*,*,#209817,.F.); #293873=ORIENTED_EDGE('',*,*,#209818,.F.); #293874=ORIENTED_EDGE('',*,*,#209819,.T.); #293875=ORIENTED_EDGE('',*,*,#209820,.F.); #293876=ORIENTED_EDGE('',*,*,#209821,.F.); #293877=ORIENTED_EDGE('',*,*,#209822,.T.); #293878=ORIENTED_EDGE('',*,*,#209823,.T.); #293879=ORIENTED_EDGE('',*,*,#209824,.F.); #293880=ORIENTED_EDGE('',*,*,#209559,.T.); #293881=ORIENTED_EDGE('',*,*,#209825,.T.); #293882=ORIENTED_EDGE('',*,*,#209826,.T.); #293883=ORIENTED_EDGE('',*,*,#209574,.T.); #293884=ORIENTED_EDGE('',*,*,#209827,.F.); #293885=ORIENTED_EDGE('',*,*,#209828,.F.); #293886=ORIENTED_EDGE('',*,*,#209563,.F.); #293887=ORIENTED_EDGE('',*,*,#209829,.T.); #293888=ORIENTED_EDGE('',*,*,#209609,.T.); #293889=ORIENTED_EDGE('',*,*,#209830,.F.); #293890=ORIENTED_EDGE('',*,*,#209567,.F.); #293891=ORIENTED_EDGE('',*,*,#209831,.T.); #293892=ORIENTED_EDGE('',*,*,#209613,.T.); #293893=ORIENTED_EDGE('',*,*,#209832,.F.); #293894=ORIENTED_EDGE('',*,*,#209642,.F.); #293895=ORIENTED_EDGE('',*,*,#209833,.T.); #293896=ORIENTED_EDGE('',*,*,#209834,.T.); #293897=ORIENTED_EDGE('',*,*,#209835,.F.); #293898=ORIENTED_EDGE('',*,*,#209646,.F.); #293899=ORIENTED_EDGE('',*,*,#209836,.T.); #293900=ORIENTED_EDGE('',*,*,#209837,.T.); #293901=ORIENTED_EDGE('',*,*,#209838,.T.); #293902=ORIENTED_EDGE('',*,*,#209839,.T.); #293903=ORIENTED_EDGE('',*,*,#209840,.T.); #293904=ORIENTED_EDGE('',*,*,#209841,.F.); #293905=ORIENTED_EDGE('',*,*,#209842,.F.); #293906=ORIENTED_EDGE('',*,*,#209843,.T.); #293907=ORIENTED_EDGE('',*,*,#209844,.T.); #293908=ORIENTED_EDGE('',*,*,#209845,.T.); #293909=ORIENTED_EDGE('',*,*,#209846,.T.); #293910=ORIENTED_EDGE('',*,*,#209847,.T.); #293911=ORIENTED_EDGE('',*,*,#209848,.T.); #293912=ORIENTED_EDGE('',*,*,#209849,.T.); #293913=ORIENTED_EDGE('',*,*,#209850,.T.); #293914=ORIENTED_EDGE('',*,*,#209851,.T.); #293915=ORIENTED_EDGE('',*,*,#209852,.T.); #293916=ORIENTED_EDGE('',*,*,#209853,.T.); #293917=ORIENTED_EDGE('',*,*,#209854,.T.); #293918=ORIENTED_EDGE('',*,*,#209855,.T.); #293919=ORIENTED_EDGE('',*,*,#209856,.T.); #293920=ORIENTED_EDGE('',*,*,#209857,.T.); #293921=ORIENTED_EDGE('',*,*,#209858,.T.); #293922=ORIENTED_EDGE('',*,*,#209859,.T.); #293923=ORIENTED_EDGE('',*,*,#209860,.T.); #293924=ORIENTED_EDGE('',*,*,#209861,.F.); #293925=ORIENTED_EDGE('',*,*,#209862,.F.); #293926=ORIENTED_EDGE('',*,*,#209863,.T.); #293927=ORIENTED_EDGE('',*,*,#209864,.F.); #293928=ORIENTED_EDGE('',*,*,#209865,.F.); #293929=ORIENTED_EDGE('',*,*,#209866,.F.); #293930=ORIENTED_EDGE('',*,*,#209867,.F.); #293931=ORIENTED_EDGE('',*,*,#209868,.F.); #293932=ORIENTED_EDGE('',*,*,#209869,.F.); #293933=ORIENTED_EDGE('',*,*,#209870,.F.); #293934=ORIENTED_EDGE('',*,*,#209871,.F.); #293935=ORIENTED_EDGE('',*,*,#209872,.F.); #293936=ORIENTED_EDGE('',*,*,#209873,.F.); #293937=ORIENTED_EDGE('',*,*,#209874,.F.); #293938=ORIENTED_EDGE('',*,*,#209875,.F.); #293939=ORIENTED_EDGE('',*,*,#209876,.T.); #293940=ORIENTED_EDGE('',*,*,#209877,.F.); #293941=ORIENTED_EDGE('',*,*,#209878,.T.); #293942=ORIENTED_EDGE('',*,*,#209879,.T.); #293943=ORIENTED_EDGE('',*,*,#209880,.T.); #293944=ORIENTED_EDGE('',*,*,#209881,.F.); #293945=ORIENTED_EDGE('',*,*,#209882,.T.); #293946=ORIENTED_EDGE('',*,*,#209883,.F.); #293947=ORIENTED_EDGE('',*,*,#209838,.F.); #293948=ORIENTED_EDGE('',*,*,#209884,.F.); #293949=ORIENTED_EDGE('',*,*,#209885,.F.); #293950=ORIENTED_EDGE('',*,*,#209886,.F.); #293951=ORIENTED_EDGE('',*,*,#209887,.F.); #293952=ORIENTED_EDGE('',*,*,#209888,.F.); #293953=ORIENTED_EDGE('',*,*,#209889,.F.); #293954=ORIENTED_EDGE('',*,*,#209890,.F.); #293955=ORIENTED_EDGE('',*,*,#209517,.T.); #293956=ORIENTED_EDGE('',*,*,#209891,.F.); #293957=ORIENTED_EDGE('',*,*,#209892,.F.); #293958=ORIENTED_EDGE('',*,*,#209893,.F.); #293959=ORIENTED_EDGE('',*,*,#209894,.F.); #293960=ORIENTED_EDGE('',*,*,#209895,.F.); #293961=ORIENTED_EDGE('',*,*,#209896,.F.); #293962=ORIENTED_EDGE('',*,*,#209897,.F.); #293963=ORIENTED_EDGE('',*,*,#209522,.T.); #293964=ORIENTED_EDGE('',*,*,#209898,.T.); #293965=ORIENTED_EDGE('',*,*,#209899,.T.); #293966=ORIENTED_EDGE('',*,*,#209900,.T.); #293967=ORIENTED_EDGE('',*,*,#209901,.T.); #293968=ORIENTED_EDGE('',*,*,#209902,.T.); #293969=ORIENTED_EDGE('',*,*,#209903,.T.); #293970=ORIENTED_EDGE('',*,*,#209904,.T.); #293971=ORIENTED_EDGE('',*,*,#209905,.T.); #293972=ORIENTED_EDGE('',*,*,#209906,.T.); #293973=ORIENTED_EDGE('',*,*,#209907,.F.); #293974=ORIENTED_EDGE('',*,*,#209908,.T.); #293975=ORIENTED_EDGE('',*,*,#209909,.T.); #293976=ORIENTED_EDGE('',*,*,#209910,.T.); #293977=ORIENTED_EDGE('',*,*,#209911,.T.); #293978=ORIENTED_EDGE('',*,*,#209912,.T.); #293979=ORIENTED_EDGE('',*,*,#209913,.T.); #293980=ORIENTED_EDGE('',*,*,#209914,.T.); #293981=ORIENTED_EDGE('',*,*,#209915,.T.); #293982=ORIENTED_EDGE('',*,*,#209916,.T.); #293983=ORIENTED_EDGE('',*,*,#209917,.T.); #293984=ORIENTED_EDGE('',*,*,#209918,.F.); #293985=ORIENTED_EDGE('',*,*,#209919,.F.); #293986=ORIENTED_EDGE('',*,*,#209920,.F.); #293987=ORIENTED_EDGE('',*,*,#209921,.F.); #293988=ORIENTED_EDGE('',*,*,#209922,.F.); #293989=ORIENTED_EDGE('',*,*,#209923,.F.); #293990=ORIENTED_EDGE('',*,*,#209710,.F.); #293991=ORIENTED_EDGE('',*,*,#209924,.F.); #293992=ORIENTED_EDGE('',*,*,#209925,.F.); #293993=ORIENTED_EDGE('',*,*,#209926,.F.); #293994=ORIENTED_EDGE('',*,*,#209758,.F.); #293995=ORIENTED_EDGE('',*,*,#209927,.F.); #293996=ORIENTED_EDGE('',*,*,#209928,.F.); #293997=ORIENTED_EDGE('',*,*,#209929,.T.); #293998=ORIENTED_EDGE('',*,*,#209761,.F.); #293999=ORIENTED_EDGE('',*,*,#209679,.T.); #294000=ORIENTED_EDGE('',*,*,#209930,.T.); #294001=ORIENTED_EDGE('',*,*,#209730,.F.); #294002=ORIENTED_EDGE('',*,*,#209931,.T.); #294003=ORIENTED_EDGE('',*,*,#209932,.T.); #294004=ORIENTED_EDGE('',*,*,#209933,.T.); #294005=ORIENTED_EDGE('',*,*,#209719,.F.); #294006=ORIENTED_EDGE('',*,*,#209934,.F.); #294007=ORIENTED_EDGE('',*,*,#209935,.F.); #294008=ORIENTED_EDGE('',*,*,#209699,.T.); #294009=ORIENTED_EDGE('',*,*,#209936,.F.); #294010=ORIENTED_EDGE('',*,*,#209907,.T.); #294011=ORIENTED_EDGE('',*,*,#209937,.T.); #294012=ORIENTED_EDGE('',*,*,#209938,.F.); #294013=ORIENTED_EDGE('',*,*,#209939,.F.); #294014=ORIENTED_EDGE('',*,*,#209940,.F.); #294015=ORIENTED_EDGE('',*,*,#209941,.F.); #294016=ORIENTED_EDGE('',*,*,#209942,.T.); #294017=ORIENTED_EDGE('',*,*,#209943,.T.); #294018=ORIENTED_EDGE('',*,*,#209918,.T.); #294019=ORIENTED_EDGE('',*,*,#209540,.T.); #294020=ORIENTED_EDGE('',*,*,#209944,.T.); #294021=ORIENTED_EDGE('',*,*,#209779,.F.); #294022=ORIENTED_EDGE('',*,*,#209945,.F.); #294023=ORIENTED_EDGE('',*,*,#209593,.F.); #294024=ORIENTED_EDGE('',*,*,#209946,.T.); #294025=ORIENTED_EDGE('',*,*,#209841,.T.); #294026=ORIENTED_EDGE('',*,*,#209947,.T.); #294027=ORIENTED_EDGE('',*,*,#209948,.T.); #294028=ORIENTED_EDGE('',*,*,#209603,.T.); #294029=ORIENTED_EDGE('',*,*,#209949,.F.); #294030=ORIENTED_EDGE('',*,*,#209530,.F.); #294031=ORIENTED_EDGE('',*,*,#209950,.F.); #294032=ORIENTED_EDGE('',*,*,#209732,.T.); #294033=ORIENTED_EDGE('',*,*,#209951,.T.); #294034=ORIENTED_EDGE('',*,*,#209952,.F.); #294035=ORIENTED_EDGE('',*,*,#209905,.F.); #294036=ORIENTED_EDGE('',*,*,#209953,.F.); #294037=ORIENTED_EDGE('',*,*,#209782,.F.); #294038=ORIENTED_EDGE('',*,*,#209954,.F.); #294039=ORIENTED_EDGE('',*,*,#209637,.F.); #294040=ORIENTED_EDGE('',*,*,#209626,.T.); #294041=ORIENTED_EDGE('',*,*,#209955,.T.); #294042=ORIENTED_EDGE('',*,*,#209956,.T.); #294043=ORIENTED_EDGE('',*,*,#209957,.F.); #294044=ORIENTED_EDGE('',*,*,#209634,.F.); #294045=ORIENTED_EDGE('',*,*,#209631,.T.); #294046=ORIENTED_EDGE('',*,*,#209958,.T.); #294047=ORIENTED_EDGE('',*,*,#209952,.T.); #294048=ORIENTED_EDGE('',*,*,#209959,.T.); #294049=ORIENTED_EDGE('',*,*,#209960,.T.); #294050=ORIENTED_EDGE('',*,*,#209961,.T.); #294051=ORIENTED_EDGE('',*,*,#209962,.T.); #294052=ORIENTED_EDGE('',*,*,#209963,.T.); #294053=ORIENTED_EDGE('',*,*,#209964,.T.); #294054=ORIENTED_EDGE('',*,*,#209965,.T.); #294055=ORIENTED_EDGE('',*,*,#209966,.T.); #294056=ORIENTED_EDGE('',*,*,#209967,.T.); #294057=ORIENTED_EDGE('',*,*,#209620,.F.); #294058=ORIENTED_EDGE('',*,*,#209594,.F.); #294059=ORIENTED_EDGE('',*,*,#209947,.F.); #294060=ORIENTED_EDGE('',*,*,#209840,.F.); #294061=ORIENTED_EDGE('',*,*,#209968,.F.); #294062=ORIENTED_EDGE('',*,*,#209969,.F.); #294063=ORIENTED_EDGE('',*,*,#209970,.F.); #294064=ORIENTED_EDGE('',*,*,#209971,.F.); #294065=ORIENTED_EDGE('',*,*,#209937,.F.); #294066=ORIENTED_EDGE('',*,*,#209906,.F.); #294067=ORIENTED_EDGE('',*,*,#209640,.T.); #294068=ORIENTED_EDGE('',*,*,#209972,.T.); #294069=ORIENTED_EDGE('',*,*,#209677,.F.); #294070=ORIENTED_EDGE('',*,*,#209778,.T.); #294071=ORIENTED_EDGE('',*,*,#209781,.T.); #294072=ORIENTED_EDGE('',*,*,#209973,.T.); #294073=ORIENTED_EDGE('',*,*,#209638,.F.); #294074=ORIENTED_EDGE('',*,*,#209954,.T.); #294075=ORIENTED_EDGE('',*,*,#209974,.F.); #294076=ORIENTED_EDGE('',*,*,#209975,.F.); #294077=ORIENTED_EDGE('',*,*,#209801,.F.); #294078=ORIENTED_EDGE('',*,*,#209976,.F.); #294079=ORIENTED_EDGE('',*,*,#209977,.F.); #294080=ORIENTED_EDGE('',*,*,#209551,.T.); #294081=ORIENTED_EDGE('',*,*,#209978,.F.); #294082=ORIENTED_EDGE('',*,*,#209773,.T.); #294083=ORIENTED_EDGE('',*,*,#209979,.T.); #294084=ORIENTED_EDGE('',*,*,#209980,.F.); #294085=ORIENTED_EDGE('',*,*,#209981,.F.); #294086=ORIENTED_EDGE('',*,*,#209982,.F.); #294087=ORIENTED_EDGE('',*,*,#209983,.F.); #294088=ORIENTED_EDGE('',*,*,#209984,.F.); #294089=ORIENTED_EDGE('',*,*,#209985,.F.); #294090=ORIENTED_EDGE('',*,*,#209986,.F.); #294091=ORIENTED_EDGE('',*,*,#209987,.F.); #294092=ORIENTED_EDGE('',*,*,#209988,.F.); #294093=ORIENTED_EDGE('',*,*,#209989,.F.); #294094=ORIENTED_EDGE('',*,*,#209990,.F.); #294095=ORIENTED_EDGE('',*,*,#209991,.F.); #294096=ORIENTED_EDGE('',*,*,#209992,.T.); #294097=ORIENTED_EDGE('',*,*,#209993,.T.); #294098=ORIENTED_EDGE('',*,*,#209941,.T.); #294099=ORIENTED_EDGE('',*,*,#209994,.F.); #294100=ORIENTED_EDGE('',*,*,#209995,.T.); #294101=ORIENTED_EDGE('',*,*,#209996,.T.); #294102=ORIENTED_EDGE('',*,*,#209997,.T.); #294103=ORIENTED_EDGE('',*,*,#209998,.T.); #294104=ORIENTED_EDGE('',*,*,#209999,.T.); #294105=ORIENTED_EDGE('',*,*,#210000,.T.); #294106=ORIENTED_EDGE('',*,*,#210001,.T.); #294107=ORIENTED_EDGE('',*,*,#210002,.T.); #294108=ORIENTED_EDGE('',*,*,#210003,.T.); #294109=ORIENTED_EDGE('',*,*,#210004,.T.); #294110=ORIENTED_EDGE('',*,*,#210005,.T.); #294111=ORIENTED_EDGE('',*,*,#210006,.T.); #294112=ORIENTED_EDGE('',*,*,#210007,.T.); #294113=ORIENTED_EDGE('',*,*,#210008,.T.); #294114=ORIENTED_EDGE('',*,*,#210009,.T.); #294115=ORIENTED_EDGE('',*,*,#210010,.T.); #294116=ORIENTED_EDGE('',*,*,#210011,.T.); #294117=ORIENTED_EDGE('',*,*,#210012,.T.); #294118=ORIENTED_EDGE('',*,*,#209938,.T.); #294119=ORIENTED_EDGE('',*,*,#209971,.T.); #294120=ORIENTED_EDGE('',*,*,#210013,.F.); #294121=ORIENTED_EDGE('',*,*,#210014,.T.); #294122=ORIENTED_EDGE('',*,*,#210015,.T.); #294123=ORIENTED_EDGE('',*,*,#210016,.T.); #294124=ORIENTED_EDGE('',*,*,#210017,.F.); #294125=ORIENTED_EDGE('',*,*,#210018,.T.); #294126=ORIENTED_EDGE('',*,*,#209970,.T.); #294127=ORIENTED_EDGE('',*,*,#210019,.F.); #294128=ORIENTED_EDGE('',*,*,#209775,.F.); #294129=ORIENTED_EDGE('',*,*,#210020,.F.); #294130=ORIENTED_EDGE('',*,*,#209768,.T.); #294131=ORIENTED_EDGE('',*,*,#209978,.T.); #294132=ORIENTED_EDGE('',*,*,#210021,.F.); #294133=ORIENTED_EDGE('',*,*,#210022,.T.); #294134=ORIENTED_EDGE('',*,*,#209776,.F.); #294135=ORIENTED_EDGE('',*,*,#210019,.T.); #294136=ORIENTED_EDGE('',*,*,#209969,.T.); #294137=ORIENTED_EDGE('',*,*,#210023,.F.); #294138=ORIENTED_EDGE('',*,*,#209955,.F.); #294139=ORIENTED_EDGE('',*,*,#209625,.T.); #294140=ORIENTED_EDGE('',*,*,#210024,.F.); #294141=ORIENTED_EDGE('',*,*,#210025,.T.); #294142=ORIENTED_EDGE('',*,*,#209958,.F.); #294143=ORIENTED_EDGE('',*,*,#209630,.T.); #294144=ORIENTED_EDGE('',*,*,#210026,.F.); #294145=ORIENTED_EDGE('',*,*,#210027,.F.); #294146=ORIENTED_EDGE('',*,*,#210028,.F.); #294147=ORIENTED_EDGE('',*,*,#209834,.F.); #294148=ORIENTED_EDGE('',*,*,#210029,.F.); #294149=ORIENTED_EDGE('',*,*,#210030,.F.); #294150=ORIENTED_EDGE('',*,*,#210031,.T.); #294151=ORIENTED_EDGE('',*,*,#209837,.F.); #294152=ORIENTED_EDGE('',*,*,#209764,.F.); #294153=ORIENTED_EDGE('',*,*,#210032,.F.); #294154=ORIENTED_EDGE('',*,*,#210033,.F.); #294155=ORIENTED_EDGE('',*,*,#210034,.F.); #294156=ORIENTED_EDGE('',*,*,#209767,.F.); #294157=ORIENTED_EDGE('',*,*,#210035,.F.); #294158=ORIENTED_EDGE('',*,*,#210036,.F.); #294159=ORIENTED_EDGE('',*,*,#210037,.T.); #294160=ORIENTED_EDGE('',*,*,#209968,.T.); #294161=ORIENTED_EDGE('',*,*,#209839,.F.); #294162=ORIENTED_EDGE('',*,*,#209883,.T.); #294163=ORIENTED_EDGE('',*,*,#210038,.F.); #294164=ORIENTED_EDGE('',*,*,#209956,.F.); #294165=ORIENTED_EDGE('',*,*,#210023,.T.); #294166=ORIENTED_EDGE('',*,*,#210039,.T.); #294167=ORIENTED_EDGE('',*,*,#210040,.T.); #294168=ORIENTED_EDGE('',*,*,#209582,.F.); #294169=ORIENTED_EDGE('',*,*,#210041,.T.); #294170=ORIENTED_EDGE('',*,*,#210042,.T.); #294171=ORIENTED_EDGE('',*,*,#209861,.T.); #294172=ORIENTED_EDGE('',*,*,#210043,.F.); #294173=ORIENTED_EDGE('',*,*,#209635,.T.); #294174=ORIENTED_EDGE('',*,*,#209957,.T.); #294175=ORIENTED_EDGE('',*,*,#210025,.F.); #294176=ORIENTED_EDGE('',*,*,#210044,.F.); #294177=ORIENTED_EDGE('',*,*,#210045,.F.); #294178=ORIENTED_EDGE('',*,*,#209632,.T.); #294179=ORIENTED_EDGE('',*,*,#209777,.T.); #294180=ORIENTED_EDGE('',*,*,#210022,.F.); #294181=ORIENTED_EDGE('',*,*,#210046,.F.); #294182=ORIENTED_EDGE('',*,*,#209977,.T.); #294183=ORIENTED_EDGE('',*,*,#210047,.T.); #294184=ORIENTED_EDGE('',*,*,#210041,.F.); #294185=ORIENTED_EDGE('',*,*,#210048,.F.); #294186=ORIENTED_EDGE('',*,*,#209800,.T.); #294187=ORIENTED_EDGE('',*,*,#210049,.T.); #294188=ORIENTED_EDGE('',*,*,#209862,.T.); #294189=ORIENTED_EDGE('',*,*,#210042,.F.); #294190=ORIENTED_EDGE('',*,*,#210047,.F.); #294191=ORIENTED_EDGE('',*,*,#209976,.T.); #294192=ORIENTED_EDGE('',*,*,#209576,.T.); #294193=ORIENTED_EDGE('',*,*,#210050,.T.); #294194=ORIENTED_EDGE('',*,*,#210051,.T.); #294195=ORIENTED_EDGE('',*,*,#210052,.F.); #294196=ORIENTED_EDGE('',*,*,#210053,.T.); #294197=ORIENTED_EDGE('',*,*,#210054,.F.); #294198=ORIENTED_EDGE('',*,*,#209557,.T.); #294199=ORIENTED_EDGE('',*,*,#210055,.T.); #294200=ORIENTED_EDGE('',*,*,#209556,.T.); #294201=ORIENTED_EDGE('',*,*,#210056,.T.); #294202=ORIENTED_EDGE('',*,*,#209577,.T.); #294203=ORIENTED_EDGE('',*,*,#210055,.F.); #294204=ORIENTED_EDGE('',*,*,#209555,.T.); #294205=ORIENTED_EDGE('',*,*,#210057,.T.); #294206=ORIENTED_EDGE('',*,*,#209578,.T.); #294207=ORIENTED_EDGE('',*,*,#210056,.F.); #294208=ORIENTED_EDGE('',*,*,#209554,.T.); #294209=ORIENTED_EDGE('',*,*,#210058,.T.); #294210=ORIENTED_EDGE('',*,*,#209579,.T.); #294211=ORIENTED_EDGE('',*,*,#210057,.F.); #294212=ORIENTED_EDGE('',*,*,#209553,.T.); #294213=ORIENTED_EDGE('',*,*,#210059,.T.); #294214=ORIENTED_EDGE('',*,*,#209580,.T.); #294215=ORIENTED_EDGE('',*,*,#210058,.F.); #294216=ORIENTED_EDGE('',*,*,#209618,.T.); #294217=ORIENTED_EDGE('',*,*,#209560,.T.); #294218=ORIENTED_EDGE('',*,*,#209827,.T.); #294219=ORIENTED_EDGE('',*,*,#209573,.T.); #294220=ORIENTED_EDGE('',*,*,#209552,.T.); #294221=ORIENTED_EDGE('',*,*,#210048,.T.); #294222=ORIENTED_EDGE('',*,*,#209581,.T.); #294223=ORIENTED_EDGE('',*,*,#210059,.F.); #294224=ORIENTED_EDGE('',*,*,#209717,.T.); #294225=ORIENTED_EDGE('',*,*,#210060,.T.); #294226=ORIENTED_EDGE('',*,*,#209920,.T.); #294227=ORIENTED_EDGE('',*,*,#210061,.F.); #294228=ORIENTED_EDGE('',*,*,#209716,.T.); #294229=ORIENTED_EDGE('',*,*,#210062,.T.); #294230=ORIENTED_EDGE('',*,*,#209921,.T.); #294231=ORIENTED_EDGE('',*,*,#210060,.F.); #294232=ORIENTED_EDGE('',*,*,#209715,.T.); #294233=ORIENTED_EDGE('',*,*,#210063,.T.); #294234=ORIENTED_EDGE('',*,*,#209922,.T.); #294235=ORIENTED_EDGE('',*,*,#210062,.F.); #294236=ORIENTED_EDGE('',*,*,#209714,.T.); #294237=ORIENTED_EDGE('',*,*,#209711,.T.); #294238=ORIENTED_EDGE('',*,*,#209923,.T.); #294239=ORIENTED_EDGE('',*,*,#210063,.F.); #294240=ORIENTED_EDGE('',*,*,#209993,.F.); #294241=ORIENTED_EDGE('',*,*,#210064,.T.); #294242=ORIENTED_EDGE('',*,*,#209658,.T.); #294243=ORIENTED_EDGE('',*,*,#209935,.T.); #294244=ORIENTED_EDGE('',*,*,#210065,.T.); #294245=ORIENTED_EDGE('',*,*,#209942,.F.); #294246=ORIENTED_EDGE('',*,*,#209934,.T.); #294247=ORIENTED_EDGE('',*,*,#210066,.T.); #294248=ORIENTED_EDGE('',*,*,#209943,.F.); #294249=ORIENTED_EDGE('',*,*,#210065,.F.); #294250=ORIENTED_EDGE('',*,*,#209718,.T.); #294251=ORIENTED_EDGE('',*,*,#210061,.T.); #294252=ORIENTED_EDGE('',*,*,#209919,.T.); #294253=ORIENTED_EDGE('',*,*,#210066,.F.); #294254=ORIENTED_EDGE('',*,*,#209518,.T.); #294255=ORIENTED_EDGE('',*,*,#209890,.T.); #294256=ORIENTED_EDGE('',*,*,#210067,.F.); #294257=ORIENTED_EDGE('',*,*,#209747,.T.); #294258=ORIENTED_EDGE('',*,*,#210068,.F.); #294259=ORIENTED_EDGE('',*,*,#209712,.T.); #294260=ORIENTED_EDGE('',*,*,#210067,.T.); #294261=ORIENTED_EDGE('',*,*,#209889,.T.); #294262=ORIENTED_EDGE('',*,*,#210069,.F.); #294263=ORIENTED_EDGE('',*,*,#209820,.T.); #294264=ORIENTED_EDGE('',*,*,#210070,.T.); #294265=ORIENTED_EDGE('',*,*,#209842,.T.); #294266=ORIENTED_EDGE('',*,*,#209946,.F.); #294267=ORIENTED_EDGE('',*,*,#209592,.T.); #294268=ORIENTED_EDGE('',*,*,#210071,.F.); #294269=ORIENTED_EDGE('',*,*,#209541,.T.); #294270=ORIENTED_EDGE('',*,*,#209945,.T.); #294271=ORIENTED_EDGE('',*,*,#209550,.T.); #294272=ORIENTED_EDGE('',*,*,#210072,.T.); #294273=ORIENTED_EDGE('',*,*,#209583,.T.); #294274=ORIENTED_EDGE('',*,*,#210040,.F.); #294275=ORIENTED_EDGE('',*,*,#210073,.F.); #294276=ORIENTED_EDGE('',*,*,#209974,.T.); #294277=ORIENTED_EDGE('',*,*,#209860,.F.); #294278=ORIENTED_EDGE('',*,*,#210074,.T.); #294279=ORIENTED_EDGE('',*,*,#209802,.T.); #294280=ORIENTED_EDGE('',*,*,#209975,.T.); #294281=ORIENTED_EDGE('',*,*,#210073,.T.); #294282=ORIENTED_EDGE('',*,*,#210039,.F.); #294283=ORIENTED_EDGE('',*,*,#209542,.T.); #294284=ORIENTED_EDGE('',*,*,#210071,.T.); #294285=ORIENTED_EDGE('',*,*,#209591,.T.); #294286=ORIENTED_EDGE('',*,*,#210075,.F.); #294287=ORIENTED_EDGE('',*,*,#209543,.T.); #294288=ORIENTED_EDGE('',*,*,#210075,.T.); #294289=ORIENTED_EDGE('',*,*,#209590,.T.); #294290=ORIENTED_EDGE('',*,*,#210076,.F.); #294291=ORIENTED_EDGE('',*,*,#209544,.T.); #294292=ORIENTED_EDGE('',*,*,#210076,.T.); #294293=ORIENTED_EDGE('',*,*,#209589,.T.); #294294=ORIENTED_EDGE('',*,*,#210077,.F.); #294295=ORIENTED_EDGE('',*,*,#209545,.T.); #294296=ORIENTED_EDGE('',*,*,#210077,.T.); #294297=ORIENTED_EDGE('',*,*,#209588,.T.); #294298=ORIENTED_EDGE('',*,*,#210078,.F.); #294299=ORIENTED_EDGE('',*,*,#209546,.T.); #294300=ORIENTED_EDGE('',*,*,#210078,.T.); #294301=ORIENTED_EDGE('',*,*,#209587,.T.); #294302=ORIENTED_EDGE('',*,*,#210079,.F.); #294303=ORIENTED_EDGE('',*,*,#209547,.T.); #294304=ORIENTED_EDGE('',*,*,#210079,.T.); #294305=ORIENTED_EDGE('',*,*,#209586,.T.); #294306=ORIENTED_EDGE('',*,*,#210080,.F.); #294307=ORIENTED_EDGE('',*,*,#209548,.T.); #294308=ORIENTED_EDGE('',*,*,#210080,.T.); #294309=ORIENTED_EDGE('',*,*,#209585,.T.); #294310=ORIENTED_EDGE('',*,*,#210081,.F.); #294311=ORIENTED_EDGE('',*,*,#209549,.T.); #294312=ORIENTED_EDGE('',*,*,#210081,.T.); #294313=ORIENTED_EDGE('',*,*,#209584,.T.); #294314=ORIENTED_EDGE('',*,*,#210072,.F.); #294315=ORIENTED_EDGE('',*,*,#210012,.F.); #294316=ORIENTED_EDGE('',*,*,#210082,.T.); #294317=ORIENTED_EDGE('',*,*,#209680,.F.); #294318=ORIENTED_EDGE('',*,*,#209931,.F.); #294319=ORIENTED_EDGE('',*,*,#209729,.F.); #294320=ORIENTED_EDGE('',*,*,#210083,.T.); #294321=ORIENTED_EDGE('',*,*,#209908,.F.); #294322=ORIENTED_EDGE('',*,*,#209936,.T.); #294323=ORIENTED_EDGE('',*,*,#209933,.F.); #294324=ORIENTED_EDGE('',*,*,#210084,.T.); #294325=ORIENTED_EDGE('',*,*,#209939,.T.); #294326=ORIENTED_EDGE('',*,*,#209917,.F.); #294327=ORIENTED_EDGE('',*,*,#210085,.F.); #294328=ORIENTED_EDGE('',*,*,#209720,.F.); #294329=ORIENTED_EDGE('',*,*,#209698,.F.); #294330=ORIENTED_EDGE('',*,*,#210086,.T.); #294331=ORIENTED_EDGE('',*,*,#209994,.T.); #294332=ORIENTED_EDGE('',*,*,#209940,.T.); #294333=ORIENTED_EDGE('',*,*,#210084,.F.); #294334=ORIENTED_EDGE('',*,*,#209932,.F.); #294335=ORIENTED_EDGE('',*,*,#210087,.T.); #294336=ORIENTED_EDGE('',*,*,#209909,.F.); #294337=ORIENTED_EDGE('',*,*,#210083,.F.); #294338=ORIENTED_EDGE('',*,*,#209728,.F.); #294339=ORIENTED_EDGE('',*,*,#210088,.T.); #294340=ORIENTED_EDGE('',*,*,#209910,.F.); #294341=ORIENTED_EDGE('',*,*,#210087,.F.); #294342=ORIENTED_EDGE('',*,*,#209727,.F.); #294343=ORIENTED_EDGE('',*,*,#210089,.T.); #294344=ORIENTED_EDGE('',*,*,#209911,.F.); #294345=ORIENTED_EDGE('',*,*,#210088,.F.); #294346=ORIENTED_EDGE('',*,*,#209726,.F.); #294347=ORIENTED_EDGE('',*,*,#210090,.T.); #294348=ORIENTED_EDGE('',*,*,#209912,.F.); #294349=ORIENTED_EDGE('',*,*,#210089,.F.); #294350=ORIENTED_EDGE('',*,*,#209725,.F.); #294351=ORIENTED_EDGE('',*,*,#210091,.T.); #294352=ORIENTED_EDGE('',*,*,#209913,.F.); #294353=ORIENTED_EDGE('',*,*,#210090,.F.); #294354=ORIENTED_EDGE('',*,*,#209724,.F.); #294355=ORIENTED_EDGE('',*,*,#210092,.T.); #294356=ORIENTED_EDGE('',*,*,#209914,.F.); #294357=ORIENTED_EDGE('',*,*,#210091,.F.); #294358=ORIENTED_EDGE('',*,*,#209723,.F.); #294359=ORIENTED_EDGE('',*,*,#210093,.T.); #294360=ORIENTED_EDGE('',*,*,#209915,.F.); #294361=ORIENTED_EDGE('',*,*,#210092,.F.); #294362=ORIENTED_EDGE('',*,*,#209722,.F.); #294363=ORIENTED_EDGE('',*,*,#210085,.T.); #294364=ORIENTED_EDGE('',*,*,#209916,.F.); #294365=ORIENTED_EDGE('',*,*,#210093,.F.); #294366=ORIENTED_EDGE('',*,*,#209721,.F.); #294367=ORIENTED_EDGE('',*,*,#209844,.F.); #294368=ORIENTED_EDGE('',*,*,#210094,.T.); #294369=ORIENTED_EDGE('',*,*,#209818,.T.); #294370=ORIENTED_EDGE('',*,*,#210095,.T.); #294371=ORIENTED_EDGE('',*,*,#209845,.F.); #294372=ORIENTED_EDGE('',*,*,#210095,.F.); #294373=ORIENTED_EDGE('',*,*,#209817,.T.); #294374=ORIENTED_EDGE('',*,*,#210096,.T.); #294375=ORIENTED_EDGE('',*,*,#209846,.F.); #294376=ORIENTED_EDGE('',*,*,#210096,.F.); #294377=ORIENTED_EDGE('',*,*,#209816,.T.); #294378=ORIENTED_EDGE('',*,*,#210097,.T.); #294379=ORIENTED_EDGE('',*,*,#209847,.F.); #294380=ORIENTED_EDGE('',*,*,#210097,.F.); #294381=ORIENTED_EDGE('',*,*,#209815,.T.); #294382=ORIENTED_EDGE('',*,*,#210098,.T.); #294383=ORIENTED_EDGE('',*,*,#209848,.F.); #294384=ORIENTED_EDGE('',*,*,#210098,.F.); #294385=ORIENTED_EDGE('',*,*,#209814,.T.); #294386=ORIENTED_EDGE('',*,*,#210099,.T.); #294387=ORIENTED_EDGE('',*,*,#209849,.F.); #294388=ORIENTED_EDGE('',*,*,#210099,.F.); #294389=ORIENTED_EDGE('',*,*,#209813,.T.); #294390=ORIENTED_EDGE('',*,*,#210100,.T.); #294391=ORIENTED_EDGE('',*,*,#209850,.F.); #294392=ORIENTED_EDGE('',*,*,#210100,.F.); #294393=ORIENTED_EDGE('',*,*,#209812,.T.); #294394=ORIENTED_EDGE('',*,*,#210101,.T.); #294395=ORIENTED_EDGE('',*,*,#209851,.F.); #294396=ORIENTED_EDGE('',*,*,#210101,.F.); #294397=ORIENTED_EDGE('',*,*,#209811,.T.); #294398=ORIENTED_EDGE('',*,*,#210102,.T.); #294399=ORIENTED_EDGE('',*,*,#209852,.F.); #294400=ORIENTED_EDGE('',*,*,#210102,.F.); #294401=ORIENTED_EDGE('',*,*,#209810,.T.); #294402=ORIENTED_EDGE('',*,*,#210103,.T.); #294403=ORIENTED_EDGE('',*,*,#209853,.F.); #294404=ORIENTED_EDGE('',*,*,#210103,.F.); #294405=ORIENTED_EDGE('',*,*,#209809,.T.); #294406=ORIENTED_EDGE('',*,*,#210104,.T.); #294407=ORIENTED_EDGE('',*,*,#209854,.F.); #294408=ORIENTED_EDGE('',*,*,#210104,.F.); #294409=ORIENTED_EDGE('',*,*,#209808,.T.); #294410=ORIENTED_EDGE('',*,*,#210105,.T.); #294411=ORIENTED_EDGE('',*,*,#209855,.F.); #294412=ORIENTED_EDGE('',*,*,#210105,.F.); #294413=ORIENTED_EDGE('',*,*,#209807,.T.); #294414=ORIENTED_EDGE('',*,*,#210106,.T.); #294415=ORIENTED_EDGE('',*,*,#209856,.F.); #294416=ORIENTED_EDGE('',*,*,#210106,.F.); #294417=ORIENTED_EDGE('',*,*,#209806,.T.); #294418=ORIENTED_EDGE('',*,*,#210107,.T.); #294419=ORIENTED_EDGE('',*,*,#209857,.F.); #294420=ORIENTED_EDGE('',*,*,#210107,.F.); #294421=ORIENTED_EDGE('',*,*,#209805,.T.); #294422=ORIENTED_EDGE('',*,*,#210108,.T.); #294423=ORIENTED_EDGE('',*,*,#209804,.T.); #294424=ORIENTED_EDGE('',*,*,#210109,.T.); #294425=ORIENTED_EDGE('',*,*,#209858,.F.); #294426=ORIENTED_EDGE('',*,*,#210108,.F.); #294427=ORIENTED_EDGE('',*,*,#209953,.T.); #294428=ORIENTED_EDGE('',*,*,#210038,.T.); #294429=ORIENTED_EDGE('',*,*,#209887,.T.); #294430=ORIENTED_EDGE('',*,*,#210110,.F.); #294431=ORIENTED_EDGE('',*,*,#209783,.F.); #294432=ORIENTED_EDGE('',*,*,#210110,.T.); #294433=ORIENTED_EDGE('',*,*,#209886,.T.); #294434=ORIENTED_EDGE('',*,*,#210111,.F.); #294435=ORIENTED_EDGE('',*,*,#209784,.F.); #294436=ORIENTED_EDGE('',*,*,#210111,.T.); #294437=ORIENTED_EDGE('',*,*,#209885,.T.); #294438=ORIENTED_EDGE('',*,*,#210112,.F.); #294439=ORIENTED_EDGE('',*,*,#209785,.F.); #294440=ORIENTED_EDGE('',*,*,#210112,.T.); #294441=ORIENTED_EDGE('',*,*,#210113,.T.); #294442=ORIENTED_EDGE('',*,*,#210114,.F.); #294443=ORIENTED_EDGE('',*,*,#209786,.F.); #294444=ORIENTED_EDGE('',*,*,#210114,.T.); #294445=ORIENTED_EDGE('',*,*,#210115,.T.); #294446=ORIENTED_EDGE('',*,*,#209875,.T.); #294447=ORIENTED_EDGE('',*,*,#210116,.F.); #294448=ORIENTED_EDGE('',*,*,#209787,.F.); #294449=ORIENTED_EDGE('',*,*,#209874,.T.); #294450=ORIENTED_EDGE('',*,*,#210117,.F.); #294451=ORIENTED_EDGE('',*,*,#209788,.F.); #294452=ORIENTED_EDGE('',*,*,#210116,.T.); #294453=ORIENTED_EDGE('',*,*,#209873,.T.); #294454=ORIENTED_EDGE('',*,*,#210118,.F.); #294455=ORIENTED_EDGE('',*,*,#209789,.F.); #294456=ORIENTED_EDGE('',*,*,#210117,.T.); #294457=ORIENTED_EDGE('',*,*,#209872,.T.); #294458=ORIENTED_EDGE('',*,*,#210119,.F.); #294459=ORIENTED_EDGE('',*,*,#209790,.F.); #294460=ORIENTED_EDGE('',*,*,#210118,.T.); #294461=ORIENTED_EDGE('',*,*,#209871,.T.); #294462=ORIENTED_EDGE('',*,*,#210120,.F.); #294463=ORIENTED_EDGE('',*,*,#209791,.F.); #294464=ORIENTED_EDGE('',*,*,#210119,.T.); #294465=ORIENTED_EDGE('',*,*,#209870,.T.); #294466=ORIENTED_EDGE('',*,*,#210121,.F.); #294467=ORIENTED_EDGE('',*,*,#209792,.F.); #294468=ORIENTED_EDGE('',*,*,#210120,.T.); #294469=ORIENTED_EDGE('',*,*,#209869,.T.); #294470=ORIENTED_EDGE('',*,*,#210122,.F.); #294471=ORIENTED_EDGE('',*,*,#209793,.F.); #294472=ORIENTED_EDGE('',*,*,#210121,.T.); #294473=ORIENTED_EDGE('',*,*,#209868,.T.); #294474=ORIENTED_EDGE('',*,*,#210123,.F.); #294475=ORIENTED_EDGE('',*,*,#209794,.F.); #294476=ORIENTED_EDGE('',*,*,#210122,.T.); #294477=ORIENTED_EDGE('',*,*,#209867,.T.); #294478=ORIENTED_EDGE('',*,*,#210124,.F.); #294479=ORIENTED_EDGE('',*,*,#209795,.F.); #294480=ORIENTED_EDGE('',*,*,#210123,.T.); #294481=ORIENTED_EDGE('',*,*,#209866,.T.); #294482=ORIENTED_EDGE('',*,*,#210125,.F.); #294483=ORIENTED_EDGE('',*,*,#209796,.F.); #294484=ORIENTED_EDGE('',*,*,#210124,.T.); #294485=ORIENTED_EDGE('',*,*,#209865,.T.); #294486=ORIENTED_EDGE('',*,*,#210126,.F.); #294487=ORIENTED_EDGE('',*,*,#209797,.F.); #294488=ORIENTED_EDGE('',*,*,#210125,.T.); #294489=ORIENTED_EDGE('',*,*,#209864,.T.); #294490=ORIENTED_EDGE('',*,*,#210127,.T.); #294491=ORIENTED_EDGE('',*,*,#209798,.F.); #294492=ORIENTED_EDGE('',*,*,#210126,.T.); #294493=ORIENTED_EDGE('',*,*,#209884,.T.); #294494=ORIENTED_EDGE('',*,*,#209876,.F.); #294495=ORIENTED_EDGE('',*,*,#210115,.F.); #294496=ORIENTED_EDGE('',*,*,#210113,.F.); #294497=ORIENTED_EDGE('',*,*,#210049,.F.); #294498=ORIENTED_EDGE('',*,*,#209799,.F.); #294499=ORIENTED_EDGE('',*,*,#210127,.F.); #294500=ORIENTED_EDGE('',*,*,#209863,.F.); #294501=ORIENTED_EDGE('',*,*,#210074,.F.); #294502=ORIENTED_EDGE('',*,*,#209859,.F.); #294503=ORIENTED_EDGE('',*,*,#210109,.F.); #294504=ORIENTED_EDGE('',*,*,#209803,.F.); #294505=ORIENTED_EDGE('',*,*,#210070,.F.); #294506=ORIENTED_EDGE('',*,*,#209819,.F.); #294507=ORIENTED_EDGE('',*,*,#210094,.F.); #294508=ORIENTED_EDGE('',*,*,#209843,.F.); #294509=ORIENTED_EDGE('',*,*,#209621,.F.); #294510=ORIENTED_EDGE('',*,*,#209967,.F.); #294511=ORIENTED_EDGE('',*,*,#210128,.F.); #294512=ORIENTED_EDGE('',*,*,#209539,.F.); #294513=ORIENTED_EDGE('',*,*,#210128,.T.); #294514=ORIENTED_EDGE('',*,*,#209966,.F.); #294515=ORIENTED_EDGE('',*,*,#210129,.F.); #294516=ORIENTED_EDGE('',*,*,#209944,.F.); #294517=ORIENTED_EDGE('',*,*,#210129,.T.); #294518=ORIENTED_EDGE('',*,*,#209965,.F.); #294519=ORIENTED_EDGE('',*,*,#210130,.F.); #294520=ORIENTED_EDGE('',*,*,#209780,.F.); #294521=ORIENTED_EDGE('',*,*,#210130,.T.); #294522=ORIENTED_EDGE('',*,*,#209964,.F.); #294523=ORIENTED_EDGE('',*,*,#210131,.F.); #294524=ORIENTED_EDGE('',*,*,#209973,.F.); #294525=ORIENTED_EDGE('',*,*,#210131,.T.); #294526=ORIENTED_EDGE('',*,*,#209963,.F.); #294527=ORIENTED_EDGE('',*,*,#210132,.F.); #294528=ORIENTED_EDGE('',*,*,#209639,.F.); #294529=ORIENTED_EDGE('',*,*,#210132,.T.); #294530=ORIENTED_EDGE('',*,*,#209962,.F.); #294531=ORIENTED_EDGE('',*,*,#210133,.F.); #294532=ORIENTED_EDGE('',*,*,#209972,.F.); #294533=ORIENTED_EDGE('',*,*,#210133,.T.); #294534=ORIENTED_EDGE('',*,*,#209961,.F.); #294535=ORIENTED_EDGE('',*,*,#210134,.F.); #294536=ORIENTED_EDGE('',*,*,#209678,.F.); #294537=ORIENTED_EDGE('',*,*,#210134,.T.); #294538=ORIENTED_EDGE('',*,*,#209960,.F.); #294539=ORIENTED_EDGE('',*,*,#210135,.F.); #294540=ORIENTED_EDGE('',*,*,#209930,.F.); #294541=ORIENTED_EDGE('',*,*,#209951,.F.); #294542=ORIENTED_EDGE('',*,*,#209731,.F.); #294543=ORIENTED_EDGE('',*,*,#210135,.T.); #294544=ORIENTED_EDGE('',*,*,#209959,.F.); #294545=ORIENTED_EDGE('',*,*,#209644,.F.); #294546=ORIENTED_EDGE('',*,*,#210136,.T.); #294547=ORIENTED_EDGE('',*,*,#210027,.T.); #294548=ORIENTED_EDGE('',*,*,#210137,.F.); #294549=ORIENTED_EDGE('',*,*,#210026,.T.); #294550=ORIENTED_EDGE('',*,*,#209836,.F.); #294551=ORIENTED_EDGE('',*,*,#209645,.F.); #294552=ORIENTED_EDGE('',*,*,#210137,.T.); #294553=ORIENTED_EDGE('',*,*,#209648,.F.); #294554=ORIENTED_EDGE('',*,*,#210138,.T.); #294555=ORIENTED_EDGE('',*,*,#210030,.T.); #294556=ORIENTED_EDGE('',*,*,#210139,.F.); #294557=ORIENTED_EDGE('',*,*,#210029,.T.); #294558=ORIENTED_EDGE('',*,*,#209833,.F.); #294559=ORIENTED_EDGE('',*,*,#209649,.F.); #294560=ORIENTED_EDGE('',*,*,#210139,.T.); #294561=ORIENTED_EDGE('',*,*,#210140,.T.); #294562=ORIENTED_EDGE('',*,*,#210141,.T.); #294563=ORIENTED_EDGE('',*,*,#210142,.T.); #294564=ORIENTED_EDGE('',*,*,#210143,.T.); #294565=ORIENTED_EDGE('',*,*,#210144,.T.); #294566=ORIENTED_EDGE('',*,*,#210145,.T.); #294567=ORIENTED_EDGE('',*,*,#210146,.T.); #294568=ORIENTED_EDGE('',*,*,#210138,.F.); #294569=ORIENTED_EDGE('',*,*,#210147,.F.); #294570=ORIENTED_EDGE('',*,*,#210148,.F.); #294571=ORIENTED_EDGE('',*,*,#210149,.F.); #294572=ORIENTED_EDGE('',*,*,#210150,.F.); #294573=ORIENTED_EDGE('',*,*,#210151,.F.); #294574=ORIENTED_EDGE('',*,*,#210152,.F.); #294575=ORIENTED_EDGE('',*,*,#210153,.F.); #294576=ORIENTED_EDGE('',*,*,#209832,.T.); #294577=ORIENTED_EDGE('',*,*,#210154,.T.); #294578=ORIENTED_EDGE('',*,*,#210155,.T.); #294579=ORIENTED_EDGE('',*,*,#210156,.T.); #294580=ORIENTED_EDGE('',*,*,#210157,.T.); #294581=ORIENTED_EDGE('',*,*,#210158,.T.); #294582=ORIENTED_EDGE('',*,*,#210159,.T.); #294583=ORIENTED_EDGE('',*,*,#209835,.T.); #294584=ORIENTED_EDGE('',*,*,#210160,.F.); #294585=ORIENTED_EDGE('',*,*,#210161,.F.); #294586=ORIENTED_EDGE('',*,*,#210162,.F.); #294587=ORIENTED_EDGE('',*,*,#210163,.F.); #294588=ORIENTED_EDGE('',*,*,#210164,.F.); #294589=ORIENTED_EDGE('',*,*,#210165,.F.); #294590=ORIENTED_EDGE('',*,*,#210166,.F.); #294591=ORIENTED_EDGE('',*,*,#210136,.F.); #294592=ORIENTED_EDGE('',*,*,#210167,.T.); #294593=ORIENTED_EDGE('',*,*,#210166,.T.); #294594=ORIENTED_EDGE('',*,*,#210168,.F.); #294595=ORIENTED_EDGE('',*,*,#210140,.F.); #294596=ORIENTED_EDGE('',*,*,#210028,.T.); #294597=ORIENTED_EDGE('',*,*,#210165,.T.); #294598=ORIENTED_EDGE('',*,*,#210169,.F.); #294599=ORIENTED_EDGE('',*,*,#210141,.F.); #294600=ORIENTED_EDGE('',*,*,#210168,.T.); #294601=ORIENTED_EDGE('',*,*,#210164,.T.); #294602=ORIENTED_EDGE('',*,*,#210170,.F.); #294603=ORIENTED_EDGE('',*,*,#210142,.F.); #294604=ORIENTED_EDGE('',*,*,#210169,.T.); #294605=ORIENTED_EDGE('',*,*,#210163,.T.); #294606=ORIENTED_EDGE('',*,*,#210171,.F.); #294607=ORIENTED_EDGE('',*,*,#210143,.F.); #294608=ORIENTED_EDGE('',*,*,#210170,.T.); #294609=ORIENTED_EDGE('',*,*,#210162,.T.); #294610=ORIENTED_EDGE('',*,*,#210172,.F.); #294611=ORIENTED_EDGE('',*,*,#210144,.F.); #294612=ORIENTED_EDGE('',*,*,#210171,.T.); #294613=ORIENTED_EDGE('',*,*,#210161,.T.); #294614=ORIENTED_EDGE('',*,*,#210173,.F.); #294615=ORIENTED_EDGE('',*,*,#210145,.F.); #294616=ORIENTED_EDGE('',*,*,#210172,.T.); #294617=ORIENTED_EDGE('',*,*,#210160,.T.); #294618=ORIENTED_EDGE('',*,*,#210031,.F.); #294619=ORIENTED_EDGE('',*,*,#210146,.F.); #294620=ORIENTED_EDGE('',*,*,#210173,.T.); #294621=ORIENTED_EDGE('',*,*,#210167,.F.); #294622=ORIENTED_EDGE('',*,*,#209643,.F.); #294623=ORIENTED_EDGE('',*,*,#210153,.T.); #294624=ORIENTED_EDGE('',*,*,#210174,.T.); #294625=ORIENTED_EDGE('',*,*,#210154,.F.); #294626=ORIENTED_EDGE('',*,*,#210174,.F.); #294627=ORIENTED_EDGE('',*,*,#210152,.T.); #294628=ORIENTED_EDGE('',*,*,#210175,.T.); #294629=ORIENTED_EDGE('',*,*,#210155,.F.); #294630=ORIENTED_EDGE('',*,*,#210175,.F.); #294631=ORIENTED_EDGE('',*,*,#210151,.T.); #294632=ORIENTED_EDGE('',*,*,#210176,.T.); #294633=ORIENTED_EDGE('',*,*,#210156,.F.); #294634=ORIENTED_EDGE('',*,*,#210176,.F.); #294635=ORIENTED_EDGE('',*,*,#210150,.T.); #294636=ORIENTED_EDGE('',*,*,#210177,.T.); #294637=ORIENTED_EDGE('',*,*,#210157,.F.); #294638=ORIENTED_EDGE('',*,*,#210177,.F.); #294639=ORIENTED_EDGE('',*,*,#210149,.T.); #294640=ORIENTED_EDGE('',*,*,#210178,.T.); #294641=ORIENTED_EDGE('',*,*,#210158,.F.); #294642=ORIENTED_EDGE('',*,*,#210178,.F.); #294643=ORIENTED_EDGE('',*,*,#210148,.T.); #294644=ORIENTED_EDGE('',*,*,#210179,.T.); #294645=ORIENTED_EDGE('',*,*,#210159,.F.); #294646=ORIENTED_EDGE('',*,*,#210179,.F.); #294647=ORIENTED_EDGE('',*,*,#210147,.T.); #294648=ORIENTED_EDGE('',*,*,#209647,.T.); #294649=ORIENTED_EDGE('',*,*,#209610,.T.); #294650=ORIENTED_EDGE('',*,*,#209829,.F.); #294651=ORIENTED_EDGE('',*,*,#209562,.F.); #294652=ORIENTED_EDGE('',*,*,#210180,.T.); #294653=ORIENTED_EDGE('',*,*,#209565,.F.); #294654=ORIENTED_EDGE('',*,*,#210181,.T.); #294655=ORIENTED_EDGE('',*,*,#209615,.T.); #294656=ORIENTED_EDGE('',*,*,#210182,.F.); #294657=ORIENTED_EDGE('',*,*,#209614,.T.); #294658=ORIENTED_EDGE('',*,*,#209831,.F.); #294659=ORIENTED_EDGE('',*,*,#209566,.F.); #294660=ORIENTED_EDGE('',*,*,#210182,.T.); #294661=ORIENTED_EDGE('',*,*,#209569,.F.); #294662=ORIENTED_EDGE('',*,*,#210183,.T.); #294663=ORIENTED_EDGE('',*,*,#209611,.T.); #294664=ORIENTED_EDGE('',*,*,#210180,.F.); #294665=ORIENTED_EDGE('',*,*,#210184,.T.); #294666=ORIENTED_EDGE('',*,*,#210185,.T.); #294667=ORIENTED_EDGE('',*,*,#210186,.T.); #294668=ORIENTED_EDGE('',*,*,#210187,.T.); #294669=ORIENTED_EDGE('',*,*,#210188,.T.); #294670=ORIENTED_EDGE('',*,*,#210189,.T.); #294671=ORIENTED_EDGE('',*,*,#210190,.T.); #294672=ORIENTED_EDGE('',*,*,#210181,.F.); #294673=ORIENTED_EDGE('',*,*,#210191,.F.); #294674=ORIENTED_EDGE('',*,*,#210192,.F.); #294675=ORIENTED_EDGE('',*,*,#210193,.F.); #294676=ORIENTED_EDGE('',*,*,#210194,.F.); #294677=ORIENTED_EDGE('',*,*,#210195,.F.); #294678=ORIENTED_EDGE('',*,*,#210196,.F.); #294679=ORIENTED_EDGE('',*,*,#210197,.F.); #294680=ORIENTED_EDGE('',*,*,#209830,.T.); #294681=ORIENTED_EDGE('',*,*,#210198,.T.); #294682=ORIENTED_EDGE('',*,*,#210199,.T.); #294683=ORIENTED_EDGE('',*,*,#210200,.T.); #294684=ORIENTED_EDGE('',*,*,#210201,.T.); #294685=ORIENTED_EDGE('',*,*,#210202,.T.); #294686=ORIENTED_EDGE('',*,*,#210203,.T.); #294687=ORIENTED_EDGE('',*,*,#209828,.T.); #294688=ORIENTED_EDGE('',*,*,#210204,.F.); #294689=ORIENTED_EDGE('',*,*,#210205,.F.); #294690=ORIENTED_EDGE('',*,*,#210206,.F.); #294691=ORIENTED_EDGE('',*,*,#210207,.F.); #294692=ORIENTED_EDGE('',*,*,#210208,.F.); #294693=ORIENTED_EDGE('',*,*,#210209,.F.); #294694=ORIENTED_EDGE('',*,*,#210210,.F.); #294695=ORIENTED_EDGE('',*,*,#210183,.F.); #294696=ORIENTED_EDGE('',*,*,#210211,.T.); #294697=ORIENTED_EDGE('',*,*,#210210,.T.); #294698=ORIENTED_EDGE('',*,*,#210212,.F.); #294699=ORIENTED_EDGE('',*,*,#210184,.F.); #294700=ORIENTED_EDGE('',*,*,#209612,.T.); #294701=ORIENTED_EDGE('',*,*,#210209,.T.); #294702=ORIENTED_EDGE('',*,*,#210213,.F.); #294703=ORIENTED_EDGE('',*,*,#210185,.F.); #294704=ORIENTED_EDGE('',*,*,#210212,.T.); #294705=ORIENTED_EDGE('',*,*,#210208,.T.); #294706=ORIENTED_EDGE('',*,*,#210214,.F.); #294707=ORIENTED_EDGE('',*,*,#210186,.F.); #294708=ORIENTED_EDGE('',*,*,#210213,.T.); #294709=ORIENTED_EDGE('',*,*,#210207,.T.); #294710=ORIENTED_EDGE('',*,*,#210215,.F.); #294711=ORIENTED_EDGE('',*,*,#210187,.F.); #294712=ORIENTED_EDGE('',*,*,#210214,.T.); #294713=ORIENTED_EDGE('',*,*,#210206,.T.); #294714=ORIENTED_EDGE('',*,*,#210216,.F.); #294715=ORIENTED_EDGE('',*,*,#210188,.F.); #294716=ORIENTED_EDGE('',*,*,#210215,.T.); #294717=ORIENTED_EDGE('',*,*,#210205,.T.); #294718=ORIENTED_EDGE('',*,*,#210217,.F.); #294719=ORIENTED_EDGE('',*,*,#210189,.F.); #294720=ORIENTED_EDGE('',*,*,#210216,.T.); #294721=ORIENTED_EDGE('',*,*,#210204,.T.); #294722=ORIENTED_EDGE('',*,*,#209616,.F.); #294723=ORIENTED_EDGE('',*,*,#210190,.F.); #294724=ORIENTED_EDGE('',*,*,#210217,.T.); #294725=ORIENTED_EDGE('',*,*,#210211,.F.); #294726=ORIENTED_EDGE('',*,*,#209568,.F.); #294727=ORIENTED_EDGE('',*,*,#210197,.T.); #294728=ORIENTED_EDGE('',*,*,#210218,.T.); #294729=ORIENTED_EDGE('',*,*,#210198,.F.); #294730=ORIENTED_EDGE('',*,*,#210218,.F.); #294731=ORIENTED_EDGE('',*,*,#210196,.T.); #294732=ORIENTED_EDGE('',*,*,#210219,.T.); #294733=ORIENTED_EDGE('',*,*,#210199,.F.); #294734=ORIENTED_EDGE('',*,*,#210219,.F.); #294735=ORIENTED_EDGE('',*,*,#210195,.T.); #294736=ORIENTED_EDGE('',*,*,#210220,.T.); #294737=ORIENTED_EDGE('',*,*,#210200,.F.); #294738=ORIENTED_EDGE('',*,*,#210220,.F.); #294739=ORIENTED_EDGE('',*,*,#210194,.T.); #294740=ORIENTED_EDGE('',*,*,#210221,.T.); #294741=ORIENTED_EDGE('',*,*,#210201,.F.); #294742=ORIENTED_EDGE('',*,*,#210221,.F.); #294743=ORIENTED_EDGE('',*,*,#210193,.T.); #294744=ORIENTED_EDGE('',*,*,#210222,.T.); #294745=ORIENTED_EDGE('',*,*,#210202,.F.); #294746=ORIENTED_EDGE('',*,*,#210222,.F.); #294747=ORIENTED_EDGE('',*,*,#210192,.T.); #294748=ORIENTED_EDGE('',*,*,#210223,.T.); #294749=ORIENTED_EDGE('',*,*,#210203,.F.); #294750=ORIENTED_EDGE('',*,*,#210223,.F.); #294751=ORIENTED_EDGE('',*,*,#210191,.T.); #294752=ORIENTED_EDGE('',*,*,#209564,.T.); #294753=ORIENTED_EDGE('',*,*,#210224,.T.); #294754=ORIENTED_EDGE('',*,*,#210225,.T.); #294755=ORIENTED_EDGE('',*,*,#210226,.T.); #294756=ORIENTED_EDGE('',*,*,#210227,.F.); #294757=ORIENTED_EDGE('',*,*,#210228,.T.); #294758=ORIENTED_EDGE('',*,*,#210227,.T.); #294759=ORIENTED_EDGE('',*,*,#210229,.T.); #294760=ORIENTED_EDGE('',*,*,#210230,.F.); #294761=ORIENTED_EDGE('',*,*,#210231,.T.); #294762=ORIENTED_EDGE('',*,*,#210230,.T.); #294763=ORIENTED_EDGE('',*,*,#210232,.T.); #294764=ORIENTED_EDGE('',*,*,#210233,.F.); #294765=ORIENTED_EDGE('',*,*,#210234,.T.); #294766=ORIENTED_EDGE('',*,*,#210052,.T.); #294767=ORIENTED_EDGE('',*,*,#210235,.T.); #294768=ORIENTED_EDGE('',*,*,#210225,.F.); #294769=ORIENTED_EDGE('',*,*,#210051,.F.); #294770=ORIENTED_EDGE('',*,*,#210236,.T.); #294771=ORIENTED_EDGE('',*,*,#210237,.F.); #294772=ORIENTED_EDGE('',*,*,#210232,.F.); #294773=ORIENTED_EDGE('',*,*,#210229,.F.); #294774=ORIENTED_EDGE('',*,*,#210226,.F.); #294775=ORIENTED_EDGE('',*,*,#210235,.F.); #294776=ORIENTED_EDGE('',*,*,#210238,.F.); #294777=ORIENTED_EDGE('',*,*,#210239,.T.); #294778=ORIENTED_EDGE('',*,*,#210233,.T.); #294779=ORIENTED_EDGE('',*,*,#210237,.T.); #294780=ORIENTED_EDGE('',*,*,#210240,.F.); #294781=ORIENTED_EDGE('',*,*,#210241,.F.); #294782=ORIENTED_EDGE('',*,*,#210053,.F.); #294783=ORIENTED_EDGE('',*,*,#210234,.F.); #294784=ORIENTED_EDGE('',*,*,#210224,.F.); #294785=ORIENTED_EDGE('',*,*,#210228,.F.); #294786=ORIENTED_EDGE('',*,*,#210231,.F.); #294787=ORIENTED_EDGE('',*,*,#210239,.F.); #294788=ORIENTED_EDGE('',*,*,#209825,.F.); #294789=ORIENTED_EDGE('',*,*,#210242,.F.); #294790=ORIENTED_EDGE('',*,*,#210238,.T.); #294791=ORIENTED_EDGE('',*,*,#210240,.T.); #294792=ORIENTED_EDGE('',*,*,#210243,.T.); #294793=ORIENTED_EDGE('',*,*,#209826,.F.); #294794=ORIENTED_EDGE('',*,*,#210243,.F.); #294795=ORIENTED_EDGE('',*,*,#210236,.F.); #294796=ORIENTED_EDGE('',*,*,#210050,.F.); #294797=ORIENTED_EDGE('',*,*,#209575,.T.); #294798=ORIENTED_EDGE('',*,*,#210242,.T.); #294799=ORIENTED_EDGE('',*,*,#209558,.F.); #294800=ORIENTED_EDGE('',*,*,#210054,.T.); #294801=ORIENTED_EDGE('',*,*,#210241,.T.); #294802=ORIENTED_EDGE('',*,*,#210244,.T.); #294803=ORIENTED_EDGE('',*,*,#210245,.T.); #294804=ORIENTED_EDGE('',*,*,#209879,.F.); #294805=ORIENTED_EDGE('',*,*,#210246,.T.); #294806=ORIENTED_EDGE('',*,*,#210247,.T.); #294807=ORIENTED_EDGE('',*,*,#210248,.T.); #294808=ORIENTED_EDGE('',*,*,#210244,.F.); #294809=ORIENTED_EDGE('',*,*,#210249,.T.); #294810=ORIENTED_EDGE('',*,*,#209877,.T.); #294811=ORIENTED_EDGE('',*,*,#210250,.T.); #294812=ORIENTED_EDGE('',*,*,#210247,.F.); #294813=ORIENTED_EDGE('',*,*,#210251,.T.); #294814=ORIENTED_EDGE('',*,*,#209878,.F.); #294815=ORIENTED_EDGE('',*,*,#210251,.F.); #294816=ORIENTED_EDGE('',*,*,#210249,.F.); #294817=ORIENTED_EDGE('',*,*,#210246,.F.); #294818=ORIENTED_EDGE('',*,*,#210250,.F.); #294819=ORIENTED_EDGE('',*,*,#209882,.F.); #294820=ORIENTED_EDGE('',*,*,#210252,.F.); #294821=ORIENTED_EDGE('',*,*,#210252,.T.); #294822=ORIENTED_EDGE('',*,*,#209881,.T.); #294823=ORIENTED_EDGE('',*,*,#210253,.F.); #294824=ORIENTED_EDGE('',*,*,#210248,.F.); #294825=ORIENTED_EDGE('',*,*,#209880,.F.); #294826=ORIENTED_EDGE('',*,*,#210245,.F.); #294827=ORIENTED_EDGE('',*,*,#210253,.T.); #294828=ORIENTED_EDGE('',*,*,#210254,.F.); #294829=ORIENTED_EDGE('',*,*,#210255,.F.); #294830=ORIENTED_EDGE('',*,*,#210256,.T.); #294831=ORIENTED_EDGE('',*,*,#209821,.T.); #294832=ORIENTED_EDGE('',*,*,#210257,.F.); #294833=ORIENTED_EDGE('',*,*,#209823,.F.); #294834=ORIENTED_EDGE('',*,*,#210258,.T.); #294835=ORIENTED_EDGE('',*,*,#210259,.T.); #294836=ORIENTED_EDGE('',*,*,#210260,.F.); #294837=ORIENTED_EDGE('',*,*,#210259,.F.); #294838=ORIENTED_EDGE('',*,*,#210261,.T.); #294839=ORIENTED_EDGE('',*,*,#210255,.T.); #294840=ORIENTED_EDGE('',*,*,#210256,.F.); #294841=ORIENTED_EDGE('',*,*,#210261,.F.); #294842=ORIENTED_EDGE('',*,*,#210258,.F.); #294843=ORIENTED_EDGE('',*,*,#209822,.F.); #294844=ORIENTED_EDGE('',*,*,#210254,.T.); #294845=ORIENTED_EDGE('',*,*,#209824,.T.); #294846=ORIENTED_EDGE('',*,*,#210257,.T.); #294847=ORIENTED_EDGE('',*,*,#210260,.T.); #294848=ORIENTED_EDGE('',*,*,#209682,.T.); #294849=ORIENTED_EDGE('',*,*,#210262,.T.); #294850=ORIENTED_EDGE('',*,*,#210010,.F.); #294851=ORIENTED_EDGE('',*,*,#210263,.F.); #294852=ORIENTED_EDGE('',*,*,#209996,.F.); #294853=ORIENTED_EDGE('',*,*,#210264,.T.); #294854=ORIENTED_EDGE('',*,*,#209696,.T.); #294855=ORIENTED_EDGE('',*,*,#210265,.T.); #294856=ORIENTED_EDGE('',*,*,#209997,.F.); #294857=ORIENTED_EDGE('',*,*,#210265,.F.); #294858=ORIENTED_EDGE('',*,*,#209695,.T.); #294859=ORIENTED_EDGE('',*,*,#210266,.T.); #294860=ORIENTED_EDGE('',*,*,#209998,.F.); #294861=ORIENTED_EDGE('',*,*,#210266,.F.); #294862=ORIENTED_EDGE('',*,*,#209694,.T.); #294863=ORIENTED_EDGE('',*,*,#210267,.T.); #294864=ORIENTED_EDGE('',*,*,#209999,.F.); #294865=ORIENTED_EDGE('',*,*,#210267,.F.); #294866=ORIENTED_EDGE('',*,*,#209693,.T.); #294867=ORIENTED_EDGE('',*,*,#210268,.T.); #294868=ORIENTED_EDGE('',*,*,#210000,.F.); #294869=ORIENTED_EDGE('',*,*,#210268,.F.); #294870=ORIENTED_EDGE('',*,*,#209692,.T.); #294871=ORIENTED_EDGE('',*,*,#210269,.T.); #294872=ORIENTED_EDGE('',*,*,#210001,.F.); #294873=ORIENTED_EDGE('',*,*,#210269,.F.); #294874=ORIENTED_EDGE('',*,*,#209691,.T.); #294875=ORIENTED_EDGE('',*,*,#210270,.T.); #294876=ORIENTED_EDGE('',*,*,#210002,.F.); #294877=ORIENTED_EDGE('',*,*,#210270,.F.); #294878=ORIENTED_EDGE('',*,*,#209690,.T.); #294879=ORIENTED_EDGE('',*,*,#210271,.T.); #294880=ORIENTED_EDGE('',*,*,#210003,.F.); #294881=ORIENTED_EDGE('',*,*,#210271,.F.); #294882=ORIENTED_EDGE('',*,*,#209689,.T.); #294883=ORIENTED_EDGE('',*,*,#210272,.T.); #294884=ORIENTED_EDGE('',*,*,#210004,.F.); #294885=ORIENTED_EDGE('',*,*,#210272,.F.); #294886=ORIENTED_EDGE('',*,*,#209688,.T.); #294887=ORIENTED_EDGE('',*,*,#210273,.T.); #294888=ORIENTED_EDGE('',*,*,#210005,.F.); #294889=ORIENTED_EDGE('',*,*,#210273,.F.); #294890=ORIENTED_EDGE('',*,*,#209687,.T.); #294891=ORIENTED_EDGE('',*,*,#210274,.T.); #294892=ORIENTED_EDGE('',*,*,#210006,.F.); #294893=ORIENTED_EDGE('',*,*,#210274,.F.); #294894=ORIENTED_EDGE('',*,*,#209686,.T.); #294895=ORIENTED_EDGE('',*,*,#210275,.T.); #294896=ORIENTED_EDGE('',*,*,#210007,.F.); #294897=ORIENTED_EDGE('',*,*,#210275,.F.); #294898=ORIENTED_EDGE('',*,*,#209685,.T.); #294899=ORIENTED_EDGE('',*,*,#210276,.T.); #294900=ORIENTED_EDGE('',*,*,#210008,.F.); #294901=ORIENTED_EDGE('',*,*,#210276,.F.); #294902=ORIENTED_EDGE('',*,*,#209684,.T.); #294903=ORIENTED_EDGE('',*,*,#210277,.T.); #294904=ORIENTED_EDGE('',*,*,#210009,.F.); #294905=ORIENTED_EDGE('',*,*,#210277,.F.); #294906=ORIENTED_EDGE('',*,*,#209683,.T.); #294907=ORIENTED_EDGE('',*,*,#210263,.T.); #294908=ORIENTED_EDGE('',*,*,#209660,.F.); #294909=ORIENTED_EDGE('',*,*,#210278,.T.); #294910=ORIENTED_EDGE('',*,*,#209991,.T.); #294911=ORIENTED_EDGE('',*,*,#210279,.F.); #294912=ORIENTED_EDGE('',*,*,#209990,.T.); #294913=ORIENTED_EDGE('',*,*,#210280,.F.); #294914=ORIENTED_EDGE('',*,*,#209661,.F.); #294915=ORIENTED_EDGE('',*,*,#210279,.T.); #294916=ORIENTED_EDGE('',*,*,#209989,.T.); #294917=ORIENTED_EDGE('',*,*,#210281,.F.); #294918=ORIENTED_EDGE('',*,*,#209662,.F.); #294919=ORIENTED_EDGE('',*,*,#210280,.T.); #294920=ORIENTED_EDGE('',*,*,#209988,.T.); #294921=ORIENTED_EDGE('',*,*,#210282,.F.); #294922=ORIENTED_EDGE('',*,*,#209663,.F.); #294923=ORIENTED_EDGE('',*,*,#210281,.T.); #294924=ORIENTED_EDGE('',*,*,#209987,.T.); #294925=ORIENTED_EDGE('',*,*,#210283,.F.); #294926=ORIENTED_EDGE('',*,*,#209664,.F.); #294927=ORIENTED_EDGE('',*,*,#210282,.T.); #294928=ORIENTED_EDGE('',*,*,#209986,.T.); #294929=ORIENTED_EDGE('',*,*,#210284,.F.); #294930=ORIENTED_EDGE('',*,*,#209665,.F.); #294931=ORIENTED_EDGE('',*,*,#210283,.T.); #294932=ORIENTED_EDGE('',*,*,#209985,.T.); #294933=ORIENTED_EDGE('',*,*,#210285,.F.); #294934=ORIENTED_EDGE('',*,*,#209666,.F.); #294935=ORIENTED_EDGE('',*,*,#210284,.T.); #294936=ORIENTED_EDGE('',*,*,#209984,.T.); #294937=ORIENTED_EDGE('',*,*,#210286,.F.); #294938=ORIENTED_EDGE('',*,*,#209667,.F.); #294939=ORIENTED_EDGE('',*,*,#210285,.T.); #294940=ORIENTED_EDGE('',*,*,#209983,.T.); #294941=ORIENTED_EDGE('',*,*,#210287,.F.); #294942=ORIENTED_EDGE('',*,*,#209668,.F.); #294943=ORIENTED_EDGE('',*,*,#210286,.T.); #294944=ORIENTED_EDGE('',*,*,#209982,.T.); #294945=ORIENTED_EDGE('',*,*,#210288,.F.); #294946=ORIENTED_EDGE('',*,*,#209669,.F.); #294947=ORIENTED_EDGE('',*,*,#210287,.T.); #294948=ORIENTED_EDGE('',*,*,#209981,.T.); #294949=ORIENTED_EDGE('',*,*,#210289,.F.); #294950=ORIENTED_EDGE('',*,*,#209670,.F.); #294951=ORIENTED_EDGE('',*,*,#210288,.T.); #294952=ORIENTED_EDGE('',*,*,#209980,.T.); #294953=ORIENTED_EDGE('',*,*,#210290,.T.); #294954=ORIENTED_EDGE('',*,*,#210291,.F.); #294955=ORIENTED_EDGE('',*,*,#209671,.F.); #294956=ORIENTED_EDGE('',*,*,#210289,.T.); #294957=ORIENTED_EDGE('',*,*,#210291,.T.); #294958=ORIENTED_EDGE('',*,*,#210292,.T.); #294959=ORIENTED_EDGE('',*,*,#210293,.F.); #294960=ORIENTED_EDGE('',*,*,#209672,.F.); #294961=ORIENTED_EDGE('',*,*,#210293,.T.); #294962=ORIENTED_EDGE('',*,*,#209771,.T.); #294963=ORIENTED_EDGE('',*,*,#210294,.F.); #294964=ORIENTED_EDGE('',*,*,#209673,.F.); #294965=ORIENTED_EDGE('',*,*,#210294,.T.); #294966=ORIENTED_EDGE('',*,*,#209770,.T.); #294967=ORIENTED_EDGE('',*,*,#210295,.F.); #294968=ORIENTED_EDGE('',*,*,#209674,.F.); #294969=ORIENTED_EDGE('',*,*,#209769,.T.); #294970=ORIENTED_EDGE('',*,*,#210020,.T.); #294971=ORIENTED_EDGE('',*,*,#209774,.F.); #294972=ORIENTED_EDGE('',*,*,#209675,.F.); #294973=ORIENTED_EDGE('',*,*,#210295,.T.); #294974=ORIENTED_EDGE('',*,*,#210290,.F.); #294975=ORIENTED_EDGE('',*,*,#209979,.F.); #294976=ORIENTED_EDGE('',*,*,#209772,.T.); #294977=ORIENTED_EDGE('',*,*,#210292,.F.); #294978=ORIENTED_EDGE('',*,*,#210064,.F.); #294979=ORIENTED_EDGE('',*,*,#209992,.F.); #294980=ORIENTED_EDGE('',*,*,#210278,.F.); #294981=ORIENTED_EDGE('',*,*,#209659,.F.); #294982=ORIENTED_EDGE('',*,*,#210086,.F.); #294983=ORIENTED_EDGE('',*,*,#209697,.F.); #294984=ORIENTED_EDGE('',*,*,#210264,.F.); #294985=ORIENTED_EDGE('',*,*,#209995,.F.); #294986=ORIENTED_EDGE('',*,*,#210082,.F.); #294987=ORIENTED_EDGE('',*,*,#210011,.F.); #294988=ORIENTED_EDGE('',*,*,#210262,.F.); #294989=ORIENTED_EDGE('',*,*,#209681,.F.); #294990=ORIENTED_EDGE('',*,*,#210032,.T.); #294991=ORIENTED_EDGE('',*,*,#209763,.F.); #294992=ORIENTED_EDGE('',*,*,#209650,.F.); #294993=ORIENTED_EDGE('',*,*,#210296,.T.); #294994=ORIENTED_EDGE('',*,*,#209653,.F.); #294995=ORIENTED_EDGE('',*,*,#210297,.T.); #294996=ORIENTED_EDGE('',*,*,#210036,.T.); #294997=ORIENTED_EDGE('',*,*,#210298,.F.); #294998=ORIENTED_EDGE('',*,*,#210035,.T.); #294999=ORIENTED_EDGE('',*,*,#209766,.F.); #295000=ORIENTED_EDGE('',*,*,#209654,.F.); #295001=ORIENTED_EDGE('',*,*,#210298,.T.); #295002=ORIENTED_EDGE('',*,*,#209657,.F.); #295003=ORIENTED_EDGE('',*,*,#210299,.T.); #295004=ORIENTED_EDGE('',*,*,#210033,.T.); #295005=ORIENTED_EDGE('',*,*,#210296,.F.); #295006=ORIENTED_EDGE('',*,*,#210300,.T.); #295007=ORIENTED_EDGE('',*,*,#210301,.T.); #295008=ORIENTED_EDGE('',*,*,#210302,.T.); #295009=ORIENTED_EDGE('',*,*,#210303,.T.); #295010=ORIENTED_EDGE('',*,*,#210304,.T.); #295011=ORIENTED_EDGE('',*,*,#210305,.T.); #295012=ORIENTED_EDGE('',*,*,#210306,.T.); #295013=ORIENTED_EDGE('',*,*,#210297,.F.); #295014=ORIENTED_EDGE('',*,*,#210307,.F.); #295015=ORIENTED_EDGE('',*,*,#210308,.F.); #295016=ORIENTED_EDGE('',*,*,#210309,.F.); #295017=ORIENTED_EDGE('',*,*,#210310,.F.); #295018=ORIENTED_EDGE('',*,*,#210311,.F.); #295019=ORIENTED_EDGE('',*,*,#210312,.F.); #295020=ORIENTED_EDGE('',*,*,#210313,.F.); #295021=ORIENTED_EDGE('',*,*,#209765,.T.); #295022=ORIENTED_EDGE('',*,*,#210314,.T.); #295023=ORIENTED_EDGE('',*,*,#210315,.T.); #295024=ORIENTED_EDGE('',*,*,#210316,.T.); #295025=ORIENTED_EDGE('',*,*,#210317,.T.); #295026=ORIENTED_EDGE('',*,*,#210318,.T.); #295027=ORIENTED_EDGE('',*,*,#210319,.T.); #295028=ORIENTED_EDGE('',*,*,#209762,.T.); #295029=ORIENTED_EDGE('',*,*,#210320,.F.); #295030=ORIENTED_EDGE('',*,*,#210321,.F.); #295031=ORIENTED_EDGE('',*,*,#210322,.F.); #295032=ORIENTED_EDGE('',*,*,#210323,.F.); #295033=ORIENTED_EDGE('',*,*,#210324,.F.); #295034=ORIENTED_EDGE('',*,*,#210325,.F.); #295035=ORIENTED_EDGE('',*,*,#210326,.F.); #295036=ORIENTED_EDGE('',*,*,#210299,.F.); #295037=ORIENTED_EDGE('',*,*,#210327,.T.); #295038=ORIENTED_EDGE('',*,*,#210326,.T.); #295039=ORIENTED_EDGE('',*,*,#210328,.F.); #295040=ORIENTED_EDGE('',*,*,#210300,.F.); #295041=ORIENTED_EDGE('',*,*,#210034,.T.); #295042=ORIENTED_EDGE('',*,*,#210325,.T.); #295043=ORIENTED_EDGE('',*,*,#210329,.F.); #295044=ORIENTED_EDGE('',*,*,#210301,.F.); #295045=ORIENTED_EDGE('',*,*,#210328,.T.); #295046=ORIENTED_EDGE('',*,*,#210324,.T.); #295047=ORIENTED_EDGE('',*,*,#210330,.F.); #295048=ORIENTED_EDGE('',*,*,#210302,.F.); #295049=ORIENTED_EDGE('',*,*,#210329,.T.); #295050=ORIENTED_EDGE('',*,*,#210323,.T.); #295051=ORIENTED_EDGE('',*,*,#210331,.F.); #295052=ORIENTED_EDGE('',*,*,#210303,.F.); #295053=ORIENTED_EDGE('',*,*,#210330,.T.); #295054=ORIENTED_EDGE('',*,*,#210322,.T.); #295055=ORIENTED_EDGE('',*,*,#210332,.F.); #295056=ORIENTED_EDGE('',*,*,#210304,.F.); #295057=ORIENTED_EDGE('',*,*,#210331,.T.); #295058=ORIENTED_EDGE('',*,*,#210321,.T.); #295059=ORIENTED_EDGE('',*,*,#210333,.F.); #295060=ORIENTED_EDGE('',*,*,#210305,.F.); #295061=ORIENTED_EDGE('',*,*,#210332,.T.); #295062=ORIENTED_EDGE('',*,*,#210320,.T.); #295063=ORIENTED_EDGE('',*,*,#210037,.F.); #295064=ORIENTED_EDGE('',*,*,#210306,.F.); #295065=ORIENTED_EDGE('',*,*,#210333,.T.); #295066=ORIENTED_EDGE('',*,*,#210327,.F.); #295067=ORIENTED_EDGE('',*,*,#209656,.F.); #295068=ORIENTED_EDGE('',*,*,#210313,.T.); #295069=ORIENTED_EDGE('',*,*,#210334,.T.); #295070=ORIENTED_EDGE('',*,*,#210314,.F.); #295071=ORIENTED_EDGE('',*,*,#210334,.F.); #295072=ORIENTED_EDGE('',*,*,#210312,.T.); #295073=ORIENTED_EDGE('',*,*,#210335,.T.); #295074=ORIENTED_EDGE('',*,*,#210315,.F.); #295075=ORIENTED_EDGE('',*,*,#210335,.F.); #295076=ORIENTED_EDGE('',*,*,#210311,.T.); #295077=ORIENTED_EDGE('',*,*,#210336,.T.); #295078=ORIENTED_EDGE('',*,*,#210316,.F.); #295079=ORIENTED_EDGE('',*,*,#210336,.F.); #295080=ORIENTED_EDGE('',*,*,#210310,.T.); #295081=ORIENTED_EDGE('',*,*,#210337,.T.); #295082=ORIENTED_EDGE('',*,*,#210317,.F.); #295083=ORIENTED_EDGE('',*,*,#210337,.F.); #295084=ORIENTED_EDGE('',*,*,#210309,.T.); #295085=ORIENTED_EDGE('',*,*,#210338,.T.); #295086=ORIENTED_EDGE('',*,*,#210318,.F.); #295087=ORIENTED_EDGE('',*,*,#210338,.F.); #295088=ORIENTED_EDGE('',*,*,#210308,.T.); #295089=ORIENTED_EDGE('',*,*,#210339,.T.); #295090=ORIENTED_EDGE('',*,*,#210319,.F.); #295091=ORIENTED_EDGE('',*,*,#210339,.F.); #295092=ORIENTED_EDGE('',*,*,#210307,.T.); #295093=ORIENTED_EDGE('',*,*,#209652,.T.); #295094=ORIENTED_EDGE('',*,*,#209750,.F.); #295095=ORIENTED_EDGE('',*,*,#210340,.T.); #295096=ORIENTED_EDGE('',*,*,#209925,.T.); #295097=ORIENTED_EDGE('',*,*,#210341,.F.); #295098=ORIENTED_EDGE('',*,*,#209924,.T.); #295099=ORIENTED_EDGE('',*,*,#209760,.F.); #295100=ORIENTED_EDGE('',*,*,#209751,.F.); #295101=ORIENTED_EDGE('',*,*,#210341,.T.); #295102=ORIENTED_EDGE('',*,*,#209754,.F.); #295103=ORIENTED_EDGE('',*,*,#210342,.T.); #295104=ORIENTED_EDGE('',*,*,#209928,.T.); #295105=ORIENTED_EDGE('',*,*,#210343,.F.); #295106=ORIENTED_EDGE('',*,*,#209927,.T.); #295107=ORIENTED_EDGE('',*,*,#209757,.F.); #295108=ORIENTED_EDGE('',*,*,#209755,.F.); #295109=ORIENTED_EDGE('',*,*,#210343,.T.); #295110=ORIENTED_EDGE('',*,*,#210344,.T.); #295111=ORIENTED_EDGE('',*,*,#210345,.T.); #295112=ORIENTED_EDGE('',*,*,#210346,.T.); #295113=ORIENTED_EDGE('',*,*,#210347,.T.); #295114=ORIENTED_EDGE('',*,*,#210348,.T.); #295115=ORIENTED_EDGE('',*,*,#210349,.T.); #295116=ORIENTED_EDGE('',*,*,#210350,.T.); #295117=ORIENTED_EDGE('',*,*,#210342,.F.); #295118=ORIENTED_EDGE('',*,*,#210351,.F.); #295119=ORIENTED_EDGE('',*,*,#210352,.F.); #295120=ORIENTED_EDGE('',*,*,#210353,.F.); #295121=ORIENTED_EDGE('',*,*,#210354,.F.); #295122=ORIENTED_EDGE('',*,*,#210355,.F.); #295123=ORIENTED_EDGE('',*,*,#210356,.F.); #295124=ORIENTED_EDGE('',*,*,#210357,.F.); #295125=ORIENTED_EDGE('',*,*,#209756,.T.); #295126=ORIENTED_EDGE('',*,*,#210358,.T.); #295127=ORIENTED_EDGE('',*,*,#210359,.T.); #295128=ORIENTED_EDGE('',*,*,#210360,.T.); #295129=ORIENTED_EDGE('',*,*,#210361,.T.); #295130=ORIENTED_EDGE('',*,*,#210362,.T.); #295131=ORIENTED_EDGE('',*,*,#210363,.T.); #295132=ORIENTED_EDGE('',*,*,#209759,.T.); #295133=ORIENTED_EDGE('',*,*,#210364,.F.); #295134=ORIENTED_EDGE('',*,*,#210365,.F.); #295135=ORIENTED_EDGE('',*,*,#210366,.F.); #295136=ORIENTED_EDGE('',*,*,#210367,.F.); #295137=ORIENTED_EDGE('',*,*,#210368,.F.); #295138=ORIENTED_EDGE('',*,*,#210369,.F.); #295139=ORIENTED_EDGE('',*,*,#210370,.F.); #295140=ORIENTED_EDGE('',*,*,#210340,.F.); #295141=ORIENTED_EDGE('',*,*,#210371,.T.); #295142=ORIENTED_EDGE('',*,*,#210370,.T.); #295143=ORIENTED_EDGE('',*,*,#210372,.F.); #295144=ORIENTED_EDGE('',*,*,#210344,.F.); #295145=ORIENTED_EDGE('',*,*,#209926,.T.); #295146=ORIENTED_EDGE('',*,*,#210369,.T.); #295147=ORIENTED_EDGE('',*,*,#210373,.F.); #295148=ORIENTED_EDGE('',*,*,#210345,.F.); #295149=ORIENTED_EDGE('',*,*,#210372,.T.); #295150=ORIENTED_EDGE('',*,*,#210368,.T.); #295151=ORIENTED_EDGE('',*,*,#210374,.F.); #295152=ORIENTED_EDGE('',*,*,#210346,.F.); #295153=ORIENTED_EDGE('',*,*,#210373,.T.); #295154=ORIENTED_EDGE('',*,*,#210367,.T.); #295155=ORIENTED_EDGE('',*,*,#210375,.F.); #295156=ORIENTED_EDGE('',*,*,#210347,.F.); #295157=ORIENTED_EDGE('',*,*,#210374,.T.); #295158=ORIENTED_EDGE('',*,*,#210366,.T.); #295159=ORIENTED_EDGE('',*,*,#210376,.F.); #295160=ORIENTED_EDGE('',*,*,#210348,.F.); #295161=ORIENTED_EDGE('',*,*,#210375,.T.); #295162=ORIENTED_EDGE('',*,*,#210365,.T.); #295163=ORIENTED_EDGE('',*,*,#210377,.F.); #295164=ORIENTED_EDGE('',*,*,#210349,.F.); #295165=ORIENTED_EDGE('',*,*,#210376,.T.); #295166=ORIENTED_EDGE('',*,*,#210364,.T.); #295167=ORIENTED_EDGE('',*,*,#209929,.F.); #295168=ORIENTED_EDGE('',*,*,#210350,.F.); #295169=ORIENTED_EDGE('',*,*,#210377,.T.); #295170=ORIENTED_EDGE('',*,*,#210371,.F.); #295171=ORIENTED_EDGE('',*,*,#209749,.F.); #295172=ORIENTED_EDGE('',*,*,#210357,.T.); #295173=ORIENTED_EDGE('',*,*,#210378,.T.); #295174=ORIENTED_EDGE('',*,*,#210358,.F.); #295175=ORIENTED_EDGE('',*,*,#210378,.F.); #295176=ORIENTED_EDGE('',*,*,#210356,.T.); #295177=ORIENTED_EDGE('',*,*,#210379,.T.); #295178=ORIENTED_EDGE('',*,*,#210359,.F.); #295179=ORIENTED_EDGE('',*,*,#210379,.F.); #295180=ORIENTED_EDGE('',*,*,#210355,.T.); #295181=ORIENTED_EDGE('',*,*,#210380,.T.); #295182=ORIENTED_EDGE('',*,*,#210360,.F.); #295183=ORIENTED_EDGE('',*,*,#210380,.F.); #295184=ORIENTED_EDGE('',*,*,#210354,.T.); #295185=ORIENTED_EDGE('',*,*,#210381,.T.); #295186=ORIENTED_EDGE('',*,*,#210361,.F.); #295187=ORIENTED_EDGE('',*,*,#210381,.F.); #295188=ORIENTED_EDGE('',*,*,#210353,.T.); #295189=ORIENTED_EDGE('',*,*,#210382,.T.); #295190=ORIENTED_EDGE('',*,*,#210362,.F.); #295191=ORIENTED_EDGE('',*,*,#210382,.F.); #295192=ORIENTED_EDGE('',*,*,#210352,.T.); #295193=ORIENTED_EDGE('',*,*,#210383,.T.); #295194=ORIENTED_EDGE('',*,*,#210363,.F.); #295195=ORIENTED_EDGE('',*,*,#210383,.F.); #295196=ORIENTED_EDGE('',*,*,#210351,.T.); #295197=ORIENTED_EDGE('',*,*,#209753,.T.); #295198=ORIENTED_EDGE('',*,*,#210384,.T.); #295199=ORIENTED_EDGE('',*,*,#210385,.T.); #295200=ORIENTED_EDGE('',*,*,#210386,.T.); #295201=ORIENTED_EDGE('',*,*,#210387,.F.); #295202=ORIENTED_EDGE('',*,*,#210388,.T.); #295203=ORIENTED_EDGE('',*,*,#210387,.T.); #295204=ORIENTED_EDGE('',*,*,#210389,.T.); #295205=ORIENTED_EDGE('',*,*,#210390,.F.); #295206=ORIENTED_EDGE('',*,*,#210391,.T.); #295207=ORIENTED_EDGE('',*,*,#210390,.T.); #295208=ORIENTED_EDGE('',*,*,#210392,.T.); #295209=ORIENTED_EDGE('',*,*,#209707,.F.); #295210=ORIENTED_EDGE('',*,*,#210393,.T.); #295211=ORIENTED_EDGE('',*,*,#210394,.T.); #295212=ORIENTED_EDGE('',*,*,#210385,.F.); #295213=ORIENTED_EDGE('',*,*,#210395,.T.); #295214=ORIENTED_EDGE('',*,*,#210396,.T.); #295215=ORIENTED_EDGE('',*,*,#210397,.T.); #295216=ORIENTED_EDGE('',*,*,#210398,.T.); #295217=ORIENTED_EDGE('',*,*,#210399,.T.); #295218=ORIENTED_EDGE('',*,*,#210393,.F.); #295219=ORIENTED_EDGE('',*,*,#210399,.F.); #295220=ORIENTED_EDGE('',*,*,#210400,.T.); #295221=ORIENTED_EDGE('',*,*,#209708,.F.); #295222=ORIENTED_EDGE('',*,*,#210392,.F.); #295223=ORIENTED_EDGE('',*,*,#210389,.F.); #295224=ORIENTED_EDGE('',*,*,#210386,.F.); #295225=ORIENTED_EDGE('',*,*,#210394,.F.); #295226=ORIENTED_EDGE('',*,*,#210401,.F.); #295227=ORIENTED_EDGE('',*,*,#210396,.F.); #295228=ORIENTED_EDGE('',*,*,#210395,.F.); #295229=ORIENTED_EDGE('',*,*,#210384,.F.); #295230=ORIENTED_EDGE('',*,*,#210388,.F.); #295231=ORIENTED_EDGE('',*,*,#210391,.F.); #295232=ORIENTED_EDGE('',*,*,#209706,.F.); #295233=ORIENTED_EDGE('',*,*,#210397,.F.); #295234=ORIENTED_EDGE('',*,*,#210402,.F.); #295235=ORIENTED_EDGE('',*,*,#210068,.T.); #295236=ORIENTED_EDGE('',*,*,#210069,.T.); #295237=ORIENTED_EDGE('',*,*,#210403,.T.); #295238=ORIENTED_EDGE('',*,*,#210398,.F.); #295239=ORIENTED_EDGE('',*,*,#209709,.F.); #295240=ORIENTED_EDGE('',*,*,#210400,.F.); #295241=ORIENTED_EDGE('',*,*,#210403,.F.); #295242=ORIENTED_EDGE('',*,*,#209888,.T.); #295243=ORIENTED_EDGE('',*,*,#209705,.T.); #295244=ORIENTED_EDGE('',*,*,#209713,.F.); #295245=ORIENTED_EDGE('',*,*,#210402,.T.); #295246=ORIENTED_EDGE('',*,*,#210401,.T.); #295247=ORIENTED_EDGE('',*,*,#210013,.T.); #295248=ORIENTED_EDGE('',*,*,#210404,.T.); #295249=ORIENTED_EDGE('',*,*,#210405,.F.); #295250=ORIENTED_EDGE('',*,*,#210406,.T.); #295251=ORIENTED_EDGE('',*,*,#210407,.T.); #295252=ORIENTED_EDGE('',*,*,#210408,.T.); #295253=ORIENTED_EDGE('',*,*,#210015,.F.); #295254=ORIENTED_EDGE('',*,*,#210409,.T.); #295255=ORIENTED_EDGE('',*,*,#210405,.T.); #295256=ORIENTED_EDGE('',*,*,#210410,.T.); #295257=ORIENTED_EDGE('',*,*,#210407,.F.); #295258=ORIENTED_EDGE('',*,*,#210411,.T.); #295259=ORIENTED_EDGE('',*,*,#210406,.F.); #295260=ORIENTED_EDGE('',*,*,#210411,.F.); #295261=ORIENTED_EDGE('',*,*,#210409,.F.); #295262=ORIENTED_EDGE('',*,*,#210014,.F.); #295263=ORIENTED_EDGE('',*,*,#210016,.F.); #295264=ORIENTED_EDGE('',*,*,#210408,.F.); #295265=ORIENTED_EDGE('',*,*,#210412,.F.); #295266=ORIENTED_EDGE('',*,*,#210412,.T.); #295267=ORIENTED_EDGE('',*,*,#210410,.F.); #295268=ORIENTED_EDGE('',*,*,#210413,.F.); #295269=ORIENTED_EDGE('',*,*,#210017,.T.); #295270=ORIENTED_EDGE('',*,*,#210413,.T.); #295271=ORIENTED_EDGE('',*,*,#210404,.F.); #295272=ORIENTED_EDGE('',*,*,#210018,.F.); #295273=ORIENTED_EDGE('',*,*,#210414,.F.); #295274=ORIENTED_EDGE('',*,*,#209702,.F.); #295275=ORIENTED_EDGE('',*,*,#210415,.T.); #295276=ORIENTED_EDGE('',*,*,#210416,.T.); #295277=ORIENTED_EDGE('',*,*,#210417,.F.); #295278=ORIENTED_EDGE('',*,*,#210416,.F.); #295279=ORIENTED_EDGE('',*,*,#210418,.T.); #295280=ORIENTED_EDGE('',*,*,#210419,.T.); #295281=ORIENTED_EDGE('',*,*,#210420,.F.); #295282=ORIENTED_EDGE('',*,*,#210419,.F.); #295283=ORIENTED_EDGE('',*,*,#210421,.T.); #295284=ORIENTED_EDGE('',*,*,#209700,.T.); #295285=ORIENTED_EDGE('',*,*,#209701,.F.); #295286=ORIENTED_EDGE('',*,*,#210421,.F.); #295287=ORIENTED_EDGE('',*,*,#210418,.F.); #295288=ORIENTED_EDGE('',*,*,#210415,.F.); #295289=ORIENTED_EDGE('',*,*,#209703,.T.); #295290=ORIENTED_EDGE('',*,*,#210414,.T.); #295291=ORIENTED_EDGE('',*,*,#210417,.T.); #295292=ORIENTED_EDGE('',*,*,#210420,.T.); #295293=ORIENTED_EDGE('',*,*,#210422,.F.); #295294=ORIENTED_EDGE('',*,*,#210423,.T.); #295295=ORIENTED_EDGE('',*,*,#210424,.T.); #295296=ORIENTED_EDGE('',*,*,#210425,.F.); #295297=ORIENTED_EDGE('',*,*,#210424,.F.); #295298=ORIENTED_EDGE('',*,*,#210426,.T.); #295299=ORIENTED_EDGE('',*,*,#210427,.T.); #295300=ORIENTED_EDGE('',*,*,#210428,.F.); #295301=ORIENTED_EDGE('',*,*,#210429,.F.); #295302=ORIENTED_EDGE('',*,*,#210430,.F.); #295303=ORIENTED_EDGE('',*,*,#210431,.T.); #295304=ORIENTED_EDGE('',*,*,#210432,.T.); #295305=ORIENTED_EDGE('',*,*,#210433,.F.); #295306=ORIENTED_EDGE('',*,*,#210432,.F.); #295307=ORIENTED_EDGE('',*,*,#210434,.T.); #295308=ORIENTED_EDGE('',*,*,#210435,.T.); #295309=ORIENTED_EDGE('',*,*,#210436,.F.); #295310=ORIENTED_EDGE('',*,*,#210435,.F.); #295311=ORIENTED_EDGE('',*,*,#210437,.T.); #295312=ORIENTED_EDGE('',*,*,#210438,.T.); #295313=ORIENTED_EDGE('',*,*,#210439,.F.); #295314=ORIENTED_EDGE('',*,*,#210438,.F.); #295315=ORIENTED_EDGE('',*,*,#210440,.T.); #295316=ORIENTED_EDGE('',*,*,#210441,.T.); #295317=ORIENTED_EDGE('',*,*,#210442,.F.); #295318=ORIENTED_EDGE('',*,*,#210441,.F.); #295319=ORIENTED_EDGE('',*,*,#210443,.T.); #295320=ORIENTED_EDGE('',*,*,#210444,.T.); #295321=ORIENTED_EDGE('',*,*,#210445,.F.); #295322=ORIENTED_EDGE('',*,*,#210444,.F.); #295323=ORIENTED_EDGE('',*,*,#210446,.T.); #295324=ORIENTED_EDGE('',*,*,#210447,.T.); #295325=ORIENTED_EDGE('',*,*,#210448,.F.); #295326=ORIENTED_EDGE('',*,*,#210447,.F.); #295327=ORIENTED_EDGE('',*,*,#210449,.T.); #295328=ORIENTED_EDGE('',*,*,#210450,.T.); #295329=ORIENTED_EDGE('',*,*,#210451,.F.); #295330=ORIENTED_EDGE('',*,*,#210450,.F.); #295331=ORIENTED_EDGE('',*,*,#210452,.T.); #295332=ORIENTED_EDGE('',*,*,#210453,.T.); #295333=ORIENTED_EDGE('',*,*,#210454,.F.); #295334=ORIENTED_EDGE('',*,*,#210453,.F.); #295335=ORIENTED_EDGE('',*,*,#210455,.T.); #295336=ORIENTED_EDGE('',*,*,#210456,.T.); #295337=ORIENTED_EDGE('',*,*,#210457,.F.); #295338=ORIENTED_EDGE('',*,*,#210456,.F.); #295339=ORIENTED_EDGE('',*,*,#210458,.T.); #295340=ORIENTED_EDGE('',*,*,#210459,.T.); #295341=ORIENTED_EDGE('',*,*,#210460,.F.); #295342=ORIENTED_EDGE('',*,*,#210459,.F.); #295343=ORIENTED_EDGE('',*,*,#210461,.T.); #295344=ORIENTED_EDGE('',*,*,#210462,.T.); #295345=ORIENTED_EDGE('',*,*,#210463,.F.); #295346=ORIENTED_EDGE('',*,*,#210462,.F.); #295347=ORIENTED_EDGE('',*,*,#210464,.T.); #295348=ORIENTED_EDGE('',*,*,#210430,.T.); #295349=ORIENTED_EDGE('',*,*,#210465,.F.); #295350=ORIENTED_EDGE('',*,*,#210466,.F.); #295351=ORIENTED_EDGE('',*,*,#210467,.T.); #295352=ORIENTED_EDGE('',*,*,#210468,.T.); #295353=ORIENTED_EDGE('',*,*,#210469,.F.); #295354=ORIENTED_EDGE('',*,*,#210470,.F.); #295355=ORIENTED_EDGE('',*,*,#210471,.T.); #295356=ORIENTED_EDGE('',*,*,#210466,.T.); #295357=ORIENTED_EDGE('',*,*,#210472,.F.); #295358=ORIENTED_EDGE('',*,*,#210473,.F.); #295359=ORIENTED_EDGE('',*,*,#210474,.T.); #295360=ORIENTED_EDGE('',*,*,#210470,.T.); #295361=ORIENTED_EDGE('',*,*,#210475,.F.); #295362=ORIENTED_EDGE('',*,*,#210476,.F.); #295363=ORIENTED_EDGE('',*,*,#210477,.T.); #295364=ORIENTED_EDGE('',*,*,#210473,.T.); #295365=ORIENTED_EDGE('',*,*,#210478,.F.); #295366=ORIENTED_EDGE('',*,*,#210479,.F.); #295367=ORIENTED_EDGE('',*,*,#210480,.T.); #295368=ORIENTED_EDGE('',*,*,#210476,.T.); #295369=ORIENTED_EDGE('',*,*,#210481,.F.); #295370=ORIENTED_EDGE('',*,*,#210482,.F.); #295371=ORIENTED_EDGE('',*,*,#210483,.T.); #295372=ORIENTED_EDGE('',*,*,#210479,.T.); #295373=ORIENTED_EDGE('',*,*,#210484,.F.); #295374=ORIENTED_EDGE('',*,*,#210485,.F.); #295375=ORIENTED_EDGE('',*,*,#210486,.T.); #295376=ORIENTED_EDGE('',*,*,#210482,.T.); #295377=ORIENTED_EDGE('',*,*,#210487,.F.); #295378=ORIENTED_EDGE('',*,*,#210488,.F.); #295379=ORIENTED_EDGE('',*,*,#210489,.T.); #295380=ORIENTED_EDGE('',*,*,#210485,.T.); #295381=ORIENTED_EDGE('',*,*,#210490,.F.); #295382=ORIENTED_EDGE('',*,*,#210491,.F.); #295383=ORIENTED_EDGE('',*,*,#210492,.T.); #295384=ORIENTED_EDGE('',*,*,#210488,.T.); #295385=ORIENTED_EDGE('',*,*,#210493,.F.); #295386=ORIENTED_EDGE('',*,*,#210494,.F.); #295387=ORIENTED_EDGE('',*,*,#210495,.T.); #295388=ORIENTED_EDGE('',*,*,#210491,.T.); #295389=ORIENTED_EDGE('',*,*,#210496,.F.); #295390=ORIENTED_EDGE('',*,*,#210497,.F.); #295391=ORIENTED_EDGE('',*,*,#210498,.T.); #295392=ORIENTED_EDGE('',*,*,#210494,.T.); #295393=ORIENTED_EDGE('',*,*,#210499,.F.); #295394=ORIENTED_EDGE('',*,*,#210468,.F.); #295395=ORIENTED_EDGE('',*,*,#210500,.T.); #295396=ORIENTED_EDGE('',*,*,#210497,.T.); #295397=ORIENTED_EDGE('',*,*,#210501,.F.); #295398=ORIENTED_EDGE('',*,*,#210502,.T.); #295399=ORIENTED_EDGE('',*,*,#210503,.T.); #295400=ORIENTED_EDGE('',*,*,#210504,.F.); #295401=ORIENTED_EDGE('',*,*,#210505,.F.); #295402=ORIENTED_EDGE('',*,*,#210506,.T.); #295403=ORIENTED_EDGE('',*,*,#210501,.T.); #295404=ORIENTED_EDGE('',*,*,#210507,.F.); #295405=ORIENTED_EDGE('',*,*,#210508,.F.); #295406=ORIENTED_EDGE('',*,*,#210509,.T.); #295407=ORIENTED_EDGE('',*,*,#210510,.T.); #295408=ORIENTED_EDGE('',*,*,#210511,.T.); #295409=ORIENTED_EDGE('',*,*,#210512,.F.); #295410=ORIENTED_EDGE('',*,*,#210513,.F.); #295411=ORIENTED_EDGE('',*,*,#210514,.T.); #295412=ORIENTED_EDGE('',*,*,#210508,.T.); #295413=ORIENTED_EDGE('',*,*,#210515,.F.); #295414=ORIENTED_EDGE('',*,*,#210427,.F.); #295415=ORIENTED_EDGE('',*,*,#210516,.T.); #295416=ORIENTED_EDGE('',*,*,#210517,.T.); #295417=ORIENTED_EDGE('',*,*,#210518,.T.); #295418=ORIENTED_EDGE('',*,*,#210513,.T.); #295419=ORIENTED_EDGE('',*,*,#210519,.F.); #295420=ORIENTED_EDGE('',*,*,#210520,.F.); #295421=ORIENTED_EDGE('',*,*,#210520,.T.); #295422=ORIENTED_EDGE('',*,*,#210521,.T.); #295423=ORIENTED_EDGE('',*,*,#210522,.T.); #295424=ORIENTED_EDGE('',*,*,#210523,.T.); #295425=ORIENTED_EDGE('',*,*,#210425,.T.); #295426=ORIENTED_EDGE('',*,*,#210428,.T.); #295427=ORIENTED_EDGE('',*,*,#210460,.T.); #295428=ORIENTED_EDGE('',*,*,#210463,.T.); #295429=ORIENTED_EDGE('',*,*,#210429,.T.); #295430=ORIENTED_EDGE('',*,*,#210433,.T.); #295431=ORIENTED_EDGE('',*,*,#210436,.T.); #295432=ORIENTED_EDGE('',*,*,#210439,.T.); #295433=ORIENTED_EDGE('',*,*,#210442,.T.); #295434=ORIENTED_EDGE('',*,*,#210445,.T.); #295435=ORIENTED_EDGE('',*,*,#210448,.T.); #295436=ORIENTED_EDGE('',*,*,#210451,.T.); #295437=ORIENTED_EDGE('',*,*,#210454,.T.); #295438=ORIENTED_EDGE('',*,*,#210457,.T.); #295439=ORIENTED_EDGE('',*,*,#210524,.F.); #295440=ORIENTED_EDGE('',*,*,#210525,.T.); #295441=ORIENTED_EDGE('',*,*,#210422,.T.); #295442=ORIENTED_EDGE('',*,*,#210523,.F.); #295443=ORIENTED_EDGE('',*,*,#210526,.F.); #295444=ORIENTED_EDGE('',*,*,#210527,.F.); #295445=ORIENTED_EDGE('',*,*,#210516,.F.); #295446=ORIENTED_EDGE('',*,*,#210426,.F.); #295447=ORIENTED_EDGE('',*,*,#210423,.F.); #295448=ORIENTED_EDGE('',*,*,#210525,.F.); #295449=ORIENTED_EDGE('',*,*,#210517,.F.); #295450=ORIENTED_EDGE('',*,*,#210527,.T.); #295451=ORIENTED_EDGE('',*,*,#210528,.F.); #295452=ORIENTED_EDGE('',*,*,#210529,.F.); #295453=ORIENTED_EDGE('',*,*,#210464,.F.); #295454=ORIENTED_EDGE('',*,*,#210461,.F.); #295455=ORIENTED_EDGE('',*,*,#210458,.F.); #295456=ORIENTED_EDGE('',*,*,#210455,.F.); #295457=ORIENTED_EDGE('',*,*,#210452,.F.); #295458=ORIENTED_EDGE('',*,*,#210449,.F.); #295459=ORIENTED_EDGE('',*,*,#210446,.F.); #295460=ORIENTED_EDGE('',*,*,#210443,.F.); #295461=ORIENTED_EDGE('',*,*,#210440,.F.); #295462=ORIENTED_EDGE('',*,*,#210437,.F.); #295463=ORIENTED_EDGE('',*,*,#210434,.F.); #295464=ORIENTED_EDGE('',*,*,#210431,.F.); #295465=ORIENTED_EDGE('',*,*,#210530,.F.); #295466=ORIENTED_EDGE('',*,*,#210531,.T.); #295467=ORIENTED_EDGE('',*,*,#210532,.F.); #295468=ORIENTED_EDGE('',*,*,#210533,.F.); #295469=ORIENTED_EDGE('',*,*,#210498,.F.); #295470=ORIENTED_EDGE('',*,*,#210500,.F.); #295471=ORIENTED_EDGE('',*,*,#210467,.F.); #295472=ORIENTED_EDGE('',*,*,#210471,.F.); #295473=ORIENTED_EDGE('',*,*,#210474,.F.); #295474=ORIENTED_EDGE('',*,*,#210477,.F.); #295475=ORIENTED_EDGE('',*,*,#210480,.F.); #295476=ORIENTED_EDGE('',*,*,#210483,.F.); #295477=ORIENTED_EDGE('',*,*,#210486,.F.); #295478=ORIENTED_EDGE('',*,*,#210489,.F.); #295479=ORIENTED_EDGE('',*,*,#210492,.F.); #295480=ORIENTED_EDGE('',*,*,#210495,.F.); #295481=ORIENTED_EDGE('',*,*,#210534,.F.); #295482=ORIENTED_EDGE('',*,*,#210531,.F.); #295483=ORIENTED_EDGE('',*,*,#210535,.F.); #295484=ORIENTED_EDGE('',*,*,#210536,.F.); #295485=ORIENTED_EDGE('',*,*,#210502,.F.); #295486=ORIENTED_EDGE('',*,*,#210506,.F.); #295487=ORIENTED_EDGE('',*,*,#210503,.F.); #295488=ORIENTED_EDGE('',*,*,#210536,.T.); #295489=ORIENTED_EDGE('',*,*,#210537,.T.); #295490=ORIENTED_EDGE('',*,*,#210538,.F.); #295491=ORIENTED_EDGE('',*,*,#210539,.F.); #295492=ORIENTED_EDGE('',*,*,#210540,.T.); #295493=ORIENTED_EDGE('',*,*,#210541,.T.); #295494=ORIENTED_EDGE('',*,*,#210542,.F.); #295495=ORIENTED_EDGE('',*,*,#210543,.T.); #295496=ORIENTED_EDGE('',*,*,#210544,.T.); #295497=ORIENTED_EDGE('',*,*,#210545,.T.); #295498=ORIENTED_EDGE('',*,*,#210507,.T.); #295499=ORIENTED_EDGE('',*,*,#210504,.T.); #295500=ORIENTED_EDGE('',*,*,#210538,.T.); #295501=ORIENTED_EDGE('',*,*,#210499,.T.); #295502=ORIENTED_EDGE('',*,*,#210496,.T.); #295503=ORIENTED_EDGE('',*,*,#210493,.T.); #295504=ORIENTED_EDGE('',*,*,#210490,.T.); #295505=ORIENTED_EDGE('',*,*,#210487,.T.); #295506=ORIENTED_EDGE('',*,*,#210484,.T.); #295507=ORIENTED_EDGE('',*,*,#210481,.T.); #295508=ORIENTED_EDGE('',*,*,#210478,.T.); #295509=ORIENTED_EDGE('',*,*,#210475,.T.); #295510=ORIENTED_EDGE('',*,*,#210472,.T.); #295511=ORIENTED_EDGE('',*,*,#210469,.T.); #295512=ORIENTED_EDGE('',*,*,#210465,.T.); #295513=ORIENTED_EDGE('',*,*,#210532,.T.); #295514=ORIENTED_EDGE('',*,*,#210534,.T.); #295515=ORIENTED_EDGE('',*,*,#210505,.T.); #295516=ORIENTED_EDGE('',*,*,#210545,.F.); #295517=ORIENTED_EDGE('',*,*,#210546,.F.); #295518=ORIENTED_EDGE('',*,*,#210541,.F.); #295519=ORIENTED_EDGE('',*,*,#210547,.T.); #295520=ORIENTED_EDGE('',*,*,#210548,.F.); #295521=ORIENTED_EDGE('',*,*,#210549,.T.); #295522=ORIENTED_EDGE('',*,*,#210539,.T.); #295523=ORIENTED_EDGE('',*,*,#210550,.F.); #295524=ORIENTED_EDGE('',*,*,#210551,.F.); #295525=ORIENTED_EDGE('',*,*,#210547,.F.); #295526=ORIENTED_EDGE('',*,*,#210540,.F.); #295527=ORIENTED_EDGE('',*,*,#210549,.F.); #295528=ORIENTED_EDGE('',*,*,#210552,.F.); #295529=ORIENTED_EDGE('',*,*,#210553,.F.); #295530=ORIENTED_EDGE('',*,*,#210533,.T.); #295531=ORIENTED_EDGE('',*,*,#210554,.T.); #295532=ORIENTED_EDGE('',*,*,#210555,.T.); #295533=ORIENTED_EDGE('',*,*,#210556,.F.); #295534=ORIENTED_EDGE('',*,*,#210557,.T.); #295535=ORIENTED_EDGE('',*,*,#210558,.T.); #295536=ORIENTED_EDGE('',*,*,#210559,.T.); #295537=ORIENTED_EDGE('',*,*,#210560,.F.); #295538=ORIENTED_EDGE('',*,*,#210561,.T.); #295539=ORIENTED_EDGE('',*,*,#210562,.F.); #295540=ORIENTED_EDGE('',*,*,#210563,.F.); #295541=ORIENTED_EDGE('',*,*,#210509,.F.); #295542=ORIENTED_EDGE('',*,*,#210514,.F.); #295543=ORIENTED_EDGE('',*,*,#210518,.F.); #295544=ORIENTED_EDGE('',*,*,#210529,.T.); #295545=ORIENTED_EDGE('',*,*,#210564,.F.); #295546=ORIENTED_EDGE('',*,*,#210565,.F.); #295547=ORIENTED_EDGE('',*,*,#210566,.T.); #295548=ORIENTED_EDGE('',*,*,#210567,.T.); #295549=ORIENTED_EDGE('',*,*,#210568,.F.); #295550=ORIENTED_EDGE('',*,*,#210569,.F.); #295551=ORIENTED_EDGE('',*,*,#210570,.T.); #295552=ORIENTED_EDGE('',*,*,#210565,.T.); #295553=ORIENTED_EDGE('',*,*,#210571,.F.); #295554=ORIENTED_EDGE('',*,*,#210572,.F.); #295555=ORIENTED_EDGE('',*,*,#210573,.T.); #295556=ORIENTED_EDGE('',*,*,#210569,.T.); #295557=ORIENTED_EDGE('',*,*,#210510,.F.); #295558=ORIENTED_EDGE('',*,*,#210574,.T.); #295559=ORIENTED_EDGE('',*,*,#210572,.T.); #295560=ORIENTED_EDGE('',*,*,#210575,.F.); #295561=ORIENTED_EDGE('',*,*,#210511,.F.); #295562=ORIENTED_EDGE('',*,*,#210576,.T.); #295563=ORIENTED_EDGE('',*,*,#210521,.F.); #295564=ORIENTED_EDGE('',*,*,#210519,.T.); #295565=ORIENTED_EDGE('',*,*,#210515,.T.); #295566=ORIENTED_EDGE('',*,*,#210512,.T.); #295567=ORIENTED_EDGE('',*,*,#210577,.T.); #295568=ORIENTED_EDGE('',*,*,#210528,.T.); #295569=ORIENTED_EDGE('',*,*,#210526,.T.); #295570=ORIENTED_EDGE('',*,*,#210524,.T.); #295571=ORIENTED_EDGE('',*,*,#210522,.F.); #295572=ORIENTED_EDGE('',*,*,#210576,.F.); #295573=ORIENTED_EDGE('',*,*,#210578,.F.); #295574=ORIENTED_EDGE('',*,*,#210579,.F.); #295575=ORIENTED_EDGE('',*,*,#210580,.T.); #295576=ORIENTED_EDGE('',*,*,#210562,.T.); #295577=ORIENTED_EDGE('',*,*,#210581,.F.); #295578=ORIENTED_EDGE('',*,*,#210582,.F.); #295579=ORIENTED_EDGE('',*,*,#210583,.T.); #295580=ORIENTED_EDGE('',*,*,#210579,.T.); #295581=ORIENTED_EDGE('',*,*,#210584,.F.); #295582=ORIENTED_EDGE('',*,*,#210585,.F.); #295583=ORIENTED_EDGE('',*,*,#210586,.T.); #295584=ORIENTED_EDGE('',*,*,#210582,.T.); #295585=ORIENTED_EDGE('',*,*,#210587,.F.); #295586=ORIENTED_EDGE('',*,*,#210588,.F.); #295587=ORIENTED_EDGE('',*,*,#210589,.T.); #295588=ORIENTED_EDGE('',*,*,#210585,.T.); #295589=ORIENTED_EDGE('',*,*,#210590,.F.); #295590=ORIENTED_EDGE('',*,*,#210591,.F.); #295591=ORIENTED_EDGE('',*,*,#210592,.T.); #295592=ORIENTED_EDGE('',*,*,#210588,.T.); #295593=ORIENTED_EDGE('',*,*,#210593,.F.); #295594=ORIENTED_EDGE('',*,*,#210594,.F.); #295595=ORIENTED_EDGE('',*,*,#210595,.T.); #295596=ORIENTED_EDGE('',*,*,#210591,.T.); #295597=ORIENTED_EDGE('',*,*,#210596,.F.); #295598=ORIENTED_EDGE('',*,*,#210597,.T.); #295599=ORIENTED_EDGE('',*,*,#210558,.F.); #295600=ORIENTED_EDGE('',*,*,#210598,.T.); #295601=ORIENTED_EDGE('',*,*,#210594,.T.); #295602=ORIENTED_EDGE('',*,*,#210599,.F.); #295603=ORIENTED_EDGE('',*,*,#210559,.F.); #295604=ORIENTED_EDGE('',*,*,#210597,.F.); #295605=ORIENTED_EDGE('',*,*,#210600,.F.); #295606=ORIENTED_EDGE('',*,*,#210560,.T.); #295607=ORIENTED_EDGE('',*,*,#210599,.T.); #295608=ORIENTED_EDGE('',*,*,#210601,.F.); #295609=ORIENTED_EDGE('',*,*,#210602,.F.); #295610=ORIENTED_EDGE('',*,*,#210603,.T.); #295611=ORIENTED_EDGE('',*,*,#210604,.F.); #295612=ORIENTED_EDGE('',*,*,#210605,.F.); #295613=ORIENTED_EDGE('',*,*,#210606,.T.); #295614=ORIENTED_EDGE('',*,*,#210602,.T.); #295615=ORIENTED_EDGE('',*,*,#210607,.F.); #295616=ORIENTED_EDGE('',*,*,#210608,.F.); #295617=ORIENTED_EDGE('',*,*,#210609,.T.); #295618=ORIENTED_EDGE('',*,*,#210605,.T.); #295619=ORIENTED_EDGE('',*,*,#210610,.F.); #295620=ORIENTED_EDGE('',*,*,#210611,.F.); #295621=ORIENTED_EDGE('',*,*,#210612,.T.); #295622=ORIENTED_EDGE('',*,*,#210608,.T.); #295623=ORIENTED_EDGE('',*,*,#210613,.F.); #295624=ORIENTED_EDGE('',*,*,#210614,.T.); #295625=ORIENTED_EDGE('',*,*,#210554,.F.); #295626=ORIENTED_EDGE('',*,*,#210615,.T.); #295627=ORIENTED_EDGE('',*,*,#210611,.T.); #295628=ORIENTED_EDGE('',*,*,#210616,.F.); #295629=ORIENTED_EDGE('',*,*,#210555,.F.); #295630=ORIENTED_EDGE('',*,*,#210614,.F.); #295631=ORIENTED_EDGE('',*,*,#210617,.F.); #295632=ORIENTED_EDGE('',*,*,#210556,.T.); #295633=ORIENTED_EDGE('',*,*,#210616,.T.); #295634=ORIENTED_EDGE('',*,*,#210618,.F.); #295635=ORIENTED_EDGE('',*,*,#210619,.F.); #295636=ORIENTED_EDGE('',*,*,#210620,.T.); #295637=ORIENTED_EDGE('',*,*,#210621,.F.); #295638=ORIENTED_EDGE('',*,*,#210622,.F.); #295639=ORIENTED_EDGE('',*,*,#210623,.T.); #295640=ORIENTED_EDGE('',*,*,#210619,.T.); #295641=ORIENTED_EDGE('',*,*,#210624,.F.); #295642=ORIENTED_EDGE('',*,*,#210625,.F.); #295643=ORIENTED_EDGE('',*,*,#210626,.T.); #295644=ORIENTED_EDGE('',*,*,#210622,.T.); #295645=ORIENTED_EDGE('',*,*,#210627,.F.); #295646=ORIENTED_EDGE('',*,*,#210628,.F.); #295647=ORIENTED_EDGE('',*,*,#210629,.T.); #295648=ORIENTED_EDGE('',*,*,#210625,.T.); #295649=ORIENTED_EDGE('',*,*,#210630,.F.); #295650=ORIENTED_EDGE('',*,*,#210631,.F.); #295651=ORIENTED_EDGE('',*,*,#210632,.T.); #295652=ORIENTED_EDGE('',*,*,#210628,.T.); #295653=ORIENTED_EDGE('',*,*,#210633,.F.); #295654=ORIENTED_EDGE('',*,*,#210634,.F.); #295655=ORIENTED_EDGE('',*,*,#210635,.T.); #295656=ORIENTED_EDGE('',*,*,#210631,.T.); #295657=ORIENTED_EDGE('',*,*,#210537,.F.); #295658=ORIENTED_EDGE('',*,*,#210535,.T.); #295659=ORIENTED_EDGE('',*,*,#210530,.T.); #295660=ORIENTED_EDGE('',*,*,#210553,.T.); #295661=ORIENTED_EDGE('',*,*,#210636,.T.); #295662=ORIENTED_EDGE('',*,*,#210634,.T.); #295663=ORIENTED_EDGE('',*,*,#210637,.F.); #295664=ORIENTED_EDGE('',*,*,#210638,.F.); #295665=ORIENTED_EDGE('',*,*,#210543,.F.); #295666=ORIENTED_EDGE('',*,*,#210546,.T.); #295667=ORIENTED_EDGE('',*,*,#210544,.F.); #295668=ORIENTED_EDGE('',*,*,#210638,.T.); #295669=ORIENTED_EDGE('',*,*,#210639,.T.); #295670=ORIENTED_EDGE('',*,*,#210550,.T.); #295671=ORIENTED_EDGE('',*,*,#210542,.T.); #295672=ORIENTED_EDGE('',*,*,#210640,.T.); #295673=ORIENTED_EDGE('',*,*,#210548,.T.); #295674=ORIENTED_EDGE('',*,*,#210551,.T.); #295675=ORIENTED_EDGE('',*,*,#210641,.F.); #295676=ORIENTED_EDGE('',*,*,#210642,.F.); #295677=ORIENTED_EDGE('',*,*,#210643,.F.); #295678=ORIENTED_EDGE('',*,*,#210644,.F.); #295679=ORIENTED_EDGE('',*,*,#210645,.T.); #295680=ORIENTED_EDGE('',*,*,#210642,.T.); #295681=ORIENTED_EDGE('',*,*,#210646,.F.); #295682=ORIENTED_EDGE('',*,*,#210647,.F.); #295683=ORIENTED_EDGE('',*,*,#210648,.T.); #295684=ORIENTED_EDGE('',*,*,#210644,.T.); #295685=ORIENTED_EDGE('',*,*,#210649,.F.); #295686=ORIENTED_EDGE('',*,*,#210650,.F.); #295687=ORIENTED_EDGE('',*,*,#210651,.T.); #295688=ORIENTED_EDGE('',*,*,#210647,.T.); #295689=ORIENTED_EDGE('',*,*,#210652,.F.); #295690=ORIENTED_EDGE('',*,*,#210653,.F.); #295691=ORIENTED_EDGE('',*,*,#210654,.T.); #295692=ORIENTED_EDGE('',*,*,#210655,.T.); #295693=ORIENTED_EDGE('',*,*,#210656,.F.); #295694=ORIENTED_EDGE('',*,*,#210657,.F.); #295695=ORIENTED_EDGE('',*,*,#210658,.T.); #295696=ORIENTED_EDGE('',*,*,#210653,.T.); #295697=ORIENTED_EDGE('',*,*,#210659,.F.); #295698=ORIENTED_EDGE('',*,*,#210655,.F.); #295699=ORIENTED_EDGE('',*,*,#210660,.T.); #295700=ORIENTED_EDGE('',*,*,#210661,.T.); #295701=ORIENTED_EDGE('',*,*,#210662,.T.); #295702=ORIENTED_EDGE('',*,*,#210663,.T.); #295703=ORIENTED_EDGE('',*,*,#210664,.T.); #295704=ORIENTED_EDGE('',*,*,#210665,.F.); #295705=ORIENTED_EDGE('',*,*,#210666,.F.); #295706=ORIENTED_EDGE('',*,*,#210661,.F.); #295707=ORIENTED_EDGE('',*,*,#210667,.T.); #295708=ORIENTED_EDGE('',*,*,#210668,.T.); #295709=ORIENTED_EDGE('',*,*,#210669,.T.); #295710=ORIENTED_EDGE('',*,*,#210656,.T.); #295711=ORIENTED_EDGE('',*,*,#210652,.T.); #295712=ORIENTED_EDGE('',*,*,#210659,.T.); #295713=ORIENTED_EDGE('',*,*,#210666,.T.); #295714=ORIENTED_EDGE('',*,*,#210670,.T.); #295715=ORIENTED_EDGE('',*,*,#210671,.T.); #295716=ORIENTED_EDGE('',*,*,#210649,.T.); #295717=ORIENTED_EDGE('',*,*,#210646,.T.); #295718=ORIENTED_EDGE('',*,*,#210643,.T.); #295719=ORIENTED_EDGE('',*,*,#210641,.T.); #295720=ORIENTED_EDGE('',*,*,#210639,.F.); #295721=ORIENTED_EDGE('',*,*,#210637,.T.); #295722=ORIENTED_EDGE('',*,*,#210633,.T.); #295723=ORIENTED_EDGE('',*,*,#210630,.T.); #295724=ORIENTED_EDGE('',*,*,#210627,.T.); #295725=ORIENTED_EDGE('',*,*,#210624,.T.); #295726=ORIENTED_EDGE('',*,*,#210621,.T.); #295727=ORIENTED_EDGE('',*,*,#210618,.T.); #295728=ORIENTED_EDGE('',*,*,#210617,.T.); #295729=ORIENTED_EDGE('',*,*,#210613,.T.); #295730=ORIENTED_EDGE('',*,*,#210610,.T.); #295731=ORIENTED_EDGE('',*,*,#210607,.T.); #295732=ORIENTED_EDGE('',*,*,#210604,.T.); #295733=ORIENTED_EDGE('',*,*,#210601,.T.); #295734=ORIENTED_EDGE('',*,*,#210600,.T.); #295735=ORIENTED_EDGE('',*,*,#210596,.T.); #295736=ORIENTED_EDGE('',*,*,#210593,.T.); #295737=ORIENTED_EDGE('',*,*,#210590,.T.); #295738=ORIENTED_EDGE('',*,*,#210587,.T.); #295739=ORIENTED_EDGE('',*,*,#210584,.T.); #295740=ORIENTED_EDGE('',*,*,#210581,.T.); #295741=ORIENTED_EDGE('',*,*,#210578,.T.); #295742=ORIENTED_EDGE('',*,*,#210577,.F.); #295743=ORIENTED_EDGE('',*,*,#210575,.T.); #295744=ORIENTED_EDGE('',*,*,#210571,.T.); #295745=ORIENTED_EDGE('',*,*,#210568,.T.); #295746=ORIENTED_EDGE('',*,*,#210564,.T.); #295747=ORIENTED_EDGE('',*,*,#210672,.F.); #295748=ORIENTED_EDGE('',*,*,#210673,.T.); #295749=ORIENTED_EDGE('',*,*,#210650,.T.); #295750=ORIENTED_EDGE('',*,*,#210671,.F.); #295751=ORIENTED_EDGE('',*,*,#210674,.F.); #295752=ORIENTED_EDGE('',*,*,#210675,.F.); #295753=ORIENTED_EDGE('',*,*,#210676,.F.); #295754=ORIENTED_EDGE('',*,*,#210566,.F.); #295755=ORIENTED_EDGE('',*,*,#210570,.F.); #295756=ORIENTED_EDGE('',*,*,#210573,.F.); #295757=ORIENTED_EDGE('',*,*,#210574,.F.); #295758=ORIENTED_EDGE('',*,*,#210563,.T.); #295759=ORIENTED_EDGE('',*,*,#210580,.F.); #295760=ORIENTED_EDGE('',*,*,#210583,.F.); #295761=ORIENTED_EDGE('',*,*,#210586,.F.); #295762=ORIENTED_EDGE('',*,*,#210589,.F.); #295763=ORIENTED_EDGE('',*,*,#210592,.F.); #295764=ORIENTED_EDGE('',*,*,#210595,.F.); #295765=ORIENTED_EDGE('',*,*,#210598,.F.); #295766=ORIENTED_EDGE('',*,*,#210561,.F.); #295767=ORIENTED_EDGE('',*,*,#210603,.F.); #295768=ORIENTED_EDGE('',*,*,#210606,.F.); #295769=ORIENTED_EDGE('',*,*,#210609,.F.); #295770=ORIENTED_EDGE('',*,*,#210612,.F.); #295771=ORIENTED_EDGE('',*,*,#210615,.F.); #295772=ORIENTED_EDGE('',*,*,#210557,.F.); #295773=ORIENTED_EDGE('',*,*,#210620,.F.); #295774=ORIENTED_EDGE('',*,*,#210623,.F.); #295775=ORIENTED_EDGE('',*,*,#210626,.F.); #295776=ORIENTED_EDGE('',*,*,#210629,.F.); #295777=ORIENTED_EDGE('',*,*,#210632,.F.); #295778=ORIENTED_EDGE('',*,*,#210635,.F.); #295779=ORIENTED_EDGE('',*,*,#210636,.F.); #295780=ORIENTED_EDGE('',*,*,#210552,.T.); #295781=ORIENTED_EDGE('',*,*,#210640,.F.); #295782=ORIENTED_EDGE('',*,*,#210645,.F.); #295783=ORIENTED_EDGE('',*,*,#210648,.F.); #295784=ORIENTED_EDGE('',*,*,#210651,.F.); #295785=ORIENTED_EDGE('',*,*,#210673,.F.); #295786=ORIENTED_EDGE('',*,*,#210677,.F.); #295787=ORIENTED_EDGE('',*,*,#210662,.F.); #295788=ORIENTED_EDGE('',*,*,#210660,.F.); #295789=ORIENTED_EDGE('',*,*,#210654,.F.); #295790=ORIENTED_EDGE('',*,*,#210658,.F.); #295791=ORIENTED_EDGE('',*,*,#210678,.F.); #295792=ORIENTED_EDGE('',*,*,#210676,.T.); #295793=ORIENTED_EDGE('',*,*,#210679,.T.); #295794=ORIENTED_EDGE('',*,*,#210680,.T.); #295795=ORIENTED_EDGE('',*,*,#210667,.F.); #295796=ORIENTED_EDGE('',*,*,#210567,.F.); #295797=ORIENTED_EDGE('',*,*,#210669,.F.); #295798=ORIENTED_EDGE('',*,*,#210681,.F.); #295799=ORIENTED_EDGE('',*,*,#210682,.F.); #295800=ORIENTED_EDGE('',*,*,#210683,.T.); #295801=ORIENTED_EDGE('',*,*,#210678,.T.); #295802=ORIENTED_EDGE('',*,*,#210657,.T.); #295803=ORIENTED_EDGE('',*,*,#210679,.F.); #295804=ORIENTED_EDGE('',*,*,#210684,.T.); #295805=ORIENTED_EDGE('',*,*,#210685,.T.); #295806=ORIENTED_EDGE('',*,*,#210686,.F.); #295807=ORIENTED_EDGE('',*,*,#210680,.F.); #295808=ORIENTED_EDGE('',*,*,#210685,.F.); #295809=ORIENTED_EDGE('',*,*,#210687,.T.); #295810=ORIENTED_EDGE('',*,*,#210688,.T.); #295811=ORIENTED_EDGE('',*,*,#210689,.F.); #295812=ORIENTED_EDGE('',*,*,#210688,.F.); #295813=ORIENTED_EDGE('',*,*,#210690,.T.); #295814=ORIENTED_EDGE('',*,*,#210045,.T.); #295815=ORIENTED_EDGE('',*,*,#210046,.T.); #295816=ORIENTED_EDGE('',*,*,#210691,.F.); #295817=ORIENTED_EDGE('',*,*,#209628,.F.); #295818=ORIENTED_EDGE('',*,*,#210692,.T.); #295819=ORIENTED_EDGE('',*,*,#210682,.T.); #295820=ORIENTED_EDGE('',*,*,#210693,.F.); #295821=ORIENTED_EDGE('',*,*,#209629,.F.); #295822=ORIENTED_EDGE('',*,*,#210681,.T.); #295823=ORIENTED_EDGE('',*,*,#210668,.F.); #295824=ORIENTED_EDGE('',*,*,#210686,.T.); #295825=ORIENTED_EDGE('',*,*,#210689,.T.); #295826=ORIENTED_EDGE('',*,*,#210691,.T.); #295827=ORIENTED_EDGE('',*,*,#210021,.T.); #295828=ORIENTED_EDGE('',*,*,#210693,.T.); #295829=ORIENTED_EDGE('',*,*,#210683,.F.); #295830=ORIENTED_EDGE('',*,*,#210692,.F.); #295831=ORIENTED_EDGE('',*,*,#209633,.F.); #295832=ORIENTED_EDGE('',*,*,#210690,.F.); #295833=ORIENTED_EDGE('',*,*,#210687,.F.); #295834=ORIENTED_EDGE('',*,*,#210684,.F.); #295835=ORIENTED_EDGE('',*,*,#210675,.T.); #295836=ORIENTED_EDGE('',*,*,#210664,.F.); #295837=ORIENTED_EDGE('',*,*,#210694,.T.); #295838=ORIENTED_EDGE('',*,*,#210043,.T.); #295839=ORIENTED_EDGE('',*,*,#210044,.T.); #295840=ORIENTED_EDGE('',*,*,#210695,.F.); #295841=ORIENTED_EDGE('',*,*,#209623,.F.); #295842=ORIENTED_EDGE('',*,*,#210696,.T.); #295843=ORIENTED_EDGE('',*,*,#210697,.T.); #295844=ORIENTED_EDGE('',*,*,#210698,.F.); #295845=ORIENTED_EDGE('',*,*,#209624,.F.); #295846=ORIENTED_EDGE('',*,*,#210697,.F.); #295847=ORIENTED_EDGE('',*,*,#210699,.T.); #295848=ORIENTED_EDGE('',*,*,#210700,.T.); #295849=ORIENTED_EDGE('',*,*,#210701,.F.); #295850=ORIENTED_EDGE('',*,*,#210700,.F.); #295851=ORIENTED_EDGE('',*,*,#210702,.T.); #295852=ORIENTED_EDGE('',*,*,#210672,.T.); #295853=ORIENTED_EDGE('',*,*,#210674,.T.); #295854=ORIENTED_EDGE('',*,*,#210703,.F.); #295855=ORIENTED_EDGE('',*,*,#210703,.T.); #295856=ORIENTED_EDGE('',*,*,#210670,.F.); #295857=ORIENTED_EDGE('',*,*,#210665,.T.); #295858=ORIENTED_EDGE('',*,*,#210695,.T.); #295859=ORIENTED_EDGE('',*,*,#210024,.T.); #295860=ORIENTED_EDGE('',*,*,#210698,.T.); #295861=ORIENTED_EDGE('',*,*,#210701,.T.); #295862=ORIENTED_EDGE('',*,*,#210702,.F.); #295863=ORIENTED_EDGE('',*,*,#210699,.F.); #295864=ORIENTED_EDGE('',*,*,#210696,.F.); #295865=ORIENTED_EDGE('',*,*,#209636,.F.); #295866=ORIENTED_EDGE('',*,*,#210694,.F.); #295867=ORIENTED_EDGE('',*,*,#210663,.F.); #295868=ORIENTED_EDGE('',*,*,#210677,.T.); #295869=ORIENTED_EDGE('',*,*,#210704,.T.); #295870=ORIENTED_EDGE('',*,*,#209596,.F.); #295871=ORIENTED_EDGE('',*,*,#209619,.F.); #295872=ORIENTED_EDGE('',*,*,#209537,.F.); #295873=ORIENTED_EDGE('',*,*,#210705,.T.); #295874=ORIENTED_EDGE('',*,*,#209597,.F.); #295875=ORIENTED_EDGE('',*,*,#210704,.F.); #295876=ORIENTED_EDGE('',*,*,#209536,.F.); #295877=ORIENTED_EDGE('',*,*,#210706,.T.); #295878=ORIENTED_EDGE('',*,*,#209598,.F.); #295879=ORIENTED_EDGE('',*,*,#210705,.F.); #295880=ORIENTED_EDGE('',*,*,#209535,.F.); #295881=ORIENTED_EDGE('',*,*,#210707,.T.); #295882=ORIENTED_EDGE('',*,*,#209599,.F.); #295883=ORIENTED_EDGE('',*,*,#210706,.F.); #295884=ORIENTED_EDGE('',*,*,#209534,.F.); #295885=ORIENTED_EDGE('',*,*,#210708,.T.); #295886=ORIENTED_EDGE('',*,*,#209600,.F.); #295887=ORIENTED_EDGE('',*,*,#210707,.F.); #295888=ORIENTED_EDGE('',*,*,#209533,.F.); #295889=ORIENTED_EDGE('',*,*,#210709,.T.); #295890=ORIENTED_EDGE('',*,*,#209601,.F.); #295891=ORIENTED_EDGE('',*,*,#210708,.F.); #295892=ORIENTED_EDGE('',*,*,#209532,.F.); #295893=ORIENTED_EDGE('',*,*,#209949,.T.); #295894=ORIENTED_EDGE('',*,*,#209602,.F.); #295895=ORIENTED_EDGE('',*,*,#210709,.F.); #295896=ORIENTED_EDGE('',*,*,#209531,.F.); #295897=ORIENTED_EDGE('',*,*,#209523,.T.); #295898=ORIENTED_EDGE('',*,*,#209617,.T.); #295899=ORIENTED_EDGE('',*,*,#209571,.T.); #295900=ORIENTED_EDGE('',*,*,#210710,.F.); #295901=ORIENTED_EDGE('',*,*,#209524,.T.); #295902=ORIENTED_EDGE('',*,*,#210710,.T.); #295903=ORIENTED_EDGE('',*,*,#209570,.T.); #295904=ORIENTED_EDGE('',*,*,#210711,.F.); #295905=ORIENTED_EDGE('',*,*,#209525,.T.); #295906=ORIENTED_EDGE('',*,*,#210711,.T.); #295907=ORIENTED_EDGE('',*,*,#209608,.T.); #295908=ORIENTED_EDGE('',*,*,#210712,.F.); #295909=ORIENTED_EDGE('',*,*,#209526,.T.); #295910=ORIENTED_EDGE('',*,*,#210712,.T.); #295911=ORIENTED_EDGE('',*,*,#209607,.T.); #295912=ORIENTED_EDGE('',*,*,#210713,.F.); #295913=ORIENTED_EDGE('',*,*,#209527,.T.); #295914=ORIENTED_EDGE('',*,*,#210713,.T.); #295915=ORIENTED_EDGE('',*,*,#209606,.T.); #295916=ORIENTED_EDGE('',*,*,#210714,.F.); #295917=ORIENTED_EDGE('',*,*,#209528,.T.); #295918=ORIENTED_EDGE('',*,*,#210714,.T.); #295919=ORIENTED_EDGE('',*,*,#209605,.T.); #295920=ORIENTED_EDGE('',*,*,#210715,.F.); #295921=ORIENTED_EDGE('',*,*,#209529,.T.); #295922=ORIENTED_EDGE('',*,*,#210715,.T.); #295923=ORIENTED_EDGE('',*,*,#209604,.T.); #295924=ORIENTED_EDGE('',*,*,#209948,.F.); #295925=ORIENTED_EDGE('',*,*,#209898,.F.); #295926=ORIENTED_EDGE('',*,*,#209521,.F.); #295927=ORIENTED_EDGE('',*,*,#209739,.T.); #295928=ORIENTED_EDGE('',*,*,#210716,.T.); #295929=ORIENTED_EDGE('',*,*,#209899,.F.); #295930=ORIENTED_EDGE('',*,*,#210716,.F.); #295931=ORIENTED_EDGE('',*,*,#209738,.T.); #295932=ORIENTED_EDGE('',*,*,#210717,.T.); #295933=ORIENTED_EDGE('',*,*,#209900,.F.); #295934=ORIENTED_EDGE('',*,*,#210717,.F.); #295935=ORIENTED_EDGE('',*,*,#209737,.T.); #295936=ORIENTED_EDGE('',*,*,#210718,.T.); #295937=ORIENTED_EDGE('',*,*,#209901,.F.); #295938=ORIENTED_EDGE('',*,*,#210718,.F.); #295939=ORIENTED_EDGE('',*,*,#209736,.T.); #295940=ORIENTED_EDGE('',*,*,#210719,.T.); #295941=ORIENTED_EDGE('',*,*,#209902,.F.); #295942=ORIENTED_EDGE('',*,*,#210719,.F.); #295943=ORIENTED_EDGE('',*,*,#209735,.T.); #295944=ORIENTED_EDGE('',*,*,#210720,.T.); #295945=ORIENTED_EDGE('',*,*,#209903,.F.); #295946=ORIENTED_EDGE('',*,*,#210720,.F.); #295947=ORIENTED_EDGE('',*,*,#209734,.T.); #295948=ORIENTED_EDGE('',*,*,#210721,.T.); #295949=ORIENTED_EDGE('',*,*,#209904,.F.); #295950=ORIENTED_EDGE('',*,*,#210721,.F.); #295951=ORIENTED_EDGE('',*,*,#209733,.T.); #295952=ORIENTED_EDGE('',*,*,#209950,.T.); #295953=ORIENTED_EDGE('',*,*,#209897,.T.); #295954=ORIENTED_EDGE('',*,*,#210722,.F.); #295955=ORIENTED_EDGE('',*,*,#209740,.F.); #295956=ORIENTED_EDGE('',*,*,#209519,.T.); #295957=ORIENTED_EDGE('',*,*,#209896,.T.); #295958=ORIENTED_EDGE('',*,*,#210723,.F.); #295959=ORIENTED_EDGE('',*,*,#209741,.F.); #295960=ORIENTED_EDGE('',*,*,#210722,.T.); #295961=ORIENTED_EDGE('',*,*,#209895,.T.); #295962=ORIENTED_EDGE('',*,*,#210724,.F.); #295963=ORIENTED_EDGE('',*,*,#209742,.F.); #295964=ORIENTED_EDGE('',*,*,#210723,.T.); #295965=ORIENTED_EDGE('',*,*,#209894,.T.); #295966=ORIENTED_EDGE('',*,*,#210725,.F.); #295967=ORIENTED_EDGE('',*,*,#209743,.F.); #295968=ORIENTED_EDGE('',*,*,#210724,.T.); #295969=ORIENTED_EDGE('',*,*,#209893,.T.); #295970=ORIENTED_EDGE('',*,*,#210726,.F.); #295971=ORIENTED_EDGE('',*,*,#209744,.F.); #295972=ORIENTED_EDGE('',*,*,#210725,.T.); #295973=ORIENTED_EDGE('',*,*,#209892,.T.); #295974=ORIENTED_EDGE('',*,*,#210727,.F.); #295975=ORIENTED_EDGE('',*,*,#209745,.F.); #295976=ORIENTED_EDGE('',*,*,#210726,.T.); #295977=ORIENTED_EDGE('',*,*,#209891,.T.); #295978=ORIENTED_EDGE('',*,*,#209516,.F.); #295979=ORIENTED_EDGE('',*,*,#209746,.F.); #295980=ORIENTED_EDGE('',*,*,#210727,.T.); #295981=ORIENTED_EDGE('',*,*,#210728,.T.); #295982=ORIENTED_EDGE('',*,*,#210729,.F.); #295983=ORIENTED_EDGE('',*,*,#210730,.F.); #295984=ORIENTED_EDGE('',*,*,#210731,.T.); #295985=ORIENTED_EDGE('',*,*,#210732,.T.); #295986=ORIENTED_EDGE('',*,*,#210733,.T.); #295987=ORIENTED_EDGE('',*,*,#210734,.T.); #295988=ORIENTED_EDGE('',*,*,#210735,.T.); #295989=ORIENTED_EDGE('',*,*,#210736,.T.); #295990=ORIENTED_EDGE('',*,*,#210737,.T.); #295991=ORIENTED_EDGE('',*,*,#210738,.F.); #295992=ORIENTED_EDGE('',*,*,#210739,.F.); #295993=ORIENTED_EDGE('',*,*,#210740,.F.); #295994=ORIENTED_EDGE('',*,*,#210741,.F.); #295995=ORIENTED_EDGE('',*,*,#210742,.F.); #295996=ORIENTED_EDGE('',*,*,#210729,.T.); #295997=ORIENTED_EDGE('',*,*,#210743,.T.); #295998=ORIENTED_EDGE('',*,*,#210744,.F.); #295999=ORIENTED_EDGE('',*,*,#210745,.F.); #296000=ORIENTED_EDGE('',*,*,#210746,.T.); #296001=ORIENTED_EDGE('',*,*,#210747,.T.); #296002=ORIENTED_EDGE('',*,*,#210748,.T.); #296003=ORIENTED_EDGE('',*,*,#210749,.T.); #296004=ORIENTED_EDGE('',*,*,#210750,.F.); #296005=ORIENTED_EDGE('',*,*,#210751,.F.); #296006=ORIENTED_EDGE('',*,*,#210752,.F.); #296007=ORIENTED_EDGE('',*,*,#210753,.F.); #296008=ORIENTED_EDGE('',*,*,#210754,.F.); #296009=ORIENTED_EDGE('',*,*,#210755,.T.); #296010=ORIENTED_EDGE('',*,*,#210756,.F.); #296011=ORIENTED_EDGE('',*,*,#210757,.F.); #296012=ORIENTED_EDGE('',*,*,#210744,.T.); #296013=ORIENTED_EDGE('',*,*,#210758,.F.); #296014=ORIENTED_EDGE('',*,*,#210759,.T.); #296015=ORIENTED_EDGE('',*,*,#210760,.F.); #296016=ORIENTED_EDGE('',*,*,#210756,.T.); #296017=ORIENTED_EDGE('',*,*,#210761,.T.); #296018=ORIENTED_EDGE('',*,*,#210762,.T.); #296019=ORIENTED_EDGE('',*,*,#210763,.F.); #296020=ORIENTED_EDGE('',*,*,#210764,.T.); #296021=ORIENTED_EDGE('',*,*,#210758,.T.); #296022=ORIENTED_EDGE('',*,*,#210765,.T.); #296023=ORIENTED_EDGE('',*,*,#210766,.F.); #296024=ORIENTED_EDGE('',*,*,#210767,.T.); #296025=ORIENTED_EDGE('',*,*,#210763,.T.); #296026=ORIENTED_EDGE('',*,*,#210768,.T.); #296027=ORIENTED_EDGE('',*,*,#210769,.T.); #296028=ORIENTED_EDGE('',*,*,#210731,.F.); #296029=ORIENTED_EDGE('',*,*,#210760,.T.); #296030=ORIENTED_EDGE('',*,*,#210770,.T.); #296031=ORIENTED_EDGE('',*,*,#210766,.T.); #296032=ORIENTED_EDGE('',*,*,#210730,.T.); #296033=ORIENTED_EDGE('',*,*,#210742,.T.); #296034=ORIENTED_EDGE('',*,*,#210771,.T.); #296035=ORIENTED_EDGE('',*,*,#210745,.T.); #296036=ORIENTED_EDGE('',*,*,#210757,.T.); #296037=ORIENTED_EDGE('',*,*,#210769,.F.); #296038=ORIENTED_EDGE('',*,*,#210772,.T.); #296039=ORIENTED_EDGE('',*,*,#210761,.F.); #296040=ORIENTED_EDGE('',*,*,#210755,.F.); #296041=ORIENTED_EDGE('',*,*,#210743,.F.); #296042=ORIENTED_EDGE('',*,*,#210773,.F.); #296043=ORIENTED_EDGE('',*,*,#210732,.F.); #296044=ORIENTED_EDGE('',*,*,#210728,.F.); #296045=ORIENTED_EDGE('',*,*,#210737,.F.); #296046=ORIENTED_EDGE('',*,*,#210774,.F.); #296047=ORIENTED_EDGE('',*,*,#210750,.T.); #296048=ORIENTED_EDGE('',*,*,#210775,.T.); #296049=ORIENTED_EDGE('',*,*,#210741,.T.); #296050=ORIENTED_EDGE('',*,*,#210776,.F.); #296051=ORIENTED_EDGE('',*,*,#210746,.F.); #296052=ORIENTED_EDGE('',*,*,#210771,.F.); #296053=ORIENTED_EDGE('',*,*,#210740,.T.); #296054=ORIENTED_EDGE('',*,*,#210777,.F.); #296055=ORIENTED_EDGE('',*,*,#210747,.F.); #296056=ORIENTED_EDGE('',*,*,#210776,.T.); #296057=ORIENTED_EDGE('',*,*,#210739,.T.); #296058=ORIENTED_EDGE('',*,*,#210778,.F.); #296059=ORIENTED_EDGE('',*,*,#210748,.F.); #296060=ORIENTED_EDGE('',*,*,#210777,.T.); #296061=ORIENTED_EDGE('',*,*,#210738,.T.); #296062=ORIENTED_EDGE('',*,*,#210775,.F.); #296063=ORIENTED_EDGE('',*,*,#210749,.F.); #296064=ORIENTED_EDGE('',*,*,#210778,.T.); #296065=ORIENTED_EDGE('',*,*,#210733,.F.); #296066=ORIENTED_EDGE('',*,*,#210773,.T.); #296067=ORIENTED_EDGE('',*,*,#210754,.T.); #296068=ORIENTED_EDGE('',*,*,#210779,.T.); #296069=ORIENTED_EDGE('',*,*,#210734,.F.); #296070=ORIENTED_EDGE('',*,*,#210779,.F.); #296071=ORIENTED_EDGE('',*,*,#210753,.T.); #296072=ORIENTED_EDGE('',*,*,#210780,.T.); #296073=ORIENTED_EDGE('',*,*,#210735,.F.); #296074=ORIENTED_EDGE('',*,*,#210780,.F.); #296075=ORIENTED_EDGE('',*,*,#210752,.T.); #296076=ORIENTED_EDGE('',*,*,#210781,.T.); #296077=ORIENTED_EDGE('',*,*,#210736,.F.); #296078=ORIENTED_EDGE('',*,*,#210781,.F.); #296079=ORIENTED_EDGE('',*,*,#210751,.T.); #296080=ORIENTED_EDGE('',*,*,#210774,.T.); #296081=ORIENTED_EDGE('',*,*,#210759,.F.); #296082=ORIENTED_EDGE('',*,*,#210764,.F.); #296083=ORIENTED_EDGE('',*,*,#210767,.F.); #296084=ORIENTED_EDGE('',*,*,#210770,.F.); #296085=ORIENTED_EDGE('',*,*,#210762,.F.); #296086=ORIENTED_EDGE('',*,*,#210772,.F.); #296087=ORIENTED_EDGE('',*,*,#210768,.F.); #296088=ORIENTED_EDGE('',*,*,#210765,.F.); #296089=ORIENTED_EDGE('',*,*,#210782,.T.); #296090=ORIENTED_EDGE('',*,*,#210783,.F.); #296091=ORIENTED_EDGE('',*,*,#210784,.F.); #296092=ORIENTED_EDGE('',*,*,#210785,.T.); #296093=ORIENTED_EDGE('',*,*,#210786,.T.); #296094=ORIENTED_EDGE('',*,*,#210787,.F.); #296095=ORIENTED_EDGE('',*,*,#210788,.F.); #296096=ORIENTED_EDGE('',*,*,#210789,.T.); #296097=ORIENTED_EDGE('',*,*,#210790,.T.); #296098=ORIENTED_EDGE('',*,*,#210791,.F.); #296099=ORIENTED_EDGE('',*,*,#210792,.F.); #296100=ORIENTED_EDGE('',*,*,#210793,.T.); #296101=ORIENTED_EDGE('',*,*,#210794,.F.); #296102=ORIENTED_EDGE('',*,*,#210795,.T.); #296103=ORIENTED_EDGE('',*,*,#210796,.T.); #296104=ORIENTED_EDGE('',*,*,#210797,.F.); #296105=ORIENTED_EDGE('',*,*,#210798,.T.); #296106=ORIENTED_EDGE('',*,*,#210799,.F.); #296107=ORIENTED_EDGE('',*,*,#210800,.T.); #296108=ORIENTED_EDGE('',*,*,#210801,.T.); #296109=ORIENTED_EDGE('',*,*,#210802,.T.); #296110=ORIENTED_EDGE('',*,*,#210803,.T.); #296111=ORIENTED_EDGE('',*,*,#210804,.T.); #296112=ORIENTED_EDGE('',*,*,#210805,.T.); #296113=ORIENTED_EDGE('',*,*,#210806,.T.); #296114=ORIENTED_EDGE('',*,*,#210807,.T.); #296115=ORIENTED_EDGE('',*,*,#210808,.F.); #296116=ORIENTED_EDGE('',*,*,#210809,.F.); #296117=ORIENTED_EDGE('',*,*,#210810,.T.); #296118=ORIENTED_EDGE('',*,*,#210811,.T.); #296119=ORIENTED_EDGE('',*,*,#210812,.T.); #296120=ORIENTED_EDGE('',*,*,#210813,.T.); #296121=ORIENTED_EDGE('',*,*,#210814,.T.); #296122=ORIENTED_EDGE('',*,*,#210815,.T.); #296123=ORIENTED_EDGE('',*,*,#210816,.F.); #296124=ORIENTED_EDGE('',*,*,#210817,.F.); #296125=ORIENTED_EDGE('',*,*,#210818,.T.); #296126=ORIENTED_EDGE('',*,*,#210819,.T.); #296127=ORIENTED_EDGE('',*,*,#210820,.T.); #296128=ORIENTED_EDGE('',*,*,#210821,.T.); #296129=ORIENTED_EDGE('',*,*,#210822,.F.); #296130=ORIENTED_EDGE('',*,*,#210823,.F.); #296131=ORIENTED_EDGE('',*,*,#210824,.F.); #296132=ORIENTED_EDGE('',*,*,#210825,.F.); #296133=ORIENTED_EDGE('',*,*,#210826,.F.); #296134=ORIENTED_EDGE('',*,*,#210827,.F.); #296135=ORIENTED_EDGE('',*,*,#210828,.F.); #296136=ORIENTED_EDGE('',*,*,#210829,.F.); #296137=ORIENTED_EDGE('',*,*,#210830,.T.); #296138=ORIENTED_EDGE('',*,*,#210804,.F.); #296139=ORIENTED_EDGE('',*,*,#210831,.T.); #296140=ORIENTED_EDGE('',*,*,#210783,.T.); #296141=ORIENTED_EDGE('',*,*,#210832,.T.); #296142=ORIENTED_EDGE('',*,*,#210833,.F.); #296143=ORIENTED_EDGE('',*,*,#210802,.F.); #296144=ORIENTED_EDGE('',*,*,#210834,.T.); #296145=ORIENTED_EDGE('',*,*,#210835,.T.); #296146=ORIENTED_EDGE('',*,*,#210836,.T.); #296147=ORIENTED_EDGE('',*,*,#210785,.F.); #296148=ORIENTED_EDGE('',*,*,#210837,.T.); #296149=ORIENTED_EDGE('',*,*,#210838,.F.); #296150=ORIENTED_EDGE('',*,*,#210832,.F.); #296151=ORIENTED_EDGE('',*,*,#210782,.F.); #296152=ORIENTED_EDGE('',*,*,#210839,.F.); #296153=ORIENTED_EDGE('',*,*,#210840,.T.); #296154=ORIENTED_EDGE('',*,*,#210812,.F.); #296155=ORIENTED_EDGE('',*,*,#210841,.T.); #296156=ORIENTED_EDGE('',*,*,#210791,.T.); #296157=ORIENTED_EDGE('',*,*,#210842,.T.); #296158=ORIENTED_EDGE('',*,*,#210843,.F.); #296159=ORIENTED_EDGE('',*,*,#210810,.F.); #296160=ORIENTED_EDGE('',*,*,#210844,.T.); #296161=ORIENTED_EDGE('',*,*,#210845,.T.); #296162=ORIENTED_EDGE('',*,*,#210839,.T.); #296163=ORIENTED_EDGE('',*,*,#210793,.F.); #296164=ORIENTED_EDGE('',*,*,#210846,.T.); #296165=ORIENTED_EDGE('',*,*,#210847,.F.); #296166=ORIENTED_EDGE('',*,*,#210842,.F.); #296167=ORIENTED_EDGE('',*,*,#210790,.F.); #296168=ORIENTED_EDGE('',*,*,#210848,.F.); #296169=ORIENTED_EDGE('',*,*,#210849,.F.); #296170=ORIENTED_EDGE('',*,*,#210850,.T.); #296171=ORIENTED_EDGE('',*,*,#210851,.T.); #296172=ORIENTED_EDGE('',*,*,#210852,.T.); #296173=ORIENTED_EDGE('',*,*,#210853,.F.); #296174=ORIENTED_EDGE('',*,*,#210854,.T.); #296175=ORIENTED_EDGE('',*,*,#210788,.T.); #296176=ORIENTED_EDGE('',*,*,#210855,.T.); #296177=ORIENTED_EDGE('',*,*,#210856,.F.); #296178=ORIENTED_EDGE('',*,*,#210857,.T.); #296179=ORIENTED_EDGE('',*,*,#210858,.T.); #296180=ORIENTED_EDGE('',*,*,#210798,.F.); #296181=ORIENTED_EDGE('',*,*,#210859,.T.); #296182=ORIENTED_EDGE('',*,*,#210848,.T.); #296183=ORIENTED_EDGE('',*,*,#210789,.F.); #296184=ORIENTED_EDGE('',*,*,#210854,.F.); #296185=ORIENTED_EDGE('',*,*,#210860,.F.); #296186=ORIENTED_EDGE('',*,*,#210795,.F.); #296187=ORIENTED_EDGE('',*,*,#210861,.F.); #296188=ORIENTED_EDGE('',*,*,#210862,.T.); #296189=ORIENTED_EDGE('',*,*,#210863,.F.); #296190=ORIENTED_EDGE('',*,*,#210864,.T.); #296191=ORIENTED_EDGE('',*,*,#210855,.F.); #296192=ORIENTED_EDGE('',*,*,#210787,.T.); #296193=ORIENTED_EDGE('',*,*,#210865,.T.); #296194=ORIENTED_EDGE('',*,*,#210866,.F.); #296195=ORIENTED_EDGE('',*,*,#210867,.F.); #296196=ORIENTED_EDGE('',*,*,#210868,.F.); #296197=ORIENTED_EDGE('',*,*,#210869,.F.); #296198=ORIENTED_EDGE('',*,*,#210870,.F.); #296199=ORIENTED_EDGE('',*,*,#210871,.F.); #296200=ORIENTED_EDGE('',*,*,#210872,.F.); #296201=ORIENTED_EDGE('',*,*,#210873,.F.); #296202=ORIENTED_EDGE('',*,*,#210796,.F.); #296203=ORIENTED_EDGE('',*,*,#210866,.T.); #296204=ORIENTED_EDGE('',*,*,#210874,.T.); #296205=ORIENTED_EDGE('',*,*,#210835,.F.); #296206=ORIENTED_EDGE('',*,*,#210875,.F.); #296207=ORIENTED_EDGE('',*,*,#210833,.T.); #296208=ORIENTED_EDGE('',*,*,#210838,.T.); #296209=ORIENTED_EDGE('',*,*,#210845,.F.); #296210=ORIENTED_EDGE('',*,*,#210876,.F.); #296211=ORIENTED_EDGE('',*,*,#210843,.T.); #296212=ORIENTED_EDGE('',*,*,#210847,.T.); #296213=ORIENTED_EDGE('',*,*,#210859,.F.); #296214=ORIENTED_EDGE('',*,*,#210801,.F.); #296215=ORIENTED_EDGE('',*,*,#210877,.F.); #296216=ORIENTED_EDGE('',*,*,#210878,.F.); #296217=ORIENTED_EDGE('',*,*,#210879,.F.); #296218=ORIENTED_EDGE('',*,*,#210880,.F.); #296219=ORIENTED_EDGE('',*,*,#210786,.F.); #296220=ORIENTED_EDGE('',*,*,#210836,.F.); #296221=ORIENTED_EDGE('',*,*,#210874,.F.); #296222=ORIENTED_EDGE('',*,*,#210865,.F.); #296223=ORIENTED_EDGE('',*,*,#210881,.T.); #296224=ORIENTED_EDGE('',*,*,#210882,.T.); #296225=ORIENTED_EDGE('',*,*,#210883,.T.); #296226=ORIENTED_EDGE('',*,*,#210884,.T.); #296227=ORIENTED_EDGE('',*,*,#210885,.T.); #296228=ORIENTED_EDGE('',*,*,#210886,.T.); #296229=ORIENTED_EDGE('',*,*,#210887,.T.); #296230=ORIENTED_EDGE('',*,*,#210799,.T.); #296231=ORIENTED_EDGE('',*,*,#210858,.F.); #296232=ORIENTED_EDGE('',*,*,#210888,.T.); #296233=ORIENTED_EDGE('',*,*,#210889,.F.); #296234=ORIENTED_EDGE('',*,*,#210818,.F.); #296235=ORIENTED_EDGE('',*,*,#210890,.T.); #296236=ORIENTED_EDGE('',*,*,#210891,.T.); #296237=ORIENTED_EDGE('',*,*,#210861,.T.); #296238=ORIENTED_EDGE('',*,*,#210794,.T.); #296239=ORIENTED_EDGE('',*,*,#210892,.T.); #296240=ORIENTED_EDGE('',*,*,#210893,.T.); #296241=ORIENTED_EDGE('',*,*,#210894,.T.); #296242=ORIENTED_EDGE('',*,*,#210895,.T.); #296243=ORIENTED_EDGE('',*,*,#210896,.T.); #296244=ORIENTED_EDGE('',*,*,#210897,.T.); #296245=ORIENTED_EDGE('',*,*,#210898,.F.); #296246=ORIENTED_EDGE('',*,*,#210899,.F.); #296247=ORIENTED_EDGE('',*,*,#210900,.T.); #296248=ORIENTED_EDGE('',*,*,#210890,.F.); #296249=ORIENTED_EDGE('',*,*,#210821,.F.); #296250=ORIENTED_EDGE('',*,*,#210901,.T.); #296251=ORIENTED_EDGE('',*,*,#210902,.T.); #296252=ORIENTED_EDGE('',*,*,#210896,.F.); #296253=ORIENTED_EDGE('',*,*,#210820,.F.); #296254=ORIENTED_EDGE('',*,*,#210903,.T.); #296255=ORIENTED_EDGE('',*,*,#210904,.T.); #296256=ORIENTED_EDGE('',*,*,#210901,.F.); #296257=ORIENTED_EDGE('',*,*,#210819,.F.); #296258=ORIENTED_EDGE('',*,*,#210889,.T.); #296259=ORIENTED_EDGE('',*,*,#210905,.T.); #296260=ORIENTED_EDGE('',*,*,#210906,.F.); #296261=ORIENTED_EDGE('',*,*,#210907,.T.); #296262=ORIENTED_EDGE('',*,*,#210877,.T.); #296263=ORIENTED_EDGE('',*,*,#210800,.F.); #296264=ORIENTED_EDGE('',*,*,#210887,.F.); #296265=ORIENTED_EDGE('',*,*,#210908,.T.); #296266=ORIENTED_EDGE('',*,*,#210878,.T.); #296267=ORIENTED_EDGE('',*,*,#210907,.F.); #296268=ORIENTED_EDGE('',*,*,#210886,.F.); #296269=ORIENTED_EDGE('',*,*,#210909,.T.); #296270=ORIENTED_EDGE('',*,*,#210879,.T.); #296271=ORIENTED_EDGE('',*,*,#210908,.F.); #296272=ORIENTED_EDGE('',*,*,#210885,.F.); #296273=ORIENTED_EDGE('',*,*,#210910,.T.); #296274=ORIENTED_EDGE('',*,*,#210880,.T.); #296275=ORIENTED_EDGE('',*,*,#210909,.F.); #296276=ORIENTED_EDGE('',*,*,#210884,.F.); #296277=ORIENTED_EDGE('',*,*,#210883,.F.); #296278=ORIENTED_EDGE('',*,*,#210911,.T.); #296279=ORIENTED_EDGE('',*,*,#210867,.T.); #296280=ORIENTED_EDGE('',*,*,#210910,.F.); #296281=ORIENTED_EDGE('',*,*,#210797,.T.); #296282=ORIENTED_EDGE('',*,*,#210873,.T.); #296283=ORIENTED_EDGE('',*,*,#210912,.F.); #296284=ORIENTED_EDGE('',*,*,#210892,.F.); #296285=ORIENTED_EDGE('',*,*,#210913,.T.); #296286=ORIENTED_EDGE('',*,*,#210868,.T.); #296287=ORIENTED_EDGE('',*,*,#210911,.F.); #296288=ORIENTED_EDGE('',*,*,#210882,.F.); #296289=ORIENTED_EDGE('',*,*,#210881,.F.); #296290=ORIENTED_EDGE('',*,*,#210914,.T.); #296291=ORIENTED_EDGE('',*,*,#210869,.T.); #296292=ORIENTED_EDGE('',*,*,#210913,.F.); #296293=ORIENTED_EDGE('',*,*,#210915,.T.); #296294=ORIENTED_EDGE('',*,*,#210870,.T.); #296295=ORIENTED_EDGE('',*,*,#210914,.F.); #296296=ORIENTED_EDGE('',*,*,#210895,.F.); #296297=ORIENTED_EDGE('',*,*,#210916,.T.); #296298=ORIENTED_EDGE('',*,*,#210871,.T.); #296299=ORIENTED_EDGE('',*,*,#210915,.F.); #296300=ORIENTED_EDGE('',*,*,#210894,.F.); #296301=ORIENTED_EDGE('',*,*,#210912,.T.); #296302=ORIENTED_EDGE('',*,*,#210872,.T.); #296303=ORIENTED_EDGE('',*,*,#210916,.F.); #296304=ORIENTED_EDGE('',*,*,#210893,.F.); #296305=ORIENTED_EDGE('',*,*,#210840,.F.); #296306=ORIENTED_EDGE('',*,*,#210876,.T.); #296307=ORIENTED_EDGE('',*,*,#210844,.F.); #296308=ORIENTED_EDGE('',*,*,#210813,.F.); #296309=ORIENTED_EDGE('',*,*,#210846,.F.); #296310=ORIENTED_EDGE('',*,*,#210792,.T.); #296311=ORIENTED_EDGE('',*,*,#210841,.F.); #296312=ORIENTED_EDGE('',*,*,#210811,.F.); #296313=ORIENTED_EDGE('',*,*,#210830,.F.); #296314=ORIENTED_EDGE('',*,*,#210875,.T.); #296315=ORIENTED_EDGE('',*,*,#210834,.F.); #296316=ORIENTED_EDGE('',*,*,#210805,.F.); #296317=ORIENTED_EDGE('',*,*,#210837,.F.); #296318=ORIENTED_EDGE('',*,*,#210784,.T.); #296319=ORIENTED_EDGE('',*,*,#210831,.F.); #296320=ORIENTED_EDGE('',*,*,#210803,.F.); #296321=ORIENTED_EDGE('',*,*,#210917,.F.); #296322=ORIENTED_EDGE('',*,*,#210918,.T.); #296323=ORIENTED_EDGE('',*,*,#210919,.T.); #296324=ORIENTED_EDGE('',*,*,#210823,.T.); #296325=ORIENTED_EDGE('',*,*,#210920,.F.); #296326=ORIENTED_EDGE('',*,*,#210921,.T.); #296327=ORIENTED_EDGE('',*,*,#210917,.T.); #296328=ORIENTED_EDGE('',*,*,#210822,.T.); #296329=ORIENTED_EDGE('',*,*,#210922,.F.); #296330=ORIENTED_EDGE('',*,*,#210923,.T.); #296331=ORIENTED_EDGE('',*,*,#210920,.T.); #296332=ORIENTED_EDGE('',*,*,#210825,.T.); #296333=ORIENTED_EDGE('',*,*,#210919,.F.); #296334=ORIENTED_EDGE('',*,*,#210924,.T.); #296335=ORIENTED_EDGE('',*,*,#210922,.T.); #296336=ORIENTED_EDGE('',*,*,#210824,.T.); #296337=ORIENTED_EDGE('',*,*,#210925,.T.); #296338=ORIENTED_EDGE('',*,*,#210926,.T.); #296339=ORIENTED_EDGE('',*,*,#210927,.T.); #296340=ORIENTED_EDGE('',*,*,#210928,.T.); #296341=ORIENTED_EDGE('',*,*,#210929,.F.); #296342=ORIENTED_EDGE('',*,*,#210921,.F.); #296343=ORIENTED_EDGE('',*,*,#210930,.F.); #296344=ORIENTED_EDGE('',*,*,#210928,.F.); #296345=ORIENTED_EDGE('',*,*,#210930,.T.); #296346=ORIENTED_EDGE('',*,*,#210923,.F.); #296347=ORIENTED_EDGE('',*,*,#210931,.F.); #296348=ORIENTED_EDGE('',*,*,#210925,.F.); #296349=ORIENTED_EDGE('',*,*,#210929,.T.); #296350=ORIENTED_EDGE('',*,*,#210927,.F.); #296351=ORIENTED_EDGE('',*,*,#210932,.F.); #296352=ORIENTED_EDGE('',*,*,#210918,.F.); #296353=ORIENTED_EDGE('',*,*,#210931,.T.); #296354=ORIENTED_EDGE('',*,*,#210924,.F.); #296355=ORIENTED_EDGE('',*,*,#210932,.T.); #296356=ORIENTED_EDGE('',*,*,#210926,.F.); #296357=ORIENTED_EDGE('',*,*,#210933,.F.); #296358=ORIENTED_EDGE('',*,*,#210934,.T.); #296359=ORIENTED_EDGE('',*,*,#210935,.T.); #296360=ORIENTED_EDGE('',*,*,#210827,.T.); #296361=ORIENTED_EDGE('',*,*,#210936,.F.); #296362=ORIENTED_EDGE('',*,*,#210937,.T.); #296363=ORIENTED_EDGE('',*,*,#210933,.T.); #296364=ORIENTED_EDGE('',*,*,#210826,.T.); #296365=ORIENTED_EDGE('',*,*,#210938,.F.); #296366=ORIENTED_EDGE('',*,*,#210939,.T.); #296367=ORIENTED_EDGE('',*,*,#210936,.T.); #296368=ORIENTED_EDGE('',*,*,#210829,.T.); #296369=ORIENTED_EDGE('',*,*,#210935,.F.); #296370=ORIENTED_EDGE('',*,*,#210940,.T.); #296371=ORIENTED_EDGE('',*,*,#210938,.T.); #296372=ORIENTED_EDGE('',*,*,#210828,.T.); #296373=ORIENTED_EDGE('',*,*,#210941,.T.); #296374=ORIENTED_EDGE('',*,*,#210942,.T.); #296375=ORIENTED_EDGE('',*,*,#210943,.T.); #296376=ORIENTED_EDGE('',*,*,#210944,.T.); #296377=ORIENTED_EDGE('',*,*,#210945,.F.); #296378=ORIENTED_EDGE('',*,*,#210937,.F.); #296379=ORIENTED_EDGE('',*,*,#210946,.F.); #296380=ORIENTED_EDGE('',*,*,#210944,.F.); #296381=ORIENTED_EDGE('',*,*,#210946,.T.); #296382=ORIENTED_EDGE('',*,*,#210939,.F.); #296383=ORIENTED_EDGE('',*,*,#210947,.F.); #296384=ORIENTED_EDGE('',*,*,#210941,.F.); #296385=ORIENTED_EDGE('',*,*,#210945,.T.); #296386=ORIENTED_EDGE('',*,*,#210943,.F.); #296387=ORIENTED_EDGE('',*,*,#210948,.F.); #296388=ORIENTED_EDGE('',*,*,#210934,.F.); #296389=ORIENTED_EDGE('',*,*,#210947,.T.); #296390=ORIENTED_EDGE('',*,*,#210940,.F.); #296391=ORIENTED_EDGE('',*,*,#210948,.T.); #296392=ORIENTED_EDGE('',*,*,#210942,.F.); #296393=ORIENTED_EDGE('',*,*,#210949,.T.); #296394=ORIENTED_EDGE('',*,*,#210950,.T.); #296395=ORIENTED_EDGE('',*,*,#210951,.F.); #296396=ORIENTED_EDGE('',*,*,#210814,.F.); #296397=ORIENTED_EDGE('',*,*,#210952,.T.); #296398=ORIENTED_EDGE('',*,*,#210953,.T.); #296399=ORIENTED_EDGE('',*,*,#210954,.T.); #296400=ORIENTED_EDGE('',*,*,#210955,.T.); #296401=ORIENTED_EDGE('',*,*,#210956,.T.); #296402=ORIENTED_EDGE('',*,*,#210957,.T.); #296403=ORIENTED_EDGE('',*,*,#210958,.F.); #296404=ORIENTED_EDGE('',*,*,#210816,.T.); #296405=ORIENTED_EDGE('',*,*,#210951,.T.); #296406=ORIENTED_EDGE('',*,*,#210959,.T.); #296407=ORIENTED_EDGE('',*,*,#210956,.F.); #296408=ORIENTED_EDGE('',*,*,#210815,.F.); #296409=ORIENTED_EDGE('',*,*,#210958,.T.); #296410=ORIENTED_EDGE('',*,*,#210960,.T.); #296411=ORIENTED_EDGE('',*,*,#210949,.F.); #296412=ORIENTED_EDGE('',*,*,#210817,.T.); #296413=ORIENTED_EDGE('',*,*,#210961,.F.); #296414=ORIENTED_EDGE('',*,*,#210957,.F.); #296415=ORIENTED_EDGE('',*,*,#210962,.F.); #296416=ORIENTED_EDGE('',*,*,#210955,.F.); #296417=ORIENTED_EDGE('',*,*,#210962,.T.); #296418=ORIENTED_EDGE('',*,*,#210959,.F.); #296419=ORIENTED_EDGE('',*,*,#210963,.F.); #296420=ORIENTED_EDGE('',*,*,#210952,.F.); #296421=ORIENTED_EDGE('',*,*,#210961,.T.); #296422=ORIENTED_EDGE('',*,*,#210954,.F.); #296423=ORIENTED_EDGE('',*,*,#210964,.F.); #296424=ORIENTED_EDGE('',*,*,#210960,.F.); #296425=ORIENTED_EDGE('',*,*,#210963,.T.); #296426=ORIENTED_EDGE('',*,*,#210950,.F.); #296427=ORIENTED_EDGE('',*,*,#210964,.T.); #296428=ORIENTED_EDGE('',*,*,#210953,.F.); #296429=ORIENTED_EDGE('',*,*,#210965,.T.); #296430=ORIENTED_EDGE('',*,*,#210966,.T.); #296431=ORIENTED_EDGE('',*,*,#210967,.F.); #296432=ORIENTED_EDGE('',*,*,#210806,.F.); #296433=ORIENTED_EDGE('',*,*,#210968,.T.); #296434=ORIENTED_EDGE('',*,*,#210969,.T.); #296435=ORIENTED_EDGE('',*,*,#210970,.T.); #296436=ORIENTED_EDGE('',*,*,#210971,.T.); #296437=ORIENTED_EDGE('',*,*,#210972,.T.); #296438=ORIENTED_EDGE('',*,*,#210973,.T.); #296439=ORIENTED_EDGE('',*,*,#210974,.F.); #296440=ORIENTED_EDGE('',*,*,#210808,.T.); #296441=ORIENTED_EDGE('',*,*,#210967,.T.); #296442=ORIENTED_EDGE('',*,*,#210975,.T.); #296443=ORIENTED_EDGE('',*,*,#210972,.F.); #296444=ORIENTED_EDGE('',*,*,#210807,.F.); #296445=ORIENTED_EDGE('',*,*,#210974,.T.); #296446=ORIENTED_EDGE('',*,*,#210976,.T.); #296447=ORIENTED_EDGE('',*,*,#210965,.F.); #296448=ORIENTED_EDGE('',*,*,#210809,.T.); #296449=ORIENTED_EDGE('',*,*,#210977,.F.); #296450=ORIENTED_EDGE('',*,*,#210973,.F.); #296451=ORIENTED_EDGE('',*,*,#210978,.F.); #296452=ORIENTED_EDGE('',*,*,#210971,.F.); #296453=ORIENTED_EDGE('',*,*,#210978,.T.); #296454=ORIENTED_EDGE('',*,*,#210975,.F.); #296455=ORIENTED_EDGE('',*,*,#210979,.F.); #296456=ORIENTED_EDGE('',*,*,#210968,.F.); #296457=ORIENTED_EDGE('',*,*,#210977,.T.); #296458=ORIENTED_EDGE('',*,*,#210970,.F.); #296459=ORIENTED_EDGE('',*,*,#210980,.F.); #296460=ORIENTED_EDGE('',*,*,#210976,.F.); #296461=ORIENTED_EDGE('',*,*,#210979,.T.); #296462=ORIENTED_EDGE('',*,*,#210966,.F.); #296463=ORIENTED_EDGE('',*,*,#210980,.T.); #296464=ORIENTED_EDGE('',*,*,#210969,.F.); #296465=ORIENTED_EDGE('',*,*,#210853,.T.); #296466=ORIENTED_EDGE('',*,*,#210981,.T.); #296467=ORIENTED_EDGE('',*,*,#210903,.F.); #296468=ORIENTED_EDGE('',*,*,#210982,.F.); #296469=ORIENTED_EDGE('',*,*,#210860,.T.); #296470=ORIENTED_EDGE('',*,*,#210906,.T.); #296471=ORIENTED_EDGE('',*,*,#210983,.T.); #296472=ORIENTED_EDGE('',*,*,#210856,.T.); #296473=ORIENTED_EDGE('',*,*,#210982,.T.); #296474=ORIENTED_EDGE('',*,*,#210898,.T.); #296475=ORIENTED_EDGE('',*,*,#210984,.T.); #296476=ORIENTED_EDGE('',*,*,#210849,.T.); #296477=ORIENTED_EDGE('',*,*,#210864,.F.); #296478=ORIENTED_EDGE('',*,*,#210985,.F.); #296479=ORIENTED_EDGE('',*,*,#210863,.T.); #296480=ORIENTED_EDGE('',*,*,#210986,.T.); #296481=ORIENTED_EDGE('',*,*,#210899,.T.); #296482=ORIENTED_EDGE('',*,*,#210985,.T.); #296483=ORIENTED_EDGE('',*,*,#210983,.F.); #296484=ORIENTED_EDGE('',*,*,#210905,.F.); #296485=ORIENTED_EDGE('',*,*,#210888,.F.); #296486=ORIENTED_EDGE('',*,*,#210857,.F.); #296487=ORIENTED_EDGE('',*,*,#210986,.F.); #296488=ORIENTED_EDGE('',*,*,#210862,.F.); #296489=ORIENTED_EDGE('',*,*,#210891,.F.); #296490=ORIENTED_EDGE('',*,*,#210900,.F.); #296491=ORIENTED_EDGE('',*,*,#210981,.F.); #296492=ORIENTED_EDGE('',*,*,#210852,.F.); #296493=ORIENTED_EDGE('',*,*,#210987,.F.); #296494=ORIENTED_EDGE('',*,*,#210904,.F.); #296495=ORIENTED_EDGE('',*,*,#210987,.T.); #296496=ORIENTED_EDGE('',*,*,#210851,.F.); #296497=ORIENTED_EDGE('',*,*,#210988,.F.); #296498=ORIENTED_EDGE('',*,*,#210902,.F.); #296499=ORIENTED_EDGE('',*,*,#210988,.T.); #296500=ORIENTED_EDGE('',*,*,#210850,.F.); #296501=ORIENTED_EDGE('',*,*,#210984,.F.); #296502=ORIENTED_EDGE('',*,*,#210897,.F.); #296503=ORIENTED_EDGE('',*,*,#210989,.F.); #296504=ORIENTED_EDGE('',*,*,#210990,.F.); #296505=ORIENTED_EDGE('',*,*,#210991,.F.); #296506=ORIENTED_EDGE('',*,*,#210992,.F.); #296507=ORIENTED_EDGE('',*,*,#210993,.F.); #296508=ORIENTED_EDGE('',*,*,#210994,.F.); #296509=ORIENTED_EDGE('',*,*,#210995,.F.); #296510=ORIENTED_EDGE('',*,*,#210996,.F.); #296511=ORIENTED_EDGE('',*,*,#210997,.F.); #296512=ORIENTED_EDGE('',*,*,#210998,.F.); #296513=ORIENTED_EDGE('',*,*,#210999,.F.); #296514=ORIENTED_EDGE('',*,*,#211000,.F.); #296515=ORIENTED_EDGE('',*,*,#211001,.F.); #296516=ORIENTED_EDGE('',*,*,#211002,.F.); #296517=ORIENTED_EDGE('',*,*,#211003,.F.); #296518=ORIENTED_EDGE('',*,*,#211002,.T.); #296519=ORIENTED_EDGE('',*,*,#211004,.T.); #296520=ORIENTED_EDGE('',*,*,#210997,.T.); #296521=ORIENTED_EDGE('',*,*,#211005,.T.); #296522=ORIENTED_EDGE('',*,*,#211006,.F.); #296523=ORIENTED_EDGE('',*,*,#211007,.F.); #296524=ORIENTED_EDGE('',*,*,#211008,.F.); #296525=ORIENTED_EDGE('',*,*,#211009,.F.); #296526=ORIENTED_EDGE('',*,*,#211010,.F.); #296527=ORIENTED_EDGE('',*,*,#211011,.F.); #296528=ORIENTED_EDGE('',*,*,#210996,.T.); #296529=ORIENTED_EDGE('',*,*,#211012,.T.); #296530=ORIENTED_EDGE('',*,*,#211010,.T.); #296531=ORIENTED_EDGE('',*,*,#211013,.T.); #296532=ORIENTED_EDGE('',*,*,#210999,.T.); #296533=ORIENTED_EDGE('',*,*,#211014,.T.); #296534=ORIENTED_EDGE('',*,*,#211011,.T.); #296535=ORIENTED_EDGE('',*,*,#211015,.T.); #296536=ORIENTED_EDGE('',*,*,#211016,.F.); #296537=ORIENTED_EDGE('',*,*,#211017,.F.); #296538=ORIENTED_EDGE('',*,*,#211018,.F.); #296539=ORIENTED_EDGE('',*,*,#211003,.T.); #296540=ORIENTED_EDGE('',*,*,#211019,.T.); #296541=ORIENTED_EDGE('',*,*,#211017,.T.); #296542=ORIENTED_EDGE('',*,*,#211020,.T.); #296543=ORIENTED_EDGE('',*,*,#211009,.T.); #296544=ORIENTED_EDGE('',*,*,#211021,.T.); #296545=ORIENTED_EDGE('',*,*,#211018,.T.); #296546=ORIENTED_EDGE('',*,*,#211022,.T.); #296547=ORIENTED_EDGE('',*,*,#211007,.T.); #296548=ORIENTED_EDGE('',*,*,#211023,.T.); #296549=ORIENTED_EDGE('',*,*,#211016,.T.); #296550=ORIENTED_EDGE('',*,*,#211024,.T.); #296551=ORIENTED_EDGE('',*,*,#210998,.T.); #296552=ORIENTED_EDGE('',*,*,#211025,.T.); #296553=ORIENTED_EDGE('',*,*,#211008,.T.); #296554=ORIENTED_EDGE('',*,*,#211026,.T.); #296555=ORIENTED_EDGE('',*,*,#211006,.T.); #296556=ORIENTED_EDGE('',*,*,#211027,.T.); #296557=ORIENTED_EDGE('',*,*,#210994,.T.); #296558=ORIENTED_EDGE('',*,*,#211028,.T.); #296559=ORIENTED_EDGE('',*,*,#210993,.T.); #296560=ORIENTED_EDGE('',*,*,#211029,.T.); #296561=ORIENTED_EDGE('',*,*,#211001,.T.); #296562=ORIENTED_EDGE('',*,*,#211030,.T.); #296563=ORIENTED_EDGE('',*,*,#210989,.T.); #296564=ORIENTED_EDGE('',*,*,#211031,.T.); #296565=ORIENTED_EDGE('',*,*,#211000,.T.); #296566=ORIENTED_EDGE('',*,*,#211032,.T.); #296567=ORIENTED_EDGE('',*,*,#210990,.T.); #296568=ORIENTED_EDGE('',*,*,#211033,.T.); #296569=ORIENTED_EDGE('',*,*,#210995,.T.); #296570=ORIENTED_EDGE('',*,*,#211034,.T.); #296571=ORIENTED_EDGE('',*,*,#210992,.T.); #296572=ORIENTED_EDGE('',*,*,#211035,.T.); #296573=ORIENTED_EDGE('',*,*,#210991,.T.); #296574=ORIENTED_EDGE('',*,*,#211036,.T.); #296575=ORIENTED_EDGE('',*,*,#211004,.F.); #296576=ORIENTED_EDGE('',*,*,#211029,.F.); #296577=ORIENTED_EDGE('',*,*,#211036,.F.); #296578=ORIENTED_EDGE('',*,*,#211034,.F.); #296579=ORIENTED_EDGE('',*,*,#211005,.F.); #296580=ORIENTED_EDGE('',*,*,#211013,.F.); #296581=ORIENTED_EDGE('',*,*,#211022,.F.); #296582=ORIENTED_EDGE('',*,*,#211019,.F.); #296583=ORIENTED_EDGE('',*,*,#211012,.F.); #296584=ORIENTED_EDGE('',*,*,#211033,.F.); #296585=ORIENTED_EDGE('',*,*,#211032,.F.); #296586=ORIENTED_EDGE('',*,*,#211015,.F.); #296587=ORIENTED_EDGE('',*,*,#211014,.F.); #296588=ORIENTED_EDGE('',*,*,#211026,.F.); #296589=ORIENTED_EDGE('',*,*,#211024,.F.); #296590=ORIENTED_EDGE('',*,*,#211021,.F.); #296591=ORIENTED_EDGE('',*,*,#211020,.F.); #296592=ORIENTED_EDGE('',*,*,#211023,.F.); #296593=ORIENTED_EDGE('',*,*,#211028,.F.); #296594=ORIENTED_EDGE('',*,*,#211030,.F.); #296595=ORIENTED_EDGE('',*,*,#211025,.F.); #296596=ORIENTED_EDGE('',*,*,#211031,.F.); #296597=ORIENTED_EDGE('',*,*,#211035,.F.); #296598=ORIENTED_EDGE('',*,*,#211027,.F.); #296599=ORIENTED_EDGE('',*,*,#211037,.F.); #296600=ORIENTED_EDGE('',*,*,#211038,.F.); #296601=ORIENTED_EDGE('',*,*,#211039,.F.); #296602=ORIENTED_EDGE('',*,*,#211040,.F.); #296603=ORIENTED_EDGE('',*,*,#211041,.F.); #296604=ORIENTED_EDGE('',*,*,#211042,.F.); #296605=ORIENTED_EDGE('',*,*,#211043,.F.); #296606=ORIENTED_EDGE('',*,*,#211044,.F.); #296607=ORIENTED_EDGE('',*,*,#211045,.F.); #296608=ORIENTED_EDGE('',*,*,#211046,.F.); #296609=ORIENTED_EDGE('',*,*,#211047,.F.); #296610=ORIENTED_EDGE('',*,*,#211048,.F.); #296611=ORIENTED_EDGE('',*,*,#211049,.F.); #296612=ORIENTED_EDGE('',*,*,#211050,.F.); #296613=ORIENTED_EDGE('',*,*,#211051,.F.); #296614=ORIENTED_EDGE('',*,*,#211050,.T.); #296615=ORIENTED_EDGE('',*,*,#211052,.T.); #296616=ORIENTED_EDGE('',*,*,#211045,.T.); #296617=ORIENTED_EDGE('',*,*,#211053,.T.); #296618=ORIENTED_EDGE('',*,*,#211054,.F.); #296619=ORIENTED_EDGE('',*,*,#211055,.F.); #296620=ORIENTED_EDGE('',*,*,#211056,.F.); #296621=ORIENTED_EDGE('',*,*,#211057,.F.); #296622=ORIENTED_EDGE('',*,*,#211058,.F.); #296623=ORIENTED_EDGE('',*,*,#211059,.F.); #296624=ORIENTED_EDGE('',*,*,#211044,.T.); #296625=ORIENTED_EDGE('',*,*,#211060,.T.); #296626=ORIENTED_EDGE('',*,*,#211058,.T.); #296627=ORIENTED_EDGE('',*,*,#211061,.T.); #296628=ORIENTED_EDGE('',*,*,#211047,.T.); #296629=ORIENTED_EDGE('',*,*,#211062,.T.); #296630=ORIENTED_EDGE('',*,*,#211059,.T.); #296631=ORIENTED_EDGE('',*,*,#211063,.T.); #296632=ORIENTED_EDGE('',*,*,#211064,.F.); #296633=ORIENTED_EDGE('',*,*,#211065,.F.); #296634=ORIENTED_EDGE('',*,*,#211066,.F.); #296635=ORIENTED_EDGE('',*,*,#211065,.T.); #296636=ORIENTED_EDGE('',*,*,#211067,.T.); #296637=ORIENTED_EDGE('',*,*,#211051,.T.); #296638=ORIENTED_EDGE('',*,*,#211068,.T.); #296639=ORIENTED_EDGE('',*,*,#211057,.T.); #296640=ORIENTED_EDGE('',*,*,#211069,.T.); #296641=ORIENTED_EDGE('',*,*,#211066,.T.); #296642=ORIENTED_EDGE('',*,*,#211070,.T.); #296643=ORIENTED_EDGE('',*,*,#211055,.T.); #296644=ORIENTED_EDGE('',*,*,#211071,.T.); #296645=ORIENTED_EDGE('',*,*,#211064,.T.); #296646=ORIENTED_EDGE('',*,*,#211072,.T.); #296647=ORIENTED_EDGE('',*,*,#211046,.T.); #296648=ORIENTED_EDGE('',*,*,#211073,.T.); #296649=ORIENTED_EDGE('',*,*,#211056,.T.); #296650=ORIENTED_EDGE('',*,*,#211074,.T.); #296651=ORIENTED_EDGE('',*,*,#211054,.T.); #296652=ORIENTED_EDGE('',*,*,#211075,.T.); #296653=ORIENTED_EDGE('',*,*,#211042,.T.); #296654=ORIENTED_EDGE('',*,*,#211076,.T.); #296655=ORIENTED_EDGE('',*,*,#211041,.T.); #296656=ORIENTED_EDGE('',*,*,#211077,.T.); #296657=ORIENTED_EDGE('',*,*,#211049,.T.); #296658=ORIENTED_EDGE('',*,*,#211078,.T.); #296659=ORIENTED_EDGE('',*,*,#211037,.T.); #296660=ORIENTED_EDGE('',*,*,#211079,.T.); #296661=ORIENTED_EDGE('',*,*,#211048,.T.); #296662=ORIENTED_EDGE('',*,*,#211080,.T.); #296663=ORIENTED_EDGE('',*,*,#211038,.T.); #296664=ORIENTED_EDGE('',*,*,#211081,.T.); #296665=ORIENTED_EDGE('',*,*,#211043,.T.); #296666=ORIENTED_EDGE('',*,*,#211082,.T.); #296667=ORIENTED_EDGE('',*,*,#211040,.T.); #296668=ORIENTED_EDGE('',*,*,#211083,.T.); #296669=ORIENTED_EDGE('',*,*,#211039,.T.); #296670=ORIENTED_EDGE('',*,*,#211084,.T.); #296671=ORIENTED_EDGE('',*,*,#211052,.F.); #296672=ORIENTED_EDGE('',*,*,#211077,.F.); #296673=ORIENTED_EDGE('',*,*,#211084,.F.); #296674=ORIENTED_EDGE('',*,*,#211082,.F.); #296675=ORIENTED_EDGE('',*,*,#211053,.F.); #296676=ORIENTED_EDGE('',*,*,#211061,.F.); #296677=ORIENTED_EDGE('',*,*,#211070,.F.); #296678=ORIENTED_EDGE('',*,*,#211068,.F.); #296679=ORIENTED_EDGE('',*,*,#211060,.F.); #296680=ORIENTED_EDGE('',*,*,#211081,.F.); #296681=ORIENTED_EDGE('',*,*,#211080,.F.); #296682=ORIENTED_EDGE('',*,*,#211063,.F.); #296683=ORIENTED_EDGE('',*,*,#211062,.F.); #296684=ORIENTED_EDGE('',*,*,#211074,.F.); #296685=ORIENTED_EDGE('',*,*,#211072,.F.); #296686=ORIENTED_EDGE('',*,*,#211069,.F.); #296687=ORIENTED_EDGE('',*,*,#211067,.F.); #296688=ORIENTED_EDGE('',*,*,#211071,.F.); #296689=ORIENTED_EDGE('',*,*,#211076,.F.); #296690=ORIENTED_EDGE('',*,*,#211078,.F.); #296691=ORIENTED_EDGE('',*,*,#211073,.F.); #296692=ORIENTED_EDGE('',*,*,#211079,.F.); #296693=ORIENTED_EDGE('',*,*,#211083,.F.); #296694=ORIENTED_EDGE('',*,*,#211075,.F.); #296695=ORIENTED_EDGE('',*,*,#211085,.F.); #296696=ORIENTED_EDGE('',*,*,#211086,.T.); #296697=ORIENTED_EDGE('',*,*,#211087,.F.); #296698=ORIENTED_EDGE('',*,*,#211088,.F.); #296699=ORIENTED_EDGE('',*,*,#211089,.T.); #296700=ORIENTED_EDGE('',*,*,#211088,.T.); #296701=ORIENTED_EDGE('',*,*,#211090,.F.); #296702=ORIENTED_EDGE('',*,*,#211091,.F.); #296703=ORIENTED_EDGE('',*,*,#211092,.T.); #296704=ORIENTED_EDGE('',*,*,#211091,.T.); #296705=ORIENTED_EDGE('',*,*,#211093,.F.); #296706=ORIENTED_EDGE('',*,*,#211094,.F.); #296707=ORIENTED_EDGE('',*,*,#211095,.T.); #296708=ORIENTED_EDGE('',*,*,#211094,.T.); #296709=ORIENTED_EDGE('',*,*,#211096,.F.); #296710=ORIENTED_EDGE('',*,*,#211086,.F.); #296711=ORIENTED_EDGE('',*,*,#211096,.T.); #296712=ORIENTED_EDGE('',*,*,#211093,.T.); #296713=ORIENTED_EDGE('',*,*,#211090,.T.); #296714=ORIENTED_EDGE('',*,*,#211087,.T.); #296715=ORIENTED_EDGE('',*,*,#211095,.F.); #296716=ORIENTED_EDGE('',*,*,#211085,.T.); #296717=ORIENTED_EDGE('',*,*,#211089,.F.); #296718=ORIENTED_EDGE('',*,*,#211092,.F.); #296719=ORIENTED_EDGE('',*,*,#211097,.F.); #296720=ORIENTED_EDGE('',*,*,#211098,.F.); #296721=ORIENTED_EDGE('',*,*,#211099,.F.); #296722=ORIENTED_EDGE('',*,*,#211100,.F.); #296723=ORIENTED_EDGE('',*,*,#211101,.F.); #296724=ORIENTED_EDGE('',*,*,#211102,.F.); #296725=ORIENTED_EDGE('',*,*,#211103,.F.); #296726=ORIENTED_EDGE('',*,*,#211104,.F.); #296727=ORIENTED_EDGE('',*,*,#211105,.F.); #296728=ORIENTED_EDGE('',*,*,#211106,.F.); #296729=ORIENTED_EDGE('',*,*,#211107,.F.); #296730=ORIENTED_EDGE('',*,*,#211108,.F.); #296731=ORIENTED_EDGE('',*,*,#211109,.F.); #296732=ORIENTED_EDGE('',*,*,#211110,.F.); #296733=ORIENTED_EDGE('',*,*,#211111,.F.); #296734=ORIENTED_EDGE('',*,*,#211110,.T.); #296735=ORIENTED_EDGE('',*,*,#211112,.T.); #296736=ORIENTED_EDGE('',*,*,#211105,.T.); #296737=ORIENTED_EDGE('',*,*,#211113,.T.); #296738=ORIENTED_EDGE('',*,*,#211114,.F.); #296739=ORIENTED_EDGE('',*,*,#211115,.F.); #296740=ORIENTED_EDGE('',*,*,#211116,.F.); #296741=ORIENTED_EDGE('',*,*,#211117,.F.); #296742=ORIENTED_EDGE('',*,*,#211118,.F.); #296743=ORIENTED_EDGE('',*,*,#211119,.F.); #296744=ORIENTED_EDGE('',*,*,#211104,.T.); #296745=ORIENTED_EDGE('',*,*,#211120,.T.); #296746=ORIENTED_EDGE('',*,*,#211118,.T.); #296747=ORIENTED_EDGE('',*,*,#211121,.T.); #296748=ORIENTED_EDGE('',*,*,#211107,.T.); #296749=ORIENTED_EDGE('',*,*,#211122,.T.); #296750=ORIENTED_EDGE('',*,*,#211119,.T.); #296751=ORIENTED_EDGE('',*,*,#211123,.T.); #296752=ORIENTED_EDGE('',*,*,#211124,.F.); #296753=ORIENTED_EDGE('',*,*,#211125,.F.); #296754=ORIENTED_EDGE('',*,*,#211126,.F.); #296755=ORIENTED_EDGE('',*,*,#211111,.T.); #296756=ORIENTED_EDGE('',*,*,#211127,.T.); #296757=ORIENTED_EDGE('',*,*,#211125,.T.); #296758=ORIENTED_EDGE('',*,*,#211128,.T.); #296759=ORIENTED_EDGE('',*,*,#211117,.T.); #296760=ORIENTED_EDGE('',*,*,#211129,.T.); #296761=ORIENTED_EDGE('',*,*,#211126,.T.); #296762=ORIENTED_EDGE('',*,*,#211130,.T.); #296763=ORIENTED_EDGE('',*,*,#211115,.T.); #296764=ORIENTED_EDGE('',*,*,#211131,.T.); #296765=ORIENTED_EDGE('',*,*,#211124,.T.); #296766=ORIENTED_EDGE('',*,*,#211132,.T.); #296767=ORIENTED_EDGE('',*,*,#211106,.T.); #296768=ORIENTED_EDGE('',*,*,#211133,.T.); #296769=ORIENTED_EDGE('',*,*,#211116,.T.); #296770=ORIENTED_EDGE('',*,*,#211134,.T.); #296771=ORIENTED_EDGE('',*,*,#211114,.T.); #296772=ORIENTED_EDGE('',*,*,#211135,.T.); #296773=ORIENTED_EDGE('',*,*,#211102,.T.); #296774=ORIENTED_EDGE('',*,*,#211136,.T.); #296775=ORIENTED_EDGE('',*,*,#211101,.T.); #296776=ORIENTED_EDGE('',*,*,#211137,.T.); #296777=ORIENTED_EDGE('',*,*,#211109,.T.); #296778=ORIENTED_EDGE('',*,*,#211138,.T.); #296779=ORIENTED_EDGE('',*,*,#211097,.T.); #296780=ORIENTED_EDGE('',*,*,#211139,.T.); #296781=ORIENTED_EDGE('',*,*,#211108,.T.); #296782=ORIENTED_EDGE('',*,*,#211140,.T.); #296783=ORIENTED_EDGE('',*,*,#211098,.T.); #296784=ORIENTED_EDGE('',*,*,#211141,.T.); #296785=ORIENTED_EDGE('',*,*,#211103,.T.); #296786=ORIENTED_EDGE('',*,*,#211142,.T.); #296787=ORIENTED_EDGE('',*,*,#211100,.T.); #296788=ORIENTED_EDGE('',*,*,#211143,.T.); #296789=ORIENTED_EDGE('',*,*,#211099,.T.); #296790=ORIENTED_EDGE('',*,*,#211144,.T.); #296791=ORIENTED_EDGE('',*,*,#211112,.F.); #296792=ORIENTED_EDGE('',*,*,#211137,.F.); #296793=ORIENTED_EDGE('',*,*,#211144,.F.); #296794=ORIENTED_EDGE('',*,*,#211142,.F.); #296795=ORIENTED_EDGE('',*,*,#211113,.F.); #296796=ORIENTED_EDGE('',*,*,#211121,.F.); #296797=ORIENTED_EDGE('',*,*,#211130,.F.); #296798=ORIENTED_EDGE('',*,*,#211127,.F.); #296799=ORIENTED_EDGE('',*,*,#211120,.F.); #296800=ORIENTED_EDGE('',*,*,#211141,.F.); #296801=ORIENTED_EDGE('',*,*,#211140,.F.); #296802=ORIENTED_EDGE('',*,*,#211123,.F.); #296803=ORIENTED_EDGE('',*,*,#211122,.F.); #296804=ORIENTED_EDGE('',*,*,#211134,.F.); #296805=ORIENTED_EDGE('',*,*,#211132,.F.); #296806=ORIENTED_EDGE('',*,*,#211129,.F.); #296807=ORIENTED_EDGE('',*,*,#211128,.F.); #296808=ORIENTED_EDGE('',*,*,#211131,.F.); #296809=ORIENTED_EDGE('',*,*,#211136,.F.); #296810=ORIENTED_EDGE('',*,*,#211138,.F.); #296811=ORIENTED_EDGE('',*,*,#211133,.F.); #296812=ORIENTED_EDGE('',*,*,#211139,.F.); #296813=ORIENTED_EDGE('',*,*,#211143,.F.); #296814=ORIENTED_EDGE('',*,*,#211135,.F.); #296815=ORIENTED_EDGE('',*,*,#211145,.F.); #296816=ORIENTED_EDGE('',*,*,#211146,.F.); #296817=ORIENTED_EDGE('',*,*,#211147,.F.); #296818=ORIENTED_EDGE('',*,*,#211148,.F.); #296819=ORIENTED_EDGE('',*,*,#211149,.F.); #296820=ORIENTED_EDGE('',*,*,#211150,.F.); #296821=ORIENTED_EDGE('',*,*,#211151,.F.); #296822=ORIENTED_EDGE('',*,*,#211152,.F.); #296823=ORIENTED_EDGE('',*,*,#211153,.F.); #296824=ORIENTED_EDGE('',*,*,#211154,.F.); #296825=ORIENTED_EDGE('',*,*,#211155,.F.); #296826=ORIENTED_EDGE('',*,*,#211156,.F.); #296827=ORIENTED_EDGE('',*,*,#211157,.F.); #296828=ORIENTED_EDGE('',*,*,#211158,.F.); #296829=ORIENTED_EDGE('',*,*,#211159,.F.); #296830=ORIENTED_EDGE('',*,*,#211158,.T.); #296831=ORIENTED_EDGE('',*,*,#211160,.T.); #296832=ORIENTED_EDGE('',*,*,#211153,.T.); #296833=ORIENTED_EDGE('',*,*,#211161,.T.); #296834=ORIENTED_EDGE('',*,*,#211162,.F.); #296835=ORIENTED_EDGE('',*,*,#211163,.F.); #296836=ORIENTED_EDGE('',*,*,#211164,.F.); #296837=ORIENTED_EDGE('',*,*,#211165,.F.); #296838=ORIENTED_EDGE('',*,*,#211166,.F.); #296839=ORIENTED_EDGE('',*,*,#211167,.F.); #296840=ORIENTED_EDGE('',*,*,#211152,.T.); #296841=ORIENTED_EDGE('',*,*,#211168,.T.); #296842=ORIENTED_EDGE('',*,*,#211166,.T.); #296843=ORIENTED_EDGE('',*,*,#211169,.T.); #296844=ORIENTED_EDGE('',*,*,#211155,.T.); #296845=ORIENTED_EDGE('',*,*,#211170,.T.); #296846=ORIENTED_EDGE('',*,*,#211167,.T.); #296847=ORIENTED_EDGE('',*,*,#211171,.T.); #296848=ORIENTED_EDGE('',*,*,#211172,.F.); #296849=ORIENTED_EDGE('',*,*,#211173,.F.); #296850=ORIENTED_EDGE('',*,*,#211174,.F.); #296851=ORIENTED_EDGE('',*,*,#211173,.T.); #296852=ORIENTED_EDGE('',*,*,#211175,.T.); #296853=ORIENTED_EDGE('',*,*,#211159,.T.); #296854=ORIENTED_EDGE('',*,*,#211176,.T.); #296855=ORIENTED_EDGE('',*,*,#211165,.T.); #296856=ORIENTED_EDGE('',*,*,#211177,.T.); #296857=ORIENTED_EDGE('',*,*,#211174,.T.); #296858=ORIENTED_EDGE('',*,*,#211178,.T.); #296859=ORIENTED_EDGE('',*,*,#211163,.T.); #296860=ORIENTED_EDGE('',*,*,#211179,.T.); #296861=ORIENTED_EDGE('',*,*,#211172,.T.); #296862=ORIENTED_EDGE('',*,*,#211180,.T.); #296863=ORIENTED_EDGE('',*,*,#211154,.T.); #296864=ORIENTED_EDGE('',*,*,#211181,.T.); #296865=ORIENTED_EDGE('',*,*,#211164,.T.); #296866=ORIENTED_EDGE('',*,*,#211182,.T.); #296867=ORIENTED_EDGE('',*,*,#211162,.T.); #296868=ORIENTED_EDGE('',*,*,#211183,.T.); #296869=ORIENTED_EDGE('',*,*,#211150,.T.); #296870=ORIENTED_EDGE('',*,*,#211184,.T.); #296871=ORIENTED_EDGE('',*,*,#211149,.T.); #296872=ORIENTED_EDGE('',*,*,#211185,.T.); #296873=ORIENTED_EDGE('',*,*,#211157,.T.); #296874=ORIENTED_EDGE('',*,*,#211186,.T.); #296875=ORIENTED_EDGE('',*,*,#211145,.T.); #296876=ORIENTED_EDGE('',*,*,#211187,.T.); #296877=ORIENTED_EDGE('',*,*,#211156,.T.); #296878=ORIENTED_EDGE('',*,*,#211188,.T.); #296879=ORIENTED_EDGE('',*,*,#211146,.T.); #296880=ORIENTED_EDGE('',*,*,#211189,.T.); #296881=ORIENTED_EDGE('',*,*,#211151,.T.); #296882=ORIENTED_EDGE('',*,*,#211190,.T.); #296883=ORIENTED_EDGE('',*,*,#211148,.T.); #296884=ORIENTED_EDGE('',*,*,#211191,.T.); #296885=ORIENTED_EDGE('',*,*,#211147,.T.); #296886=ORIENTED_EDGE('',*,*,#211192,.T.); #296887=ORIENTED_EDGE('',*,*,#211160,.F.); #296888=ORIENTED_EDGE('',*,*,#211185,.F.); #296889=ORIENTED_EDGE('',*,*,#211192,.F.); #296890=ORIENTED_EDGE('',*,*,#211190,.F.); #296891=ORIENTED_EDGE('',*,*,#211161,.F.); #296892=ORIENTED_EDGE('',*,*,#211169,.F.); #296893=ORIENTED_EDGE('',*,*,#211178,.F.); #296894=ORIENTED_EDGE('',*,*,#211176,.F.); #296895=ORIENTED_EDGE('',*,*,#211168,.F.); #296896=ORIENTED_EDGE('',*,*,#211189,.F.); #296897=ORIENTED_EDGE('',*,*,#211188,.F.); #296898=ORIENTED_EDGE('',*,*,#211171,.F.); #296899=ORIENTED_EDGE('',*,*,#211170,.F.); #296900=ORIENTED_EDGE('',*,*,#211182,.F.); #296901=ORIENTED_EDGE('',*,*,#211180,.F.); #296902=ORIENTED_EDGE('',*,*,#211177,.F.); #296903=ORIENTED_EDGE('',*,*,#211175,.F.); #296904=ORIENTED_EDGE('',*,*,#211179,.F.); #296905=ORIENTED_EDGE('',*,*,#211184,.F.); #296906=ORIENTED_EDGE('',*,*,#211186,.F.); #296907=ORIENTED_EDGE('',*,*,#211181,.F.); #296908=ORIENTED_EDGE('',*,*,#211187,.F.); #296909=ORIENTED_EDGE('',*,*,#211191,.F.); #296910=ORIENTED_EDGE('',*,*,#211183,.F.); #296911=ORIENTED_EDGE('',*,*,#211193,.F.); #296912=ORIENTED_EDGE('',*,*,#211194,.T.); #296913=ORIENTED_EDGE('',*,*,#211195,.F.); #296914=ORIENTED_EDGE('',*,*,#211196,.F.); #296915=ORIENTED_EDGE('',*,*,#211197,.T.); #296916=ORIENTED_EDGE('',*,*,#211196,.T.); #296917=ORIENTED_EDGE('',*,*,#211198,.F.); #296918=ORIENTED_EDGE('',*,*,#211199,.F.); #296919=ORIENTED_EDGE('',*,*,#211200,.T.); #296920=ORIENTED_EDGE('',*,*,#211199,.T.); #296921=ORIENTED_EDGE('',*,*,#211201,.F.); #296922=ORIENTED_EDGE('',*,*,#211202,.F.); #296923=ORIENTED_EDGE('',*,*,#211203,.T.); #296924=ORIENTED_EDGE('',*,*,#211202,.T.); #296925=ORIENTED_EDGE('',*,*,#211204,.F.); #296926=ORIENTED_EDGE('',*,*,#211194,.F.); #296927=ORIENTED_EDGE('',*,*,#211204,.T.); #296928=ORIENTED_EDGE('',*,*,#211201,.T.); #296929=ORIENTED_EDGE('',*,*,#211198,.T.); #296930=ORIENTED_EDGE('',*,*,#211195,.T.); #296931=ORIENTED_EDGE('',*,*,#211203,.F.); #296932=ORIENTED_EDGE('',*,*,#211193,.T.); #296933=ORIENTED_EDGE('',*,*,#211197,.F.); #296934=ORIENTED_EDGE('',*,*,#211200,.F.); #296935=ORIENTED_EDGE('',*,*,#211205,.F.); #296936=ORIENTED_EDGE('',*,*,#211206,.F.); #296937=ORIENTED_EDGE('',*,*,#211207,.F.); #296938=ORIENTED_EDGE('',*,*,#211208,.F.); #296939=ORIENTED_EDGE('',*,*,#211209,.F.); #296940=ORIENTED_EDGE('',*,*,#211210,.F.); #296941=ORIENTED_EDGE('',*,*,#211211,.F.); #296942=ORIENTED_EDGE('',*,*,#211212,.F.); #296943=ORIENTED_EDGE('',*,*,#211213,.F.); #296944=ORIENTED_EDGE('',*,*,#211214,.F.); #296945=ORIENTED_EDGE('',*,*,#211215,.F.); #296946=ORIENTED_EDGE('',*,*,#211216,.F.); #296947=ORIENTED_EDGE('',*,*,#211217,.F.); #296948=ORIENTED_EDGE('',*,*,#211218,.F.); #296949=ORIENTED_EDGE('',*,*,#211219,.F.); #296950=ORIENTED_EDGE('',*,*,#211218,.T.); #296951=ORIENTED_EDGE('',*,*,#211220,.T.); #296952=ORIENTED_EDGE('',*,*,#211213,.T.); #296953=ORIENTED_EDGE('',*,*,#211221,.T.); #296954=ORIENTED_EDGE('',*,*,#211222,.F.); #296955=ORIENTED_EDGE('',*,*,#211223,.F.); #296956=ORIENTED_EDGE('',*,*,#211224,.F.); #296957=ORIENTED_EDGE('',*,*,#211225,.F.); #296958=ORIENTED_EDGE('',*,*,#211226,.F.); #296959=ORIENTED_EDGE('',*,*,#211227,.F.); #296960=ORIENTED_EDGE('',*,*,#211212,.T.); #296961=ORIENTED_EDGE('',*,*,#211228,.T.); #296962=ORIENTED_EDGE('',*,*,#211226,.T.); #296963=ORIENTED_EDGE('',*,*,#211229,.T.); #296964=ORIENTED_EDGE('',*,*,#211215,.T.); #296965=ORIENTED_EDGE('',*,*,#211230,.T.); #296966=ORIENTED_EDGE('',*,*,#211227,.T.); #296967=ORIENTED_EDGE('',*,*,#211231,.T.); #296968=ORIENTED_EDGE('',*,*,#211232,.F.); #296969=ORIENTED_EDGE('',*,*,#211233,.F.); #296970=ORIENTED_EDGE('',*,*,#211234,.F.); #296971=ORIENTED_EDGE('',*,*,#211219,.T.); #296972=ORIENTED_EDGE('',*,*,#211235,.T.); #296973=ORIENTED_EDGE('',*,*,#211233,.T.); #296974=ORIENTED_EDGE('',*,*,#211236,.T.); #296975=ORIENTED_EDGE('',*,*,#211225,.T.); #296976=ORIENTED_EDGE('',*,*,#211237,.T.); #296977=ORIENTED_EDGE('',*,*,#211234,.T.); #296978=ORIENTED_EDGE('',*,*,#211238,.T.); #296979=ORIENTED_EDGE('',*,*,#211223,.T.); #296980=ORIENTED_EDGE('',*,*,#211239,.T.); #296981=ORIENTED_EDGE('',*,*,#211232,.T.); #296982=ORIENTED_EDGE('',*,*,#211240,.T.); #296983=ORIENTED_EDGE('',*,*,#211214,.T.); #296984=ORIENTED_EDGE('',*,*,#211241,.T.); #296985=ORIENTED_EDGE('',*,*,#211224,.T.); #296986=ORIENTED_EDGE('',*,*,#211242,.T.); #296987=ORIENTED_EDGE('',*,*,#211222,.T.); #296988=ORIENTED_EDGE('',*,*,#211243,.T.); #296989=ORIENTED_EDGE('',*,*,#211210,.T.); #296990=ORIENTED_EDGE('',*,*,#211244,.T.); #296991=ORIENTED_EDGE('',*,*,#211209,.T.); #296992=ORIENTED_EDGE('',*,*,#211245,.T.); #296993=ORIENTED_EDGE('',*,*,#211217,.T.); #296994=ORIENTED_EDGE('',*,*,#211246,.T.); #296995=ORIENTED_EDGE('',*,*,#211205,.T.); #296996=ORIENTED_EDGE('',*,*,#211247,.T.); #296997=ORIENTED_EDGE('',*,*,#211216,.T.); #296998=ORIENTED_EDGE('',*,*,#211248,.T.); #296999=ORIENTED_EDGE('',*,*,#211206,.T.); #297000=ORIENTED_EDGE('',*,*,#211249,.T.); #297001=ORIENTED_EDGE('',*,*,#211211,.T.); #297002=ORIENTED_EDGE('',*,*,#211250,.T.); #297003=ORIENTED_EDGE('',*,*,#211208,.T.); #297004=ORIENTED_EDGE('',*,*,#211251,.T.); #297005=ORIENTED_EDGE('',*,*,#211207,.T.); #297006=ORIENTED_EDGE('',*,*,#211252,.T.); #297007=ORIENTED_EDGE('',*,*,#211220,.F.); #297008=ORIENTED_EDGE('',*,*,#211245,.F.); #297009=ORIENTED_EDGE('',*,*,#211252,.F.); #297010=ORIENTED_EDGE('',*,*,#211250,.F.); #297011=ORIENTED_EDGE('',*,*,#211221,.F.); #297012=ORIENTED_EDGE('',*,*,#211229,.F.); #297013=ORIENTED_EDGE('',*,*,#211238,.F.); #297014=ORIENTED_EDGE('',*,*,#211235,.F.); #297015=ORIENTED_EDGE('',*,*,#211228,.F.); #297016=ORIENTED_EDGE('',*,*,#211249,.F.); #297017=ORIENTED_EDGE('',*,*,#211248,.F.); #297018=ORIENTED_EDGE('',*,*,#211231,.F.); #297019=ORIENTED_EDGE('',*,*,#211230,.F.); #297020=ORIENTED_EDGE('',*,*,#211242,.F.); #297021=ORIENTED_EDGE('',*,*,#211240,.F.); #297022=ORIENTED_EDGE('',*,*,#211237,.F.); #297023=ORIENTED_EDGE('',*,*,#211236,.F.); #297024=ORIENTED_EDGE('',*,*,#211239,.F.); #297025=ORIENTED_EDGE('',*,*,#211244,.F.); #297026=ORIENTED_EDGE('',*,*,#211246,.F.); #297027=ORIENTED_EDGE('',*,*,#211241,.F.); #297028=ORIENTED_EDGE('',*,*,#211247,.F.); #297029=ORIENTED_EDGE('',*,*,#211251,.F.); #297030=ORIENTED_EDGE('',*,*,#211243,.F.); #297031=ORIENTED_EDGE('',*,*,#211253,.F.); #297032=ORIENTED_EDGE('',*,*,#211254,.F.); #297033=ORIENTED_EDGE('',*,*,#211255,.F.); #297034=ORIENTED_EDGE('',*,*,#211256,.F.); #297035=ORIENTED_EDGE('',*,*,#211257,.F.); #297036=ORIENTED_EDGE('',*,*,#211258,.F.); #297037=ORIENTED_EDGE('',*,*,#211259,.F.); #297038=ORIENTED_EDGE('',*,*,#211260,.F.); #297039=ORIENTED_EDGE('',*,*,#211261,.F.); #297040=ORIENTED_EDGE('',*,*,#211262,.F.); #297041=ORIENTED_EDGE('',*,*,#211263,.F.); #297042=ORIENTED_EDGE('',*,*,#211264,.F.); #297043=ORIENTED_EDGE('',*,*,#211265,.F.); #297044=ORIENTED_EDGE('',*,*,#211266,.F.); #297045=ORIENTED_EDGE('',*,*,#211267,.F.); #297046=ORIENTED_EDGE('',*,*,#211266,.T.); #297047=ORIENTED_EDGE('',*,*,#211268,.T.); #297048=ORIENTED_EDGE('',*,*,#211261,.T.); #297049=ORIENTED_EDGE('',*,*,#211269,.T.); #297050=ORIENTED_EDGE('',*,*,#211270,.F.); #297051=ORIENTED_EDGE('',*,*,#211271,.F.); #297052=ORIENTED_EDGE('',*,*,#211272,.F.); #297053=ORIENTED_EDGE('',*,*,#211273,.F.); #297054=ORIENTED_EDGE('',*,*,#211274,.F.); #297055=ORIENTED_EDGE('',*,*,#211275,.F.); #297056=ORIENTED_EDGE('',*,*,#211260,.T.); #297057=ORIENTED_EDGE('',*,*,#211276,.T.); #297058=ORIENTED_EDGE('',*,*,#211274,.T.); #297059=ORIENTED_EDGE('',*,*,#211277,.T.); #297060=ORIENTED_EDGE('',*,*,#211263,.T.); #297061=ORIENTED_EDGE('',*,*,#211278,.T.); #297062=ORIENTED_EDGE('',*,*,#211275,.T.); #297063=ORIENTED_EDGE('',*,*,#211279,.T.); #297064=ORIENTED_EDGE('',*,*,#211280,.F.); #297065=ORIENTED_EDGE('',*,*,#211281,.F.); #297066=ORIENTED_EDGE('',*,*,#211282,.F.); #297067=ORIENTED_EDGE('',*,*,#211281,.T.); #297068=ORIENTED_EDGE('',*,*,#211283,.T.); #297069=ORIENTED_EDGE('',*,*,#211267,.T.); #297070=ORIENTED_EDGE('',*,*,#211284,.T.); #297071=ORIENTED_EDGE('',*,*,#211273,.T.); #297072=ORIENTED_EDGE('',*,*,#211285,.T.); #297073=ORIENTED_EDGE('',*,*,#211282,.T.); #297074=ORIENTED_EDGE('',*,*,#211286,.T.); #297075=ORIENTED_EDGE('',*,*,#211271,.T.); #297076=ORIENTED_EDGE('',*,*,#211287,.T.); #297077=ORIENTED_EDGE('',*,*,#211280,.T.); #297078=ORIENTED_EDGE('',*,*,#211288,.T.); #297079=ORIENTED_EDGE('',*,*,#211262,.T.); #297080=ORIENTED_EDGE('',*,*,#211289,.T.); #297081=ORIENTED_EDGE('',*,*,#211272,.T.); #297082=ORIENTED_EDGE('',*,*,#211290,.T.); #297083=ORIENTED_EDGE('',*,*,#211270,.T.); #297084=ORIENTED_EDGE('',*,*,#211291,.T.); #297085=ORIENTED_EDGE('',*,*,#211258,.T.); #297086=ORIENTED_EDGE('',*,*,#211292,.T.); #297087=ORIENTED_EDGE('',*,*,#211257,.T.); #297088=ORIENTED_EDGE('',*,*,#211293,.T.); #297089=ORIENTED_EDGE('',*,*,#211265,.T.); #297090=ORIENTED_EDGE('',*,*,#211294,.T.); #297091=ORIENTED_EDGE('',*,*,#211253,.T.); #297092=ORIENTED_EDGE('',*,*,#211295,.T.); #297093=ORIENTED_EDGE('',*,*,#211264,.T.); #297094=ORIENTED_EDGE('',*,*,#211296,.T.); #297095=ORIENTED_EDGE('',*,*,#211254,.T.); #297096=ORIENTED_EDGE('',*,*,#211297,.T.); #297097=ORIENTED_EDGE('',*,*,#211259,.T.); #297098=ORIENTED_EDGE('',*,*,#211298,.T.); #297099=ORIENTED_EDGE('',*,*,#211256,.T.); #297100=ORIENTED_EDGE('',*,*,#211299,.T.); #297101=ORIENTED_EDGE('',*,*,#211255,.T.); #297102=ORIENTED_EDGE('',*,*,#211300,.T.); #297103=ORIENTED_EDGE('',*,*,#211268,.F.); #297104=ORIENTED_EDGE('',*,*,#211293,.F.); #297105=ORIENTED_EDGE('',*,*,#211300,.F.); #297106=ORIENTED_EDGE('',*,*,#211298,.F.); #297107=ORIENTED_EDGE('',*,*,#211269,.F.); #297108=ORIENTED_EDGE('',*,*,#211277,.F.); #297109=ORIENTED_EDGE('',*,*,#211286,.F.); #297110=ORIENTED_EDGE('',*,*,#211284,.F.); #297111=ORIENTED_EDGE('',*,*,#211276,.F.); #297112=ORIENTED_EDGE('',*,*,#211297,.F.); #297113=ORIENTED_EDGE('',*,*,#211296,.F.); #297114=ORIENTED_EDGE('',*,*,#211279,.F.); #297115=ORIENTED_EDGE('',*,*,#211278,.F.); #297116=ORIENTED_EDGE('',*,*,#211290,.F.); #297117=ORIENTED_EDGE('',*,*,#211288,.F.); #297118=ORIENTED_EDGE('',*,*,#211285,.F.); #297119=ORIENTED_EDGE('',*,*,#211283,.F.); #297120=ORIENTED_EDGE('',*,*,#211287,.F.); #297121=ORIENTED_EDGE('',*,*,#211292,.F.); #297122=ORIENTED_EDGE('',*,*,#211294,.F.); #297123=ORIENTED_EDGE('',*,*,#211289,.F.); #297124=ORIENTED_EDGE('',*,*,#211295,.F.); #297125=ORIENTED_EDGE('',*,*,#211299,.F.); #297126=ORIENTED_EDGE('',*,*,#211291,.F.); #297127=ORIENTED_EDGE('',*,*,#211301,.F.); #297128=ORIENTED_EDGE('',*,*,#211302,.T.); #297129=ORIENTED_EDGE('',*,*,#211303,.F.); #297130=ORIENTED_EDGE('',*,*,#211304,.F.); #297131=ORIENTED_EDGE('',*,*,#211305,.T.); #297132=ORIENTED_EDGE('',*,*,#211304,.T.); #297133=ORIENTED_EDGE('',*,*,#211306,.F.); #297134=ORIENTED_EDGE('',*,*,#211307,.F.); #297135=ORIENTED_EDGE('',*,*,#211308,.T.); #297136=ORIENTED_EDGE('',*,*,#211307,.T.); #297137=ORIENTED_EDGE('',*,*,#211309,.F.); #297138=ORIENTED_EDGE('',*,*,#211310,.F.); #297139=ORIENTED_EDGE('',*,*,#211311,.T.); #297140=ORIENTED_EDGE('',*,*,#211310,.T.); #297141=ORIENTED_EDGE('',*,*,#211312,.F.); #297142=ORIENTED_EDGE('',*,*,#211302,.F.); #297143=ORIENTED_EDGE('',*,*,#211312,.T.); #297144=ORIENTED_EDGE('',*,*,#211309,.T.); #297145=ORIENTED_EDGE('',*,*,#211306,.T.); #297146=ORIENTED_EDGE('',*,*,#211303,.T.); #297147=ORIENTED_EDGE('',*,*,#211311,.F.); #297148=ORIENTED_EDGE('',*,*,#211301,.T.); #297149=ORIENTED_EDGE('',*,*,#211305,.F.); #297150=ORIENTED_EDGE('',*,*,#211308,.F.); #297151=ORIENTED_EDGE('',*,*,#211313,.F.); #297152=ORIENTED_EDGE('',*,*,#211314,.T.); #297153=ORIENTED_EDGE('',*,*,#211315,.T.); #297154=ORIENTED_EDGE('',*,*,#211314,.F.); #297155=ORIENTED_EDGE('',*,*,#211315,.F.); #297156=ORIENTED_EDGE('',*,*,#211316,.F.); #297157=ORIENTED_EDGE('',*,*,#211317,.F.); #297158=ORIENTED_EDGE('',*,*,#211318,.T.); #297159=ORIENTED_EDGE('',*,*,#211316,.T.); #297160=ORIENTED_EDGE('',*,*,#211318,.F.); #297161=ORIENTED_EDGE('',*,*,#211319,.F.); #297162=ORIENTED_EDGE('',*,*,#211320,.T.); #297163=ORIENTED_EDGE('',*,*,#211317,.T.); #297164=ORIENTED_EDGE('',*,*,#211320,.F.); #297165=ORIENTED_EDGE('',*,*,#211321,.F.); #297166=ORIENTED_EDGE('',*,*,#211322,.T.); #297167=ORIENTED_EDGE('',*,*,#211319,.T.); #297168=ORIENTED_EDGE('',*,*,#211322,.F.); #297169=ORIENTED_EDGE('',*,*,#211321,.T.); #297170=ORIENTED_EDGE('',*,*,#211323,.T.); #297171=ORIENTED_EDGE('',*,*,#211324,.F.); #297172=ORIENTED_EDGE('',*,*,#211323,.F.); #297173=ORIENTED_EDGE('',*,*,#211325,.F.); #297174=ORIENTED_EDGE('',*,*,#211326,.T.); #297175=ORIENTED_EDGE('',*,*,#211324,.T.); #297176=ORIENTED_EDGE('',*,*,#211326,.F.); #297177=ORIENTED_EDGE('',*,*,#211327,.F.); #297178=ORIENTED_EDGE('',*,*,#211328,.T.); #297179=ORIENTED_EDGE('',*,*,#211325,.T.); #297180=ORIENTED_EDGE('',*,*,#211328,.F.); #297181=ORIENTED_EDGE('',*,*,#211329,.F.); #297182=ORIENTED_EDGE('',*,*,#211330,.T.); #297183=ORIENTED_EDGE('',*,*,#211327,.T.); #297184=ORIENTED_EDGE('',*,*,#211330,.F.); #297185=ORIENTED_EDGE('',*,*,#211329,.T.); #297186=ORIENTED_EDGE('',*,*,#211313,.T.); #297187=ORIENTED_EDGE('',*,*,#211331,.T.); #297188=ORIENTED_EDGE('',*,*,#211332,.T.); #297189=ORIENTED_EDGE('',*,*,#211333,.T.); #297190=ORIENTED_EDGE('',*,*,#211334,.T.); #297191=ORIENTED_EDGE('',*,*,#211335,.T.); #297192=ORIENTED_EDGE('',*,*,#211336,.T.); #297193=ORIENTED_EDGE('',*,*,#211337,.T.); #297194=ORIENTED_EDGE('',*,*,#211338,.T.); #297195=ORIENTED_EDGE('',*,*,#211339,.T.); #297196=ORIENTED_EDGE('',*,*,#211340,.T.); #297197=ORIENTED_EDGE('',*,*,#211341,.T.); #297198=ORIENTED_EDGE('',*,*,#211342,.T.); #297199=ORIENTED_EDGE('',*,*,#211343,.T.); #297200=ORIENTED_EDGE('',*,*,#211344,.T.); #297201=ORIENTED_EDGE('',*,*,#211345,.T.); #297202=ORIENTED_EDGE('',*,*,#211346,.T.); #297203=ORIENTED_EDGE('',*,*,#211347,.F.); #297204=ORIENTED_EDGE('',*,*,#211348,.F.); #297205=ORIENTED_EDGE('',*,*,#211349,.F.); #297206=ORIENTED_EDGE('',*,*,#211332,.F.); #297207=ORIENTED_EDGE('',*,*,#211349,.T.); #297208=ORIENTED_EDGE('',*,*,#211350,.F.); #297209=ORIENTED_EDGE('',*,*,#211351,.F.); #297210=ORIENTED_EDGE('',*,*,#211333,.F.); #297211=ORIENTED_EDGE('',*,*,#211351,.T.); #297212=ORIENTED_EDGE('',*,*,#211352,.F.); #297213=ORIENTED_EDGE('',*,*,#211353,.F.); #297214=ORIENTED_EDGE('',*,*,#211334,.F.); #297215=ORIENTED_EDGE('',*,*,#211353,.T.); #297216=ORIENTED_EDGE('',*,*,#211354,.F.); #297217=ORIENTED_EDGE('',*,*,#211355,.F.); #297218=ORIENTED_EDGE('',*,*,#211335,.F.); #297219=ORIENTED_EDGE('',*,*,#211355,.T.); #297220=ORIENTED_EDGE('',*,*,#211356,.F.); #297221=ORIENTED_EDGE('',*,*,#211357,.F.); #297222=ORIENTED_EDGE('',*,*,#211336,.F.); #297223=ORIENTED_EDGE('',*,*,#211357,.T.); #297224=ORIENTED_EDGE('',*,*,#211358,.F.); #297225=ORIENTED_EDGE('',*,*,#211359,.F.); #297226=ORIENTED_EDGE('',*,*,#211337,.F.); #297227=ORIENTED_EDGE('',*,*,#211359,.T.); #297228=ORIENTED_EDGE('',*,*,#211360,.F.); #297229=ORIENTED_EDGE('',*,*,#211361,.F.); #297230=ORIENTED_EDGE('',*,*,#211338,.F.); #297231=ORIENTED_EDGE('',*,*,#211361,.T.); #297232=ORIENTED_EDGE('',*,*,#211362,.F.); #297233=ORIENTED_EDGE('',*,*,#211363,.F.); #297234=ORIENTED_EDGE('',*,*,#211339,.F.); #297235=ORIENTED_EDGE('',*,*,#211363,.T.); #297236=ORIENTED_EDGE('',*,*,#211364,.F.); #297237=ORIENTED_EDGE('',*,*,#211365,.F.); #297238=ORIENTED_EDGE('',*,*,#211340,.F.); #297239=ORIENTED_EDGE('',*,*,#211365,.T.); #297240=ORIENTED_EDGE('',*,*,#211366,.F.); #297241=ORIENTED_EDGE('',*,*,#211367,.F.); #297242=ORIENTED_EDGE('',*,*,#211341,.F.); #297243=ORIENTED_EDGE('',*,*,#211367,.T.); #297244=ORIENTED_EDGE('',*,*,#211368,.F.); #297245=ORIENTED_EDGE('',*,*,#211369,.F.); #297246=ORIENTED_EDGE('',*,*,#211342,.F.); #297247=ORIENTED_EDGE('',*,*,#211369,.T.); #297248=ORIENTED_EDGE('',*,*,#211370,.F.); #297249=ORIENTED_EDGE('',*,*,#211371,.F.); #297250=ORIENTED_EDGE('',*,*,#211343,.F.); #297251=ORIENTED_EDGE('',*,*,#211371,.T.); #297252=ORIENTED_EDGE('',*,*,#211372,.F.); #297253=ORIENTED_EDGE('',*,*,#211373,.F.); #297254=ORIENTED_EDGE('',*,*,#211344,.F.); #297255=ORIENTED_EDGE('',*,*,#211373,.T.); #297256=ORIENTED_EDGE('',*,*,#211374,.F.); #297257=ORIENTED_EDGE('',*,*,#211375,.F.); #297258=ORIENTED_EDGE('',*,*,#211345,.F.); #297259=ORIENTED_EDGE('',*,*,#211375,.T.); #297260=ORIENTED_EDGE('',*,*,#211376,.F.); #297261=ORIENTED_EDGE('',*,*,#211377,.F.); #297262=ORIENTED_EDGE('',*,*,#211346,.F.); #297263=ORIENTED_EDGE('',*,*,#211377,.T.); #297264=ORIENTED_EDGE('',*,*,#211378,.F.); #297265=ORIENTED_EDGE('',*,*,#211347,.T.); #297266=ORIENTED_EDGE('',*,*,#211331,.F.); #297267=ORIENTED_EDGE('',*,*,#211378,.T.); #297268=ORIENTED_EDGE('',*,*,#211376,.T.); #297269=ORIENTED_EDGE('',*,*,#211374,.T.); #297270=ORIENTED_EDGE('',*,*,#211372,.T.); #297271=ORIENTED_EDGE('',*,*,#211370,.T.); #297272=ORIENTED_EDGE('',*,*,#211368,.T.); #297273=ORIENTED_EDGE('',*,*,#211366,.T.); #297274=ORIENTED_EDGE('',*,*,#211364,.T.); #297275=ORIENTED_EDGE('',*,*,#211362,.T.); #297276=ORIENTED_EDGE('',*,*,#211360,.T.); #297277=ORIENTED_EDGE('',*,*,#211358,.T.); #297278=ORIENTED_EDGE('',*,*,#211356,.T.); #297279=ORIENTED_EDGE('',*,*,#211354,.T.); #297280=ORIENTED_EDGE('',*,*,#211352,.T.); #297281=ORIENTED_EDGE('',*,*,#211350,.T.); #297282=ORIENTED_EDGE('',*,*,#211348,.T.); #297283=ORIENTED_EDGE('',*,*,#211379,.F.); #297284=ORIENTED_EDGE('',*,*,#211380,.T.); #297285=ORIENTED_EDGE('',*,*,#211381,.F.); #297286=ORIENTED_EDGE('',*,*,#211380,.F.); #297287=ORIENTED_EDGE('',*,*,#211381,.T.); #297288=ORIENTED_EDGE('',*,*,#211379,.T.); #297289=ORIENTED_EDGE('',*,*,#211382,.T.); #297290=ORIENTED_EDGE('',*,*,#211383,.T.); #297291=ORIENTED_EDGE('',*,*,#211384,.T.); #297292=ORIENTED_EDGE('',*,*,#211383,.F.); #297293=ORIENTED_EDGE('',*,*,#211384,.F.); #297294=ORIENTED_EDGE('',*,*,#211382,.F.); #297295=ORIENTED_EDGE('',*,*,#211385,.T.); #297296=ORIENTED_EDGE('',*,*,#211386,.T.); #297297=ORIENTED_EDGE('',*,*,#211387,.T.); #297298=ORIENTED_EDGE('',*,*,#211388,.T.); #297299=ORIENTED_EDGE('',*,*,#211389,.F.); #297300=ORIENTED_EDGE('',*,*,#211390,.F.); #297301=ORIENTED_EDGE('',*,*,#211391,.F.); #297302=ORIENTED_EDGE('',*,*,#211386,.F.); #297303=ORIENTED_EDGE('',*,*,#211391,.T.); #297304=ORIENTED_EDGE('',*,*,#211392,.F.); #297305=ORIENTED_EDGE('',*,*,#211393,.F.); #297306=ORIENTED_EDGE('',*,*,#211387,.F.); #297307=ORIENTED_EDGE('',*,*,#211393,.T.); #297308=ORIENTED_EDGE('',*,*,#211394,.F.); #297309=ORIENTED_EDGE('',*,*,#211395,.F.); #297310=ORIENTED_EDGE('',*,*,#211388,.F.); #297311=ORIENTED_EDGE('',*,*,#211395,.T.); #297312=ORIENTED_EDGE('',*,*,#211396,.F.); #297313=ORIENTED_EDGE('',*,*,#211389,.T.); #297314=ORIENTED_EDGE('',*,*,#211385,.F.); #297315=ORIENTED_EDGE('',*,*,#211396,.T.); #297316=ORIENTED_EDGE('',*,*,#211394,.T.); #297317=ORIENTED_EDGE('',*,*,#211392,.T.); #297318=ORIENTED_EDGE('',*,*,#211390,.T.); #297319=ORIENTED_EDGE('',*,*,#211397,.T.); #297320=ORIENTED_EDGE('',*,*,#211398,.T.); #297321=ORIENTED_EDGE('',*,*,#211399,.T.); #297322=ORIENTED_EDGE('',*,*,#211400,.T.); #297323=ORIENTED_EDGE('',*,*,#211401,.F.); #297324=ORIENTED_EDGE('',*,*,#211402,.F.); #297325=ORIENTED_EDGE('',*,*,#211403,.F.); #297326=ORIENTED_EDGE('',*,*,#211398,.F.); #297327=ORIENTED_EDGE('',*,*,#211403,.T.); #297328=ORIENTED_EDGE('',*,*,#211404,.F.); #297329=ORIENTED_EDGE('',*,*,#211405,.F.); #297330=ORIENTED_EDGE('',*,*,#211399,.F.); #297331=ORIENTED_EDGE('',*,*,#211405,.T.); #297332=ORIENTED_EDGE('',*,*,#211406,.F.); #297333=ORIENTED_EDGE('',*,*,#211407,.F.); #297334=ORIENTED_EDGE('',*,*,#211400,.F.); #297335=ORIENTED_EDGE('',*,*,#211407,.T.); #297336=ORIENTED_EDGE('',*,*,#211408,.F.); #297337=ORIENTED_EDGE('',*,*,#211401,.T.); #297338=ORIENTED_EDGE('',*,*,#211397,.F.); #297339=ORIENTED_EDGE('',*,*,#211408,.T.); #297340=ORIENTED_EDGE('',*,*,#211406,.T.); #297341=ORIENTED_EDGE('',*,*,#211404,.T.); #297342=ORIENTED_EDGE('',*,*,#211402,.T.); #297343=ORIENTED_EDGE('',*,*,#211409,.T.); #297344=ORIENTED_EDGE('',*,*,#211410,.T.); #297345=ORIENTED_EDGE('',*,*,#211411,.T.); #297346=ORIENTED_EDGE('',*,*,#211412,.T.); #297347=ORIENTED_EDGE('',*,*,#211413,.F.); #297348=ORIENTED_EDGE('',*,*,#211414,.F.); #297349=ORIENTED_EDGE('',*,*,#211415,.F.); #297350=ORIENTED_EDGE('',*,*,#211410,.F.); #297351=ORIENTED_EDGE('',*,*,#211415,.T.); #297352=ORIENTED_EDGE('',*,*,#211416,.F.); #297353=ORIENTED_EDGE('',*,*,#211417,.F.); #297354=ORIENTED_EDGE('',*,*,#211411,.F.); #297355=ORIENTED_EDGE('',*,*,#211417,.T.); #297356=ORIENTED_EDGE('',*,*,#211418,.F.); #297357=ORIENTED_EDGE('',*,*,#211419,.F.); #297358=ORIENTED_EDGE('',*,*,#211412,.F.); #297359=ORIENTED_EDGE('',*,*,#211419,.T.); #297360=ORIENTED_EDGE('',*,*,#211420,.F.); #297361=ORIENTED_EDGE('',*,*,#211413,.T.); #297362=ORIENTED_EDGE('',*,*,#211409,.F.); #297363=ORIENTED_EDGE('',*,*,#211420,.T.); #297364=ORIENTED_EDGE('',*,*,#211418,.T.); #297365=ORIENTED_EDGE('',*,*,#211416,.T.); #297366=ORIENTED_EDGE('',*,*,#211414,.T.); #297367=ORIENTED_EDGE('',*,*,#211421,.T.); #297368=ORIENTED_EDGE('',*,*,#211422,.T.); #297369=ORIENTED_EDGE('',*,*,#211423,.T.); #297370=ORIENTED_EDGE('',*,*,#211424,.T.); #297371=ORIENTED_EDGE('',*,*,#211425,.F.); #297372=ORIENTED_EDGE('',*,*,#211426,.F.); #297373=ORIENTED_EDGE('',*,*,#211427,.F.); #297374=ORIENTED_EDGE('',*,*,#211422,.F.); #297375=ORIENTED_EDGE('',*,*,#211427,.T.); #297376=ORIENTED_EDGE('',*,*,#211428,.F.); #297377=ORIENTED_EDGE('',*,*,#211429,.F.); #297378=ORIENTED_EDGE('',*,*,#211423,.F.); #297379=ORIENTED_EDGE('',*,*,#211429,.T.); #297380=ORIENTED_EDGE('',*,*,#211430,.F.); #297381=ORIENTED_EDGE('',*,*,#211431,.F.); #297382=ORIENTED_EDGE('',*,*,#211424,.F.); #297383=ORIENTED_EDGE('',*,*,#211431,.T.); #297384=ORIENTED_EDGE('',*,*,#211432,.F.); #297385=ORIENTED_EDGE('',*,*,#211425,.T.); #297386=ORIENTED_EDGE('',*,*,#211421,.F.); #297387=ORIENTED_EDGE('',*,*,#211432,.T.); #297388=ORIENTED_EDGE('',*,*,#211430,.T.); #297389=ORIENTED_EDGE('',*,*,#211428,.T.); #297390=ORIENTED_EDGE('',*,*,#211426,.T.); #297391=ORIENTED_EDGE('',*,*,#211433,.T.); #297392=ORIENTED_EDGE('',*,*,#211434,.T.); #297393=ORIENTED_EDGE('',*,*,#211435,.T.); #297394=ORIENTED_EDGE('',*,*,#211436,.T.); #297395=ORIENTED_EDGE('',*,*,#211437,.F.); #297396=ORIENTED_EDGE('',*,*,#211438,.F.); #297397=ORIENTED_EDGE('',*,*,#211439,.F.); #297398=ORIENTED_EDGE('',*,*,#211434,.F.); #297399=ORIENTED_EDGE('',*,*,#211439,.T.); #297400=ORIENTED_EDGE('',*,*,#211440,.F.); #297401=ORIENTED_EDGE('',*,*,#211441,.F.); #297402=ORIENTED_EDGE('',*,*,#211435,.F.); #297403=ORIENTED_EDGE('',*,*,#211441,.T.); #297404=ORIENTED_EDGE('',*,*,#211442,.F.); #297405=ORIENTED_EDGE('',*,*,#211443,.F.); #297406=ORIENTED_EDGE('',*,*,#211436,.F.); #297407=ORIENTED_EDGE('',*,*,#211443,.T.); #297408=ORIENTED_EDGE('',*,*,#211444,.F.); #297409=ORIENTED_EDGE('',*,*,#211437,.T.); #297410=ORIENTED_EDGE('',*,*,#211433,.F.); #297411=ORIENTED_EDGE('',*,*,#211444,.T.); #297412=ORIENTED_EDGE('',*,*,#211442,.T.); #297413=ORIENTED_EDGE('',*,*,#211440,.T.); #297414=ORIENTED_EDGE('',*,*,#211438,.T.); #297415=ORIENTED_EDGE('',*,*,#211445,.T.); #297416=ORIENTED_EDGE('',*,*,#211446,.T.); #297417=ORIENTED_EDGE('',*,*,#211447,.T.); #297418=ORIENTED_EDGE('',*,*,#211448,.T.); #297419=ORIENTED_EDGE('',*,*,#211449,.F.); #297420=ORIENTED_EDGE('',*,*,#211450,.F.); #297421=ORIENTED_EDGE('',*,*,#211451,.F.); #297422=ORIENTED_EDGE('',*,*,#211446,.F.); #297423=ORIENTED_EDGE('',*,*,#211451,.T.); #297424=ORIENTED_EDGE('',*,*,#211452,.F.); #297425=ORIENTED_EDGE('',*,*,#211453,.F.); #297426=ORIENTED_EDGE('',*,*,#211447,.F.); #297427=ORIENTED_EDGE('',*,*,#211453,.T.); #297428=ORIENTED_EDGE('',*,*,#211454,.F.); #297429=ORIENTED_EDGE('',*,*,#211455,.F.); #297430=ORIENTED_EDGE('',*,*,#211448,.F.); #297431=ORIENTED_EDGE('',*,*,#211455,.T.); #297432=ORIENTED_EDGE('',*,*,#211456,.F.); #297433=ORIENTED_EDGE('',*,*,#211449,.T.); #297434=ORIENTED_EDGE('',*,*,#211445,.F.); #297435=ORIENTED_EDGE('',*,*,#211456,.T.); #297436=ORIENTED_EDGE('',*,*,#211454,.T.); #297437=ORIENTED_EDGE('',*,*,#211452,.T.); #297438=ORIENTED_EDGE('',*,*,#211450,.T.); #297439=ORIENTED_EDGE('',*,*,#211457,.T.); #297440=ORIENTED_EDGE('',*,*,#211458,.T.); #297441=ORIENTED_EDGE('',*,*,#211459,.T.); #297442=ORIENTED_EDGE('',*,*,#211460,.T.); #297443=ORIENTED_EDGE('',*,*,#211461,.F.); #297444=ORIENTED_EDGE('',*,*,#211462,.F.); #297445=ORIENTED_EDGE('',*,*,#211463,.F.); #297446=ORIENTED_EDGE('',*,*,#211458,.F.); #297447=ORIENTED_EDGE('',*,*,#211463,.T.); #297448=ORIENTED_EDGE('',*,*,#211464,.F.); #297449=ORIENTED_EDGE('',*,*,#211465,.F.); #297450=ORIENTED_EDGE('',*,*,#211459,.F.); #297451=ORIENTED_EDGE('',*,*,#211465,.T.); #297452=ORIENTED_EDGE('',*,*,#211466,.F.); #297453=ORIENTED_EDGE('',*,*,#211467,.F.); #297454=ORIENTED_EDGE('',*,*,#211460,.F.); #297455=ORIENTED_EDGE('',*,*,#211467,.T.); #297456=ORIENTED_EDGE('',*,*,#211468,.F.); #297457=ORIENTED_EDGE('',*,*,#211461,.T.); #297458=ORIENTED_EDGE('',*,*,#211457,.F.); #297459=ORIENTED_EDGE('',*,*,#211468,.T.); #297460=ORIENTED_EDGE('',*,*,#211466,.T.); #297461=ORIENTED_EDGE('',*,*,#211464,.T.); #297462=ORIENTED_EDGE('',*,*,#211462,.T.); #297463=ORIENTED_EDGE('',*,*,#211469,.T.); #297464=ORIENTED_EDGE('',*,*,#211470,.T.); #297465=ORIENTED_EDGE('',*,*,#211471,.T.); #297466=ORIENTED_EDGE('',*,*,#211472,.T.); #297467=ORIENTED_EDGE('',*,*,#211473,.F.); #297468=ORIENTED_EDGE('',*,*,#211474,.F.); #297469=ORIENTED_EDGE('',*,*,#211475,.F.); #297470=ORIENTED_EDGE('',*,*,#211470,.F.); #297471=ORIENTED_EDGE('',*,*,#211475,.T.); #297472=ORIENTED_EDGE('',*,*,#211476,.F.); #297473=ORIENTED_EDGE('',*,*,#211477,.F.); #297474=ORIENTED_EDGE('',*,*,#211471,.F.); #297475=ORIENTED_EDGE('',*,*,#211477,.T.); #297476=ORIENTED_EDGE('',*,*,#211478,.F.); #297477=ORIENTED_EDGE('',*,*,#211479,.F.); #297478=ORIENTED_EDGE('',*,*,#211472,.F.); #297479=ORIENTED_EDGE('',*,*,#211479,.T.); #297480=ORIENTED_EDGE('',*,*,#211480,.F.); #297481=ORIENTED_EDGE('',*,*,#211473,.T.); #297482=ORIENTED_EDGE('',*,*,#211469,.F.); #297483=ORIENTED_EDGE('',*,*,#211480,.T.); #297484=ORIENTED_EDGE('',*,*,#211478,.T.); #297485=ORIENTED_EDGE('',*,*,#211476,.T.); #297486=ORIENTED_EDGE('',*,*,#211474,.T.); #297487=ORIENTED_EDGE('',*,*,#211481,.T.); #297488=ORIENTED_EDGE('',*,*,#211482,.T.); #297489=ORIENTED_EDGE('',*,*,#211483,.T.); #297490=ORIENTED_EDGE('',*,*,#211484,.T.); #297491=ORIENTED_EDGE('',*,*,#211485,.F.); #297492=ORIENTED_EDGE('',*,*,#211486,.F.); #297493=ORIENTED_EDGE('',*,*,#211487,.F.); #297494=ORIENTED_EDGE('',*,*,#211482,.F.); #297495=ORIENTED_EDGE('',*,*,#211487,.T.); #297496=ORIENTED_EDGE('',*,*,#211488,.F.); #297497=ORIENTED_EDGE('',*,*,#211489,.F.); #297498=ORIENTED_EDGE('',*,*,#211483,.F.); #297499=ORIENTED_EDGE('',*,*,#211489,.T.); #297500=ORIENTED_EDGE('',*,*,#211490,.F.); #297501=ORIENTED_EDGE('',*,*,#211491,.F.); #297502=ORIENTED_EDGE('',*,*,#211484,.F.); #297503=ORIENTED_EDGE('',*,*,#211491,.T.); #297504=ORIENTED_EDGE('',*,*,#211492,.F.); #297505=ORIENTED_EDGE('',*,*,#211485,.T.); #297506=ORIENTED_EDGE('',*,*,#211481,.F.); #297507=ORIENTED_EDGE('',*,*,#211492,.T.); #297508=ORIENTED_EDGE('',*,*,#211490,.T.); #297509=ORIENTED_EDGE('',*,*,#211488,.T.); #297510=ORIENTED_EDGE('',*,*,#211486,.T.); #297511=ORIENTED_EDGE('',*,*,#211493,.F.); #297512=ORIENTED_EDGE('',*,*,#211494,.T.); #297513=ORIENTED_EDGE('',*,*,#211495,.T.); #297514=ORIENTED_EDGE('',*,*,#211494,.F.); #297515=ORIENTED_EDGE('',*,*,#211495,.F.); #297516=ORIENTED_EDGE('',*,*,#211496,.T.); #297517=ORIENTED_EDGE('',*,*,#211497,.T.); #297518=ORIENTED_EDGE('',*,*,#211498,.T.); #297519=ORIENTED_EDGE('',*,*,#211499,.T.); #297520=ORIENTED_EDGE('',*,*,#211500,.T.); #297521=ORIENTED_EDGE('',*,*,#211497,.F.); #297522=ORIENTED_EDGE('',*,*,#211501,.T.); #297523=ORIENTED_EDGE('',*,*,#211502,.F.); #297524=ORIENTED_EDGE('',*,*,#211503,.T.); #297525=ORIENTED_EDGE('',*,*,#211499,.F.); #297526=ORIENTED_EDGE('',*,*,#211504,.T.); #297527=ORIENTED_EDGE('',*,*,#211505,.T.); #297528=ORIENTED_EDGE('',*,*,#211506,.T.); #297529=ORIENTED_EDGE('',*,*,#211505,.F.); #297530=ORIENTED_EDGE('',*,*,#211507,.T.); #297531=ORIENTED_EDGE('',*,*,#211502,.T.); #297532=ORIENTED_EDGE('',*,*,#211504,.F.); #297533=ORIENTED_EDGE('',*,*,#211508,.T.); #297534=ORIENTED_EDGE('',*,*,#211509,.F.); #297535=ORIENTED_EDGE('',*,*,#211510,.F.); #297536=ORIENTED_EDGE('',*,*,#211498,.F.); #297537=ORIENTED_EDGE('',*,*,#211511,.T.); #297538=ORIENTED_EDGE('',*,*,#211512,.F.); #297539=ORIENTED_EDGE('',*,*,#211508,.F.); #297540=ORIENTED_EDGE('',*,*,#211496,.F.); #297541=ORIENTED_EDGE('',*,*,#211503,.F.); #297542=ORIENTED_EDGE('',*,*,#211506,.F.); #297543=ORIENTED_EDGE('',*,*,#211501,.F.); #297544=ORIENTED_EDGE('',*,*,#211493,.T.); #297545=ORIENTED_EDGE('',*,*,#211507,.F.); #297546=ORIENTED_EDGE('',*,*,#211510,.T.); #297547=ORIENTED_EDGE('',*,*,#211513,.F.); #297548=ORIENTED_EDGE('',*,*,#211514,.F.); #297549=ORIENTED_EDGE('',*,*,#211500,.F.); #297550=ORIENTED_EDGE('',*,*,#211514,.T.); #297551=ORIENTED_EDGE('',*,*,#211515,.F.); #297552=ORIENTED_EDGE('',*,*,#211511,.F.); #297553=ORIENTED_EDGE('',*,*,#211512,.T.); #297554=ORIENTED_EDGE('',*,*,#211515,.T.); #297555=ORIENTED_EDGE('',*,*,#211513,.T.); #297556=ORIENTED_EDGE('',*,*,#211509,.T.); #297557=ORIENTED_EDGE('',*,*,#211516,.T.); #297558=ORIENTED_EDGE('',*,*,#211517,.T.); #297559=ORIENTED_EDGE('',*,*,#211518,.F.); #297560=ORIENTED_EDGE('',*,*,#211519,.F.); #297561=ORIENTED_EDGE('',*,*,#211520,.T.); #297562=ORIENTED_EDGE('',*,*,#211519,.T.); #297563=ORIENTED_EDGE('',*,*,#211521,.F.); #297564=ORIENTED_EDGE('',*,*,#211522,.F.); #297565=ORIENTED_EDGE('',*,*,#211523,.T.); #297566=ORIENTED_EDGE('',*,*,#211522,.T.); #297567=ORIENTED_EDGE('',*,*,#211524,.F.); #297568=ORIENTED_EDGE('',*,*,#211525,.F.); #297569=ORIENTED_EDGE('',*,*,#211526,.T.); #297570=ORIENTED_EDGE('',*,*,#211525,.T.); #297571=ORIENTED_EDGE('',*,*,#211527,.F.); #297572=ORIENTED_EDGE('',*,*,#211517,.F.); #297573=ORIENTED_EDGE('',*,*,#211527,.T.); #297574=ORIENTED_EDGE('',*,*,#211524,.T.); #297575=ORIENTED_EDGE('',*,*,#211521,.T.); #297576=ORIENTED_EDGE('',*,*,#211518,.T.); #297577=ORIENTED_EDGE('',*,*,#211526,.F.); #297578=ORIENTED_EDGE('',*,*,#211516,.F.); #297579=ORIENTED_EDGE('',*,*,#211520,.F.); #297580=ORIENTED_EDGE('',*,*,#211523,.F.); #297581=ORIENTED_EDGE('',*,*,#211528,.F.); #297582=ORIENTED_EDGE('',*,*,#211529,.T.); #297583=ORIENTED_EDGE('',*,*,#211530,.F.); #297584=ORIENTED_EDGE('',*,*,#211531,.F.); #297585=ORIENTED_EDGE('',*,*,#211532,.T.); #297586=ORIENTED_EDGE('',*,*,#211531,.T.); #297587=ORIENTED_EDGE('',*,*,#211533,.F.); #297588=ORIENTED_EDGE('',*,*,#211534,.F.); #297589=ORIENTED_EDGE('',*,*,#211535,.T.); #297590=ORIENTED_EDGE('',*,*,#211534,.T.); #297591=ORIENTED_EDGE('',*,*,#211536,.F.); #297592=ORIENTED_EDGE('',*,*,#211537,.F.); #297593=ORIENTED_EDGE('',*,*,#211538,.T.); #297594=ORIENTED_EDGE('',*,*,#211537,.T.); #297595=ORIENTED_EDGE('',*,*,#211539,.F.); #297596=ORIENTED_EDGE('',*,*,#211540,.F.); #297597=ORIENTED_EDGE('',*,*,#211541,.T.); #297598=ORIENTED_EDGE('',*,*,#211540,.T.); #297599=ORIENTED_EDGE('',*,*,#211542,.F.); #297600=ORIENTED_EDGE('',*,*,#211543,.F.); #297601=ORIENTED_EDGE('',*,*,#211544,.T.); #297602=ORIENTED_EDGE('',*,*,#211543,.T.); #297603=ORIENTED_EDGE('',*,*,#211545,.F.); #297604=ORIENTED_EDGE('',*,*,#211529,.F.); #297605=ORIENTED_EDGE('',*,*,#211545,.T.); #297606=ORIENTED_EDGE('',*,*,#211542,.T.); #297607=ORIENTED_EDGE('',*,*,#211539,.T.); #297608=ORIENTED_EDGE('',*,*,#211536,.T.); #297609=ORIENTED_EDGE('',*,*,#211533,.T.); #297610=ORIENTED_EDGE('',*,*,#211530,.T.); #297611=ORIENTED_EDGE('',*,*,#211544,.F.); #297612=ORIENTED_EDGE('',*,*,#211528,.T.); #297613=ORIENTED_EDGE('',*,*,#211532,.F.); #297614=ORIENTED_EDGE('',*,*,#211535,.F.); #297615=ORIENTED_EDGE('',*,*,#211538,.F.); #297616=ORIENTED_EDGE('',*,*,#211541,.F.); #297617=ORIENTED_EDGE('',*,*,#211546,.T.); #297618=ORIENTED_EDGE('',*,*,#211547,.T.); #297619=ORIENTED_EDGE('',*,*,#211548,.T.); #297620=ORIENTED_EDGE('',*,*,#211549,.F.); #297621=ORIENTED_EDGE('',*,*,#211550,.F.); #297622=ORIENTED_EDGE('',*,*,#211551,.T.); #297623=ORIENTED_EDGE('',*,*,#211552,.T.); #297624=ORIENTED_EDGE('',*,*,#211547,.F.); #297625=ORIENTED_EDGE('',*,*,#211553,.F.); #297626=ORIENTED_EDGE('',*,*,#211554,.T.); #297627=ORIENTED_EDGE('',*,*,#211555,.T.); #297628=ORIENTED_EDGE('',*,*,#211551,.F.); #297629=ORIENTED_EDGE('',*,*,#211556,.F.); #297630=ORIENTED_EDGE('',*,*,#211557,.T.); #297631=ORIENTED_EDGE('',*,*,#211558,.T.); #297632=ORIENTED_EDGE('',*,*,#211554,.F.); #297633=ORIENTED_EDGE('',*,*,#211559,.F.); #297634=ORIENTED_EDGE('',*,*,#211560,.T.); #297635=ORIENTED_EDGE('',*,*,#211561,.T.); #297636=ORIENTED_EDGE('',*,*,#211557,.F.); #297637=ORIENTED_EDGE('',*,*,#211562,.F.); #297638=ORIENTED_EDGE('',*,*,#211549,.T.); #297639=ORIENTED_EDGE('',*,*,#211563,.T.); #297640=ORIENTED_EDGE('',*,*,#211560,.F.); #297641=ORIENTED_EDGE('',*,*,#211563,.F.); #297642=ORIENTED_EDGE('',*,*,#211548,.F.); #297643=ORIENTED_EDGE('',*,*,#211552,.F.); #297644=ORIENTED_EDGE('',*,*,#211555,.F.); #297645=ORIENTED_EDGE('',*,*,#211558,.F.); #297646=ORIENTED_EDGE('',*,*,#211561,.F.); #297647=ORIENTED_EDGE('',*,*,#211562,.T.); #297648=ORIENTED_EDGE('',*,*,#211559,.T.); #297649=ORIENTED_EDGE('',*,*,#211556,.T.); #297650=ORIENTED_EDGE('',*,*,#211553,.T.); #297651=ORIENTED_EDGE('',*,*,#211550,.T.); #297652=ORIENTED_EDGE('',*,*,#211546,.F.); #297653=ORIENTED_EDGE('',*,*,#211564,.F.); #297654=ORIENTED_EDGE('',*,*,#211565,.T.); #297655=ORIENTED_EDGE('',*,*,#211566,.F.); #297656=ORIENTED_EDGE('',*,*,#211567,.F.); #297657=ORIENTED_EDGE('',*,*,#211568,.T.); #297658=ORIENTED_EDGE('',*,*,#211567,.T.); #297659=ORIENTED_EDGE('',*,*,#211569,.F.); #297660=ORIENTED_EDGE('',*,*,#211570,.F.); #297661=ORIENTED_EDGE('',*,*,#211571,.T.); #297662=ORIENTED_EDGE('',*,*,#211570,.T.); #297663=ORIENTED_EDGE('',*,*,#211572,.F.); #297664=ORIENTED_EDGE('',*,*,#211573,.F.); #297665=ORIENTED_EDGE('',*,*,#211574,.T.); #297666=ORIENTED_EDGE('',*,*,#211573,.T.); #297667=ORIENTED_EDGE('',*,*,#211575,.F.); #297668=ORIENTED_EDGE('',*,*,#211576,.F.); #297669=ORIENTED_EDGE('',*,*,#211577,.T.); #297670=ORIENTED_EDGE('',*,*,#211576,.T.); #297671=ORIENTED_EDGE('',*,*,#211578,.F.); #297672=ORIENTED_EDGE('',*,*,#211579,.F.); #297673=ORIENTED_EDGE('',*,*,#211580,.T.); #297674=ORIENTED_EDGE('',*,*,#211579,.T.); #297675=ORIENTED_EDGE('',*,*,#211581,.F.); #297676=ORIENTED_EDGE('',*,*,#211565,.F.); #297677=ORIENTED_EDGE('',*,*,#211581,.T.); #297678=ORIENTED_EDGE('',*,*,#211578,.T.); #297679=ORIENTED_EDGE('',*,*,#211575,.T.); #297680=ORIENTED_EDGE('',*,*,#211572,.T.); #297681=ORIENTED_EDGE('',*,*,#211569,.T.); #297682=ORIENTED_EDGE('',*,*,#211566,.T.); #297683=ORIENTED_EDGE('',*,*,#211580,.F.); #297684=ORIENTED_EDGE('',*,*,#211564,.T.); #297685=ORIENTED_EDGE('',*,*,#211568,.F.); #297686=ORIENTED_EDGE('',*,*,#211571,.F.); #297687=ORIENTED_EDGE('',*,*,#211574,.F.); #297688=ORIENTED_EDGE('',*,*,#211577,.F.); #297689=ORIENTED_EDGE('',*,*,#211582,.F.); #297690=ORIENTED_EDGE('',*,*,#211583,.T.); #297691=ORIENTED_EDGE('',*,*,#211584,.F.); #297692=ORIENTED_EDGE('',*,*,#211585,.F.); #297693=ORIENTED_EDGE('',*,*,#211586,.T.); #297694=ORIENTED_EDGE('',*,*,#211585,.T.); #297695=ORIENTED_EDGE('',*,*,#211587,.F.); #297696=ORIENTED_EDGE('',*,*,#211588,.F.); #297697=ORIENTED_EDGE('',*,*,#211589,.T.); #297698=ORIENTED_EDGE('',*,*,#211588,.T.); #297699=ORIENTED_EDGE('',*,*,#211590,.F.); #297700=ORIENTED_EDGE('',*,*,#211591,.F.); #297701=ORIENTED_EDGE('',*,*,#211592,.T.); #297702=ORIENTED_EDGE('',*,*,#211591,.T.); #297703=ORIENTED_EDGE('',*,*,#211593,.F.); #297704=ORIENTED_EDGE('',*,*,#211594,.F.); #297705=ORIENTED_EDGE('',*,*,#211595,.T.); #297706=ORIENTED_EDGE('',*,*,#211594,.T.); #297707=ORIENTED_EDGE('',*,*,#211596,.F.); #297708=ORIENTED_EDGE('',*,*,#211597,.F.); #297709=ORIENTED_EDGE('',*,*,#211598,.T.); #297710=ORIENTED_EDGE('',*,*,#211597,.T.); #297711=ORIENTED_EDGE('',*,*,#211599,.F.); #297712=ORIENTED_EDGE('',*,*,#211583,.F.); #297713=ORIENTED_EDGE('',*,*,#211599,.T.); #297714=ORIENTED_EDGE('',*,*,#211596,.T.); #297715=ORIENTED_EDGE('',*,*,#211593,.T.); #297716=ORIENTED_EDGE('',*,*,#211590,.T.); #297717=ORIENTED_EDGE('',*,*,#211587,.T.); #297718=ORIENTED_EDGE('',*,*,#211584,.T.); #297719=ORIENTED_EDGE('',*,*,#211598,.F.); #297720=ORIENTED_EDGE('',*,*,#211582,.T.); #297721=ORIENTED_EDGE('',*,*,#211586,.F.); #297722=ORIENTED_EDGE('',*,*,#211589,.F.); #297723=ORIENTED_EDGE('',*,*,#211592,.F.); #297724=ORIENTED_EDGE('',*,*,#211595,.F.); #297725=ORIENTED_EDGE('',*,*,#211600,.F.); #297726=ORIENTED_EDGE('',*,*,#211601,.T.); #297727=ORIENTED_EDGE('',*,*,#211602,.F.); #297728=ORIENTED_EDGE('',*,*,#211603,.F.); #297729=ORIENTED_EDGE('',*,*,#211604,.T.); #297730=ORIENTED_EDGE('',*,*,#211603,.T.); #297731=ORIENTED_EDGE('',*,*,#211605,.F.); #297732=ORIENTED_EDGE('',*,*,#211606,.F.); #297733=ORIENTED_EDGE('',*,*,#211607,.T.); #297734=ORIENTED_EDGE('',*,*,#211606,.T.); #297735=ORIENTED_EDGE('',*,*,#211608,.F.); #297736=ORIENTED_EDGE('',*,*,#211609,.F.); #297737=ORIENTED_EDGE('',*,*,#211610,.T.); #297738=ORIENTED_EDGE('',*,*,#211609,.T.); #297739=ORIENTED_EDGE('',*,*,#211611,.F.); #297740=ORIENTED_EDGE('',*,*,#211612,.F.); #297741=ORIENTED_EDGE('',*,*,#211613,.T.); #297742=ORIENTED_EDGE('',*,*,#211612,.T.); #297743=ORIENTED_EDGE('',*,*,#211614,.F.); #297744=ORIENTED_EDGE('',*,*,#211615,.F.); #297745=ORIENTED_EDGE('',*,*,#211616,.T.); #297746=ORIENTED_EDGE('',*,*,#211615,.T.); #297747=ORIENTED_EDGE('',*,*,#211617,.F.); #297748=ORIENTED_EDGE('',*,*,#211601,.F.); #297749=ORIENTED_EDGE('',*,*,#211617,.T.); #297750=ORIENTED_EDGE('',*,*,#211614,.T.); #297751=ORIENTED_EDGE('',*,*,#211611,.T.); #297752=ORIENTED_EDGE('',*,*,#211608,.T.); #297753=ORIENTED_EDGE('',*,*,#211605,.T.); #297754=ORIENTED_EDGE('',*,*,#211602,.T.); #297755=ORIENTED_EDGE('',*,*,#211616,.F.); #297756=ORIENTED_EDGE('',*,*,#211600,.T.); #297757=ORIENTED_EDGE('',*,*,#211604,.F.); #297758=ORIENTED_EDGE('',*,*,#211607,.F.); #297759=ORIENTED_EDGE('',*,*,#211610,.F.); #297760=ORIENTED_EDGE('',*,*,#211613,.F.); #297761=ORIENTED_EDGE('',*,*,#211618,.T.); #297762=ORIENTED_EDGE('',*,*,#211619,.T.); #297763=ORIENTED_EDGE('',*,*,#211620,.T.); #297764=ORIENTED_EDGE('',*,*,#211621,.F.); #297765=ORIENTED_EDGE('',*,*,#211622,.F.); #297766=ORIENTED_EDGE('',*,*,#211623,.T.); #297767=ORIENTED_EDGE('',*,*,#211624,.T.); #297768=ORIENTED_EDGE('',*,*,#211619,.F.); #297769=ORIENTED_EDGE('',*,*,#211625,.F.); #297770=ORIENTED_EDGE('',*,*,#211626,.T.); #297771=ORIENTED_EDGE('',*,*,#211627,.T.); #297772=ORIENTED_EDGE('',*,*,#211623,.F.); #297773=ORIENTED_EDGE('',*,*,#211628,.F.); #297774=ORIENTED_EDGE('',*,*,#211629,.T.); #297775=ORIENTED_EDGE('',*,*,#211630,.T.); #297776=ORIENTED_EDGE('',*,*,#211626,.F.); #297777=ORIENTED_EDGE('',*,*,#211631,.F.); #297778=ORIENTED_EDGE('',*,*,#211632,.T.); #297779=ORIENTED_EDGE('',*,*,#211633,.T.); #297780=ORIENTED_EDGE('',*,*,#211629,.F.); #297781=ORIENTED_EDGE('',*,*,#211634,.F.); #297782=ORIENTED_EDGE('',*,*,#211621,.T.); #297783=ORIENTED_EDGE('',*,*,#211635,.T.); #297784=ORIENTED_EDGE('',*,*,#211632,.F.); #297785=ORIENTED_EDGE('',*,*,#211635,.F.); #297786=ORIENTED_EDGE('',*,*,#211620,.F.); #297787=ORIENTED_EDGE('',*,*,#211624,.F.); #297788=ORIENTED_EDGE('',*,*,#211627,.F.); #297789=ORIENTED_EDGE('',*,*,#211630,.F.); #297790=ORIENTED_EDGE('',*,*,#211633,.F.); #297791=ORIENTED_EDGE('',*,*,#211634,.T.); #297792=ORIENTED_EDGE('',*,*,#211631,.T.); #297793=ORIENTED_EDGE('',*,*,#211628,.T.); #297794=ORIENTED_EDGE('',*,*,#211625,.T.); #297795=ORIENTED_EDGE('',*,*,#211622,.T.); #297796=ORIENTED_EDGE('',*,*,#211618,.F.); #297797=ORIENTED_EDGE('',*,*,#211636,.T.); #297798=ORIENTED_EDGE('',*,*,#211637,.T.); #297799=ORIENTED_EDGE('',*,*,#211638,.T.); #297800=ORIENTED_EDGE('',*,*,#211639,.F.); #297801=ORIENTED_EDGE('',*,*,#211640,.F.); #297802=ORIENTED_EDGE('',*,*,#211641,.T.); #297803=ORIENTED_EDGE('',*,*,#211642,.T.); #297804=ORIENTED_EDGE('',*,*,#211637,.F.); #297805=ORIENTED_EDGE('',*,*,#211643,.F.); #297806=ORIENTED_EDGE('',*,*,#211644,.T.); #297807=ORIENTED_EDGE('',*,*,#211645,.T.); #297808=ORIENTED_EDGE('',*,*,#211641,.F.); #297809=ORIENTED_EDGE('',*,*,#211646,.F.); #297810=ORIENTED_EDGE('',*,*,#211647,.T.); #297811=ORIENTED_EDGE('',*,*,#211648,.T.); #297812=ORIENTED_EDGE('',*,*,#211644,.F.); #297813=ORIENTED_EDGE('',*,*,#211649,.F.); #297814=ORIENTED_EDGE('',*,*,#211650,.T.); #297815=ORIENTED_EDGE('',*,*,#211651,.T.); #297816=ORIENTED_EDGE('',*,*,#211647,.F.); #297817=ORIENTED_EDGE('',*,*,#211652,.F.); #297818=ORIENTED_EDGE('',*,*,#211639,.T.); #297819=ORIENTED_EDGE('',*,*,#211653,.T.); #297820=ORIENTED_EDGE('',*,*,#211650,.F.); #297821=ORIENTED_EDGE('',*,*,#211653,.F.); #297822=ORIENTED_EDGE('',*,*,#211638,.F.); #297823=ORIENTED_EDGE('',*,*,#211642,.F.); #297824=ORIENTED_EDGE('',*,*,#211645,.F.); #297825=ORIENTED_EDGE('',*,*,#211648,.F.); #297826=ORIENTED_EDGE('',*,*,#211651,.F.); #297827=ORIENTED_EDGE('',*,*,#211652,.T.); #297828=ORIENTED_EDGE('',*,*,#211649,.T.); #297829=ORIENTED_EDGE('',*,*,#211646,.T.); #297830=ORIENTED_EDGE('',*,*,#211643,.T.); #297831=ORIENTED_EDGE('',*,*,#211640,.T.); #297832=ORIENTED_EDGE('',*,*,#211636,.F.); #297833=ORIENTED_EDGE('',*,*,#211654,.T.); #297834=ORIENTED_EDGE('',*,*,#211655,.T.); #297835=ORIENTED_EDGE('',*,*,#211656,.T.); #297836=ORIENTED_EDGE('',*,*,#211657,.F.); #297837=ORIENTED_EDGE('',*,*,#211658,.F.); #297838=ORIENTED_EDGE('',*,*,#211659,.T.); #297839=ORIENTED_EDGE('',*,*,#211660,.T.); #297840=ORIENTED_EDGE('',*,*,#211655,.F.); #297841=ORIENTED_EDGE('',*,*,#211661,.F.); #297842=ORIENTED_EDGE('',*,*,#211662,.T.); #297843=ORIENTED_EDGE('',*,*,#211663,.T.); #297844=ORIENTED_EDGE('',*,*,#211659,.F.); #297845=ORIENTED_EDGE('',*,*,#211664,.F.); #297846=ORIENTED_EDGE('',*,*,#211665,.T.); #297847=ORIENTED_EDGE('',*,*,#211666,.T.); #297848=ORIENTED_EDGE('',*,*,#211662,.F.); #297849=ORIENTED_EDGE('',*,*,#211667,.F.); #297850=ORIENTED_EDGE('',*,*,#211668,.T.); #297851=ORIENTED_EDGE('',*,*,#211669,.T.); #297852=ORIENTED_EDGE('',*,*,#211665,.F.); #297853=ORIENTED_EDGE('',*,*,#211670,.F.); #297854=ORIENTED_EDGE('',*,*,#211657,.T.); #297855=ORIENTED_EDGE('',*,*,#211671,.T.); #297856=ORIENTED_EDGE('',*,*,#211668,.F.); #297857=ORIENTED_EDGE('',*,*,#211671,.F.); #297858=ORIENTED_EDGE('',*,*,#211656,.F.); #297859=ORIENTED_EDGE('',*,*,#211660,.F.); #297860=ORIENTED_EDGE('',*,*,#211663,.F.); #297861=ORIENTED_EDGE('',*,*,#211666,.F.); #297862=ORIENTED_EDGE('',*,*,#211669,.F.); #297863=ORIENTED_EDGE('',*,*,#211670,.T.); #297864=ORIENTED_EDGE('',*,*,#211667,.T.); #297865=ORIENTED_EDGE('',*,*,#211664,.T.); #297866=ORIENTED_EDGE('',*,*,#211661,.T.); #297867=ORIENTED_EDGE('',*,*,#211658,.T.); #297868=ORIENTED_EDGE('',*,*,#211654,.F.); #297869=ORIENTED_EDGE('',*,*,#211672,.F.); #297870=ORIENTED_EDGE('',*,*,#211673,.T.); #297871=ORIENTED_EDGE('',*,*,#211674,.T.); #297872=ORIENTED_EDGE('',*,*,#211675,.F.); #297873=ORIENTED_EDGE('',*,*,#211676,.F.); #297874=ORIENTED_EDGE('',*,*,#211677,.T.); #297875=ORIENTED_EDGE('',*,*,#211678,.T.); #297876=ORIENTED_EDGE('',*,*,#211673,.F.); #297877=ORIENTED_EDGE('',*,*,#211679,.F.); #297878=ORIENTED_EDGE('',*,*,#211680,.T.); #297879=ORIENTED_EDGE('',*,*,#211681,.T.); #297880=ORIENTED_EDGE('',*,*,#211677,.F.); #297881=ORIENTED_EDGE('',*,*,#211682,.F.); #297882=ORIENTED_EDGE('',*,*,#211675,.T.); #297883=ORIENTED_EDGE('',*,*,#211683,.T.); #297884=ORIENTED_EDGE('',*,*,#211680,.F.); #297885=ORIENTED_EDGE('',*,*,#211683,.F.); #297886=ORIENTED_EDGE('',*,*,#211674,.F.); #297887=ORIENTED_EDGE('',*,*,#211678,.F.); #297888=ORIENTED_EDGE('',*,*,#211681,.F.); #297889=ORIENTED_EDGE('',*,*,#211682,.T.); #297890=ORIENTED_EDGE('',*,*,#211679,.T.); #297891=ORIENTED_EDGE('',*,*,#211676,.T.); #297892=ORIENTED_EDGE('',*,*,#211672,.T.); #297893=ORIENTED_EDGE('',*,*,#211684,.T.); #297894=ORIENTED_EDGE('',*,*,#211685,.T.); #297895=ORIENTED_EDGE('',*,*,#211686,.F.); #297896=ORIENTED_EDGE('',*,*,#211687,.F.); #297897=ORIENTED_EDGE('',*,*,#211688,.T.); #297898=ORIENTED_EDGE('',*,*,#211687,.T.); #297899=ORIENTED_EDGE('',*,*,#211689,.F.); #297900=ORIENTED_EDGE('',*,*,#211690,.F.); #297901=ORIENTED_EDGE('',*,*,#211691,.T.); #297902=ORIENTED_EDGE('',*,*,#211690,.T.); #297903=ORIENTED_EDGE('',*,*,#211692,.F.); #297904=ORIENTED_EDGE('',*,*,#211693,.F.); #297905=ORIENTED_EDGE('',*,*,#211694,.T.); #297906=ORIENTED_EDGE('',*,*,#211693,.T.); #297907=ORIENTED_EDGE('',*,*,#211695,.F.); #297908=ORIENTED_EDGE('',*,*,#211685,.F.); #297909=ORIENTED_EDGE('',*,*,#211695,.T.); #297910=ORIENTED_EDGE('',*,*,#211692,.T.); #297911=ORIENTED_EDGE('',*,*,#211689,.T.); #297912=ORIENTED_EDGE('',*,*,#211686,.T.); #297913=ORIENTED_EDGE('',*,*,#211694,.F.); #297914=ORIENTED_EDGE('',*,*,#211684,.F.); #297915=ORIENTED_EDGE('',*,*,#211688,.F.); #297916=ORIENTED_EDGE('',*,*,#211691,.F.); #297917=ORIENTED_EDGE('',*,*,#211696,.T.); #297918=ORIENTED_EDGE('',*,*,#211697,.T.); #297919=ORIENTED_EDGE('',*,*,#211698,.F.); #297920=ORIENTED_EDGE('',*,*,#211699,.F.); #297921=ORIENTED_EDGE('',*,*,#211700,.T.); #297922=ORIENTED_EDGE('',*,*,#211699,.T.); #297923=ORIENTED_EDGE('',*,*,#211701,.F.); #297924=ORIENTED_EDGE('',*,*,#211702,.F.); #297925=ORIENTED_EDGE('',*,*,#211703,.T.); #297926=ORIENTED_EDGE('',*,*,#211702,.T.); #297927=ORIENTED_EDGE('',*,*,#211704,.F.); #297928=ORIENTED_EDGE('',*,*,#211705,.F.); #297929=ORIENTED_EDGE('',*,*,#211706,.T.); #297930=ORIENTED_EDGE('',*,*,#211705,.T.); #297931=ORIENTED_EDGE('',*,*,#211707,.F.); #297932=ORIENTED_EDGE('',*,*,#211697,.F.); #297933=ORIENTED_EDGE('',*,*,#211707,.T.); #297934=ORIENTED_EDGE('',*,*,#211704,.T.); #297935=ORIENTED_EDGE('',*,*,#211701,.T.); #297936=ORIENTED_EDGE('',*,*,#211698,.T.); #297937=ORIENTED_EDGE('',*,*,#211706,.F.); #297938=ORIENTED_EDGE('',*,*,#211696,.F.); #297939=ORIENTED_EDGE('',*,*,#211700,.F.); #297940=ORIENTED_EDGE('',*,*,#211703,.F.); #297941=ORIENTED_EDGE('',*,*,#211708,.T.); #297942=ORIENTED_EDGE('',*,*,#211709,.T.); #297943=ORIENTED_EDGE('',*,*,#211710,.F.); #297944=ORIENTED_EDGE('',*,*,#211711,.F.); #297945=ORIENTED_EDGE('',*,*,#211712,.T.); #297946=ORIENTED_EDGE('',*,*,#211711,.T.); #297947=ORIENTED_EDGE('',*,*,#211713,.F.); #297948=ORIENTED_EDGE('',*,*,#211714,.F.); #297949=ORIENTED_EDGE('',*,*,#211715,.T.); #297950=ORIENTED_EDGE('',*,*,#211714,.T.); #297951=ORIENTED_EDGE('',*,*,#211716,.F.); #297952=ORIENTED_EDGE('',*,*,#211717,.F.); #297953=ORIENTED_EDGE('',*,*,#211718,.T.); #297954=ORIENTED_EDGE('',*,*,#211717,.T.); #297955=ORIENTED_EDGE('',*,*,#211719,.F.); #297956=ORIENTED_EDGE('',*,*,#211709,.F.); #297957=ORIENTED_EDGE('',*,*,#211719,.T.); #297958=ORIENTED_EDGE('',*,*,#211716,.T.); #297959=ORIENTED_EDGE('',*,*,#211713,.T.); #297960=ORIENTED_EDGE('',*,*,#211710,.T.); #297961=ORIENTED_EDGE('',*,*,#211718,.F.); #297962=ORIENTED_EDGE('',*,*,#211708,.F.); #297963=ORIENTED_EDGE('',*,*,#211712,.F.); #297964=ORIENTED_EDGE('',*,*,#211715,.F.); #297965=ORIENTED_EDGE('',*,*,#211720,.F.); #297966=ORIENTED_EDGE('',*,*,#211721,.T.); #297967=ORIENTED_EDGE('',*,*,#211722,.T.); #297968=ORIENTED_EDGE('',*,*,#211723,.F.); #297969=ORIENTED_EDGE('',*,*,#211724,.F.); #297970=ORIENTED_EDGE('',*,*,#211725,.T.); #297971=ORIENTED_EDGE('',*,*,#211726,.T.); #297972=ORIENTED_EDGE('',*,*,#211721,.F.); #297973=ORIENTED_EDGE('',*,*,#211727,.F.); #297974=ORIENTED_EDGE('',*,*,#211728,.T.); #297975=ORIENTED_EDGE('',*,*,#211729,.T.); #297976=ORIENTED_EDGE('',*,*,#211725,.F.); #297977=ORIENTED_EDGE('',*,*,#211730,.F.); #297978=ORIENTED_EDGE('',*,*,#211723,.T.); #297979=ORIENTED_EDGE('',*,*,#211731,.T.); #297980=ORIENTED_EDGE('',*,*,#211728,.F.); #297981=ORIENTED_EDGE('',*,*,#211731,.F.); #297982=ORIENTED_EDGE('',*,*,#211722,.F.); #297983=ORIENTED_EDGE('',*,*,#211726,.F.); #297984=ORIENTED_EDGE('',*,*,#211729,.F.); #297985=ORIENTED_EDGE('',*,*,#211730,.T.); #297986=ORIENTED_EDGE('',*,*,#211727,.T.); #297987=ORIENTED_EDGE('',*,*,#211724,.T.); #297988=ORIENTED_EDGE('',*,*,#211720,.T.); #297989=ORIENTED_EDGE('',*,*,#211732,.F.); #297990=ORIENTED_EDGE('',*,*,#211733,.T.); #297991=ORIENTED_EDGE('',*,*,#211734,.T.); #297992=ORIENTED_EDGE('',*,*,#211735,.F.); #297993=ORIENTED_EDGE('',*,*,#211736,.F.); #297994=ORIENTED_EDGE('',*,*,#211737,.T.); #297995=ORIENTED_EDGE('',*,*,#211738,.T.); #297996=ORIENTED_EDGE('',*,*,#211733,.F.); #297997=ORIENTED_EDGE('',*,*,#211739,.F.); #297998=ORIENTED_EDGE('',*,*,#211740,.T.); #297999=ORIENTED_EDGE('',*,*,#211741,.T.); #298000=ORIENTED_EDGE('',*,*,#211737,.F.); #298001=ORIENTED_EDGE('',*,*,#211742,.F.); #298002=ORIENTED_EDGE('',*,*,#211735,.T.); #298003=ORIENTED_EDGE('',*,*,#211743,.T.); #298004=ORIENTED_EDGE('',*,*,#211740,.F.); #298005=ORIENTED_EDGE('',*,*,#211743,.F.); #298006=ORIENTED_EDGE('',*,*,#211734,.F.); #298007=ORIENTED_EDGE('',*,*,#211738,.F.); #298008=ORIENTED_EDGE('',*,*,#211741,.F.); #298009=ORIENTED_EDGE('',*,*,#211742,.T.); #298010=ORIENTED_EDGE('',*,*,#211739,.T.); #298011=ORIENTED_EDGE('',*,*,#211736,.T.); #298012=ORIENTED_EDGE('',*,*,#211732,.T.); #298013=ORIENTED_EDGE('',*,*,#211744,.F.); #298014=ORIENTED_EDGE('',*,*,#211745,.T.); #298015=ORIENTED_EDGE('',*,*,#211746,.T.); #298016=ORIENTED_EDGE('',*,*,#211747,.F.); #298017=ORIENTED_EDGE('',*,*,#211748,.F.); #298018=ORIENTED_EDGE('',*,*,#211749,.T.); #298019=ORIENTED_EDGE('',*,*,#211750,.T.); #298020=ORIENTED_EDGE('',*,*,#211745,.F.); #298021=ORIENTED_EDGE('',*,*,#211751,.F.); #298022=ORIENTED_EDGE('',*,*,#211752,.T.); #298023=ORIENTED_EDGE('',*,*,#211753,.T.); #298024=ORIENTED_EDGE('',*,*,#211749,.F.); #298025=ORIENTED_EDGE('',*,*,#211754,.F.); #298026=ORIENTED_EDGE('',*,*,#211747,.T.); #298027=ORIENTED_EDGE('',*,*,#211755,.T.); #298028=ORIENTED_EDGE('',*,*,#211752,.F.); #298029=ORIENTED_EDGE('',*,*,#211755,.F.); #298030=ORIENTED_EDGE('',*,*,#211746,.F.); #298031=ORIENTED_EDGE('',*,*,#211750,.F.); #298032=ORIENTED_EDGE('',*,*,#211753,.F.); #298033=ORIENTED_EDGE('',*,*,#211754,.T.); #298034=ORIENTED_EDGE('',*,*,#211751,.T.); #298035=ORIENTED_EDGE('',*,*,#211748,.T.); #298036=ORIENTED_EDGE('',*,*,#211744,.T.); #298037=ORIENTED_EDGE('',*,*,#211756,.T.); #298038=ORIENTED_EDGE('',*,*,#211757,.T.); #298039=ORIENTED_EDGE('',*,*,#211758,.F.); #298040=ORIENTED_EDGE('',*,*,#211759,.F.); #298041=ORIENTED_EDGE('',*,*,#211760,.T.); #298042=ORIENTED_EDGE('',*,*,#211759,.T.); #298043=ORIENTED_EDGE('',*,*,#211761,.F.); #298044=ORIENTED_EDGE('',*,*,#211762,.F.); #298045=ORIENTED_EDGE('',*,*,#211763,.T.); #298046=ORIENTED_EDGE('',*,*,#211762,.T.); #298047=ORIENTED_EDGE('',*,*,#211764,.F.); #298048=ORIENTED_EDGE('',*,*,#211765,.F.); #298049=ORIENTED_EDGE('',*,*,#211766,.T.); #298050=ORIENTED_EDGE('',*,*,#211765,.T.); #298051=ORIENTED_EDGE('',*,*,#211767,.F.); #298052=ORIENTED_EDGE('',*,*,#211757,.F.); #298053=ORIENTED_EDGE('',*,*,#211767,.T.); #298054=ORIENTED_EDGE('',*,*,#211764,.T.); #298055=ORIENTED_EDGE('',*,*,#211761,.T.); #298056=ORIENTED_EDGE('',*,*,#211758,.T.); #298057=ORIENTED_EDGE('',*,*,#211766,.F.); #298058=ORIENTED_EDGE('',*,*,#211756,.F.); #298059=ORIENTED_EDGE('',*,*,#211760,.F.); #298060=ORIENTED_EDGE('',*,*,#211763,.F.); #298061=ORIENTED_EDGE('',*,*,#211768,.F.); #298062=ORIENTED_EDGE('',*,*,#211769,.T.); #298063=ORIENTED_EDGE('',*,*,#211770,.T.); #298064=ORIENTED_EDGE('',*,*,#211769,.F.); #298065=ORIENTED_EDGE('',*,*,#211770,.F.); #298066=ORIENTED_EDGE('',*,*,#211771,.T.); #298067=ORIENTED_EDGE('',*,*,#211772,.T.); #298068=ORIENTED_EDGE('',*,*,#211773,.T.); #298069=ORIENTED_EDGE('',*,*,#211774,.T.); #298070=ORIENTED_EDGE('',*,*,#211775,.T.); #298071=ORIENTED_EDGE('',*,*,#211772,.F.); #298072=ORIENTED_EDGE('',*,*,#211776,.T.); #298073=ORIENTED_EDGE('',*,*,#211777,.F.); #298074=ORIENTED_EDGE('',*,*,#211778,.T.); #298075=ORIENTED_EDGE('',*,*,#211774,.F.); #298076=ORIENTED_EDGE('',*,*,#211779,.T.); #298077=ORIENTED_EDGE('',*,*,#211780,.T.); #298078=ORIENTED_EDGE('',*,*,#211781,.T.); #298079=ORIENTED_EDGE('',*,*,#211780,.F.); #298080=ORIENTED_EDGE('',*,*,#211782,.T.); #298081=ORIENTED_EDGE('',*,*,#211777,.T.); #298082=ORIENTED_EDGE('',*,*,#211779,.F.); #298083=ORIENTED_EDGE('',*,*,#211783,.T.); #298084=ORIENTED_EDGE('',*,*,#211784,.F.); #298085=ORIENTED_EDGE('',*,*,#211785,.F.); #298086=ORIENTED_EDGE('',*,*,#211773,.F.); #298087=ORIENTED_EDGE('',*,*,#211786,.T.); #298088=ORIENTED_EDGE('',*,*,#211787,.F.); #298089=ORIENTED_EDGE('',*,*,#211783,.F.); #298090=ORIENTED_EDGE('',*,*,#211771,.F.); #298091=ORIENTED_EDGE('',*,*,#211778,.F.); #298092=ORIENTED_EDGE('',*,*,#211781,.F.); #298093=ORIENTED_EDGE('',*,*,#211776,.F.); #298094=ORIENTED_EDGE('',*,*,#211768,.T.); #298095=ORIENTED_EDGE('',*,*,#211782,.F.); #298096=ORIENTED_EDGE('',*,*,#211785,.T.); #298097=ORIENTED_EDGE('',*,*,#211788,.F.); #298098=ORIENTED_EDGE('',*,*,#211789,.F.); #298099=ORIENTED_EDGE('',*,*,#211775,.F.); #298100=ORIENTED_EDGE('',*,*,#211789,.T.); #298101=ORIENTED_EDGE('',*,*,#211790,.F.); #298102=ORIENTED_EDGE('',*,*,#211786,.F.); #298103=ORIENTED_EDGE('',*,*,#211787,.T.); #298104=ORIENTED_EDGE('',*,*,#211790,.T.); #298105=ORIENTED_EDGE('',*,*,#211788,.T.); #298106=ORIENTED_EDGE('',*,*,#211784,.T.); #298107=ORIENTED_EDGE('',*,*,#211791,.T.); #298108=ORIENTED_EDGE('',*,*,#211792,.T.); #298109=ORIENTED_EDGE('',*,*,#211793,.F.); #298110=ORIENTED_EDGE('',*,*,#211794,.F.); #298111=ORIENTED_EDGE('',*,*,#211795,.T.); #298112=ORIENTED_EDGE('',*,*,#211794,.T.); #298113=ORIENTED_EDGE('',*,*,#211796,.F.); #298114=ORIENTED_EDGE('',*,*,#211797,.F.); #298115=ORIENTED_EDGE('',*,*,#211798,.T.); #298116=ORIENTED_EDGE('',*,*,#211797,.T.); #298117=ORIENTED_EDGE('',*,*,#211799,.F.); #298118=ORIENTED_EDGE('',*,*,#211800,.F.); #298119=ORIENTED_EDGE('',*,*,#211801,.T.); #298120=ORIENTED_EDGE('',*,*,#211800,.T.); #298121=ORIENTED_EDGE('',*,*,#211802,.F.); #298122=ORIENTED_EDGE('',*,*,#211792,.F.); #298123=ORIENTED_EDGE('',*,*,#211802,.T.); #298124=ORIENTED_EDGE('',*,*,#211799,.T.); #298125=ORIENTED_EDGE('',*,*,#211796,.T.); #298126=ORIENTED_EDGE('',*,*,#211793,.T.); #298127=ORIENTED_EDGE('',*,*,#211801,.F.); #298128=ORIENTED_EDGE('',*,*,#211791,.F.); #298129=ORIENTED_EDGE('',*,*,#211795,.F.); #298130=ORIENTED_EDGE('',*,*,#211798,.F.); #298131=ORIENTED_EDGE('',*,*,#211803,.F.); #298132=ORIENTED_EDGE('',*,*,#211804,.T.); #298133=ORIENTED_EDGE('',*,*,#211805,.F.); #298134=ORIENTED_EDGE('',*,*,#211806,.F.); #298135=ORIENTED_EDGE('',*,*,#211807,.T.); #298136=ORIENTED_EDGE('',*,*,#211806,.T.); #298137=ORIENTED_EDGE('',*,*,#211808,.F.); #298138=ORIENTED_EDGE('',*,*,#211809,.F.); #298139=ORIENTED_EDGE('',*,*,#211810,.T.); #298140=ORIENTED_EDGE('',*,*,#211809,.T.); #298141=ORIENTED_EDGE('',*,*,#211811,.F.); #298142=ORIENTED_EDGE('',*,*,#211812,.F.); #298143=ORIENTED_EDGE('',*,*,#211813,.T.); #298144=ORIENTED_EDGE('',*,*,#211812,.T.); #298145=ORIENTED_EDGE('',*,*,#211814,.F.); #298146=ORIENTED_EDGE('',*,*,#211815,.F.); #298147=ORIENTED_EDGE('',*,*,#211816,.T.); #298148=ORIENTED_EDGE('',*,*,#211815,.T.); #298149=ORIENTED_EDGE('',*,*,#211817,.F.); #298150=ORIENTED_EDGE('',*,*,#211818,.F.); #298151=ORIENTED_EDGE('',*,*,#211819,.T.); #298152=ORIENTED_EDGE('',*,*,#211818,.T.); #298153=ORIENTED_EDGE('',*,*,#211820,.F.); #298154=ORIENTED_EDGE('',*,*,#211804,.F.); #298155=ORIENTED_EDGE('',*,*,#211820,.T.); #298156=ORIENTED_EDGE('',*,*,#211817,.T.); #298157=ORIENTED_EDGE('',*,*,#211814,.T.); #298158=ORIENTED_EDGE('',*,*,#211811,.T.); #298159=ORIENTED_EDGE('',*,*,#211808,.T.); #298160=ORIENTED_EDGE('',*,*,#211805,.T.); #298161=ORIENTED_EDGE('',*,*,#211819,.F.); #298162=ORIENTED_EDGE('',*,*,#211803,.T.); #298163=ORIENTED_EDGE('',*,*,#211807,.F.); #298164=ORIENTED_EDGE('',*,*,#211810,.F.); #298165=ORIENTED_EDGE('',*,*,#211813,.F.); #298166=ORIENTED_EDGE('',*,*,#211816,.F.); #298167=ORIENTED_EDGE('',*,*,#211821,.T.); #298168=ORIENTED_EDGE('',*,*,#211822,.T.); #298169=ORIENTED_EDGE('',*,*,#211823,.T.); #298170=ORIENTED_EDGE('',*,*,#211824,.F.); #298171=ORIENTED_EDGE('',*,*,#211825,.F.); #298172=ORIENTED_EDGE('',*,*,#211826,.T.); #298173=ORIENTED_EDGE('',*,*,#211827,.T.); #298174=ORIENTED_EDGE('',*,*,#211822,.F.); #298175=ORIENTED_EDGE('',*,*,#211828,.F.); #298176=ORIENTED_EDGE('',*,*,#211829,.T.); #298177=ORIENTED_EDGE('',*,*,#211830,.T.); #298178=ORIENTED_EDGE('',*,*,#211826,.F.); #298179=ORIENTED_EDGE('',*,*,#211831,.F.); #298180=ORIENTED_EDGE('',*,*,#211832,.T.); #298181=ORIENTED_EDGE('',*,*,#211833,.T.); #298182=ORIENTED_EDGE('',*,*,#211829,.F.); #298183=ORIENTED_EDGE('',*,*,#211834,.F.); #298184=ORIENTED_EDGE('',*,*,#211835,.T.); #298185=ORIENTED_EDGE('',*,*,#211836,.T.); #298186=ORIENTED_EDGE('',*,*,#211832,.F.); #298187=ORIENTED_EDGE('',*,*,#211837,.F.); #298188=ORIENTED_EDGE('',*,*,#211824,.T.); #298189=ORIENTED_EDGE('',*,*,#211838,.T.); #298190=ORIENTED_EDGE('',*,*,#211835,.F.); #298191=ORIENTED_EDGE('',*,*,#211838,.F.); #298192=ORIENTED_EDGE('',*,*,#211823,.F.); #298193=ORIENTED_EDGE('',*,*,#211827,.F.); #298194=ORIENTED_EDGE('',*,*,#211830,.F.); #298195=ORIENTED_EDGE('',*,*,#211833,.F.); #298196=ORIENTED_EDGE('',*,*,#211836,.F.); #298197=ORIENTED_EDGE('',*,*,#211837,.T.); #298198=ORIENTED_EDGE('',*,*,#211834,.T.); #298199=ORIENTED_EDGE('',*,*,#211831,.T.); #298200=ORIENTED_EDGE('',*,*,#211828,.T.); #298201=ORIENTED_EDGE('',*,*,#211825,.T.); #298202=ORIENTED_EDGE('',*,*,#211821,.F.); #298203=ORIENTED_EDGE('',*,*,#211839,.F.); #298204=ORIENTED_EDGE('',*,*,#211840,.T.); #298205=ORIENTED_EDGE('',*,*,#211841,.F.); #298206=ORIENTED_EDGE('',*,*,#211842,.F.); #298207=ORIENTED_EDGE('',*,*,#211843,.T.); #298208=ORIENTED_EDGE('',*,*,#211842,.T.); #298209=ORIENTED_EDGE('',*,*,#211844,.F.); #298210=ORIENTED_EDGE('',*,*,#211845,.F.); #298211=ORIENTED_EDGE('',*,*,#211846,.T.); #298212=ORIENTED_EDGE('',*,*,#211845,.T.); #298213=ORIENTED_EDGE('',*,*,#211847,.F.); #298214=ORIENTED_EDGE('',*,*,#211848,.F.); #298215=ORIENTED_EDGE('',*,*,#211849,.T.); #298216=ORIENTED_EDGE('',*,*,#211848,.T.); #298217=ORIENTED_EDGE('',*,*,#211850,.F.); #298218=ORIENTED_EDGE('',*,*,#211851,.F.); #298219=ORIENTED_EDGE('',*,*,#211852,.T.); #298220=ORIENTED_EDGE('',*,*,#211851,.T.); #298221=ORIENTED_EDGE('',*,*,#211853,.F.); #298222=ORIENTED_EDGE('',*,*,#211854,.F.); #298223=ORIENTED_EDGE('',*,*,#211855,.T.); #298224=ORIENTED_EDGE('',*,*,#211854,.T.); #298225=ORIENTED_EDGE('',*,*,#211856,.F.); #298226=ORIENTED_EDGE('',*,*,#211840,.F.); #298227=ORIENTED_EDGE('',*,*,#211856,.T.); #298228=ORIENTED_EDGE('',*,*,#211853,.T.); #298229=ORIENTED_EDGE('',*,*,#211850,.T.); #298230=ORIENTED_EDGE('',*,*,#211847,.T.); #298231=ORIENTED_EDGE('',*,*,#211844,.T.); #298232=ORIENTED_EDGE('',*,*,#211841,.T.); #298233=ORIENTED_EDGE('',*,*,#211855,.F.); #298234=ORIENTED_EDGE('',*,*,#211839,.T.); #298235=ORIENTED_EDGE('',*,*,#211843,.F.); #298236=ORIENTED_EDGE('',*,*,#211846,.F.); #298237=ORIENTED_EDGE('',*,*,#211849,.F.); #298238=ORIENTED_EDGE('',*,*,#211852,.F.); #298239=ORIENTED_EDGE('',*,*,#211857,.F.); #298240=ORIENTED_EDGE('',*,*,#211858,.T.); #298241=ORIENTED_EDGE('',*,*,#211859,.F.); #298242=ORIENTED_EDGE('',*,*,#211860,.F.); #298243=ORIENTED_EDGE('',*,*,#211861,.T.); #298244=ORIENTED_EDGE('',*,*,#211860,.T.); #298245=ORIENTED_EDGE('',*,*,#211862,.F.); #298246=ORIENTED_EDGE('',*,*,#211863,.F.); #298247=ORIENTED_EDGE('',*,*,#211864,.T.); #298248=ORIENTED_EDGE('',*,*,#211863,.T.); #298249=ORIENTED_EDGE('',*,*,#211865,.F.); #298250=ORIENTED_EDGE('',*,*,#211866,.F.); #298251=ORIENTED_EDGE('',*,*,#211867,.T.); #298252=ORIENTED_EDGE('',*,*,#211866,.T.); #298253=ORIENTED_EDGE('',*,*,#211868,.F.); #298254=ORIENTED_EDGE('',*,*,#211869,.F.); #298255=ORIENTED_EDGE('',*,*,#211870,.T.); #298256=ORIENTED_EDGE('',*,*,#211869,.T.); #298257=ORIENTED_EDGE('',*,*,#211871,.F.); #298258=ORIENTED_EDGE('',*,*,#211872,.F.); #298259=ORIENTED_EDGE('',*,*,#211873,.T.); #298260=ORIENTED_EDGE('',*,*,#211872,.T.); #298261=ORIENTED_EDGE('',*,*,#211874,.F.); #298262=ORIENTED_EDGE('',*,*,#211858,.F.); #298263=ORIENTED_EDGE('',*,*,#211874,.T.); #298264=ORIENTED_EDGE('',*,*,#211871,.T.); #298265=ORIENTED_EDGE('',*,*,#211868,.T.); #298266=ORIENTED_EDGE('',*,*,#211865,.T.); #298267=ORIENTED_EDGE('',*,*,#211862,.T.); #298268=ORIENTED_EDGE('',*,*,#211859,.T.); #298269=ORIENTED_EDGE('',*,*,#211873,.F.); #298270=ORIENTED_EDGE('',*,*,#211857,.T.); #298271=ORIENTED_EDGE('',*,*,#211861,.F.); #298272=ORIENTED_EDGE('',*,*,#211864,.F.); #298273=ORIENTED_EDGE('',*,*,#211867,.F.); #298274=ORIENTED_EDGE('',*,*,#211870,.F.); #298275=ORIENTED_EDGE('',*,*,#211875,.F.); #298276=ORIENTED_EDGE('',*,*,#211876,.T.); #298277=ORIENTED_EDGE('',*,*,#211877,.F.); #298278=ORIENTED_EDGE('',*,*,#211878,.F.); #298279=ORIENTED_EDGE('',*,*,#211879,.T.); #298280=ORIENTED_EDGE('',*,*,#211878,.T.); #298281=ORIENTED_EDGE('',*,*,#211880,.F.); #298282=ORIENTED_EDGE('',*,*,#211881,.F.); #298283=ORIENTED_EDGE('',*,*,#211882,.T.); #298284=ORIENTED_EDGE('',*,*,#211881,.T.); #298285=ORIENTED_EDGE('',*,*,#211883,.F.); #298286=ORIENTED_EDGE('',*,*,#211884,.F.); #298287=ORIENTED_EDGE('',*,*,#211885,.T.); #298288=ORIENTED_EDGE('',*,*,#211884,.T.); #298289=ORIENTED_EDGE('',*,*,#211886,.F.); #298290=ORIENTED_EDGE('',*,*,#211887,.F.); #298291=ORIENTED_EDGE('',*,*,#211888,.T.); #298292=ORIENTED_EDGE('',*,*,#211887,.T.); #298293=ORIENTED_EDGE('',*,*,#211889,.F.); #298294=ORIENTED_EDGE('',*,*,#211890,.F.); #298295=ORIENTED_EDGE('',*,*,#211891,.T.); #298296=ORIENTED_EDGE('',*,*,#211890,.T.); #298297=ORIENTED_EDGE('',*,*,#211892,.F.); #298298=ORIENTED_EDGE('',*,*,#211876,.F.); #298299=ORIENTED_EDGE('',*,*,#211892,.T.); #298300=ORIENTED_EDGE('',*,*,#211889,.T.); #298301=ORIENTED_EDGE('',*,*,#211886,.T.); #298302=ORIENTED_EDGE('',*,*,#211883,.T.); #298303=ORIENTED_EDGE('',*,*,#211880,.T.); #298304=ORIENTED_EDGE('',*,*,#211877,.T.); #298305=ORIENTED_EDGE('',*,*,#211891,.F.); #298306=ORIENTED_EDGE('',*,*,#211875,.T.); #298307=ORIENTED_EDGE('',*,*,#211879,.F.); #298308=ORIENTED_EDGE('',*,*,#211882,.F.); #298309=ORIENTED_EDGE('',*,*,#211885,.F.); #298310=ORIENTED_EDGE('',*,*,#211888,.F.); #298311=ORIENTED_EDGE('',*,*,#211893,.T.); #298312=ORIENTED_EDGE('',*,*,#211894,.T.); #298313=ORIENTED_EDGE('',*,*,#211895,.T.); #298314=ORIENTED_EDGE('',*,*,#211896,.F.); #298315=ORIENTED_EDGE('',*,*,#211897,.F.); #298316=ORIENTED_EDGE('',*,*,#211898,.T.); #298317=ORIENTED_EDGE('',*,*,#211899,.T.); #298318=ORIENTED_EDGE('',*,*,#211894,.F.); #298319=ORIENTED_EDGE('',*,*,#211900,.F.); #298320=ORIENTED_EDGE('',*,*,#211901,.T.); #298321=ORIENTED_EDGE('',*,*,#211902,.T.); #298322=ORIENTED_EDGE('',*,*,#211898,.F.); #298323=ORIENTED_EDGE('',*,*,#211903,.F.); #298324=ORIENTED_EDGE('',*,*,#211904,.T.); #298325=ORIENTED_EDGE('',*,*,#211905,.T.); #298326=ORIENTED_EDGE('',*,*,#211901,.F.); #298327=ORIENTED_EDGE('',*,*,#211906,.F.); #298328=ORIENTED_EDGE('',*,*,#211907,.T.); #298329=ORIENTED_EDGE('',*,*,#211908,.T.); #298330=ORIENTED_EDGE('',*,*,#211904,.F.); #298331=ORIENTED_EDGE('',*,*,#211909,.F.); #298332=ORIENTED_EDGE('',*,*,#211896,.T.); #298333=ORIENTED_EDGE('',*,*,#211910,.T.); #298334=ORIENTED_EDGE('',*,*,#211907,.F.); #298335=ORIENTED_EDGE('',*,*,#211910,.F.); #298336=ORIENTED_EDGE('',*,*,#211895,.F.); #298337=ORIENTED_EDGE('',*,*,#211899,.F.); #298338=ORIENTED_EDGE('',*,*,#211902,.F.); #298339=ORIENTED_EDGE('',*,*,#211905,.F.); #298340=ORIENTED_EDGE('',*,*,#211908,.F.); #298341=ORIENTED_EDGE('',*,*,#211909,.T.); #298342=ORIENTED_EDGE('',*,*,#211906,.T.); #298343=ORIENTED_EDGE('',*,*,#211903,.T.); #298344=ORIENTED_EDGE('',*,*,#211900,.T.); #298345=ORIENTED_EDGE('',*,*,#211897,.T.); #298346=ORIENTED_EDGE('',*,*,#211893,.F.); #298347=ORIENTED_EDGE('',*,*,#211911,.T.); #298348=ORIENTED_EDGE('',*,*,#211912,.T.); #298349=ORIENTED_EDGE('',*,*,#211913,.T.); #298350=ORIENTED_EDGE('',*,*,#211914,.F.); #298351=ORIENTED_EDGE('',*,*,#211915,.F.); #298352=ORIENTED_EDGE('',*,*,#211916,.T.); #298353=ORIENTED_EDGE('',*,*,#211917,.T.); #298354=ORIENTED_EDGE('',*,*,#211912,.F.); #298355=ORIENTED_EDGE('',*,*,#211918,.F.); #298356=ORIENTED_EDGE('',*,*,#211919,.T.); #298357=ORIENTED_EDGE('',*,*,#211920,.T.); #298358=ORIENTED_EDGE('',*,*,#211916,.F.); #298359=ORIENTED_EDGE('',*,*,#211921,.F.); #298360=ORIENTED_EDGE('',*,*,#211922,.T.); #298361=ORIENTED_EDGE('',*,*,#211923,.T.); #298362=ORIENTED_EDGE('',*,*,#211919,.F.); #298363=ORIENTED_EDGE('',*,*,#211924,.F.); #298364=ORIENTED_EDGE('',*,*,#211925,.T.); #298365=ORIENTED_EDGE('',*,*,#211926,.T.); #298366=ORIENTED_EDGE('',*,*,#211922,.F.); #298367=ORIENTED_EDGE('',*,*,#211927,.F.); #298368=ORIENTED_EDGE('',*,*,#211914,.T.); #298369=ORIENTED_EDGE('',*,*,#211928,.T.); #298370=ORIENTED_EDGE('',*,*,#211925,.F.); #298371=ORIENTED_EDGE('',*,*,#211928,.F.); #298372=ORIENTED_EDGE('',*,*,#211913,.F.); #298373=ORIENTED_EDGE('',*,*,#211917,.F.); #298374=ORIENTED_EDGE('',*,*,#211920,.F.); #298375=ORIENTED_EDGE('',*,*,#211923,.F.); #298376=ORIENTED_EDGE('',*,*,#211926,.F.); #298377=ORIENTED_EDGE('',*,*,#211927,.T.); #298378=ORIENTED_EDGE('',*,*,#211924,.T.); #298379=ORIENTED_EDGE('',*,*,#211921,.T.); #298380=ORIENTED_EDGE('',*,*,#211918,.T.); #298381=ORIENTED_EDGE('',*,*,#211915,.T.); #298382=ORIENTED_EDGE('',*,*,#211911,.F.); #298383=ORIENTED_EDGE('',*,*,#211929,.T.); #298384=ORIENTED_EDGE('',*,*,#211930,.T.); #298385=ORIENTED_EDGE('',*,*,#211931,.T.); #298386=ORIENTED_EDGE('',*,*,#211932,.F.); #298387=ORIENTED_EDGE('',*,*,#211933,.F.); #298388=ORIENTED_EDGE('',*,*,#211934,.T.); #298389=ORIENTED_EDGE('',*,*,#211935,.T.); #298390=ORIENTED_EDGE('',*,*,#211930,.F.); #298391=ORIENTED_EDGE('',*,*,#211936,.F.); #298392=ORIENTED_EDGE('',*,*,#211937,.T.); #298393=ORIENTED_EDGE('',*,*,#211938,.T.); #298394=ORIENTED_EDGE('',*,*,#211934,.F.); #298395=ORIENTED_EDGE('',*,*,#211939,.F.); #298396=ORIENTED_EDGE('',*,*,#211940,.T.); #298397=ORIENTED_EDGE('',*,*,#211941,.T.); #298398=ORIENTED_EDGE('',*,*,#211937,.F.); #298399=ORIENTED_EDGE('',*,*,#211942,.F.); #298400=ORIENTED_EDGE('',*,*,#211943,.T.); #298401=ORIENTED_EDGE('',*,*,#211944,.T.); #298402=ORIENTED_EDGE('',*,*,#211940,.F.); #298403=ORIENTED_EDGE('',*,*,#211945,.F.); #298404=ORIENTED_EDGE('',*,*,#211932,.T.); #298405=ORIENTED_EDGE('',*,*,#211946,.T.); #298406=ORIENTED_EDGE('',*,*,#211943,.F.); #298407=ORIENTED_EDGE('',*,*,#211946,.F.); #298408=ORIENTED_EDGE('',*,*,#211931,.F.); #298409=ORIENTED_EDGE('',*,*,#211935,.F.); #298410=ORIENTED_EDGE('',*,*,#211938,.F.); #298411=ORIENTED_EDGE('',*,*,#211941,.F.); #298412=ORIENTED_EDGE('',*,*,#211944,.F.); #298413=ORIENTED_EDGE('',*,*,#211945,.T.); #298414=ORIENTED_EDGE('',*,*,#211942,.T.); #298415=ORIENTED_EDGE('',*,*,#211939,.T.); #298416=ORIENTED_EDGE('',*,*,#211936,.T.); #298417=ORIENTED_EDGE('',*,*,#211933,.T.); #298418=ORIENTED_EDGE('',*,*,#211929,.F.); #298419=ORIENTED_EDGE('',*,*,#211947,.F.); #298420=ORIENTED_EDGE('',*,*,#211948,.T.); #298421=ORIENTED_EDGE('',*,*,#211949,.T.); #298422=ORIENTED_EDGE('',*,*,#211950,.F.); #298423=ORIENTED_EDGE('',*,*,#211951,.F.); #298424=ORIENTED_EDGE('',*,*,#211952,.T.); #298425=ORIENTED_EDGE('',*,*,#211953,.T.); #298426=ORIENTED_EDGE('',*,*,#211948,.F.); #298427=ORIENTED_EDGE('',*,*,#211954,.F.); #298428=ORIENTED_EDGE('',*,*,#211955,.T.); #298429=ORIENTED_EDGE('',*,*,#211956,.T.); #298430=ORIENTED_EDGE('',*,*,#211952,.F.); #298431=ORIENTED_EDGE('',*,*,#211957,.F.); #298432=ORIENTED_EDGE('',*,*,#211950,.T.); #298433=ORIENTED_EDGE('',*,*,#211958,.T.); #298434=ORIENTED_EDGE('',*,*,#211955,.F.); #298435=ORIENTED_EDGE('',*,*,#211958,.F.); #298436=ORIENTED_EDGE('',*,*,#211949,.F.); #298437=ORIENTED_EDGE('',*,*,#211953,.F.); #298438=ORIENTED_EDGE('',*,*,#211956,.F.); #298439=ORIENTED_EDGE('',*,*,#211957,.T.); #298440=ORIENTED_EDGE('',*,*,#211954,.T.); #298441=ORIENTED_EDGE('',*,*,#211951,.T.); #298442=ORIENTED_EDGE('',*,*,#211947,.T.); #298443=ORIENTED_EDGE('',*,*,#211959,.T.); #298444=ORIENTED_EDGE('',*,*,#211960,.T.); #298445=ORIENTED_EDGE('',*,*,#211961,.F.); #298446=ORIENTED_EDGE('',*,*,#211962,.F.); #298447=ORIENTED_EDGE('',*,*,#211963,.T.); #298448=ORIENTED_EDGE('',*,*,#211962,.T.); #298449=ORIENTED_EDGE('',*,*,#211964,.F.); #298450=ORIENTED_EDGE('',*,*,#211965,.F.); #298451=ORIENTED_EDGE('',*,*,#211966,.T.); #298452=ORIENTED_EDGE('',*,*,#211965,.T.); #298453=ORIENTED_EDGE('',*,*,#211967,.F.); #298454=ORIENTED_EDGE('',*,*,#211968,.F.); #298455=ORIENTED_EDGE('',*,*,#211969,.T.); #298456=ORIENTED_EDGE('',*,*,#211968,.T.); #298457=ORIENTED_EDGE('',*,*,#211970,.F.); #298458=ORIENTED_EDGE('',*,*,#211960,.F.); #298459=ORIENTED_EDGE('',*,*,#211970,.T.); #298460=ORIENTED_EDGE('',*,*,#211967,.T.); #298461=ORIENTED_EDGE('',*,*,#211964,.T.); #298462=ORIENTED_EDGE('',*,*,#211961,.T.); #298463=ORIENTED_EDGE('',*,*,#211969,.F.); #298464=ORIENTED_EDGE('',*,*,#211959,.F.); #298465=ORIENTED_EDGE('',*,*,#211963,.F.); #298466=ORIENTED_EDGE('',*,*,#211966,.F.); #298467=ORIENTED_EDGE('',*,*,#211971,.T.); #298468=ORIENTED_EDGE('',*,*,#211972,.T.); #298469=ORIENTED_EDGE('',*,*,#211973,.F.); #298470=ORIENTED_EDGE('',*,*,#211974,.F.); #298471=ORIENTED_EDGE('',*,*,#211975,.T.); #298472=ORIENTED_EDGE('',*,*,#211974,.T.); #298473=ORIENTED_EDGE('',*,*,#211976,.F.); #298474=ORIENTED_EDGE('',*,*,#211977,.F.); #298475=ORIENTED_EDGE('',*,*,#211978,.T.); #298476=ORIENTED_EDGE('',*,*,#211977,.T.); #298477=ORIENTED_EDGE('',*,*,#211979,.F.); #298478=ORIENTED_EDGE('',*,*,#211980,.F.); #298479=ORIENTED_EDGE('',*,*,#211981,.T.); #298480=ORIENTED_EDGE('',*,*,#211980,.T.); #298481=ORIENTED_EDGE('',*,*,#211982,.F.); #298482=ORIENTED_EDGE('',*,*,#211972,.F.); #298483=ORIENTED_EDGE('',*,*,#211982,.T.); #298484=ORIENTED_EDGE('',*,*,#211979,.T.); #298485=ORIENTED_EDGE('',*,*,#211976,.T.); #298486=ORIENTED_EDGE('',*,*,#211973,.T.); #298487=ORIENTED_EDGE('',*,*,#211981,.F.); #298488=ORIENTED_EDGE('',*,*,#211971,.F.); #298489=ORIENTED_EDGE('',*,*,#211975,.F.); #298490=ORIENTED_EDGE('',*,*,#211978,.F.); #298491=ORIENTED_EDGE('',*,*,#211983,.T.); #298492=ORIENTED_EDGE('',*,*,#211984,.T.); #298493=ORIENTED_EDGE('',*,*,#211985,.F.); #298494=ORIENTED_EDGE('',*,*,#211986,.F.); #298495=ORIENTED_EDGE('',*,*,#211987,.T.); #298496=ORIENTED_EDGE('',*,*,#211986,.T.); #298497=ORIENTED_EDGE('',*,*,#211988,.F.); #298498=ORIENTED_EDGE('',*,*,#211989,.F.); #298499=ORIENTED_EDGE('',*,*,#211990,.T.); #298500=ORIENTED_EDGE('',*,*,#211989,.T.); #298501=ORIENTED_EDGE('',*,*,#211991,.F.); #298502=ORIENTED_EDGE('',*,*,#211992,.F.); #298503=ORIENTED_EDGE('',*,*,#211993,.T.); #298504=ORIENTED_EDGE('',*,*,#211992,.T.); #298505=ORIENTED_EDGE('',*,*,#211994,.F.); #298506=ORIENTED_EDGE('',*,*,#211984,.F.); #298507=ORIENTED_EDGE('',*,*,#211994,.T.); #298508=ORIENTED_EDGE('',*,*,#211991,.T.); #298509=ORIENTED_EDGE('',*,*,#211988,.T.); #298510=ORIENTED_EDGE('',*,*,#211985,.T.); #298511=ORIENTED_EDGE('',*,*,#211993,.F.); #298512=ORIENTED_EDGE('',*,*,#211983,.F.); #298513=ORIENTED_EDGE('',*,*,#211987,.F.); #298514=ORIENTED_EDGE('',*,*,#211990,.F.); #298515=ORIENTED_EDGE('',*,*,#211995,.F.); #298516=ORIENTED_EDGE('',*,*,#211996,.T.); #298517=ORIENTED_EDGE('',*,*,#211997,.T.); #298518=ORIENTED_EDGE('',*,*,#211998,.F.); #298519=ORIENTED_EDGE('',*,*,#211999,.F.); #298520=ORIENTED_EDGE('',*,*,#212000,.T.); #298521=ORIENTED_EDGE('',*,*,#212001,.T.); #298522=ORIENTED_EDGE('',*,*,#211996,.F.); #298523=ORIENTED_EDGE('',*,*,#212002,.F.); #298524=ORIENTED_EDGE('',*,*,#212003,.T.); #298525=ORIENTED_EDGE('',*,*,#212004,.T.); #298526=ORIENTED_EDGE('',*,*,#212000,.F.); #298527=ORIENTED_EDGE('',*,*,#212005,.F.); #298528=ORIENTED_EDGE('',*,*,#211998,.T.); #298529=ORIENTED_EDGE('',*,*,#212006,.T.); #298530=ORIENTED_EDGE('',*,*,#212003,.F.); #298531=ORIENTED_EDGE('',*,*,#212006,.F.); #298532=ORIENTED_EDGE('',*,*,#211997,.F.); #298533=ORIENTED_EDGE('',*,*,#212001,.F.); #298534=ORIENTED_EDGE('',*,*,#212004,.F.); #298535=ORIENTED_EDGE('',*,*,#212005,.T.); #298536=ORIENTED_EDGE('',*,*,#212002,.T.); #298537=ORIENTED_EDGE('',*,*,#211999,.T.); #298538=ORIENTED_EDGE('',*,*,#211995,.T.); #298539=ORIENTED_EDGE('',*,*,#212007,.F.); #298540=ORIENTED_EDGE('',*,*,#212008,.T.); #298541=ORIENTED_EDGE('',*,*,#212009,.T.); #298542=ORIENTED_EDGE('',*,*,#212010,.F.); #298543=ORIENTED_EDGE('',*,*,#212011,.F.); #298544=ORIENTED_EDGE('',*,*,#212012,.T.); #298545=ORIENTED_EDGE('',*,*,#212013,.T.); #298546=ORIENTED_EDGE('',*,*,#212008,.F.); #298547=ORIENTED_EDGE('',*,*,#212014,.F.); #298548=ORIENTED_EDGE('',*,*,#212015,.T.); #298549=ORIENTED_EDGE('',*,*,#212016,.T.); #298550=ORIENTED_EDGE('',*,*,#212012,.F.); #298551=ORIENTED_EDGE('',*,*,#212017,.F.); #298552=ORIENTED_EDGE('',*,*,#212010,.T.); #298553=ORIENTED_EDGE('',*,*,#212018,.T.); #298554=ORIENTED_EDGE('',*,*,#212015,.F.); #298555=ORIENTED_EDGE('',*,*,#212018,.F.); #298556=ORIENTED_EDGE('',*,*,#212009,.F.); #298557=ORIENTED_EDGE('',*,*,#212013,.F.); #298558=ORIENTED_EDGE('',*,*,#212016,.F.); #298559=ORIENTED_EDGE('',*,*,#212017,.T.); #298560=ORIENTED_EDGE('',*,*,#212014,.T.); #298561=ORIENTED_EDGE('',*,*,#212011,.T.); #298562=ORIENTED_EDGE('',*,*,#212007,.T.); #298563=ORIENTED_EDGE('',*,*,#212019,.F.); #298564=ORIENTED_EDGE('',*,*,#212020,.T.); #298565=ORIENTED_EDGE('',*,*,#212021,.T.); #298566=ORIENTED_EDGE('',*,*,#212022,.F.); #298567=ORIENTED_EDGE('',*,*,#212023,.F.); #298568=ORIENTED_EDGE('',*,*,#212024,.T.); #298569=ORIENTED_EDGE('',*,*,#212025,.T.); #298570=ORIENTED_EDGE('',*,*,#212020,.F.); #298571=ORIENTED_EDGE('',*,*,#212026,.F.); #298572=ORIENTED_EDGE('',*,*,#212027,.T.); #298573=ORIENTED_EDGE('',*,*,#212028,.T.); #298574=ORIENTED_EDGE('',*,*,#212024,.F.); #298575=ORIENTED_EDGE('',*,*,#212029,.F.); #298576=ORIENTED_EDGE('',*,*,#212022,.T.); #298577=ORIENTED_EDGE('',*,*,#212030,.T.); #298578=ORIENTED_EDGE('',*,*,#212027,.F.); #298579=ORIENTED_EDGE('',*,*,#212030,.F.); #298580=ORIENTED_EDGE('',*,*,#212021,.F.); #298581=ORIENTED_EDGE('',*,*,#212025,.F.); #298582=ORIENTED_EDGE('',*,*,#212028,.F.); #298583=ORIENTED_EDGE('',*,*,#212029,.T.); #298584=ORIENTED_EDGE('',*,*,#212026,.T.); #298585=ORIENTED_EDGE('',*,*,#212023,.T.); #298586=ORIENTED_EDGE('',*,*,#212019,.T.); #298587=ORIENTED_EDGE('',*,*,#212031,.T.); #298588=ORIENTED_EDGE('',*,*,#212032,.T.); #298589=ORIENTED_EDGE('',*,*,#212033,.F.); #298590=ORIENTED_EDGE('',*,*,#212034,.F.); #298591=ORIENTED_EDGE('',*,*,#212035,.T.); #298592=ORIENTED_EDGE('',*,*,#212034,.T.); #298593=ORIENTED_EDGE('',*,*,#212036,.F.); #298594=ORIENTED_EDGE('',*,*,#212037,.F.); #298595=ORIENTED_EDGE('',*,*,#212038,.T.); #298596=ORIENTED_EDGE('',*,*,#212037,.T.); #298597=ORIENTED_EDGE('',*,*,#212039,.F.); #298598=ORIENTED_EDGE('',*,*,#212040,.F.); #298599=ORIENTED_EDGE('',*,*,#212041,.T.); #298600=ORIENTED_EDGE('',*,*,#212040,.T.); #298601=ORIENTED_EDGE('',*,*,#212042,.F.); #298602=ORIENTED_EDGE('',*,*,#212032,.F.); #298603=ORIENTED_EDGE('',*,*,#212042,.T.); #298604=ORIENTED_EDGE('',*,*,#212039,.T.); #298605=ORIENTED_EDGE('',*,*,#212036,.T.); #298606=ORIENTED_EDGE('',*,*,#212033,.T.); #298607=ORIENTED_EDGE('',*,*,#212041,.F.); #298608=ORIENTED_EDGE('',*,*,#212031,.F.); #298609=ORIENTED_EDGE('',*,*,#212035,.F.); #298610=ORIENTED_EDGE('',*,*,#212038,.F.); #298611=ORIENTED_EDGE('',*,*,#212043,.T.); #298612=ORIENTED_EDGE('',*,*,#212044,.T.); #298613=ORIENTED_EDGE('',*,*,#212045,.T.); #298614=ORIENTED_EDGE('',*,*,#212046,.F.); #298615=ORIENTED_EDGE('',*,*,#212047,.T.); #298616=ORIENTED_EDGE('',*,*,#212048,.T.); #298617=ORIENTED_EDGE('',*,*,#212049,.T.); #298618=ORIENTED_EDGE('',*,*,#212044,.F.); #298619=ORIENTED_EDGE('',*,*,#212050,.T.); #298620=ORIENTED_EDGE('',*,*,#212051,.T.); #298621=ORIENTED_EDGE('',*,*,#212052,.T.); #298622=ORIENTED_EDGE('',*,*,#212048,.F.); #298623=ORIENTED_EDGE('',*,*,#212053,.T.); #298624=ORIENTED_EDGE('',*,*,#212051,.F.); #298625=ORIENTED_EDGE('',*,*,#212054,.T.); #298626=ORIENTED_EDGE('',*,*,#212046,.T.); #298627=ORIENTED_EDGE('',*,*,#212053,.F.); #298628=ORIENTED_EDGE('',*,*,#212055,.F.); #298629=ORIENTED_EDGE('',*,*,#212056,.T.); #298630=ORIENTED_EDGE('',*,*,#212057,.T.); #298631=ORIENTED_EDGE('',*,*,#212045,.F.); #298632=ORIENTED_EDGE('',*,*,#212058,.F.); #298633=ORIENTED_EDGE('',*,*,#212059,.T.); #298634=ORIENTED_EDGE('',*,*,#212055,.T.); #298635=ORIENTED_EDGE('',*,*,#212043,.F.); #298636=ORIENTED_EDGE('',*,*,#212054,.F.); #298637=ORIENTED_EDGE('',*,*,#212050,.F.); #298638=ORIENTED_EDGE('',*,*,#212047,.F.); #298639=ORIENTED_EDGE('',*,*,#212049,.F.); #298640=ORIENTED_EDGE('',*,*,#212060,.F.); #298641=ORIENTED_EDGE('',*,*,#212061,.T.); #298642=ORIENTED_EDGE('',*,*,#212058,.T.); #298643=ORIENTED_EDGE('',*,*,#212052,.F.); #298644=ORIENTED_EDGE('',*,*,#212057,.F.); #298645=ORIENTED_EDGE('',*,*,#212062,.T.); #298646=ORIENTED_EDGE('',*,*,#212060,.T.); #298647=ORIENTED_EDGE('',*,*,#212062,.F.); #298648=ORIENTED_EDGE('',*,*,#212056,.F.); #298649=ORIENTED_EDGE('',*,*,#212059,.F.); #298650=ORIENTED_EDGE('',*,*,#212061,.F.); #298651=ORIENTED_EDGE('',*,*,#212063,.F.); #298652=ORIENTED_EDGE('',*,*,#212064,.T.); #298653=ORIENTED_EDGE('',*,*,#212065,.F.); #298654=ORIENTED_EDGE('',*,*,#212066,.F.); #298655=ORIENTED_EDGE('',*,*,#212067,.T.); #298656=ORIENTED_EDGE('',*,*,#212066,.T.); #298657=ORIENTED_EDGE('',*,*,#212068,.F.); #298658=ORIENTED_EDGE('',*,*,#212069,.F.); #298659=ORIENTED_EDGE('',*,*,#212070,.T.); #298660=ORIENTED_EDGE('',*,*,#212069,.T.); #298661=ORIENTED_EDGE('',*,*,#212071,.F.); #298662=ORIENTED_EDGE('',*,*,#212072,.F.); #298663=ORIENTED_EDGE('',*,*,#212073,.T.); #298664=ORIENTED_EDGE('',*,*,#212072,.T.); #298665=ORIENTED_EDGE('',*,*,#212074,.F.); #298666=ORIENTED_EDGE('',*,*,#212064,.F.); #298667=ORIENTED_EDGE('',*,*,#212074,.T.); #298668=ORIENTED_EDGE('',*,*,#212071,.T.); #298669=ORIENTED_EDGE('',*,*,#212068,.T.); #298670=ORIENTED_EDGE('',*,*,#212065,.T.); #298671=ORIENTED_EDGE('',*,*,#212073,.F.); #298672=ORIENTED_EDGE('',*,*,#212063,.T.); #298673=ORIENTED_EDGE('',*,*,#212067,.F.); #298674=ORIENTED_EDGE('',*,*,#212070,.F.); #298675=ORIENTED_EDGE('',*,*,#212075,.T.); #298676=ORIENTED_EDGE('',*,*,#212076,.T.); #298677=ORIENTED_EDGE('',*,*,#212077,.F.); #298678=ORIENTED_EDGE('',*,*,#212078,.F.); #298679=ORIENTED_EDGE('',*,*,#212079,.T.); #298680=ORIENTED_EDGE('',*,*,#212078,.T.); #298681=ORIENTED_EDGE('',*,*,#212080,.F.); #298682=ORIENTED_EDGE('',*,*,#212081,.F.); #298683=ORIENTED_EDGE('',*,*,#212082,.T.); #298684=ORIENTED_EDGE('',*,*,#212081,.T.); #298685=ORIENTED_EDGE('',*,*,#212083,.F.); #298686=ORIENTED_EDGE('',*,*,#212084,.F.); #298687=ORIENTED_EDGE('',*,*,#212085,.T.); #298688=ORIENTED_EDGE('',*,*,#212084,.T.); #298689=ORIENTED_EDGE('',*,*,#212086,.F.); #298690=ORIENTED_EDGE('',*,*,#212076,.F.); #298691=ORIENTED_EDGE('',*,*,#212086,.T.); #298692=ORIENTED_EDGE('',*,*,#212083,.T.); #298693=ORIENTED_EDGE('',*,*,#212080,.T.); #298694=ORIENTED_EDGE('',*,*,#212077,.T.); #298695=ORIENTED_EDGE('',*,*,#212085,.F.); #298696=ORIENTED_EDGE('',*,*,#212075,.F.); #298697=ORIENTED_EDGE('',*,*,#212079,.F.); #298698=ORIENTED_EDGE('',*,*,#212082,.F.); #298699=ORIENTED_EDGE('',*,*,#212087,.F.); #298700=ORIENTED_EDGE('',*,*,#212088,.T.); #298701=ORIENTED_EDGE('',*,*,#212089,.F.); #298702=ORIENTED_EDGE('',*,*,#212090,.F.); #298703=ORIENTED_EDGE('',*,*,#212091,.F.); #298704=ORIENTED_EDGE('',*,*,#212090,.T.); #298705=ORIENTED_EDGE('',*,*,#212092,.F.); #298706=ORIENTED_EDGE('',*,*,#212093,.F.); #298707=ORIENTED_EDGE('',*,*,#212094,.F.); #298708=ORIENTED_EDGE('',*,*,#212093,.T.); #298709=ORIENTED_EDGE('',*,*,#212095,.F.); #298710=ORIENTED_EDGE('',*,*,#212096,.F.); #298711=ORIENTED_EDGE('',*,*,#212097,.F.); #298712=ORIENTED_EDGE('',*,*,#212096,.T.); #298713=ORIENTED_EDGE('',*,*,#212098,.F.); #298714=ORIENTED_EDGE('',*,*,#212088,.F.); #298715=ORIENTED_EDGE('',*,*,#212098,.T.); #298716=ORIENTED_EDGE('',*,*,#212095,.T.); #298717=ORIENTED_EDGE('',*,*,#212092,.T.); #298718=ORIENTED_EDGE('',*,*,#212089,.T.); #298719=ORIENTED_EDGE('',*,*,#212097,.T.); #298720=ORIENTED_EDGE('',*,*,#212087,.T.); #298721=ORIENTED_EDGE('',*,*,#212091,.T.); #298722=ORIENTED_EDGE('',*,*,#212094,.T.); #298723=ORIENTED_EDGE('',*,*,#212099,.F.); #298724=ORIENTED_EDGE('',*,*,#212100,.T.); #298725=ORIENTED_EDGE('',*,*,#212101,.F.); #298726=ORIENTED_EDGE('',*,*,#212102,.F.); #298727=ORIENTED_EDGE('',*,*,#212103,.F.); #298728=ORIENTED_EDGE('',*,*,#212102,.T.); #298729=ORIENTED_EDGE('',*,*,#212104,.F.); #298730=ORIENTED_EDGE('',*,*,#212105,.F.); #298731=ORIENTED_EDGE('',*,*,#212106,.F.); #298732=ORIENTED_EDGE('',*,*,#212105,.T.); #298733=ORIENTED_EDGE('',*,*,#212107,.F.); #298734=ORIENTED_EDGE('',*,*,#212108,.F.); #298735=ORIENTED_EDGE('',*,*,#212109,.T.); #298736=ORIENTED_EDGE('',*,*,#212108,.T.); #298737=ORIENTED_EDGE('',*,*,#212110,.F.); #298738=ORIENTED_EDGE('',*,*,#212100,.F.); #298739=ORIENTED_EDGE('',*,*,#212110,.T.); #298740=ORIENTED_EDGE('',*,*,#212107,.T.); #298741=ORIENTED_EDGE('',*,*,#212104,.T.); #298742=ORIENTED_EDGE('',*,*,#212101,.T.); #298743=ORIENTED_EDGE('',*,*,#212109,.F.); #298744=ORIENTED_EDGE('',*,*,#212099,.T.); #298745=ORIENTED_EDGE('',*,*,#212103,.T.); #298746=ORIENTED_EDGE('',*,*,#212106,.T.); #298747=ORIENTED_EDGE('',*,*,#212111,.T.); #298748=ORIENTED_EDGE('',*,*,#212112,.T.); #298749=ORIENTED_EDGE('',*,*,#212113,.F.); #298750=ORIENTED_EDGE('',*,*,#212112,.F.); #298751=ORIENTED_EDGE('',*,*,#212114,.T.); #298752=ORIENTED_EDGE('',*,*,#212115,.T.); #298753=ORIENTED_EDGE('',*,*,#212116,.F.); #298754=ORIENTED_EDGE('',*,*,#212115,.F.); #298755=ORIENTED_EDGE('',*,*,#212116,.T.); #298756=ORIENTED_EDGE('',*,*,#212111,.F.); #298757=ORIENTED_EDGE('',*,*,#212114,.F.); #298758=ORIENTED_EDGE('',*,*,#212113,.T.); #298759=ORIENTED_EDGE('',*,*,#212117,.T.); #298760=ORIENTED_EDGE('',*,*,#212118,.T.); #298761=ORIENTED_EDGE('',*,*,#212119,.F.); #298762=ORIENTED_EDGE('',*,*,#212120,.F.); #298763=ORIENTED_EDGE('',*,*,#212121,.T.); #298764=ORIENTED_EDGE('',*,*,#212122,.T.); #298765=ORIENTED_EDGE('',*,*,#212123,.T.); #298766=ORIENTED_EDGE('',*,*,#212117,.F.); #298767=ORIENTED_EDGE('',*,*,#212124,.T.); #298768=ORIENTED_EDGE('',*,*,#212125,.F.); #298769=ORIENTED_EDGE('',*,*,#212126,.T.); #298770=ORIENTED_EDGE('',*,*,#212127,.F.); #298771=ORIENTED_EDGE('',*,*,#212128,.T.); #298772=ORIENTED_EDGE('',*,*,#212129,.T.); #298773=ORIENTED_EDGE('',*,*,#212130,.T.); #298774=ORIENTED_EDGE('',*,*,#212131,.T.); #298775=ORIENTED_EDGE('',*,*,#212132,.T.); #298776=ORIENTED_EDGE('',*,*,#212133,.T.); #298777=ORIENTED_EDGE('',*,*,#212134,.T.); #298778=ORIENTED_EDGE('',*,*,#212129,.F.); #298779=ORIENTED_EDGE('',*,*,#212135,.T.); #298780=ORIENTED_EDGE('',*,*,#212136,.F.); #298781=ORIENTED_EDGE('',*,*,#212137,.T.); #298782=ORIENTED_EDGE('',*,*,#212138,.F.); #298783=ORIENTED_EDGE('',*,*,#212139,.F.); #298784=ORIENTED_EDGE('',*,*,#212140,.T.); #298785=ORIENTED_EDGE('',*,*,#212132,.F.); #298786=ORIENTED_EDGE('',*,*,#212141,.F.); #298787=ORIENTED_EDGE('',*,*,#212126,.F.); #298788=ORIENTED_EDGE('',*,*,#212142,.F.); #298789=ORIENTED_EDGE('',*,*,#212124,.F.); #298790=ORIENTED_EDGE('',*,*,#212121,.F.); #298791=ORIENTED_EDGE('',*,*,#212143,.F.); #298792=ORIENTED_EDGE('',*,*,#212137,.F.); #298793=ORIENTED_EDGE('',*,*,#212144,.F.); #298794=ORIENTED_EDGE('',*,*,#212135,.F.); #298795=ORIENTED_EDGE('',*,*,#212140,.F.); #298796=ORIENTED_EDGE('',*,*,#212145,.F.); #298797=ORIENTED_EDGE('',*,*,#212118,.F.); #298798=ORIENTED_EDGE('',*,*,#212123,.F.); #298799=ORIENTED_EDGE('',*,*,#212146,.F.); #298800=ORIENTED_EDGE('',*,*,#212128,.F.); #298801=ORIENTED_EDGE('',*,*,#212147,.F.); #298802=ORIENTED_EDGE('',*,*,#212130,.F.); #298803=ORIENTED_EDGE('',*,*,#212134,.F.); #298804=ORIENTED_EDGE('',*,*,#212148,.F.); #298805=ORIENTED_EDGE('',*,*,#212149,.T.); #298806=ORIENTED_EDGE('',*,*,#212150,.F.); #298807=ORIENTED_EDGE('',*,*,#212151,.F.); #298808=ORIENTED_EDGE('',*,*,#212152,.F.); #298809=ORIENTED_EDGE('',*,*,#212153,.F.); #298810=ORIENTED_EDGE('',*,*,#212119,.T.); #298811=ORIENTED_EDGE('',*,*,#212145,.T.); #298812=ORIENTED_EDGE('',*,*,#212139,.T.); #298813=ORIENTED_EDGE('',*,*,#212120,.T.); #298814=ORIENTED_EDGE('',*,*,#212153,.T.); #298815=ORIENTED_EDGE('',*,*,#212154,.F.); #298816=ORIENTED_EDGE('',*,*,#212155,.F.); #298817=ORIENTED_EDGE('',*,*,#212156,.F.); #298818=ORIENTED_EDGE('',*,*,#212149,.F.); #298819=ORIENTED_EDGE('',*,*,#212138,.T.); #298820=ORIENTED_EDGE('',*,*,#212143,.T.); #298821=ORIENTED_EDGE('',*,*,#212136,.T.); #298822=ORIENTED_EDGE('',*,*,#212148,.T.); #298823=ORIENTED_EDGE('',*,*,#212133,.F.); #298824=ORIENTED_EDGE('',*,*,#212144,.T.); #298825=ORIENTED_EDGE('',*,*,#212125,.T.); #298826=ORIENTED_EDGE('',*,*,#212146,.T.); #298827=ORIENTED_EDGE('',*,*,#212122,.F.); #298828=ORIENTED_EDGE('',*,*,#212142,.T.); #298829=ORIENTED_EDGE('',*,*,#212157,.F.); #298830=ORIENTED_EDGE('',*,*,#212158,.F.); #298831=ORIENTED_EDGE('',*,*,#212159,.F.); #298832=ORIENTED_EDGE('',*,*,#212160,.F.); #298833=ORIENTED_EDGE('',*,*,#212161,.F.); #298834=ORIENTED_EDGE('',*,*,#212162,.F.); #298835=ORIENTED_EDGE('',*,*,#212127,.T.); #298836=ORIENTED_EDGE('',*,*,#212141,.T.); #298837=ORIENTED_EDGE('',*,*,#212131,.F.); #298838=ORIENTED_EDGE('',*,*,#212147,.T.); #298839=ORIENTED_EDGE('',*,*,#212163,.F.); #298840=ORIENTED_EDGE('',*,*,#212150,.T.); #298841=ORIENTED_EDGE('',*,*,#212164,.T.); #298842=ORIENTED_EDGE('',*,*,#212157,.T.); #298843=ORIENTED_EDGE('',*,*,#212165,.F.); #298844=ORIENTED_EDGE('',*,*,#212151,.T.); #298845=ORIENTED_EDGE('',*,*,#212163,.T.); #298846=ORIENTED_EDGE('',*,*,#212162,.T.); #298847=ORIENTED_EDGE('',*,*,#212166,.F.); #298848=ORIENTED_EDGE('',*,*,#212152,.T.); #298849=ORIENTED_EDGE('',*,*,#212165,.T.); #298850=ORIENTED_EDGE('',*,*,#212161,.T.); #298851=ORIENTED_EDGE('',*,*,#212167,.F.); #298852=ORIENTED_EDGE('',*,*,#212154,.T.); #298853=ORIENTED_EDGE('',*,*,#212166,.T.); #298854=ORIENTED_EDGE('',*,*,#212160,.T.); #298855=ORIENTED_EDGE('',*,*,#212168,.F.); #298856=ORIENTED_EDGE('',*,*,#212155,.T.); #298857=ORIENTED_EDGE('',*,*,#212167,.T.); #298858=ORIENTED_EDGE('',*,*,#212159,.T.); #298859=ORIENTED_EDGE('',*,*,#212164,.F.); #298860=ORIENTED_EDGE('',*,*,#212156,.T.); #298861=ORIENTED_EDGE('',*,*,#212168,.T.); #298862=ORIENTED_EDGE('',*,*,#212158,.T.); #298863=ORIENTED_EDGE('',*,*,#212169,.F.); #298864=ORIENTED_EDGE('',*,*,#212170,.T.); #298865=ORIENTED_EDGE('',*,*,#212171,.F.); #298866=ORIENTED_EDGE('',*,*,#212172,.T.); #298867=ORIENTED_EDGE('',*,*,#212173,.F.); #298868=ORIENTED_EDGE('',*,*,#212174,.T.); #298869=ORIENTED_EDGE('',*,*,#212169,.T.); #298870=ORIENTED_EDGE('',*,*,#212175,.T.); #298871=ORIENTED_EDGE('',*,*,#212176,.F.); #298872=ORIENTED_EDGE('',*,*,#212177,.T.); #298873=ORIENTED_EDGE('',*,*,#212173,.T.); #298874=ORIENTED_EDGE('',*,*,#212178,.T.); #298875=ORIENTED_EDGE('',*,*,#212179,.F.); #298876=ORIENTED_EDGE('',*,*,#212180,.T.); #298877=ORIENTED_EDGE('',*,*,#212176,.T.); #298878=ORIENTED_EDGE('',*,*,#212181,.T.); #298879=ORIENTED_EDGE('',*,*,#212182,.F.); #298880=ORIENTED_EDGE('',*,*,#212183,.T.); #298881=ORIENTED_EDGE('',*,*,#212179,.T.); #298882=ORIENTED_EDGE('',*,*,#212184,.T.); #298883=ORIENTED_EDGE('',*,*,#212185,.F.); #298884=ORIENTED_EDGE('',*,*,#212186,.T.); #298885=ORIENTED_EDGE('',*,*,#212182,.T.); #298886=ORIENTED_EDGE('',*,*,#212187,.T.); #298887=ORIENTED_EDGE('',*,*,#212188,.F.); #298888=ORIENTED_EDGE('',*,*,#212189,.T.); #298889=ORIENTED_EDGE('',*,*,#212185,.T.); #298890=ORIENTED_EDGE('',*,*,#212190,.T.); #298891=ORIENTED_EDGE('',*,*,#212191,.F.); #298892=ORIENTED_EDGE('',*,*,#212192,.T.); #298893=ORIENTED_EDGE('',*,*,#212193,.F.); #298894=ORIENTED_EDGE('',*,*,#212194,.F.); #298895=ORIENTED_EDGE('',*,*,#212195,.T.); #298896=ORIENTED_EDGE('',*,*,#212191,.T.); #298897=ORIENTED_EDGE('',*,*,#212196,.F.); #298898=ORIENTED_EDGE('',*,*,#212197,.F.); #298899=ORIENTED_EDGE('',*,*,#212198,.F.); #298900=ORIENTED_EDGE('',*,*,#212199,.T.); #298901=ORIENTED_EDGE('',*,*,#212194,.T.); #298902=ORIENTED_EDGE('',*,*,#212200,.F.); #298903=ORIENTED_EDGE('',*,*,#212201,.F.); #298904=ORIENTED_EDGE('',*,*,#212202,.T.); #298905=ORIENTED_EDGE('',*,*,#212198,.T.); #298906=ORIENTED_EDGE('',*,*,#212203,.F.); #298907=ORIENTED_EDGE('',*,*,#212204,.F.); #298908=ORIENTED_EDGE('',*,*,#212205,.T.); #298909=ORIENTED_EDGE('',*,*,#212201,.T.); #298910=ORIENTED_EDGE('',*,*,#212206,.F.); #298911=ORIENTED_EDGE('',*,*,#212207,.F.); #298912=ORIENTED_EDGE('',*,*,#212208,.T.); #298913=ORIENTED_EDGE('',*,*,#212204,.T.); #298914=ORIENTED_EDGE('',*,*,#212209,.F.); #298915=ORIENTED_EDGE('',*,*,#212210,.F.); #298916=ORIENTED_EDGE('',*,*,#212211,.T.); #298917=ORIENTED_EDGE('',*,*,#212207,.T.); #298918=ORIENTED_EDGE('',*,*,#212212,.F.); #298919=ORIENTED_EDGE('',*,*,#212213,.T.); #298920=ORIENTED_EDGE('',*,*,#212214,.F.); #298921=ORIENTED_EDGE('',*,*,#212215,.F.); #298922=ORIENTED_EDGE('',*,*,#212216,.T.); #298923=ORIENTED_EDGE('',*,*,#212217,.F.); #298924=ORIENTED_EDGE('',*,*,#212218,.F.); #298925=ORIENTED_EDGE('',*,*,#212219,.T.); #298926=ORIENTED_EDGE('',*,*,#212220,.T.); #298927=ORIENTED_EDGE('',*,*,#212221,.T.); #298928=ORIENTED_EDGE('',*,*,#212222,.T.); #298929=ORIENTED_EDGE('',*,*,#212223,.T.); #298930=ORIENTED_EDGE('',*,*,#212224,.F.); #298931=ORIENTED_EDGE('',*,*,#212225,.T.); #298932=ORIENTED_EDGE('',*,*,#212226,.F.); #298933=ORIENTED_EDGE('',*,*,#212227,.F.); #298934=ORIENTED_EDGE('',*,*,#212228,.T.); #298935=ORIENTED_EDGE('',*,*,#212229,.T.); #298936=ORIENTED_EDGE('',*,*,#212230,.F.); #298937=ORIENTED_EDGE('',*,*,#212231,.F.); #298938=ORIENTED_EDGE('',*,*,#212232,.T.); #298939=ORIENTED_EDGE('',*,*,#212233,.T.); #298940=ORIENTED_EDGE('',*,*,#212234,.T.); #298941=ORIENTED_EDGE('',*,*,#212235,.T.); #298942=ORIENTED_EDGE('',*,*,#212236,.F.); #298943=ORIENTED_EDGE('',*,*,#212237,.T.); #298944=ORIENTED_EDGE('',*,*,#212238,.F.); #298945=ORIENTED_EDGE('',*,*,#212239,.F.); #298946=ORIENTED_EDGE('',*,*,#212240,.F.); #298947=ORIENTED_EDGE('',*,*,#212241,.T.); #298948=ORIENTED_EDGE('',*,*,#212240,.T.); #298949=ORIENTED_EDGE('',*,*,#212242,.F.); #298950=ORIENTED_EDGE('',*,*,#212243,.F.); #298951=ORIENTED_EDGE('',*,*,#212244,.T.); #298952=ORIENTED_EDGE('',*,*,#212245,.T.); #298953=ORIENTED_EDGE('',*,*,#212246,.F.); #298954=ORIENTED_EDGE('',*,*,#212247,.F.); #298955=ORIENTED_EDGE('',*,*,#212248,.T.); #298956=ORIENTED_EDGE('',*,*,#212247,.T.); #298957=ORIENTED_EDGE('',*,*,#212249,.F.); #298958=ORIENTED_EDGE('',*,*,#212250,.F.); #298959=ORIENTED_EDGE('',*,*,#212251,.F.); #298960=ORIENTED_EDGE('',*,*,#212249,.T.); #298961=ORIENTED_EDGE('',*,*,#212246,.T.); #298962=ORIENTED_EDGE('',*,*,#212252,.T.); #298963=ORIENTED_EDGE('',*,*,#212242,.T.); #298964=ORIENTED_EDGE('',*,*,#212239,.T.); #298965=ORIENTED_EDGE('',*,*,#212250,.T.); #298966=ORIENTED_EDGE('',*,*,#212251,.T.); #298967=ORIENTED_EDGE('',*,*,#212238,.T.); #298968=ORIENTED_EDGE('',*,*,#212253,.T.); #298969=ORIENTED_EDGE('',*,*,#212254,.T.); #298970=ORIENTED_EDGE('',*,*,#212255,.T.); #298971=ORIENTED_EDGE('',*,*,#212256,.T.); #298972=ORIENTED_EDGE('',*,*,#212257,.T.); #298973=ORIENTED_EDGE('',*,*,#212258,.T.); #298974=ORIENTED_EDGE('',*,*,#212259,.T.); #298975=ORIENTED_EDGE('',*,*,#212260,.T.); #298976=ORIENTED_EDGE('',*,*,#212261,.T.); #298977=ORIENTED_EDGE('',*,*,#212262,.T.); #298978=ORIENTED_EDGE('',*,*,#212263,.T.); #298979=ORIENTED_EDGE('',*,*,#212259,.F.); #298980=ORIENTED_EDGE('',*,*,#212264,.F.); #298981=ORIENTED_EDGE('',*,*,#212265,.F.); #298982=ORIENTED_EDGE('',*,*,#212266,.T.); #298983=ORIENTED_EDGE('',*,*,#212265,.T.); #298984=ORIENTED_EDGE('',*,*,#212267,.F.); #298985=ORIENTED_EDGE('',*,*,#212268,.F.); #298986=ORIENTED_EDGE('',*,*,#212269,.T.); #298987=ORIENTED_EDGE('',*,*,#212270,.T.); #298988=ORIENTED_EDGE('',*,*,#212271,.F.); #298989=ORIENTED_EDGE('',*,*,#212272,.F.); #298990=ORIENTED_EDGE('',*,*,#212273,.T.); #298991=ORIENTED_EDGE('',*,*,#212272,.T.); #298992=ORIENTED_EDGE('',*,*,#212274,.F.); #298993=ORIENTED_EDGE('',*,*,#212257,.F.); #298994=ORIENTED_EDGE('',*,*,#212258,.F.); #298995=ORIENTED_EDGE('',*,*,#212274,.T.); #298996=ORIENTED_EDGE('',*,*,#212271,.T.); #298997=ORIENTED_EDGE('',*,*,#212275,.T.); #298998=ORIENTED_EDGE('',*,*,#212267,.T.); #298999=ORIENTED_EDGE('',*,*,#212264,.T.); #299000=ORIENTED_EDGE('',*,*,#212276,.F.); #299001=ORIENTED_EDGE('',*,*,#212277,.T.); #299002=ORIENTED_EDGE('',*,*,#212278,.T.); #299003=ORIENTED_EDGE('',*,*,#212279,.F.); #299004=ORIENTED_EDGE('',*,*,#212280,.F.); #299005=ORIENTED_EDGE('',*,*,#212281,.T.); #299006=ORIENTED_EDGE('',*,*,#212282,.T.); #299007=ORIENTED_EDGE('',*,*,#212277,.F.); #299008=ORIENTED_EDGE('',*,*,#212283,.F.); #299009=ORIENTED_EDGE('',*,*,#212284,.T.); #299010=ORIENTED_EDGE('',*,*,#212285,.T.); #299011=ORIENTED_EDGE('',*,*,#212286,.F.); #299012=ORIENTED_EDGE('',*,*,#212287,.F.); #299013=ORIENTED_EDGE('',*,*,#212288,.T.); #299014=ORIENTED_EDGE('',*,*,#212289,.T.); #299015=ORIENTED_EDGE('',*,*,#212284,.F.); #299016=ORIENTED_EDGE('',*,*,#212290,.F.); #299017=ORIENTED_EDGE('',*,*,#212291,.T.); #299018=ORIENTED_EDGE('',*,*,#212292,.T.); #299019=ORIENTED_EDGE('',*,*,#212293,.F.); #299020=ORIENTED_EDGE('',*,*,#212294,.F.); #299021=ORIENTED_EDGE('',*,*,#212295,.T.); #299022=ORIENTED_EDGE('',*,*,#212296,.T.); #299023=ORIENTED_EDGE('',*,*,#212291,.F.); #299024=ORIENTED_EDGE('',*,*,#212253,.F.); #299025=ORIENTED_EDGE('',*,*,#212237,.F.); #299026=ORIENTED_EDGE('',*,*,#212297,.T.); #299027=ORIENTED_EDGE('',*,*,#212298,.F.); #299028=ORIENTED_EDGE('',*,*,#212262,.F.); #299029=ORIENTED_EDGE('',*,*,#212299,.T.); #299030=ORIENTED_EDGE('',*,*,#212300,.T.); #299031=ORIENTED_EDGE('',*,*,#212248,.F.); #299032=ORIENTED_EDGE('',*,*,#212301,.F.); #299033=ORIENTED_EDGE('',*,*,#212302,.T.); #299034=ORIENTED_EDGE('',*,*,#212303,.T.); #299035=ORIENTED_EDGE('',*,*,#212304,.F.); #299036=ORIENTED_EDGE('',*,*,#212305,.F.); #299037=ORIENTED_EDGE('',*,*,#212306,.T.); #299038=ORIENTED_EDGE('',*,*,#212307,.T.); #299039=ORIENTED_EDGE('',*,*,#212302,.F.); #299040=ORIENTED_EDGE('',*,*,#212308,.F.); #299041=ORIENTED_EDGE('',*,*,#212309,.T.); #299042=ORIENTED_EDGE('',*,*,#212310,.T.); #299043=ORIENTED_EDGE('',*,*,#212311,.F.); #299044=ORIENTED_EDGE('',*,*,#212312,.F.); #299045=ORIENTED_EDGE('',*,*,#212313,.T.); #299046=ORIENTED_EDGE('',*,*,#212314,.T.); #299047=ORIENTED_EDGE('',*,*,#212309,.F.); #299048=ORIENTED_EDGE('',*,*,#212315,.F.); #299049=ORIENTED_EDGE('',*,*,#212316,.T.); #299050=ORIENTED_EDGE('',*,*,#212317,.T.); #299051=ORIENTED_EDGE('',*,*,#212318,.F.); #299052=ORIENTED_EDGE('',*,*,#212319,.F.); #299053=ORIENTED_EDGE('',*,*,#212320,.T.); #299054=ORIENTED_EDGE('',*,*,#212321,.T.); #299055=ORIENTED_EDGE('',*,*,#212316,.F.); #299056=ORIENTED_EDGE('',*,*,#212322,.F.); #299057=ORIENTED_EDGE('',*,*,#212323,.T.); #299058=ORIENTED_EDGE('',*,*,#212324,.T.); #299059=ORIENTED_EDGE('',*,*,#212325,.F.); #299060=ORIENTED_EDGE('',*,*,#212326,.F.); #299061=ORIENTED_EDGE('',*,*,#212327,.T.); #299062=ORIENTED_EDGE('',*,*,#212328,.T.); #299063=ORIENTED_EDGE('',*,*,#212323,.F.); #299064=ORIENTED_EDGE('',*,*,#212324,.F.); #299065=ORIENTED_EDGE('',*,*,#212329,.F.); #299066=ORIENTED_EDGE('',*,*,#212244,.F.); #299067=ORIENTED_EDGE('',*,*,#212300,.F.); #299068=ORIENTED_EDGE('',*,*,#212330,.F.); #299069=ORIENTED_EDGE('',*,*,#212329,.T.); #299070=ORIENTED_EDGE('',*,*,#212331,.T.); #299071=ORIENTED_EDGE('',*,*,#212332,.T.); #299072=ORIENTED_EDGE('',*,*,#212333,.T.); #299073=ORIENTED_EDGE('',*,*,#212334,.T.); #299074=ORIENTED_EDGE('',*,*,#212335,.T.); #299075=ORIENTED_EDGE('',*,*,#212336,.T.); #299076=ORIENTED_EDGE('',*,*,#212337,.T.); #299077=ORIENTED_EDGE('',*,*,#212243,.T.); #299078=ORIENTED_EDGE('',*,*,#212252,.F.); #299079=ORIENTED_EDGE('',*,*,#212245,.F.); #299080=ORIENTED_EDGE('',*,*,#212317,.F.); #299081=ORIENTED_EDGE('',*,*,#212331,.F.); #299082=ORIENTED_EDGE('',*,*,#212328,.F.); #299083=ORIENTED_EDGE('',*,*,#212338,.F.); #299084=ORIENTED_EDGE('',*,*,#212310,.F.); #299085=ORIENTED_EDGE('',*,*,#212332,.F.); #299086=ORIENTED_EDGE('',*,*,#212321,.F.); #299087=ORIENTED_EDGE('',*,*,#212339,.F.); #299088=ORIENTED_EDGE('',*,*,#212303,.F.); #299089=ORIENTED_EDGE('',*,*,#212333,.F.); #299090=ORIENTED_EDGE('',*,*,#212314,.F.); #299091=ORIENTED_EDGE('',*,*,#212340,.F.); #299092=ORIENTED_EDGE('',*,*,#212278,.F.); #299093=ORIENTED_EDGE('',*,*,#212334,.F.); #299094=ORIENTED_EDGE('',*,*,#212307,.F.); #299095=ORIENTED_EDGE('',*,*,#212341,.F.); #299096=ORIENTED_EDGE('',*,*,#212285,.F.); #299097=ORIENTED_EDGE('',*,*,#212335,.F.); #299098=ORIENTED_EDGE('',*,*,#212282,.F.); #299099=ORIENTED_EDGE('',*,*,#212342,.F.); #299100=ORIENTED_EDGE('',*,*,#212292,.F.); #299101=ORIENTED_EDGE('',*,*,#212336,.F.); #299102=ORIENTED_EDGE('',*,*,#212289,.F.); #299103=ORIENTED_EDGE('',*,*,#212343,.F.); #299104=ORIENTED_EDGE('',*,*,#212297,.F.); #299105=ORIENTED_EDGE('',*,*,#212241,.F.); #299106=ORIENTED_EDGE('',*,*,#212337,.F.); #299107=ORIENTED_EDGE('',*,*,#212296,.F.); #299108=ORIENTED_EDGE('',*,*,#212344,.F.); #299109=ORIENTED_EDGE('',*,*,#212319,.T.); #299110=ORIENTED_EDGE('',*,*,#212315,.T.); #299111=ORIENTED_EDGE('',*,*,#212345,.T.); #299112=ORIENTED_EDGE('',*,*,#212312,.T.); #299113=ORIENTED_EDGE('',*,*,#212308,.T.); #299114=ORIENTED_EDGE('',*,*,#212346,.T.); #299115=ORIENTED_EDGE('',*,*,#212322,.T.); #299116=ORIENTED_EDGE('',*,*,#212347,.T.); #299117=ORIENTED_EDGE('',*,*,#212326,.T.); #299118=ORIENTED_EDGE('',*,*,#212305,.T.); #299119=ORIENTED_EDGE('',*,*,#212301,.T.); #299120=ORIENTED_EDGE('',*,*,#212348,.T.); #299121=ORIENTED_EDGE('',*,*,#212280,.T.); #299122=ORIENTED_EDGE('',*,*,#212276,.T.); #299123=ORIENTED_EDGE('',*,*,#212349,.T.); #299124=ORIENTED_EDGE('',*,*,#212290,.T.); #299125=ORIENTED_EDGE('',*,*,#212350,.T.); #299126=ORIENTED_EDGE('',*,*,#212294,.T.); #299127=ORIENTED_EDGE('',*,*,#212351,.F.); #299128=ORIENTED_EDGE('',*,*,#212352,.T.); #299129=ORIENTED_EDGE('',*,*,#212353,.T.); #299130=ORIENTED_EDGE('',*,*,#212354,.F.); #299131=ORIENTED_EDGE('',*,*,#212355,.F.); #299132=ORIENTED_EDGE('',*,*,#212356,.T.); #299133=ORIENTED_EDGE('',*,*,#212357,.T.); #299134=ORIENTED_EDGE('',*,*,#212352,.F.); #299135=ORIENTED_EDGE('',*,*,#212358,.F.); #299136=ORIENTED_EDGE('',*,*,#212359,.T.); #299137=ORIENTED_EDGE('',*,*,#212360,.T.); #299138=ORIENTED_EDGE('',*,*,#212361,.F.); #299139=ORIENTED_EDGE('',*,*,#212362,.F.); #299140=ORIENTED_EDGE('',*,*,#212363,.T.); #299141=ORIENTED_EDGE('',*,*,#212364,.T.); #299142=ORIENTED_EDGE('',*,*,#212359,.F.); #299143=ORIENTED_EDGE('',*,*,#212365,.F.); #299144=ORIENTED_EDGE('',*,*,#212366,.T.); #299145=ORIENTED_EDGE('',*,*,#212367,.T.); #299146=ORIENTED_EDGE('',*,*,#212368,.F.); #299147=ORIENTED_EDGE('',*,*,#212369,.F.); #299148=ORIENTED_EDGE('',*,*,#212370,.T.); #299149=ORIENTED_EDGE('',*,*,#212371,.T.); #299150=ORIENTED_EDGE('',*,*,#212366,.F.); #299151=ORIENTED_EDGE('',*,*,#212372,.F.); #299152=ORIENTED_EDGE('',*,*,#212373,.T.); #299153=ORIENTED_EDGE('',*,*,#212374,.T.); #299154=ORIENTED_EDGE('',*,*,#212375,.F.); #299155=ORIENTED_EDGE('',*,*,#212376,.F.); #299156=ORIENTED_EDGE('',*,*,#212377,.T.); #299157=ORIENTED_EDGE('',*,*,#212378,.T.); #299158=ORIENTED_EDGE('',*,*,#212373,.F.); #299159=ORIENTED_EDGE('',*,*,#212379,.F.); #299160=ORIENTED_EDGE('',*,*,#212266,.F.); #299161=ORIENTED_EDGE('',*,*,#212380,.F.); #299162=ORIENTED_EDGE('',*,*,#212378,.F.); #299163=ORIENTED_EDGE('',*,*,#212381,.F.); #299164=ORIENTED_EDGE('',*,*,#212374,.F.); #299165=ORIENTED_EDGE('',*,*,#212382,.F.); #299166=ORIENTED_EDGE('',*,*,#212371,.F.); #299167=ORIENTED_EDGE('',*,*,#212383,.F.); #299168=ORIENTED_EDGE('',*,*,#212367,.F.); #299169=ORIENTED_EDGE('',*,*,#212384,.F.); #299170=ORIENTED_EDGE('',*,*,#212364,.F.); #299171=ORIENTED_EDGE('',*,*,#212385,.F.); #299172=ORIENTED_EDGE('',*,*,#212386,.F.); #299173=ORIENTED_EDGE('',*,*,#212387,.F.); #299174=ORIENTED_EDGE('',*,*,#212353,.F.); #299175=ORIENTED_EDGE('',*,*,#212388,.F.); #299176=ORIENTED_EDGE('',*,*,#212389,.F.); #299177=ORIENTED_EDGE('',*,*,#212390,.F.); #299178=ORIENTED_EDGE('',*,*,#212391,.F.); #299179=ORIENTED_EDGE('',*,*,#212392,.F.); #299180=ORIENTED_EDGE('',*,*,#212393,.F.); #299181=ORIENTED_EDGE('',*,*,#212394,.F.); #299182=ORIENTED_EDGE('',*,*,#212395,.F.); #299183=ORIENTED_EDGE('',*,*,#212396,.F.); #299184=ORIENTED_EDGE('',*,*,#212360,.F.); #299185=ORIENTED_EDGE('',*,*,#212397,.F.); #299186=ORIENTED_EDGE('',*,*,#212357,.F.); #299187=ORIENTED_EDGE('',*,*,#212398,.F.); #299188=ORIENTED_EDGE('',*,*,#212399,.T.); #299189=ORIENTED_EDGE('',*,*,#212394,.T.); #299190=ORIENTED_EDGE('',*,*,#212390,.T.); #299191=ORIENTED_EDGE('',*,*,#212388,.T.); #299192=ORIENTED_EDGE('',*,*,#212397,.T.); #299193=ORIENTED_EDGE('',*,*,#212384,.T.); #299194=ORIENTED_EDGE('',*,*,#212382,.T.); #299195=ORIENTED_EDGE('',*,*,#212380,.T.); #299196=ORIENTED_EDGE('',*,*,#212268,.T.); #299197=ORIENTED_EDGE('',*,*,#212275,.F.); #299198=ORIENTED_EDGE('',*,*,#212270,.F.); #299199=ORIENTED_EDGE('',*,*,#212400,.F.); #299200=ORIENTED_EDGE('',*,*,#212399,.F.); #299201=ORIENTED_EDGE('',*,*,#212269,.F.); #299202=ORIENTED_EDGE('',*,*,#212401,.F.); #299203=ORIENTED_EDGE('',*,*,#212402,.F.); #299204=ORIENTED_EDGE('',*,*,#212260,.F.); #299205=ORIENTED_EDGE('',*,*,#212263,.F.); #299206=ORIENTED_EDGE('',*,*,#212379,.T.); #299207=ORIENTED_EDGE('',*,*,#212403,.F.); #299208=ORIENTED_EDGE('',*,*,#212256,.F.); #299209=ORIENTED_EDGE('',*,*,#212404,.T.); #299210=ORIENTED_EDGE('',*,*,#212401,.T.); #299211=ORIENTED_EDGE('',*,*,#212273,.F.); #299212=ORIENTED_EDGE('',*,*,#212405,.F.); #299213=ORIENTED_EDGE('',*,*,#212406,.T.); #299214=ORIENTED_EDGE('',*,*,#212395,.T.); #299215=ORIENTED_EDGE('',*,*,#212407,.F.); #299216=ORIENTED_EDGE('',*,*,#212408,.F.); #299217=ORIENTED_EDGE('',*,*,#212407,.T.); #299218=ORIENTED_EDGE('',*,*,#212400,.T.); #299219=ORIENTED_EDGE('',*,*,#212409,.F.); #299220=ORIENTED_EDGE('',*,*,#212410,.F.); #299221=ORIENTED_EDGE('',*,*,#212411,.T.); #299222=ORIENTED_EDGE('',*,*,#212391,.T.); #299223=ORIENTED_EDGE('',*,*,#212412,.F.); #299224=ORIENTED_EDGE('',*,*,#212413,.F.); #299225=ORIENTED_EDGE('',*,*,#212412,.T.); #299226=ORIENTED_EDGE('',*,*,#212393,.T.); #299227=ORIENTED_EDGE('',*,*,#212414,.F.); #299228=ORIENTED_EDGE('',*,*,#212415,.F.); #299229=ORIENTED_EDGE('',*,*,#212416,.T.); #299230=ORIENTED_EDGE('',*,*,#212386,.T.); #299231=ORIENTED_EDGE('',*,*,#212417,.F.); #299232=ORIENTED_EDGE('',*,*,#212418,.F.); #299233=ORIENTED_EDGE('',*,*,#212417,.T.); #299234=ORIENTED_EDGE('',*,*,#212389,.T.); #299235=ORIENTED_EDGE('',*,*,#212419,.F.); #299236=ORIENTED_EDGE('',*,*,#212415,.T.); #299237=ORIENTED_EDGE('',*,*,#212418,.T.); #299238=ORIENTED_EDGE('',*,*,#212420,.T.); #299239=ORIENTED_EDGE('',*,*,#212410,.T.); #299240=ORIENTED_EDGE('',*,*,#212413,.T.); #299241=ORIENTED_EDGE('',*,*,#212421,.T.); #299242=ORIENTED_EDGE('',*,*,#212405,.T.); #299243=ORIENTED_EDGE('',*,*,#212408,.T.); #299244=ORIENTED_EDGE('',*,*,#212422,.T.); #299245=ORIENTED_EDGE('',*,*,#212283,.T.); #299246=ORIENTED_EDGE('',*,*,#212423,.T.); #299247=ORIENTED_EDGE('',*,*,#212287,.T.); #299248=ORIENTED_EDGE('',*,*,#212372,.T.); #299249=ORIENTED_EDGE('',*,*,#212424,.T.); #299250=ORIENTED_EDGE('',*,*,#212376,.T.); #299251=ORIENTED_EDGE('',*,*,#212365,.T.); #299252=ORIENTED_EDGE('',*,*,#212425,.T.); #299253=ORIENTED_EDGE('',*,*,#212369,.T.); #299254=ORIENTED_EDGE('',*,*,#212358,.T.); #299255=ORIENTED_EDGE('',*,*,#212426,.T.); #299256=ORIENTED_EDGE('',*,*,#212362,.T.); #299257=ORIENTED_EDGE('',*,*,#212355,.T.); #299258=ORIENTED_EDGE('',*,*,#212351,.T.); #299259=ORIENTED_EDGE('',*,*,#212427,.T.); #299260=ORIENTED_EDGE('',*,*,#212428,.F.); #299261=ORIENTED_EDGE('',*,*,#212429,.T.); #299262=ORIENTED_EDGE('',*,*,#212254,.F.); #299263=ORIENTED_EDGE('',*,*,#212298,.T.); #299264=ORIENTED_EDGE('',*,*,#212344,.T.); #299265=ORIENTED_EDGE('',*,*,#212295,.F.); #299266=ORIENTED_EDGE('',*,*,#212350,.F.); #299267=ORIENTED_EDGE('',*,*,#212293,.T.); #299268=ORIENTED_EDGE('',*,*,#212343,.T.); #299269=ORIENTED_EDGE('',*,*,#212288,.F.); #299270=ORIENTED_EDGE('',*,*,#212423,.F.); #299271=ORIENTED_EDGE('',*,*,#212286,.T.); #299272=ORIENTED_EDGE('',*,*,#212342,.T.); #299273=ORIENTED_EDGE('',*,*,#212281,.F.); #299274=ORIENTED_EDGE('',*,*,#212349,.F.); #299275=ORIENTED_EDGE('',*,*,#212279,.T.); #299276=ORIENTED_EDGE('',*,*,#212341,.T.); #299277=ORIENTED_EDGE('',*,*,#212306,.F.); #299278=ORIENTED_EDGE('',*,*,#212348,.F.); #299279=ORIENTED_EDGE('',*,*,#212304,.T.); #299280=ORIENTED_EDGE('',*,*,#212340,.T.); #299281=ORIENTED_EDGE('',*,*,#212313,.F.); #299282=ORIENTED_EDGE('',*,*,#212346,.F.); #299283=ORIENTED_EDGE('',*,*,#212311,.T.); #299284=ORIENTED_EDGE('',*,*,#212339,.T.); #299285=ORIENTED_EDGE('',*,*,#212320,.F.); #299286=ORIENTED_EDGE('',*,*,#212345,.F.); #299287=ORIENTED_EDGE('',*,*,#212318,.T.); #299288=ORIENTED_EDGE('',*,*,#212338,.T.); #299289=ORIENTED_EDGE('',*,*,#212327,.F.); #299290=ORIENTED_EDGE('',*,*,#212347,.F.); #299291=ORIENTED_EDGE('',*,*,#212325,.T.); #299292=ORIENTED_EDGE('',*,*,#212330,.T.); #299293=ORIENTED_EDGE('',*,*,#212299,.F.); #299294=ORIENTED_EDGE('',*,*,#212261,.F.); #299295=ORIENTED_EDGE('',*,*,#212403,.T.); #299296=ORIENTED_EDGE('',*,*,#212381,.T.); #299297=ORIENTED_EDGE('',*,*,#212377,.F.); #299298=ORIENTED_EDGE('',*,*,#212424,.F.); #299299=ORIENTED_EDGE('',*,*,#212375,.T.); #299300=ORIENTED_EDGE('',*,*,#212383,.T.); #299301=ORIENTED_EDGE('',*,*,#212370,.F.); #299302=ORIENTED_EDGE('',*,*,#212425,.F.); #299303=ORIENTED_EDGE('',*,*,#212368,.T.); #299304=ORIENTED_EDGE('',*,*,#212385,.T.); #299305=ORIENTED_EDGE('',*,*,#212363,.F.); #299306=ORIENTED_EDGE('',*,*,#212426,.F.); #299307=ORIENTED_EDGE('',*,*,#212361,.T.); #299308=ORIENTED_EDGE('',*,*,#212398,.T.); #299309=ORIENTED_EDGE('',*,*,#212356,.F.); #299310=ORIENTED_EDGE('',*,*,#212427,.F.); #299311=ORIENTED_EDGE('',*,*,#212354,.T.); #299312=ORIENTED_EDGE('',*,*,#212387,.T.); #299313=ORIENTED_EDGE('',*,*,#212416,.F.); #299314=ORIENTED_EDGE('',*,*,#212420,.F.); #299315=ORIENTED_EDGE('',*,*,#212419,.T.); #299316=ORIENTED_EDGE('',*,*,#212392,.T.); #299317=ORIENTED_EDGE('',*,*,#212411,.F.); #299318=ORIENTED_EDGE('',*,*,#212421,.F.); #299319=ORIENTED_EDGE('',*,*,#212414,.T.); #299320=ORIENTED_EDGE('',*,*,#212396,.T.); #299321=ORIENTED_EDGE('',*,*,#212406,.F.); #299322=ORIENTED_EDGE('',*,*,#212422,.F.); #299323=ORIENTED_EDGE('',*,*,#212409,.T.); #299324=ORIENTED_EDGE('',*,*,#212402,.T.); #299325=ORIENTED_EDGE('',*,*,#212404,.F.); #299326=ORIENTED_EDGE('',*,*,#212255,.F.); #299327=ORIENTED_EDGE('',*,*,#212429,.F.); #299328=ORIENTED_EDGE('',*,*,#212430,.F.); #299329=ORIENTED_EDGE('',*,*,#212431,.F.); #299330=ORIENTED_EDGE('',*,*,#212432,.T.); #299331=ORIENTED_EDGE('',*,*,#212433,.T.); #299332=ORIENTED_EDGE('',*,*,#212434,.F.); #299333=ORIENTED_EDGE('',*,*,#212435,.T.); #299334=ORIENTED_EDGE('',*,*,#212436,.F.); #299335=ORIENTED_EDGE('',*,*,#212437,.T.); #299336=ORIENTED_EDGE('',*,*,#212436,.T.); #299337=ORIENTED_EDGE('',*,*,#212438,.T.); #299338=ORIENTED_EDGE('',*,*,#212439,.F.); #299339=ORIENTED_EDGE('',*,*,#212440,.F.); #299340=ORIENTED_EDGE('',*,*,#212441,.T.); #299341=ORIENTED_EDGE('',*,*,#212432,.F.); #299342=ORIENTED_EDGE('',*,*,#212442,.F.); #299343=ORIENTED_EDGE('',*,*,#212443,.T.); #299344=ORIENTED_EDGE('',*,*,#212444,.T.); #299345=ORIENTED_EDGE('',*,*,#212445,.F.); #299346=ORIENTED_EDGE('',*,*,#212446,.T.); #299347=ORIENTED_EDGE('',*,*,#212447,.T.); #299348=ORIENTED_EDGE('',*,*,#212448,.F.); #299349=ORIENTED_EDGE('',*,*,#212449,.T.); #299350=ORIENTED_EDGE('',*,*,#212450,.F.); #299351=ORIENTED_EDGE('',*,*,#212451,.T.); #299352=ORIENTED_EDGE('',*,*,#212452,.T.); #299353=ORIENTED_EDGE('',*,*,#212453,.F.); #299354=ORIENTED_EDGE('',*,*,#212454,.T.); #299355=ORIENTED_EDGE('',*,*,#212439,.T.); #299356=ORIENTED_EDGE('',*,*,#212455,.F.); #299357=ORIENTED_EDGE('',*,*,#212456,.F.); #299358=ORIENTED_EDGE('',*,*,#212444,.F.); #299359=ORIENTED_EDGE('',*,*,#212438,.F.); #299360=ORIENTED_EDGE('',*,*,#212435,.F.); #299361=ORIENTED_EDGE('',*,*,#212457,.F.); #299362=ORIENTED_EDGE('',*,*,#212433,.F.); #299363=ORIENTED_EDGE('',*,*,#212441,.F.); #299364=ORIENTED_EDGE('',*,*,#212458,.F.); #299365=ORIENTED_EDGE('',*,*,#212447,.F.); #299366=ORIENTED_EDGE('',*,*,#212459,.T.); #299367=ORIENTED_EDGE('',*,*,#212460,.F.); #299368=ORIENTED_EDGE('',*,*,#212461,.F.); #299369=ORIENTED_EDGE('',*,*,#212452,.F.); #299370=ORIENTED_EDGE('',*,*,#212462,.F.); #299371=ORIENTED_EDGE('',*,*,#212463,.F.); #299372=ORIENTED_EDGE('',*,*,#212464,.F.); #299373=ORIENTED_EDGE('',*,*,#212465,.F.); #299374=ORIENTED_EDGE('',*,*,#212462,.T.); #299375=ORIENTED_EDGE('',*,*,#212451,.F.); #299376=ORIENTED_EDGE('',*,*,#212466,.T.); #299377=ORIENTED_EDGE('',*,*,#212467,.T.); #299378=ORIENTED_EDGE('',*,*,#212453,.T.); #299379=ORIENTED_EDGE('',*,*,#212461,.T.); #299380=ORIENTED_EDGE('',*,*,#212468,.F.); #299381=ORIENTED_EDGE('',*,*,#212469,.F.); #299382=ORIENTED_EDGE('',*,*,#212459,.F.); #299383=ORIENTED_EDGE('',*,*,#212446,.F.); #299384=ORIENTED_EDGE('',*,*,#212445,.T.); #299385=ORIENTED_EDGE('',*,*,#212456,.T.); #299386=ORIENTED_EDGE('',*,*,#212470,.T.); #299387=ORIENTED_EDGE('',*,*,#212468,.T.); #299388=ORIENTED_EDGE('',*,*,#212448,.T.); #299389=ORIENTED_EDGE('',*,*,#212458,.T.); #299390=ORIENTED_EDGE('',*,*,#212471,.T.); #299391=ORIENTED_EDGE('',*,*,#212472,.T.); #299392=ORIENTED_EDGE('',*,*,#212473,.F.); #299393=ORIENTED_EDGE('',*,*,#212449,.F.); #299394=ORIENTED_EDGE('',*,*,#212472,.F.); #299395=ORIENTED_EDGE('',*,*,#212474,.F.); #299396=ORIENTED_EDGE('',*,*,#212475,.F.); #299397=ORIENTED_EDGE('',*,*,#212466,.F.); #299398=ORIENTED_EDGE('',*,*,#212463,.T.); #299399=ORIENTED_EDGE('',*,*,#212465,.T.); #299400=ORIENTED_EDGE('',*,*,#212476,.F.); #299401=ORIENTED_EDGE('',*,*,#212474,.T.); #299402=ORIENTED_EDGE('',*,*,#212471,.F.); #299403=ORIENTED_EDGE('',*,*,#212460,.T.); #299404=ORIENTED_EDGE('',*,*,#212469,.T.); #299405=ORIENTED_EDGE('',*,*,#212470,.F.); #299406=ORIENTED_EDGE('',*,*,#212455,.T.); #299407=ORIENTED_EDGE('',*,*,#212443,.F.); #299408=ORIENTED_EDGE('',*,*,#212476,.T.); #299409=ORIENTED_EDGE('',*,*,#212464,.T.); #299410=ORIENTED_EDGE('',*,*,#212450,.T.); #299411=ORIENTED_EDGE('',*,*,#212473,.T.); #299412=ORIENTED_EDGE('',*,*,#212454,.F.); #299413=ORIENTED_EDGE('',*,*,#212467,.F.); #299414=ORIENTED_EDGE('',*,*,#212475,.T.); #299415=ORIENTED_EDGE('',*,*,#212442,.T.); #299416=ORIENTED_EDGE('',*,*,#212431,.T.); #299417=ORIENTED_EDGE('',*,*,#212477,.F.); #299418=ORIENTED_EDGE('',*,*,#212437,.F.); #299419=ORIENTED_EDGE('',*,*,#212440,.T.); #299420=ORIENTED_EDGE('',*,*,#212478,.F.); #299421=ORIENTED_EDGE('',*,*,#212479,.F.); #299422=ORIENTED_EDGE('',*,*,#212480,.F.); #299423=ORIENTED_EDGE('',*,*,#212481,.T.); #299424=ORIENTED_EDGE('',*,*,#212482,.T.); #299425=ORIENTED_EDGE('',*,*,#212483,.F.); #299426=ORIENTED_EDGE('',*,*,#212484,.T.); #299427=ORIENTED_EDGE('',*,*,#212485,.F.); #299428=ORIENTED_EDGE('',*,*,#212486,.T.); #299429=ORIENTED_EDGE('',*,*,#212487,.T.); #299430=ORIENTED_EDGE('',*,*,#212488,.F.); #299431=ORIENTED_EDGE('',*,*,#212489,.T.); #299432=ORIENTED_EDGE('',*,*,#212490,.F.); #299433=ORIENTED_EDGE('',*,*,#212491,.T.); #299434=ORIENTED_EDGE('',*,*,#212492,.F.); #299435=ORIENTED_EDGE('',*,*,#212479,.T.); #299436=ORIENTED_EDGE('',*,*,#212493,.F.); #299437=ORIENTED_EDGE('',*,*,#212494,.T.); #299438=ORIENTED_EDGE('',*,*,#212495,.F.); #299439=ORIENTED_EDGE('',*,*,#212496,.T.); #299440=ORIENTED_EDGE('',*,*,#212497,.T.); #299441=ORIENTED_EDGE('',*,*,#212498,.F.); #299442=ORIENTED_EDGE('',*,*,#212499,.T.); #299443=ORIENTED_EDGE('',*,*,#212500,.F.); #299444=ORIENTED_EDGE('',*,*,#212501,.T.); #299445=ORIENTED_EDGE('',*,*,#212502,.T.); #299446=ORIENTED_EDGE('',*,*,#212503,.F.); #299447=ORIENTED_EDGE('',*,*,#212491,.F.); #299448=ORIENTED_EDGE('',*,*,#212483,.T.); #299449=ORIENTED_EDGE('',*,*,#212504,.T.); #299450=ORIENTED_EDGE('',*,*,#212500,.T.); #299451=ORIENTED_EDGE('',*,*,#212505,.T.); #299452=ORIENTED_EDGE('',*,*,#212505,.F.); #299453=ORIENTED_EDGE('',*,*,#212499,.F.); #299454=ORIENTED_EDGE('',*,*,#212506,.F.); #299455=ORIENTED_EDGE('',*,*,#212484,.F.); #299456=ORIENTED_EDGE('',*,*,#212498,.T.); #299457=ORIENTED_EDGE('',*,*,#212507,.T.); #299458=ORIENTED_EDGE('',*,*,#212485,.T.); #299459=ORIENTED_EDGE('',*,*,#212506,.T.); #299460=ORIENTED_EDGE('',*,*,#212495,.T.); #299461=ORIENTED_EDGE('',*,*,#212508,.T.); #299462=ORIENTED_EDGE('',*,*,#212488,.T.); #299463=ORIENTED_EDGE('',*,*,#212509,.T.); #299464=ORIENTED_EDGE('',*,*,#212509,.F.); #299465=ORIENTED_EDGE('',*,*,#212487,.F.); #299466=ORIENTED_EDGE('',*,*,#212510,.F.); #299467=ORIENTED_EDGE('',*,*,#212496,.F.); #299468=ORIENTED_EDGE('',*,*,#212480,.T.); #299469=ORIENTED_EDGE('',*,*,#212492,.T.); #299470=ORIENTED_EDGE('',*,*,#212503,.T.); #299471=ORIENTED_EDGE('',*,*,#212511,.T.); #299472=ORIENTED_EDGE('',*,*,#212504,.F.); #299473=ORIENTED_EDGE('',*,*,#212482,.F.); #299474=ORIENTED_EDGE('',*,*,#212512,.T.); #299475=ORIENTED_EDGE('',*,*,#212501,.F.); #299476=ORIENTED_EDGE('',*,*,#212511,.F.); #299477=ORIENTED_EDGE('',*,*,#212502,.F.); #299478=ORIENTED_EDGE('',*,*,#212512,.F.); #299479=ORIENTED_EDGE('',*,*,#212481,.F.); #299480=ORIENTED_EDGE('',*,*,#212507,.F.); #299481=ORIENTED_EDGE('',*,*,#212497,.F.); #299482=ORIENTED_EDGE('',*,*,#212510,.T.); #299483=ORIENTED_EDGE('',*,*,#212486,.F.); #299484=ORIENTED_EDGE('',*,*,#212513,.F.); #299485=ORIENTED_EDGE('',*,*,#212489,.F.); #299486=ORIENTED_EDGE('',*,*,#212508,.F.); #299487=ORIENTED_EDGE('',*,*,#212494,.F.); #299488=ORIENTED_EDGE('',*,*,#212514,.F.); #299489=ORIENTED_EDGE('',*,*,#212515,.T.); #299490=ORIENTED_EDGE('',*,*,#212516,.T.); #299491=ORIENTED_EDGE('',*,*,#212517,.F.); #299492=ORIENTED_EDGE('',*,*,#212518,.T.); #299493=ORIENTED_EDGE('',*,*,#212515,.F.); #299494=ORIENTED_EDGE('',*,*,#212519,.F.); #299495=ORIENTED_EDGE('',*,*,#212520,.T.); #299496=ORIENTED_EDGE('',*,*,#212521,.T.); #299497=ORIENTED_EDGE('',*,*,#212522,.T.); #299498=ORIENTED_EDGE('',*,*,#212523,.F.); #299499=ORIENTED_EDGE('',*,*,#212524,.F.); #299500=ORIENTED_EDGE('',*,*,#212525,.T.); #299501=ORIENTED_EDGE('',*,*,#212521,.F.); #299502=ORIENTED_EDGE('',*,*,#212526,.T.); #299503=ORIENTED_EDGE('',*,*,#212527,.F.); #299504=ORIENTED_EDGE('',*,*,#212528,.T.); #299505=ORIENTED_EDGE('',*,*,#212529,.F.); #299506=ORIENTED_EDGE('',*,*,#212530,.T.); #299507=ORIENTED_EDGE('',*,*,#212531,.T.); #299508=ORIENTED_EDGE('',*,*,#212532,.F.); #299509=ORIENTED_EDGE('',*,*,#212533,.T.); #299510=ORIENTED_EDGE('',*,*,#212534,.F.); #299511=ORIENTED_EDGE('',*,*,#212535,.T.); #299512=ORIENTED_EDGE('',*,*,#212536,.T.); #299513=ORIENTED_EDGE('',*,*,#212537,.F.); #299514=ORIENTED_EDGE('',*,*,#212538,.T.); #299515=ORIENTED_EDGE('',*,*,#212523,.T.); #299516=ORIENTED_EDGE('',*,*,#212539,.F.); #299517=ORIENTED_EDGE('',*,*,#212540,.F.); #299518=ORIENTED_EDGE('',*,*,#212528,.F.); #299519=ORIENTED_EDGE('',*,*,#212522,.F.); #299520=ORIENTED_EDGE('',*,*,#212525,.F.); #299521=ORIENTED_EDGE('',*,*,#212541,.F.); #299522=ORIENTED_EDGE('',*,*,#212516,.F.); #299523=ORIENTED_EDGE('',*,*,#212518,.F.); #299524=ORIENTED_EDGE('',*,*,#212542,.T.); #299525=ORIENTED_EDGE('',*,*,#212543,.T.); #299526=ORIENTED_EDGE('',*,*,#212534,.T.); #299527=ORIENTED_EDGE('',*,*,#212544,.T.); #299528=ORIENTED_EDGE('',*,*,#212545,.F.); #299529=ORIENTED_EDGE('',*,*,#212546,.F.); #299530=ORIENTED_EDGE('',*,*,#212547,.T.); #299531=ORIENTED_EDGE('',*,*,#212548,.T.); #299532=ORIENTED_EDGE('',*,*,#212542,.F.); #299533=ORIENTED_EDGE('',*,*,#212549,.T.); #299534=ORIENTED_EDGE('',*,*,#212550,.F.); #299535=ORIENTED_EDGE('',*,*,#212551,.T.); #299536=ORIENTED_EDGE('',*,*,#212552,.T.); #299537=ORIENTED_EDGE('',*,*,#212553,.F.); #299538=ORIENTED_EDGE('',*,*,#212539,.T.); #299539=ORIENTED_EDGE('',*,*,#212520,.F.); #299540=ORIENTED_EDGE('',*,*,#212544,.F.); #299541=ORIENTED_EDGE('',*,*,#212533,.F.); #299542=ORIENTED_EDGE('',*,*,#212554,.F.); #299543=ORIENTED_EDGE('',*,*,#212549,.F.); #299544=ORIENTED_EDGE('',*,*,#212532,.T.); #299545=ORIENTED_EDGE('',*,*,#212555,.T.); #299546=ORIENTED_EDGE('',*,*,#212550,.T.); #299547=ORIENTED_EDGE('',*,*,#212554,.T.); #299548=ORIENTED_EDGE('',*,*,#212529,.T.); #299549=ORIENTED_EDGE('',*,*,#212540,.T.); #299550=ORIENTED_EDGE('',*,*,#212553,.T.); #299551=ORIENTED_EDGE('',*,*,#212556,.T.); #299552=ORIENTED_EDGE('',*,*,#212556,.F.); #299553=ORIENTED_EDGE('',*,*,#212552,.F.); #299554=ORIENTED_EDGE('',*,*,#212557,.F.); #299555=ORIENTED_EDGE('',*,*,#212530,.F.); #299556=ORIENTED_EDGE('',*,*,#212546,.T.); #299557=ORIENTED_EDGE('',*,*,#212558,.T.); #299558=ORIENTED_EDGE('',*,*,#212537,.T.); #299559=ORIENTED_EDGE('',*,*,#212559,.T.); #299560=ORIENTED_EDGE('',*,*,#212538,.F.); #299561=ORIENTED_EDGE('',*,*,#212558,.F.); #299562=ORIENTED_EDGE('',*,*,#212545,.T.); #299563=ORIENTED_EDGE('',*,*,#212519,.T.); #299564=ORIENTED_EDGE('',*,*,#212514,.T.); #299565=ORIENTED_EDGE('',*,*,#212560,.F.); #299566=ORIENTED_EDGE('',*,*,#212526,.F.); #299567=ORIENTED_EDGE('',*,*,#212524,.T.); #299568=ORIENTED_EDGE('',*,*,#212543,.F.); #299569=ORIENTED_EDGE('',*,*,#212548,.F.); #299570=ORIENTED_EDGE('',*,*,#212561,.T.); #299571=ORIENTED_EDGE('',*,*,#212535,.F.); #299572=ORIENTED_EDGE('',*,*,#212559,.F.); #299573=ORIENTED_EDGE('',*,*,#212536,.F.); #299574=ORIENTED_EDGE('',*,*,#212561,.F.); #299575=ORIENTED_EDGE('',*,*,#212547,.F.); #299576=ORIENTED_EDGE('',*,*,#212555,.F.); #299577=ORIENTED_EDGE('',*,*,#212531,.F.); #299578=ORIENTED_EDGE('',*,*,#212557,.T.); #299579=ORIENTED_EDGE('',*,*,#212551,.F.); #299580=ORIENTED_EDGE('',*,*,#212562,.F.); #299581=ORIENTED_EDGE('',*,*,#212563,.F.); #299582=ORIENTED_EDGE('',*,*,#212564,.T.); #299583=ORIENTED_EDGE('',*,*,#212565,.T.); #299584=ORIENTED_EDGE('',*,*,#212566,.F.); #299585=ORIENTED_EDGE('',*,*,#212567,.T.); #299586=ORIENTED_EDGE('',*,*,#212568,.F.); #299587=ORIENTED_EDGE('',*,*,#212569,.T.); #299588=ORIENTED_EDGE('',*,*,#212568,.T.); #299589=ORIENTED_EDGE('',*,*,#212570,.T.); #299590=ORIENTED_EDGE('',*,*,#212571,.F.); #299591=ORIENTED_EDGE('',*,*,#212572,.F.); #299592=ORIENTED_EDGE('',*,*,#212573,.T.); #299593=ORIENTED_EDGE('',*,*,#212564,.F.); #299594=ORIENTED_EDGE('',*,*,#212574,.F.); #299595=ORIENTED_EDGE('',*,*,#212575,.T.); #299596=ORIENTED_EDGE('',*,*,#212576,.T.); #299597=ORIENTED_EDGE('',*,*,#212577,.F.); #299598=ORIENTED_EDGE('',*,*,#212578,.T.); #299599=ORIENTED_EDGE('',*,*,#212579,.T.); #299600=ORIENTED_EDGE('',*,*,#212580,.F.); #299601=ORIENTED_EDGE('',*,*,#212581,.T.); #299602=ORIENTED_EDGE('',*,*,#212582,.F.); #299603=ORIENTED_EDGE('',*,*,#212583,.T.); #299604=ORIENTED_EDGE('',*,*,#212584,.T.); #299605=ORIENTED_EDGE('',*,*,#212585,.F.); #299606=ORIENTED_EDGE('',*,*,#212586,.T.); #299607=ORIENTED_EDGE('',*,*,#212571,.T.); #299608=ORIENTED_EDGE('',*,*,#212587,.F.); #299609=ORIENTED_EDGE('',*,*,#212588,.F.); #299610=ORIENTED_EDGE('',*,*,#212576,.F.); #299611=ORIENTED_EDGE('',*,*,#212570,.F.); #299612=ORIENTED_EDGE('',*,*,#212567,.F.); #299613=ORIENTED_EDGE('',*,*,#212589,.F.); #299614=ORIENTED_EDGE('',*,*,#212565,.F.); #299615=ORIENTED_EDGE('',*,*,#212573,.F.); #299616=ORIENTED_EDGE('',*,*,#212590,.F.); #299617=ORIENTED_EDGE('',*,*,#212579,.F.); #299618=ORIENTED_EDGE('',*,*,#212591,.T.); #299619=ORIENTED_EDGE('',*,*,#212592,.F.); #299620=ORIENTED_EDGE('',*,*,#212593,.F.); #299621=ORIENTED_EDGE('',*,*,#212584,.F.); #299622=ORIENTED_EDGE('',*,*,#212594,.F.); #299623=ORIENTED_EDGE('',*,*,#212595,.F.); #299624=ORIENTED_EDGE('',*,*,#212596,.F.); #299625=ORIENTED_EDGE('',*,*,#212597,.F.); #299626=ORIENTED_EDGE('',*,*,#212594,.T.); #299627=ORIENTED_EDGE('',*,*,#212583,.F.); #299628=ORIENTED_EDGE('',*,*,#212598,.T.); #299629=ORIENTED_EDGE('',*,*,#212599,.T.); #299630=ORIENTED_EDGE('',*,*,#212585,.T.); #299631=ORIENTED_EDGE('',*,*,#212593,.T.); #299632=ORIENTED_EDGE('',*,*,#212600,.F.); #299633=ORIENTED_EDGE('',*,*,#212601,.F.); #299634=ORIENTED_EDGE('',*,*,#212591,.F.); #299635=ORIENTED_EDGE('',*,*,#212578,.F.); #299636=ORIENTED_EDGE('',*,*,#212577,.T.); #299637=ORIENTED_EDGE('',*,*,#212588,.T.); #299638=ORIENTED_EDGE('',*,*,#212602,.T.); #299639=ORIENTED_EDGE('',*,*,#212600,.T.); #299640=ORIENTED_EDGE('',*,*,#212580,.T.); #299641=ORIENTED_EDGE('',*,*,#212590,.T.); #299642=ORIENTED_EDGE('',*,*,#212603,.T.); #299643=ORIENTED_EDGE('',*,*,#212604,.T.); #299644=ORIENTED_EDGE('',*,*,#212605,.F.); #299645=ORIENTED_EDGE('',*,*,#212581,.F.); #299646=ORIENTED_EDGE('',*,*,#212604,.F.); #299647=ORIENTED_EDGE('',*,*,#212606,.F.); #299648=ORIENTED_EDGE('',*,*,#212607,.F.); #299649=ORIENTED_EDGE('',*,*,#212598,.F.); #299650=ORIENTED_EDGE('',*,*,#212595,.T.); #299651=ORIENTED_EDGE('',*,*,#212597,.T.); #299652=ORIENTED_EDGE('',*,*,#212608,.F.); #299653=ORIENTED_EDGE('',*,*,#212606,.T.); #299654=ORIENTED_EDGE('',*,*,#212603,.F.); #299655=ORIENTED_EDGE('',*,*,#212592,.T.); #299656=ORIENTED_EDGE('',*,*,#212601,.T.); #299657=ORIENTED_EDGE('',*,*,#212602,.F.); #299658=ORIENTED_EDGE('',*,*,#212587,.T.); #299659=ORIENTED_EDGE('',*,*,#212575,.F.); #299660=ORIENTED_EDGE('',*,*,#212608,.T.); #299661=ORIENTED_EDGE('',*,*,#212596,.T.); #299662=ORIENTED_EDGE('',*,*,#212582,.T.); #299663=ORIENTED_EDGE('',*,*,#212605,.T.); #299664=ORIENTED_EDGE('',*,*,#212586,.F.); #299665=ORIENTED_EDGE('',*,*,#212599,.F.); #299666=ORIENTED_EDGE('',*,*,#212607,.T.); #299667=ORIENTED_EDGE('',*,*,#212574,.T.); #299668=ORIENTED_EDGE('',*,*,#212563,.T.); #299669=ORIENTED_EDGE('',*,*,#212609,.F.); #299670=ORIENTED_EDGE('',*,*,#212569,.F.); #299671=ORIENTED_EDGE('',*,*,#212572,.T.); #299672=ORIENTED_EDGE('',*,*,#212610,.F.); #299673=ORIENTED_EDGE('',*,*,#212611,.F.); #299674=ORIENTED_EDGE('',*,*,#212612,.F.); #299675=ORIENTED_EDGE('',*,*,#212613,.T.); #299676=ORIENTED_EDGE('',*,*,#212614,.T.); #299677=ORIENTED_EDGE('',*,*,#212615,.F.); #299678=ORIENTED_EDGE('',*,*,#212616,.T.); #299679=ORIENTED_EDGE('',*,*,#212617,.F.); #299680=ORIENTED_EDGE('',*,*,#212618,.T.); #299681=ORIENTED_EDGE('',*,*,#212619,.T.); #299682=ORIENTED_EDGE('',*,*,#212620,.F.); #299683=ORIENTED_EDGE('',*,*,#212621,.T.); #299684=ORIENTED_EDGE('',*,*,#212622,.F.); #299685=ORIENTED_EDGE('',*,*,#212623,.T.); #299686=ORIENTED_EDGE('',*,*,#212624,.F.); #299687=ORIENTED_EDGE('',*,*,#212611,.T.); #299688=ORIENTED_EDGE('',*,*,#212625,.F.); #299689=ORIENTED_EDGE('',*,*,#212626,.T.); #299690=ORIENTED_EDGE('',*,*,#212627,.F.); #299691=ORIENTED_EDGE('',*,*,#212628,.T.); #299692=ORIENTED_EDGE('',*,*,#212629,.T.); #299693=ORIENTED_EDGE('',*,*,#212630,.F.); #299694=ORIENTED_EDGE('',*,*,#212631,.T.); #299695=ORIENTED_EDGE('',*,*,#212632,.F.); #299696=ORIENTED_EDGE('',*,*,#212633,.T.); #299697=ORIENTED_EDGE('',*,*,#212634,.T.); #299698=ORIENTED_EDGE('',*,*,#212635,.F.); #299699=ORIENTED_EDGE('',*,*,#212623,.F.); #299700=ORIENTED_EDGE('',*,*,#212636,.F.); #299701=ORIENTED_EDGE('',*,*,#212621,.F.); #299702=ORIENTED_EDGE('',*,*,#212637,.F.); #299703=ORIENTED_EDGE('',*,*,#212626,.F.); #299704=ORIENTED_EDGE('',*,*,#212638,.F.); #299705=ORIENTED_EDGE('',*,*,#212629,.F.); #299706=ORIENTED_EDGE('',*,*,#212639,.T.); #299707=ORIENTED_EDGE('',*,*,#212618,.F.); #299708=ORIENTED_EDGE('',*,*,#212640,.F.); #299709=ORIENTED_EDGE('',*,*,#212634,.F.); #299710=ORIENTED_EDGE('',*,*,#212641,.F.); #299711=ORIENTED_EDGE('',*,*,#212613,.F.); #299712=ORIENTED_EDGE('',*,*,#212642,.F.); #299713=ORIENTED_EDGE('',*,*,#212614,.F.); #299714=ORIENTED_EDGE('',*,*,#212641,.T.); #299715=ORIENTED_EDGE('',*,*,#212633,.F.); #299716=ORIENTED_EDGE('',*,*,#212612,.T.); #299717=ORIENTED_EDGE('',*,*,#212624,.T.); #299718=ORIENTED_EDGE('',*,*,#212635,.T.); #299719=ORIENTED_EDGE('',*,*,#212640,.T.); #299720=ORIENTED_EDGE('',*,*,#212643,.F.); #299721=ORIENTED_EDGE('',*,*,#212619,.F.); #299722=ORIENTED_EDGE('',*,*,#212639,.F.); #299723=ORIENTED_EDGE('',*,*,#212628,.F.); #299724=ORIENTED_EDGE('',*,*,#212627,.T.); #299725=ORIENTED_EDGE('',*,*,#212637,.T.); #299726=ORIENTED_EDGE('',*,*,#212620,.T.); #299727=ORIENTED_EDGE('',*,*,#212643,.T.); #299728=ORIENTED_EDGE('',*,*,#212630,.T.); #299729=ORIENTED_EDGE('',*,*,#212638,.T.); #299730=ORIENTED_EDGE('',*,*,#212617,.T.); #299731=ORIENTED_EDGE('',*,*,#212644,.T.); #299732=ORIENTED_EDGE('',*,*,#212645,.F.); #299733=ORIENTED_EDGE('',*,*,#212631,.F.); #299734=ORIENTED_EDGE('',*,*,#212644,.F.); #299735=ORIENTED_EDGE('',*,*,#212616,.F.); #299736=ORIENTED_EDGE('',*,*,#212615,.T.); #299737=ORIENTED_EDGE('',*,*,#212642,.T.); #299738=ORIENTED_EDGE('',*,*,#212632,.T.); #299739=ORIENTED_EDGE('',*,*,#212645,.T.); #299740=ORIENTED_EDGE('',*,*,#212646,.T.); #299741=ORIENTED_EDGE('',*,*,#212647,.T.); #299742=ORIENTED_EDGE('',*,*,#212648,.F.); #299743=ORIENTED_EDGE('',*,*,#212649,.F.); #299744=ORIENTED_EDGE('',*,*,#212650,.F.); #299745=ORIENTED_EDGE('',*,*,#212651,.T.); #299746=ORIENTED_EDGE('',*,*,#212652,.T.); #299747=ORIENTED_EDGE('',*,*,#212653,.F.); #299748=ORIENTED_EDGE('',*,*,#212654,.F.); #299749=ORIENTED_EDGE('',*,*,#212655,.T.); #299750=ORIENTED_EDGE('',*,*,#212656,.T.); #299751=ORIENTED_EDGE('',*,*,#212657,.F.); #299752=ORIENTED_EDGE('',*,*,#212658,.F.); #299753=ORIENTED_EDGE('',*,*,#212659,.T.); #299754=ORIENTED_EDGE('',*,*,#212660,.T.); #299755=ORIENTED_EDGE('',*,*,#212661,.F.); #299756=ORIENTED_EDGE('',*,*,#212662,.T.); #299757=ORIENTED_EDGE('',*,*,#212663,.T.); #299758=ORIENTED_EDGE('',*,*,#212664,.F.); #299759=ORIENTED_EDGE('',*,*,#212665,.F.); #299760=ORIENTED_EDGE('',*,*,#212666,.T.); #299761=ORIENTED_EDGE('',*,*,#212667,.F.); #299762=ORIENTED_EDGE('',*,*,#212668,.T.); #299763=ORIENTED_EDGE('',*,*,#212669,.F.); #299764=ORIENTED_EDGE('',*,*,#212670,.T.); #299765=ORIENTED_EDGE('',*,*,#212671,.F.); #299766=ORIENTED_EDGE('',*,*,#212672,.F.); #299767=ORIENTED_EDGE('',*,*,#212673,.T.); #299768=ORIENTED_EDGE('',*,*,#212674,.F.); #299769=ORIENTED_EDGE('',*,*,#212675,.T.); #299770=ORIENTED_EDGE('',*,*,#212676,.F.); #299771=ORIENTED_EDGE('',*,*,#212677,.F.); #299772=ORIENTED_EDGE('',*,*,#212678,.F.); #299773=ORIENTED_EDGE('',*,*,#212679,.T.); #299774=ORIENTED_EDGE('',*,*,#212680,.F.); #299775=ORIENTED_EDGE('',*,*,#212681,.T.); #299776=ORIENTED_EDGE('',*,*,#212682,.F.); #299777=ORIENTED_EDGE('',*,*,#212683,.F.); #299778=ORIENTED_EDGE('',*,*,#212684,.T.); #299779=ORIENTED_EDGE('',*,*,#212685,.T.); #299780=ORIENTED_EDGE('',*,*,#212686,.F.); #299781=ORIENTED_EDGE('',*,*,#212687,.F.); #299782=ORIENTED_EDGE('',*,*,#212688,.T.); #299783=ORIENTED_EDGE('',*,*,#212689,.T.); #299784=ORIENTED_EDGE('',*,*,#212478,.T.); #299785=ORIENTED_EDGE('',*,*,#212513,.T.); #299786=ORIENTED_EDGE('',*,*,#212493,.T.); #299787=ORIENTED_EDGE('',*,*,#212490,.T.); #299788=ORIENTED_EDGE('',*,*,#212610,.T.); #299789=ORIENTED_EDGE('',*,*,#212636,.T.); #299790=ORIENTED_EDGE('',*,*,#212625,.T.); #299791=ORIENTED_EDGE('',*,*,#212622,.T.); #299792=ORIENTED_EDGE('',*,*,#212690,.F.); #299793=ORIENTED_EDGE('',*,*,#212691,.F.); #299794=ORIENTED_EDGE('',*,*,#212190,.F.); #299795=ORIENTED_EDGE('',*,*,#212187,.F.); #299796=ORIENTED_EDGE('',*,*,#212184,.F.); #299797=ORIENTED_EDGE('',*,*,#212181,.F.); #299798=ORIENTED_EDGE('',*,*,#212178,.F.); #299799=ORIENTED_EDGE('',*,*,#212175,.F.); #299800=ORIENTED_EDGE('',*,*,#212172,.F.); #299801=ORIENTED_EDGE('',*,*,#212692,.F.); #299802=ORIENTED_EDGE('',*,*,#212693,.T.); #299803=ORIENTED_EDGE('',*,*,#212212,.T.); #299804=ORIENTED_EDGE('',*,*,#212209,.T.); #299805=ORIENTED_EDGE('',*,*,#212206,.T.); #299806=ORIENTED_EDGE('',*,*,#212203,.T.); #299807=ORIENTED_EDGE('',*,*,#212200,.T.); #299808=ORIENTED_EDGE('',*,*,#212197,.T.); #299809=ORIENTED_EDGE('',*,*,#212210,.T.); #299810=ORIENTED_EDGE('',*,*,#212693,.F.); #299811=ORIENTED_EDGE('',*,*,#212694,.F.); #299812=ORIENTED_EDGE('',*,*,#212695,.T.); #299813=ORIENTED_EDGE('',*,*,#212696,.F.); #299814=ORIENTED_EDGE('',*,*,#212192,.F.); #299815=ORIENTED_EDGE('',*,*,#212195,.F.); #299816=ORIENTED_EDGE('',*,*,#212199,.F.); #299817=ORIENTED_EDGE('',*,*,#212202,.F.); #299818=ORIENTED_EDGE('',*,*,#212205,.F.); #299819=ORIENTED_EDGE('',*,*,#212208,.F.); #299820=ORIENTED_EDGE('',*,*,#212211,.F.); #299821=ORIENTED_EDGE('',*,*,#212695,.F.); #299822=ORIENTED_EDGE('',*,*,#212697,.F.); #299823=ORIENTED_EDGE('',*,*,#212170,.F.); #299824=ORIENTED_EDGE('',*,*,#212174,.F.); #299825=ORIENTED_EDGE('',*,*,#212177,.F.); #299826=ORIENTED_EDGE('',*,*,#212180,.F.); #299827=ORIENTED_EDGE('',*,*,#212183,.F.); #299828=ORIENTED_EDGE('',*,*,#212186,.F.); #299829=ORIENTED_EDGE('',*,*,#212189,.F.); #299830=ORIENTED_EDGE('',*,*,#212698,.F.); #299831=ORIENTED_EDGE('',*,*,#212188,.T.); #299832=ORIENTED_EDGE('',*,*,#212691,.T.); #299833=ORIENTED_EDGE('',*,*,#212699,.T.); #299834=ORIENTED_EDGE('',*,*,#212698,.T.); #299835=ORIENTED_EDGE('',*,*,#212700,.F.); #299836=ORIENTED_EDGE('',*,*,#212701,.F.); #299837=ORIENTED_EDGE('',*,*,#212702,.T.); #299838=ORIENTED_EDGE('',*,*,#212703,.F.); #299839=ORIENTED_EDGE('',*,*,#212704,.F.); #299840=ORIENTED_EDGE('',*,*,#212705,.F.); #299841=ORIENTED_EDGE('',*,*,#212706,.F.); #299842=ORIENTED_EDGE('',*,*,#212707,.F.); #299843=ORIENTED_EDGE('',*,*,#212708,.F.); #299844=ORIENTED_EDGE('',*,*,#212702,.F.); #299845=ORIENTED_EDGE('',*,*,#212709,.F.); #299846=ORIENTED_EDGE('',*,*,#212705,.T.); #299847=ORIENTED_EDGE('',*,*,#212710,.T.); #299848=ORIENTED_EDGE('',*,*,#212711,.T.); #299849=ORIENTED_EDGE('',*,*,#212704,.T.); #299850=ORIENTED_EDGE('',*,*,#212712,.F.); #299851=ORIENTED_EDGE('',*,*,#212713,.F.); #299852=ORIENTED_EDGE('',*,*,#212710,.F.); #299853=ORIENTED_EDGE('',*,*,#212714,.F.); #299854=ORIENTED_EDGE('',*,*,#212711,.F.); #299855=ORIENTED_EDGE('',*,*,#212713,.T.); #299856=ORIENTED_EDGE('',*,*,#212715,.F.); #299857=ORIENTED_EDGE('',*,*,#212707,.T.); #299858=ORIENTED_EDGE('',*,*,#212716,.F.); #299859=ORIENTED_EDGE('',*,*,#212715,.T.); #299860=ORIENTED_EDGE('',*,*,#212712,.T.); #299861=ORIENTED_EDGE('',*,*,#212703,.T.); #299862=ORIENTED_EDGE('',*,*,#212708,.T.); #299863=ORIENTED_EDGE('',*,*,#212700,.T.); #299864=ORIENTED_EDGE('',*,*,#212709,.T.); #299865=ORIENTED_EDGE('',*,*,#212714,.T.); #299866=ORIENTED_EDGE('',*,*,#212717,.F.); #299867=ORIENTED_EDGE('',*,*,#212718,.F.); #299868=ORIENTED_EDGE('',*,*,#212719,.F.); #299869=ORIENTED_EDGE('',*,*,#212720,.F.); #299870=ORIENTED_EDGE('',*,*,#212721,.F.); #299871=ORIENTED_EDGE('',*,*,#212722,.F.); #299872=ORIENTED_EDGE('',*,*,#212723,.F.); #299873=ORIENTED_EDGE('',*,*,#212724,.T.); #299874=ORIENTED_EDGE('',*,*,#212725,.F.); #299875=ORIENTED_EDGE('',*,*,#212696,.T.); #299876=ORIENTED_EDGE('',*,*,#212699,.F.); #299877=ORIENTED_EDGE('',*,*,#212690,.T.); #299878=ORIENTED_EDGE('',*,*,#212196,.T.); #299879=ORIENTED_EDGE('',*,*,#212193,.T.); #299880=ORIENTED_EDGE('',*,*,#212428,.T.); #299881=ORIENTED_EDGE('',*,*,#212726,.T.); #299882=ORIENTED_EDGE('',*,*,#212727,.T.); #299883=ORIENTED_EDGE('',*,*,#212728,.T.); #299884=ORIENTED_EDGE('',*,*,#212729,.T.); #299885=ORIENTED_EDGE('',*,*,#212730,.T.); #299886=ORIENTED_EDGE('',*,*,#212731,.T.); #299887=ORIENTED_EDGE('',*,*,#212732,.T.); #299888=ORIENTED_EDGE('',*,*,#212727,.F.); #299889=ORIENTED_EDGE('',*,*,#212733,.T.); #299890=ORIENTED_EDGE('',*,*,#212734,.T.); #299891=ORIENTED_EDGE('',*,*,#212735,.T.); #299892=ORIENTED_EDGE('',*,*,#212736,.T.); #299893=ORIENTED_EDGE('',*,*,#212737,.T.); #299894=ORIENTED_EDGE('',*,*,#212738,.T.); #299895=ORIENTED_EDGE('',*,*,#212739,.T.); #299896=ORIENTED_EDGE('',*,*,#212740,.F.); #299897=ORIENTED_EDGE('',*,*,#212741,.T.); #299898=ORIENTED_EDGE('',*,*,#212740,.T.); #299899=ORIENTED_EDGE('',*,*,#212742,.T.); #299900=ORIENTED_EDGE('',*,*,#212743,.T.); #299901=ORIENTED_EDGE('',*,*,#212744,.T.); #299902=ORIENTED_EDGE('',*,*,#212745,.T.); #299903=ORIENTED_EDGE('',*,*,#212746,.F.); #299904=ORIENTED_EDGE('',*,*,#212747,.F.); #299905=ORIENTED_EDGE('',*,*,#212748,.T.); #299906=ORIENTED_EDGE('',*,*,#212749,.F.); #299907=ORIENTED_EDGE('',*,*,#212750,.F.); #299908=ORIENTED_EDGE('',*,*,#212746,.T.); #299909=ORIENTED_EDGE('',*,*,#212751,.T.); #299910=ORIENTED_EDGE('',*,*,#212752,.F.); #299911=ORIENTED_EDGE('',*,*,#212749,.T.); #299912=ORIENTED_EDGE('',*,*,#212753,.T.); #299913=ORIENTED_EDGE('',*,*,#212754,.F.); #299914=ORIENTED_EDGE('',*,*,#212719,.T.); #299915=ORIENTED_EDGE('',*,*,#212755,.T.); #299916=ORIENTED_EDGE('',*,*,#212756,.T.); #299917=ORIENTED_EDGE('',*,*,#212757,.T.); #299918=ORIENTED_EDGE('',*,*,#212758,.T.); #299919=ORIENTED_EDGE('',*,*,#212759,.T.); #299920=ORIENTED_EDGE('',*,*,#212760,.T.); #299921=ORIENTED_EDGE('',*,*,#212758,.F.); #299922=ORIENTED_EDGE('',*,*,#212761,.T.); #299923=ORIENTED_EDGE('',*,*,#212762,.T.); #299924=ORIENTED_EDGE('',*,*,#212763,.T.); #299925=ORIENTED_EDGE('',*,*,#212764,.F.); #299926=ORIENTED_EDGE('',*,*,#212755,.F.); #299927=ORIENTED_EDGE('',*,*,#212718,.T.); #299928=ORIENTED_EDGE('',*,*,#212765,.T.); #299929=ORIENTED_EDGE('',*,*,#212766,.F.); #299930=ORIENTED_EDGE('',*,*,#212756,.F.); #299931=ORIENTED_EDGE('',*,*,#212764,.T.); #299932=ORIENTED_EDGE('',*,*,#212767,.T.); #299933=ORIENTED_EDGE('',*,*,#212761,.F.); #299934=ORIENTED_EDGE('',*,*,#212757,.F.); #299935=ORIENTED_EDGE('',*,*,#212766,.T.); #299936=ORIENTED_EDGE('',*,*,#212768,.T.); #299937=ORIENTED_EDGE('',*,*,#212769,.T.); #299938=ORIENTED_EDGE('',*,*,#212770,.F.); #299939=ORIENTED_EDGE('',*,*,#212771,.F.); #299940=ORIENTED_EDGE('',*,*,#212772,.T.); #299941=ORIENTED_EDGE('',*,*,#212773,.F.); #299942=ORIENTED_EDGE('',*,*,#212774,.F.); #299943=ORIENTED_EDGE('',*,*,#212770,.T.); #299944=ORIENTED_EDGE('',*,*,#212775,.T.); #299945=ORIENTED_EDGE('',*,*,#212776,.F.); #299946=ORIENTED_EDGE('',*,*,#212773,.T.); #299947=ORIENTED_EDGE('',*,*,#212777,.T.); #299948=ORIENTED_EDGE('',*,*,#212778,.T.); #299949=ORIENTED_EDGE('',*,*,#212779,.F.); #299950=ORIENTED_EDGE('',*,*,#212780,.F.); #299951=ORIENTED_EDGE('',*,*,#212781,.T.); #299952=ORIENTED_EDGE('',*,*,#212782,.T.); #299953=ORIENTED_EDGE('',*,*,#212724,.F.); #299954=ORIENTED_EDGE('',*,*,#212783,.F.); #299955=ORIENTED_EDGE('',*,*,#212779,.T.); #299956=ORIENTED_EDGE('',*,*,#212784,.T.); #299957=ORIENTED_EDGE('',*,*,#212785,.T.); #299958=ORIENTED_EDGE('',*,*,#212786,.F.); #299959=ORIENTED_EDGE('',*,*,#212787,.F.); #299960=ORIENTED_EDGE('',*,*,#212788,.T.); #299961=ORIENTED_EDGE('',*,*,#212781,.F.); #299962=ORIENTED_EDGE('',*,*,#212789,.F.); #299963=ORIENTED_EDGE('',*,*,#212786,.T.); #299964=ORIENTED_EDGE('',*,*,#212701,.T.); #299965=ORIENTED_EDGE('',*,*,#212725,.T.); #299966=ORIENTED_EDGE('',*,*,#212782,.F.); #299967=ORIENTED_EDGE('',*,*,#212778,.F.); #299968=ORIENTED_EDGE('',*,*,#212788,.F.); #299969=ORIENTED_EDGE('',*,*,#212785,.F.); #299970=ORIENTED_EDGE('',*,*,#212790,.T.); #299971=ORIENTED_EDGE('',*,*,#212753,.F.); #299972=ORIENTED_EDGE('',*,*,#212748,.F.); #299973=ORIENTED_EDGE('',*,*,#212745,.F.); #299974=ORIENTED_EDGE('',*,*,#212791,.T.); #299975=ORIENTED_EDGE('',*,*,#212777,.F.); #299976=ORIENTED_EDGE('',*,*,#212772,.F.); #299977=ORIENTED_EDGE('',*,*,#212769,.F.); #299978=ORIENTED_EDGE('',*,*,#212792,.T.); #299979=ORIENTED_EDGE('',*,*,#212762,.F.); #299980=ORIENTED_EDGE('',*,*,#212767,.F.); #299981=ORIENTED_EDGE('',*,*,#212765,.F.); #299982=ORIENTED_EDGE('',*,*,#212763,.F.); #299983=ORIENTED_EDGE('',*,*,#212717,.T.); #299984=ORIENTED_EDGE('',*,*,#212716,.T.); #299985=ORIENTED_EDGE('',*,*,#212706,.T.); #299986=ORIENTED_EDGE('',*,*,#212171,.T.); #299987=ORIENTED_EDGE('',*,*,#212697,.T.); #299988=ORIENTED_EDGE('',*,*,#212694,.T.); #299989=ORIENTED_EDGE('',*,*,#212692,.T.); #299990=ORIENTED_EDGE('',*,*,#212793,.F.); #299991=ORIENTED_EDGE('',*,*,#212794,.T.); #299992=ORIENTED_EDGE('',*,*,#212795,.T.); #299993=ORIENTED_EDGE('',*,*,#212796,.F.); #299994=ORIENTED_EDGE('',*,*,#212797,.T.); #299995=ORIENTED_EDGE('',*,*,#212798,.F.); #299996=ORIENTED_EDGE('',*,*,#212735,.F.); #299997=ORIENTED_EDGE('',*,*,#212799,.F.); #299998=ORIENTED_EDGE('',*,*,#212800,.F.); #299999=ORIENTED_EDGE('',*,*,#212801,.F.); #300000=ORIENTED_EDGE('',*,*,#212802,.F.); #300001=ORIENTED_EDGE('',*,*,#212803,.F.); #300002=ORIENTED_EDGE('',*,*,#212804,.F.); #300003=ORIENTED_EDGE('',*,*,#212805,.F.); #300004=ORIENTED_EDGE('',*,*,#212806,.F.); #300005=ORIENTED_EDGE('',*,*,#212742,.F.); #300006=ORIENTED_EDGE('',*,*,#212739,.F.); #300007=ORIENTED_EDGE('',*,*,#212807,.F.); #300008=ORIENTED_EDGE('',*,*,#212808,.F.); #300009=ORIENTED_EDGE('',*,*,#212809,.T.); #300010=ORIENTED_EDGE('',*,*,#212810,.T.); #300011=ORIENTED_EDGE('',*,*,#212805,.T.); #300012=ORIENTED_EDGE('',*,*,#212811,.F.); #300013=ORIENTED_EDGE('',*,*,#212812,.T.); #300014=ORIENTED_EDGE('',*,*,#212811,.T.); #300015=ORIENTED_EDGE('',*,*,#212804,.T.); #300016=ORIENTED_EDGE('',*,*,#212813,.F.); #300017=ORIENTED_EDGE('',*,*,#212795,.F.); #300018=ORIENTED_EDGE('',*,*,#212814,.F.); #300019=ORIENTED_EDGE('',*,*,#212815,.F.); #300020=ORIENTED_EDGE('',*,*,#212816,.T.); #300021=ORIENTED_EDGE('',*,*,#212817,.F.); #300022=ORIENTED_EDGE('',*,*,#212818,.T.); #300023=ORIENTED_EDGE('',*,*,#212743,.F.); #300024=ORIENTED_EDGE('',*,*,#212806,.T.); #300025=ORIENTED_EDGE('',*,*,#212810,.F.); #300026=ORIENTED_EDGE('',*,*,#212819,.T.); #300027=ORIENTED_EDGE('',*,*,#212820,.F.); #300028=ORIENTED_EDGE('',*,*,#212821,.T.); #300029=ORIENTED_EDGE('',*,*,#212822,.T.); #300030=ORIENTED_EDGE('',*,*,#212820,.T.); #300031=ORIENTED_EDGE('',*,*,#212823,.T.); #300032=ORIENTED_EDGE('',*,*,#212731,.F.); #300033=ORIENTED_EDGE('',*,*,#212824,.F.); #300034=ORIENTED_EDGE('',*,*,#212825,.T.); #300035=ORIENTED_EDGE('',*,*,#212729,.F.); #300036=ORIENTED_EDGE('',*,*,#212826,.T.); #300037=ORIENTED_EDGE('',*,*,#212827,.T.); #300038=ORIENTED_EDGE('',*,*,#212808,.T.); #300039=ORIENTED_EDGE('',*,*,#212828,.F.); #300040=ORIENTED_EDGE('',*,*,#212829,.T.); #300041=ORIENTED_EDGE('',*,*,#212830,.F.); #300042=ORIENTED_EDGE('',*,*,#212831,.T.); #300043=ORIENTED_EDGE('',*,*,#212832,.T.); #300044=ORIENTED_EDGE('',*,*,#212828,.T.); #300045=ORIENTED_EDGE('',*,*,#212807,.T.); #300046=ORIENTED_EDGE('',*,*,#212738,.F.); #300047=ORIENTED_EDGE('',*,*,#212833,.T.); #300048=ORIENTED_EDGE('',*,*,#212834,.F.); #300049=ORIENTED_EDGE('',*,*,#212835,.T.); #300050=ORIENTED_EDGE('',*,*,#212836,.F.); #300051=ORIENTED_EDGE('',*,*,#212837,.T.); #300052=ORIENTED_EDGE('',*,*,#212836,.T.); #300053=ORIENTED_EDGE('',*,*,#212838,.T.); #300054=ORIENTED_EDGE('',*,*,#212839,.F.); #300055=ORIENTED_EDGE('',*,*,#212840,.T.); #300056=ORIENTED_EDGE('',*,*,#212839,.T.); #300057=ORIENTED_EDGE('',*,*,#212841,.T.); #300058=ORIENTED_EDGE('',*,*,#212842,.F.); #300059=ORIENTED_EDGE('',*,*,#212843,.T.); #300060=ORIENTED_EDGE('',*,*,#212842,.T.); #300061=ORIENTED_EDGE('',*,*,#212844,.T.); #300062=ORIENTED_EDGE('',*,*,#212845,.F.); #300063=ORIENTED_EDGE('',*,*,#212846,.T.); #300064=ORIENTED_EDGE('',*,*,#212845,.T.); #300065=ORIENTED_EDGE('',*,*,#212847,.T.); #300066=ORIENTED_EDGE('',*,*,#212848,.T.); #300067=ORIENTED_EDGE('',*,*,#212849,.T.); #300068=ORIENTED_EDGE('',*,*,#212848,.F.); #300069=ORIENTED_EDGE('',*,*,#212850,.T.); #300070=ORIENTED_EDGE('',*,*,#212851,.F.); #300071=ORIENTED_EDGE('',*,*,#212852,.T.); #300072=ORIENTED_EDGE('',*,*,#212851,.T.); #300073=ORIENTED_EDGE('',*,*,#212814,.T.); #300074=ORIENTED_EDGE('',*,*,#212794,.F.); #300075=ORIENTED_EDGE('',*,*,#212853,.F.); #300076=ORIENTED_EDGE('',*,*,#212854,.T.); #300077=ORIENTED_EDGE('',*,*,#212855,.F.); #300078=ORIENTED_EDGE('',*,*,#212796,.T.); #300079=ORIENTED_EDGE('',*,*,#212813,.T.); #300080=ORIENTED_EDGE('',*,*,#212856,.T.); #300081=ORIENTED_EDGE('',*,*,#212857,.T.); #300082=ORIENTED_EDGE('',*,*,#212856,.F.); #300083=ORIENTED_EDGE('',*,*,#212803,.T.); #300084=ORIENTED_EDGE('',*,*,#212858,.T.); #300085=ORIENTED_EDGE('',*,*,#212859,.T.); #300086=ORIENTED_EDGE('',*,*,#212858,.F.); #300087=ORIENTED_EDGE('',*,*,#212802,.T.); #300088=ORIENTED_EDGE('',*,*,#212860,.T.); #300089=ORIENTED_EDGE('',*,*,#212861,.T.); #300090=ORIENTED_EDGE('',*,*,#212860,.F.); #300091=ORIENTED_EDGE('',*,*,#212801,.T.); #300092=ORIENTED_EDGE('',*,*,#212862,.F.); #300093=ORIENTED_EDGE('',*,*,#212863,.T.); #300094=ORIENTED_EDGE('',*,*,#212862,.T.); #300095=ORIENTED_EDGE('',*,*,#212800,.T.); #300096=ORIENTED_EDGE('',*,*,#212864,.F.); #300097=ORIENTED_EDGE('',*,*,#212865,.T.); #300098=ORIENTED_EDGE('',*,*,#212864,.T.); #300099=ORIENTED_EDGE('',*,*,#212799,.T.); #300100=ORIENTED_EDGE('',*,*,#212734,.F.); #300101=ORIENTED_EDGE('',*,*,#212866,.T.); #300102=ORIENTED_EDGE('',*,*,#212826,.F.); #300103=ORIENTED_EDGE('',*,*,#212728,.F.); #300104=ORIENTED_EDGE('',*,*,#212732,.F.); #300105=ORIENTED_EDGE('',*,*,#212823,.F.); #300106=ORIENTED_EDGE('',*,*,#212819,.F.); #300107=ORIENTED_EDGE('',*,*,#212809,.F.); #300108=ORIENTED_EDGE('',*,*,#212812,.F.); #300109=ORIENTED_EDGE('',*,*,#212850,.F.); #300110=ORIENTED_EDGE('',*,*,#212847,.F.); #300111=ORIENTED_EDGE('',*,*,#212844,.F.); #300112=ORIENTED_EDGE('',*,*,#212841,.F.); #300113=ORIENTED_EDGE('',*,*,#212838,.F.); #300114=ORIENTED_EDGE('',*,*,#212835,.F.); #300115=ORIENTED_EDGE('',*,*,#212867,.F.); #300116=ORIENTED_EDGE('',*,*,#212866,.F.); #300117=ORIENTED_EDGE('',*,*,#212868,.F.); #300118=ORIENTED_EDGE('',*,*,#212797,.F.); #300119=ORIENTED_EDGE('',*,*,#212827,.F.); #300120=ORIENTED_EDGE('',*,*,#212869,.F.); #300121=ORIENTED_EDGE('',*,*,#212870,.T.); #300122=ORIENTED_EDGE('',*,*,#212771,.T.); #300123=ORIENTED_EDGE('',*,*,#212774,.T.); #300124=ORIENTED_EDGE('',*,*,#212776,.T.); #300125=ORIENTED_EDGE('',*,*,#212871,.T.); #300126=ORIENTED_EDGE('',*,*,#212676,.T.); #300127=ORIENTED_EDGE('',*,*,#212872,.T.); #300128=ORIENTED_EDGE('',*,*,#212817,.T.); #300129=ORIENTED_EDGE('',*,*,#212873,.T.); #300130=ORIENTED_EDGE('',*,*,#212874,.F.); #300131=ORIENTED_EDGE('',*,*,#212875,.F.); #300132=ORIENTED_EDGE('',*,*,#212751,.F.); #300133=ORIENTED_EDGE('',*,*,#212790,.F.); #300134=ORIENTED_EDGE('',*,*,#212784,.F.); #300135=ORIENTED_EDGE('',*,*,#212876,.F.); #300136=ORIENTED_EDGE('',*,*,#212877,.F.); #300137=ORIENTED_EDGE('',*,*,#212878,.F.); #300138=ORIENTED_EDGE('',*,*,#212854,.F.); #300139=ORIENTED_EDGE('',*,*,#212857,.F.); #300140=ORIENTED_EDGE('',*,*,#212859,.F.); #300141=ORIENTED_EDGE('',*,*,#212861,.F.); #300142=ORIENTED_EDGE('',*,*,#212863,.F.); #300143=ORIENTED_EDGE('',*,*,#212865,.F.); #300144=ORIENTED_EDGE('',*,*,#212733,.F.); #300145=ORIENTED_EDGE('',*,*,#212879,.F.); #300146=ORIENTED_EDGE('',*,*,#212880,.F.); #300147=ORIENTED_EDGE('',*,*,#212876,.T.); #300148=ORIENTED_EDGE('',*,*,#212787,.T.); #300149=ORIENTED_EDGE('',*,*,#212789,.T.); #300150=ORIENTED_EDGE('',*,*,#212780,.T.); #300151=ORIENTED_EDGE('',*,*,#212783,.T.); #300152=ORIENTED_EDGE('',*,*,#212723,.T.); #300153=ORIENTED_EDGE('',*,*,#212880,.T.); #300154=ORIENTED_EDGE('',*,*,#212722,.T.); #300155=ORIENTED_EDGE('',*,*,#212881,.T.); #300156=ORIENTED_EDGE('',*,*,#212877,.T.); #300157=ORIENTED_EDGE('',*,*,#212882,.F.); #300158=ORIENTED_EDGE('',*,*,#212883,.T.); #300159=ORIENTED_EDGE('',*,*,#212747,.T.); #300160=ORIENTED_EDGE('',*,*,#212750,.T.); #300161=ORIENTED_EDGE('',*,*,#212752,.T.); #300162=ORIENTED_EDGE('',*,*,#212875,.T.); #300163=ORIENTED_EDGE('',*,*,#212882,.T.); #300164=ORIENTED_EDGE('',*,*,#212874,.T.); #300165=ORIENTED_EDGE('',*,*,#212884,.T.); #300166=ORIENTED_EDGE('',*,*,#212885,.T.); #300167=ORIENTED_EDGE('',*,*,#212830,.T.); #300168=ORIENTED_EDGE('',*,*,#212886,.T.); #300169=ORIENTED_EDGE('',*,*,#212887,.F.); #300170=ORIENTED_EDGE('',*,*,#212888,.T.); #300171=ORIENTED_EDGE('',*,*,#212889,.F.); #300172=ORIENTED_EDGE('',*,*,#212677,.T.); #300173=ORIENTED_EDGE('',*,*,#212873,.F.); #300174=ORIENTED_EDGE('',*,*,#212816,.F.); #300175=ORIENTED_EDGE('',*,*,#212434,.T.); #300176=ORIENTED_EDGE('',*,*,#212477,.T.); #300177=ORIENTED_EDGE('',*,*,#212430,.T.); #300178=ORIENTED_EDGE('',*,*,#212457,.T.); #300179=ORIENTED_EDGE('',*,*,#212527,.T.); #300180=ORIENTED_EDGE('',*,*,#212560,.T.); #300181=ORIENTED_EDGE('',*,*,#212517,.T.); #300182=ORIENTED_EDGE('',*,*,#212541,.T.); #300183=ORIENTED_EDGE('',*,*,#212566,.T.); #300184=ORIENTED_EDGE('',*,*,#212609,.T.); #300185=ORIENTED_EDGE('',*,*,#212562,.T.); #300186=ORIENTED_EDGE('',*,*,#212589,.T.); #300187=ORIENTED_EDGE('',*,*,#212678,.T.); #300188=ORIENTED_EDGE('',*,*,#212889,.T.); #300189=ORIENTED_EDGE('',*,*,#212815,.T.); #300190=ORIENTED_EDGE('',*,*,#212890,.T.); #300191=ORIENTED_EDGE('',*,*,#212891,.F.); #300192=ORIENTED_EDGE('',*,*,#212892,.F.); #300193=ORIENTED_EDGE('',*,*,#212833,.F.); #300194=ORIENTED_EDGE('',*,*,#212837,.F.); #300195=ORIENTED_EDGE('',*,*,#212840,.F.); #300196=ORIENTED_EDGE('',*,*,#212843,.F.); #300197=ORIENTED_EDGE('',*,*,#212846,.F.); #300198=ORIENTED_EDGE('',*,*,#212849,.F.); #300199=ORIENTED_EDGE('',*,*,#212852,.F.); #300200=ORIENTED_EDGE('',*,*,#212893,.F.); #300201=ORIENTED_EDGE('',*,*,#212894,.F.); #300202=ORIENTED_EDGE('',*,*,#212759,.F.); #300203=ORIENTED_EDGE('',*,*,#212760,.F.); #300204=ORIENTED_EDGE('',*,*,#212792,.F.); #300205=ORIENTED_EDGE('',*,*,#212768,.F.); #300206=ORIENTED_EDGE('',*,*,#212870,.F.); #300207=ORIENTED_EDGE('',*,*,#212895,.F.); #300208=ORIENTED_EDGE('',*,*,#212893,.T.); #300209=ORIENTED_EDGE('',*,*,#212853,.T.); #300210=ORIENTED_EDGE('',*,*,#212793,.T.); #300211=ORIENTED_EDGE('',*,*,#212855,.T.); #300212=ORIENTED_EDGE('',*,*,#212878,.T.); #300213=ORIENTED_EDGE('',*,*,#212881,.F.); #300214=ORIENTED_EDGE('',*,*,#212721,.T.); #300215=ORIENTED_EDGE('',*,*,#212895,.T.); #300216=ORIENTED_EDGE('',*,*,#212720,.T.); #300217=ORIENTED_EDGE('',*,*,#212754,.T.); #300218=ORIENTED_EDGE('',*,*,#212894,.T.); #300219=ORIENTED_EDGE('',*,*,#212896,.F.); #300220=ORIENTED_EDGE('',*,*,#212871,.F.); #300221=ORIENTED_EDGE('',*,*,#212775,.F.); #300222=ORIENTED_EDGE('',*,*,#212791,.F.); #300223=ORIENTED_EDGE('',*,*,#212744,.F.); #300224=ORIENTED_EDGE('',*,*,#212883,.F.); #300225=ORIENTED_EDGE('',*,*,#212885,.F.); #300226=ORIENTED_EDGE('',*,*,#212897,.F.); #300227=ORIENTED_EDGE('',*,*,#212898,.F.); #300228=ORIENTED_EDGE('',*,*,#212897,.T.); #300229=ORIENTED_EDGE('',*,*,#212884,.F.); #300230=ORIENTED_EDGE('',*,*,#212879,.T.); #300231=ORIENTED_EDGE('',*,*,#212736,.F.); #300232=ORIENTED_EDGE('',*,*,#212798,.T.); #300233=ORIENTED_EDGE('',*,*,#212868,.T.); #300234=ORIENTED_EDGE('',*,*,#212867,.T.); #300235=ORIENTED_EDGE('',*,*,#212834,.T.); #300236=ORIENTED_EDGE('',*,*,#212892,.T.); #300237=ORIENTED_EDGE('',*,*,#212898,.T.); #300238=ORIENTED_EDGE('',*,*,#212891,.T.); #300239=ORIENTED_EDGE('',*,*,#212869,.T.); #300240=ORIENTED_EDGE('',*,*,#212896,.T.); #300241=ORIENTED_EDGE('',*,*,#212899,.T.); #300242=ORIENTED_EDGE('',*,*,#212900,.T.); #300243=ORIENTED_EDGE('',*,*,#212901,.T.); #300244=ORIENTED_EDGE('',*,*,#212902,.F.); #300245=ORIENTED_EDGE('',*,*,#212903,.F.); #300246=ORIENTED_EDGE('',*,*,#212904,.F.); #300247=ORIENTED_EDGE('',*,*,#212905,.F.); #300248=ORIENTED_EDGE('',*,*,#212906,.T.); #300249=ORIENTED_EDGE('',*,*,#212824,.T.); #300250=ORIENTED_EDGE('',*,*,#212907,.T.); #300251=ORIENTED_EDGE('',*,*,#212908,.T.); #300252=ORIENTED_EDGE('',*,*,#212909,.T.); #300253=ORIENTED_EDGE('',*,*,#212910,.T.); #300254=ORIENTED_EDGE('',*,*,#212911,.T.); #300255=ORIENTED_EDGE('',*,*,#212912,.T.); #300256=ORIENTED_EDGE('',*,*,#212221,.F.); #300257=ORIENTED_EDGE('',*,*,#212905,.T.); #300258=ORIENTED_EDGE('',*,*,#212214,.T.); #300259=ORIENTED_EDGE('',*,*,#212913,.F.); #300260=ORIENTED_EDGE('',*,*,#212914,.T.); #300261=ORIENTED_EDGE('',*,*,#212915,.F.); #300262=ORIENTED_EDGE('',*,*,#212916,.F.); #300263=ORIENTED_EDGE('',*,*,#212917,.T.); #300264=ORIENTED_EDGE('',*,*,#212918,.F.); #300265=ORIENTED_EDGE('',*,*,#212919,.T.); #300266=ORIENTED_EDGE('',*,*,#212920,.F.); #300267=ORIENTED_EDGE('',*,*,#212921,.T.); #300268=ORIENTED_EDGE('',*,*,#212922,.T.); #300269=ORIENTED_EDGE('',*,*,#212923,.F.); #300270=ORIENTED_EDGE('',*,*,#212225,.F.); #300271=ORIENTED_EDGE('',*,*,#212924,.F.); #300272=ORIENTED_EDGE('',*,*,#212925,.T.); #300273=ORIENTED_EDGE('',*,*,#212926,.F.); #300274=ORIENTED_EDGE('',*,*,#212927,.T.); #300275=ORIENTED_EDGE('',*,*,#212928,.F.); #300276=ORIENTED_EDGE('',*,*,#212929,.T.); #300277=ORIENTED_EDGE('',*,*,#212930,.F.); #300278=ORIENTED_EDGE('',*,*,#212931,.T.); #300279=ORIENTED_EDGE('',*,*,#212932,.F.); #300280=ORIENTED_EDGE('',*,*,#212933,.T.); #300281=ORIENTED_EDGE('',*,*,#212934,.T.); #300282=ORIENTED_EDGE('',*,*,#212935,.F.); #300283=ORIENTED_EDGE('',*,*,#212936,.T.); #300284=ORIENTED_EDGE('',*,*,#212222,.F.); #300285=ORIENTED_EDGE('',*,*,#212912,.F.); #300286=ORIENTED_EDGE('',*,*,#212213,.F.); #300287=ORIENTED_EDGE('',*,*,#212937,.F.); #300288=ORIENTED_EDGE('',*,*,#212938,.F.); #300289=ORIENTED_EDGE('',*,*,#212939,.T.); #300290=ORIENTED_EDGE('',*,*,#212940,.F.); #300291=ORIENTED_EDGE('',*,*,#212941,.F.); #300292=ORIENTED_EDGE('',*,*,#212942,.F.); #300293=ORIENTED_EDGE('',*,*,#212943,.T.); #300294=ORIENTED_EDGE('',*,*,#212219,.F.); #300295=ORIENTED_EDGE('',*,*,#212944,.F.); #300296=ORIENTED_EDGE('',*,*,#212229,.F.); #300297=ORIENTED_EDGE('',*,*,#212945,.F.); #300298=ORIENTED_EDGE('',*,*,#212946,.T.); #300299=ORIENTED_EDGE('',*,*,#212947,.T.); #300300=ORIENTED_EDGE('',*,*,#212948,.F.); #300301=ORIENTED_EDGE('',*,*,#212949,.T.); #300302=ORIENTED_EDGE('',*,*,#212950,.F.); #300303=ORIENTED_EDGE('',*,*,#212951,.T.); #300304=ORIENTED_EDGE('',*,*,#212952,.F.); #300305=ORIENTED_EDGE('',*,*,#212953,.T.); #300306=ORIENTED_EDGE('',*,*,#212954,.T.); #300307=ORIENTED_EDGE('',*,*,#212955,.T.); #300308=ORIENTED_EDGE('',*,*,#212234,.F.); #300309=ORIENTED_EDGE('',*,*,#212680,.T.); #300310=ORIENTED_EDGE('',*,*,#212226,.T.); #300311=ORIENTED_EDGE('',*,*,#212923,.T.); #300312=ORIENTED_EDGE('',*,*,#212956,.T.); #300313=ORIENTED_EDGE('',*,*,#212956,.F.); #300314=ORIENTED_EDGE('',*,*,#212922,.F.); #300315=ORIENTED_EDGE('',*,*,#212957,.F.); #300316=ORIENTED_EDGE('',*,*,#212681,.F.); #300317=ORIENTED_EDGE('',*,*,#212887,.T.); #300318=ORIENTED_EDGE('',*,*,#212230,.T.); #300319=ORIENTED_EDGE('',*,*,#212944,.T.); #300320=ORIENTED_EDGE('',*,*,#212218,.T.); #300321=ORIENTED_EDGE('',*,*,#212215,.T.); #300322=ORIENTED_EDGE('',*,*,#212907,.F.); #300323=ORIENTED_EDGE('',*,*,#212831,.F.); #300324=ORIENTED_EDGE('',*,*,#212888,.F.); #300325=ORIENTED_EDGE('',*,*,#212217,.T.); #300326=ORIENTED_EDGE('',*,*,#212660,.F.); #300327=ORIENTED_EDGE('',*,*,#212913,.T.); #300328=ORIENTED_EDGE('',*,*,#212233,.F.); #300329=ORIENTED_EDGE('',*,*,#212674,.T.); #300330=ORIENTED_EDGE('',*,*,#212958,.T.); #300331=ORIENTED_EDGE('',*,*,#212231,.T.); #300332=ORIENTED_EDGE('',*,*,#212886,.F.); #300333=ORIENTED_EDGE('',*,*,#212829,.F.); #300334=ORIENTED_EDGE('',*,*,#212832,.F.); #300335=ORIENTED_EDGE('',*,*,#212737,.F.); #300336=ORIENTED_EDGE('',*,*,#212741,.F.); #300337=ORIENTED_EDGE('',*,*,#212818,.F.); #300338=ORIENTED_EDGE('',*,*,#212872,.F.); #300339=ORIENTED_EDGE('',*,*,#212675,.F.); #300340=ORIENTED_EDGE('',*,*,#212236,.T.); #300341=ORIENTED_EDGE('',*,*,#212900,.F.); #300342=ORIENTED_EDGE('',*,*,#212959,.F.); #300343=ORIENTED_EDGE('',*,*,#212673,.F.); #300344=ORIENTED_EDGE('',*,*,#212220,.F.); #300345=ORIENTED_EDGE('',*,*,#212943,.F.); #300346=ORIENTED_EDGE('',*,*,#212960,.F.); #300347=ORIENTED_EDGE('',*,*,#212653,.T.); #300348=ORIENTED_EDGE('',*,*,#212958,.F.); #300349=ORIENTED_EDGE('',*,*,#212689,.F.); #300350=ORIENTED_EDGE('',*,*,#212961,.F.); #300351=ORIENTED_EDGE('',*,*,#212914,.F.); #300352=ORIENTED_EDGE('',*,*,#212961,.T.); #300353=ORIENTED_EDGE('',*,*,#212688,.F.); #300354=ORIENTED_EDGE('',*,*,#212962,.F.); #300355=ORIENTED_EDGE('',*,*,#212915,.T.); #300356=ORIENTED_EDGE('',*,*,#212957,.T.); #300357=ORIENTED_EDGE('',*,*,#212921,.F.); #300358=ORIENTED_EDGE('',*,*,#212963,.F.); #300359=ORIENTED_EDGE('',*,*,#212682,.T.); #300360=ORIENTED_EDGE('',*,*,#212659,.F.); #300361=ORIENTED_EDGE('',*,*,#212964,.F.); #300362=ORIENTED_EDGE('',*,*,#212937,.T.); #300363=ORIENTED_EDGE('',*,*,#212216,.F.); #300364=ORIENTED_EDGE('',*,*,#212964,.T.); #300365=ORIENTED_EDGE('',*,*,#212658,.T.); #300366=ORIENTED_EDGE('',*,*,#212965,.T.); #300367=ORIENTED_EDGE('',*,*,#212938,.T.); #300368=ORIENTED_EDGE('',*,*,#212965,.F.); #300369=ORIENTED_EDGE('',*,*,#212657,.T.); #300370=ORIENTED_EDGE('',*,*,#212966,.F.); #300371=ORIENTED_EDGE('',*,*,#212939,.F.); #300372=ORIENTED_EDGE('',*,*,#212967,.F.); #300373=ORIENTED_EDGE('',*,*,#212968,.T.); #300374=ORIENTED_EDGE('',*,*,#212969,.T.); #300375=ORIENTED_EDGE('',*,*,#212970,.F.); #300376=ORIENTED_EDGE('',*,*,#212971,.F.); #300377=ORIENTED_EDGE('',*,*,#212972,.F.); #300378=ORIENTED_EDGE('',*,*,#212973,.F.); #300379=ORIENTED_EDGE('',*,*,#212974,.T.); #300380=ORIENTED_EDGE('',*,*,#212975,.T.); #300381=ORIENTED_EDGE('',*,*,#212940,.T.); #300382=ORIENTED_EDGE('',*,*,#212976,.T.); #300383=ORIENTED_EDGE('',*,*,#212977,.T.); #300384=ORIENTED_EDGE('',*,*,#212656,.F.); #300385=ORIENTED_EDGE('',*,*,#212978,.F.); #300386=ORIENTED_EDGE('',*,*,#212979,.T.); #300387=ORIENTED_EDGE('',*,*,#212980,.F.); #300388=ORIENTED_EDGE('',*,*,#212975,.F.); #300389=ORIENTED_EDGE('',*,*,#212973,.T.); #300390=ORIENTED_EDGE('',*,*,#212978,.T.); #300391=ORIENTED_EDGE('',*,*,#212981,.F.); #300392=ORIENTED_EDGE('',*,*,#212969,.F.); #300393=ORIENTED_EDGE('',*,*,#212976,.F.); #300394=ORIENTED_EDGE('',*,*,#212966,.T.); #300395=ORIENTED_EDGE('',*,*,#212980,.T.); #300396=ORIENTED_EDGE('',*,*,#212982,.F.); #300397=ORIENTED_EDGE('',*,*,#212941,.T.); #300398=ORIENTED_EDGE('',*,*,#212981,.T.); #300399=ORIENTED_EDGE('',*,*,#212655,.F.); #300400=ORIENTED_EDGE('',*,*,#212983,.F.); #300401=ORIENTED_EDGE('',*,*,#212984,.T.); #300402=ORIENTED_EDGE('',*,*,#212985,.F.); #300403=ORIENTED_EDGE('',*,*,#212974,.F.); #300404=ORIENTED_EDGE('',*,*,#212977,.F.); #300405=ORIENTED_EDGE('',*,*,#212968,.F.); #300406=ORIENTED_EDGE('',*,*,#212986,.T.); #300407=ORIENTED_EDGE('',*,*,#212971,.T.); #300408=ORIENTED_EDGE('',*,*,#212985,.T.); #300409=ORIENTED_EDGE('',*,*,#212987,.T.); #300410=ORIENTED_EDGE('',*,*,#212988,.F.); #300411=ORIENTED_EDGE('',*,*,#212970,.T.); #300412=ORIENTED_EDGE('',*,*,#212979,.F.); #300413=ORIENTED_EDGE('',*,*,#212972,.T.); #300414=ORIENTED_EDGE('',*,*,#212986,.F.); #300415=ORIENTED_EDGE('',*,*,#212989,.T.); #300416=ORIENTED_EDGE('',*,*,#212990,.F.); #300417=ORIENTED_EDGE('',*,*,#212989,.F.); #300418=ORIENTED_EDGE('',*,*,#212987,.F.); #300419=ORIENTED_EDGE('',*,*,#212984,.F.); #300420=ORIENTED_EDGE('',*,*,#212983,.T.); #300421=ORIENTED_EDGE('',*,*,#212967,.T.); #300422=ORIENTED_EDGE('',*,*,#212988,.T.); #300423=ORIENTED_EDGE('',*,*,#212990,.T.); #300424=ORIENTED_EDGE('',*,*,#212962,.T.); #300425=ORIENTED_EDGE('',*,*,#212687,.T.); #300426=ORIENTED_EDGE('',*,*,#212991,.T.); #300427=ORIENTED_EDGE('',*,*,#212916,.T.); #300428=ORIENTED_EDGE('',*,*,#212992,.F.); #300429=ORIENTED_EDGE('',*,*,#212919,.F.); #300430=ORIENTED_EDGE('',*,*,#212993,.T.); #300431=ORIENTED_EDGE('',*,*,#212684,.F.); #300432=ORIENTED_EDGE('',*,*,#212994,.F.); #300433=ORIENTED_EDGE('',*,*,#212995,.F.); #300434=ORIENTED_EDGE('',*,*,#212996,.T.); #300435=ORIENTED_EDGE('',*,*,#212997,.T.); #300436=ORIENTED_EDGE('',*,*,#212998,.F.); #300437=ORIENTED_EDGE('',*,*,#212999,.T.); #300438=ORIENTED_EDGE('',*,*,#213000,.F.); #300439=ORIENTED_EDGE('',*,*,#213001,.F.); #300440=ORIENTED_EDGE('',*,*,#213002,.T.); #300441=ORIENTED_EDGE('',*,*,#212918,.T.); #300442=ORIENTED_EDGE('',*,*,#213003,.T.); #300443=ORIENTED_EDGE('',*,*,#213004,.T.); #300444=ORIENTED_EDGE('',*,*,#212685,.F.); #300445=ORIENTED_EDGE('',*,*,#213005,.F.); #300446=ORIENTED_EDGE('',*,*,#213006,.T.); #300447=ORIENTED_EDGE('',*,*,#213007,.F.); #300448=ORIENTED_EDGE('',*,*,#213002,.F.); #300449=ORIENTED_EDGE('',*,*,#213000,.T.); #300450=ORIENTED_EDGE('',*,*,#213005,.T.); #300451=ORIENTED_EDGE('',*,*,#212993,.F.); #300452=ORIENTED_EDGE('',*,*,#212996,.F.); #300453=ORIENTED_EDGE('',*,*,#213003,.F.); #300454=ORIENTED_EDGE('',*,*,#213008,.T.); #300455=ORIENTED_EDGE('',*,*,#213007,.T.); #300456=ORIENTED_EDGE('',*,*,#212991,.F.); #300457=ORIENTED_EDGE('',*,*,#212686,.T.); #300458=ORIENTED_EDGE('',*,*,#213008,.F.); #300459=ORIENTED_EDGE('',*,*,#212917,.F.); #300460=ORIENTED_EDGE('',*,*,#213009,.F.); #300461=ORIENTED_EDGE('',*,*,#213010,.T.); #300462=ORIENTED_EDGE('',*,*,#213011,.F.); #300463=ORIENTED_EDGE('',*,*,#213001,.T.); #300464=ORIENTED_EDGE('',*,*,#213004,.F.); #300465=ORIENTED_EDGE('',*,*,#212995,.T.); #300466=ORIENTED_EDGE('',*,*,#213012,.T.); #300467=ORIENTED_EDGE('',*,*,#212998,.T.); #300468=ORIENTED_EDGE('',*,*,#213011,.T.); #300469=ORIENTED_EDGE('',*,*,#213013,.T.); #300470=ORIENTED_EDGE('',*,*,#213014,.F.); #300471=ORIENTED_EDGE('',*,*,#212997,.F.); #300472=ORIENTED_EDGE('',*,*,#213006,.F.); #300473=ORIENTED_EDGE('',*,*,#212999,.F.); #300474=ORIENTED_EDGE('',*,*,#213012,.F.); #300475=ORIENTED_EDGE('',*,*,#213015,.T.); #300476=ORIENTED_EDGE('',*,*,#213016,.F.); #300477=ORIENTED_EDGE('',*,*,#213015,.F.); #300478=ORIENTED_EDGE('',*,*,#213013,.F.); #300479=ORIENTED_EDGE('',*,*,#213010,.F.); #300480=ORIENTED_EDGE('',*,*,#213009,.T.); #300481=ORIENTED_EDGE('',*,*,#212994,.T.); #300482=ORIENTED_EDGE('',*,*,#213014,.T.); #300483=ORIENTED_EDGE('',*,*,#213016,.T.); #300484=ORIENTED_EDGE('',*,*,#212992,.T.); #300485=ORIENTED_EDGE('',*,*,#212683,.T.); #300486=ORIENTED_EDGE('',*,*,#212963,.T.); #300487=ORIENTED_EDGE('',*,*,#212920,.T.); #300488=ORIENTED_EDGE('',*,*,#212960,.T.); #300489=ORIENTED_EDGE('',*,*,#212942,.T.); #300490=ORIENTED_EDGE('',*,*,#212982,.T.); #300491=ORIENTED_EDGE('',*,*,#212654,.T.); #300492=ORIENTED_EDGE('',*,*,#212235,.F.); #300493=ORIENTED_EDGE('',*,*,#212955,.F.); #300494=ORIENTED_EDGE('',*,*,#213017,.F.); #300495=ORIENTED_EDGE('',*,*,#212901,.F.); #300496=ORIENTED_EDGE('',*,*,#212224,.T.); #300497=ORIENTED_EDGE('',*,*,#212652,.F.); #300498=ORIENTED_EDGE('',*,*,#212908,.F.); #300499=ORIENTED_EDGE('',*,*,#212662,.F.); #300500=ORIENTED_EDGE('',*,*,#212227,.T.); #300501=ORIENTED_EDGE('',*,*,#212679,.F.); #300502=ORIENTED_EDGE('',*,*,#212890,.F.); #300503=ORIENTED_EDGE('',*,*,#212821,.F.); #300504=ORIENTED_EDGE('',*,*,#212822,.F.); #300505=ORIENTED_EDGE('',*,*,#212730,.F.); #300506=ORIENTED_EDGE('',*,*,#212726,.F.); #300507=ORIENTED_EDGE('',*,*,#212825,.F.); #300508=ORIENTED_EDGE('',*,*,#212906,.F.); #300509=ORIENTED_EDGE('',*,*,#212223,.F.); #300510=ORIENTED_EDGE('',*,*,#212936,.F.); #300511=ORIENTED_EDGE('',*,*,#213018,.F.); #300512=ORIENTED_EDGE('',*,*,#212646,.F.); #300513=ORIENTED_EDGE('',*,*,#212664,.T.); #300514=ORIENTED_EDGE('',*,*,#213019,.T.); #300515=ORIENTED_EDGE('',*,*,#212928,.T.); #300516=ORIENTED_EDGE('',*,*,#213020,.T.); #300517=ORIENTED_EDGE('',*,*,#213019,.F.); #300518=ORIENTED_EDGE('',*,*,#212663,.F.); #300519=ORIENTED_EDGE('',*,*,#212911,.F.); #300520=ORIENTED_EDGE('',*,*,#213021,.F.); #300521=ORIENTED_EDGE('',*,*,#213022,.F.); #300522=ORIENTED_EDGE('',*,*,#213023,.F.); #300523=ORIENTED_EDGE('',*,*,#213024,.F.); #300524=ORIENTED_EDGE('',*,*,#213025,.F.); #300525=ORIENTED_EDGE('',*,*,#212929,.F.); #300526=ORIENTED_EDGE('',*,*,#213026,.T.); #300527=ORIENTED_EDGE('',*,*,#213027,.T.); #300528=ORIENTED_EDGE('',*,*,#213028,.T.); #300529=ORIENTED_EDGE('',*,*,#213023,.T.); #300530=ORIENTED_EDGE('',*,*,#213027,.F.); #300531=ORIENTED_EDGE('',*,*,#213029,.F.); #300532=ORIENTED_EDGE('',*,*,#213030,.T.); #300533=ORIENTED_EDGE('',*,*,#213031,.F.); #300534=ORIENTED_EDGE('',*,*,#213026,.F.); #300535=ORIENTED_EDGE('',*,*,#213022,.T.); #300536=ORIENTED_EDGE('',*,*,#213032,.F.); #300537=ORIENTED_EDGE('',*,*,#213033,.T.); #300538=ORIENTED_EDGE('',*,*,#213034,.F.); #300539=ORIENTED_EDGE('',*,*,#213035,.T.); #300540=ORIENTED_EDGE('',*,*,#213036,.T.); #300541=ORIENTED_EDGE('',*,*,#213029,.T.); #300542=ORIENTED_EDGE('',*,*,#212932,.T.); #300543=ORIENTED_EDGE('',*,*,#213037,.T.); #300544=ORIENTED_EDGE('',*,*,#212650,.T.); #300545=ORIENTED_EDGE('',*,*,#213038,.T.); #300546=ORIENTED_EDGE('',*,*,#213037,.F.); #300547=ORIENTED_EDGE('',*,*,#212931,.F.); #300548=ORIENTED_EDGE('',*,*,#213039,.F.); #300549=ORIENTED_EDGE('',*,*,#213040,.F.); #300550=ORIENTED_EDGE('',*,*,#213041,.F.); #300551=ORIENTED_EDGE('',*,*,#213033,.F.); #300552=ORIENTED_EDGE('',*,*,#213042,.F.); #300553=ORIENTED_EDGE('',*,*,#212909,.F.); #300554=ORIENTED_EDGE('',*,*,#212651,.F.); #300555=ORIENTED_EDGE('',*,*,#213043,.T.); #300556=ORIENTED_EDGE('',*,*,#213044,.T.); #300557=ORIENTED_EDGE('',*,*,#213034,.T.); #300558=ORIENTED_EDGE('',*,*,#213041,.T.); #300559=ORIENTED_EDGE('',*,*,#213028,.F.); #300560=ORIENTED_EDGE('',*,*,#213031,.T.); #300561=ORIENTED_EDGE('',*,*,#213045,.T.); #300562=ORIENTED_EDGE('',*,*,#213046,.T.); #300563=ORIENTED_EDGE('',*,*,#213043,.F.); #300564=ORIENTED_EDGE('',*,*,#213040,.T.); #300565=ORIENTED_EDGE('',*,*,#213047,.F.); #300566=ORIENTED_EDGE('',*,*,#213024,.T.); #300567=ORIENTED_EDGE('',*,*,#213048,.T.); #300568=ORIENTED_EDGE('',*,*,#213049,.T.); #300569=ORIENTED_EDGE('',*,*,#213050,.T.); #300570=ORIENTED_EDGE('',*,*,#213051,.T.); #300571=ORIENTED_EDGE('',*,*,#213048,.F.); #300572=ORIENTED_EDGE('',*,*,#213052,.T.); #300573=ORIENTED_EDGE('',*,*,#213053,.F.); #300574=ORIENTED_EDGE('',*,*,#213054,.T.); #300575=ORIENTED_EDGE('',*,*,#213055,.F.); #300576=ORIENTED_EDGE('',*,*,#213056,.T.); #300577=ORIENTED_EDGE('',*,*,#213057,.T.); #300578=ORIENTED_EDGE('',*,*,#213058,.T.); #300579=ORIENTED_EDGE('',*,*,#213051,.F.); #300580=ORIENTED_EDGE('',*,*,#213059,.F.); #300581=ORIENTED_EDGE('',*,*,#213060,.F.); #300582=ORIENTED_EDGE('',*,*,#213061,.F.); #300583=ORIENTED_EDGE('',*,*,#212899,.F.); #300584=ORIENTED_EDGE('',*,*,#213062,.F.); #300585=ORIENTED_EDGE('',*,*,#212951,.F.); #300586=ORIENTED_EDGE('',*,*,#213063,.F.); #300587=ORIENTED_EDGE('',*,*,#213052,.F.); #300588=ORIENTED_EDGE('',*,*,#212952,.T.); #300589=ORIENTED_EDGE('',*,*,#213062,.T.); #300590=ORIENTED_EDGE('',*,*,#212904,.T.); #300591=ORIENTED_EDGE('',*,*,#213064,.T.); #300592=ORIENTED_EDGE('',*,*,#213065,.T.); #300593=ORIENTED_EDGE('',*,*,#213066,.T.); #300594=ORIENTED_EDGE('',*,*,#213055,.T.); #300595=ORIENTED_EDGE('',*,*,#213067,.T.); #300596=ORIENTED_EDGE('',*,*,#213050,.F.); #300597=ORIENTED_EDGE('',*,*,#213068,.T.); #300598=ORIENTED_EDGE('',*,*,#213069,.T.); #300599=ORIENTED_EDGE('',*,*,#213070,.T.); #300600=ORIENTED_EDGE('',*,*,#213065,.F.); #300601=ORIENTED_EDGE('',*,*,#213071,.T.); #300602=ORIENTED_EDGE('',*,*,#213072,.F.); #300603=ORIENTED_EDGE('',*,*,#213059,.T.); #300604=ORIENTED_EDGE('',*,*,#213067,.F.); #300605=ORIENTED_EDGE('',*,*,#213054,.F.); #300606=ORIENTED_EDGE('',*,*,#213073,.F.); #300607=ORIENTED_EDGE('',*,*,#212949,.F.); #300608=ORIENTED_EDGE('',*,*,#213074,.F.); #300609=ORIENTED_EDGE('',*,*,#212668,.F.); #300610=ORIENTED_EDGE('',*,*,#213075,.F.); #300611=ORIENTED_EDGE('',*,*,#213076,.F.); #300612=ORIENTED_EDGE('',*,*,#213071,.F.); #300613=ORIENTED_EDGE('',*,*,#212669,.T.); #300614=ORIENTED_EDGE('',*,*,#213074,.T.); #300615=ORIENTED_EDGE('',*,*,#212948,.T.); #300616=ORIENTED_EDGE('',*,*,#213077,.T.); #300617=ORIENTED_EDGE('',*,*,#213073,.T.); #300618=ORIENTED_EDGE('',*,*,#213053,.T.); #300619=ORIENTED_EDGE('',*,*,#213063,.T.); #300620=ORIENTED_EDGE('',*,*,#212950,.T.); #300621=ORIENTED_EDGE('',*,*,#212959,.T.); #300622=ORIENTED_EDGE('',*,*,#213061,.T.); #300623=ORIENTED_EDGE('',*,*,#213078,.T.); #300624=ORIENTED_EDGE('',*,*,#213075,.T.); #300625=ORIENTED_EDGE('',*,*,#213076,.T.); #300626=ORIENTED_EDGE('',*,*,#213078,.F.); #300627=ORIENTED_EDGE('',*,*,#213060,.T.); #300628=ORIENTED_EDGE('',*,*,#213072,.T.); #300629=ORIENTED_EDGE('',*,*,#213049,.F.); #300630=ORIENTED_EDGE('',*,*,#213058,.F.); #300631=ORIENTED_EDGE('',*,*,#213079,.T.); #300632=ORIENTED_EDGE('',*,*,#213068,.F.); #300633=ORIENTED_EDGE('',*,*,#213064,.F.); #300634=ORIENTED_EDGE('',*,*,#212903,.T.); #300635=ORIENTED_EDGE('',*,*,#213080,.T.); #300636=ORIENTED_EDGE('',*,*,#212953,.F.); #300637=ORIENTED_EDGE('',*,*,#213077,.F.); #300638=ORIENTED_EDGE('',*,*,#212947,.F.); #300639=ORIENTED_EDGE('',*,*,#213081,.F.); #300640=ORIENTED_EDGE('',*,*,#212670,.F.); #300641=ORIENTED_EDGE('',*,*,#213042,.T.); #300642=ORIENTED_EDGE('',*,*,#213032,.T.); #300643=ORIENTED_EDGE('',*,*,#213021,.T.); #300644=ORIENTED_EDGE('',*,*,#212910,.F.); #300645=ORIENTED_EDGE('',*,*,#213044,.F.); #300646=ORIENTED_EDGE('',*,*,#213046,.F.); #300647=ORIENTED_EDGE('',*,*,#213082,.T.); #300648=ORIENTED_EDGE('',*,*,#213035,.F.); #300649=ORIENTED_EDGE('',*,*,#213039,.T.); #300650=ORIENTED_EDGE('',*,*,#212930,.T.); #300651=ORIENTED_EDGE('',*,*,#213025,.T.); #300652=ORIENTED_EDGE('',*,*,#213047,.T.); #300653=ORIENTED_EDGE('',*,*,#213020,.F.); #300654=ORIENTED_EDGE('',*,*,#212927,.F.); #300655=ORIENTED_EDGE('',*,*,#213083,.F.); #300656=ORIENTED_EDGE('',*,*,#212665,.T.); #300657=ORIENTED_EDGE('',*,*,#213038,.F.); #300658=ORIENTED_EDGE('',*,*,#212649,.T.); #300659=ORIENTED_EDGE('',*,*,#213084,.T.); #300660=ORIENTED_EDGE('',*,*,#212933,.F.); #300661=ORIENTED_EDGE('',*,*,#213066,.F.); #300662=ORIENTED_EDGE('',*,*,#213070,.F.); #300663=ORIENTED_EDGE('',*,*,#213085,.T.); #300664=ORIENTED_EDGE('',*,*,#213056,.F.); #300665=ORIENTED_EDGE('',*,*,#212672,.T.); #300666=ORIENTED_EDGE('',*,*,#213086,.T.); #300667=ORIENTED_EDGE('',*,*,#212945,.T.); #300668=ORIENTED_EDGE('',*,*,#212232,.F.); #300669=ORIENTED_EDGE('',*,*,#212661,.T.); #300670=ORIENTED_EDGE('',*,*,#213087,.T.); #300671=ORIENTED_EDGE('',*,*,#212924,.T.); #300672=ORIENTED_EDGE('',*,*,#212228,.F.); #300673=ORIENTED_EDGE('',*,*,#212935,.T.); #300674=ORIENTED_EDGE('',*,*,#213088,.F.); #300675=ORIENTED_EDGE('',*,*,#212647,.F.); #300676=ORIENTED_EDGE('',*,*,#213018,.T.); #300677=ORIENTED_EDGE('',*,*,#213084,.F.); #300678=ORIENTED_EDGE('',*,*,#212648,.T.); #300679=ORIENTED_EDGE('',*,*,#213088,.T.); #300680=ORIENTED_EDGE('',*,*,#212934,.F.); #300681=ORIENTED_EDGE('',*,*,#212926,.T.); #300682=ORIENTED_EDGE('',*,*,#213089,.F.); #300683=ORIENTED_EDGE('',*,*,#212666,.F.); #300684=ORIENTED_EDGE('',*,*,#213083,.T.); #300685=ORIENTED_EDGE('',*,*,#213087,.F.); #300686=ORIENTED_EDGE('',*,*,#212667,.T.); #300687=ORIENTED_EDGE('',*,*,#213089,.T.); #300688=ORIENTED_EDGE('',*,*,#212925,.F.); #300689=ORIENTED_EDGE('',*,*,#212946,.F.); #300690=ORIENTED_EDGE('',*,*,#213086,.F.); #300691=ORIENTED_EDGE('',*,*,#212671,.T.); #300692=ORIENTED_EDGE('',*,*,#213081,.T.); #300693=ORIENTED_EDGE('',*,*,#212954,.F.); #300694=ORIENTED_EDGE('',*,*,#213080,.F.); #300695=ORIENTED_EDGE('',*,*,#212902,.T.); #300696=ORIENTED_EDGE('',*,*,#213017,.T.); #300697=ORIENTED_EDGE('',*,*,#213090,.F.); #300698=ORIENTED_EDGE('',*,*,#213091,.F.); #300699=ORIENTED_EDGE('',*,*,#213092,.F.); #300700=ORIENTED_EDGE('',*,*,#213093,.F.); #300701=ORIENTED_EDGE('',*,*,#213094,.F.); #300702=ORIENTED_EDGE('',*,*,#213095,.F.); #300703=ORIENTED_EDGE('',*,*,#213096,.F.); #300704=ORIENTED_EDGE('',*,*,#213097,.F.); #300705=ORIENTED_EDGE('',*,*,#213098,.F.); #300706=ORIENTED_EDGE('',*,*,#213099,.T.); #300707=ORIENTED_EDGE('',*,*,#213100,.F.); #300708=ORIENTED_EDGE('',*,*,#213101,.F.); #300709=ORIENTED_EDGE('',*,*,#213102,.F.); #300710=ORIENTED_EDGE('',*,*,#213103,.F.); #300711=ORIENTED_EDGE('',*,*,#213104,.F.); #300712=ORIENTED_EDGE('',*,*,#213105,.T.); #300713=ORIENTED_EDGE('',*,*,#213106,.F.); #300714=ORIENTED_EDGE('',*,*,#213107,.T.); #300715=ORIENTED_EDGE('',*,*,#213045,.F.); #300716=ORIENTED_EDGE('',*,*,#213108,.T.); #300717=ORIENTED_EDGE('',*,*,#213036,.F.); #300718=ORIENTED_EDGE('',*,*,#213109,.F.); #300719=ORIENTED_EDGE('',*,*,#213110,.T.); #300720=ORIENTED_EDGE('',*,*,#213111,.F.); #300721=ORIENTED_EDGE('',*,*,#213112,.F.); #300722=ORIENTED_EDGE('',*,*,#213096,.T.); #300723=ORIENTED_EDGE('',*,*,#213113,.F.); #300724=ORIENTED_EDGE('',*,*,#213092,.T.); #300725=ORIENTED_EDGE('',*,*,#213114,.F.); #300726=ORIENTED_EDGE('',*,*,#213115,.T.); #300727=ORIENTED_EDGE('',*,*,#213099,.F.); #300728=ORIENTED_EDGE('',*,*,#213116,.T.); #300729=ORIENTED_EDGE('',*,*,#213105,.F.); #300730=ORIENTED_EDGE('',*,*,#213115,.F.); #300731=ORIENTED_EDGE('',*,*,#213117,.F.); #300732=ORIENTED_EDGE('',*,*,#213118,.T.); #300733=ORIENTED_EDGE('',*,*,#213119,.F.); #300734=ORIENTED_EDGE('',*,*,#213116,.F.); #300735=ORIENTED_EDGE('',*,*,#213118,.F.); #300736=ORIENTED_EDGE('',*,*,#213120,.F.); #300737=ORIENTED_EDGE('',*,*,#213121,.T.); #300738=ORIENTED_EDGE('',*,*,#213122,.F.); #300739=ORIENTED_EDGE('',*,*,#213121,.F.); #300740=ORIENTED_EDGE('',*,*,#213123,.T.); #300741=ORIENTED_EDGE('',*,*,#213106,.T.); #300742=ORIENTED_EDGE('',*,*,#213124,.T.); #300743=ORIENTED_EDGE('',*,*,#213113,.T.); #300744=ORIENTED_EDGE('',*,*,#213095,.T.); #300745=ORIENTED_EDGE('',*,*,#213125,.F.); #300746=ORIENTED_EDGE('',*,*,#213093,.T.); #300747=ORIENTED_EDGE('',*,*,#213126,.F.); #300748=ORIENTED_EDGE('',*,*,#213097,.T.); #300749=ORIENTED_EDGE('',*,*,#213112,.T.); #300750=ORIENTED_EDGE('',*,*,#213104,.T.); #300751=ORIENTED_EDGE('',*,*,#213114,.T.); #300752=ORIENTED_EDGE('',*,*,#213091,.T.); #300753=ORIENTED_EDGE('',*,*,#213127,.F.); #300754=ORIENTED_EDGE('',*,*,#213100,.T.); #300755=ORIENTED_EDGE('',*,*,#213125,.T.); #300756=ORIENTED_EDGE('',*,*,#213094,.T.); #300757=ORIENTED_EDGE('',*,*,#213126,.T.); #300758=ORIENTED_EDGE('',*,*,#213128,.F.); #300759=ORIENTED_EDGE('',*,*,#213127,.T.); #300760=ORIENTED_EDGE('',*,*,#213090,.T.); #300761=ORIENTED_EDGE('',*,*,#213103,.T.); #300762=ORIENTED_EDGE('',*,*,#213129,.F.); #300763=ORIENTED_EDGE('',*,*,#213101,.T.); #300764=ORIENTED_EDGE('',*,*,#213128,.T.); #300765=ORIENTED_EDGE('',*,*,#213130,.F.); #300766=ORIENTED_EDGE('',*,*,#213131,.T.); #300767=ORIENTED_EDGE('',*,*,#213129,.T.); #300768=ORIENTED_EDGE('',*,*,#213132,.T.); #300769=ORIENTED_EDGE('',*,*,#213133,.F.); #300770=ORIENTED_EDGE('',*,*,#213134,.T.); #300771=ORIENTED_EDGE('',*,*,#213130,.T.); #300772=ORIENTED_EDGE('',*,*,#213135,.T.); #300773=ORIENTED_EDGE('',*,*,#213136,.F.); #300774=ORIENTED_EDGE('',*,*,#213133,.T.); #300775=ORIENTED_EDGE('',*,*,#213137,.F.); #300776=ORIENTED_EDGE('',*,*,#213110,.F.); #300777=ORIENTED_EDGE('',*,*,#213119,.T.); #300778=ORIENTED_EDGE('',*,*,#213138,.T.); #300779=ORIENTED_EDGE('',*,*,#213132,.F.); #300780=ORIENTED_EDGE('',*,*,#213102,.T.); #300781=ORIENTED_EDGE('',*,*,#213139,.F.); #300782=ORIENTED_EDGE('',*,*,#213135,.F.); #300783=ORIENTED_EDGE('',*,*,#213138,.F.); #300784=ORIENTED_EDGE('',*,*,#213122,.T.); #300785=ORIENTED_EDGE('',*,*,#213124,.F.); #300786=ORIENTED_EDGE('',*,*,#213140,.T.); #300787=ORIENTED_EDGE('',*,*,#213137,.T.); #300788=ORIENTED_EDGE('',*,*,#213139,.T.); #300789=ORIENTED_EDGE('',*,*,#213140,.F.); #300790=ORIENTED_EDGE('',*,*,#213108,.F.); #300791=ORIENTED_EDGE('',*,*,#213030,.F.); #300792=ORIENTED_EDGE('',*,*,#213111,.T.); #300793=ORIENTED_EDGE('',*,*,#213131,.F.); #300794=ORIENTED_EDGE('',*,*,#213141,.T.); #300795=ORIENTED_EDGE('',*,*,#213117,.T.); #300796=ORIENTED_EDGE('',*,*,#213098,.T.); #300797=ORIENTED_EDGE('',*,*,#213142,.F.); #300798=ORIENTED_EDGE('',*,*,#213120,.T.); #300799=ORIENTED_EDGE('',*,*,#213141,.F.); #300800=ORIENTED_EDGE('',*,*,#213134,.F.); #300801=ORIENTED_EDGE('',*,*,#213136,.T.); #300802=ORIENTED_EDGE('',*,*,#213143,.T.); #300803=ORIENTED_EDGE('',*,*,#213123,.F.); #300804=ORIENTED_EDGE('',*,*,#213142,.T.); #300805=ORIENTED_EDGE('',*,*,#213143,.F.); #300806=ORIENTED_EDGE('',*,*,#213109,.T.); #300807=ORIENTED_EDGE('',*,*,#213082,.F.); #300808=ORIENTED_EDGE('',*,*,#213107,.F.); #300809=ORIENTED_EDGE('',*,*,#213144,.F.); #300810=ORIENTED_EDGE('',*,*,#213145,.F.); #300811=ORIENTED_EDGE('',*,*,#213146,.F.); #300812=ORIENTED_EDGE('',*,*,#213147,.F.); #300813=ORIENTED_EDGE('',*,*,#213148,.F.); #300814=ORIENTED_EDGE('',*,*,#213149,.F.); #300815=ORIENTED_EDGE('',*,*,#213150,.F.); #300816=ORIENTED_EDGE('',*,*,#213151,.F.); #300817=ORIENTED_EDGE('',*,*,#213152,.F.); #300818=ORIENTED_EDGE('',*,*,#213153,.T.); #300819=ORIENTED_EDGE('',*,*,#213154,.F.); #300820=ORIENTED_EDGE('',*,*,#213155,.F.); #300821=ORIENTED_EDGE('',*,*,#213156,.F.); #300822=ORIENTED_EDGE('',*,*,#213157,.F.); #300823=ORIENTED_EDGE('',*,*,#213158,.F.); #300824=ORIENTED_EDGE('',*,*,#213159,.T.); #300825=ORIENTED_EDGE('',*,*,#213160,.F.); #300826=ORIENTED_EDGE('',*,*,#213161,.T.); #300827=ORIENTED_EDGE('',*,*,#213162,.F.); #300828=ORIENTED_EDGE('',*,*,#213057,.F.); #300829=ORIENTED_EDGE('',*,*,#213163,.T.); #300830=ORIENTED_EDGE('',*,*,#213164,.F.); #300831=ORIENTED_EDGE('',*,*,#213165,.T.); #300832=ORIENTED_EDGE('',*,*,#213069,.F.); #300833=ORIENTED_EDGE('',*,*,#213166,.T.); #300834=ORIENTED_EDGE('',*,*,#213148,.T.); #300835=ORIENTED_EDGE('',*,*,#213167,.T.); #300836=ORIENTED_EDGE('',*,*,#213168,.F.); #300837=ORIENTED_EDGE('',*,*,#213169,.T.); #300838=ORIENTED_EDGE('',*,*,#213144,.T.); #300839=ORIENTED_EDGE('',*,*,#213170,.F.); #300840=ORIENTED_EDGE('',*,*,#213155,.T.); #300841=ORIENTED_EDGE('',*,*,#213168,.T.); #300842=ORIENTED_EDGE('',*,*,#213157,.T.); #300843=ORIENTED_EDGE('',*,*,#213171,.F.); #300844=ORIENTED_EDGE('',*,*,#213172,.T.); #300845=ORIENTED_EDGE('',*,*,#213170,.T.); #300846=ORIENTED_EDGE('',*,*,#213173,.T.); #300847=ORIENTED_EDGE('',*,*,#213174,.F.); #300848=ORIENTED_EDGE('',*,*,#213175,.T.); #300849=ORIENTED_EDGE('',*,*,#213176,.F.); #300850=ORIENTED_EDGE('',*,*,#213161,.F.); #300851=ORIENTED_EDGE('',*,*,#213177,.T.); #300852=ORIENTED_EDGE('',*,*,#213149,.T.); #300853=ORIENTED_EDGE('',*,*,#213166,.F.); #300854=ORIENTED_EDGE('',*,*,#213147,.T.); #300855=ORIENTED_EDGE('',*,*,#213167,.F.); #300856=ORIENTED_EDGE('',*,*,#213151,.T.); #300857=ORIENTED_EDGE('',*,*,#213178,.T.); #300858=ORIENTED_EDGE('',*,*,#213158,.T.); #300859=ORIENTED_EDGE('',*,*,#213179,.T.); #300860=ORIENTED_EDGE('',*,*,#213145,.T.); #300861=ORIENTED_EDGE('',*,*,#213169,.F.); #300862=ORIENTED_EDGE('',*,*,#213154,.T.); #300863=ORIENTED_EDGE('',*,*,#213178,.F.); #300864=ORIENTED_EDGE('',*,*,#213150,.T.); #300865=ORIENTED_EDGE('',*,*,#213177,.F.); #300866=ORIENTED_EDGE('',*,*,#213146,.T.); #300867=ORIENTED_EDGE('',*,*,#213179,.F.); #300868=ORIENTED_EDGE('',*,*,#213180,.T.); #300869=ORIENTED_EDGE('',*,*,#213180,.F.); #300870=ORIENTED_EDGE('',*,*,#213153,.F.); #300871=ORIENTED_EDGE('',*,*,#213181,.T.); #300872=ORIENTED_EDGE('',*,*,#213159,.F.); #300873=ORIENTED_EDGE('',*,*,#213182,.F.); #300874=ORIENTED_EDGE('',*,*,#213183,.T.); #300875=ORIENTED_EDGE('',*,*,#213184,.F.); #300876=ORIENTED_EDGE('',*,*,#213181,.F.); #300877=ORIENTED_EDGE('',*,*,#213185,.F.); #300878=ORIENTED_EDGE('',*,*,#213186,.T.); #300879=ORIENTED_EDGE('',*,*,#213164,.T.); #300880=ORIENTED_EDGE('',*,*,#213187,.T.); #300881=ORIENTED_EDGE('',*,*,#213184,.T.); #300882=ORIENTED_EDGE('',*,*,#213188,.T.); #300883=ORIENTED_EDGE('',*,*,#213173,.F.); #300884=ORIENTED_EDGE('',*,*,#213156,.T.); #300885=ORIENTED_EDGE('',*,*,#213189,.F.); #300886=ORIENTED_EDGE('',*,*,#213190,.F.); #300887=ORIENTED_EDGE('',*,*,#213188,.F.); #300888=ORIENTED_EDGE('',*,*,#213191,.T.); #300889=ORIENTED_EDGE('',*,*,#213187,.F.); #300890=ORIENTED_EDGE('',*,*,#213192,.T.); #300891=ORIENTED_EDGE('',*,*,#213176,.T.); #300892=ORIENTED_EDGE('',*,*,#213189,.T.); #300893=ORIENTED_EDGE('',*,*,#213192,.F.); #300894=ORIENTED_EDGE('',*,*,#213163,.F.); #300895=ORIENTED_EDGE('',*,*,#213079,.F.); #300896=ORIENTED_EDGE('',*,*,#213162,.T.); #300897=ORIENTED_EDGE('',*,*,#213172,.F.); #300898=ORIENTED_EDGE('',*,*,#213193,.T.); #300899=ORIENTED_EDGE('',*,*,#213182,.T.); #300900=ORIENTED_EDGE('',*,*,#213152,.T.); #300901=ORIENTED_EDGE('',*,*,#213194,.F.); #300902=ORIENTED_EDGE('',*,*,#213160,.T.); #300903=ORIENTED_EDGE('',*,*,#213085,.F.); #300904=ORIENTED_EDGE('',*,*,#213165,.F.); #300905=ORIENTED_EDGE('',*,*,#213174,.T.); #300906=ORIENTED_EDGE('',*,*,#213194,.T.); #300907=ORIENTED_EDGE('',*,*,#213186,.F.); #300908=ORIENTED_EDGE('',*,*,#213195,.T.); #300909=ORIENTED_EDGE('',*,*,#213196,.F.); #300910=ORIENTED_EDGE('',*,*,#213185,.T.); #300911=ORIENTED_EDGE('',*,*,#213191,.F.); #300912=ORIENTED_EDGE('',*,*,#213183,.F.); #300913=ORIENTED_EDGE('',*,*,#213195,.F.); #300914=ORIENTED_EDGE('',*,*,#213196,.T.); #300915=ORIENTED_EDGE('',*,*,#213193,.F.); #300916=ORIENTED_EDGE('',*,*,#213197,.F.); #300917=ORIENTED_EDGE('',*,*,#213175,.F.); #300918=ORIENTED_EDGE('',*,*,#213197,.T.); #300919=ORIENTED_EDGE('',*,*,#213171,.T.); #300920=ORIENTED_EDGE('',*,*,#213190,.T.); #300921=ORIENTED_EDGE('',*,*,#213198,.F.); #300922=ORIENTED_EDGE('',*,*,#213199,.T.); #300923=ORIENTED_EDGE('',*,*,#213200,.T.); #300924=ORIENTED_EDGE('',*,*,#213199,.F.); #300925=ORIENTED_EDGE('',*,*,#213200,.F.); #300926=ORIENTED_EDGE('',*,*,#213201,.T.); #300927=ORIENTED_EDGE('',*,*,#213202,.T.); #300928=ORIENTED_EDGE('',*,*,#213203,.T.); #300929=ORIENTED_EDGE('',*,*,#213204,.T.); #300930=ORIENTED_EDGE('',*,*,#213205,.T.); #300931=ORIENTED_EDGE('',*,*,#213202,.F.); #300932=ORIENTED_EDGE('',*,*,#213206,.T.); #300933=ORIENTED_EDGE('',*,*,#213207,.F.); #300934=ORIENTED_EDGE('',*,*,#213208,.T.); #300935=ORIENTED_EDGE('',*,*,#213204,.F.); #300936=ORIENTED_EDGE('',*,*,#213209,.T.); #300937=ORIENTED_EDGE('',*,*,#213210,.T.); #300938=ORIENTED_EDGE('',*,*,#213211,.T.); #300939=ORIENTED_EDGE('',*,*,#213210,.F.); #300940=ORIENTED_EDGE('',*,*,#213212,.T.); #300941=ORIENTED_EDGE('',*,*,#213207,.T.); #300942=ORIENTED_EDGE('',*,*,#213209,.F.); #300943=ORIENTED_EDGE('',*,*,#213213,.T.); #300944=ORIENTED_EDGE('',*,*,#213214,.F.); #300945=ORIENTED_EDGE('',*,*,#213215,.F.); #300946=ORIENTED_EDGE('',*,*,#213203,.F.); #300947=ORIENTED_EDGE('',*,*,#213216,.T.); #300948=ORIENTED_EDGE('',*,*,#213217,.F.); #300949=ORIENTED_EDGE('',*,*,#213213,.F.); #300950=ORIENTED_EDGE('',*,*,#213201,.F.); #300951=ORIENTED_EDGE('',*,*,#213208,.F.); #300952=ORIENTED_EDGE('',*,*,#213211,.F.); #300953=ORIENTED_EDGE('',*,*,#213206,.F.); #300954=ORIENTED_EDGE('',*,*,#213198,.T.); #300955=ORIENTED_EDGE('',*,*,#213212,.F.); #300956=ORIENTED_EDGE('',*,*,#213215,.T.); #300957=ORIENTED_EDGE('',*,*,#213218,.F.); #300958=ORIENTED_EDGE('',*,*,#213219,.F.); #300959=ORIENTED_EDGE('',*,*,#213205,.F.); #300960=ORIENTED_EDGE('',*,*,#213219,.T.); #300961=ORIENTED_EDGE('',*,*,#213220,.F.); #300962=ORIENTED_EDGE('',*,*,#213216,.F.); #300963=ORIENTED_EDGE('',*,*,#213217,.T.); #300964=ORIENTED_EDGE('',*,*,#213220,.T.); #300965=ORIENTED_EDGE('',*,*,#213218,.T.); #300966=ORIENTED_EDGE('',*,*,#213214,.T.); #300967=ORIENTED_EDGE('',*,*,#213221,.F.); #300968=ORIENTED_EDGE('',*,*,#213222,.T.); #300969=ORIENTED_EDGE('',*,*,#213223,.T.); #300970=ORIENTED_EDGE('',*,*,#213224,.F.); #300971=ORIENTED_EDGE('',*,*,#213221,.T.); #300972=ORIENTED_EDGE('',*,*,#213225,.T.); #300973=ORIENTED_EDGE('',*,*,#213226,.T.); #300974=ORIENTED_EDGE('',*,*,#213227,.T.); #300975=ORIENTED_EDGE('',*,*,#213228,.T.); #300976=ORIENTED_EDGE('',*,*,#213229,.T.); #300977=ORIENTED_EDGE('',*,*,#213230,.F.); #300978=ORIENTED_EDGE('',*,*,#213231,.F.); #300979=ORIENTED_EDGE('',*,*,#213232,.T.); #300980=ORIENTED_EDGE('',*,*,#213231,.T.); #300981=ORIENTED_EDGE('',*,*,#213233,.F.); #300982=ORIENTED_EDGE('',*,*,#213223,.F.); #300983=ORIENTED_EDGE('',*,*,#213226,.F.); #300984=ORIENTED_EDGE('',*,*,#213234,.F.); #300985=ORIENTED_EDGE('',*,*,#213235,.F.); #300986=ORIENTED_EDGE('',*,*,#213236,.T.); #300987=ORIENTED_EDGE('',*,*,#213237,.T.); #300988=ORIENTED_EDGE('',*,*,#213235,.T.); #300989=ORIENTED_EDGE('',*,*,#213238,.F.); #300990=ORIENTED_EDGE('',*,*,#213229,.F.); #300991=ORIENTED_EDGE('',*,*,#213225,.F.); #300992=ORIENTED_EDGE('',*,*,#213224,.T.); #300993=ORIENTED_EDGE('',*,*,#213233,.T.); #300994=ORIENTED_EDGE('',*,*,#213230,.T.); #300995=ORIENTED_EDGE('',*,*,#213238,.T.); #300996=ORIENTED_EDGE('',*,*,#213234,.T.); #300997=ORIENTED_EDGE('',*,*,#213227,.F.); #300998=ORIENTED_EDGE('',*,*,#213236,.F.); #300999=ORIENTED_EDGE('',*,*,#213237,.F.); #301000=ORIENTED_EDGE('',*,*,#213228,.F.); #301001=ORIENTED_EDGE('',*,*,#213232,.F.); #301002=ORIENTED_EDGE('',*,*,#213222,.F.); #301003=ORIENTED_EDGE('',*,*,#213239,.T.); #301004=ORIENTED_EDGE('',*,*,#213240,.T.); #301005=ORIENTED_EDGE('',*,*,#213241,.F.); #301006=ORIENTED_EDGE('',*,*,#213242,.F.); #301007=ORIENTED_EDGE('',*,*,#213239,.F.); #301008=ORIENTED_EDGE('',*,*,#213243,.F.); #301009=ORIENTED_EDGE('',*,*,#213244,.F.); #301010=ORIENTED_EDGE('',*,*,#213245,.F.); #301011=ORIENTED_EDGE('',*,*,#213246,.F.); #301012=ORIENTED_EDGE('',*,*,#213247,.T.); #301013=ORIENTED_EDGE('',*,*,#213248,.T.); #301014=ORIENTED_EDGE('',*,*,#213249,.F.); #301015=ORIENTED_EDGE('',*,*,#213250,.F.); #301016=ORIENTED_EDGE('',*,*,#213241,.T.); #301017=ORIENTED_EDGE('',*,*,#213251,.T.); #301018=ORIENTED_EDGE('',*,*,#213247,.F.); #301019=ORIENTED_EDGE('',*,*,#213244,.T.); #301020=ORIENTED_EDGE('',*,*,#213252,.F.); #301021=ORIENTED_EDGE('',*,*,#213253,.T.); #301022=ORIENTED_EDGE('',*,*,#213254,.T.); #301023=ORIENTED_EDGE('',*,*,#213255,.F.); #301024=ORIENTED_EDGE('',*,*,#213249,.T.); #301025=ORIENTED_EDGE('',*,*,#213256,.T.); #301026=ORIENTED_EDGE('',*,*,#213253,.F.); #301027=ORIENTED_EDGE('',*,*,#213245,.T.); #301028=ORIENTED_EDGE('',*,*,#213254,.F.); #301029=ORIENTED_EDGE('',*,*,#213256,.F.); #301030=ORIENTED_EDGE('',*,*,#213248,.F.); #301031=ORIENTED_EDGE('',*,*,#213251,.F.); #301032=ORIENTED_EDGE('',*,*,#213240,.F.); #301033=ORIENTED_EDGE('',*,*,#213243,.T.); #301034=ORIENTED_EDGE('',*,*,#213242,.T.); #301035=ORIENTED_EDGE('',*,*,#213250,.T.); #301036=ORIENTED_EDGE('',*,*,#213246,.T.); #301037=ORIENTED_EDGE('',*,*,#213255,.T.); #301038=ORIENTED_EDGE('',*,*,#213252,.T.); #301039=ORIENTED_EDGE('',*,*,#213257,.F.); #301040=ORIENTED_EDGE('',*,*,#213258,.T.); #301041=ORIENTED_EDGE('',*,*,#213259,.T.); #301042=ORIENTED_EDGE('',*,*,#213260,.F.); #301043=ORIENTED_EDGE('',*,*,#213257,.T.); #301044=ORIENTED_EDGE('',*,*,#213261,.T.); #301045=ORIENTED_EDGE('',*,*,#213262,.T.); #301046=ORIENTED_EDGE('',*,*,#213263,.T.); #301047=ORIENTED_EDGE('',*,*,#213264,.T.); #301048=ORIENTED_EDGE('',*,*,#213265,.T.); #301049=ORIENTED_EDGE('',*,*,#213266,.F.); #301050=ORIENTED_EDGE('',*,*,#213267,.F.); #301051=ORIENTED_EDGE('',*,*,#213268,.T.); #301052=ORIENTED_EDGE('',*,*,#213267,.T.); #301053=ORIENTED_EDGE('',*,*,#213269,.F.); #301054=ORIENTED_EDGE('',*,*,#213259,.F.); #301055=ORIENTED_EDGE('',*,*,#213262,.F.); #301056=ORIENTED_EDGE('',*,*,#213270,.F.); #301057=ORIENTED_EDGE('',*,*,#213271,.F.); #301058=ORIENTED_EDGE('',*,*,#213272,.T.); #301059=ORIENTED_EDGE('',*,*,#213273,.T.); #301060=ORIENTED_EDGE('',*,*,#213271,.T.); #301061=ORIENTED_EDGE('',*,*,#213274,.F.); #301062=ORIENTED_EDGE('',*,*,#213265,.F.); #301063=ORIENTED_EDGE('',*,*,#213261,.F.); #301064=ORIENTED_EDGE('',*,*,#213260,.T.); #301065=ORIENTED_EDGE('',*,*,#213269,.T.); #301066=ORIENTED_EDGE('',*,*,#213266,.T.); #301067=ORIENTED_EDGE('',*,*,#213274,.T.); #301068=ORIENTED_EDGE('',*,*,#213270,.T.); #301069=ORIENTED_EDGE('',*,*,#213263,.F.); #301070=ORIENTED_EDGE('',*,*,#213272,.F.); #301071=ORIENTED_EDGE('',*,*,#213273,.F.); #301072=ORIENTED_EDGE('',*,*,#213264,.F.); #301073=ORIENTED_EDGE('',*,*,#213268,.F.); #301074=ORIENTED_EDGE('',*,*,#213258,.F.); #301075=ORIENTED_EDGE('',*,*,#213275,.F.); #301076=ORIENTED_EDGE('',*,*,#213276,.T.); #301077=ORIENTED_EDGE('',*,*,#213277,.T.); #301078=ORIENTED_EDGE('',*,*,#213278,.F.); #301079=ORIENTED_EDGE('',*,*,#213275,.T.); #301080=ORIENTED_EDGE('',*,*,#213279,.T.); #301081=ORIENTED_EDGE('',*,*,#213280,.T.); #301082=ORIENTED_EDGE('',*,*,#213281,.T.); #301083=ORIENTED_EDGE('',*,*,#213282,.T.); #301084=ORIENTED_EDGE('',*,*,#213283,.T.); #301085=ORIENTED_EDGE('',*,*,#213284,.F.); #301086=ORIENTED_EDGE('',*,*,#213285,.F.); #301087=ORIENTED_EDGE('',*,*,#213286,.T.); #301088=ORIENTED_EDGE('',*,*,#213285,.T.); #301089=ORIENTED_EDGE('',*,*,#213287,.F.); #301090=ORIENTED_EDGE('',*,*,#213277,.F.); #301091=ORIENTED_EDGE('',*,*,#213280,.F.); #301092=ORIENTED_EDGE('',*,*,#213288,.F.); #301093=ORIENTED_EDGE('',*,*,#213289,.F.); #301094=ORIENTED_EDGE('',*,*,#213290,.T.); #301095=ORIENTED_EDGE('',*,*,#213291,.T.); #301096=ORIENTED_EDGE('',*,*,#213289,.T.); #301097=ORIENTED_EDGE('',*,*,#213292,.F.); #301098=ORIENTED_EDGE('',*,*,#213283,.F.); #301099=ORIENTED_EDGE('',*,*,#213279,.F.); #301100=ORIENTED_EDGE('',*,*,#213278,.T.); #301101=ORIENTED_EDGE('',*,*,#213287,.T.); #301102=ORIENTED_EDGE('',*,*,#213284,.T.); #301103=ORIENTED_EDGE('',*,*,#213292,.T.); #301104=ORIENTED_EDGE('',*,*,#213288,.T.); #301105=ORIENTED_EDGE('',*,*,#213281,.F.); #301106=ORIENTED_EDGE('',*,*,#213290,.F.); #301107=ORIENTED_EDGE('',*,*,#213291,.F.); #301108=ORIENTED_EDGE('',*,*,#213282,.F.); #301109=ORIENTED_EDGE('',*,*,#213286,.F.); #301110=ORIENTED_EDGE('',*,*,#213276,.F.); #301111=ORIENTED_EDGE('',*,*,#213293,.F.); #301112=ORIENTED_EDGE('',*,*,#213294,.T.); #301113=ORIENTED_EDGE('',*,*,#213295,.T.); #301114=ORIENTED_EDGE('',*,*,#213296,.F.); #301115=ORIENTED_EDGE('',*,*,#213293,.T.); #301116=ORIENTED_EDGE('',*,*,#213297,.T.); #301117=ORIENTED_EDGE('',*,*,#213298,.T.); #301118=ORIENTED_EDGE('',*,*,#213299,.T.); #301119=ORIENTED_EDGE('',*,*,#213300,.T.); #301120=ORIENTED_EDGE('',*,*,#213301,.T.); #301121=ORIENTED_EDGE('',*,*,#213302,.F.); #301122=ORIENTED_EDGE('',*,*,#213303,.F.); #301123=ORIENTED_EDGE('',*,*,#213304,.T.); #301124=ORIENTED_EDGE('',*,*,#213303,.T.); #301125=ORIENTED_EDGE('',*,*,#213305,.F.); #301126=ORIENTED_EDGE('',*,*,#213295,.F.); #301127=ORIENTED_EDGE('',*,*,#213298,.F.); #301128=ORIENTED_EDGE('',*,*,#213306,.F.); #301129=ORIENTED_EDGE('',*,*,#213307,.F.); #301130=ORIENTED_EDGE('',*,*,#213308,.T.); #301131=ORIENTED_EDGE('',*,*,#213309,.T.); #301132=ORIENTED_EDGE('',*,*,#213307,.T.); #301133=ORIENTED_EDGE('',*,*,#213310,.F.); #301134=ORIENTED_EDGE('',*,*,#213301,.F.); #301135=ORIENTED_EDGE('',*,*,#213297,.F.); #301136=ORIENTED_EDGE('',*,*,#213296,.T.); #301137=ORIENTED_EDGE('',*,*,#213305,.T.); #301138=ORIENTED_EDGE('',*,*,#213302,.T.); #301139=ORIENTED_EDGE('',*,*,#213310,.T.); #301140=ORIENTED_EDGE('',*,*,#213306,.T.); #301141=ORIENTED_EDGE('',*,*,#213299,.F.); #301142=ORIENTED_EDGE('',*,*,#213308,.F.); #301143=ORIENTED_EDGE('',*,*,#213309,.F.); #301144=ORIENTED_EDGE('',*,*,#213300,.F.); #301145=ORIENTED_EDGE('',*,*,#213304,.F.); #301146=ORIENTED_EDGE('',*,*,#213294,.F.); #301147=ORIENTED_EDGE('',*,*,#213311,.F.); #301148=ORIENTED_EDGE('',*,*,#213312,.T.); #301149=ORIENTED_EDGE('',*,*,#213313,.T.); #301150=ORIENTED_EDGE('',*,*,#213314,.F.); #301151=ORIENTED_EDGE('',*,*,#213311,.T.); #301152=ORIENTED_EDGE('',*,*,#213315,.T.); #301153=ORIENTED_EDGE('',*,*,#213316,.T.); #301154=ORIENTED_EDGE('',*,*,#213317,.T.); #301155=ORIENTED_EDGE('',*,*,#213318,.T.); #301156=ORIENTED_EDGE('',*,*,#213319,.T.); #301157=ORIENTED_EDGE('',*,*,#213320,.F.); #301158=ORIENTED_EDGE('',*,*,#213321,.F.); #301159=ORIENTED_EDGE('',*,*,#213322,.T.); #301160=ORIENTED_EDGE('',*,*,#213321,.T.); #301161=ORIENTED_EDGE('',*,*,#213323,.F.); #301162=ORIENTED_EDGE('',*,*,#213313,.F.); #301163=ORIENTED_EDGE('',*,*,#213316,.F.); #301164=ORIENTED_EDGE('',*,*,#213324,.F.); #301165=ORIENTED_EDGE('',*,*,#213325,.F.); #301166=ORIENTED_EDGE('',*,*,#213326,.T.); #301167=ORIENTED_EDGE('',*,*,#213327,.T.); #301168=ORIENTED_EDGE('',*,*,#213325,.T.); #301169=ORIENTED_EDGE('',*,*,#213328,.F.); #301170=ORIENTED_EDGE('',*,*,#213319,.F.); #301171=ORIENTED_EDGE('',*,*,#213315,.F.); #301172=ORIENTED_EDGE('',*,*,#213314,.T.); #301173=ORIENTED_EDGE('',*,*,#213323,.T.); #301174=ORIENTED_EDGE('',*,*,#213320,.T.); #301175=ORIENTED_EDGE('',*,*,#213328,.T.); #301176=ORIENTED_EDGE('',*,*,#213324,.T.); #301177=ORIENTED_EDGE('',*,*,#213317,.F.); #301178=ORIENTED_EDGE('',*,*,#213326,.F.); #301179=ORIENTED_EDGE('',*,*,#213327,.F.); #301180=ORIENTED_EDGE('',*,*,#213318,.F.); #301181=ORIENTED_EDGE('',*,*,#213322,.F.); #301182=ORIENTED_EDGE('',*,*,#213312,.F.); #301183=ORIENTED_EDGE('',*,*,#213329,.F.); #301184=ORIENTED_EDGE('',*,*,#213330,.T.); #301185=ORIENTED_EDGE('',*,*,#213331,.T.); #301186=ORIENTED_EDGE('',*,*,#213332,.F.); #301187=ORIENTED_EDGE('',*,*,#213329,.T.); #301188=ORIENTED_EDGE('',*,*,#213333,.T.); #301189=ORIENTED_EDGE('',*,*,#213334,.T.); #301190=ORIENTED_EDGE('',*,*,#213335,.T.); #301191=ORIENTED_EDGE('',*,*,#213336,.T.); #301192=ORIENTED_EDGE('',*,*,#213337,.T.); #301193=ORIENTED_EDGE('',*,*,#213338,.F.); #301194=ORIENTED_EDGE('',*,*,#213339,.F.); #301195=ORIENTED_EDGE('',*,*,#213340,.T.); #301196=ORIENTED_EDGE('',*,*,#213339,.T.); #301197=ORIENTED_EDGE('',*,*,#213341,.F.); #301198=ORIENTED_EDGE('',*,*,#213331,.F.); #301199=ORIENTED_EDGE('',*,*,#213334,.F.); #301200=ORIENTED_EDGE('',*,*,#213342,.F.); #301201=ORIENTED_EDGE('',*,*,#213343,.F.); #301202=ORIENTED_EDGE('',*,*,#213344,.T.); #301203=ORIENTED_EDGE('',*,*,#213345,.T.); #301204=ORIENTED_EDGE('',*,*,#213343,.T.); #301205=ORIENTED_EDGE('',*,*,#213346,.F.); #301206=ORIENTED_EDGE('',*,*,#213337,.F.); #301207=ORIENTED_EDGE('',*,*,#213333,.F.); #301208=ORIENTED_EDGE('',*,*,#213332,.T.); #301209=ORIENTED_EDGE('',*,*,#213341,.T.); #301210=ORIENTED_EDGE('',*,*,#213338,.T.); #301211=ORIENTED_EDGE('',*,*,#213346,.T.); #301212=ORIENTED_EDGE('',*,*,#213342,.T.); #301213=ORIENTED_EDGE('',*,*,#213335,.F.); #301214=ORIENTED_EDGE('',*,*,#213344,.F.); #301215=ORIENTED_EDGE('',*,*,#213345,.F.); #301216=ORIENTED_EDGE('',*,*,#213336,.F.); #301217=ORIENTED_EDGE('',*,*,#213340,.F.); #301218=ORIENTED_EDGE('',*,*,#213330,.F.); #301219=ORIENTED_EDGE('',*,*,#213347,.F.); #301220=ORIENTED_EDGE('',*,*,#213348,.T.); #301221=ORIENTED_EDGE('',*,*,#213349,.T.); #301222=ORIENTED_EDGE('',*,*,#213350,.F.); #301223=ORIENTED_EDGE('',*,*,#213347,.T.); #301224=ORIENTED_EDGE('',*,*,#213351,.T.); #301225=ORIENTED_EDGE('',*,*,#213352,.T.); #301226=ORIENTED_EDGE('',*,*,#213353,.T.); #301227=ORIENTED_EDGE('',*,*,#213354,.T.); #301228=ORIENTED_EDGE('',*,*,#213355,.T.); #301229=ORIENTED_EDGE('',*,*,#213356,.F.); #301230=ORIENTED_EDGE('',*,*,#213357,.F.); #301231=ORIENTED_EDGE('',*,*,#213358,.T.); #301232=ORIENTED_EDGE('',*,*,#213357,.T.); #301233=ORIENTED_EDGE('',*,*,#213359,.F.); #301234=ORIENTED_EDGE('',*,*,#213349,.F.); #301235=ORIENTED_EDGE('',*,*,#213352,.F.); #301236=ORIENTED_EDGE('',*,*,#213360,.F.); #301237=ORIENTED_EDGE('',*,*,#213361,.F.); #301238=ORIENTED_EDGE('',*,*,#213362,.T.); #301239=ORIENTED_EDGE('',*,*,#213363,.T.); #301240=ORIENTED_EDGE('',*,*,#213361,.T.); #301241=ORIENTED_EDGE('',*,*,#213364,.F.); #301242=ORIENTED_EDGE('',*,*,#213355,.F.); #301243=ORIENTED_EDGE('',*,*,#213351,.F.); #301244=ORIENTED_EDGE('',*,*,#213350,.T.); #301245=ORIENTED_EDGE('',*,*,#213359,.T.); #301246=ORIENTED_EDGE('',*,*,#213356,.T.); #301247=ORIENTED_EDGE('',*,*,#213364,.T.); #301248=ORIENTED_EDGE('',*,*,#213360,.T.); #301249=ORIENTED_EDGE('',*,*,#213353,.F.); #301250=ORIENTED_EDGE('',*,*,#213362,.F.); #301251=ORIENTED_EDGE('',*,*,#213363,.F.); #301252=ORIENTED_EDGE('',*,*,#213354,.F.); #301253=ORIENTED_EDGE('',*,*,#213358,.F.); #301254=ORIENTED_EDGE('',*,*,#213348,.F.); #301255=ORIENTED_EDGE('',*,*,#213365,.F.); #301256=ORIENTED_EDGE('',*,*,#213366,.T.); #301257=ORIENTED_EDGE('',*,*,#213367,.T.); #301258=ORIENTED_EDGE('',*,*,#213368,.F.); #301259=ORIENTED_EDGE('',*,*,#213365,.T.); #301260=ORIENTED_EDGE('',*,*,#213369,.T.); #301261=ORIENTED_EDGE('',*,*,#213370,.T.); #301262=ORIENTED_EDGE('',*,*,#213371,.T.); #301263=ORIENTED_EDGE('',*,*,#213372,.T.); #301264=ORIENTED_EDGE('',*,*,#213373,.T.); #301265=ORIENTED_EDGE('',*,*,#213374,.F.); #301266=ORIENTED_EDGE('',*,*,#213375,.F.); #301267=ORIENTED_EDGE('',*,*,#213376,.T.); #301268=ORIENTED_EDGE('',*,*,#213375,.T.); #301269=ORIENTED_EDGE('',*,*,#213377,.F.); #301270=ORIENTED_EDGE('',*,*,#213367,.F.); #301271=ORIENTED_EDGE('',*,*,#213370,.F.); #301272=ORIENTED_EDGE('',*,*,#213378,.F.); #301273=ORIENTED_EDGE('',*,*,#213379,.F.); #301274=ORIENTED_EDGE('',*,*,#213380,.T.); #301275=ORIENTED_EDGE('',*,*,#213381,.T.); #301276=ORIENTED_EDGE('',*,*,#213379,.T.); #301277=ORIENTED_EDGE('',*,*,#213382,.F.); #301278=ORIENTED_EDGE('',*,*,#213373,.F.); #301279=ORIENTED_EDGE('',*,*,#213369,.F.); #301280=ORIENTED_EDGE('',*,*,#213368,.T.); #301281=ORIENTED_EDGE('',*,*,#213377,.T.); #301282=ORIENTED_EDGE('',*,*,#213374,.T.); #301283=ORIENTED_EDGE('',*,*,#213382,.T.); #301284=ORIENTED_EDGE('',*,*,#213378,.T.); #301285=ORIENTED_EDGE('',*,*,#213371,.F.); #301286=ORIENTED_EDGE('',*,*,#213380,.F.); #301287=ORIENTED_EDGE('',*,*,#213381,.F.); #301288=ORIENTED_EDGE('',*,*,#213372,.F.); #301289=ORIENTED_EDGE('',*,*,#213376,.F.); #301290=ORIENTED_EDGE('',*,*,#213366,.F.); #301291=ORIENTED_EDGE('',*,*,#213383,.F.); #301292=ORIENTED_EDGE('',*,*,#213384,.T.); #301293=ORIENTED_EDGE('',*,*,#213385,.T.); #301294=ORIENTED_EDGE('',*,*,#213386,.F.); #301295=ORIENTED_EDGE('',*,*,#213383,.T.); #301296=ORIENTED_EDGE('',*,*,#213387,.T.); #301297=ORIENTED_EDGE('',*,*,#213388,.T.); #301298=ORIENTED_EDGE('',*,*,#213389,.T.); #301299=ORIENTED_EDGE('',*,*,#213390,.T.); #301300=ORIENTED_EDGE('',*,*,#213391,.T.); #301301=ORIENTED_EDGE('',*,*,#213392,.F.); #301302=ORIENTED_EDGE('',*,*,#213393,.F.); #301303=ORIENTED_EDGE('',*,*,#213394,.T.); #301304=ORIENTED_EDGE('',*,*,#213393,.T.); #301305=ORIENTED_EDGE('',*,*,#213395,.F.); #301306=ORIENTED_EDGE('',*,*,#213385,.F.); #301307=ORIENTED_EDGE('',*,*,#213388,.F.); #301308=ORIENTED_EDGE('',*,*,#213396,.F.); #301309=ORIENTED_EDGE('',*,*,#213397,.F.); #301310=ORIENTED_EDGE('',*,*,#213398,.T.); #301311=ORIENTED_EDGE('',*,*,#213399,.T.); #301312=ORIENTED_EDGE('',*,*,#213397,.T.); #301313=ORIENTED_EDGE('',*,*,#213400,.F.); #301314=ORIENTED_EDGE('',*,*,#213391,.F.); #301315=ORIENTED_EDGE('',*,*,#213387,.F.); #301316=ORIENTED_EDGE('',*,*,#213386,.T.); #301317=ORIENTED_EDGE('',*,*,#213395,.T.); #301318=ORIENTED_EDGE('',*,*,#213392,.T.); #301319=ORIENTED_EDGE('',*,*,#213400,.T.); #301320=ORIENTED_EDGE('',*,*,#213396,.T.); #301321=ORIENTED_EDGE('',*,*,#213389,.F.); #301322=ORIENTED_EDGE('',*,*,#213398,.F.); #301323=ORIENTED_EDGE('',*,*,#213399,.F.); #301324=ORIENTED_EDGE('',*,*,#213390,.F.); #301325=ORIENTED_EDGE('',*,*,#213394,.F.); #301326=ORIENTED_EDGE('',*,*,#213384,.F.); #301327=ORIENTED_EDGE('',*,*,#213401,.F.); #301328=ORIENTED_EDGE('',*,*,#213402,.T.); #301329=ORIENTED_EDGE('',*,*,#213403,.T.); #301330=ORIENTED_EDGE('',*,*,#213404,.F.); #301331=ORIENTED_EDGE('',*,*,#213401,.T.); #301332=ORIENTED_EDGE('',*,*,#213405,.T.); #301333=ORIENTED_EDGE('',*,*,#213406,.T.); #301334=ORIENTED_EDGE('',*,*,#213407,.T.); #301335=ORIENTED_EDGE('',*,*,#213408,.T.); #301336=ORIENTED_EDGE('',*,*,#213409,.T.); #301337=ORIENTED_EDGE('',*,*,#213410,.F.); #301338=ORIENTED_EDGE('',*,*,#213411,.F.); #301339=ORIENTED_EDGE('',*,*,#213412,.T.); #301340=ORIENTED_EDGE('',*,*,#213411,.T.); #301341=ORIENTED_EDGE('',*,*,#213413,.F.); #301342=ORIENTED_EDGE('',*,*,#213403,.F.); #301343=ORIENTED_EDGE('',*,*,#213406,.F.); #301344=ORIENTED_EDGE('',*,*,#213414,.F.); #301345=ORIENTED_EDGE('',*,*,#213415,.F.); #301346=ORIENTED_EDGE('',*,*,#213416,.T.); #301347=ORIENTED_EDGE('',*,*,#213417,.T.); #301348=ORIENTED_EDGE('',*,*,#213415,.T.); #301349=ORIENTED_EDGE('',*,*,#213418,.F.); #301350=ORIENTED_EDGE('',*,*,#213409,.F.); #301351=ORIENTED_EDGE('',*,*,#213405,.F.); #301352=ORIENTED_EDGE('',*,*,#213404,.T.); #301353=ORIENTED_EDGE('',*,*,#213413,.T.); #301354=ORIENTED_EDGE('',*,*,#213410,.T.); #301355=ORIENTED_EDGE('',*,*,#213418,.T.); #301356=ORIENTED_EDGE('',*,*,#213414,.T.); #301357=ORIENTED_EDGE('',*,*,#213407,.F.); #301358=ORIENTED_EDGE('',*,*,#213416,.F.); #301359=ORIENTED_EDGE('',*,*,#213417,.F.); #301360=ORIENTED_EDGE('',*,*,#213408,.F.); #301361=ORIENTED_EDGE('',*,*,#213412,.F.); #301362=ORIENTED_EDGE('',*,*,#213402,.F.); #301363=ORIENTED_EDGE('',*,*,#213419,.F.); #301364=ORIENTED_EDGE('',*,*,#213420,.T.); #301365=ORIENTED_EDGE('',*,*,#213421,.T.); #301366=ORIENTED_EDGE('',*,*,#213422,.F.); #301367=ORIENTED_EDGE('',*,*,#213419,.T.); #301368=ORIENTED_EDGE('',*,*,#213423,.T.); #301369=ORIENTED_EDGE('',*,*,#213424,.T.); #301370=ORIENTED_EDGE('',*,*,#213425,.T.); #301371=ORIENTED_EDGE('',*,*,#213426,.T.); #301372=ORIENTED_EDGE('',*,*,#213427,.T.); #301373=ORIENTED_EDGE('',*,*,#213428,.F.); #301374=ORIENTED_EDGE('',*,*,#213429,.F.); #301375=ORIENTED_EDGE('',*,*,#213430,.T.); #301376=ORIENTED_EDGE('',*,*,#213429,.T.); #301377=ORIENTED_EDGE('',*,*,#213431,.F.); #301378=ORIENTED_EDGE('',*,*,#213421,.F.); #301379=ORIENTED_EDGE('',*,*,#213424,.F.); #301380=ORIENTED_EDGE('',*,*,#213432,.F.); #301381=ORIENTED_EDGE('',*,*,#213433,.F.); #301382=ORIENTED_EDGE('',*,*,#213434,.T.); #301383=ORIENTED_EDGE('',*,*,#213435,.T.); #301384=ORIENTED_EDGE('',*,*,#213433,.T.); #301385=ORIENTED_EDGE('',*,*,#213436,.F.); #301386=ORIENTED_EDGE('',*,*,#213427,.F.); #301387=ORIENTED_EDGE('',*,*,#213423,.F.); #301388=ORIENTED_EDGE('',*,*,#213422,.T.); #301389=ORIENTED_EDGE('',*,*,#213431,.T.); #301390=ORIENTED_EDGE('',*,*,#213428,.T.); #301391=ORIENTED_EDGE('',*,*,#213436,.T.); #301392=ORIENTED_EDGE('',*,*,#213432,.T.); #301393=ORIENTED_EDGE('',*,*,#213425,.F.); #301394=ORIENTED_EDGE('',*,*,#213434,.F.); #301395=ORIENTED_EDGE('',*,*,#213435,.F.); #301396=ORIENTED_EDGE('',*,*,#213426,.F.); #301397=ORIENTED_EDGE('',*,*,#213430,.F.); #301398=ORIENTED_EDGE('',*,*,#213420,.F.); #301399=ORIENTED_EDGE('',*,*,#213437,.F.); #301400=ORIENTED_EDGE('',*,*,#213438,.T.); #301401=ORIENTED_EDGE('',*,*,#213439,.T.); #301402=ORIENTED_EDGE('',*,*,#213440,.F.); #301403=ORIENTED_EDGE('',*,*,#213437,.T.); #301404=ORIENTED_EDGE('',*,*,#213441,.T.); #301405=ORIENTED_EDGE('',*,*,#213442,.T.); #301406=ORIENTED_EDGE('',*,*,#213443,.T.); #301407=ORIENTED_EDGE('',*,*,#213444,.T.); #301408=ORIENTED_EDGE('',*,*,#213445,.T.); #301409=ORIENTED_EDGE('',*,*,#213446,.F.); #301410=ORIENTED_EDGE('',*,*,#213447,.F.); #301411=ORIENTED_EDGE('',*,*,#213448,.T.); #301412=ORIENTED_EDGE('',*,*,#213447,.T.); #301413=ORIENTED_EDGE('',*,*,#213449,.F.); #301414=ORIENTED_EDGE('',*,*,#213439,.F.); #301415=ORIENTED_EDGE('',*,*,#213442,.F.); #301416=ORIENTED_EDGE('',*,*,#213450,.F.); #301417=ORIENTED_EDGE('',*,*,#213451,.F.); #301418=ORIENTED_EDGE('',*,*,#213452,.T.); #301419=ORIENTED_EDGE('',*,*,#213453,.T.); #301420=ORIENTED_EDGE('',*,*,#213451,.T.); #301421=ORIENTED_EDGE('',*,*,#213454,.F.); #301422=ORIENTED_EDGE('',*,*,#213445,.F.); #301423=ORIENTED_EDGE('',*,*,#213441,.F.); #301424=ORIENTED_EDGE('',*,*,#213440,.T.); #301425=ORIENTED_EDGE('',*,*,#213449,.T.); #301426=ORIENTED_EDGE('',*,*,#213446,.T.); #301427=ORIENTED_EDGE('',*,*,#213454,.T.); #301428=ORIENTED_EDGE('',*,*,#213450,.T.); #301429=ORIENTED_EDGE('',*,*,#213443,.F.); #301430=ORIENTED_EDGE('',*,*,#213452,.F.); #301431=ORIENTED_EDGE('',*,*,#213453,.F.); #301432=ORIENTED_EDGE('',*,*,#213444,.F.); #301433=ORIENTED_EDGE('',*,*,#213448,.F.); #301434=ORIENTED_EDGE('',*,*,#213438,.F.); #301435=ORIENTED_EDGE('',*,*,#213455,.T.); #301436=ORIENTED_EDGE('',*,*,#213456,.T.); #301437=ORIENTED_EDGE('',*,*,#213457,.F.); #301438=ORIENTED_EDGE('',*,*,#213458,.F.); #301439=ORIENTED_EDGE('',*,*,#213455,.F.); #301440=ORIENTED_EDGE('',*,*,#213459,.F.); #301441=ORIENTED_EDGE('',*,*,#213460,.F.); #301442=ORIENTED_EDGE('',*,*,#213461,.F.); #301443=ORIENTED_EDGE('',*,*,#213462,.F.); #301444=ORIENTED_EDGE('',*,*,#213463,.T.); #301445=ORIENTED_EDGE('',*,*,#213464,.T.); #301446=ORIENTED_EDGE('',*,*,#213465,.F.); #301447=ORIENTED_EDGE('',*,*,#213466,.F.); #301448=ORIENTED_EDGE('',*,*,#213457,.T.); #301449=ORIENTED_EDGE('',*,*,#213467,.T.); #301450=ORIENTED_EDGE('',*,*,#213463,.F.); #301451=ORIENTED_EDGE('',*,*,#213460,.T.); #301452=ORIENTED_EDGE('',*,*,#213468,.F.); #301453=ORIENTED_EDGE('',*,*,#213469,.T.); #301454=ORIENTED_EDGE('',*,*,#213470,.T.); #301455=ORIENTED_EDGE('',*,*,#213471,.F.); #301456=ORIENTED_EDGE('',*,*,#213465,.T.); #301457=ORIENTED_EDGE('',*,*,#213472,.T.); #301458=ORIENTED_EDGE('',*,*,#213469,.F.); #301459=ORIENTED_EDGE('',*,*,#213461,.T.); #301460=ORIENTED_EDGE('',*,*,#213470,.F.); #301461=ORIENTED_EDGE('',*,*,#213472,.F.); #301462=ORIENTED_EDGE('',*,*,#213464,.F.); #301463=ORIENTED_EDGE('',*,*,#213467,.F.); #301464=ORIENTED_EDGE('',*,*,#213456,.F.); #301465=ORIENTED_EDGE('',*,*,#213459,.T.); #301466=ORIENTED_EDGE('',*,*,#213458,.T.); #301467=ORIENTED_EDGE('',*,*,#213466,.T.); #301468=ORIENTED_EDGE('',*,*,#213462,.T.); #301469=ORIENTED_EDGE('',*,*,#213471,.T.); #301470=ORIENTED_EDGE('',*,*,#213468,.T.); #301471=ORIENTED_EDGE('',*,*,#213473,.T.); #301472=ORIENTED_EDGE('',*,*,#213474,.T.); #301473=ORIENTED_EDGE('',*,*,#213475,.F.); #301474=ORIENTED_EDGE('',*,*,#213476,.F.); #301475=ORIENTED_EDGE('',*,*,#213473,.F.); #301476=ORIENTED_EDGE('',*,*,#213477,.F.); #301477=ORIENTED_EDGE('',*,*,#213478,.F.); #301478=ORIENTED_EDGE('',*,*,#213479,.F.); #301479=ORIENTED_EDGE('',*,*,#213480,.F.); #301480=ORIENTED_EDGE('',*,*,#213481,.T.); #301481=ORIENTED_EDGE('',*,*,#213482,.T.); #301482=ORIENTED_EDGE('',*,*,#213483,.F.); #301483=ORIENTED_EDGE('',*,*,#213484,.F.); #301484=ORIENTED_EDGE('',*,*,#213475,.T.); #301485=ORIENTED_EDGE('',*,*,#213485,.T.); #301486=ORIENTED_EDGE('',*,*,#213481,.F.); #301487=ORIENTED_EDGE('',*,*,#213478,.T.); #301488=ORIENTED_EDGE('',*,*,#213486,.F.); #301489=ORIENTED_EDGE('',*,*,#213487,.T.); #301490=ORIENTED_EDGE('',*,*,#213488,.T.); #301491=ORIENTED_EDGE('',*,*,#213489,.F.); #301492=ORIENTED_EDGE('',*,*,#213483,.T.); #301493=ORIENTED_EDGE('',*,*,#213490,.T.); #301494=ORIENTED_EDGE('',*,*,#213487,.F.); #301495=ORIENTED_EDGE('',*,*,#213479,.T.); #301496=ORIENTED_EDGE('',*,*,#213488,.F.); #301497=ORIENTED_EDGE('',*,*,#213490,.F.); #301498=ORIENTED_EDGE('',*,*,#213482,.F.); #301499=ORIENTED_EDGE('',*,*,#213485,.F.); #301500=ORIENTED_EDGE('',*,*,#213474,.F.); #301501=ORIENTED_EDGE('',*,*,#213477,.T.); #301502=ORIENTED_EDGE('',*,*,#213476,.T.); #301503=ORIENTED_EDGE('',*,*,#213484,.T.); #301504=ORIENTED_EDGE('',*,*,#213480,.T.); #301505=ORIENTED_EDGE('',*,*,#213489,.T.); #301506=ORIENTED_EDGE('',*,*,#213486,.T.); #301507=ORIENTED_EDGE('',*,*,#213491,.T.); #301508=ORIENTED_EDGE('',*,*,#213492,.T.); #301509=ORIENTED_EDGE('',*,*,#213493,.F.); #301510=ORIENTED_EDGE('',*,*,#213494,.F.); #301511=ORIENTED_EDGE('',*,*,#213491,.F.); #301512=ORIENTED_EDGE('',*,*,#213495,.F.); #301513=ORIENTED_EDGE('',*,*,#213496,.F.); #301514=ORIENTED_EDGE('',*,*,#213497,.F.); #301515=ORIENTED_EDGE('',*,*,#213498,.F.); #301516=ORIENTED_EDGE('',*,*,#213499,.T.); #301517=ORIENTED_EDGE('',*,*,#213500,.T.); #301518=ORIENTED_EDGE('',*,*,#213501,.F.); #301519=ORIENTED_EDGE('',*,*,#213502,.F.); #301520=ORIENTED_EDGE('',*,*,#213493,.T.); #301521=ORIENTED_EDGE('',*,*,#213503,.T.); #301522=ORIENTED_EDGE('',*,*,#213499,.F.); #301523=ORIENTED_EDGE('',*,*,#213496,.T.); #301524=ORIENTED_EDGE('',*,*,#213504,.F.); #301525=ORIENTED_EDGE('',*,*,#213505,.T.); #301526=ORIENTED_EDGE('',*,*,#213506,.T.); #301527=ORIENTED_EDGE('',*,*,#213507,.F.); #301528=ORIENTED_EDGE('',*,*,#213501,.T.); #301529=ORIENTED_EDGE('',*,*,#213508,.T.); #301530=ORIENTED_EDGE('',*,*,#213505,.F.); #301531=ORIENTED_EDGE('',*,*,#213497,.T.); #301532=ORIENTED_EDGE('',*,*,#213506,.F.); #301533=ORIENTED_EDGE('',*,*,#213508,.F.); #301534=ORIENTED_EDGE('',*,*,#213500,.F.); #301535=ORIENTED_EDGE('',*,*,#213503,.F.); #301536=ORIENTED_EDGE('',*,*,#213492,.F.); #301537=ORIENTED_EDGE('',*,*,#213495,.T.); #301538=ORIENTED_EDGE('',*,*,#213494,.T.); #301539=ORIENTED_EDGE('',*,*,#213502,.T.); #301540=ORIENTED_EDGE('',*,*,#213498,.T.); #301541=ORIENTED_EDGE('',*,*,#213507,.T.); #301542=ORIENTED_EDGE('',*,*,#213504,.T.); #301543=ORIENTED_EDGE('',*,*,#213509,.T.); #301544=ORIENTED_EDGE('',*,*,#213510,.T.); #301545=ORIENTED_EDGE('',*,*,#213511,.F.); #301546=ORIENTED_EDGE('',*,*,#213512,.F.); #301547=ORIENTED_EDGE('',*,*,#213509,.F.); #301548=ORIENTED_EDGE('',*,*,#213513,.F.); #301549=ORIENTED_EDGE('',*,*,#213514,.F.); #301550=ORIENTED_EDGE('',*,*,#213515,.F.); #301551=ORIENTED_EDGE('',*,*,#213516,.F.); #301552=ORIENTED_EDGE('',*,*,#213517,.T.); #301553=ORIENTED_EDGE('',*,*,#213518,.T.); #301554=ORIENTED_EDGE('',*,*,#213519,.F.); #301555=ORIENTED_EDGE('',*,*,#213520,.F.); #301556=ORIENTED_EDGE('',*,*,#213511,.T.); #301557=ORIENTED_EDGE('',*,*,#213521,.T.); #301558=ORIENTED_EDGE('',*,*,#213517,.F.); #301559=ORIENTED_EDGE('',*,*,#213514,.T.); #301560=ORIENTED_EDGE('',*,*,#213522,.F.); #301561=ORIENTED_EDGE('',*,*,#213523,.T.); #301562=ORIENTED_EDGE('',*,*,#213524,.T.); #301563=ORIENTED_EDGE('',*,*,#213525,.F.); #301564=ORIENTED_EDGE('',*,*,#213519,.T.); #301565=ORIENTED_EDGE('',*,*,#213526,.T.); #301566=ORIENTED_EDGE('',*,*,#213523,.F.); #301567=ORIENTED_EDGE('',*,*,#213515,.T.); #301568=ORIENTED_EDGE('',*,*,#213524,.F.); #301569=ORIENTED_EDGE('',*,*,#213526,.F.); #301570=ORIENTED_EDGE('',*,*,#213518,.F.); #301571=ORIENTED_EDGE('',*,*,#213521,.F.); #301572=ORIENTED_EDGE('',*,*,#213510,.F.); #301573=ORIENTED_EDGE('',*,*,#213513,.T.); #301574=ORIENTED_EDGE('',*,*,#213512,.T.); #301575=ORIENTED_EDGE('',*,*,#213520,.T.); #301576=ORIENTED_EDGE('',*,*,#213516,.T.); #301577=ORIENTED_EDGE('',*,*,#213525,.T.); #301578=ORIENTED_EDGE('',*,*,#213522,.T.); #301579=ORIENTED_EDGE('',*,*,#213527,.T.); #301580=ORIENTED_EDGE('',*,*,#213528,.T.); #301581=ORIENTED_EDGE('',*,*,#213529,.F.); #301582=ORIENTED_EDGE('',*,*,#213530,.F.); #301583=ORIENTED_EDGE('',*,*,#213527,.F.); #301584=ORIENTED_EDGE('',*,*,#213531,.F.); #301585=ORIENTED_EDGE('',*,*,#213532,.F.); #301586=ORIENTED_EDGE('',*,*,#213533,.F.); #301587=ORIENTED_EDGE('',*,*,#213534,.F.); #301588=ORIENTED_EDGE('',*,*,#213535,.T.); #301589=ORIENTED_EDGE('',*,*,#213536,.T.); #301590=ORIENTED_EDGE('',*,*,#213537,.F.); #301591=ORIENTED_EDGE('',*,*,#213538,.F.); #301592=ORIENTED_EDGE('',*,*,#213529,.T.); #301593=ORIENTED_EDGE('',*,*,#213539,.T.); #301594=ORIENTED_EDGE('',*,*,#213535,.F.); #301595=ORIENTED_EDGE('',*,*,#213532,.T.); #301596=ORIENTED_EDGE('',*,*,#213540,.F.); #301597=ORIENTED_EDGE('',*,*,#213541,.T.); #301598=ORIENTED_EDGE('',*,*,#213542,.T.); #301599=ORIENTED_EDGE('',*,*,#213543,.F.); #301600=ORIENTED_EDGE('',*,*,#213537,.T.); #301601=ORIENTED_EDGE('',*,*,#213544,.T.); #301602=ORIENTED_EDGE('',*,*,#213541,.F.); #301603=ORIENTED_EDGE('',*,*,#213533,.T.); #301604=ORIENTED_EDGE('',*,*,#213542,.F.); #301605=ORIENTED_EDGE('',*,*,#213544,.F.); #301606=ORIENTED_EDGE('',*,*,#213536,.F.); #301607=ORIENTED_EDGE('',*,*,#213539,.F.); #301608=ORIENTED_EDGE('',*,*,#213528,.F.); #301609=ORIENTED_EDGE('',*,*,#213531,.T.); #301610=ORIENTED_EDGE('',*,*,#213530,.T.); #301611=ORIENTED_EDGE('',*,*,#213538,.T.); #301612=ORIENTED_EDGE('',*,*,#213534,.T.); #301613=ORIENTED_EDGE('',*,*,#213543,.T.); #301614=ORIENTED_EDGE('',*,*,#213540,.T.); #301615=ORIENTED_EDGE('',*,*,#213545,.T.); #301616=ORIENTED_EDGE('',*,*,#213546,.T.); #301617=ORIENTED_EDGE('',*,*,#213547,.F.); #301618=ORIENTED_EDGE('',*,*,#213548,.F.); #301619=ORIENTED_EDGE('',*,*,#213545,.F.); #301620=ORIENTED_EDGE('',*,*,#213549,.F.); #301621=ORIENTED_EDGE('',*,*,#213550,.F.); #301622=ORIENTED_EDGE('',*,*,#213551,.F.); #301623=ORIENTED_EDGE('',*,*,#213552,.F.); #301624=ORIENTED_EDGE('',*,*,#213553,.T.); #301625=ORIENTED_EDGE('',*,*,#213554,.T.); #301626=ORIENTED_EDGE('',*,*,#213555,.F.); #301627=ORIENTED_EDGE('',*,*,#213556,.F.); #301628=ORIENTED_EDGE('',*,*,#213547,.T.); #301629=ORIENTED_EDGE('',*,*,#213557,.T.); #301630=ORIENTED_EDGE('',*,*,#213553,.F.); #301631=ORIENTED_EDGE('',*,*,#213550,.T.); #301632=ORIENTED_EDGE('',*,*,#213558,.F.); #301633=ORIENTED_EDGE('',*,*,#213559,.T.); #301634=ORIENTED_EDGE('',*,*,#213560,.T.); #301635=ORIENTED_EDGE('',*,*,#213561,.F.); #301636=ORIENTED_EDGE('',*,*,#213555,.T.); #301637=ORIENTED_EDGE('',*,*,#213562,.T.); #301638=ORIENTED_EDGE('',*,*,#213559,.F.); #301639=ORIENTED_EDGE('',*,*,#213551,.T.); #301640=ORIENTED_EDGE('',*,*,#213560,.F.); #301641=ORIENTED_EDGE('',*,*,#213562,.F.); #301642=ORIENTED_EDGE('',*,*,#213554,.F.); #301643=ORIENTED_EDGE('',*,*,#213557,.F.); #301644=ORIENTED_EDGE('',*,*,#213546,.F.); #301645=ORIENTED_EDGE('',*,*,#213549,.T.); #301646=ORIENTED_EDGE('',*,*,#213548,.T.); #301647=ORIENTED_EDGE('',*,*,#213556,.T.); #301648=ORIENTED_EDGE('',*,*,#213552,.T.); #301649=ORIENTED_EDGE('',*,*,#213561,.T.); #301650=ORIENTED_EDGE('',*,*,#213558,.T.); #301651=ORIENTED_EDGE('',*,*,#213563,.T.); #301652=ORIENTED_EDGE('',*,*,#213564,.T.); #301653=ORIENTED_EDGE('',*,*,#213565,.F.); #301654=ORIENTED_EDGE('',*,*,#213566,.F.); #301655=ORIENTED_EDGE('',*,*,#213563,.F.); #301656=ORIENTED_EDGE('',*,*,#213567,.F.); #301657=ORIENTED_EDGE('',*,*,#213568,.F.); #301658=ORIENTED_EDGE('',*,*,#213569,.F.); #301659=ORIENTED_EDGE('',*,*,#213570,.F.); #301660=ORIENTED_EDGE('',*,*,#213571,.T.); #301661=ORIENTED_EDGE('',*,*,#213572,.T.); #301662=ORIENTED_EDGE('',*,*,#213573,.F.); #301663=ORIENTED_EDGE('',*,*,#213574,.F.); #301664=ORIENTED_EDGE('',*,*,#213565,.T.); #301665=ORIENTED_EDGE('',*,*,#213575,.T.); #301666=ORIENTED_EDGE('',*,*,#213571,.F.); #301667=ORIENTED_EDGE('',*,*,#213568,.T.); #301668=ORIENTED_EDGE('',*,*,#213576,.F.); #301669=ORIENTED_EDGE('',*,*,#213577,.T.); #301670=ORIENTED_EDGE('',*,*,#213578,.T.); #301671=ORIENTED_EDGE('',*,*,#213579,.F.); #301672=ORIENTED_EDGE('',*,*,#213573,.T.); #301673=ORIENTED_EDGE('',*,*,#213580,.T.); #301674=ORIENTED_EDGE('',*,*,#213577,.F.); #301675=ORIENTED_EDGE('',*,*,#213569,.T.); #301676=ORIENTED_EDGE('',*,*,#213578,.F.); #301677=ORIENTED_EDGE('',*,*,#213580,.F.); #301678=ORIENTED_EDGE('',*,*,#213572,.F.); #301679=ORIENTED_EDGE('',*,*,#213575,.F.); #301680=ORIENTED_EDGE('',*,*,#213564,.F.); #301681=ORIENTED_EDGE('',*,*,#213567,.T.); #301682=ORIENTED_EDGE('',*,*,#213566,.T.); #301683=ORIENTED_EDGE('',*,*,#213574,.T.); #301684=ORIENTED_EDGE('',*,*,#213570,.T.); #301685=ORIENTED_EDGE('',*,*,#213579,.T.); #301686=ORIENTED_EDGE('',*,*,#213576,.T.); #301687=ORIENTED_EDGE('',*,*,#213581,.T.); #301688=ORIENTED_EDGE('',*,*,#213582,.T.); #301689=ORIENTED_EDGE('',*,*,#213583,.F.); #301690=ORIENTED_EDGE('',*,*,#213584,.F.); #301691=ORIENTED_EDGE('',*,*,#213581,.F.); #301692=ORIENTED_EDGE('',*,*,#213585,.F.); #301693=ORIENTED_EDGE('',*,*,#213586,.F.); #301694=ORIENTED_EDGE('',*,*,#213587,.F.); #301695=ORIENTED_EDGE('',*,*,#213588,.F.); #301696=ORIENTED_EDGE('',*,*,#213589,.T.); #301697=ORIENTED_EDGE('',*,*,#213590,.T.); #301698=ORIENTED_EDGE('',*,*,#213591,.F.); #301699=ORIENTED_EDGE('',*,*,#213592,.F.); #301700=ORIENTED_EDGE('',*,*,#213583,.T.); #301701=ORIENTED_EDGE('',*,*,#213593,.T.); #301702=ORIENTED_EDGE('',*,*,#213589,.F.); #301703=ORIENTED_EDGE('',*,*,#213586,.T.); #301704=ORIENTED_EDGE('',*,*,#213594,.F.); #301705=ORIENTED_EDGE('',*,*,#213595,.T.); #301706=ORIENTED_EDGE('',*,*,#213596,.T.); #301707=ORIENTED_EDGE('',*,*,#213597,.F.); #301708=ORIENTED_EDGE('',*,*,#213591,.T.); #301709=ORIENTED_EDGE('',*,*,#213598,.T.); #301710=ORIENTED_EDGE('',*,*,#213595,.F.); #301711=ORIENTED_EDGE('',*,*,#213587,.T.); #301712=ORIENTED_EDGE('',*,*,#213596,.F.); #301713=ORIENTED_EDGE('',*,*,#213598,.F.); #301714=ORIENTED_EDGE('',*,*,#213590,.F.); #301715=ORIENTED_EDGE('',*,*,#213593,.F.); #301716=ORIENTED_EDGE('',*,*,#213582,.F.); #301717=ORIENTED_EDGE('',*,*,#213585,.T.); #301718=ORIENTED_EDGE('',*,*,#213584,.T.); #301719=ORIENTED_EDGE('',*,*,#213592,.T.); #301720=ORIENTED_EDGE('',*,*,#213588,.T.); #301721=ORIENTED_EDGE('',*,*,#213597,.T.); #301722=ORIENTED_EDGE('',*,*,#213594,.T.); #301723=ORIENTED_EDGE('',*,*,#213599,.T.); #301724=ORIENTED_EDGE('',*,*,#213600,.T.); #301725=ORIENTED_EDGE('',*,*,#213601,.F.); #301726=ORIENTED_EDGE('',*,*,#213602,.F.); #301727=ORIENTED_EDGE('',*,*,#213599,.F.); #301728=ORIENTED_EDGE('',*,*,#213603,.F.); #301729=ORIENTED_EDGE('',*,*,#213604,.F.); #301730=ORIENTED_EDGE('',*,*,#213605,.F.); #301731=ORIENTED_EDGE('',*,*,#213606,.F.); #301732=ORIENTED_EDGE('',*,*,#213607,.T.); #301733=ORIENTED_EDGE('',*,*,#213608,.T.); #301734=ORIENTED_EDGE('',*,*,#213609,.F.); #301735=ORIENTED_EDGE('',*,*,#213610,.F.); #301736=ORIENTED_EDGE('',*,*,#213601,.T.); #301737=ORIENTED_EDGE('',*,*,#213611,.T.); #301738=ORIENTED_EDGE('',*,*,#213607,.F.); #301739=ORIENTED_EDGE('',*,*,#213604,.T.); #301740=ORIENTED_EDGE('',*,*,#213612,.F.); #301741=ORIENTED_EDGE('',*,*,#213613,.T.); #301742=ORIENTED_EDGE('',*,*,#213614,.T.); #301743=ORIENTED_EDGE('',*,*,#213615,.F.); #301744=ORIENTED_EDGE('',*,*,#213609,.T.); #301745=ORIENTED_EDGE('',*,*,#213616,.T.); #301746=ORIENTED_EDGE('',*,*,#213613,.F.); #301747=ORIENTED_EDGE('',*,*,#213605,.T.); #301748=ORIENTED_EDGE('',*,*,#213614,.F.); #301749=ORIENTED_EDGE('',*,*,#213616,.F.); #301750=ORIENTED_EDGE('',*,*,#213608,.F.); #301751=ORIENTED_EDGE('',*,*,#213611,.F.); #301752=ORIENTED_EDGE('',*,*,#213600,.F.); #301753=ORIENTED_EDGE('',*,*,#213603,.T.); #301754=ORIENTED_EDGE('',*,*,#213602,.T.); #301755=ORIENTED_EDGE('',*,*,#213610,.T.); #301756=ORIENTED_EDGE('',*,*,#213606,.T.); #301757=ORIENTED_EDGE('',*,*,#213615,.T.); #301758=ORIENTED_EDGE('',*,*,#213612,.T.); #301759=ORIENTED_EDGE('',*,*,#213617,.T.); #301760=ORIENTED_EDGE('',*,*,#213618,.T.); #301761=ORIENTED_EDGE('',*,*,#213619,.F.); #301762=ORIENTED_EDGE('',*,*,#213620,.F.); #301763=ORIENTED_EDGE('',*,*,#213617,.F.); #301764=ORIENTED_EDGE('',*,*,#213621,.F.); #301765=ORIENTED_EDGE('',*,*,#213622,.F.); #301766=ORIENTED_EDGE('',*,*,#213623,.F.); #301767=ORIENTED_EDGE('',*,*,#213624,.F.); #301768=ORIENTED_EDGE('',*,*,#213625,.T.); #301769=ORIENTED_EDGE('',*,*,#213626,.T.); #301770=ORIENTED_EDGE('',*,*,#213627,.F.); #301771=ORIENTED_EDGE('',*,*,#213628,.F.); #301772=ORIENTED_EDGE('',*,*,#213619,.T.); #301773=ORIENTED_EDGE('',*,*,#213629,.T.); #301774=ORIENTED_EDGE('',*,*,#213625,.F.); #301775=ORIENTED_EDGE('',*,*,#213622,.T.); #301776=ORIENTED_EDGE('',*,*,#213630,.F.); #301777=ORIENTED_EDGE('',*,*,#213631,.T.); #301778=ORIENTED_EDGE('',*,*,#213632,.T.); #301779=ORIENTED_EDGE('',*,*,#213633,.F.); #301780=ORIENTED_EDGE('',*,*,#213627,.T.); #301781=ORIENTED_EDGE('',*,*,#213634,.T.); #301782=ORIENTED_EDGE('',*,*,#213631,.F.); #301783=ORIENTED_EDGE('',*,*,#213623,.T.); #301784=ORIENTED_EDGE('',*,*,#213632,.F.); #301785=ORIENTED_EDGE('',*,*,#213634,.F.); #301786=ORIENTED_EDGE('',*,*,#213626,.F.); #301787=ORIENTED_EDGE('',*,*,#213629,.F.); #301788=ORIENTED_EDGE('',*,*,#213618,.F.); #301789=ORIENTED_EDGE('',*,*,#213621,.T.); #301790=ORIENTED_EDGE('',*,*,#213620,.T.); #301791=ORIENTED_EDGE('',*,*,#213628,.T.); #301792=ORIENTED_EDGE('',*,*,#213624,.T.); #301793=ORIENTED_EDGE('',*,*,#213633,.T.); #301794=ORIENTED_EDGE('',*,*,#213630,.T.); #301795=ORIENTED_EDGE('',*,*,#213635,.T.); #301796=ORIENTED_EDGE('',*,*,#213636,.T.); #301797=ORIENTED_EDGE('',*,*,#213637,.F.); #301798=ORIENTED_EDGE('',*,*,#213638,.F.); #301799=ORIENTED_EDGE('',*,*,#213635,.F.); #301800=ORIENTED_EDGE('',*,*,#213639,.F.); #301801=ORIENTED_EDGE('',*,*,#213640,.F.); #301802=ORIENTED_EDGE('',*,*,#213641,.F.); #301803=ORIENTED_EDGE('',*,*,#213642,.F.); #301804=ORIENTED_EDGE('',*,*,#213643,.T.); #301805=ORIENTED_EDGE('',*,*,#213644,.T.); #301806=ORIENTED_EDGE('',*,*,#213645,.F.); #301807=ORIENTED_EDGE('',*,*,#213646,.F.); #301808=ORIENTED_EDGE('',*,*,#213637,.T.); #301809=ORIENTED_EDGE('',*,*,#213647,.T.); #301810=ORIENTED_EDGE('',*,*,#213643,.F.); #301811=ORIENTED_EDGE('',*,*,#213640,.T.); #301812=ORIENTED_EDGE('',*,*,#213648,.F.); #301813=ORIENTED_EDGE('',*,*,#213649,.T.); #301814=ORIENTED_EDGE('',*,*,#213650,.T.); #301815=ORIENTED_EDGE('',*,*,#213651,.F.); #301816=ORIENTED_EDGE('',*,*,#213645,.T.); #301817=ORIENTED_EDGE('',*,*,#213652,.T.); #301818=ORIENTED_EDGE('',*,*,#213649,.F.); #301819=ORIENTED_EDGE('',*,*,#213641,.T.); #301820=ORIENTED_EDGE('',*,*,#213650,.F.); #301821=ORIENTED_EDGE('',*,*,#213652,.F.); #301822=ORIENTED_EDGE('',*,*,#213644,.F.); #301823=ORIENTED_EDGE('',*,*,#213647,.F.); #301824=ORIENTED_EDGE('',*,*,#213636,.F.); #301825=ORIENTED_EDGE('',*,*,#213639,.T.); #301826=ORIENTED_EDGE('',*,*,#213638,.T.); #301827=ORIENTED_EDGE('',*,*,#213646,.T.); #301828=ORIENTED_EDGE('',*,*,#213642,.T.); #301829=ORIENTED_EDGE('',*,*,#213651,.T.); #301830=ORIENTED_EDGE('',*,*,#213648,.T.); #301831=ORIENTED_EDGE('',*,*,#213653,.F.); #301832=ORIENTED_EDGE('',*,*,#213654,.F.); #301833=ORIENTED_EDGE('',*,*,#213655,.T.); #301834=ORIENTED_EDGE('',*,*,#213656,.F.); #301835=ORIENTED_EDGE('',*,*,#213657,.T.); #301836=ORIENTED_EDGE('',*,*,#213658,.T.); #301837=ORIENTED_EDGE('',*,*,#213653,.T.); #301838=ORIENTED_EDGE('',*,*,#213659,.T.); #301839=ORIENTED_EDGE('',*,*,#213657,.F.); #301840=ORIENTED_EDGE('',*,*,#213660,.F.); #301841=ORIENTED_EDGE('',*,*,#213661,.F.); #301842=ORIENTED_EDGE('',*,*,#213662,.F.); #301843=ORIENTED_EDGE('',*,*,#213663,.T.); #301844=ORIENTED_EDGE('',*,*,#213661,.T.); #301845=ORIENTED_EDGE('',*,*,#213664,.F.); #301846=ORIENTED_EDGE('',*,*,#213665,.F.); #301847=ORIENTED_EDGE('',*,*,#213666,.T.); #301848=ORIENTED_EDGE('',*,*,#213665,.T.); #301849=ORIENTED_EDGE('',*,*,#213667,.F.); #301850=ORIENTED_EDGE('',*,*,#213668,.F.); #301851=ORIENTED_EDGE('',*,*,#213669,.T.); #301852=ORIENTED_EDGE('',*,*,#213668,.T.); #301853=ORIENTED_EDGE('',*,*,#213670,.F.); #301854=ORIENTED_EDGE('',*,*,#213655,.F.); #301855=ORIENTED_EDGE('',*,*,#213659,.F.); #301856=ORIENTED_EDGE('',*,*,#213656,.T.); #301857=ORIENTED_EDGE('',*,*,#213670,.T.); #301858=ORIENTED_EDGE('',*,*,#213667,.T.); #301859=ORIENTED_EDGE('',*,*,#213664,.T.); #301860=ORIENTED_EDGE('',*,*,#213660,.T.); #301861=ORIENTED_EDGE('',*,*,#213658,.F.); #301862=ORIENTED_EDGE('',*,*,#213662,.T.); #301863=ORIENTED_EDGE('',*,*,#213663,.F.); #301864=ORIENTED_EDGE('',*,*,#213666,.F.); #301865=ORIENTED_EDGE('',*,*,#213669,.F.); #301866=ORIENTED_EDGE('',*,*,#213654,.T.); #301867=ORIENTED_EDGE('',*,*,#213671,.T.); #301868=ORIENTED_EDGE('',*,*,#213672,.T.); #301869=ORIENTED_EDGE('',*,*,#213673,.F.); #301870=ORIENTED_EDGE('',*,*,#213674,.T.); #301871=ORIENTED_EDGE('',*,*,#213675,.F.); #301872=ORIENTED_EDGE('',*,*,#213676,.F.); #301873=ORIENTED_EDGE('',*,*,#213671,.F.); #301874=ORIENTED_EDGE('',*,*,#213677,.F.); #301875=ORIENTED_EDGE('',*,*,#213675,.T.); #301876=ORIENTED_EDGE('',*,*,#213678,.T.); #301877=ORIENTED_EDGE('',*,*,#213679,.T.); #301878=ORIENTED_EDGE('',*,*,#213680,.T.); #301879=ORIENTED_EDGE('',*,*,#213681,.F.); #301880=ORIENTED_EDGE('',*,*,#213682,.T.); #301881=ORIENTED_EDGE('',*,*,#213683,.T.); #301882=ORIENTED_EDGE('',*,*,#213679,.F.); #301883=ORIENTED_EDGE('',*,*,#213684,.F.); #301884=ORIENTED_EDGE('',*,*,#213685,.T.); #301885=ORIENTED_EDGE('',*,*,#213686,.T.); #301886=ORIENTED_EDGE('',*,*,#213682,.F.); #301887=ORIENTED_EDGE('',*,*,#213687,.F.); #301888=ORIENTED_EDGE('',*,*,#213673,.T.); #301889=ORIENTED_EDGE('',*,*,#213688,.T.); #301890=ORIENTED_EDGE('',*,*,#213685,.F.); #301891=ORIENTED_EDGE('',*,*,#213676,.T.); #301892=ORIENTED_EDGE('',*,*,#213680,.F.); #301893=ORIENTED_EDGE('',*,*,#213683,.F.); #301894=ORIENTED_EDGE('',*,*,#213686,.F.); #301895=ORIENTED_EDGE('',*,*,#213688,.F.); #301896=ORIENTED_EDGE('',*,*,#213672,.F.); #301897=ORIENTED_EDGE('',*,*,#213677,.T.); #301898=ORIENTED_EDGE('',*,*,#213674,.F.); #301899=ORIENTED_EDGE('',*,*,#213687,.T.); #301900=ORIENTED_EDGE('',*,*,#213684,.T.); #301901=ORIENTED_EDGE('',*,*,#213681,.T.); #301902=ORIENTED_EDGE('',*,*,#213678,.F.); #301903=ORIENTED_EDGE('',*,*,#213689,.F.); #301904=ORIENTED_EDGE('',*,*,#213690,.F.); #301905=ORIENTED_EDGE('',*,*,#213691,.T.); #301906=ORIENTED_EDGE('',*,*,#213692,.F.); #301907=ORIENTED_EDGE('',*,*,#213693,.T.); #301908=ORIENTED_EDGE('',*,*,#213694,.T.); #301909=ORIENTED_EDGE('',*,*,#213689,.T.); #301910=ORIENTED_EDGE('',*,*,#213695,.T.); #301911=ORIENTED_EDGE('',*,*,#213693,.F.); #301912=ORIENTED_EDGE('',*,*,#213696,.F.); #301913=ORIENTED_EDGE('',*,*,#213697,.F.); #301914=ORIENTED_EDGE('',*,*,#213698,.F.); #301915=ORIENTED_EDGE('',*,*,#213699,.T.); #301916=ORIENTED_EDGE('',*,*,#213697,.T.); #301917=ORIENTED_EDGE('',*,*,#213700,.F.); #301918=ORIENTED_EDGE('',*,*,#213701,.F.); #301919=ORIENTED_EDGE('',*,*,#213702,.T.); #301920=ORIENTED_EDGE('',*,*,#213701,.T.); #301921=ORIENTED_EDGE('',*,*,#213703,.F.); #301922=ORIENTED_EDGE('',*,*,#213704,.F.); #301923=ORIENTED_EDGE('',*,*,#213705,.T.); #301924=ORIENTED_EDGE('',*,*,#213704,.T.); #301925=ORIENTED_EDGE('',*,*,#213706,.F.); #301926=ORIENTED_EDGE('',*,*,#213691,.F.); #301927=ORIENTED_EDGE('',*,*,#213695,.F.); #301928=ORIENTED_EDGE('',*,*,#213692,.T.); #301929=ORIENTED_EDGE('',*,*,#213706,.T.); #301930=ORIENTED_EDGE('',*,*,#213703,.T.); #301931=ORIENTED_EDGE('',*,*,#213700,.T.); #301932=ORIENTED_EDGE('',*,*,#213696,.T.); #301933=ORIENTED_EDGE('',*,*,#213694,.F.); #301934=ORIENTED_EDGE('',*,*,#213698,.T.); #301935=ORIENTED_EDGE('',*,*,#213699,.F.); #301936=ORIENTED_EDGE('',*,*,#213702,.F.); #301937=ORIENTED_EDGE('',*,*,#213705,.F.); #301938=ORIENTED_EDGE('',*,*,#213690,.T.); #301939=ORIENTED_EDGE('',*,*,#213707,.F.); #301940=ORIENTED_EDGE('',*,*,#213708,.F.); #301941=ORIENTED_EDGE('',*,*,#213709,.T.); #301942=ORIENTED_EDGE('',*,*,#213710,.F.); #301943=ORIENTED_EDGE('',*,*,#213711,.T.); #301944=ORIENTED_EDGE('',*,*,#213712,.T.); #301945=ORIENTED_EDGE('',*,*,#213707,.T.); #301946=ORIENTED_EDGE('',*,*,#213713,.T.); #301947=ORIENTED_EDGE('',*,*,#213711,.F.); #301948=ORIENTED_EDGE('',*,*,#213714,.F.); #301949=ORIENTED_EDGE('',*,*,#213715,.F.); #301950=ORIENTED_EDGE('',*,*,#213716,.F.); #301951=ORIENTED_EDGE('',*,*,#213717,.T.); #301952=ORIENTED_EDGE('',*,*,#213715,.T.); #301953=ORIENTED_EDGE('',*,*,#213718,.F.); #301954=ORIENTED_EDGE('',*,*,#213719,.F.); #301955=ORIENTED_EDGE('',*,*,#213720,.T.); #301956=ORIENTED_EDGE('',*,*,#213719,.T.); #301957=ORIENTED_EDGE('',*,*,#213721,.F.); #301958=ORIENTED_EDGE('',*,*,#213722,.F.); #301959=ORIENTED_EDGE('',*,*,#213723,.T.); #301960=ORIENTED_EDGE('',*,*,#213722,.T.); #301961=ORIENTED_EDGE('',*,*,#213724,.F.); #301962=ORIENTED_EDGE('',*,*,#213709,.F.); #301963=ORIENTED_EDGE('',*,*,#213713,.F.); #301964=ORIENTED_EDGE('',*,*,#213710,.T.); #301965=ORIENTED_EDGE('',*,*,#213724,.T.); #301966=ORIENTED_EDGE('',*,*,#213721,.T.); #301967=ORIENTED_EDGE('',*,*,#213718,.T.); #301968=ORIENTED_EDGE('',*,*,#213714,.T.); #301969=ORIENTED_EDGE('',*,*,#213712,.F.); #301970=ORIENTED_EDGE('',*,*,#213716,.T.); #301971=ORIENTED_EDGE('',*,*,#213717,.F.); #301972=ORIENTED_EDGE('',*,*,#213720,.F.); #301973=ORIENTED_EDGE('',*,*,#213723,.F.); #301974=ORIENTED_EDGE('',*,*,#213708,.T.); #301975=ORIENTED_EDGE('',*,*,#213725,.F.); #301976=ORIENTED_EDGE('',*,*,#213726,.F.); #301977=ORIENTED_EDGE('',*,*,#213727,.T.); #301978=ORIENTED_EDGE('',*,*,#213728,.F.); #301979=ORIENTED_EDGE('',*,*,#213729,.T.); #301980=ORIENTED_EDGE('',*,*,#213730,.T.); #301981=ORIENTED_EDGE('',*,*,#213725,.T.); #301982=ORIENTED_EDGE('',*,*,#213731,.T.); #301983=ORIENTED_EDGE('',*,*,#213729,.F.); #301984=ORIENTED_EDGE('',*,*,#213732,.F.); #301985=ORIENTED_EDGE('',*,*,#213733,.F.); #301986=ORIENTED_EDGE('',*,*,#213734,.F.); #301987=ORIENTED_EDGE('',*,*,#213735,.T.); #301988=ORIENTED_EDGE('',*,*,#213733,.T.); #301989=ORIENTED_EDGE('',*,*,#213736,.F.); #301990=ORIENTED_EDGE('',*,*,#213737,.F.); #301991=ORIENTED_EDGE('',*,*,#213738,.T.); #301992=ORIENTED_EDGE('',*,*,#213737,.T.); #301993=ORIENTED_EDGE('',*,*,#213739,.F.); #301994=ORIENTED_EDGE('',*,*,#213740,.F.); #301995=ORIENTED_EDGE('',*,*,#213741,.T.); #301996=ORIENTED_EDGE('',*,*,#213740,.T.); #301997=ORIENTED_EDGE('',*,*,#213742,.F.); #301998=ORIENTED_EDGE('',*,*,#213727,.F.); #301999=ORIENTED_EDGE('',*,*,#213731,.F.); #302000=ORIENTED_EDGE('',*,*,#213728,.T.); #302001=ORIENTED_EDGE('',*,*,#213742,.T.); #302002=ORIENTED_EDGE('',*,*,#213739,.T.); #302003=ORIENTED_EDGE('',*,*,#213736,.T.); #302004=ORIENTED_EDGE('',*,*,#213732,.T.); #302005=ORIENTED_EDGE('',*,*,#213730,.F.); #302006=ORIENTED_EDGE('',*,*,#213734,.T.); #302007=ORIENTED_EDGE('',*,*,#213735,.F.); #302008=ORIENTED_EDGE('',*,*,#213738,.F.); #302009=ORIENTED_EDGE('',*,*,#213741,.F.); #302010=ORIENTED_EDGE('',*,*,#213726,.T.); #302011=ORIENTED_EDGE('',*,*,#213743,.F.); #302012=ORIENTED_EDGE('',*,*,#213744,.F.); #302013=ORIENTED_EDGE('',*,*,#213745,.T.); #302014=ORIENTED_EDGE('',*,*,#213746,.F.); #302015=ORIENTED_EDGE('',*,*,#213747,.T.); #302016=ORIENTED_EDGE('',*,*,#213748,.T.); #302017=ORIENTED_EDGE('',*,*,#213743,.T.); #302018=ORIENTED_EDGE('',*,*,#213749,.T.); #302019=ORIENTED_EDGE('',*,*,#213747,.F.); #302020=ORIENTED_EDGE('',*,*,#213750,.F.); #302021=ORIENTED_EDGE('',*,*,#213751,.F.); #302022=ORIENTED_EDGE('',*,*,#213752,.F.); #302023=ORIENTED_EDGE('',*,*,#213753,.T.); #302024=ORIENTED_EDGE('',*,*,#213751,.T.); #302025=ORIENTED_EDGE('',*,*,#213754,.F.); #302026=ORIENTED_EDGE('',*,*,#213755,.F.); #302027=ORIENTED_EDGE('',*,*,#213756,.T.); #302028=ORIENTED_EDGE('',*,*,#213755,.T.); #302029=ORIENTED_EDGE('',*,*,#213757,.F.); #302030=ORIENTED_EDGE('',*,*,#213758,.F.); #302031=ORIENTED_EDGE('',*,*,#213759,.T.); #302032=ORIENTED_EDGE('',*,*,#213758,.T.); #302033=ORIENTED_EDGE('',*,*,#213760,.F.); #302034=ORIENTED_EDGE('',*,*,#213745,.F.); #302035=ORIENTED_EDGE('',*,*,#213749,.F.); #302036=ORIENTED_EDGE('',*,*,#213746,.T.); #302037=ORIENTED_EDGE('',*,*,#213760,.T.); #302038=ORIENTED_EDGE('',*,*,#213757,.T.); #302039=ORIENTED_EDGE('',*,*,#213754,.T.); #302040=ORIENTED_EDGE('',*,*,#213750,.T.); #302041=ORIENTED_EDGE('',*,*,#213748,.F.); #302042=ORIENTED_EDGE('',*,*,#213752,.T.); #302043=ORIENTED_EDGE('',*,*,#213753,.F.); #302044=ORIENTED_EDGE('',*,*,#213756,.F.); #302045=ORIENTED_EDGE('',*,*,#213759,.F.); #302046=ORIENTED_EDGE('',*,*,#213744,.T.); #302047=ORIENTED_EDGE('',*,*,#213761,.F.); #302048=ORIENTED_EDGE('',*,*,#213762,.F.); #302049=ORIENTED_EDGE('',*,*,#213763,.T.); #302050=ORIENTED_EDGE('',*,*,#213764,.F.); #302051=ORIENTED_EDGE('',*,*,#213765,.T.); #302052=ORIENTED_EDGE('',*,*,#213766,.T.); #302053=ORIENTED_EDGE('',*,*,#213761,.T.); #302054=ORIENTED_EDGE('',*,*,#213767,.T.); #302055=ORIENTED_EDGE('',*,*,#213765,.F.); #302056=ORIENTED_EDGE('',*,*,#213768,.F.); #302057=ORIENTED_EDGE('',*,*,#213769,.F.); #302058=ORIENTED_EDGE('',*,*,#213770,.F.); #302059=ORIENTED_EDGE('',*,*,#213771,.T.); #302060=ORIENTED_EDGE('',*,*,#213769,.T.); #302061=ORIENTED_EDGE('',*,*,#213772,.F.); #302062=ORIENTED_EDGE('',*,*,#213773,.F.); #302063=ORIENTED_EDGE('',*,*,#213774,.T.); #302064=ORIENTED_EDGE('',*,*,#213773,.T.); #302065=ORIENTED_EDGE('',*,*,#213775,.F.); #302066=ORIENTED_EDGE('',*,*,#213776,.F.); #302067=ORIENTED_EDGE('',*,*,#213777,.T.); #302068=ORIENTED_EDGE('',*,*,#213776,.T.); #302069=ORIENTED_EDGE('',*,*,#213778,.F.); #302070=ORIENTED_EDGE('',*,*,#213763,.F.); #302071=ORIENTED_EDGE('',*,*,#213767,.F.); #302072=ORIENTED_EDGE('',*,*,#213764,.T.); #302073=ORIENTED_EDGE('',*,*,#213778,.T.); #302074=ORIENTED_EDGE('',*,*,#213775,.T.); #302075=ORIENTED_EDGE('',*,*,#213772,.T.); #302076=ORIENTED_EDGE('',*,*,#213768,.T.); #302077=ORIENTED_EDGE('',*,*,#213766,.F.); #302078=ORIENTED_EDGE('',*,*,#213770,.T.); #302079=ORIENTED_EDGE('',*,*,#213771,.F.); #302080=ORIENTED_EDGE('',*,*,#213774,.F.); #302081=ORIENTED_EDGE('',*,*,#213777,.F.); #302082=ORIENTED_EDGE('',*,*,#213762,.T.); #302083=ORIENTED_EDGE('',*,*,#213779,.F.); #302084=ORIENTED_EDGE('',*,*,#213780,.F.); #302085=ORIENTED_EDGE('',*,*,#213781,.T.); #302086=ORIENTED_EDGE('',*,*,#213782,.F.); #302087=ORIENTED_EDGE('',*,*,#213783,.T.); #302088=ORIENTED_EDGE('',*,*,#213784,.T.); #302089=ORIENTED_EDGE('',*,*,#213779,.T.); #302090=ORIENTED_EDGE('',*,*,#213785,.T.); #302091=ORIENTED_EDGE('',*,*,#213783,.F.); #302092=ORIENTED_EDGE('',*,*,#213786,.F.); #302093=ORIENTED_EDGE('',*,*,#213787,.F.); #302094=ORIENTED_EDGE('',*,*,#213788,.F.); #302095=ORIENTED_EDGE('',*,*,#213789,.T.); #302096=ORIENTED_EDGE('',*,*,#213787,.T.); #302097=ORIENTED_EDGE('',*,*,#213790,.F.); #302098=ORIENTED_EDGE('',*,*,#213791,.F.); #302099=ORIENTED_EDGE('',*,*,#213792,.T.); #302100=ORIENTED_EDGE('',*,*,#213791,.T.); #302101=ORIENTED_EDGE('',*,*,#213793,.F.); #302102=ORIENTED_EDGE('',*,*,#213794,.F.); #302103=ORIENTED_EDGE('',*,*,#213795,.T.); #302104=ORIENTED_EDGE('',*,*,#213794,.T.); #302105=ORIENTED_EDGE('',*,*,#213796,.F.); #302106=ORIENTED_EDGE('',*,*,#213781,.F.); #302107=ORIENTED_EDGE('',*,*,#213785,.F.); #302108=ORIENTED_EDGE('',*,*,#213782,.T.); #302109=ORIENTED_EDGE('',*,*,#213796,.T.); #302110=ORIENTED_EDGE('',*,*,#213793,.T.); #302111=ORIENTED_EDGE('',*,*,#213790,.T.); #302112=ORIENTED_EDGE('',*,*,#213786,.T.); #302113=ORIENTED_EDGE('',*,*,#213784,.F.); #302114=ORIENTED_EDGE('',*,*,#213788,.T.); #302115=ORIENTED_EDGE('',*,*,#213789,.F.); #302116=ORIENTED_EDGE('',*,*,#213792,.F.); #302117=ORIENTED_EDGE('',*,*,#213795,.F.); #302118=ORIENTED_EDGE('',*,*,#213780,.T.); #302119=ORIENTED_EDGE('',*,*,#213797,.F.); #302120=ORIENTED_EDGE('',*,*,#213798,.F.); #302121=ORIENTED_EDGE('',*,*,#213799,.T.); #302122=ORIENTED_EDGE('',*,*,#213800,.F.); #302123=ORIENTED_EDGE('',*,*,#213801,.T.); #302124=ORIENTED_EDGE('',*,*,#213802,.T.); #302125=ORIENTED_EDGE('',*,*,#213797,.T.); #302126=ORIENTED_EDGE('',*,*,#213803,.T.); #302127=ORIENTED_EDGE('',*,*,#213801,.F.); #302128=ORIENTED_EDGE('',*,*,#213804,.F.); #302129=ORIENTED_EDGE('',*,*,#213805,.F.); #302130=ORIENTED_EDGE('',*,*,#213806,.F.); #302131=ORIENTED_EDGE('',*,*,#213807,.T.); #302132=ORIENTED_EDGE('',*,*,#213805,.T.); #302133=ORIENTED_EDGE('',*,*,#213808,.F.); #302134=ORIENTED_EDGE('',*,*,#213809,.F.); #302135=ORIENTED_EDGE('',*,*,#213810,.T.); #302136=ORIENTED_EDGE('',*,*,#213809,.T.); #302137=ORIENTED_EDGE('',*,*,#213811,.F.); #302138=ORIENTED_EDGE('',*,*,#213812,.F.); #302139=ORIENTED_EDGE('',*,*,#213813,.T.); #302140=ORIENTED_EDGE('',*,*,#213812,.T.); #302141=ORIENTED_EDGE('',*,*,#213814,.F.); #302142=ORIENTED_EDGE('',*,*,#213799,.F.); #302143=ORIENTED_EDGE('',*,*,#213803,.F.); #302144=ORIENTED_EDGE('',*,*,#213800,.T.); #302145=ORIENTED_EDGE('',*,*,#213814,.T.); #302146=ORIENTED_EDGE('',*,*,#213811,.T.); #302147=ORIENTED_EDGE('',*,*,#213808,.T.); #302148=ORIENTED_EDGE('',*,*,#213804,.T.); #302149=ORIENTED_EDGE('',*,*,#213802,.F.); #302150=ORIENTED_EDGE('',*,*,#213806,.T.); #302151=ORIENTED_EDGE('',*,*,#213807,.F.); #302152=ORIENTED_EDGE('',*,*,#213810,.F.); #302153=ORIENTED_EDGE('',*,*,#213813,.F.); #302154=ORIENTED_EDGE('',*,*,#213798,.T.); #302155=ORIENTED_EDGE('',*,*,#213815,.F.); #302156=ORIENTED_EDGE('',*,*,#213816,.F.); #302157=ORIENTED_EDGE('',*,*,#213817,.T.); #302158=ORIENTED_EDGE('',*,*,#213818,.F.); #302159=ORIENTED_EDGE('',*,*,#213819,.T.); #302160=ORIENTED_EDGE('',*,*,#213820,.T.); #302161=ORIENTED_EDGE('',*,*,#213815,.T.); #302162=ORIENTED_EDGE('',*,*,#213821,.T.); #302163=ORIENTED_EDGE('',*,*,#213819,.F.); #302164=ORIENTED_EDGE('',*,*,#213822,.F.); #302165=ORIENTED_EDGE('',*,*,#213823,.F.); #302166=ORIENTED_EDGE('',*,*,#213824,.F.); #302167=ORIENTED_EDGE('',*,*,#213825,.T.); #302168=ORIENTED_EDGE('',*,*,#213823,.T.); #302169=ORIENTED_EDGE('',*,*,#213826,.F.); #302170=ORIENTED_EDGE('',*,*,#213827,.F.); #302171=ORIENTED_EDGE('',*,*,#213828,.T.); #302172=ORIENTED_EDGE('',*,*,#213827,.T.); #302173=ORIENTED_EDGE('',*,*,#213829,.F.); #302174=ORIENTED_EDGE('',*,*,#213830,.F.); #302175=ORIENTED_EDGE('',*,*,#213831,.T.); #302176=ORIENTED_EDGE('',*,*,#213830,.T.); #302177=ORIENTED_EDGE('',*,*,#213832,.F.); #302178=ORIENTED_EDGE('',*,*,#213817,.F.); #302179=ORIENTED_EDGE('',*,*,#213821,.F.); #302180=ORIENTED_EDGE('',*,*,#213818,.T.); #302181=ORIENTED_EDGE('',*,*,#213832,.T.); #302182=ORIENTED_EDGE('',*,*,#213829,.T.); #302183=ORIENTED_EDGE('',*,*,#213826,.T.); #302184=ORIENTED_EDGE('',*,*,#213822,.T.); #302185=ORIENTED_EDGE('',*,*,#213820,.F.); #302186=ORIENTED_EDGE('',*,*,#213824,.T.); #302187=ORIENTED_EDGE('',*,*,#213825,.F.); #302188=ORIENTED_EDGE('',*,*,#213828,.F.); #302189=ORIENTED_EDGE('',*,*,#213831,.F.); #302190=ORIENTED_EDGE('',*,*,#213816,.T.); #302191=ORIENTED_EDGE('',*,*,#213833,.F.); #302192=ORIENTED_EDGE('',*,*,#213834,.F.); #302193=ORIENTED_EDGE('',*,*,#213835,.T.); #302194=ORIENTED_EDGE('',*,*,#213836,.F.); #302195=ORIENTED_EDGE('',*,*,#213837,.T.); #302196=ORIENTED_EDGE('',*,*,#213838,.T.); #302197=ORIENTED_EDGE('',*,*,#213833,.T.); #302198=ORIENTED_EDGE('',*,*,#213839,.T.); #302199=ORIENTED_EDGE('',*,*,#213837,.F.); #302200=ORIENTED_EDGE('',*,*,#213840,.F.); #302201=ORIENTED_EDGE('',*,*,#213841,.F.); #302202=ORIENTED_EDGE('',*,*,#213842,.F.); #302203=ORIENTED_EDGE('',*,*,#213843,.T.); #302204=ORIENTED_EDGE('',*,*,#213841,.T.); #302205=ORIENTED_EDGE('',*,*,#213844,.F.); #302206=ORIENTED_EDGE('',*,*,#213845,.F.); #302207=ORIENTED_EDGE('',*,*,#213846,.T.); #302208=ORIENTED_EDGE('',*,*,#213845,.T.); #302209=ORIENTED_EDGE('',*,*,#213847,.F.); #302210=ORIENTED_EDGE('',*,*,#213848,.F.); #302211=ORIENTED_EDGE('',*,*,#213849,.T.); #302212=ORIENTED_EDGE('',*,*,#213848,.T.); #302213=ORIENTED_EDGE('',*,*,#213850,.F.); #302214=ORIENTED_EDGE('',*,*,#213835,.F.); #302215=ORIENTED_EDGE('',*,*,#213839,.F.); #302216=ORIENTED_EDGE('',*,*,#213836,.T.); #302217=ORIENTED_EDGE('',*,*,#213850,.T.); #302218=ORIENTED_EDGE('',*,*,#213847,.T.); #302219=ORIENTED_EDGE('',*,*,#213844,.T.); #302220=ORIENTED_EDGE('',*,*,#213840,.T.); #302221=ORIENTED_EDGE('',*,*,#213838,.F.); #302222=ORIENTED_EDGE('',*,*,#213842,.T.); #302223=ORIENTED_EDGE('',*,*,#213843,.F.); #302224=ORIENTED_EDGE('',*,*,#213846,.F.); #302225=ORIENTED_EDGE('',*,*,#213849,.F.); #302226=ORIENTED_EDGE('',*,*,#213834,.T.); #302227=ORIENTED_EDGE('',*,*,#213851,.F.); #302228=ORIENTED_EDGE('',*,*,#213852,.F.); #302229=ORIENTED_EDGE('',*,*,#213853,.T.); #302230=ORIENTED_EDGE('',*,*,#213854,.F.); #302231=ORIENTED_EDGE('',*,*,#213855,.T.); #302232=ORIENTED_EDGE('',*,*,#213856,.T.); #302233=ORIENTED_EDGE('',*,*,#213851,.T.); #302234=ORIENTED_EDGE('',*,*,#213857,.T.); #302235=ORIENTED_EDGE('',*,*,#213855,.F.); #302236=ORIENTED_EDGE('',*,*,#213858,.F.); #302237=ORIENTED_EDGE('',*,*,#213859,.F.); #302238=ORIENTED_EDGE('',*,*,#213860,.F.); #302239=ORIENTED_EDGE('',*,*,#213861,.T.); #302240=ORIENTED_EDGE('',*,*,#213859,.T.); #302241=ORIENTED_EDGE('',*,*,#213862,.F.); #302242=ORIENTED_EDGE('',*,*,#213863,.F.); #302243=ORIENTED_EDGE('',*,*,#213864,.T.); #302244=ORIENTED_EDGE('',*,*,#213863,.T.); #302245=ORIENTED_EDGE('',*,*,#213865,.F.); #302246=ORIENTED_EDGE('',*,*,#213866,.F.); #302247=ORIENTED_EDGE('',*,*,#213867,.T.); #302248=ORIENTED_EDGE('',*,*,#213866,.T.); #302249=ORIENTED_EDGE('',*,*,#213868,.F.); #302250=ORIENTED_EDGE('',*,*,#213853,.F.); #302251=ORIENTED_EDGE('',*,*,#213857,.F.); #302252=ORIENTED_EDGE('',*,*,#213854,.T.); #302253=ORIENTED_EDGE('',*,*,#213868,.T.); #302254=ORIENTED_EDGE('',*,*,#213865,.T.); #302255=ORIENTED_EDGE('',*,*,#213862,.T.); #302256=ORIENTED_EDGE('',*,*,#213858,.T.); #302257=ORIENTED_EDGE('',*,*,#213856,.F.); #302258=ORIENTED_EDGE('',*,*,#213860,.T.); #302259=ORIENTED_EDGE('',*,*,#213861,.F.); #302260=ORIENTED_EDGE('',*,*,#213864,.F.); #302261=ORIENTED_EDGE('',*,*,#213867,.F.); #302262=ORIENTED_EDGE('',*,*,#213852,.T.); #302263=ORIENTED_EDGE('',*,*,#213869,.F.); #302264=ORIENTED_EDGE('',*,*,#213870,.F.); #302265=ORIENTED_EDGE('',*,*,#213871,.T.); #302266=ORIENTED_EDGE('',*,*,#213872,.F.); #302267=ORIENTED_EDGE('',*,*,#213873,.T.); #302268=ORIENTED_EDGE('',*,*,#213874,.T.); #302269=ORIENTED_EDGE('',*,*,#213869,.T.); #302270=ORIENTED_EDGE('',*,*,#213875,.T.); #302271=ORIENTED_EDGE('',*,*,#213873,.F.); #302272=ORIENTED_EDGE('',*,*,#213876,.F.); #302273=ORIENTED_EDGE('',*,*,#213877,.F.); #302274=ORIENTED_EDGE('',*,*,#213878,.F.); #302275=ORIENTED_EDGE('',*,*,#213879,.T.); #302276=ORIENTED_EDGE('',*,*,#213877,.T.); #302277=ORIENTED_EDGE('',*,*,#213880,.F.); #302278=ORIENTED_EDGE('',*,*,#213881,.F.); #302279=ORIENTED_EDGE('',*,*,#213882,.T.); #302280=ORIENTED_EDGE('',*,*,#213881,.T.); #302281=ORIENTED_EDGE('',*,*,#213883,.F.); #302282=ORIENTED_EDGE('',*,*,#213884,.F.); #302283=ORIENTED_EDGE('',*,*,#213885,.T.); #302284=ORIENTED_EDGE('',*,*,#213884,.T.); #302285=ORIENTED_EDGE('',*,*,#213886,.F.); #302286=ORIENTED_EDGE('',*,*,#213871,.F.); #302287=ORIENTED_EDGE('',*,*,#213875,.F.); #302288=ORIENTED_EDGE('',*,*,#213872,.T.); #302289=ORIENTED_EDGE('',*,*,#213886,.T.); #302290=ORIENTED_EDGE('',*,*,#213883,.T.); #302291=ORIENTED_EDGE('',*,*,#213880,.T.); #302292=ORIENTED_EDGE('',*,*,#213876,.T.); #302293=ORIENTED_EDGE('',*,*,#213874,.F.); #302294=ORIENTED_EDGE('',*,*,#213878,.T.); #302295=ORIENTED_EDGE('',*,*,#213879,.F.); #302296=ORIENTED_EDGE('',*,*,#213882,.F.); #302297=ORIENTED_EDGE('',*,*,#213885,.F.); #302298=ORIENTED_EDGE('',*,*,#213870,.T.); #302299=ORIENTED_EDGE('',*,*,#213887,.T.); #302300=ORIENTED_EDGE('',*,*,#213888,.T.); #302301=ORIENTED_EDGE('',*,*,#213889,.F.); #302302=ORIENTED_EDGE('',*,*,#213890,.T.); #302303=ORIENTED_EDGE('',*,*,#213891,.F.); #302304=ORIENTED_EDGE('',*,*,#213892,.F.); #302305=ORIENTED_EDGE('',*,*,#213887,.F.); #302306=ORIENTED_EDGE('',*,*,#213893,.F.); #302307=ORIENTED_EDGE('',*,*,#213891,.T.); #302308=ORIENTED_EDGE('',*,*,#213894,.T.); #302309=ORIENTED_EDGE('',*,*,#213895,.T.); #302310=ORIENTED_EDGE('',*,*,#213896,.T.); #302311=ORIENTED_EDGE('',*,*,#213897,.F.); #302312=ORIENTED_EDGE('',*,*,#213898,.T.); #302313=ORIENTED_EDGE('',*,*,#213899,.T.); #302314=ORIENTED_EDGE('',*,*,#213895,.F.); #302315=ORIENTED_EDGE('',*,*,#213900,.F.); #302316=ORIENTED_EDGE('',*,*,#213901,.T.); #302317=ORIENTED_EDGE('',*,*,#213902,.T.); #302318=ORIENTED_EDGE('',*,*,#213898,.F.); #302319=ORIENTED_EDGE('',*,*,#213903,.F.); #302320=ORIENTED_EDGE('',*,*,#213889,.T.); #302321=ORIENTED_EDGE('',*,*,#213904,.T.); #302322=ORIENTED_EDGE('',*,*,#213901,.F.); #302323=ORIENTED_EDGE('',*,*,#213892,.T.); #302324=ORIENTED_EDGE('',*,*,#213896,.F.); #302325=ORIENTED_EDGE('',*,*,#213899,.F.); #302326=ORIENTED_EDGE('',*,*,#213902,.F.); #302327=ORIENTED_EDGE('',*,*,#213904,.F.); #302328=ORIENTED_EDGE('',*,*,#213888,.F.); #302329=ORIENTED_EDGE('',*,*,#213893,.T.); #302330=ORIENTED_EDGE('',*,*,#213890,.F.); #302331=ORIENTED_EDGE('',*,*,#213903,.T.); #302332=ORIENTED_EDGE('',*,*,#213900,.T.); #302333=ORIENTED_EDGE('',*,*,#213897,.T.); #302334=ORIENTED_EDGE('',*,*,#213894,.F.); #302335=ORIENTED_EDGE('',*,*,#213905,.T.); #302336=ORIENTED_EDGE('',*,*,#213906,.T.); #302337=ORIENTED_EDGE('',*,*,#213907,.F.); #302338=ORIENTED_EDGE('',*,*,#213908,.T.); #302339=ORIENTED_EDGE('',*,*,#213909,.F.); #302340=ORIENTED_EDGE('',*,*,#213910,.F.); #302341=ORIENTED_EDGE('',*,*,#213905,.F.); #302342=ORIENTED_EDGE('',*,*,#213911,.F.); #302343=ORIENTED_EDGE('',*,*,#213909,.T.); #302344=ORIENTED_EDGE('',*,*,#213912,.T.); #302345=ORIENTED_EDGE('',*,*,#213913,.T.); #302346=ORIENTED_EDGE('',*,*,#213914,.T.); #302347=ORIENTED_EDGE('',*,*,#213915,.F.); #302348=ORIENTED_EDGE('',*,*,#213916,.T.); #302349=ORIENTED_EDGE('',*,*,#213917,.T.); #302350=ORIENTED_EDGE('',*,*,#213913,.F.); #302351=ORIENTED_EDGE('',*,*,#213918,.F.); #302352=ORIENTED_EDGE('',*,*,#213919,.T.); #302353=ORIENTED_EDGE('',*,*,#213920,.T.); #302354=ORIENTED_EDGE('',*,*,#213916,.F.); #302355=ORIENTED_EDGE('',*,*,#213921,.F.); #302356=ORIENTED_EDGE('',*,*,#213907,.T.); #302357=ORIENTED_EDGE('',*,*,#213922,.T.); #302358=ORIENTED_EDGE('',*,*,#213919,.F.); #302359=ORIENTED_EDGE('',*,*,#213910,.T.); #302360=ORIENTED_EDGE('',*,*,#213914,.F.); #302361=ORIENTED_EDGE('',*,*,#213917,.F.); #302362=ORIENTED_EDGE('',*,*,#213920,.F.); #302363=ORIENTED_EDGE('',*,*,#213922,.F.); #302364=ORIENTED_EDGE('',*,*,#213906,.F.); #302365=ORIENTED_EDGE('',*,*,#213911,.T.); #302366=ORIENTED_EDGE('',*,*,#213908,.F.); #302367=ORIENTED_EDGE('',*,*,#213921,.T.); #302368=ORIENTED_EDGE('',*,*,#213918,.T.); #302369=ORIENTED_EDGE('',*,*,#213915,.T.); #302370=ORIENTED_EDGE('',*,*,#213912,.F.); #302371=ORIENTED_EDGE('',*,*,#213923,.T.); #302372=ORIENTED_EDGE('',*,*,#213924,.T.); #302373=ORIENTED_EDGE('',*,*,#213925,.F.); #302374=ORIENTED_EDGE('',*,*,#213926,.T.); #302375=ORIENTED_EDGE('',*,*,#213927,.F.); #302376=ORIENTED_EDGE('',*,*,#213928,.F.); #302377=ORIENTED_EDGE('',*,*,#213923,.F.); #302378=ORIENTED_EDGE('',*,*,#213929,.F.); #302379=ORIENTED_EDGE('',*,*,#213927,.T.); #302380=ORIENTED_EDGE('',*,*,#213930,.T.); #302381=ORIENTED_EDGE('',*,*,#213931,.T.); #302382=ORIENTED_EDGE('',*,*,#213932,.T.); #302383=ORIENTED_EDGE('',*,*,#213933,.F.); #302384=ORIENTED_EDGE('',*,*,#213934,.T.); #302385=ORIENTED_EDGE('',*,*,#213935,.T.); #302386=ORIENTED_EDGE('',*,*,#213931,.F.); #302387=ORIENTED_EDGE('',*,*,#213936,.F.); #302388=ORIENTED_EDGE('',*,*,#213937,.T.); #302389=ORIENTED_EDGE('',*,*,#213938,.T.); #302390=ORIENTED_EDGE('',*,*,#213934,.F.); #302391=ORIENTED_EDGE('',*,*,#213939,.F.); #302392=ORIENTED_EDGE('',*,*,#213925,.T.); #302393=ORIENTED_EDGE('',*,*,#213940,.T.); #302394=ORIENTED_EDGE('',*,*,#213937,.F.); #302395=ORIENTED_EDGE('',*,*,#213928,.T.); #302396=ORIENTED_EDGE('',*,*,#213932,.F.); #302397=ORIENTED_EDGE('',*,*,#213935,.F.); #302398=ORIENTED_EDGE('',*,*,#213938,.F.); #302399=ORIENTED_EDGE('',*,*,#213940,.F.); #302400=ORIENTED_EDGE('',*,*,#213924,.F.); #302401=ORIENTED_EDGE('',*,*,#213929,.T.); #302402=ORIENTED_EDGE('',*,*,#213926,.F.); #302403=ORIENTED_EDGE('',*,*,#213939,.T.); #302404=ORIENTED_EDGE('',*,*,#213936,.T.); #302405=ORIENTED_EDGE('',*,*,#213933,.T.); #302406=ORIENTED_EDGE('',*,*,#213930,.F.); #302407=ORIENTED_EDGE('',*,*,#213941,.T.); #302408=ORIENTED_EDGE('',*,*,#213942,.T.); #302409=ORIENTED_EDGE('',*,*,#213943,.F.); #302410=ORIENTED_EDGE('',*,*,#213944,.T.); #302411=ORIENTED_EDGE('',*,*,#213945,.F.); #302412=ORIENTED_EDGE('',*,*,#213946,.F.); #302413=ORIENTED_EDGE('',*,*,#213941,.F.); #302414=ORIENTED_EDGE('',*,*,#213947,.F.); #302415=ORIENTED_EDGE('',*,*,#213945,.T.); #302416=ORIENTED_EDGE('',*,*,#213948,.T.); #302417=ORIENTED_EDGE('',*,*,#213949,.T.); #302418=ORIENTED_EDGE('',*,*,#213950,.T.); #302419=ORIENTED_EDGE('',*,*,#213951,.F.); #302420=ORIENTED_EDGE('',*,*,#213952,.T.); #302421=ORIENTED_EDGE('',*,*,#213953,.T.); #302422=ORIENTED_EDGE('',*,*,#213949,.F.); #302423=ORIENTED_EDGE('',*,*,#213954,.F.); #302424=ORIENTED_EDGE('',*,*,#213955,.T.); #302425=ORIENTED_EDGE('',*,*,#213956,.T.); #302426=ORIENTED_EDGE('',*,*,#213952,.F.); #302427=ORIENTED_EDGE('',*,*,#213957,.F.); #302428=ORIENTED_EDGE('',*,*,#213943,.T.); #302429=ORIENTED_EDGE('',*,*,#213958,.T.); #302430=ORIENTED_EDGE('',*,*,#213955,.F.); #302431=ORIENTED_EDGE('',*,*,#213946,.T.); #302432=ORIENTED_EDGE('',*,*,#213950,.F.); #302433=ORIENTED_EDGE('',*,*,#213953,.F.); #302434=ORIENTED_EDGE('',*,*,#213956,.F.); #302435=ORIENTED_EDGE('',*,*,#213958,.F.); #302436=ORIENTED_EDGE('',*,*,#213942,.F.); #302437=ORIENTED_EDGE('',*,*,#213947,.T.); #302438=ORIENTED_EDGE('',*,*,#213944,.F.); #302439=ORIENTED_EDGE('',*,*,#213957,.T.); #302440=ORIENTED_EDGE('',*,*,#213954,.T.); #302441=ORIENTED_EDGE('',*,*,#213951,.T.); #302442=ORIENTED_EDGE('',*,*,#213948,.F.); #302443=ORIENTED_EDGE('',*,*,#213959,.T.); #302444=ORIENTED_EDGE('',*,*,#213960,.T.); #302445=ORIENTED_EDGE('',*,*,#213961,.F.); #302446=ORIENTED_EDGE('',*,*,#213962,.T.); #302447=ORIENTED_EDGE('',*,*,#213963,.F.); #302448=ORIENTED_EDGE('',*,*,#213964,.F.); #302449=ORIENTED_EDGE('',*,*,#213959,.F.); #302450=ORIENTED_EDGE('',*,*,#213965,.F.); #302451=ORIENTED_EDGE('',*,*,#213963,.T.); #302452=ORIENTED_EDGE('',*,*,#213966,.T.); #302453=ORIENTED_EDGE('',*,*,#213967,.T.); #302454=ORIENTED_EDGE('',*,*,#213968,.T.); #302455=ORIENTED_EDGE('',*,*,#213969,.F.); #302456=ORIENTED_EDGE('',*,*,#213970,.T.); #302457=ORIENTED_EDGE('',*,*,#213971,.T.); #302458=ORIENTED_EDGE('',*,*,#213967,.F.); #302459=ORIENTED_EDGE('',*,*,#213972,.F.); #302460=ORIENTED_EDGE('',*,*,#213973,.T.); #302461=ORIENTED_EDGE('',*,*,#213974,.T.); #302462=ORIENTED_EDGE('',*,*,#213970,.F.); #302463=ORIENTED_EDGE('',*,*,#213975,.F.); #302464=ORIENTED_EDGE('',*,*,#213961,.T.); #302465=ORIENTED_EDGE('',*,*,#213976,.T.); #302466=ORIENTED_EDGE('',*,*,#213973,.F.); #302467=ORIENTED_EDGE('',*,*,#213964,.T.); #302468=ORIENTED_EDGE('',*,*,#213968,.F.); #302469=ORIENTED_EDGE('',*,*,#213971,.F.); #302470=ORIENTED_EDGE('',*,*,#213974,.F.); #302471=ORIENTED_EDGE('',*,*,#213976,.F.); #302472=ORIENTED_EDGE('',*,*,#213960,.F.); #302473=ORIENTED_EDGE('',*,*,#213965,.T.); #302474=ORIENTED_EDGE('',*,*,#213962,.F.); #302475=ORIENTED_EDGE('',*,*,#213975,.T.); #302476=ORIENTED_EDGE('',*,*,#213972,.T.); #302477=ORIENTED_EDGE('',*,*,#213969,.T.); #302478=ORIENTED_EDGE('',*,*,#213966,.F.); #302479=ORIENTED_EDGE('',*,*,#213977,.T.); #302480=ORIENTED_EDGE('',*,*,#213978,.T.); #302481=ORIENTED_EDGE('',*,*,#213979,.F.); #302482=ORIENTED_EDGE('',*,*,#213980,.T.); #302483=ORIENTED_EDGE('',*,*,#213981,.F.); #302484=ORIENTED_EDGE('',*,*,#213982,.F.); #302485=ORIENTED_EDGE('',*,*,#213977,.F.); #302486=ORIENTED_EDGE('',*,*,#213983,.F.); #302487=ORIENTED_EDGE('',*,*,#213981,.T.); #302488=ORIENTED_EDGE('',*,*,#213984,.T.); #302489=ORIENTED_EDGE('',*,*,#213985,.T.); #302490=ORIENTED_EDGE('',*,*,#213986,.T.); #302491=ORIENTED_EDGE('',*,*,#213987,.F.); #302492=ORIENTED_EDGE('',*,*,#213988,.T.); #302493=ORIENTED_EDGE('',*,*,#213989,.T.); #302494=ORIENTED_EDGE('',*,*,#213985,.F.); #302495=ORIENTED_EDGE('',*,*,#213990,.F.); #302496=ORIENTED_EDGE('',*,*,#213991,.T.); #302497=ORIENTED_EDGE('',*,*,#213992,.T.); #302498=ORIENTED_EDGE('',*,*,#213988,.F.); #302499=ORIENTED_EDGE('',*,*,#213993,.F.); #302500=ORIENTED_EDGE('',*,*,#213979,.T.); #302501=ORIENTED_EDGE('',*,*,#213994,.T.); #302502=ORIENTED_EDGE('',*,*,#213991,.F.); #302503=ORIENTED_EDGE('',*,*,#213982,.T.); #302504=ORIENTED_EDGE('',*,*,#213986,.F.); #302505=ORIENTED_EDGE('',*,*,#213989,.F.); #302506=ORIENTED_EDGE('',*,*,#213992,.F.); #302507=ORIENTED_EDGE('',*,*,#213994,.F.); #302508=ORIENTED_EDGE('',*,*,#213978,.F.); #302509=ORIENTED_EDGE('',*,*,#213983,.T.); #302510=ORIENTED_EDGE('',*,*,#213980,.F.); #302511=ORIENTED_EDGE('',*,*,#213993,.T.); #302512=ORIENTED_EDGE('',*,*,#213990,.T.); #302513=ORIENTED_EDGE('',*,*,#213987,.T.); #302514=ORIENTED_EDGE('',*,*,#213984,.F.); #302515=ORIENTED_EDGE('',*,*,#213995,.T.); #302516=ORIENTED_EDGE('',*,*,#213996,.T.); #302517=ORIENTED_EDGE('',*,*,#213997,.F.); #302518=ORIENTED_EDGE('',*,*,#213998,.T.); #302519=ORIENTED_EDGE('',*,*,#213999,.F.); #302520=ORIENTED_EDGE('',*,*,#214000,.F.); #302521=ORIENTED_EDGE('',*,*,#213995,.F.); #302522=ORIENTED_EDGE('',*,*,#214001,.F.); #302523=ORIENTED_EDGE('',*,*,#213999,.T.); #302524=ORIENTED_EDGE('',*,*,#214002,.T.); #302525=ORIENTED_EDGE('',*,*,#214003,.T.); #302526=ORIENTED_EDGE('',*,*,#214004,.T.); #302527=ORIENTED_EDGE('',*,*,#214005,.F.); #302528=ORIENTED_EDGE('',*,*,#214006,.T.); #302529=ORIENTED_EDGE('',*,*,#214007,.T.); #302530=ORIENTED_EDGE('',*,*,#214003,.F.); #302531=ORIENTED_EDGE('',*,*,#214008,.F.); #302532=ORIENTED_EDGE('',*,*,#214009,.T.); #302533=ORIENTED_EDGE('',*,*,#214010,.T.); #302534=ORIENTED_EDGE('',*,*,#214006,.F.); #302535=ORIENTED_EDGE('',*,*,#214011,.F.); #302536=ORIENTED_EDGE('',*,*,#213997,.T.); #302537=ORIENTED_EDGE('',*,*,#214012,.T.); #302538=ORIENTED_EDGE('',*,*,#214009,.F.); #302539=ORIENTED_EDGE('',*,*,#214000,.T.); #302540=ORIENTED_EDGE('',*,*,#214004,.F.); #302541=ORIENTED_EDGE('',*,*,#214007,.F.); #302542=ORIENTED_EDGE('',*,*,#214010,.F.); #302543=ORIENTED_EDGE('',*,*,#214012,.F.); #302544=ORIENTED_EDGE('',*,*,#213996,.F.); #302545=ORIENTED_EDGE('',*,*,#214001,.T.); #302546=ORIENTED_EDGE('',*,*,#213998,.F.); #302547=ORIENTED_EDGE('',*,*,#214011,.T.); #302548=ORIENTED_EDGE('',*,*,#214008,.T.); #302549=ORIENTED_EDGE('',*,*,#214005,.T.); #302550=ORIENTED_EDGE('',*,*,#214002,.F.); #302551=ORIENTED_EDGE('',*,*,#214013,.T.); #302552=ORIENTED_EDGE('',*,*,#214014,.T.); #302553=ORIENTED_EDGE('',*,*,#214015,.F.); #302554=ORIENTED_EDGE('',*,*,#214016,.T.); #302555=ORIENTED_EDGE('',*,*,#214017,.F.); #302556=ORIENTED_EDGE('',*,*,#214018,.F.); #302557=ORIENTED_EDGE('',*,*,#214013,.F.); #302558=ORIENTED_EDGE('',*,*,#214019,.F.); #302559=ORIENTED_EDGE('',*,*,#214017,.T.); #302560=ORIENTED_EDGE('',*,*,#214020,.T.); #302561=ORIENTED_EDGE('',*,*,#214021,.T.); #302562=ORIENTED_EDGE('',*,*,#214022,.T.); #302563=ORIENTED_EDGE('',*,*,#214023,.F.); #302564=ORIENTED_EDGE('',*,*,#214024,.T.); #302565=ORIENTED_EDGE('',*,*,#214025,.T.); #302566=ORIENTED_EDGE('',*,*,#214021,.F.); #302567=ORIENTED_EDGE('',*,*,#214026,.F.); #302568=ORIENTED_EDGE('',*,*,#214027,.T.); #302569=ORIENTED_EDGE('',*,*,#214028,.T.); #302570=ORIENTED_EDGE('',*,*,#214024,.F.); #302571=ORIENTED_EDGE('',*,*,#214029,.F.); #302572=ORIENTED_EDGE('',*,*,#214015,.T.); #302573=ORIENTED_EDGE('',*,*,#214030,.T.); #302574=ORIENTED_EDGE('',*,*,#214027,.F.); #302575=ORIENTED_EDGE('',*,*,#214018,.T.); #302576=ORIENTED_EDGE('',*,*,#214022,.F.); #302577=ORIENTED_EDGE('',*,*,#214025,.F.); #302578=ORIENTED_EDGE('',*,*,#214028,.F.); #302579=ORIENTED_EDGE('',*,*,#214030,.F.); #302580=ORIENTED_EDGE('',*,*,#214014,.F.); #302581=ORIENTED_EDGE('',*,*,#214019,.T.); #302582=ORIENTED_EDGE('',*,*,#214016,.F.); #302583=ORIENTED_EDGE('',*,*,#214029,.T.); #302584=ORIENTED_EDGE('',*,*,#214026,.T.); #302585=ORIENTED_EDGE('',*,*,#214023,.T.); #302586=ORIENTED_EDGE('',*,*,#214020,.F.); #302587=ORIENTED_EDGE('',*,*,#214031,.T.); #302588=ORIENTED_EDGE('',*,*,#214032,.T.); #302589=ORIENTED_EDGE('',*,*,#214033,.F.); #302590=ORIENTED_EDGE('',*,*,#214034,.T.); #302591=ORIENTED_EDGE('',*,*,#214035,.F.); #302592=ORIENTED_EDGE('',*,*,#214036,.F.); #302593=ORIENTED_EDGE('',*,*,#214031,.F.); #302594=ORIENTED_EDGE('',*,*,#214037,.F.); #302595=ORIENTED_EDGE('',*,*,#214035,.T.); #302596=ORIENTED_EDGE('',*,*,#214038,.T.); #302597=ORIENTED_EDGE('',*,*,#214039,.T.); #302598=ORIENTED_EDGE('',*,*,#214040,.T.); #302599=ORIENTED_EDGE('',*,*,#214041,.F.); #302600=ORIENTED_EDGE('',*,*,#214042,.T.); #302601=ORIENTED_EDGE('',*,*,#214043,.T.); #302602=ORIENTED_EDGE('',*,*,#214039,.F.); #302603=ORIENTED_EDGE('',*,*,#214044,.F.); #302604=ORIENTED_EDGE('',*,*,#214045,.T.); #302605=ORIENTED_EDGE('',*,*,#214046,.T.); #302606=ORIENTED_EDGE('',*,*,#214042,.F.); #302607=ORIENTED_EDGE('',*,*,#214047,.F.); #302608=ORIENTED_EDGE('',*,*,#214033,.T.); #302609=ORIENTED_EDGE('',*,*,#214048,.T.); #302610=ORIENTED_EDGE('',*,*,#214045,.F.); #302611=ORIENTED_EDGE('',*,*,#214036,.T.); #302612=ORIENTED_EDGE('',*,*,#214040,.F.); #302613=ORIENTED_EDGE('',*,*,#214043,.F.); #302614=ORIENTED_EDGE('',*,*,#214046,.F.); #302615=ORIENTED_EDGE('',*,*,#214048,.F.); #302616=ORIENTED_EDGE('',*,*,#214032,.F.); #302617=ORIENTED_EDGE('',*,*,#214037,.T.); #302618=ORIENTED_EDGE('',*,*,#214034,.F.); #302619=ORIENTED_EDGE('',*,*,#214047,.T.); #302620=ORIENTED_EDGE('',*,*,#214044,.T.); #302621=ORIENTED_EDGE('',*,*,#214041,.T.); #302622=ORIENTED_EDGE('',*,*,#214038,.F.); #302623=ORIENTED_EDGE('',*,*,#214049,.T.); #302624=ORIENTED_EDGE('',*,*,#214050,.T.); #302625=ORIENTED_EDGE('',*,*,#214051,.F.); #302626=ORIENTED_EDGE('',*,*,#214052,.T.); #302627=ORIENTED_EDGE('',*,*,#214053,.F.); #302628=ORIENTED_EDGE('',*,*,#214054,.F.); #302629=ORIENTED_EDGE('',*,*,#214049,.F.); #302630=ORIENTED_EDGE('',*,*,#214055,.F.); #302631=ORIENTED_EDGE('',*,*,#214053,.T.); #302632=ORIENTED_EDGE('',*,*,#214056,.T.); #302633=ORIENTED_EDGE('',*,*,#214057,.T.); #302634=ORIENTED_EDGE('',*,*,#214058,.T.); #302635=ORIENTED_EDGE('',*,*,#214059,.F.); #302636=ORIENTED_EDGE('',*,*,#214060,.T.); #302637=ORIENTED_EDGE('',*,*,#214061,.T.); #302638=ORIENTED_EDGE('',*,*,#214057,.F.); #302639=ORIENTED_EDGE('',*,*,#214062,.F.); #302640=ORIENTED_EDGE('',*,*,#214063,.T.); #302641=ORIENTED_EDGE('',*,*,#214064,.T.); #302642=ORIENTED_EDGE('',*,*,#214060,.F.); #302643=ORIENTED_EDGE('',*,*,#214065,.F.); #302644=ORIENTED_EDGE('',*,*,#214051,.T.); #302645=ORIENTED_EDGE('',*,*,#214066,.T.); #302646=ORIENTED_EDGE('',*,*,#214063,.F.); #302647=ORIENTED_EDGE('',*,*,#214054,.T.); #302648=ORIENTED_EDGE('',*,*,#214058,.F.); #302649=ORIENTED_EDGE('',*,*,#214061,.F.); #302650=ORIENTED_EDGE('',*,*,#214064,.F.); #302651=ORIENTED_EDGE('',*,*,#214066,.F.); #302652=ORIENTED_EDGE('',*,*,#214050,.F.); #302653=ORIENTED_EDGE('',*,*,#214055,.T.); #302654=ORIENTED_EDGE('',*,*,#214052,.F.); #302655=ORIENTED_EDGE('',*,*,#214065,.T.); #302656=ORIENTED_EDGE('',*,*,#214062,.T.); #302657=ORIENTED_EDGE('',*,*,#214059,.T.); #302658=ORIENTED_EDGE('',*,*,#214056,.F.); #302659=ORIENTED_EDGE('',*,*,#214067,.T.); #302660=ORIENTED_EDGE('',*,*,#214068,.T.); #302661=ORIENTED_EDGE('',*,*,#214069,.F.); #302662=ORIENTED_EDGE('',*,*,#214070,.T.); #302663=ORIENTED_EDGE('',*,*,#214071,.F.); #302664=ORIENTED_EDGE('',*,*,#214072,.F.); #302665=ORIENTED_EDGE('',*,*,#214067,.F.); #302666=ORIENTED_EDGE('',*,*,#214073,.F.); #302667=ORIENTED_EDGE('',*,*,#214071,.T.); #302668=ORIENTED_EDGE('',*,*,#214074,.T.); #302669=ORIENTED_EDGE('',*,*,#214075,.T.); #302670=ORIENTED_EDGE('',*,*,#214076,.T.); #302671=ORIENTED_EDGE('',*,*,#214077,.F.); #302672=ORIENTED_EDGE('',*,*,#214078,.T.); #302673=ORIENTED_EDGE('',*,*,#214079,.T.); #302674=ORIENTED_EDGE('',*,*,#214075,.F.); #302675=ORIENTED_EDGE('',*,*,#214080,.F.); #302676=ORIENTED_EDGE('',*,*,#214081,.T.); #302677=ORIENTED_EDGE('',*,*,#214082,.T.); #302678=ORIENTED_EDGE('',*,*,#214078,.F.); #302679=ORIENTED_EDGE('',*,*,#214083,.F.); #302680=ORIENTED_EDGE('',*,*,#214069,.T.); #302681=ORIENTED_EDGE('',*,*,#214084,.T.); #302682=ORIENTED_EDGE('',*,*,#214081,.F.); #302683=ORIENTED_EDGE('',*,*,#214072,.T.); #302684=ORIENTED_EDGE('',*,*,#214076,.F.); #302685=ORIENTED_EDGE('',*,*,#214079,.F.); #302686=ORIENTED_EDGE('',*,*,#214082,.F.); #302687=ORIENTED_EDGE('',*,*,#214084,.F.); #302688=ORIENTED_EDGE('',*,*,#214068,.F.); #302689=ORIENTED_EDGE('',*,*,#214073,.T.); #302690=ORIENTED_EDGE('',*,*,#214070,.F.); #302691=ORIENTED_EDGE('',*,*,#214083,.T.); #302692=ORIENTED_EDGE('',*,*,#214080,.T.); #302693=ORIENTED_EDGE('',*,*,#214077,.T.); #302694=ORIENTED_EDGE('',*,*,#214074,.F.); #302695=ORIENTED_EDGE('',*,*,#214085,.T.); #302696=ORIENTED_EDGE('',*,*,#214086,.T.); #302697=ORIENTED_EDGE('',*,*,#214087,.F.); #302698=ORIENTED_EDGE('',*,*,#214088,.F.); #302699=ORIENTED_EDGE('',*,*,#214089,.T.); #302700=ORIENTED_EDGE('',*,*,#214088,.T.); #302701=ORIENTED_EDGE('',*,*,#214090,.F.); #302702=ORIENTED_EDGE('',*,*,#214091,.F.); #302703=ORIENTED_EDGE('',*,*,#214092,.T.); #302704=ORIENTED_EDGE('',*,*,#214091,.T.); #302705=ORIENTED_EDGE('',*,*,#214093,.F.); #302706=ORIENTED_EDGE('',*,*,#214094,.F.); #302707=ORIENTED_EDGE('',*,*,#214095,.T.); #302708=ORIENTED_EDGE('',*,*,#214094,.T.); #302709=ORIENTED_EDGE('',*,*,#214096,.F.); #302710=ORIENTED_EDGE('',*,*,#214086,.F.); #302711=ORIENTED_EDGE('',*,*,#214096,.T.); #302712=ORIENTED_EDGE('',*,*,#214093,.T.); #302713=ORIENTED_EDGE('',*,*,#214090,.T.); #302714=ORIENTED_EDGE('',*,*,#214087,.T.); #302715=ORIENTED_EDGE('',*,*,#214095,.F.); #302716=ORIENTED_EDGE('',*,*,#214085,.F.); #302717=ORIENTED_EDGE('',*,*,#214089,.F.); #302718=ORIENTED_EDGE('',*,*,#214092,.F.); #302719=ORIENTED_EDGE('',*,*,#214097,.F.); #302720=ORIENTED_EDGE('',*,*,#214098,.F.); #302721=ORIENTED_EDGE('',*,*,#214099,.F.); #302722=ORIENTED_EDGE('',*,*,#214100,.F.); #302723=ORIENTED_EDGE('',*,*,#214101,.F.); #302724=ORIENTED_EDGE('',*,*,#214102,.F.); #302725=ORIENTED_EDGE('',*,*,#214103,.F.); #302726=ORIENTED_EDGE('',*,*,#214104,.F.); #302727=ORIENTED_EDGE('',*,*,#214105,.F.); #302728=ORIENTED_EDGE('',*,*,#214106,.F.); #302729=ORIENTED_EDGE('',*,*,#214107,.F.); #302730=ORIENTED_EDGE('',*,*,#214108,.F.); #302731=ORIENTED_EDGE('',*,*,#214109,.F.); #302732=ORIENTED_EDGE('',*,*,#214110,.F.); #302733=ORIENTED_EDGE('',*,*,#214111,.F.); #302734=ORIENTED_EDGE('',*,*,#214110,.T.); #302735=ORIENTED_EDGE('',*,*,#214112,.T.); #302736=ORIENTED_EDGE('',*,*,#214105,.T.); #302737=ORIENTED_EDGE('',*,*,#214113,.T.); #302738=ORIENTED_EDGE('',*,*,#214114,.F.); #302739=ORIENTED_EDGE('',*,*,#214115,.F.); #302740=ORIENTED_EDGE('',*,*,#214116,.F.); #302741=ORIENTED_EDGE('',*,*,#214117,.F.); #302742=ORIENTED_EDGE('',*,*,#214118,.F.); #302743=ORIENTED_EDGE('',*,*,#214119,.F.); #302744=ORIENTED_EDGE('',*,*,#214104,.T.); #302745=ORIENTED_EDGE('',*,*,#214120,.T.); #302746=ORIENTED_EDGE('',*,*,#214118,.T.); #302747=ORIENTED_EDGE('',*,*,#214121,.T.); #302748=ORIENTED_EDGE('',*,*,#214107,.T.); #302749=ORIENTED_EDGE('',*,*,#214122,.T.); #302750=ORIENTED_EDGE('',*,*,#214119,.T.); #302751=ORIENTED_EDGE('',*,*,#214123,.T.); #302752=ORIENTED_EDGE('',*,*,#214124,.F.); #302753=ORIENTED_EDGE('',*,*,#214125,.F.); #302754=ORIENTED_EDGE('',*,*,#214126,.F.); #302755=ORIENTED_EDGE('',*,*,#214111,.T.); #302756=ORIENTED_EDGE('',*,*,#214127,.T.); #302757=ORIENTED_EDGE('',*,*,#214125,.T.); #302758=ORIENTED_EDGE('',*,*,#214128,.T.); #302759=ORIENTED_EDGE('',*,*,#214117,.T.); #302760=ORIENTED_EDGE('',*,*,#214129,.T.); #302761=ORIENTED_EDGE('',*,*,#214126,.T.); #302762=ORIENTED_EDGE('',*,*,#214130,.T.); #302763=ORIENTED_EDGE('',*,*,#214115,.T.); #302764=ORIENTED_EDGE('',*,*,#214131,.T.); #302765=ORIENTED_EDGE('',*,*,#214124,.T.); #302766=ORIENTED_EDGE('',*,*,#214132,.T.); #302767=ORIENTED_EDGE('',*,*,#214106,.T.); #302768=ORIENTED_EDGE('',*,*,#214133,.T.); #302769=ORIENTED_EDGE('',*,*,#214116,.T.); #302770=ORIENTED_EDGE('',*,*,#214134,.T.); #302771=ORIENTED_EDGE('',*,*,#214114,.T.); #302772=ORIENTED_EDGE('',*,*,#214135,.T.); #302773=ORIENTED_EDGE('',*,*,#214102,.T.); #302774=ORIENTED_EDGE('',*,*,#214136,.T.); #302775=ORIENTED_EDGE('',*,*,#214101,.T.); #302776=ORIENTED_EDGE('',*,*,#214137,.T.); #302777=ORIENTED_EDGE('',*,*,#214109,.T.); #302778=ORIENTED_EDGE('',*,*,#214138,.T.); #302779=ORIENTED_EDGE('',*,*,#214097,.T.); #302780=ORIENTED_EDGE('',*,*,#214139,.T.); #302781=ORIENTED_EDGE('',*,*,#214108,.T.); #302782=ORIENTED_EDGE('',*,*,#214140,.T.); #302783=ORIENTED_EDGE('',*,*,#214098,.T.); #302784=ORIENTED_EDGE('',*,*,#214141,.T.); #302785=ORIENTED_EDGE('',*,*,#214103,.T.); #302786=ORIENTED_EDGE('',*,*,#214142,.T.); #302787=ORIENTED_EDGE('',*,*,#214100,.T.); #302788=ORIENTED_EDGE('',*,*,#214143,.T.); #302789=ORIENTED_EDGE('',*,*,#214099,.T.); #302790=ORIENTED_EDGE('',*,*,#214144,.T.); #302791=ORIENTED_EDGE('',*,*,#214112,.F.); #302792=ORIENTED_EDGE('',*,*,#214137,.F.); #302793=ORIENTED_EDGE('',*,*,#214144,.F.); #302794=ORIENTED_EDGE('',*,*,#214142,.F.); #302795=ORIENTED_EDGE('',*,*,#214113,.F.); #302796=ORIENTED_EDGE('',*,*,#214121,.F.); #302797=ORIENTED_EDGE('',*,*,#214130,.F.); #302798=ORIENTED_EDGE('',*,*,#214127,.F.); #302799=ORIENTED_EDGE('',*,*,#214120,.F.); #302800=ORIENTED_EDGE('',*,*,#214141,.F.); #302801=ORIENTED_EDGE('',*,*,#214140,.F.); #302802=ORIENTED_EDGE('',*,*,#214123,.F.); #302803=ORIENTED_EDGE('',*,*,#214122,.F.); #302804=ORIENTED_EDGE('',*,*,#214134,.F.); #302805=ORIENTED_EDGE('',*,*,#214132,.F.); #302806=ORIENTED_EDGE('',*,*,#214129,.F.); #302807=ORIENTED_EDGE('',*,*,#214128,.F.); #302808=ORIENTED_EDGE('',*,*,#214131,.F.); #302809=ORIENTED_EDGE('',*,*,#214136,.F.); #302810=ORIENTED_EDGE('',*,*,#214138,.F.); #302811=ORIENTED_EDGE('',*,*,#214133,.F.); #302812=ORIENTED_EDGE('',*,*,#214139,.F.); #302813=ORIENTED_EDGE('',*,*,#214143,.F.); #302814=ORIENTED_EDGE('',*,*,#214135,.F.); #302815=ORIENTED_EDGE('',*,*,#214145,.F.); #302816=ORIENTED_EDGE('',*,*,#214146,.F.); #302817=ORIENTED_EDGE('',*,*,#214147,.F.); #302818=ORIENTED_EDGE('',*,*,#214148,.F.); #302819=ORIENTED_EDGE('',*,*,#214149,.F.); #302820=ORIENTED_EDGE('',*,*,#214150,.F.); #302821=ORIENTED_EDGE('',*,*,#214151,.F.); #302822=ORIENTED_EDGE('',*,*,#214152,.F.); #302823=ORIENTED_EDGE('',*,*,#214153,.F.); #302824=ORIENTED_EDGE('',*,*,#214154,.F.); #302825=ORIENTED_EDGE('',*,*,#214155,.F.); #302826=ORIENTED_EDGE('',*,*,#214156,.F.); #302827=ORIENTED_EDGE('',*,*,#214157,.F.); #302828=ORIENTED_EDGE('',*,*,#214158,.F.); #302829=ORIENTED_EDGE('',*,*,#214159,.F.); #302830=ORIENTED_EDGE('',*,*,#214158,.T.); #302831=ORIENTED_EDGE('',*,*,#214160,.T.); #302832=ORIENTED_EDGE('',*,*,#214153,.T.); #302833=ORIENTED_EDGE('',*,*,#214161,.T.); #302834=ORIENTED_EDGE('',*,*,#214162,.F.); #302835=ORIENTED_EDGE('',*,*,#214163,.F.); #302836=ORIENTED_EDGE('',*,*,#214164,.F.); #302837=ORIENTED_EDGE('',*,*,#214165,.F.); #302838=ORIENTED_EDGE('',*,*,#214166,.F.); #302839=ORIENTED_EDGE('',*,*,#214167,.F.); #302840=ORIENTED_EDGE('',*,*,#214152,.T.); #302841=ORIENTED_EDGE('',*,*,#214168,.T.); #302842=ORIENTED_EDGE('',*,*,#214166,.T.); #302843=ORIENTED_EDGE('',*,*,#214169,.T.); #302844=ORIENTED_EDGE('',*,*,#214155,.T.); #302845=ORIENTED_EDGE('',*,*,#214170,.T.); #302846=ORIENTED_EDGE('',*,*,#214167,.T.); #302847=ORIENTED_EDGE('',*,*,#214171,.T.); #302848=ORIENTED_EDGE('',*,*,#214172,.F.); #302849=ORIENTED_EDGE('',*,*,#214173,.F.); #302850=ORIENTED_EDGE('',*,*,#214174,.F.); #302851=ORIENTED_EDGE('',*,*,#214173,.T.); #302852=ORIENTED_EDGE('',*,*,#214175,.T.); #302853=ORIENTED_EDGE('',*,*,#214159,.T.); #302854=ORIENTED_EDGE('',*,*,#214176,.T.); #302855=ORIENTED_EDGE('',*,*,#214165,.T.); #302856=ORIENTED_EDGE('',*,*,#214177,.T.); #302857=ORIENTED_EDGE('',*,*,#214174,.T.); #302858=ORIENTED_EDGE('',*,*,#214178,.T.); #302859=ORIENTED_EDGE('',*,*,#214163,.T.); #302860=ORIENTED_EDGE('',*,*,#214179,.T.); #302861=ORIENTED_EDGE('',*,*,#214172,.T.); #302862=ORIENTED_EDGE('',*,*,#214180,.T.); #302863=ORIENTED_EDGE('',*,*,#214154,.T.); #302864=ORIENTED_EDGE('',*,*,#214181,.T.); #302865=ORIENTED_EDGE('',*,*,#214164,.T.); #302866=ORIENTED_EDGE('',*,*,#214182,.T.); #302867=ORIENTED_EDGE('',*,*,#214162,.T.); #302868=ORIENTED_EDGE('',*,*,#214183,.T.); #302869=ORIENTED_EDGE('',*,*,#214150,.T.); #302870=ORIENTED_EDGE('',*,*,#214184,.T.); #302871=ORIENTED_EDGE('',*,*,#214149,.T.); #302872=ORIENTED_EDGE('',*,*,#214185,.T.); #302873=ORIENTED_EDGE('',*,*,#214157,.T.); #302874=ORIENTED_EDGE('',*,*,#214186,.T.); #302875=ORIENTED_EDGE('',*,*,#214145,.T.); #302876=ORIENTED_EDGE('',*,*,#214187,.T.); #302877=ORIENTED_EDGE('',*,*,#214156,.T.); #302878=ORIENTED_EDGE('',*,*,#214188,.T.); #302879=ORIENTED_EDGE('',*,*,#214146,.T.); #302880=ORIENTED_EDGE('',*,*,#214189,.T.); #302881=ORIENTED_EDGE('',*,*,#214151,.T.); #302882=ORIENTED_EDGE('',*,*,#214190,.T.); #302883=ORIENTED_EDGE('',*,*,#214148,.T.); #302884=ORIENTED_EDGE('',*,*,#214191,.T.); #302885=ORIENTED_EDGE('',*,*,#214147,.T.); #302886=ORIENTED_EDGE('',*,*,#214192,.T.); #302887=ORIENTED_EDGE('',*,*,#214160,.F.); #302888=ORIENTED_EDGE('',*,*,#214185,.F.); #302889=ORIENTED_EDGE('',*,*,#214192,.F.); #302890=ORIENTED_EDGE('',*,*,#214190,.F.); #302891=ORIENTED_EDGE('',*,*,#214161,.F.); #302892=ORIENTED_EDGE('',*,*,#214169,.F.); #302893=ORIENTED_EDGE('',*,*,#214178,.F.); #302894=ORIENTED_EDGE('',*,*,#214176,.F.); #302895=ORIENTED_EDGE('',*,*,#214168,.F.); #302896=ORIENTED_EDGE('',*,*,#214189,.F.); #302897=ORIENTED_EDGE('',*,*,#214188,.F.); #302898=ORIENTED_EDGE('',*,*,#214171,.F.); #302899=ORIENTED_EDGE('',*,*,#214170,.F.); #302900=ORIENTED_EDGE('',*,*,#214182,.F.); #302901=ORIENTED_EDGE('',*,*,#214180,.F.); #302902=ORIENTED_EDGE('',*,*,#214177,.F.); #302903=ORIENTED_EDGE('',*,*,#214175,.F.); #302904=ORIENTED_EDGE('',*,*,#214179,.F.); #302905=ORIENTED_EDGE('',*,*,#214184,.F.); #302906=ORIENTED_EDGE('',*,*,#214186,.F.); #302907=ORIENTED_EDGE('',*,*,#214181,.F.); #302908=ORIENTED_EDGE('',*,*,#214187,.F.); #302909=ORIENTED_EDGE('',*,*,#214191,.F.); #302910=ORIENTED_EDGE('',*,*,#214183,.F.); #302911=ORIENTED_EDGE('',*,*,#214193,.F.); #302912=ORIENTED_EDGE('',*,*,#214194,.T.); #302913=ORIENTED_EDGE('',*,*,#214195,.F.); #302914=ORIENTED_EDGE('',*,*,#214196,.F.); #302915=ORIENTED_EDGE('',*,*,#214197,.T.); #302916=ORIENTED_EDGE('',*,*,#214196,.T.); #302917=ORIENTED_EDGE('',*,*,#214198,.F.); #302918=ORIENTED_EDGE('',*,*,#214199,.F.); #302919=ORIENTED_EDGE('',*,*,#214200,.T.); #302920=ORIENTED_EDGE('',*,*,#214199,.T.); #302921=ORIENTED_EDGE('',*,*,#214201,.F.); #302922=ORIENTED_EDGE('',*,*,#214202,.F.); #302923=ORIENTED_EDGE('',*,*,#214203,.T.); #302924=ORIENTED_EDGE('',*,*,#214202,.T.); #302925=ORIENTED_EDGE('',*,*,#214204,.F.); #302926=ORIENTED_EDGE('',*,*,#214194,.F.); #302927=ORIENTED_EDGE('',*,*,#214204,.T.); #302928=ORIENTED_EDGE('',*,*,#214201,.T.); #302929=ORIENTED_EDGE('',*,*,#214198,.T.); #302930=ORIENTED_EDGE('',*,*,#214195,.T.); #302931=ORIENTED_EDGE('',*,*,#214203,.F.); #302932=ORIENTED_EDGE('',*,*,#214193,.T.); #302933=ORIENTED_EDGE('',*,*,#214197,.F.); #302934=ORIENTED_EDGE('',*,*,#214200,.F.); #302935=ORIENTED_EDGE('',*,*,#214205,.T.); #302936=ORIENTED_EDGE('',*,*,#214206,.T.); #302937=ORIENTED_EDGE('',*,*,#214207,.T.); #302938=ORIENTED_EDGE('',*,*,#214208,.F.); #302939=ORIENTED_EDGE('',*,*,#214209,.T.); #302940=ORIENTED_EDGE('',*,*,#214210,.T.); #302941=ORIENTED_EDGE('',*,*,#214211,.T.); #302942=ORIENTED_EDGE('',*,*,#214206,.F.); #302943=ORIENTED_EDGE('',*,*,#214212,.T.); #302944=ORIENTED_EDGE('',*,*,#214213,.T.); #302945=ORIENTED_EDGE('',*,*,#214214,.T.); #302946=ORIENTED_EDGE('',*,*,#214210,.F.); #302947=ORIENTED_EDGE('',*,*,#214215,.T.); #302948=ORIENTED_EDGE('',*,*,#214213,.F.); #302949=ORIENTED_EDGE('',*,*,#214216,.T.); #302950=ORIENTED_EDGE('',*,*,#214208,.T.); #302951=ORIENTED_EDGE('',*,*,#214215,.F.); #302952=ORIENTED_EDGE('',*,*,#214217,.F.); #302953=ORIENTED_EDGE('',*,*,#214218,.T.); #302954=ORIENTED_EDGE('',*,*,#214219,.T.); #302955=ORIENTED_EDGE('',*,*,#214207,.F.); #302956=ORIENTED_EDGE('',*,*,#214220,.F.); #302957=ORIENTED_EDGE('',*,*,#214221,.T.); #302958=ORIENTED_EDGE('',*,*,#214217,.T.); #302959=ORIENTED_EDGE('',*,*,#214205,.F.); #302960=ORIENTED_EDGE('',*,*,#214216,.F.); #302961=ORIENTED_EDGE('',*,*,#214212,.F.); #302962=ORIENTED_EDGE('',*,*,#214209,.F.); #302963=ORIENTED_EDGE('',*,*,#214211,.F.); #302964=ORIENTED_EDGE('',*,*,#214222,.F.); #302965=ORIENTED_EDGE('',*,*,#214223,.T.); #302966=ORIENTED_EDGE('',*,*,#214220,.T.); #302967=ORIENTED_EDGE('',*,*,#214214,.F.); #302968=ORIENTED_EDGE('',*,*,#214219,.F.); #302969=ORIENTED_EDGE('',*,*,#214224,.T.); #302970=ORIENTED_EDGE('',*,*,#214222,.T.); #302971=ORIENTED_EDGE('',*,*,#214224,.F.); #302972=ORIENTED_EDGE('',*,*,#214218,.F.); #302973=ORIENTED_EDGE('',*,*,#214221,.F.); #302974=ORIENTED_EDGE('',*,*,#214223,.F.); #302975=ORIENTED_EDGE('',*,*,#214225,.F.); #302976=ORIENTED_EDGE('',*,*,#214226,.T.); #302977=ORIENTED_EDGE('',*,*,#214227,.F.); #302978=ORIENTED_EDGE('',*,*,#214228,.F.); #302979=ORIENTED_EDGE('',*,*,#214229,.T.); #302980=ORIENTED_EDGE('',*,*,#214228,.T.); #302981=ORIENTED_EDGE('',*,*,#214230,.F.); #302982=ORIENTED_EDGE('',*,*,#214231,.F.); #302983=ORIENTED_EDGE('',*,*,#214232,.T.); #302984=ORIENTED_EDGE('',*,*,#214231,.T.); #302985=ORIENTED_EDGE('',*,*,#214233,.F.); #302986=ORIENTED_EDGE('',*,*,#214234,.F.); #302987=ORIENTED_EDGE('',*,*,#214235,.T.); #302988=ORIENTED_EDGE('',*,*,#214234,.T.); #302989=ORIENTED_EDGE('',*,*,#214236,.F.); #302990=ORIENTED_EDGE('',*,*,#214226,.F.); #302991=ORIENTED_EDGE('',*,*,#214236,.T.); #302992=ORIENTED_EDGE('',*,*,#214233,.T.); #302993=ORIENTED_EDGE('',*,*,#214230,.T.); #302994=ORIENTED_EDGE('',*,*,#214227,.T.); #302995=ORIENTED_EDGE('',*,*,#214235,.F.); #302996=ORIENTED_EDGE('',*,*,#214225,.T.); #302997=ORIENTED_EDGE('',*,*,#214229,.F.); #302998=ORIENTED_EDGE('',*,*,#214232,.F.); #302999=ORIENTED_EDGE('',*,*,#214237,.T.); #303000=ORIENTED_EDGE('',*,*,#214238,.T.); #303001=ORIENTED_EDGE('',*,*,#214239,.F.); #303002=ORIENTED_EDGE('',*,*,#214240,.F.); #303003=ORIENTED_EDGE('',*,*,#214241,.T.); #303004=ORIENTED_EDGE('',*,*,#214240,.T.); #303005=ORIENTED_EDGE('',*,*,#214242,.F.); #303006=ORIENTED_EDGE('',*,*,#214243,.F.); #303007=ORIENTED_EDGE('',*,*,#214244,.T.); #303008=ORIENTED_EDGE('',*,*,#214243,.T.); #303009=ORIENTED_EDGE('',*,*,#214245,.F.); #303010=ORIENTED_EDGE('',*,*,#214246,.F.); #303011=ORIENTED_EDGE('',*,*,#214247,.T.); #303012=ORIENTED_EDGE('',*,*,#214246,.T.); #303013=ORIENTED_EDGE('',*,*,#214248,.F.); #303014=ORIENTED_EDGE('',*,*,#214238,.F.); #303015=ORIENTED_EDGE('',*,*,#214248,.T.); #303016=ORIENTED_EDGE('',*,*,#214245,.T.); #303017=ORIENTED_EDGE('',*,*,#214242,.T.); #303018=ORIENTED_EDGE('',*,*,#214239,.T.); #303019=ORIENTED_EDGE('',*,*,#214247,.F.); #303020=ORIENTED_EDGE('',*,*,#214237,.F.); #303021=ORIENTED_EDGE('',*,*,#214241,.F.); #303022=ORIENTED_EDGE('',*,*,#214244,.F.); #303023=ORIENTED_EDGE('',*,*,#214249,.F.); #303024=ORIENTED_EDGE('',*,*,#214250,.T.); #303025=ORIENTED_EDGE('',*,*,#214251,.F.); #303026=ORIENTED_EDGE('',*,*,#214252,.F.); #303027=ORIENTED_EDGE('',*,*,#214253,.F.); #303028=ORIENTED_EDGE('',*,*,#214252,.T.); #303029=ORIENTED_EDGE('',*,*,#214254,.F.); #303030=ORIENTED_EDGE('',*,*,#214255,.F.); #303031=ORIENTED_EDGE('',*,*,#214256,.F.); #303032=ORIENTED_EDGE('',*,*,#214255,.T.); #303033=ORIENTED_EDGE('',*,*,#214257,.F.); #303034=ORIENTED_EDGE('',*,*,#214258,.F.); #303035=ORIENTED_EDGE('',*,*,#214259,.F.); #303036=ORIENTED_EDGE('',*,*,#214258,.T.); #303037=ORIENTED_EDGE('',*,*,#214260,.F.); #303038=ORIENTED_EDGE('',*,*,#214250,.F.); #303039=ORIENTED_EDGE('',*,*,#214260,.T.); #303040=ORIENTED_EDGE('',*,*,#214257,.T.); #303041=ORIENTED_EDGE('',*,*,#214254,.T.); #303042=ORIENTED_EDGE('',*,*,#214251,.T.); #303043=ORIENTED_EDGE('',*,*,#214259,.T.); #303044=ORIENTED_EDGE('',*,*,#214249,.T.); #303045=ORIENTED_EDGE('',*,*,#214253,.T.); #303046=ORIENTED_EDGE('',*,*,#214256,.T.); #303047=ORIENTED_EDGE('',*,*,#214261,.F.); #303048=ORIENTED_EDGE('',*,*,#214262,.T.); #303049=ORIENTED_EDGE('',*,*,#214263,.F.); #303050=ORIENTED_EDGE('',*,*,#214264,.F.); #303051=ORIENTED_EDGE('',*,*,#214265,.F.); #303052=ORIENTED_EDGE('',*,*,#214264,.T.); #303053=ORIENTED_EDGE('',*,*,#214266,.F.); #303054=ORIENTED_EDGE('',*,*,#214267,.F.); #303055=ORIENTED_EDGE('',*,*,#214268,.F.); #303056=ORIENTED_EDGE('',*,*,#214267,.T.); #303057=ORIENTED_EDGE('',*,*,#214269,.F.); #303058=ORIENTED_EDGE('',*,*,#214270,.F.); #303059=ORIENTED_EDGE('',*,*,#214271,.T.); #303060=ORIENTED_EDGE('',*,*,#214270,.T.); #303061=ORIENTED_EDGE('',*,*,#214272,.F.); #303062=ORIENTED_EDGE('',*,*,#214262,.F.); #303063=ORIENTED_EDGE('',*,*,#214272,.T.); #303064=ORIENTED_EDGE('',*,*,#214269,.T.); #303065=ORIENTED_EDGE('',*,*,#214266,.T.); #303066=ORIENTED_EDGE('',*,*,#214263,.T.); #303067=ORIENTED_EDGE('',*,*,#214271,.F.); #303068=ORIENTED_EDGE('',*,*,#214261,.T.); #303069=ORIENTED_EDGE('',*,*,#214265,.T.); #303070=ORIENTED_EDGE('',*,*,#214268,.T.); #303071=ORIENTED_EDGE('',*,*,#214273,.F.); #303072=ORIENTED_EDGE('',*,*,#214274,.T.); #303073=ORIENTED_EDGE('',*,*,#214275,.F.); #303074=ORIENTED_EDGE('',*,*,#214276,.F.); #303075=ORIENTED_EDGE('',*,*,#214277,.T.); #303076=ORIENTED_EDGE('',*,*,#214276,.T.); #303077=ORIENTED_EDGE('',*,*,#214278,.F.); #303078=ORIENTED_EDGE('',*,*,#214279,.F.); #303079=ORIENTED_EDGE('',*,*,#214280,.T.); #303080=ORIENTED_EDGE('',*,*,#214279,.T.); #303081=ORIENTED_EDGE('',*,*,#214281,.F.); #303082=ORIENTED_EDGE('',*,*,#214282,.F.); #303083=ORIENTED_EDGE('',*,*,#214283,.T.); #303084=ORIENTED_EDGE('',*,*,#214282,.T.); #303085=ORIENTED_EDGE('',*,*,#214284,.F.); #303086=ORIENTED_EDGE('',*,*,#214274,.F.); #303087=ORIENTED_EDGE('',*,*,#214284,.T.); #303088=ORIENTED_EDGE('',*,*,#214281,.T.); #303089=ORIENTED_EDGE('',*,*,#214278,.T.); #303090=ORIENTED_EDGE('',*,*,#214275,.T.); #303091=ORIENTED_EDGE('',*,*,#214283,.F.); #303092=ORIENTED_EDGE('',*,*,#214273,.T.); #303093=ORIENTED_EDGE('',*,*,#214277,.F.); #303094=ORIENTED_EDGE('',*,*,#214280,.F.); #303095=ORIENTED_EDGE('',*,*,#214285,.F.); #303096=ORIENTED_EDGE('',*,*,#214286,.T.); #303097=ORIENTED_EDGE('',*,*,#214287,.T.); #303098=ORIENTED_EDGE('',*,*,#214286,.F.); #303099=ORIENTED_EDGE('',*,*,#214287,.F.); #303100=ORIENTED_EDGE('',*,*,#214288,.T.); #303101=ORIENTED_EDGE('',*,*,#214289,.T.); #303102=ORIENTED_EDGE('',*,*,#214290,.T.); #303103=ORIENTED_EDGE('',*,*,#214291,.F.); #303104=ORIENTED_EDGE('',*,*,#214292,.T.); #303105=ORIENTED_EDGE('',*,*,#214293,.T.); #303106=ORIENTED_EDGE('',*,*,#214294,.T.); #303107=ORIENTED_EDGE('',*,*,#214289,.F.); #303108=ORIENTED_EDGE('',*,*,#214295,.T.); #303109=ORIENTED_EDGE('',*,*,#214296,.T.); #303110=ORIENTED_EDGE('',*,*,#214297,.T.); #303111=ORIENTED_EDGE('',*,*,#214293,.F.); #303112=ORIENTED_EDGE('',*,*,#214298,.T.); #303113=ORIENTED_EDGE('',*,*,#214296,.F.); #303114=ORIENTED_EDGE('',*,*,#214299,.T.); #303115=ORIENTED_EDGE('',*,*,#214291,.T.); #303116=ORIENTED_EDGE('',*,*,#214298,.F.); #303117=ORIENTED_EDGE('',*,*,#214300,.F.); #303118=ORIENTED_EDGE('',*,*,#214301,.F.); #303119=ORIENTED_EDGE('',*,*,#214302,.T.); #303120=ORIENTED_EDGE('',*,*,#214290,.F.); #303121=ORIENTED_EDGE('',*,*,#214303,.F.); #303122=ORIENTED_EDGE('',*,*,#214304,.F.); #303123=ORIENTED_EDGE('',*,*,#214300,.T.); #303124=ORIENTED_EDGE('',*,*,#214288,.F.); #303125=ORIENTED_EDGE('',*,*,#214299,.F.); #303126=ORIENTED_EDGE('',*,*,#214295,.F.); #303127=ORIENTED_EDGE('',*,*,#214292,.F.); #303128=ORIENTED_EDGE('',*,*,#214285,.T.); #303129=ORIENTED_EDGE('',*,*,#214305,.T.); #303130=ORIENTED_EDGE('',*,*,#214306,.T.); #303131=ORIENTED_EDGE('',*,*,#214307,.T.); #303132=ORIENTED_EDGE('',*,*,#214308,.T.); #303133=ORIENTED_EDGE('',*,*,#214309,.T.); #303134=ORIENTED_EDGE('',*,*,#214306,.F.); #303135=ORIENTED_EDGE('',*,*,#214301,.T.); #303136=ORIENTED_EDGE('',*,*,#214310,.F.); #303137=ORIENTED_EDGE('',*,*,#214311,.T.); #303138=ORIENTED_EDGE('',*,*,#214308,.F.); #303139=ORIENTED_EDGE('',*,*,#214312,.T.); #303140=ORIENTED_EDGE('',*,*,#214313,.T.); #303141=ORIENTED_EDGE('',*,*,#214304,.T.); #303142=ORIENTED_EDGE('',*,*,#214313,.F.); #303143=ORIENTED_EDGE('',*,*,#214314,.T.); #303144=ORIENTED_EDGE('',*,*,#214310,.T.); #303145=ORIENTED_EDGE('',*,*,#214297,.F.); #303146=ORIENTED_EDGE('',*,*,#214302,.F.); #303147=ORIENTED_EDGE('',*,*,#214305,.F.); #303148=ORIENTED_EDGE('',*,*,#214315,.T.); #303149=ORIENTED_EDGE('',*,*,#214307,.F.); #303150=ORIENTED_EDGE('',*,*,#214309,.F.); #303151=ORIENTED_EDGE('',*,*,#214314,.F.); #303152=ORIENTED_EDGE('',*,*,#214312,.F.); #303153=ORIENTED_EDGE('',*,*,#214294,.F.); #303154=ORIENTED_EDGE('',*,*,#214315,.F.); #303155=ORIENTED_EDGE('',*,*,#214311,.F.); #303156=ORIENTED_EDGE('',*,*,#214303,.T.); #303157=ORIENTED_EDGE('',*,*,#214316,.T.); #303158=ORIENTED_EDGE('',*,*,#214317,.T.); #303159=ORIENTED_EDGE('',*,*,#214318,.T.); #303160=ORIENTED_EDGE('',*,*,#214319,.T.); #303161=ORIENTED_EDGE('',*,*,#214320,.T.); #303162=ORIENTED_EDGE('',*,*,#214321,.T.); #303163=ORIENTED_EDGE('',*,*,#214322,.T.); #303164=ORIENTED_EDGE('',*,*,#214323,.T.); #303165=ORIENTED_EDGE('',*,*,#214324,.T.); #303166=ORIENTED_EDGE('',*,*,#214325,.T.); #303167=ORIENTED_EDGE('',*,*,#214326,.T.); #303168=ORIENTED_EDGE('',*,*,#214327,.T.); #303169=ORIENTED_EDGE('',*,*,#214328,.F.); #303170=ORIENTED_EDGE('',*,*,#214329,.F.); #303171=ORIENTED_EDGE('',*,*,#214330,.F.); #303172=ORIENTED_EDGE('',*,*,#214317,.F.); #303173=ORIENTED_EDGE('',*,*,#214330,.T.); #303174=ORIENTED_EDGE('',*,*,#214331,.F.); #303175=ORIENTED_EDGE('',*,*,#214332,.F.); #303176=ORIENTED_EDGE('',*,*,#214318,.F.); #303177=ORIENTED_EDGE('',*,*,#214332,.T.); #303178=ORIENTED_EDGE('',*,*,#214333,.F.); #303179=ORIENTED_EDGE('',*,*,#214334,.F.); #303180=ORIENTED_EDGE('',*,*,#214319,.F.); #303181=ORIENTED_EDGE('',*,*,#214334,.T.); #303182=ORIENTED_EDGE('',*,*,#214335,.F.); #303183=ORIENTED_EDGE('',*,*,#214336,.F.); #303184=ORIENTED_EDGE('',*,*,#214320,.F.); #303185=ORIENTED_EDGE('',*,*,#214336,.T.); #303186=ORIENTED_EDGE('',*,*,#214337,.F.); #303187=ORIENTED_EDGE('',*,*,#214338,.F.); #303188=ORIENTED_EDGE('',*,*,#214321,.F.); #303189=ORIENTED_EDGE('',*,*,#214338,.T.); #303190=ORIENTED_EDGE('',*,*,#214339,.F.); #303191=ORIENTED_EDGE('',*,*,#214340,.F.); #303192=ORIENTED_EDGE('',*,*,#214322,.F.); #303193=ORIENTED_EDGE('',*,*,#214340,.T.); #303194=ORIENTED_EDGE('',*,*,#214341,.F.); #303195=ORIENTED_EDGE('',*,*,#214342,.F.); #303196=ORIENTED_EDGE('',*,*,#214323,.F.); #303197=ORIENTED_EDGE('',*,*,#214342,.T.); #303198=ORIENTED_EDGE('',*,*,#214343,.F.); #303199=ORIENTED_EDGE('',*,*,#214344,.F.); #303200=ORIENTED_EDGE('',*,*,#214324,.F.); #303201=ORIENTED_EDGE('',*,*,#214344,.T.); #303202=ORIENTED_EDGE('',*,*,#214345,.F.); #303203=ORIENTED_EDGE('',*,*,#214346,.F.); #303204=ORIENTED_EDGE('',*,*,#214325,.F.); #303205=ORIENTED_EDGE('',*,*,#214346,.T.); #303206=ORIENTED_EDGE('',*,*,#214347,.F.); #303207=ORIENTED_EDGE('',*,*,#214348,.F.); #303208=ORIENTED_EDGE('',*,*,#214326,.F.); #303209=ORIENTED_EDGE('',*,*,#214348,.T.); #303210=ORIENTED_EDGE('',*,*,#214349,.F.); #303211=ORIENTED_EDGE('',*,*,#214350,.F.); #303212=ORIENTED_EDGE('',*,*,#214327,.F.); #303213=ORIENTED_EDGE('',*,*,#214350,.T.); #303214=ORIENTED_EDGE('',*,*,#214351,.F.); #303215=ORIENTED_EDGE('',*,*,#214328,.T.); #303216=ORIENTED_EDGE('',*,*,#214316,.F.); #303217=ORIENTED_EDGE('',*,*,#214351,.T.); #303218=ORIENTED_EDGE('',*,*,#214349,.T.); #303219=ORIENTED_EDGE('',*,*,#214347,.T.); #303220=ORIENTED_EDGE('',*,*,#214345,.T.); #303221=ORIENTED_EDGE('',*,*,#214343,.T.); #303222=ORIENTED_EDGE('',*,*,#214341,.T.); #303223=ORIENTED_EDGE('',*,*,#214339,.T.); #303224=ORIENTED_EDGE('',*,*,#214337,.T.); #303225=ORIENTED_EDGE('',*,*,#214335,.T.); #303226=ORIENTED_EDGE('',*,*,#214333,.T.); #303227=ORIENTED_EDGE('',*,*,#214331,.T.); #303228=ORIENTED_EDGE('',*,*,#214329,.T.); #303229=ORIENTED_EDGE('',*,*,#214352,.F.); #303230=ORIENTED_EDGE('',*,*,#214353,.F.); #303231=ORIENTED_EDGE('',*,*,#214354,.F.); #303232=ORIENTED_EDGE('',*,*,#214355,.F.); #303233=ORIENTED_EDGE('',*,*,#214356,.F.); #303234=ORIENTED_EDGE('',*,*,#214357,.F.); #303235=ORIENTED_EDGE('',*,*,#214358,.F.); #303236=ORIENTED_EDGE('',*,*,#214359,.F.); #303237=ORIENTED_EDGE('',*,*,#214360,.F.); #303238=ORIENTED_EDGE('',*,*,#214361,.F.); #303239=ORIENTED_EDGE('',*,*,#214362,.F.); #303240=ORIENTED_EDGE('',*,*,#214363,.F.); #303241=ORIENTED_EDGE('',*,*,#214364,.T.); #303242=ORIENTED_EDGE('',*,*,#214363,.T.); #303243=ORIENTED_EDGE('',*,*,#214365,.T.); #303244=ORIENTED_EDGE('',*,*,#214366,.T.); #303245=ORIENTED_EDGE('',*,*,#214365,.F.); #303246=ORIENTED_EDGE('',*,*,#214362,.T.); #303247=ORIENTED_EDGE('',*,*,#214367,.T.); #303248=ORIENTED_EDGE('',*,*,#214368,.T.); #303249=ORIENTED_EDGE('',*,*,#214367,.F.); #303250=ORIENTED_EDGE('',*,*,#214361,.T.); #303251=ORIENTED_EDGE('',*,*,#214369,.T.); #303252=ORIENTED_EDGE('',*,*,#214370,.T.); #303253=ORIENTED_EDGE('',*,*,#214369,.F.); #303254=ORIENTED_EDGE('',*,*,#214360,.T.); #303255=ORIENTED_EDGE('',*,*,#214371,.T.); #303256=ORIENTED_EDGE('',*,*,#214372,.T.); #303257=ORIENTED_EDGE('',*,*,#214371,.F.); #303258=ORIENTED_EDGE('',*,*,#214359,.T.); #303259=ORIENTED_EDGE('',*,*,#214373,.T.); #303260=ORIENTED_EDGE('',*,*,#214374,.T.); #303261=ORIENTED_EDGE('',*,*,#214373,.F.); #303262=ORIENTED_EDGE('',*,*,#214358,.T.); #303263=ORIENTED_EDGE('',*,*,#214375,.T.); #303264=ORIENTED_EDGE('',*,*,#214376,.T.); #303265=ORIENTED_EDGE('',*,*,#214375,.F.); #303266=ORIENTED_EDGE('',*,*,#214357,.T.); #303267=ORIENTED_EDGE('',*,*,#214377,.T.); #303268=ORIENTED_EDGE('',*,*,#214378,.T.); #303269=ORIENTED_EDGE('',*,*,#214377,.F.); #303270=ORIENTED_EDGE('',*,*,#214356,.T.); #303271=ORIENTED_EDGE('',*,*,#214379,.T.); #303272=ORIENTED_EDGE('',*,*,#214380,.T.); #303273=ORIENTED_EDGE('',*,*,#214379,.F.); #303274=ORIENTED_EDGE('',*,*,#214355,.T.); #303275=ORIENTED_EDGE('',*,*,#214381,.T.); #303276=ORIENTED_EDGE('',*,*,#214382,.T.); #303277=ORIENTED_EDGE('',*,*,#214381,.F.); #303278=ORIENTED_EDGE('',*,*,#214354,.T.); #303279=ORIENTED_EDGE('',*,*,#214383,.T.); #303280=ORIENTED_EDGE('',*,*,#214384,.T.); #303281=ORIENTED_EDGE('',*,*,#214383,.F.); #303282=ORIENTED_EDGE('',*,*,#214353,.T.); #303283=ORIENTED_EDGE('',*,*,#214385,.T.); #303284=ORIENTED_EDGE('',*,*,#214386,.T.); #303285=ORIENTED_EDGE('',*,*,#214385,.F.); #303286=ORIENTED_EDGE('',*,*,#214352,.T.); #303287=ORIENTED_EDGE('',*,*,#214364,.F.); #303288=ORIENTED_EDGE('',*,*,#214387,.T.); #303289=ORIENTED_EDGE('',*,*,#214387,.F.); #303290=ORIENTED_EDGE('',*,*,#214366,.F.); #303291=ORIENTED_EDGE('',*,*,#214368,.F.); #303292=ORIENTED_EDGE('',*,*,#214370,.F.); #303293=ORIENTED_EDGE('',*,*,#214372,.F.); #303294=ORIENTED_EDGE('',*,*,#214374,.F.); #303295=ORIENTED_EDGE('',*,*,#214376,.F.); #303296=ORIENTED_EDGE('',*,*,#214378,.F.); #303297=ORIENTED_EDGE('',*,*,#214380,.F.); #303298=ORIENTED_EDGE('',*,*,#214382,.F.); #303299=ORIENTED_EDGE('',*,*,#214384,.F.); #303300=ORIENTED_EDGE('',*,*,#214386,.F.); #303301=ORIENTED_EDGE('',*,*,#214388,.T.); #303302=ORIENTED_EDGE('',*,*,#214389,.T.); #303303=ORIENTED_EDGE('',*,*,#214390,.T.); #303304=ORIENTED_EDGE('',*,*,#214391,.T.); #303305=ORIENTED_EDGE('',*,*,#214392,.T.); #303306=ORIENTED_EDGE('',*,*,#214393,.T.); #303307=ORIENTED_EDGE('',*,*,#214394,.T.); #303308=ORIENTED_EDGE('',*,*,#214395,.T.); #303309=ORIENTED_EDGE('',*,*,#214396,.T.); #303310=ORIENTED_EDGE('',*,*,#214397,.T.); #303311=ORIENTED_EDGE('',*,*,#214398,.T.); #303312=ORIENTED_EDGE('',*,*,#214399,.T.); #303313=ORIENTED_EDGE('',*,*,#214400,.F.); #303314=ORIENTED_EDGE('',*,*,#214401,.F.); #303315=ORIENTED_EDGE('',*,*,#214402,.F.); #303316=ORIENTED_EDGE('',*,*,#214389,.F.); #303317=ORIENTED_EDGE('',*,*,#214402,.T.); #303318=ORIENTED_EDGE('',*,*,#214403,.F.); #303319=ORIENTED_EDGE('',*,*,#214404,.F.); #303320=ORIENTED_EDGE('',*,*,#214390,.F.); #303321=ORIENTED_EDGE('',*,*,#214404,.T.); #303322=ORIENTED_EDGE('',*,*,#214405,.F.); #303323=ORIENTED_EDGE('',*,*,#214406,.F.); #303324=ORIENTED_EDGE('',*,*,#214391,.F.); #303325=ORIENTED_EDGE('',*,*,#214406,.T.); #303326=ORIENTED_EDGE('',*,*,#214407,.F.); #303327=ORIENTED_EDGE('',*,*,#214408,.F.); #303328=ORIENTED_EDGE('',*,*,#214392,.F.); #303329=ORIENTED_EDGE('',*,*,#214408,.T.); #303330=ORIENTED_EDGE('',*,*,#214409,.F.); #303331=ORIENTED_EDGE('',*,*,#214410,.F.); #303332=ORIENTED_EDGE('',*,*,#214393,.F.); #303333=ORIENTED_EDGE('',*,*,#214410,.T.); #303334=ORIENTED_EDGE('',*,*,#214411,.F.); #303335=ORIENTED_EDGE('',*,*,#214412,.F.); #303336=ORIENTED_EDGE('',*,*,#214394,.F.); #303337=ORIENTED_EDGE('',*,*,#214412,.T.); #303338=ORIENTED_EDGE('',*,*,#214413,.F.); #303339=ORIENTED_EDGE('',*,*,#214414,.F.); #303340=ORIENTED_EDGE('',*,*,#214395,.F.); #303341=ORIENTED_EDGE('',*,*,#214414,.T.); #303342=ORIENTED_EDGE('',*,*,#214415,.F.); #303343=ORIENTED_EDGE('',*,*,#214416,.F.); #303344=ORIENTED_EDGE('',*,*,#214396,.F.); #303345=ORIENTED_EDGE('',*,*,#214416,.T.); #303346=ORIENTED_EDGE('',*,*,#214417,.F.); #303347=ORIENTED_EDGE('',*,*,#214418,.F.); #303348=ORIENTED_EDGE('',*,*,#214397,.F.); #303349=ORIENTED_EDGE('',*,*,#214418,.T.); #303350=ORIENTED_EDGE('',*,*,#214419,.F.); #303351=ORIENTED_EDGE('',*,*,#214420,.F.); #303352=ORIENTED_EDGE('',*,*,#214398,.F.); #303353=ORIENTED_EDGE('',*,*,#214420,.T.); #303354=ORIENTED_EDGE('',*,*,#214421,.F.); #303355=ORIENTED_EDGE('',*,*,#214422,.F.); #303356=ORIENTED_EDGE('',*,*,#214399,.F.); #303357=ORIENTED_EDGE('',*,*,#214422,.T.); #303358=ORIENTED_EDGE('',*,*,#214423,.F.); #303359=ORIENTED_EDGE('',*,*,#214400,.T.); #303360=ORIENTED_EDGE('',*,*,#214388,.F.); #303361=ORIENTED_EDGE('',*,*,#214423,.T.); #303362=ORIENTED_EDGE('',*,*,#214421,.T.); #303363=ORIENTED_EDGE('',*,*,#214419,.T.); #303364=ORIENTED_EDGE('',*,*,#214417,.T.); #303365=ORIENTED_EDGE('',*,*,#214415,.T.); #303366=ORIENTED_EDGE('',*,*,#214413,.T.); #303367=ORIENTED_EDGE('',*,*,#214411,.T.); #303368=ORIENTED_EDGE('',*,*,#214409,.T.); #303369=ORIENTED_EDGE('',*,*,#214407,.T.); #303370=ORIENTED_EDGE('',*,*,#214405,.T.); #303371=ORIENTED_EDGE('',*,*,#214403,.T.); #303372=ORIENTED_EDGE('',*,*,#214401,.T.); #303373=ORIENTED_EDGE('',*,*,#214424,.T.); #303374=ORIENTED_EDGE('',*,*,#214425,.T.); #303375=ORIENTED_EDGE('',*,*,#214426,.T.); #303376=ORIENTED_EDGE('',*,*,#214427,.T.); #303377=ORIENTED_EDGE('',*,*,#214428,.T.); #303378=ORIENTED_EDGE('',*,*,#214429,.T.); #303379=ORIENTED_EDGE('',*,*,#214430,.T.); #303380=ORIENTED_EDGE('',*,*,#214431,.T.); #303381=ORIENTED_EDGE('',*,*,#214432,.T.); #303382=ORIENTED_EDGE('',*,*,#214433,.T.); #303383=ORIENTED_EDGE('',*,*,#214434,.T.); #303384=ORIENTED_EDGE('',*,*,#214435,.T.); #303385=ORIENTED_EDGE('',*,*,#214436,.F.); #303386=ORIENTED_EDGE('',*,*,#214437,.F.); #303387=ORIENTED_EDGE('',*,*,#214438,.F.); #303388=ORIENTED_EDGE('',*,*,#214425,.F.); #303389=ORIENTED_EDGE('',*,*,#214438,.T.); #303390=ORIENTED_EDGE('',*,*,#214439,.F.); #303391=ORIENTED_EDGE('',*,*,#214440,.F.); #303392=ORIENTED_EDGE('',*,*,#214426,.F.); #303393=ORIENTED_EDGE('',*,*,#214440,.T.); #303394=ORIENTED_EDGE('',*,*,#214441,.F.); #303395=ORIENTED_EDGE('',*,*,#214442,.F.); #303396=ORIENTED_EDGE('',*,*,#214427,.F.); #303397=ORIENTED_EDGE('',*,*,#214442,.T.); #303398=ORIENTED_EDGE('',*,*,#214443,.F.); #303399=ORIENTED_EDGE('',*,*,#214444,.F.); #303400=ORIENTED_EDGE('',*,*,#214428,.F.); #303401=ORIENTED_EDGE('',*,*,#214444,.T.); #303402=ORIENTED_EDGE('',*,*,#214445,.F.); #303403=ORIENTED_EDGE('',*,*,#214446,.F.); #303404=ORIENTED_EDGE('',*,*,#214429,.F.); #303405=ORIENTED_EDGE('',*,*,#214446,.T.); #303406=ORIENTED_EDGE('',*,*,#214447,.F.); #303407=ORIENTED_EDGE('',*,*,#214448,.F.); #303408=ORIENTED_EDGE('',*,*,#214430,.F.); #303409=ORIENTED_EDGE('',*,*,#214448,.T.); #303410=ORIENTED_EDGE('',*,*,#214449,.F.); #303411=ORIENTED_EDGE('',*,*,#214450,.F.); #303412=ORIENTED_EDGE('',*,*,#214431,.F.); #303413=ORIENTED_EDGE('',*,*,#214450,.T.); #303414=ORIENTED_EDGE('',*,*,#214451,.F.); #303415=ORIENTED_EDGE('',*,*,#214452,.F.); #303416=ORIENTED_EDGE('',*,*,#214432,.F.); #303417=ORIENTED_EDGE('',*,*,#214452,.T.); #303418=ORIENTED_EDGE('',*,*,#214453,.F.); #303419=ORIENTED_EDGE('',*,*,#214454,.F.); #303420=ORIENTED_EDGE('',*,*,#214433,.F.); #303421=ORIENTED_EDGE('',*,*,#214454,.T.); #303422=ORIENTED_EDGE('',*,*,#214455,.F.); #303423=ORIENTED_EDGE('',*,*,#214456,.F.); #303424=ORIENTED_EDGE('',*,*,#214434,.F.); #303425=ORIENTED_EDGE('',*,*,#214456,.T.); #303426=ORIENTED_EDGE('',*,*,#214457,.F.); #303427=ORIENTED_EDGE('',*,*,#214458,.F.); #303428=ORIENTED_EDGE('',*,*,#214435,.F.); #303429=ORIENTED_EDGE('',*,*,#214458,.T.); #303430=ORIENTED_EDGE('',*,*,#214459,.F.); #303431=ORIENTED_EDGE('',*,*,#214436,.T.); #303432=ORIENTED_EDGE('',*,*,#214424,.F.); #303433=ORIENTED_EDGE('',*,*,#214459,.T.); #303434=ORIENTED_EDGE('',*,*,#214457,.T.); #303435=ORIENTED_EDGE('',*,*,#214455,.T.); #303436=ORIENTED_EDGE('',*,*,#214453,.T.); #303437=ORIENTED_EDGE('',*,*,#214451,.T.); #303438=ORIENTED_EDGE('',*,*,#214449,.T.); #303439=ORIENTED_EDGE('',*,*,#214447,.T.); #303440=ORIENTED_EDGE('',*,*,#214445,.T.); #303441=ORIENTED_EDGE('',*,*,#214443,.T.); #303442=ORIENTED_EDGE('',*,*,#214441,.T.); #303443=ORIENTED_EDGE('',*,*,#214439,.T.); #303444=ORIENTED_EDGE('',*,*,#214437,.T.); #303445=ORIENTED_EDGE('',*,*,#214460,.T.); #303446=ORIENTED_EDGE('',*,*,#214461,.T.); #303447=ORIENTED_EDGE('',*,*,#214462,.T.); #303448=ORIENTED_EDGE('',*,*,#214463,.T.); #303449=ORIENTED_EDGE('',*,*,#214464,.T.); #303450=ORIENTED_EDGE('',*,*,#214465,.T.); #303451=ORIENTED_EDGE('',*,*,#214466,.T.); #303452=ORIENTED_EDGE('',*,*,#214467,.T.); #303453=ORIENTED_EDGE('',*,*,#214468,.T.); #303454=ORIENTED_EDGE('',*,*,#214469,.T.); #303455=ORIENTED_EDGE('',*,*,#214470,.T.); #303456=ORIENTED_EDGE('',*,*,#214471,.T.); #303457=ORIENTED_EDGE('',*,*,#214472,.F.); #303458=ORIENTED_EDGE('',*,*,#214473,.F.); #303459=ORIENTED_EDGE('',*,*,#214474,.F.); #303460=ORIENTED_EDGE('',*,*,#214461,.F.); #303461=ORIENTED_EDGE('',*,*,#214474,.T.); #303462=ORIENTED_EDGE('',*,*,#214475,.F.); #303463=ORIENTED_EDGE('',*,*,#214476,.F.); #303464=ORIENTED_EDGE('',*,*,#214462,.F.); #303465=ORIENTED_EDGE('',*,*,#214476,.T.); #303466=ORIENTED_EDGE('',*,*,#214477,.F.); #303467=ORIENTED_EDGE('',*,*,#214478,.F.); #303468=ORIENTED_EDGE('',*,*,#214463,.F.); #303469=ORIENTED_EDGE('',*,*,#214478,.T.); #303470=ORIENTED_EDGE('',*,*,#214479,.F.); #303471=ORIENTED_EDGE('',*,*,#214480,.F.); #303472=ORIENTED_EDGE('',*,*,#214464,.F.); #303473=ORIENTED_EDGE('',*,*,#214480,.T.); #303474=ORIENTED_EDGE('',*,*,#214481,.F.); #303475=ORIENTED_EDGE('',*,*,#214482,.F.); #303476=ORIENTED_EDGE('',*,*,#214465,.F.); #303477=ORIENTED_EDGE('',*,*,#214482,.T.); #303478=ORIENTED_EDGE('',*,*,#214483,.F.); #303479=ORIENTED_EDGE('',*,*,#214484,.F.); #303480=ORIENTED_EDGE('',*,*,#214466,.F.); #303481=ORIENTED_EDGE('',*,*,#214484,.T.); #303482=ORIENTED_EDGE('',*,*,#214485,.F.); #303483=ORIENTED_EDGE('',*,*,#214486,.F.); #303484=ORIENTED_EDGE('',*,*,#214467,.F.); #303485=ORIENTED_EDGE('',*,*,#214486,.T.); #303486=ORIENTED_EDGE('',*,*,#214487,.F.); #303487=ORIENTED_EDGE('',*,*,#214488,.F.); #303488=ORIENTED_EDGE('',*,*,#214468,.F.); #303489=ORIENTED_EDGE('',*,*,#214488,.T.); #303490=ORIENTED_EDGE('',*,*,#214489,.F.); #303491=ORIENTED_EDGE('',*,*,#214490,.F.); #303492=ORIENTED_EDGE('',*,*,#214469,.F.); #303493=ORIENTED_EDGE('',*,*,#214490,.T.); #303494=ORIENTED_EDGE('',*,*,#214491,.F.); #303495=ORIENTED_EDGE('',*,*,#214492,.F.); #303496=ORIENTED_EDGE('',*,*,#214470,.F.); #303497=ORIENTED_EDGE('',*,*,#214492,.T.); #303498=ORIENTED_EDGE('',*,*,#214493,.F.); #303499=ORIENTED_EDGE('',*,*,#214494,.F.); #303500=ORIENTED_EDGE('',*,*,#214471,.F.); #303501=ORIENTED_EDGE('',*,*,#214494,.T.); #303502=ORIENTED_EDGE('',*,*,#214495,.F.); #303503=ORIENTED_EDGE('',*,*,#214472,.T.); #303504=ORIENTED_EDGE('',*,*,#214460,.F.); #303505=ORIENTED_EDGE('',*,*,#214495,.T.); #303506=ORIENTED_EDGE('',*,*,#214493,.T.); #303507=ORIENTED_EDGE('',*,*,#214491,.T.); #303508=ORIENTED_EDGE('',*,*,#214489,.T.); #303509=ORIENTED_EDGE('',*,*,#214487,.T.); #303510=ORIENTED_EDGE('',*,*,#214485,.T.); #303511=ORIENTED_EDGE('',*,*,#214483,.T.); #303512=ORIENTED_EDGE('',*,*,#214481,.T.); #303513=ORIENTED_EDGE('',*,*,#214479,.T.); #303514=ORIENTED_EDGE('',*,*,#214477,.T.); #303515=ORIENTED_EDGE('',*,*,#214475,.T.); #303516=ORIENTED_EDGE('',*,*,#214473,.T.); #303517=ORIENTED_EDGE('',*,*,#214496,.F.); #303518=ORIENTED_EDGE('',*,*,#214497,.F.); #303519=ORIENTED_EDGE('',*,*,#214498,.F.); #303520=ORIENTED_EDGE('',*,*,#214499,.F.); #303521=ORIENTED_EDGE('',*,*,#214500,.F.); #303522=ORIENTED_EDGE('',*,*,#214501,.F.); #303523=ORIENTED_EDGE('',*,*,#214502,.F.); #303524=ORIENTED_EDGE('',*,*,#214503,.F.); #303525=ORIENTED_EDGE('',*,*,#214504,.F.); #303526=ORIENTED_EDGE('',*,*,#214505,.F.); #303527=ORIENTED_EDGE('',*,*,#214506,.F.); #303528=ORIENTED_EDGE('',*,*,#214507,.F.); #303529=ORIENTED_EDGE('',*,*,#214508,.T.); #303530=ORIENTED_EDGE('',*,*,#214507,.T.); #303531=ORIENTED_EDGE('',*,*,#214509,.T.); #303532=ORIENTED_EDGE('',*,*,#214510,.T.); #303533=ORIENTED_EDGE('',*,*,#214509,.F.); #303534=ORIENTED_EDGE('',*,*,#214506,.T.); #303535=ORIENTED_EDGE('',*,*,#214511,.T.); #303536=ORIENTED_EDGE('',*,*,#214512,.T.); #303537=ORIENTED_EDGE('',*,*,#214511,.F.); #303538=ORIENTED_EDGE('',*,*,#214505,.T.); #303539=ORIENTED_EDGE('',*,*,#214513,.T.); #303540=ORIENTED_EDGE('',*,*,#214514,.T.); #303541=ORIENTED_EDGE('',*,*,#214513,.F.); #303542=ORIENTED_EDGE('',*,*,#214504,.T.); #303543=ORIENTED_EDGE('',*,*,#214515,.T.); #303544=ORIENTED_EDGE('',*,*,#214516,.T.); #303545=ORIENTED_EDGE('',*,*,#214515,.F.); #303546=ORIENTED_EDGE('',*,*,#214503,.T.); #303547=ORIENTED_EDGE('',*,*,#214517,.T.); #303548=ORIENTED_EDGE('',*,*,#214518,.T.); #303549=ORIENTED_EDGE('',*,*,#214517,.F.); #303550=ORIENTED_EDGE('',*,*,#214502,.T.); #303551=ORIENTED_EDGE('',*,*,#214519,.T.); #303552=ORIENTED_EDGE('',*,*,#214520,.T.); #303553=ORIENTED_EDGE('',*,*,#214519,.F.); #303554=ORIENTED_EDGE('',*,*,#214501,.T.); #303555=ORIENTED_EDGE('',*,*,#214521,.T.); #303556=ORIENTED_EDGE('',*,*,#214522,.T.); #303557=ORIENTED_EDGE('',*,*,#214521,.F.); #303558=ORIENTED_EDGE('',*,*,#214500,.T.); #303559=ORIENTED_EDGE('',*,*,#214523,.T.); #303560=ORIENTED_EDGE('',*,*,#214524,.T.); #303561=ORIENTED_EDGE('',*,*,#214523,.F.); #303562=ORIENTED_EDGE('',*,*,#214499,.T.); #303563=ORIENTED_EDGE('',*,*,#214525,.T.); #303564=ORIENTED_EDGE('',*,*,#214526,.T.); #303565=ORIENTED_EDGE('',*,*,#214525,.F.); #303566=ORIENTED_EDGE('',*,*,#214498,.T.); #303567=ORIENTED_EDGE('',*,*,#214527,.T.); #303568=ORIENTED_EDGE('',*,*,#214528,.T.); #303569=ORIENTED_EDGE('',*,*,#214527,.F.); #303570=ORIENTED_EDGE('',*,*,#214497,.T.); #303571=ORIENTED_EDGE('',*,*,#214529,.T.); #303572=ORIENTED_EDGE('',*,*,#214530,.T.); #303573=ORIENTED_EDGE('',*,*,#214529,.F.); #303574=ORIENTED_EDGE('',*,*,#214496,.T.); #303575=ORIENTED_EDGE('',*,*,#214508,.F.); #303576=ORIENTED_EDGE('',*,*,#214531,.T.); #303577=ORIENTED_EDGE('',*,*,#214531,.F.); #303578=ORIENTED_EDGE('',*,*,#214510,.F.); #303579=ORIENTED_EDGE('',*,*,#214512,.F.); #303580=ORIENTED_EDGE('',*,*,#214514,.F.); #303581=ORIENTED_EDGE('',*,*,#214516,.F.); #303582=ORIENTED_EDGE('',*,*,#214518,.F.); #303583=ORIENTED_EDGE('',*,*,#214520,.F.); #303584=ORIENTED_EDGE('',*,*,#214522,.F.); #303585=ORIENTED_EDGE('',*,*,#214524,.F.); #303586=ORIENTED_EDGE('',*,*,#214526,.F.); #303587=ORIENTED_EDGE('',*,*,#214528,.F.); #303588=ORIENTED_EDGE('',*,*,#214530,.F.); #303589=ORIENTED_EDGE('',*,*,#214532,.F.); #303590=ORIENTED_EDGE('',*,*,#214533,.F.); #303591=ORIENTED_EDGE('',*,*,#214534,.F.); #303592=ORIENTED_EDGE('',*,*,#214535,.F.); #303593=ORIENTED_EDGE('',*,*,#214536,.F.); #303594=ORIENTED_EDGE('',*,*,#214537,.F.); #303595=ORIENTED_EDGE('',*,*,#214538,.F.); #303596=ORIENTED_EDGE('',*,*,#214539,.F.); #303597=ORIENTED_EDGE('',*,*,#214540,.F.); #303598=ORIENTED_EDGE('',*,*,#214541,.F.); #303599=ORIENTED_EDGE('',*,*,#214542,.F.); #303600=ORIENTED_EDGE('',*,*,#214543,.F.); #303601=ORIENTED_EDGE('',*,*,#214544,.T.); #303602=ORIENTED_EDGE('',*,*,#214543,.T.); #303603=ORIENTED_EDGE('',*,*,#214545,.T.); #303604=ORIENTED_EDGE('',*,*,#214546,.T.); #303605=ORIENTED_EDGE('',*,*,#214545,.F.); #303606=ORIENTED_EDGE('',*,*,#214542,.T.); #303607=ORIENTED_EDGE('',*,*,#214547,.T.); #303608=ORIENTED_EDGE('',*,*,#214548,.T.); #303609=ORIENTED_EDGE('',*,*,#214547,.F.); #303610=ORIENTED_EDGE('',*,*,#214541,.T.); #303611=ORIENTED_EDGE('',*,*,#214549,.T.); #303612=ORIENTED_EDGE('',*,*,#214550,.T.); #303613=ORIENTED_EDGE('',*,*,#214549,.F.); #303614=ORIENTED_EDGE('',*,*,#214540,.T.); #303615=ORIENTED_EDGE('',*,*,#214551,.T.); #303616=ORIENTED_EDGE('',*,*,#214552,.T.); #303617=ORIENTED_EDGE('',*,*,#214551,.F.); #303618=ORIENTED_EDGE('',*,*,#214539,.T.); #303619=ORIENTED_EDGE('',*,*,#214553,.T.); #303620=ORIENTED_EDGE('',*,*,#214554,.T.); #303621=ORIENTED_EDGE('',*,*,#214553,.F.); #303622=ORIENTED_EDGE('',*,*,#214538,.T.); #303623=ORIENTED_EDGE('',*,*,#214555,.T.); #303624=ORIENTED_EDGE('',*,*,#214556,.T.); #303625=ORIENTED_EDGE('',*,*,#214555,.F.); #303626=ORIENTED_EDGE('',*,*,#214537,.T.); #303627=ORIENTED_EDGE('',*,*,#214557,.T.); #303628=ORIENTED_EDGE('',*,*,#214558,.T.); #303629=ORIENTED_EDGE('',*,*,#214557,.F.); #303630=ORIENTED_EDGE('',*,*,#214536,.T.); #303631=ORIENTED_EDGE('',*,*,#214559,.T.); #303632=ORIENTED_EDGE('',*,*,#214560,.T.); #303633=ORIENTED_EDGE('',*,*,#214559,.F.); #303634=ORIENTED_EDGE('',*,*,#214535,.T.); #303635=ORIENTED_EDGE('',*,*,#214561,.T.); #303636=ORIENTED_EDGE('',*,*,#214562,.T.); #303637=ORIENTED_EDGE('',*,*,#214561,.F.); #303638=ORIENTED_EDGE('',*,*,#214534,.T.); #303639=ORIENTED_EDGE('',*,*,#214563,.T.); #303640=ORIENTED_EDGE('',*,*,#214564,.T.); #303641=ORIENTED_EDGE('',*,*,#214563,.F.); #303642=ORIENTED_EDGE('',*,*,#214533,.T.); #303643=ORIENTED_EDGE('',*,*,#214565,.T.); #303644=ORIENTED_EDGE('',*,*,#214566,.T.); #303645=ORIENTED_EDGE('',*,*,#214565,.F.); #303646=ORIENTED_EDGE('',*,*,#214532,.T.); #303647=ORIENTED_EDGE('',*,*,#214544,.F.); #303648=ORIENTED_EDGE('',*,*,#214567,.T.); #303649=ORIENTED_EDGE('',*,*,#214567,.F.); #303650=ORIENTED_EDGE('',*,*,#214546,.F.); #303651=ORIENTED_EDGE('',*,*,#214548,.F.); #303652=ORIENTED_EDGE('',*,*,#214550,.F.); #303653=ORIENTED_EDGE('',*,*,#214552,.F.); #303654=ORIENTED_EDGE('',*,*,#214554,.F.); #303655=ORIENTED_EDGE('',*,*,#214556,.F.); #303656=ORIENTED_EDGE('',*,*,#214558,.F.); #303657=ORIENTED_EDGE('',*,*,#214560,.F.); #303658=ORIENTED_EDGE('',*,*,#214562,.F.); #303659=ORIENTED_EDGE('',*,*,#214564,.F.); #303660=ORIENTED_EDGE('',*,*,#214566,.F.); #303661=ORIENTED_EDGE('',*,*,#214568,.F.); #303662=ORIENTED_EDGE('',*,*,#214569,.F.); #303663=ORIENTED_EDGE('',*,*,#214570,.F.); #303664=ORIENTED_EDGE('',*,*,#214571,.F.); #303665=ORIENTED_EDGE('',*,*,#214572,.F.); #303666=ORIENTED_EDGE('',*,*,#214573,.F.); #303667=ORIENTED_EDGE('',*,*,#214574,.F.); #303668=ORIENTED_EDGE('',*,*,#214575,.F.); #303669=ORIENTED_EDGE('',*,*,#214576,.F.); #303670=ORIENTED_EDGE('',*,*,#214577,.F.); #303671=ORIENTED_EDGE('',*,*,#214578,.F.); #303672=ORIENTED_EDGE('',*,*,#214579,.F.); #303673=ORIENTED_EDGE('',*,*,#214580,.T.); #303674=ORIENTED_EDGE('',*,*,#214579,.T.); #303675=ORIENTED_EDGE('',*,*,#214581,.T.); #303676=ORIENTED_EDGE('',*,*,#214582,.T.); #303677=ORIENTED_EDGE('',*,*,#214581,.F.); #303678=ORIENTED_EDGE('',*,*,#214578,.T.); #303679=ORIENTED_EDGE('',*,*,#214583,.T.); #303680=ORIENTED_EDGE('',*,*,#214584,.T.); #303681=ORIENTED_EDGE('',*,*,#214583,.F.); #303682=ORIENTED_EDGE('',*,*,#214577,.T.); #303683=ORIENTED_EDGE('',*,*,#214585,.T.); #303684=ORIENTED_EDGE('',*,*,#214586,.T.); #303685=ORIENTED_EDGE('',*,*,#214585,.F.); #303686=ORIENTED_EDGE('',*,*,#214576,.T.); #303687=ORIENTED_EDGE('',*,*,#214587,.T.); #303688=ORIENTED_EDGE('',*,*,#214588,.T.); #303689=ORIENTED_EDGE('',*,*,#214587,.F.); #303690=ORIENTED_EDGE('',*,*,#214575,.T.); #303691=ORIENTED_EDGE('',*,*,#214589,.T.); #303692=ORIENTED_EDGE('',*,*,#214590,.T.); #303693=ORIENTED_EDGE('',*,*,#214589,.F.); #303694=ORIENTED_EDGE('',*,*,#214574,.T.); #303695=ORIENTED_EDGE('',*,*,#214591,.T.); #303696=ORIENTED_EDGE('',*,*,#214592,.T.); #303697=ORIENTED_EDGE('',*,*,#214591,.F.); #303698=ORIENTED_EDGE('',*,*,#214573,.T.); #303699=ORIENTED_EDGE('',*,*,#214593,.T.); #303700=ORIENTED_EDGE('',*,*,#214594,.T.); #303701=ORIENTED_EDGE('',*,*,#214593,.F.); #303702=ORIENTED_EDGE('',*,*,#214572,.T.); #303703=ORIENTED_EDGE('',*,*,#214595,.T.); #303704=ORIENTED_EDGE('',*,*,#214596,.T.); #303705=ORIENTED_EDGE('',*,*,#214595,.F.); #303706=ORIENTED_EDGE('',*,*,#214571,.T.); #303707=ORIENTED_EDGE('',*,*,#214597,.T.); #303708=ORIENTED_EDGE('',*,*,#214598,.T.); #303709=ORIENTED_EDGE('',*,*,#214597,.F.); #303710=ORIENTED_EDGE('',*,*,#214570,.T.); #303711=ORIENTED_EDGE('',*,*,#214599,.T.); #303712=ORIENTED_EDGE('',*,*,#214600,.T.); #303713=ORIENTED_EDGE('',*,*,#214599,.F.); #303714=ORIENTED_EDGE('',*,*,#214569,.T.); #303715=ORIENTED_EDGE('',*,*,#214601,.T.); #303716=ORIENTED_EDGE('',*,*,#214602,.T.); #303717=ORIENTED_EDGE('',*,*,#214601,.F.); #303718=ORIENTED_EDGE('',*,*,#214568,.T.); #303719=ORIENTED_EDGE('',*,*,#214580,.F.); #303720=ORIENTED_EDGE('',*,*,#214603,.T.); #303721=ORIENTED_EDGE('',*,*,#214603,.F.); #303722=ORIENTED_EDGE('',*,*,#214582,.F.); #303723=ORIENTED_EDGE('',*,*,#214584,.F.); #303724=ORIENTED_EDGE('',*,*,#214586,.F.); #303725=ORIENTED_EDGE('',*,*,#214588,.F.); #303726=ORIENTED_EDGE('',*,*,#214590,.F.); #303727=ORIENTED_EDGE('',*,*,#214592,.F.); #303728=ORIENTED_EDGE('',*,*,#214594,.F.); #303729=ORIENTED_EDGE('',*,*,#214596,.F.); #303730=ORIENTED_EDGE('',*,*,#214598,.F.); #303731=ORIENTED_EDGE('',*,*,#214600,.F.); #303732=ORIENTED_EDGE('',*,*,#214602,.F.); #303733=ORIENTED_EDGE('',*,*,#214604,.F.); #303734=ORIENTED_EDGE('',*,*,#214605,.T.); #303735=ORIENTED_EDGE('',*,*,#214606,.T.); #303736=ORIENTED_EDGE('',*,*,#214605,.F.); #303737=ORIENTED_EDGE('',*,*,#214606,.F.); #303738=ORIENTED_EDGE('',*,*,#214607,.T.); #303739=ORIENTED_EDGE('',*,*,#214608,.T.); #303740=ORIENTED_EDGE('',*,*,#214609,.T.); #303741=ORIENTED_EDGE('',*,*,#214610,.F.); #303742=ORIENTED_EDGE('',*,*,#214611,.T.); #303743=ORIENTED_EDGE('',*,*,#214612,.T.); #303744=ORIENTED_EDGE('',*,*,#214613,.T.); #303745=ORIENTED_EDGE('',*,*,#214608,.F.); #303746=ORIENTED_EDGE('',*,*,#214614,.T.); #303747=ORIENTED_EDGE('',*,*,#214615,.T.); #303748=ORIENTED_EDGE('',*,*,#214616,.T.); #303749=ORIENTED_EDGE('',*,*,#214612,.F.); #303750=ORIENTED_EDGE('',*,*,#214617,.T.); #303751=ORIENTED_EDGE('',*,*,#214615,.F.); #303752=ORIENTED_EDGE('',*,*,#214618,.T.); #303753=ORIENTED_EDGE('',*,*,#214610,.T.); #303754=ORIENTED_EDGE('',*,*,#214617,.F.); #303755=ORIENTED_EDGE('',*,*,#214619,.F.); #303756=ORIENTED_EDGE('',*,*,#214620,.F.); #303757=ORIENTED_EDGE('',*,*,#214621,.T.); #303758=ORIENTED_EDGE('',*,*,#214609,.F.); #303759=ORIENTED_EDGE('',*,*,#214622,.F.); #303760=ORIENTED_EDGE('',*,*,#214623,.F.); #303761=ORIENTED_EDGE('',*,*,#214619,.T.); #303762=ORIENTED_EDGE('',*,*,#214607,.F.); #303763=ORIENTED_EDGE('',*,*,#214618,.F.); #303764=ORIENTED_EDGE('',*,*,#214614,.F.); #303765=ORIENTED_EDGE('',*,*,#214611,.F.); #303766=ORIENTED_EDGE('',*,*,#214604,.T.); #303767=ORIENTED_EDGE('',*,*,#214624,.T.); #303768=ORIENTED_EDGE('',*,*,#214625,.T.); #303769=ORIENTED_EDGE('',*,*,#214626,.T.); #303770=ORIENTED_EDGE('',*,*,#214627,.T.); #303771=ORIENTED_EDGE('',*,*,#214628,.T.); #303772=ORIENTED_EDGE('',*,*,#214625,.F.); #303773=ORIENTED_EDGE('',*,*,#214620,.T.); #303774=ORIENTED_EDGE('',*,*,#214629,.F.); #303775=ORIENTED_EDGE('',*,*,#214630,.T.); #303776=ORIENTED_EDGE('',*,*,#214627,.F.); #303777=ORIENTED_EDGE('',*,*,#214631,.T.); #303778=ORIENTED_EDGE('',*,*,#214632,.T.); #303779=ORIENTED_EDGE('',*,*,#214623,.T.); #303780=ORIENTED_EDGE('',*,*,#214632,.F.); #303781=ORIENTED_EDGE('',*,*,#214633,.T.); #303782=ORIENTED_EDGE('',*,*,#214629,.T.); #303783=ORIENTED_EDGE('',*,*,#214616,.F.); #303784=ORIENTED_EDGE('',*,*,#214621,.F.); #303785=ORIENTED_EDGE('',*,*,#214624,.F.); #303786=ORIENTED_EDGE('',*,*,#214634,.T.); #303787=ORIENTED_EDGE('',*,*,#214626,.F.); #303788=ORIENTED_EDGE('',*,*,#214628,.F.); #303789=ORIENTED_EDGE('',*,*,#214633,.F.); #303790=ORIENTED_EDGE('',*,*,#214631,.F.); #303791=ORIENTED_EDGE('',*,*,#214613,.F.); #303792=ORIENTED_EDGE('',*,*,#214634,.F.); #303793=ORIENTED_EDGE('',*,*,#214630,.F.); #303794=ORIENTED_EDGE('',*,*,#214622,.T.); #303795=ORIENTED_EDGE('',*,*,#214635,.T.); #303796=ORIENTED_EDGE('',*,*,#214636,.T.); #303797=ORIENTED_EDGE('',*,*,#214637,.T.); #303798=ORIENTED_EDGE('',*,*,#214638,.T.); #303799=ORIENTED_EDGE('',*,*,#214639,.T.); #303800=ORIENTED_EDGE('',*,*,#214640,.T.); #303801=ORIENTED_EDGE('',*,*,#214641,.T.); #303802=ORIENTED_EDGE('',*,*,#214642,.T.); #303803=ORIENTED_EDGE('',*,*,#214643,.T.); #303804=ORIENTED_EDGE('',*,*,#214644,.T.); #303805=ORIENTED_EDGE('',*,*,#214645,.T.); #303806=ORIENTED_EDGE('',*,*,#214646,.T.); #303807=ORIENTED_EDGE('',*,*,#214647,.F.); #303808=ORIENTED_EDGE('',*,*,#214648,.F.); #303809=ORIENTED_EDGE('',*,*,#214649,.T.); #303810=ORIENTED_EDGE('',*,*,#214636,.F.); #303811=ORIENTED_EDGE('',*,*,#214649,.F.); #303812=ORIENTED_EDGE('',*,*,#214650,.F.); #303813=ORIENTED_EDGE('',*,*,#214651,.T.); #303814=ORIENTED_EDGE('',*,*,#214637,.F.); #303815=ORIENTED_EDGE('',*,*,#214651,.F.); #303816=ORIENTED_EDGE('',*,*,#214652,.F.); #303817=ORIENTED_EDGE('',*,*,#214653,.T.); #303818=ORIENTED_EDGE('',*,*,#214638,.F.); #303819=ORIENTED_EDGE('',*,*,#214653,.F.); #303820=ORIENTED_EDGE('',*,*,#214654,.F.); #303821=ORIENTED_EDGE('',*,*,#214655,.T.); #303822=ORIENTED_EDGE('',*,*,#214639,.F.); #303823=ORIENTED_EDGE('',*,*,#214655,.F.); #303824=ORIENTED_EDGE('',*,*,#214656,.F.); #303825=ORIENTED_EDGE('',*,*,#214657,.T.); #303826=ORIENTED_EDGE('',*,*,#214640,.F.); #303827=ORIENTED_EDGE('',*,*,#214657,.F.); #303828=ORIENTED_EDGE('',*,*,#214658,.F.); #303829=ORIENTED_EDGE('',*,*,#214659,.T.); #303830=ORIENTED_EDGE('',*,*,#214641,.F.); #303831=ORIENTED_EDGE('',*,*,#214659,.F.); #303832=ORIENTED_EDGE('',*,*,#214660,.F.); #303833=ORIENTED_EDGE('',*,*,#214661,.T.); #303834=ORIENTED_EDGE('',*,*,#214642,.F.); #303835=ORIENTED_EDGE('',*,*,#214661,.F.); #303836=ORIENTED_EDGE('',*,*,#214662,.F.); #303837=ORIENTED_EDGE('',*,*,#214663,.T.); #303838=ORIENTED_EDGE('',*,*,#214643,.F.); #303839=ORIENTED_EDGE('',*,*,#214663,.F.); #303840=ORIENTED_EDGE('',*,*,#214664,.F.); #303841=ORIENTED_EDGE('',*,*,#214665,.T.); #303842=ORIENTED_EDGE('',*,*,#214644,.F.); #303843=ORIENTED_EDGE('',*,*,#214665,.F.); #303844=ORIENTED_EDGE('',*,*,#214666,.F.); #303845=ORIENTED_EDGE('',*,*,#214667,.T.); #303846=ORIENTED_EDGE('',*,*,#214645,.F.); #303847=ORIENTED_EDGE('',*,*,#214667,.F.); #303848=ORIENTED_EDGE('',*,*,#214668,.F.); #303849=ORIENTED_EDGE('',*,*,#214669,.F.); #303850=ORIENTED_EDGE('',*,*,#214646,.F.); #303851=ORIENTED_EDGE('',*,*,#214669,.T.); #303852=ORIENTED_EDGE('',*,*,#214670,.F.); #303853=ORIENTED_EDGE('',*,*,#214647,.T.); #303854=ORIENTED_EDGE('',*,*,#214635,.F.); #303855=ORIENTED_EDGE('',*,*,#214670,.T.); #303856=ORIENTED_EDGE('',*,*,#214668,.T.); #303857=ORIENTED_EDGE('',*,*,#214666,.T.); #303858=ORIENTED_EDGE('',*,*,#214664,.T.); #303859=ORIENTED_EDGE('',*,*,#214662,.T.); #303860=ORIENTED_EDGE('',*,*,#214660,.T.); #303861=ORIENTED_EDGE('',*,*,#214658,.T.); #303862=ORIENTED_EDGE('',*,*,#214656,.T.); #303863=ORIENTED_EDGE('',*,*,#214654,.T.); #303864=ORIENTED_EDGE('',*,*,#214652,.T.); #303865=ORIENTED_EDGE('',*,*,#214650,.T.); #303866=ORIENTED_EDGE('',*,*,#214648,.T.); #303867=ORIENTED_EDGE('',*,*,#214671,.T.); #303868=ORIENTED_EDGE('',*,*,#214672,.T.); #303869=ORIENTED_EDGE('',*,*,#214673,.T.); #303870=ORIENTED_EDGE('',*,*,#214674,.T.); #303871=ORIENTED_EDGE('',*,*,#214675,.T.); #303872=ORIENTED_EDGE('',*,*,#214676,.T.); #303873=ORIENTED_EDGE('',*,*,#214677,.T.); #303874=ORIENTED_EDGE('',*,*,#214678,.T.); #303875=ORIENTED_EDGE('',*,*,#214679,.T.); #303876=ORIENTED_EDGE('',*,*,#214680,.T.); #303877=ORIENTED_EDGE('',*,*,#214681,.T.); #303878=ORIENTED_EDGE('',*,*,#214682,.T.); #303879=ORIENTED_EDGE('',*,*,#214683,.F.); #303880=ORIENTED_EDGE('',*,*,#214684,.F.); #303881=ORIENTED_EDGE('',*,*,#214685,.T.); #303882=ORIENTED_EDGE('',*,*,#214672,.F.); #303883=ORIENTED_EDGE('',*,*,#214685,.F.); #303884=ORIENTED_EDGE('',*,*,#214686,.F.); #303885=ORIENTED_EDGE('',*,*,#214687,.T.); #303886=ORIENTED_EDGE('',*,*,#214673,.F.); #303887=ORIENTED_EDGE('',*,*,#214687,.F.); #303888=ORIENTED_EDGE('',*,*,#214688,.F.); #303889=ORIENTED_EDGE('',*,*,#214689,.T.); #303890=ORIENTED_EDGE('',*,*,#214674,.F.); #303891=ORIENTED_EDGE('',*,*,#214689,.F.); #303892=ORIENTED_EDGE('',*,*,#214690,.F.); #303893=ORIENTED_EDGE('',*,*,#214691,.T.); #303894=ORIENTED_EDGE('',*,*,#214675,.F.); #303895=ORIENTED_EDGE('',*,*,#214691,.F.); #303896=ORIENTED_EDGE('',*,*,#214692,.F.); #303897=ORIENTED_EDGE('',*,*,#214693,.T.); #303898=ORIENTED_EDGE('',*,*,#214676,.F.); #303899=ORIENTED_EDGE('',*,*,#214693,.F.); #303900=ORIENTED_EDGE('',*,*,#214694,.F.); #303901=ORIENTED_EDGE('',*,*,#214695,.T.); #303902=ORIENTED_EDGE('',*,*,#214677,.F.); #303903=ORIENTED_EDGE('',*,*,#214695,.F.); #303904=ORIENTED_EDGE('',*,*,#214696,.F.); #303905=ORIENTED_EDGE('',*,*,#214697,.T.); #303906=ORIENTED_EDGE('',*,*,#214678,.F.); #303907=ORIENTED_EDGE('',*,*,#214697,.F.); #303908=ORIENTED_EDGE('',*,*,#214698,.F.); #303909=ORIENTED_EDGE('',*,*,#214699,.T.); #303910=ORIENTED_EDGE('',*,*,#214679,.F.); #303911=ORIENTED_EDGE('',*,*,#214699,.F.); #303912=ORIENTED_EDGE('',*,*,#214700,.F.); #303913=ORIENTED_EDGE('',*,*,#214701,.T.); #303914=ORIENTED_EDGE('',*,*,#214680,.F.); #303915=ORIENTED_EDGE('',*,*,#214701,.F.); #303916=ORIENTED_EDGE('',*,*,#214702,.F.); #303917=ORIENTED_EDGE('',*,*,#214703,.T.); #303918=ORIENTED_EDGE('',*,*,#214681,.F.); #303919=ORIENTED_EDGE('',*,*,#214703,.F.); #303920=ORIENTED_EDGE('',*,*,#214704,.F.); #303921=ORIENTED_EDGE('',*,*,#214705,.F.); #303922=ORIENTED_EDGE('',*,*,#214682,.F.); #303923=ORIENTED_EDGE('',*,*,#214705,.T.); #303924=ORIENTED_EDGE('',*,*,#214706,.F.); #303925=ORIENTED_EDGE('',*,*,#214683,.T.); #303926=ORIENTED_EDGE('',*,*,#214671,.F.); #303927=ORIENTED_EDGE('',*,*,#214706,.T.); #303928=ORIENTED_EDGE('',*,*,#214704,.T.); #303929=ORIENTED_EDGE('',*,*,#214702,.T.); #303930=ORIENTED_EDGE('',*,*,#214700,.T.); #303931=ORIENTED_EDGE('',*,*,#214698,.T.); #303932=ORIENTED_EDGE('',*,*,#214696,.T.); #303933=ORIENTED_EDGE('',*,*,#214694,.T.); #303934=ORIENTED_EDGE('',*,*,#214692,.T.); #303935=ORIENTED_EDGE('',*,*,#214690,.T.); #303936=ORIENTED_EDGE('',*,*,#214688,.T.); #303937=ORIENTED_EDGE('',*,*,#214686,.T.); #303938=ORIENTED_EDGE('',*,*,#214684,.T.); #303939=ORIENTED_EDGE('',*,*,#214707,.T.); #303940=ORIENTED_EDGE('',*,*,#214708,.T.); #303941=ORIENTED_EDGE('',*,*,#214709,.T.); #303942=ORIENTED_EDGE('',*,*,#214710,.T.); #303943=ORIENTED_EDGE('',*,*,#214711,.T.); #303944=ORIENTED_EDGE('',*,*,#214712,.T.); #303945=ORIENTED_EDGE('',*,*,#214713,.T.); #303946=ORIENTED_EDGE('',*,*,#214714,.T.); #303947=ORIENTED_EDGE('',*,*,#214715,.T.); #303948=ORIENTED_EDGE('',*,*,#214716,.T.); #303949=ORIENTED_EDGE('',*,*,#214717,.T.); #303950=ORIENTED_EDGE('',*,*,#214718,.T.); #303951=ORIENTED_EDGE('',*,*,#214719,.F.); #303952=ORIENTED_EDGE('',*,*,#214720,.F.); #303953=ORIENTED_EDGE('',*,*,#214721,.T.); #303954=ORIENTED_EDGE('',*,*,#214708,.F.); #303955=ORIENTED_EDGE('',*,*,#214721,.F.); #303956=ORIENTED_EDGE('',*,*,#214722,.F.); #303957=ORIENTED_EDGE('',*,*,#214723,.T.); #303958=ORIENTED_EDGE('',*,*,#214709,.F.); #303959=ORIENTED_EDGE('',*,*,#214723,.F.); #303960=ORIENTED_EDGE('',*,*,#214724,.F.); #303961=ORIENTED_EDGE('',*,*,#214725,.T.); #303962=ORIENTED_EDGE('',*,*,#214710,.F.); #303963=ORIENTED_EDGE('',*,*,#214725,.F.); #303964=ORIENTED_EDGE('',*,*,#214726,.F.); #303965=ORIENTED_EDGE('',*,*,#214727,.T.); #303966=ORIENTED_EDGE('',*,*,#214711,.F.); #303967=ORIENTED_EDGE('',*,*,#214727,.F.); #303968=ORIENTED_EDGE('',*,*,#214728,.F.); #303969=ORIENTED_EDGE('',*,*,#214729,.T.); #303970=ORIENTED_EDGE('',*,*,#214712,.F.); #303971=ORIENTED_EDGE('',*,*,#214729,.F.); #303972=ORIENTED_EDGE('',*,*,#214730,.F.); #303973=ORIENTED_EDGE('',*,*,#214731,.T.); #303974=ORIENTED_EDGE('',*,*,#214713,.F.); #303975=ORIENTED_EDGE('',*,*,#214731,.F.); #303976=ORIENTED_EDGE('',*,*,#214732,.F.); #303977=ORIENTED_EDGE('',*,*,#214733,.T.); #303978=ORIENTED_EDGE('',*,*,#214714,.F.); #303979=ORIENTED_EDGE('',*,*,#214733,.F.); #303980=ORIENTED_EDGE('',*,*,#214734,.F.); #303981=ORIENTED_EDGE('',*,*,#214735,.T.); #303982=ORIENTED_EDGE('',*,*,#214715,.F.); #303983=ORIENTED_EDGE('',*,*,#214735,.F.); #303984=ORIENTED_EDGE('',*,*,#214736,.F.); #303985=ORIENTED_EDGE('',*,*,#214737,.T.); #303986=ORIENTED_EDGE('',*,*,#214716,.F.); #303987=ORIENTED_EDGE('',*,*,#214737,.F.); #303988=ORIENTED_EDGE('',*,*,#214738,.F.); #303989=ORIENTED_EDGE('',*,*,#214739,.T.); #303990=ORIENTED_EDGE('',*,*,#214717,.F.); #303991=ORIENTED_EDGE('',*,*,#214739,.F.); #303992=ORIENTED_EDGE('',*,*,#214740,.F.); #303993=ORIENTED_EDGE('',*,*,#214741,.F.); #303994=ORIENTED_EDGE('',*,*,#214718,.F.); #303995=ORIENTED_EDGE('',*,*,#214741,.T.); #303996=ORIENTED_EDGE('',*,*,#214742,.F.); #303997=ORIENTED_EDGE('',*,*,#214719,.T.); #303998=ORIENTED_EDGE('',*,*,#214707,.F.); #303999=ORIENTED_EDGE('',*,*,#214742,.T.); #304000=ORIENTED_EDGE('',*,*,#214740,.T.); #304001=ORIENTED_EDGE('',*,*,#214738,.T.); #304002=ORIENTED_EDGE('',*,*,#214736,.T.); #304003=ORIENTED_EDGE('',*,*,#214734,.T.); #304004=ORIENTED_EDGE('',*,*,#214732,.T.); #304005=ORIENTED_EDGE('',*,*,#214730,.T.); #304006=ORIENTED_EDGE('',*,*,#214728,.T.); #304007=ORIENTED_EDGE('',*,*,#214726,.T.); #304008=ORIENTED_EDGE('',*,*,#214724,.T.); #304009=ORIENTED_EDGE('',*,*,#214722,.T.); #304010=ORIENTED_EDGE('',*,*,#214720,.T.); #304011=ORIENTED_EDGE('',*,*,#214743,.T.); #304012=ORIENTED_EDGE('',*,*,#214744,.T.); #304013=ORIENTED_EDGE('',*,*,#214745,.T.); #304014=ORIENTED_EDGE('',*,*,#214746,.T.); #304015=ORIENTED_EDGE('',*,*,#214747,.T.); #304016=ORIENTED_EDGE('',*,*,#214748,.T.); #304017=ORIENTED_EDGE('',*,*,#214749,.T.); #304018=ORIENTED_EDGE('',*,*,#214750,.T.); #304019=ORIENTED_EDGE('',*,*,#214751,.T.); #304020=ORIENTED_EDGE('',*,*,#214752,.T.); #304021=ORIENTED_EDGE('',*,*,#214753,.T.); #304022=ORIENTED_EDGE('',*,*,#214754,.T.); #304023=ORIENTED_EDGE('',*,*,#214755,.F.); #304024=ORIENTED_EDGE('',*,*,#214756,.F.); #304025=ORIENTED_EDGE('',*,*,#214757,.T.); #304026=ORIENTED_EDGE('',*,*,#214744,.F.); #304027=ORIENTED_EDGE('',*,*,#214757,.F.); #304028=ORIENTED_EDGE('',*,*,#214758,.F.); #304029=ORIENTED_EDGE('',*,*,#214759,.T.); #304030=ORIENTED_EDGE('',*,*,#214745,.F.); #304031=ORIENTED_EDGE('',*,*,#214759,.F.); #304032=ORIENTED_EDGE('',*,*,#214760,.F.); #304033=ORIENTED_EDGE('',*,*,#214761,.T.); #304034=ORIENTED_EDGE('',*,*,#214746,.F.); #304035=ORIENTED_EDGE('',*,*,#214761,.F.); #304036=ORIENTED_EDGE('',*,*,#214762,.F.); #304037=ORIENTED_EDGE('',*,*,#214763,.T.); #304038=ORIENTED_EDGE('',*,*,#214747,.F.); #304039=ORIENTED_EDGE('',*,*,#214763,.F.); #304040=ORIENTED_EDGE('',*,*,#214764,.F.); #304041=ORIENTED_EDGE('',*,*,#214765,.T.); #304042=ORIENTED_EDGE('',*,*,#214748,.F.); #304043=ORIENTED_EDGE('',*,*,#214765,.F.); #304044=ORIENTED_EDGE('',*,*,#214766,.F.); #304045=ORIENTED_EDGE('',*,*,#214767,.T.); #304046=ORIENTED_EDGE('',*,*,#214749,.F.); #304047=ORIENTED_EDGE('',*,*,#214767,.F.); #304048=ORIENTED_EDGE('',*,*,#214768,.F.); #304049=ORIENTED_EDGE('',*,*,#214769,.T.); #304050=ORIENTED_EDGE('',*,*,#214750,.F.); #304051=ORIENTED_EDGE('',*,*,#214769,.F.); #304052=ORIENTED_EDGE('',*,*,#214770,.F.); #304053=ORIENTED_EDGE('',*,*,#214771,.T.); #304054=ORIENTED_EDGE('',*,*,#214751,.F.); #304055=ORIENTED_EDGE('',*,*,#214771,.F.); #304056=ORIENTED_EDGE('',*,*,#214772,.F.); #304057=ORIENTED_EDGE('',*,*,#214773,.T.); #304058=ORIENTED_EDGE('',*,*,#214752,.F.); #304059=ORIENTED_EDGE('',*,*,#214773,.F.); #304060=ORIENTED_EDGE('',*,*,#214774,.F.); #304061=ORIENTED_EDGE('',*,*,#214775,.T.); #304062=ORIENTED_EDGE('',*,*,#214753,.F.); #304063=ORIENTED_EDGE('',*,*,#214775,.F.); #304064=ORIENTED_EDGE('',*,*,#214776,.F.); #304065=ORIENTED_EDGE('',*,*,#214777,.F.); #304066=ORIENTED_EDGE('',*,*,#214754,.F.); #304067=ORIENTED_EDGE('',*,*,#214777,.T.); #304068=ORIENTED_EDGE('',*,*,#214778,.F.); #304069=ORIENTED_EDGE('',*,*,#214755,.T.); #304070=ORIENTED_EDGE('',*,*,#214743,.F.); #304071=ORIENTED_EDGE('',*,*,#214778,.T.); #304072=ORIENTED_EDGE('',*,*,#214776,.T.); #304073=ORIENTED_EDGE('',*,*,#214774,.T.); #304074=ORIENTED_EDGE('',*,*,#214772,.T.); #304075=ORIENTED_EDGE('',*,*,#214770,.T.); #304076=ORIENTED_EDGE('',*,*,#214768,.T.); #304077=ORIENTED_EDGE('',*,*,#214766,.T.); #304078=ORIENTED_EDGE('',*,*,#214764,.T.); #304079=ORIENTED_EDGE('',*,*,#214762,.T.); #304080=ORIENTED_EDGE('',*,*,#214760,.T.); #304081=ORIENTED_EDGE('',*,*,#214758,.T.); #304082=ORIENTED_EDGE('',*,*,#214756,.T.); #304083=ORIENTED_EDGE('',*,*,#214779,.T.); #304084=ORIENTED_EDGE('',*,*,#214780,.T.); #304085=ORIENTED_EDGE('',*,*,#214781,.T.); #304086=ORIENTED_EDGE('',*,*,#214782,.T.); #304087=ORIENTED_EDGE('',*,*,#214783,.T.); #304088=ORIENTED_EDGE('',*,*,#214784,.T.); #304089=ORIENTED_EDGE('',*,*,#214785,.T.); #304090=ORIENTED_EDGE('',*,*,#214786,.T.); #304091=ORIENTED_EDGE('',*,*,#214787,.T.); #304092=ORIENTED_EDGE('',*,*,#214788,.T.); #304093=ORIENTED_EDGE('',*,*,#214789,.T.); #304094=ORIENTED_EDGE('',*,*,#214790,.T.); #304095=ORIENTED_EDGE('',*,*,#214791,.F.); #304096=ORIENTED_EDGE('',*,*,#214792,.F.); #304097=ORIENTED_EDGE('',*,*,#214793,.T.); #304098=ORIENTED_EDGE('',*,*,#214780,.F.); #304099=ORIENTED_EDGE('',*,*,#214793,.F.); #304100=ORIENTED_EDGE('',*,*,#214794,.F.); #304101=ORIENTED_EDGE('',*,*,#214795,.T.); #304102=ORIENTED_EDGE('',*,*,#214781,.F.); #304103=ORIENTED_EDGE('',*,*,#214795,.F.); #304104=ORIENTED_EDGE('',*,*,#214796,.F.); #304105=ORIENTED_EDGE('',*,*,#214797,.T.); #304106=ORIENTED_EDGE('',*,*,#214782,.F.); #304107=ORIENTED_EDGE('',*,*,#214797,.F.); #304108=ORIENTED_EDGE('',*,*,#214798,.F.); #304109=ORIENTED_EDGE('',*,*,#214799,.T.); #304110=ORIENTED_EDGE('',*,*,#214783,.F.); #304111=ORIENTED_EDGE('',*,*,#214799,.F.); #304112=ORIENTED_EDGE('',*,*,#214800,.F.); #304113=ORIENTED_EDGE('',*,*,#214801,.T.); #304114=ORIENTED_EDGE('',*,*,#214784,.F.); #304115=ORIENTED_EDGE('',*,*,#214801,.F.); #304116=ORIENTED_EDGE('',*,*,#214802,.F.); #304117=ORIENTED_EDGE('',*,*,#214803,.T.); #304118=ORIENTED_EDGE('',*,*,#214785,.F.); #304119=ORIENTED_EDGE('',*,*,#214803,.F.); #304120=ORIENTED_EDGE('',*,*,#214804,.F.); #304121=ORIENTED_EDGE('',*,*,#214805,.T.); #304122=ORIENTED_EDGE('',*,*,#214786,.F.); #304123=ORIENTED_EDGE('',*,*,#214805,.F.); #304124=ORIENTED_EDGE('',*,*,#214806,.F.); #304125=ORIENTED_EDGE('',*,*,#214807,.T.); #304126=ORIENTED_EDGE('',*,*,#214787,.F.); #304127=ORIENTED_EDGE('',*,*,#214807,.F.); #304128=ORIENTED_EDGE('',*,*,#214808,.F.); #304129=ORIENTED_EDGE('',*,*,#214809,.T.); #304130=ORIENTED_EDGE('',*,*,#214788,.F.); #304131=ORIENTED_EDGE('',*,*,#214809,.F.); #304132=ORIENTED_EDGE('',*,*,#214810,.F.); #304133=ORIENTED_EDGE('',*,*,#214811,.T.); #304134=ORIENTED_EDGE('',*,*,#214789,.F.); #304135=ORIENTED_EDGE('',*,*,#214811,.F.); #304136=ORIENTED_EDGE('',*,*,#214812,.F.); #304137=ORIENTED_EDGE('',*,*,#214813,.F.); #304138=ORIENTED_EDGE('',*,*,#214790,.F.); #304139=ORIENTED_EDGE('',*,*,#214813,.T.); #304140=ORIENTED_EDGE('',*,*,#214814,.F.); #304141=ORIENTED_EDGE('',*,*,#214791,.T.); #304142=ORIENTED_EDGE('',*,*,#214779,.F.); #304143=ORIENTED_EDGE('',*,*,#214814,.T.); #304144=ORIENTED_EDGE('',*,*,#214812,.T.); #304145=ORIENTED_EDGE('',*,*,#214810,.T.); #304146=ORIENTED_EDGE('',*,*,#214808,.T.); #304147=ORIENTED_EDGE('',*,*,#214806,.T.); #304148=ORIENTED_EDGE('',*,*,#214804,.T.); #304149=ORIENTED_EDGE('',*,*,#214802,.T.); #304150=ORIENTED_EDGE('',*,*,#214800,.T.); #304151=ORIENTED_EDGE('',*,*,#214798,.T.); #304152=ORIENTED_EDGE('',*,*,#214796,.T.); #304153=ORIENTED_EDGE('',*,*,#214794,.T.); #304154=ORIENTED_EDGE('',*,*,#214792,.T.); #304155=ORIENTED_EDGE('',*,*,#214815,.F.); #304156=ORIENTED_EDGE('',*,*,#214816,.F.); #304157=ORIENTED_EDGE('',*,*,#214817,.F.); #304158=ORIENTED_EDGE('',*,*,#214818,.F.); #304159=ORIENTED_EDGE('',*,*,#214819,.F.); #304160=ORIENTED_EDGE('',*,*,#214820,.F.); #304161=ORIENTED_EDGE('',*,*,#214821,.F.); #304162=ORIENTED_EDGE('',*,*,#214822,.F.); #304163=ORIENTED_EDGE('',*,*,#214823,.F.); #304164=ORIENTED_EDGE('',*,*,#214824,.F.); #304165=ORIENTED_EDGE('',*,*,#214825,.F.); #304166=ORIENTED_EDGE('',*,*,#214826,.F.); #304167=ORIENTED_EDGE('',*,*,#214827,.F.); #304168=ORIENTED_EDGE('',*,*,#214828,.F.); #304169=ORIENTED_EDGE('',*,*,#214829,.F.); #304170=ORIENTED_EDGE('',*,*,#214828,.T.); #304171=ORIENTED_EDGE('',*,*,#214830,.T.); #304172=ORIENTED_EDGE('',*,*,#214823,.T.); #304173=ORIENTED_EDGE('',*,*,#214831,.T.); #304174=ORIENTED_EDGE('',*,*,#214832,.F.); #304175=ORIENTED_EDGE('',*,*,#214833,.F.); #304176=ORIENTED_EDGE('',*,*,#214834,.F.); #304177=ORIENTED_EDGE('',*,*,#214835,.F.); #304178=ORIENTED_EDGE('',*,*,#214836,.F.); #304179=ORIENTED_EDGE('',*,*,#214837,.F.); #304180=ORIENTED_EDGE('',*,*,#214822,.T.); #304181=ORIENTED_EDGE('',*,*,#214838,.T.); #304182=ORIENTED_EDGE('',*,*,#214836,.T.); #304183=ORIENTED_EDGE('',*,*,#214839,.T.); #304184=ORIENTED_EDGE('',*,*,#214825,.T.); #304185=ORIENTED_EDGE('',*,*,#214840,.T.); #304186=ORIENTED_EDGE('',*,*,#214837,.T.); #304187=ORIENTED_EDGE('',*,*,#214841,.T.); #304188=ORIENTED_EDGE('',*,*,#214842,.F.); #304189=ORIENTED_EDGE('',*,*,#214843,.F.); #304190=ORIENTED_EDGE('',*,*,#214844,.F.); #304191=ORIENTED_EDGE('',*,*,#214829,.T.); #304192=ORIENTED_EDGE('',*,*,#214845,.T.); #304193=ORIENTED_EDGE('',*,*,#214843,.T.); #304194=ORIENTED_EDGE('',*,*,#214846,.T.); #304195=ORIENTED_EDGE('',*,*,#214835,.T.); #304196=ORIENTED_EDGE('',*,*,#214847,.T.); #304197=ORIENTED_EDGE('',*,*,#214844,.T.); #304198=ORIENTED_EDGE('',*,*,#214848,.T.); #304199=ORIENTED_EDGE('',*,*,#214833,.T.); #304200=ORIENTED_EDGE('',*,*,#214849,.T.); #304201=ORIENTED_EDGE('',*,*,#214842,.T.); #304202=ORIENTED_EDGE('',*,*,#214850,.T.); #304203=ORIENTED_EDGE('',*,*,#214824,.T.); #304204=ORIENTED_EDGE('',*,*,#214851,.T.); #304205=ORIENTED_EDGE('',*,*,#214834,.T.); #304206=ORIENTED_EDGE('',*,*,#214852,.T.); #304207=ORIENTED_EDGE('',*,*,#214832,.T.); #304208=ORIENTED_EDGE('',*,*,#214853,.T.); #304209=ORIENTED_EDGE('',*,*,#214820,.T.); #304210=ORIENTED_EDGE('',*,*,#214854,.T.); #304211=ORIENTED_EDGE('',*,*,#214819,.T.); #304212=ORIENTED_EDGE('',*,*,#214855,.T.); #304213=ORIENTED_EDGE('',*,*,#214827,.T.); #304214=ORIENTED_EDGE('',*,*,#214856,.T.); #304215=ORIENTED_EDGE('',*,*,#214815,.T.); #304216=ORIENTED_EDGE('',*,*,#214857,.T.); #304217=ORIENTED_EDGE('',*,*,#214826,.T.); #304218=ORIENTED_EDGE('',*,*,#214858,.T.); #304219=ORIENTED_EDGE('',*,*,#214816,.T.); #304220=ORIENTED_EDGE('',*,*,#214859,.T.); #304221=ORIENTED_EDGE('',*,*,#214821,.T.); #304222=ORIENTED_EDGE('',*,*,#214860,.T.); #304223=ORIENTED_EDGE('',*,*,#214818,.T.); #304224=ORIENTED_EDGE('',*,*,#214861,.T.); #304225=ORIENTED_EDGE('',*,*,#214817,.T.); #304226=ORIENTED_EDGE('',*,*,#214862,.T.); #304227=ORIENTED_EDGE('',*,*,#214830,.F.); #304228=ORIENTED_EDGE('',*,*,#214855,.F.); #304229=ORIENTED_EDGE('',*,*,#214862,.F.); #304230=ORIENTED_EDGE('',*,*,#214860,.F.); #304231=ORIENTED_EDGE('',*,*,#214831,.F.); #304232=ORIENTED_EDGE('',*,*,#214839,.F.); #304233=ORIENTED_EDGE('',*,*,#214848,.F.); #304234=ORIENTED_EDGE('',*,*,#214845,.F.); #304235=ORIENTED_EDGE('',*,*,#214838,.F.); #304236=ORIENTED_EDGE('',*,*,#214859,.F.); #304237=ORIENTED_EDGE('',*,*,#214858,.F.); #304238=ORIENTED_EDGE('',*,*,#214841,.F.); #304239=ORIENTED_EDGE('',*,*,#214840,.F.); #304240=ORIENTED_EDGE('',*,*,#214852,.F.); #304241=ORIENTED_EDGE('',*,*,#214850,.F.); #304242=ORIENTED_EDGE('',*,*,#214847,.F.); #304243=ORIENTED_EDGE('',*,*,#214846,.F.); #304244=ORIENTED_EDGE('',*,*,#214849,.F.); #304245=ORIENTED_EDGE('',*,*,#214854,.F.); #304246=ORIENTED_EDGE('',*,*,#214856,.F.); #304247=ORIENTED_EDGE('',*,*,#214851,.F.); #304248=ORIENTED_EDGE('',*,*,#214857,.F.); #304249=ORIENTED_EDGE('',*,*,#214861,.F.); #304250=ORIENTED_EDGE('',*,*,#214853,.F.); #304251=ORIENTED_EDGE('',*,*,#214863,.F.); #304252=ORIENTED_EDGE('',*,*,#214864,.F.); #304253=ORIENTED_EDGE('',*,*,#214865,.F.); #304254=ORIENTED_EDGE('',*,*,#214866,.F.); #304255=ORIENTED_EDGE('',*,*,#214867,.F.); #304256=ORIENTED_EDGE('',*,*,#214868,.F.); #304257=ORIENTED_EDGE('',*,*,#214869,.F.); #304258=ORIENTED_EDGE('',*,*,#214870,.F.); #304259=ORIENTED_EDGE('',*,*,#214871,.F.); #304260=ORIENTED_EDGE('',*,*,#214872,.F.); #304261=ORIENTED_EDGE('',*,*,#214873,.F.); #304262=ORIENTED_EDGE('',*,*,#214874,.F.); #304263=ORIENTED_EDGE('',*,*,#214875,.F.); #304264=ORIENTED_EDGE('',*,*,#214876,.F.); #304265=ORIENTED_EDGE('',*,*,#214877,.F.); #304266=ORIENTED_EDGE('',*,*,#214876,.T.); #304267=ORIENTED_EDGE('',*,*,#214878,.T.); #304268=ORIENTED_EDGE('',*,*,#214871,.T.); #304269=ORIENTED_EDGE('',*,*,#214879,.T.); #304270=ORIENTED_EDGE('',*,*,#214880,.F.); #304271=ORIENTED_EDGE('',*,*,#214881,.F.); #304272=ORIENTED_EDGE('',*,*,#214882,.F.); #304273=ORIENTED_EDGE('',*,*,#214883,.F.); #304274=ORIENTED_EDGE('',*,*,#214884,.F.); #304275=ORIENTED_EDGE('',*,*,#214885,.F.); #304276=ORIENTED_EDGE('',*,*,#214870,.T.); #304277=ORIENTED_EDGE('',*,*,#214886,.T.); #304278=ORIENTED_EDGE('',*,*,#214884,.T.); #304279=ORIENTED_EDGE('',*,*,#214887,.T.); #304280=ORIENTED_EDGE('',*,*,#214873,.T.); #304281=ORIENTED_EDGE('',*,*,#214888,.T.); #304282=ORIENTED_EDGE('',*,*,#214885,.T.); #304283=ORIENTED_EDGE('',*,*,#214889,.T.); #304284=ORIENTED_EDGE('',*,*,#214890,.F.); #304285=ORIENTED_EDGE('',*,*,#214891,.F.); #304286=ORIENTED_EDGE('',*,*,#214892,.F.); #304287=ORIENTED_EDGE('',*,*,#214891,.T.); #304288=ORIENTED_EDGE('',*,*,#214893,.T.); #304289=ORIENTED_EDGE('',*,*,#214877,.T.); #304290=ORIENTED_EDGE('',*,*,#214894,.T.); #304291=ORIENTED_EDGE('',*,*,#214883,.T.); #304292=ORIENTED_EDGE('',*,*,#214895,.T.); #304293=ORIENTED_EDGE('',*,*,#214892,.T.); #304294=ORIENTED_EDGE('',*,*,#214896,.T.); #304295=ORIENTED_EDGE('',*,*,#214881,.T.); #304296=ORIENTED_EDGE('',*,*,#214897,.T.); #304297=ORIENTED_EDGE('',*,*,#214890,.T.); #304298=ORIENTED_EDGE('',*,*,#214898,.T.); #304299=ORIENTED_EDGE('',*,*,#214872,.T.); #304300=ORIENTED_EDGE('',*,*,#214899,.T.); #304301=ORIENTED_EDGE('',*,*,#214882,.T.); #304302=ORIENTED_EDGE('',*,*,#214900,.T.); #304303=ORIENTED_EDGE('',*,*,#214880,.T.); #304304=ORIENTED_EDGE('',*,*,#214901,.T.); #304305=ORIENTED_EDGE('',*,*,#214868,.T.); #304306=ORIENTED_EDGE('',*,*,#214902,.T.); #304307=ORIENTED_EDGE('',*,*,#214867,.T.); #304308=ORIENTED_EDGE('',*,*,#214903,.T.); #304309=ORIENTED_EDGE('',*,*,#214875,.T.); #304310=ORIENTED_EDGE('',*,*,#214904,.T.); #304311=ORIENTED_EDGE('',*,*,#214863,.T.); #304312=ORIENTED_EDGE('',*,*,#214905,.T.); #304313=ORIENTED_EDGE('',*,*,#214874,.T.); #304314=ORIENTED_EDGE('',*,*,#214906,.T.); #304315=ORIENTED_EDGE('',*,*,#214864,.T.); #304316=ORIENTED_EDGE('',*,*,#214907,.T.); #304317=ORIENTED_EDGE('',*,*,#214869,.T.); #304318=ORIENTED_EDGE('',*,*,#214908,.T.); #304319=ORIENTED_EDGE('',*,*,#214866,.T.); #304320=ORIENTED_EDGE('',*,*,#214909,.T.); #304321=ORIENTED_EDGE('',*,*,#214865,.T.); #304322=ORIENTED_EDGE('',*,*,#214910,.T.); #304323=ORIENTED_EDGE('',*,*,#214878,.F.); #304324=ORIENTED_EDGE('',*,*,#214903,.F.); #304325=ORIENTED_EDGE('',*,*,#214910,.F.); #304326=ORIENTED_EDGE('',*,*,#214908,.F.); #304327=ORIENTED_EDGE('',*,*,#214879,.F.); #304328=ORIENTED_EDGE('',*,*,#214887,.F.); #304329=ORIENTED_EDGE('',*,*,#214896,.F.); #304330=ORIENTED_EDGE('',*,*,#214894,.F.); #304331=ORIENTED_EDGE('',*,*,#214886,.F.); #304332=ORIENTED_EDGE('',*,*,#214907,.F.); #304333=ORIENTED_EDGE('',*,*,#214906,.F.); #304334=ORIENTED_EDGE('',*,*,#214889,.F.); #304335=ORIENTED_EDGE('',*,*,#214888,.F.); #304336=ORIENTED_EDGE('',*,*,#214900,.F.); #304337=ORIENTED_EDGE('',*,*,#214898,.F.); #304338=ORIENTED_EDGE('',*,*,#214895,.F.); #304339=ORIENTED_EDGE('',*,*,#214893,.F.); #304340=ORIENTED_EDGE('',*,*,#214897,.F.); #304341=ORIENTED_EDGE('',*,*,#214902,.F.); #304342=ORIENTED_EDGE('',*,*,#214904,.F.); #304343=ORIENTED_EDGE('',*,*,#214899,.F.); #304344=ORIENTED_EDGE('',*,*,#214905,.F.); #304345=ORIENTED_EDGE('',*,*,#214909,.F.); #304346=ORIENTED_EDGE('',*,*,#214901,.F.); #304347=ORIENTED_EDGE('',*,*,#214911,.F.); #304348=ORIENTED_EDGE('',*,*,#214912,.T.); #304349=ORIENTED_EDGE('',*,*,#214913,.F.); #304350=ORIENTED_EDGE('',*,*,#214914,.F.); #304351=ORIENTED_EDGE('',*,*,#214915,.T.); #304352=ORIENTED_EDGE('',*,*,#214914,.T.); #304353=ORIENTED_EDGE('',*,*,#214916,.F.); #304354=ORIENTED_EDGE('',*,*,#214917,.F.); #304355=ORIENTED_EDGE('',*,*,#214918,.T.); #304356=ORIENTED_EDGE('',*,*,#214917,.T.); #304357=ORIENTED_EDGE('',*,*,#214919,.F.); #304358=ORIENTED_EDGE('',*,*,#214920,.F.); #304359=ORIENTED_EDGE('',*,*,#214921,.T.); #304360=ORIENTED_EDGE('',*,*,#214920,.T.); #304361=ORIENTED_EDGE('',*,*,#214922,.F.); #304362=ORIENTED_EDGE('',*,*,#214912,.F.); #304363=ORIENTED_EDGE('',*,*,#214922,.T.); #304364=ORIENTED_EDGE('',*,*,#214919,.T.); #304365=ORIENTED_EDGE('',*,*,#214916,.T.); #304366=ORIENTED_EDGE('',*,*,#214913,.T.); #304367=ORIENTED_EDGE('',*,*,#214921,.F.); #304368=ORIENTED_EDGE('',*,*,#214911,.T.); #304369=ORIENTED_EDGE('',*,*,#214915,.F.); #304370=ORIENTED_EDGE('',*,*,#214918,.F.); #304371=CYLINDRICAL_SURFACE('',#326591,0.325); #304372=CYLINDRICAL_SURFACE('',#326594,0.325); #304373=CYLINDRICAL_SURFACE('',#326597,0.600000000000001); #304374=CYLINDRICAL_SURFACE('',#326600,0.175000000000001); #304375=CYLINDRICAL_SURFACE('',#326603,0.175000000000001); #304376=CYLINDRICAL_SURFACE('',#326606,0.175000000000001); #304377=CYLINDRICAL_SURFACE('',#326609,0.175000000000001); #304378=CYLINDRICAL_SURFACE('',#326612,0.175000000000001); #304379=CYLINDRICAL_SURFACE('',#326615,0.175000000000001); #304380=CYLINDRICAL_SURFACE('',#326618,0.175000000000001); #304381=CYLINDRICAL_SURFACE('',#326621,0.175000000000001); #304382=CYLINDRICAL_SURFACE('',#326624,0.175000000000001); #304383=CYLINDRICAL_SURFACE('',#326627,0.175000000000001); #304384=CYLINDRICAL_SURFACE('',#326630,0.175000000000001); #304385=CYLINDRICAL_SURFACE('',#326633,0.175000000000001); #304386=CYLINDRICAL_SURFACE('',#326636,0.175000000000001); #304387=CYLINDRICAL_SURFACE('',#326639,0.175000000000001); #304388=CYLINDRICAL_SURFACE('',#326642,0.175000000000001); #304389=CYLINDRICAL_SURFACE('',#326645,0.5); #304390=CYLINDRICAL_SURFACE('',#326648,0.175000000000001); #304391=CYLINDRICAL_SURFACE('',#326651,0.175000000000001); #304392=CYLINDRICAL_SURFACE('',#326654,0.175000000000001); #304393=CYLINDRICAL_SURFACE('',#326657,0.175000000000001); #304394=CYLINDRICAL_SURFACE('',#326660,0.175000000000001); #304395=CYLINDRICAL_SURFACE('',#326663,0.175000000000001); #304396=CYLINDRICAL_SURFACE('',#326666,0.175000000000001); #304397=CYLINDRICAL_SURFACE('',#326669,0.175000000000001); #304398=CYLINDRICAL_SURFACE('',#326672,0.175000000000001); #304399=CYLINDRICAL_SURFACE('',#326675,0.175000000000001); #304400=CYLINDRICAL_SURFACE('',#326678,0.175000000000001); #304401=CYLINDRICAL_SURFACE('',#326681,0.175000000000001); #304402=CYLINDRICAL_SURFACE('',#326684,0.175000000000001); #304403=CYLINDRICAL_SURFACE('',#326687,0.175000000000001); #304404=CYLINDRICAL_SURFACE('',#326690,0.175000000000001); #304405=CYLINDRICAL_SURFACE('',#326693,0.550000000000002); #304406=CYLINDRICAL_SURFACE('',#326696,0.175000000000001); #304407=CYLINDRICAL_SURFACE('',#326699,0.175000000000001); #304408=CYLINDRICAL_SURFACE('',#326702,0.175000000000001); #304409=CYLINDRICAL_SURFACE('',#326705,0.175000000000001); #304410=CYLINDRICAL_SURFACE('',#326708,0.175000000000001); #304411=CYLINDRICAL_SURFACE('',#326711,0.175000000000001); #304412=CYLINDRICAL_SURFACE('',#326714,0.175000000000001); #304413=CYLINDRICAL_SURFACE('',#326717,0.175000000000001); #304414=CYLINDRICAL_SURFACE('',#326720,0.175000000000001); #304415=CYLINDRICAL_SURFACE('',#326723,0.175000000000001); #304416=CYLINDRICAL_SURFACE('',#326726,0.175000000000001); #304417=CYLINDRICAL_SURFACE('',#326729,0.175000000000001); #304418=CYLINDRICAL_SURFACE('',#326732,0.175000000000001); #304419=CYLINDRICAL_SURFACE('',#326735,0.175000000000001); #304420=CYLINDRICAL_SURFACE('',#326738,0.175000000000001); #304421=CYLINDRICAL_SURFACE('',#326741,0.5); #304422=CYLINDRICAL_SURFACE('',#326744,0.175000000000001); #304423=CYLINDRICAL_SURFACE('',#326747,0.175000000000001); #304424=CYLINDRICAL_SURFACE('',#326750,0.175000000000001); #304425=CYLINDRICAL_SURFACE('',#326753,0.175000000000001); #304426=CYLINDRICAL_SURFACE('',#326756,0.175000000000001); #304427=CYLINDRICAL_SURFACE('',#326759,0.175000000000001); #304428=CYLINDRICAL_SURFACE('',#326762,0.175000000000001); #304429=CYLINDRICAL_SURFACE('',#326765,0.175000000000001); #304430=CYLINDRICAL_SURFACE('',#326768,0.175000000000001); #304431=CYLINDRICAL_SURFACE('',#326771,0.175000000000001); #304432=CYLINDRICAL_SURFACE('',#326774,0.175000000000001); #304433=CYLINDRICAL_SURFACE('',#326777,0.175000000000001); #304434=CYLINDRICAL_SURFACE('',#326780,0.175000000000001); #304435=CYLINDRICAL_SURFACE('',#326783,0.175000000000001); #304436=CYLINDRICAL_SURFACE('',#326786,0.175000000000001); #304437=CYLINDRICAL_SURFACE('',#326789,0.600000000000001); #304438=CYLINDRICAL_SURFACE('',#326792,0.175000000000001); #304439=CYLINDRICAL_SURFACE('',#326795,0.175000000000001); #304440=CYLINDRICAL_SURFACE('',#326798,0.175000000000001); #304441=CYLINDRICAL_SURFACE('',#326801,0.175000000000001); #304442=CYLINDRICAL_SURFACE('',#326804,0.175000000000001); #304443=CYLINDRICAL_SURFACE('',#326807,0.175); #304444=CYLINDRICAL_SURFACE('',#326810,0.175000000000001); #304445=CYLINDRICAL_SURFACE('',#326813,0.175000000000001); #304446=CYLINDRICAL_SURFACE('',#326816,0.175000000000001); #304447=CYLINDRICAL_SURFACE('',#326819,0.175000000000001); #304448=CYLINDRICAL_SURFACE('',#326822,0.175000000000001); #304449=CYLINDRICAL_SURFACE('',#326825,0.175000000000001); #304450=CYLINDRICAL_SURFACE('',#326828,0.175000000000001); #304451=CYLINDRICAL_SURFACE('',#326831,0.175); #304452=CYLINDRICAL_SURFACE('',#326834,0.175000000000001); #304453=CYLINDRICAL_SURFACE('',#326837,0.175000000000001); #304454=CYLINDRICAL_SURFACE('',#326840,0.175000000000001); #304455=CYLINDRICAL_SURFACE('',#326843,0.175000000000001); #304456=CYLINDRICAL_SURFACE('',#326846,0.175000000000001); #304457=CYLINDRICAL_SURFACE('',#326849,0.175000000000001); #304458=CYLINDRICAL_SURFACE('',#326852,0.175000000000001); #304459=CYLINDRICAL_SURFACE('',#326855,0.175000000000001); #304460=CYLINDRICAL_SURFACE('',#326858,0.175000000000001); #304461=CYLINDRICAL_SURFACE('',#326861,0.175000000000001); #304462=CYLINDRICAL_SURFACE('',#326864,0.175000000000001); #304463=CYLINDRICAL_SURFACE('',#326867,0.175000000000001); #304464=CYLINDRICAL_SURFACE('',#326870,0.175000000000001); #304465=CYLINDRICAL_SURFACE('',#326873,0.175000000000001); #304466=CYLINDRICAL_SURFACE('',#326876,0.175000000000001); #304467=CYLINDRICAL_SURFACE('',#326879,0.175000000000001); #304468=CYLINDRICAL_SURFACE('',#326882,0.175000000000001); #304469=CYLINDRICAL_SURFACE('',#326885,0.499999999999998); #304470=CYLINDRICAL_SURFACE('',#326888,0.175000000000001); #304471=CYLINDRICAL_SURFACE('',#326891,0.175000000000001); #304472=CYLINDRICAL_SURFACE('',#326894,0.175000000000001); #304473=CYLINDRICAL_SURFACE('',#326897,0.175000000000001); #304474=CYLINDRICAL_SURFACE('',#326900,0.175000000000001); #304475=CYLINDRICAL_SURFACE('',#326903,0.175000000000001); #304476=CYLINDRICAL_SURFACE('',#326906,0.175000000000001); #304477=CYLINDRICAL_SURFACE('',#326909,0.175000000000001); #304478=CYLINDRICAL_SURFACE('',#326912,0.175000000000001); #304479=CYLINDRICAL_SURFACE('',#326915,0.175000000000001); #304480=CYLINDRICAL_SURFACE('',#326918,0.175000000000001); #304481=CYLINDRICAL_SURFACE('',#326921,0.175000000000001); #304482=CYLINDRICAL_SURFACE('',#326924,0.175000000000001); #304483=CYLINDRICAL_SURFACE('',#326927,0.175000000000001); #304484=CYLINDRICAL_SURFACE('',#326930,0.175000000000001); #304485=CYLINDRICAL_SURFACE('',#326933,0.499999999999998); #304486=CYLINDRICAL_SURFACE('',#326936,0.175000000000001); #304487=CYLINDRICAL_SURFACE('',#326939,0.175000000000001); #304488=CYLINDRICAL_SURFACE('',#326942,0.175000000000001); #304489=CYLINDRICAL_SURFACE('',#326945,0.175000000000001); #304490=CYLINDRICAL_SURFACE('',#326948,0.175000000000001); #304491=CYLINDRICAL_SURFACE('',#326951,0.175000000000001); #304492=CYLINDRICAL_SURFACE('',#326954,0.175000000000001); #304493=CYLINDRICAL_SURFACE('',#326957,0.175000000000001); #304494=CYLINDRICAL_SURFACE('',#326960,0.175000000000001); #304495=CYLINDRICAL_SURFACE('',#326963,0.175000000000001); #304496=CYLINDRICAL_SURFACE('',#326966,0.175000000000001); #304497=CYLINDRICAL_SURFACE('',#326969,0.175000000000001); #304498=CYLINDRICAL_SURFACE('',#326972,0.175000000000001); #304499=CYLINDRICAL_SURFACE('',#326975,0.175000000000001); #304500=CYLINDRICAL_SURFACE('',#326978,0.175000000000001); #304501=CYLINDRICAL_SURFACE('',#326981,0.550000000000002); #304502=CYLINDRICAL_SURFACE('',#326984,0.150000000000001); #304503=CYLINDRICAL_SURFACE('',#326987,0.175); #304504=CYLINDRICAL_SURFACE('',#326990,0.150000000000001); #304505=CYLINDRICAL_SURFACE('',#326993,0.175000000000001); #304506=CYLINDRICAL_SURFACE('',#326996,0.175000000000001); #304507=CYLINDRICAL_SURFACE('',#326999,0.175); #304508=CYLINDRICAL_SURFACE('',#327002,0.150000000000001); #304509=CYLINDRICAL_SURFACE('',#327005,0.175000000000001); #304510=CYLINDRICAL_SURFACE('',#327008,0.175000000000001); #304511=CYLINDRICAL_SURFACE('',#327011,0.175); #304512=CYLINDRICAL_SURFACE('',#327014,0.175000000000001); #304513=CYLINDRICAL_SURFACE('',#327017,0.175000000000001); #304514=CYLINDRICAL_SURFACE('',#327020,0.175000000000001); #304515=CYLINDRICAL_SURFACE('',#327023,0.175); #304516=CYLINDRICAL_SURFACE('',#327026,0.175000000000001); #304517=CYLINDRICAL_SURFACE('',#327029,0.175000000000001); #304518=CYLINDRICAL_SURFACE('',#327032,0.175000000000001); #304519=CYLINDRICAL_SURFACE('',#327035,0.175); #304520=CYLINDRICAL_SURFACE('',#327038,0.175000000000001); #304521=CYLINDRICAL_SURFACE('',#327041,0.175000000000001); #304522=CYLINDRICAL_SURFACE('',#327044,0.175000000000001); #304523=CYLINDRICAL_SURFACE('',#327047,0.175000000000001); #304524=CYLINDRICAL_SURFACE('',#327050,0.175000000000001); #304525=CYLINDRICAL_SURFACE('',#327053,0.175000000000001); #304526=CYLINDRICAL_SURFACE('',#327056,0.175000000000001); #304527=CYLINDRICAL_SURFACE('',#327059,0.175); #304528=CYLINDRICAL_SURFACE('',#327062,0.175000000000001); #304529=CYLINDRICAL_SURFACE('',#327065,0.175000000000001); #304530=CYLINDRICAL_SURFACE('',#327068,0.175000000000001); #304531=CYLINDRICAL_SURFACE('',#327071,0.175); #304532=CYLINDRICAL_SURFACE('',#327074,0.175000000000001); #304533=CYLINDRICAL_SURFACE('',#327077,0.499999999999998); #304534=CYLINDRICAL_SURFACE('',#327081,0.35); #304535=CYLINDRICAL_SURFACE('',#327085,0.35); #304536=CYLINDRICAL_SURFACE('',#327088,0.175000000000001); #304537=CYLINDRICAL_SURFACE('',#327092,0.6); #304538=CYLINDRICAL_SURFACE('',#327096,0.6); #304539=CYLINDRICAL_SURFACE('',#327099,0.175000000000001); #304540=CYLINDRICAL_SURFACE('',#327102,0.150000000000001); #304541=CYLINDRICAL_SURFACE('',#327105,0.175000000000001); #304542=CYLINDRICAL_SURFACE('',#327109,0.6); #304543=CYLINDRICAL_SURFACE('',#327113,0.6); #304544=CYLINDRICAL_SURFACE('',#327116,0.175000000000001); #304545=CYLINDRICAL_SURFACE('',#327119,0.150000000000001); #304546=CYLINDRICAL_SURFACE('',#327122,0.175000000000001); #304547=CYLINDRICAL_SURFACE('',#327125,0.150000000000001); #304548=CYLINDRICAL_SURFACE('',#327128,0.175000000000001); #304549=CYLINDRICAL_SURFACE('',#327131,0.150000000000001); #304550=CYLINDRICAL_SURFACE('',#327134,0.175000000000001); #304551=CYLINDRICAL_SURFACE('',#327137,0.150000000000001); #304552=CYLINDRICAL_SURFACE('',#327140,0.175000000000001); #304553=CYLINDRICAL_SURFACE('',#327143,0.175000000000001); #304554=CYLINDRICAL_SURFACE('',#327147,0.35); #304555=CYLINDRICAL_SURFACE('',#327151,0.35); #304556=CYLINDRICAL_SURFACE('',#327154,0.175000000000001); #304557=CYLINDRICAL_SURFACE('',#327158,0.35); #304558=CYLINDRICAL_SURFACE('',#327162,0.35); #304559=CYLINDRICAL_SURFACE('',#327165,0.175000000000001); #304560=CYLINDRICAL_SURFACE('',#327169,0.35); #304561=CYLINDRICAL_SURFACE('',#327173,0.35); #304562=CYLINDRICAL_SURFACE('',#327176,0.175000000000001); #304563=CYLINDRICAL_SURFACE('',#327179,0.175000000000001); #304564=CYLINDRICAL_SURFACE('',#327182,0.175000000000001); #304565=CYLINDRICAL_SURFACE('',#327185,0.175000000000001); #304566=CYLINDRICAL_SURFACE('',#327188,0.600000000000001); #304567=CYLINDRICAL_SURFACE('',#327191,0.175000000000001); #304568=CYLINDRICAL_SURFACE('',#327194,0.175000000000001); #304569=CYLINDRICAL_SURFACE('',#327197,0.175000000000001); #304570=CYLINDRICAL_SURFACE('',#327200,0.175000000000001); #304571=CYLINDRICAL_SURFACE('',#327203,0.175000000000001); #304572=CYLINDRICAL_SURFACE('',#327206,0.175000000000001); #304573=CYLINDRICAL_SURFACE('',#327209,0.175000000000001); #304574=CYLINDRICAL_SURFACE('',#327212,0.175000000000001); #304575=CYLINDRICAL_SURFACE('',#327215,0.175000000000001); #304576=CYLINDRICAL_SURFACE('',#327218,0.175000000000001); #304577=CYLINDRICAL_SURFACE('',#327221,0.175000000000001); #304578=CYLINDRICAL_SURFACE('',#327224,0.175000000000001); #304579=CYLINDRICAL_SURFACE('',#327227,0.175000000000001); #304580=CYLINDRICAL_SURFACE('',#327230,0.175000000000001); #304581=CYLINDRICAL_SURFACE('',#327233,0.175000000000001); #304582=CYLINDRICAL_SURFACE('',#327236,0.499999999999998); #304583=CYLINDRICAL_SURFACE('',#327239,0.175000000000001); #304584=CYLINDRICAL_SURFACE('',#327242,0.175000000000001); #304585=CYLINDRICAL_SURFACE('',#327245,0.175000000000001); #304586=CYLINDRICAL_SURFACE('',#327248,0.175000000000001); #304587=CYLINDRICAL_SURFACE('',#327251,0.175000000000001); #304588=CYLINDRICAL_SURFACE('',#327254,0.175000000000001); #304589=CYLINDRICAL_SURFACE('',#327257,0.175000000000001); #304590=CYLINDRICAL_SURFACE('',#327260,0.175000000000001); #304591=CYLINDRICAL_SURFACE('',#327263,0.175000000000001); #304592=CYLINDRICAL_SURFACE('',#327266,0.175000000000001); #304593=CYLINDRICAL_SURFACE('',#327269,0.175000000000001); #304594=CYLINDRICAL_SURFACE('',#327272,0.175000000000001); #304595=CYLINDRICAL_SURFACE('',#327275,0.175000000000001); #304596=CYLINDRICAL_SURFACE('',#327278,0.175000000000001); #304597=CYLINDRICAL_SURFACE('',#327281,0.175000000000001); #304598=CYLINDRICAL_SURFACE('',#327284,0.549999999999997); #304599=CYLINDRICAL_SURFACE('',#327287,0.175000000000001); #304600=CYLINDRICAL_SURFACE('',#327290,0.175000000000001); #304601=CYLINDRICAL_SURFACE('',#327293,0.175000000000001); #304602=CYLINDRICAL_SURFACE('',#327296,0.175000000000001); #304603=CYLINDRICAL_SURFACE('',#327299,0.175000000000001); #304604=CYLINDRICAL_SURFACE('',#327302,0.175000000000001); #304605=CYLINDRICAL_SURFACE('',#327305,0.175000000000001); #304606=CYLINDRICAL_SURFACE('',#327308,0.175000000000001); #304607=CYLINDRICAL_SURFACE('',#327311,0.175000000000001); #304608=CYLINDRICAL_SURFACE('',#327314,0.175000000000001); #304609=CYLINDRICAL_SURFACE('',#327317,0.175000000000001); #304610=CYLINDRICAL_SURFACE('',#327320,0.175000000000001); #304611=CYLINDRICAL_SURFACE('',#327323,0.175000000000001); #304612=CYLINDRICAL_SURFACE('',#327326,0.175000000000001); #304613=CYLINDRICAL_SURFACE('',#327329,0.175000000000001); #304614=CYLINDRICAL_SURFACE('',#327332,0.499999999999998); #304615=CYLINDRICAL_SURFACE('',#327335,0.175000000000001); #304616=CYLINDRICAL_SURFACE('',#327338,0.175000000000001); #304617=CYLINDRICAL_SURFACE('',#327341,0.175000000000001); #304618=CYLINDRICAL_SURFACE('',#327344,0.175000000000001); #304619=CYLINDRICAL_SURFACE('',#327347,0.175000000000001); #304620=CYLINDRICAL_SURFACE('',#327350,0.175000000000001); #304621=CYLINDRICAL_SURFACE('',#327353,0.175000000000001); #304622=CYLINDRICAL_SURFACE('',#327356,0.175000000000001); #304623=CYLINDRICAL_SURFACE('',#327359,0.175000000000001); #304624=CYLINDRICAL_SURFACE('',#327362,0.175000000000001); #304625=CYLINDRICAL_SURFACE('',#327365,0.175000000000001); #304626=CYLINDRICAL_SURFACE('',#327368,0.175000000000001); #304627=CYLINDRICAL_SURFACE('',#327371,0.175000000000001); #304628=CYLINDRICAL_SURFACE('',#327374,0.175000000000001); #304629=CYLINDRICAL_SURFACE('',#327377,0.175000000000001); #304630=CYLINDRICAL_SURFACE('',#327380,0.600000000000001); #304631=CYLINDRICAL_SURFACE('',#327383,0.175000000000001); #304632=CYLINDRICAL_SURFACE('',#327386,0.175000000000001); #304633=CYLINDRICAL_SURFACE('',#327389,0.175000000000001); #304634=CYLINDRICAL_SURFACE('',#327392,0.175000000000001); #304635=CYLINDRICAL_SURFACE('',#327395,0.175000000000001); #304636=CYLINDRICAL_SURFACE('',#327398,0.175000000000001); #304637=CYLINDRICAL_SURFACE('',#327401,0.175000000000001); #304638=CYLINDRICAL_SURFACE('',#327404,0.175000000000001); #304639=CYLINDRICAL_SURFACE('',#327407,0.175000000000001); #304640=CYLINDRICAL_SURFACE('',#327410,0.175000000000001); #304641=CYLINDRICAL_SURFACE('',#327413,0.175000000000001); #304642=CYLINDRICAL_SURFACE('',#327416,0.175000000000001); #304643=CYLINDRICAL_SURFACE('',#327419,0.175000000000001); #304644=CYLINDRICAL_SURFACE('',#327422,0.175000000000001); #304645=CYLINDRICAL_SURFACE('',#327425,0.175000000000001); #304646=CYLINDRICAL_SURFACE('',#327428,0.499999999999998); #304647=CYLINDRICAL_SURFACE('',#327431,0.175000000000001); #304648=CYLINDRICAL_SURFACE('',#327434,0.175000000000001); #304649=CYLINDRICAL_SURFACE('',#327437,0.175000000000001); #304650=CYLINDRICAL_SURFACE('',#327440,0.175000000000001); #304651=CYLINDRICAL_SURFACE('',#327443,0.175000000000001); #304652=CYLINDRICAL_SURFACE('',#327446,0.175000000000001); #304653=CYLINDRICAL_SURFACE('',#327449,0.175000000000001); #304654=CYLINDRICAL_SURFACE('',#327452,0.175000000000001); #304655=CYLINDRICAL_SURFACE('',#327455,0.175000000000001); #304656=CYLINDRICAL_SURFACE('',#327458,0.175000000000001); #304657=CYLINDRICAL_SURFACE('',#327461,0.175000000000001); #304658=CYLINDRICAL_SURFACE('',#327464,0.175000000000001); #304659=CYLINDRICAL_SURFACE('',#327467,0.175000000000001); #304660=CYLINDRICAL_SURFACE('',#327470,0.175000000000001); #304661=CYLINDRICAL_SURFACE('',#327473,0.175000000000001); #304662=CYLINDRICAL_SURFACE('',#327476,0.549999999999997); #304663=CYLINDRICAL_SURFACE('',#327479,0.175000000000001); #304664=CYLINDRICAL_SURFACE('',#327482,0.175000000000001); #304665=CYLINDRICAL_SURFACE('',#327485,0.175000000000001); #304666=CYLINDRICAL_SURFACE('',#327488,0.175000000000001); #304667=CYLINDRICAL_SURFACE('',#327491,0.175000000000001); #304668=CYLINDRICAL_SURFACE('',#327494,0.175000000000001); #304669=CYLINDRICAL_SURFACE('',#327497,0.175000000000001); #304670=CYLINDRICAL_SURFACE('',#327500,0.175000000000001); #304671=CYLINDRICAL_SURFACE('',#327503,0.175000000000001); #304672=CYLINDRICAL_SURFACE('',#327506,0.175000000000001); #304673=CYLINDRICAL_SURFACE('',#327509,0.175000000000001); #304674=CYLINDRICAL_SURFACE('',#327512,0.175000000000001); #304675=CYLINDRICAL_SURFACE('',#327515,0.175000000000001); #304676=CYLINDRICAL_SURFACE('',#327518,0.175000000000001); #304677=CYLINDRICAL_SURFACE('',#327521,0.175000000000001); #304678=CYLINDRICAL_SURFACE('',#327524,0.499999999999998); #304679=CYLINDRICAL_SURFACE('',#327527,0.175000000000001); #304680=CYLINDRICAL_SURFACE('',#327530,0.175000000000001); #304681=CYLINDRICAL_SURFACE('',#327533,0.175000000000001); #304682=CYLINDRICAL_SURFACE('',#327536,0.175000000000001); #304683=CYLINDRICAL_SURFACE('',#327539,0.175000000000001); #304684=CYLINDRICAL_SURFACE('',#327542,0.175000000000001); #304685=CYLINDRICAL_SURFACE('',#327545,0.175000000000001); #304686=CYLINDRICAL_SURFACE('',#327548,0.175000000000001); #304687=CYLINDRICAL_SURFACE('',#327551,0.175000000000001); #304688=CYLINDRICAL_SURFACE('',#327554,0.175000000000001); #304689=CYLINDRICAL_SURFACE('',#327557,0.175000000000001); #304690=CYLINDRICAL_SURFACE('',#327560,0.175000000000001); #304691=CYLINDRICAL_SURFACE('',#327563,0.175000000000001); #304692=CYLINDRICAL_SURFACE('',#327566,0.175000000000001); #304693=CYLINDRICAL_SURFACE('',#327569,0.175000000000001); #304694=CYLINDRICAL_SURFACE('',#327572,34.); #304695=CYLINDRICAL_SURFACE('',#327577,0.14); #304696=CYLINDRICAL_SURFACE('',#327580,0.175000000000001); #304697=CYLINDRICAL_SURFACE('',#327585,0.14); #304698=CYLINDRICAL_SURFACE('',#327588,0.175000000000001); #304699=CYLINDRICAL_SURFACE('',#327593,0.14); #304700=CYLINDRICAL_SURFACE('',#327596,0.175000000000001); #304701=CYLINDRICAL_SURFACE('',#327601,0.139999999999998); #304702=CYLINDRICAL_SURFACE('',#327604,0.175000000000001); #304703=CYLINDRICAL_SURFACE('',#327609,0.139999999999998); #304704=CYLINDRICAL_SURFACE('',#327612,0.175000000000001); #304705=CYLINDRICAL_SURFACE('',#327617,0.14); #304706=CYLINDRICAL_SURFACE('',#327620,0.175000000000001); #304707=CYLINDRICAL_SURFACE('',#327625,0.140000000000002); #304708=CYLINDRICAL_SURFACE('',#327628,0.175000000000001); #304709=CYLINDRICAL_SURFACE('',#327633,0.139999999999998); #304710=CYLINDRICAL_SURFACE('',#327636,0.175000000000001); #304711=CYLINDRICAL_SURFACE('',#327641,0.139999999999998); #304712=CYLINDRICAL_SURFACE('',#327644,0.175000000000001); #304713=CYLINDRICAL_SURFACE('',#327649,0.14); #304714=CYLINDRICAL_SURFACE('',#327652,0.175000000000001); #304715=CYLINDRICAL_SURFACE('',#327657,0.139999999999998); #304716=CYLINDRICAL_SURFACE('',#327660,0.175000000000001); #304717=CYLINDRICAL_SURFACE('',#327665,0.139999999999998); #304718=CYLINDRICAL_SURFACE('',#327668,0.175000000000001); #304719=CYLINDRICAL_SURFACE('',#327673,0.140000000000002); #304720=CYLINDRICAL_SURFACE('',#327676,0.175000000000001); #304721=CYLINDRICAL_SURFACE('',#327681,0.14); #304722=CYLINDRICAL_SURFACE('',#327684,0.175000000000001); #304723=CYLINDRICAL_SURFACE('',#327689,0.139999999999998); #304724=CYLINDRICAL_SURFACE('',#327692,0.175000000000001); #304725=CYLINDRICAL_SURFACE('',#327697,0.465); #304726=CYLINDRICAL_SURFACE('',#327700,0.499999999999998); #304727=CYLINDRICAL_SURFACE('',#327705,0.139999999999998); #304728=CYLINDRICAL_SURFACE('',#327708,0.175000000000001); #304729=CYLINDRICAL_SURFACE('',#327713,0.14); #304730=CYLINDRICAL_SURFACE('',#327716,0.175000000000001); #304731=CYLINDRICAL_SURFACE('',#327721,0.139999999999998); #304732=CYLINDRICAL_SURFACE('',#327724,0.175000000000001); #304733=CYLINDRICAL_SURFACE('',#327729,0.139999999999998); #304734=CYLINDRICAL_SURFACE('',#327732,0.175000000000001); #304735=CYLINDRICAL_SURFACE('',#327737,0.139999999999998); #304736=CYLINDRICAL_SURFACE('',#327740,0.175000000000001); #304737=CYLINDRICAL_SURFACE('',#327745,0.14); #304738=CYLINDRICAL_SURFACE('',#327748,0.175000000000001); #304739=CYLINDRICAL_SURFACE('',#327753,0.139999999999998); #304740=CYLINDRICAL_SURFACE('',#327756,0.175000000000001); #304741=CYLINDRICAL_SURFACE('',#327761,0.139999999999998); #304742=CYLINDRICAL_SURFACE('',#327764,0.175000000000001); #304743=CYLINDRICAL_SURFACE('',#327769,0.139999999999998); #304744=CYLINDRICAL_SURFACE('',#327772,0.175000000000001); #304745=CYLINDRICAL_SURFACE('',#327777,0.14); #304746=CYLINDRICAL_SURFACE('',#327780,0.175000000000001); #304747=CYLINDRICAL_SURFACE('',#327785,0.139999999999998); #304748=CYLINDRICAL_SURFACE('',#327788,0.175000000000001); #304749=CYLINDRICAL_SURFACE('',#327793,0.139999999999998); #304750=CYLINDRICAL_SURFACE('',#327796,0.175000000000001); #304751=CYLINDRICAL_SURFACE('',#327801,0.139999999999998); #304752=CYLINDRICAL_SURFACE('',#327804,0.175000000000001); #304753=CYLINDRICAL_SURFACE('',#327809,0.14); #304754=CYLINDRICAL_SURFACE('',#327812,0.175000000000001); #304755=CYLINDRICAL_SURFACE('',#327817,0.139999999999998); #304756=CYLINDRICAL_SURFACE('',#327820,0.175000000000001); #304757=CYLINDRICAL_SURFACE('',#327825,0.514999999999999); #304758=CYLINDRICAL_SURFACE('',#327828,0.549999999999997); #304759=CYLINDRICAL_SURFACE('',#327833,0.139999999999998); #304760=CYLINDRICAL_SURFACE('',#327836,0.175000000000001); #304761=CYLINDRICAL_SURFACE('',#327841,0.140000000000002); #304762=CYLINDRICAL_SURFACE('',#327844,0.175000000000001); #304763=CYLINDRICAL_SURFACE('',#327849,0.139999999999998); #304764=CYLINDRICAL_SURFACE('',#327852,0.175000000000001); #304765=CYLINDRICAL_SURFACE('',#327857,0.139999999999998); #304766=CYLINDRICAL_SURFACE('',#327860,0.175000000000001); #304767=CYLINDRICAL_SURFACE('',#327865,0.140000000000002); #304768=CYLINDRICAL_SURFACE('',#327868,0.175000000000001); #304769=CYLINDRICAL_SURFACE('',#327873,0.140000000000002); #304770=CYLINDRICAL_SURFACE('',#327876,0.175000000000001); #304771=CYLINDRICAL_SURFACE('',#327881,0.139999999999998); #304772=CYLINDRICAL_SURFACE('',#327884,0.175000000000001); #304773=CYLINDRICAL_SURFACE('',#327889,0.139999999999998); #304774=CYLINDRICAL_SURFACE('',#327892,0.175000000000001); #304775=CYLINDRICAL_SURFACE('',#327897,0.140000000000002); #304776=CYLINDRICAL_SURFACE('',#327900,0.175000000000001); #304777=CYLINDRICAL_SURFACE('',#327905,0.140000000000002); #304778=CYLINDRICAL_SURFACE('',#327908,0.175000000000001); #304779=CYLINDRICAL_SURFACE('',#327913,0.140000000000002); #304780=CYLINDRICAL_SURFACE('',#327916,0.175000000000001); #304781=CYLINDRICAL_SURFACE('',#327921,0.139999999999998); #304782=CYLINDRICAL_SURFACE('',#327924,0.175000000000001); #304783=CYLINDRICAL_SURFACE('',#327929,0.140000000000002); #304784=CYLINDRICAL_SURFACE('',#327932,0.175000000000001); #304785=CYLINDRICAL_SURFACE('',#327937,0.140000000000002); #304786=CYLINDRICAL_SURFACE('',#327940,0.175000000000001); #304787=CYLINDRICAL_SURFACE('',#327945,0.140000000000002); #304788=CYLINDRICAL_SURFACE('',#327948,0.175000000000001); #304789=CYLINDRICAL_SURFACE('',#327953,0.465); #304790=CYLINDRICAL_SURFACE('',#327956,0.499999999999998); #304791=CYLINDRICAL_SURFACE('',#327961,0.139999999999998); #304792=CYLINDRICAL_SURFACE('',#327964,0.175000000000001); #304793=CYLINDRICAL_SURFACE('',#327969,0.140000000000002); #304794=CYLINDRICAL_SURFACE('',#327972,0.175000000000001); #304795=CYLINDRICAL_SURFACE('',#327977,0.139999999999998); #304796=CYLINDRICAL_SURFACE('',#327980,0.175000000000001); #304797=CYLINDRICAL_SURFACE('',#327985,0.139999999999998); #304798=CYLINDRICAL_SURFACE('',#327988,0.175000000000001); #304799=CYLINDRICAL_SURFACE('',#327993,0.139999999999998); #304800=CYLINDRICAL_SURFACE('',#327996,0.175000000000001); #304801=CYLINDRICAL_SURFACE('',#328001,0.140000000000002); #304802=CYLINDRICAL_SURFACE('',#328004,0.175000000000001); #304803=CYLINDRICAL_SURFACE('',#328009,0.139999999999998); #304804=CYLINDRICAL_SURFACE('',#328012,0.175000000000001); #304805=CYLINDRICAL_SURFACE('',#328017,0.139999999999998); #304806=CYLINDRICAL_SURFACE('',#328020,0.175000000000001); #304807=CYLINDRICAL_SURFACE('',#328025,0.139999999999998); #304808=CYLINDRICAL_SURFACE('',#328028,0.175000000000001); #304809=CYLINDRICAL_SURFACE('',#328033,0.140000000000002); #304810=CYLINDRICAL_SURFACE('',#328036,0.175000000000001); #304811=CYLINDRICAL_SURFACE('',#328041,0.139999999999998); #304812=CYLINDRICAL_SURFACE('',#328044,0.175000000000001); #304813=CYLINDRICAL_SURFACE('',#328049,0.139999999999998); #304814=CYLINDRICAL_SURFACE('',#328052,0.175000000000001); #304815=CYLINDRICAL_SURFACE('',#328057,0.139999999999998); #304816=CYLINDRICAL_SURFACE('',#328060,0.175000000000001); #304817=CYLINDRICAL_SURFACE('',#328065,0.14); #304818=CYLINDRICAL_SURFACE('',#328068,0.175000000000001); #304819=CYLINDRICAL_SURFACE('',#328073,0.139999999999998); #304820=CYLINDRICAL_SURFACE('',#328076,0.175000000000001); #304821=CYLINDRICAL_SURFACE('',#328081,0.564999999999998); #304822=CYLINDRICAL_SURFACE('',#328084,0.600000000000001); #304823=CYLINDRICAL_SURFACE('',#328089,0.140000000000002); #304824=CYLINDRICAL_SURFACE('',#328092,0.175000000000001); #304825=CYLINDRICAL_SURFACE('',#328097,0.140000000000002); #304826=CYLINDRICAL_SURFACE('',#328100,0.175000000000001); #304827=CYLINDRICAL_SURFACE('',#328105,0.140000000000002); #304828=CYLINDRICAL_SURFACE('',#328108,0.175000000000001); #304829=CYLINDRICAL_SURFACE('',#328113,0.139999999999998); #304830=CYLINDRICAL_SURFACE('',#328116,0.175000000000001); #304831=CYLINDRICAL_SURFACE('',#328121,0.140000000000002); #304832=CYLINDRICAL_SURFACE('',#328124,0.175000000000001); #304833=CYLINDRICAL_SURFACE('',#328129,0.140000000000002); #304834=CYLINDRICAL_SURFACE('',#328132,0.175000000000001); #304835=CYLINDRICAL_SURFACE('',#328137,0.140000000000002); #304836=CYLINDRICAL_SURFACE('',#328140,0.175000000000001); #304837=CYLINDRICAL_SURFACE('',#328145,0.139999999999998); #304838=CYLINDRICAL_SURFACE('',#328148,0.175000000000001); #304839=CYLINDRICAL_SURFACE('',#328153,0.140000000000002); #304840=CYLINDRICAL_SURFACE('',#328156,0.175000000000001); #304841=CYLINDRICAL_SURFACE('',#328161,0.140000000000002); #304842=CYLINDRICAL_SURFACE('',#328164,0.175000000000001); #304843=CYLINDRICAL_SURFACE('',#328169,0.140000000000002); #304844=CYLINDRICAL_SURFACE('',#328172,0.175000000000001); #304845=CYLINDRICAL_SURFACE('',#328177,0.139999999999998); #304846=CYLINDRICAL_SURFACE('',#328180,0.175000000000001); #304847=CYLINDRICAL_SURFACE('',#328185,0.140000000000002); #304848=CYLINDRICAL_SURFACE('',#328188,0.175000000000001); #304849=CYLINDRICAL_SURFACE('',#328193,0.140000000000002); #304850=CYLINDRICAL_SURFACE('',#328196,0.175000000000001); #304851=CYLINDRICAL_SURFACE('',#328201,0.140000000000002); #304852=CYLINDRICAL_SURFACE('',#328204,0.175000000000001); #304853=CYLINDRICAL_SURFACE('',#328209,0.465); #304854=CYLINDRICAL_SURFACE('',#328212,0.499999999999998); #304855=CYLINDRICAL_SURFACE('',#328217,0.140000000000002); #304856=CYLINDRICAL_SURFACE('',#328220,0.175000000000001); #304857=CYLINDRICAL_SURFACE('',#328225,0.140000000000002); #304858=CYLINDRICAL_SURFACE('',#328228,0.175000000000001); #304859=CYLINDRICAL_SURFACE('',#328233,0.140000000000002); #304860=CYLINDRICAL_SURFACE('',#328236,0.175000000000001); #304861=CYLINDRICAL_SURFACE('',#328241,0.139999999999998); #304862=CYLINDRICAL_SURFACE('',#328244,0.175000000000001); #304863=CYLINDRICAL_SURFACE('',#328249,0.140000000000002); #304864=CYLINDRICAL_SURFACE('',#328252,0.175000000000001); #304865=CYLINDRICAL_SURFACE('',#328257,0.140000000000002); #304866=CYLINDRICAL_SURFACE('',#328260,0.175000000000001); #304867=CYLINDRICAL_SURFACE('',#328265,0.140000000000002); #304868=CYLINDRICAL_SURFACE('',#328268,0.175000000000001); #304869=CYLINDRICAL_SURFACE('',#328273,0.139999999999998); #304870=CYLINDRICAL_SURFACE('',#328276,0.175000000000001); #304871=CYLINDRICAL_SURFACE('',#328281,0.140000000000002); #304872=CYLINDRICAL_SURFACE('',#328284,0.175000000000001); #304873=CYLINDRICAL_SURFACE('',#328289,0.140000000000002); #304874=CYLINDRICAL_SURFACE('',#328292,0.175000000000001); #304875=CYLINDRICAL_SURFACE('',#328297,0.140000000000002); #304876=CYLINDRICAL_SURFACE('',#328300,0.175000000000001); #304877=CYLINDRICAL_SURFACE('',#328305,0.139999999999998); #304878=CYLINDRICAL_SURFACE('',#328308,0.175000000000001); #304879=CYLINDRICAL_SURFACE('',#328313,0.140000000000002); #304880=CYLINDRICAL_SURFACE('',#328316,0.175000000000001); #304881=CYLINDRICAL_SURFACE('',#328321,0.140000000000002); #304882=CYLINDRICAL_SURFACE('',#328324,0.175000000000001); #304883=CYLINDRICAL_SURFACE('',#328329,0.140000000000002); #304884=CYLINDRICAL_SURFACE('',#328332,0.175000000000001); #304885=CYLINDRICAL_SURFACE('',#328337,0.514999999999999); #304886=CYLINDRICAL_SURFACE('',#328340,0.549999999999997); #304887=CYLINDRICAL_SURFACE('',#328345,0.140000000000002); #304888=CYLINDRICAL_SURFACE('',#328348,0.175000000000001); #304889=CYLINDRICAL_SURFACE('',#328353,0.140000000000002); #304890=CYLINDRICAL_SURFACE('',#328356,0.175000000000001); #304891=CYLINDRICAL_SURFACE('',#328361,0.140000000000002); #304892=CYLINDRICAL_SURFACE('',#328364,0.175000000000001); #304893=CYLINDRICAL_SURFACE('',#328369,0.139999999999998); #304894=CYLINDRICAL_SURFACE('',#328372,0.175000000000001); #304895=CYLINDRICAL_SURFACE('',#328377,0.140000000000002); #304896=CYLINDRICAL_SURFACE('',#328380,0.175000000000001); #304897=CYLINDRICAL_SURFACE('',#328385,0.139999999999998); #304898=CYLINDRICAL_SURFACE('',#328388,0.175000000000001); #304899=CYLINDRICAL_SURFACE('',#328393,0.140000000000002); #304900=CYLINDRICAL_SURFACE('',#328396,0.175000000000001); #304901=CYLINDRICAL_SURFACE('',#328401,0.139999999999998); #304902=CYLINDRICAL_SURFACE('',#328404,0.175000000000001); #304903=CYLINDRICAL_SURFACE('',#328409,0.140000000000002); #304904=CYLINDRICAL_SURFACE('',#328412,0.175000000000001); #304905=CYLINDRICAL_SURFACE('',#328417,0.139999999999998); #304906=CYLINDRICAL_SURFACE('',#328420,0.175000000000001); #304907=CYLINDRICAL_SURFACE('',#328425,0.140000000000002); #304908=CYLINDRICAL_SURFACE('',#328428,0.175000000000001); #304909=CYLINDRICAL_SURFACE('',#328433,0.139999999999998); #304910=CYLINDRICAL_SURFACE('',#328436,0.175000000000001); #304911=CYLINDRICAL_SURFACE('',#328441,0.140000000000002); #304912=CYLINDRICAL_SURFACE('',#328444,0.175000000000001); #304913=CYLINDRICAL_SURFACE('',#328449,0.139999999999998); #304914=CYLINDRICAL_SURFACE('',#328452,0.175000000000001); #304915=CYLINDRICAL_SURFACE('',#328457,0.140000000000002); #304916=CYLINDRICAL_SURFACE('',#328460,0.175000000000001); #304917=CYLINDRICAL_SURFACE('',#328465,0.465); #304918=CYLINDRICAL_SURFACE('',#328468,0.499999999999998); #304919=CYLINDRICAL_SURFACE('',#328473,0.140000000000002); #304920=CYLINDRICAL_SURFACE('',#328476,0.175000000000001); #304921=CYLINDRICAL_SURFACE('',#328481,0.140000000000002); #304922=CYLINDRICAL_SURFACE('',#328484,0.175000000000001); #304923=CYLINDRICAL_SURFACE('',#328489,0.140000000000002); #304924=CYLINDRICAL_SURFACE('',#328492,0.175000000000001); #304925=CYLINDRICAL_SURFACE('',#328497,0.139999999999998); #304926=CYLINDRICAL_SURFACE('',#328500,0.175000000000001); #304927=CYLINDRICAL_SURFACE('',#328505,0.140000000000002); #304928=CYLINDRICAL_SURFACE('',#328508,0.175000000000001); #304929=CYLINDRICAL_SURFACE('',#328513,0.140000000000002); #304930=CYLINDRICAL_SURFACE('',#328516,0.175000000000001); #304931=CYLINDRICAL_SURFACE('',#328521,0.140000000000002); #304932=CYLINDRICAL_SURFACE('',#328524,0.175000000000001); #304933=CYLINDRICAL_SURFACE('',#328529,0.139999999999998); #304934=CYLINDRICAL_SURFACE('',#328532,0.175000000000001); #304935=CYLINDRICAL_SURFACE('',#328537,0.140000000000002); #304936=CYLINDRICAL_SURFACE('',#328540,0.175000000000001); #304937=CYLINDRICAL_SURFACE('',#328545,0.140000000000002); #304938=CYLINDRICAL_SURFACE('',#328548,0.175000000000001); #304939=CYLINDRICAL_SURFACE('',#328553,0.140000000000002); #304940=CYLINDRICAL_SURFACE('',#328556,0.175000000000001); #304941=CYLINDRICAL_SURFACE('',#328561,0.139999999999998); #304942=CYLINDRICAL_SURFACE('',#328564,0.175000000000001); #304943=CYLINDRICAL_SURFACE('',#328569,0.140000000000002); #304944=CYLINDRICAL_SURFACE('',#328572,0.175000000000001); #304945=CYLINDRICAL_SURFACE('',#328577,0.140000000000002); #304946=CYLINDRICAL_SURFACE('',#328580,0.175000000000001); #304947=CYLINDRICAL_SURFACE('',#328585,0.140000000000002); #304948=CYLINDRICAL_SURFACE('',#328588,0.175000000000001); #304949=CYLINDRICAL_SURFACE('',#328593,0.564999999999998); #304950=CYLINDRICAL_SURFACE('',#328596,0.600000000000001); #304951=CYLINDRICAL_SURFACE('',#328601,0.14); #304952=CYLINDRICAL_SURFACE('',#328604,0.175000000000001); #304953=CYLINDRICAL_SURFACE('',#328609,0.139999999999998); #304954=CYLINDRICAL_SURFACE('',#328612,0.175000000000001); #304955=CYLINDRICAL_SURFACE('',#328617,0.140000000000002); #304956=CYLINDRICAL_SURFACE('',#328620,0.175000000000001); #304957=CYLINDRICAL_SURFACE('',#328625,0.139999999999998); #304958=CYLINDRICAL_SURFACE('',#328628,0.175000000000001); #304959=CYLINDRICAL_SURFACE('',#328634,0.315); #304960=CYLINDRICAL_SURFACE('',#328638,0.315); #304961=CYLINDRICAL_SURFACE('',#328642,0.35); #304962=CYLINDRICAL_SURFACE('',#328646,0.35); #304963=CYLINDRICAL_SURFACE('',#328651,0.139999999999998); #304964=CYLINDRICAL_SURFACE('',#328654,0.175000000000001); #304965=CYLINDRICAL_SURFACE('',#328660,0.315); #304966=CYLINDRICAL_SURFACE('',#328664,0.315); #304967=CYLINDRICAL_SURFACE('',#328668,0.35); #304968=CYLINDRICAL_SURFACE('',#328672,0.35); #304969=CYLINDRICAL_SURFACE('',#328677,0.139999999999998); #304970=CYLINDRICAL_SURFACE('',#328680,0.175000000000001); #304971=CYLINDRICAL_SURFACE('',#328686,0.315); #304972=CYLINDRICAL_SURFACE('',#328690,0.315); #304973=CYLINDRICAL_SURFACE('',#328694,0.35); #304974=CYLINDRICAL_SURFACE('',#328698,0.35); #304975=CYLINDRICAL_SURFACE('',#328703,0.140000000000002); #304976=CYLINDRICAL_SURFACE('',#328706,0.175000000000001); #304977=CYLINDRICAL_SURFACE('',#328711,0.139999999999998); #304978=CYLINDRICAL_SURFACE('',#328714,0.175000000000001); #304979=CYLINDRICAL_SURFACE('',#328719,0.114999999999998); #304980=CYLINDRICAL_SURFACE('',#328722,0.150000000000001); #304981=CYLINDRICAL_SURFACE('',#328727,0.139999999999998); #304982=CYLINDRICAL_SURFACE('',#328730,0.175000000000001); #304983=CYLINDRICAL_SURFACE('',#328735,0.114999999999998); #304984=CYLINDRICAL_SURFACE('',#328738,0.150000000000001); #304985=CYLINDRICAL_SURFACE('',#328743,0.139999999999998); #304986=CYLINDRICAL_SURFACE('',#328746,0.175000000000001); #304987=CYLINDRICAL_SURFACE('',#328751,0.114999999999998); #304988=CYLINDRICAL_SURFACE('',#328754,0.150000000000001); #304989=CYLINDRICAL_SURFACE('',#328759,0.139999999999998); #304990=CYLINDRICAL_SURFACE('',#328762,0.175000000000001); #304991=CYLINDRICAL_SURFACE('',#328767,0.114999999999998); #304992=CYLINDRICAL_SURFACE('',#328770,0.150000000000001); #304993=CYLINDRICAL_SURFACE('',#328775,0.139999999999998); #304994=CYLINDRICAL_SURFACE('',#328778,0.175000000000001); #304995=CYLINDRICAL_SURFACE('',#328784,0.565); #304996=CYLINDRICAL_SURFACE('',#328788,0.565); #304997=CYLINDRICAL_SURFACE('',#328792,0.6); #304998=CYLINDRICAL_SURFACE('',#328796,0.6); #304999=CYLINDRICAL_SURFACE('',#328801,0.139999999999998); #305000=CYLINDRICAL_SURFACE('',#328804,0.175000000000001); #305001=CYLINDRICAL_SURFACE('',#328809,0.114999999999998); #305002=CYLINDRICAL_SURFACE('',#328812,0.150000000000001); #305003=CYLINDRICAL_SURFACE('',#328817,0.139999999999998); #305004=CYLINDRICAL_SURFACE('',#328820,0.175000000000001); #305005=CYLINDRICAL_SURFACE('',#328826,0.565); #305006=CYLINDRICAL_SURFACE('',#328830,0.565); #305007=CYLINDRICAL_SURFACE('',#328834,0.6); #305008=CYLINDRICAL_SURFACE('',#328838,0.6); #305009=CYLINDRICAL_SURFACE('',#328843,0.139999999999998); #305010=CYLINDRICAL_SURFACE('',#328846,0.175000000000001); #305011=CYLINDRICAL_SURFACE('',#328852,0.315); #305012=CYLINDRICAL_SURFACE('',#328856,0.315); #305013=CYLINDRICAL_SURFACE('',#328860,0.35); #305014=CYLINDRICAL_SURFACE('',#328864,0.35); #305015=CYLINDRICAL_SURFACE('',#328869,0.465); #305016=CYLINDRICAL_SURFACE('',#328872,0.499999999999998); #305017=CYLINDRICAL_SURFACE('',#328877,0.139999999999998); #305018=CYLINDRICAL_SURFACE('',#328880,0.175000000000001); #305019=CYLINDRICAL_SURFACE('',#328885,0.14); #305020=CYLINDRICAL_SURFACE('',#328888,0.175); #305021=CYLINDRICAL_SURFACE('',#328893,0.139999999999998); #305022=CYLINDRICAL_SURFACE('',#328896,0.175000000000001); #305023=CYLINDRICAL_SURFACE('',#328901,0.139999999999998); #305024=CYLINDRICAL_SURFACE('',#328904,0.175000000000001); #305025=CYLINDRICAL_SURFACE('',#328909,0.139999999999998); #305026=CYLINDRICAL_SURFACE('',#328912,0.175000000000001); #305027=CYLINDRICAL_SURFACE('',#328917,0.14); #305028=CYLINDRICAL_SURFACE('',#328920,0.175); #305029=CYLINDRICAL_SURFACE('',#328925,0.139999999999998); #305030=CYLINDRICAL_SURFACE('',#328928,0.175000000000001); #305031=CYLINDRICAL_SURFACE('',#328933,0.139999999999998); #305032=CYLINDRICAL_SURFACE('',#328936,0.175000000000001); #305033=CYLINDRICAL_SURFACE('',#328941,0.139999999999998); #305034=CYLINDRICAL_SURFACE('',#328944,0.175000000000001); #305035=CYLINDRICAL_SURFACE('',#328949,0.14); #305036=CYLINDRICAL_SURFACE('',#328952,0.175000000000001); #305037=CYLINDRICAL_SURFACE('',#328957,0.139999999999998); #305038=CYLINDRICAL_SURFACE('',#328960,0.175000000000001); #305039=CYLINDRICAL_SURFACE('',#328965,0.139999999999998); #305040=CYLINDRICAL_SURFACE('',#328968,0.175000000000001); #305041=CYLINDRICAL_SURFACE('',#328973,0.139999999999998); #305042=CYLINDRICAL_SURFACE('',#328976,0.175000000000001); #305043=CYLINDRICAL_SURFACE('',#328981,0.14); #305044=CYLINDRICAL_SURFACE('',#328984,0.175); #305045=CYLINDRICAL_SURFACE('',#328989,0.139999999999998); #305046=CYLINDRICAL_SURFACE('',#328992,0.175000000000001); #305047=CYLINDRICAL_SURFACE('',#328997,0.139999999999998); #305048=CYLINDRICAL_SURFACE('',#329000,0.175000000000001); #305049=CYLINDRICAL_SURFACE('',#329005,0.140000000000002); #305050=CYLINDRICAL_SURFACE('',#329008,0.175000000000001); #305051=CYLINDRICAL_SURFACE('',#329013,0.14); #305052=CYLINDRICAL_SURFACE('',#329016,0.175); #305053=CYLINDRICAL_SURFACE('',#329021,0.139999999999998); #305054=CYLINDRICAL_SURFACE('',#329024,0.175000000000001); #305055=CYLINDRICAL_SURFACE('',#329029,0.139999999999998); #305056=CYLINDRICAL_SURFACE('',#329032,0.175000000000001); #305057=CYLINDRICAL_SURFACE('',#329037,0.139999999999998); #305058=CYLINDRICAL_SURFACE('',#329040,0.175000000000001); #305059=CYLINDRICAL_SURFACE('',#329045,0.14); #305060=CYLINDRICAL_SURFACE('',#329048,0.175); #305061=CYLINDRICAL_SURFACE('',#329053,0.139999999999998); #305062=CYLINDRICAL_SURFACE('',#329056,0.175000000000001); #305063=CYLINDRICAL_SURFACE('',#329061,0.139999999999998); #305064=CYLINDRICAL_SURFACE('',#329064,0.175000000000001); #305065=CYLINDRICAL_SURFACE('',#329069,0.114999999999998); #305066=CYLINDRICAL_SURFACE('',#329072,0.150000000000001); #305067=CYLINDRICAL_SURFACE('',#329077,0.14); #305068=CYLINDRICAL_SURFACE('',#329080,0.175); #305069=CYLINDRICAL_SURFACE('',#329085,0.140000000000002); #305070=CYLINDRICAL_SURFACE('',#329088,0.175000000000001); #305071=CYLINDRICAL_SURFACE('',#329093,0.139999999999998); #305072=CYLINDRICAL_SURFACE('',#329096,0.175000000000001); #305073=CYLINDRICAL_SURFACE('',#329101,0.114999999999998); #305074=CYLINDRICAL_SURFACE('',#329104,0.150000000000001); #305075=CYLINDRICAL_SURFACE('',#329109,0.14); #305076=CYLINDRICAL_SURFACE('',#329112,0.175); #305077=CYLINDRICAL_SURFACE('',#329117,0.114999999999998); #305078=CYLINDRICAL_SURFACE('',#329120,0.150000000000001); #305079=CYLINDRICAL_SURFACE('',#329125,0.514999999999999); #305080=CYLINDRICAL_SURFACE('',#329128,0.550000000000002); #305081=CYLINDRICAL_SURFACE('',#329133,0.139999999999998); #305082=CYLINDRICAL_SURFACE('',#329136,0.175000000000001); #305083=CYLINDRICAL_SURFACE('',#329141,0.140000000000002); #305084=CYLINDRICAL_SURFACE('',#329144,0.175000000000001); #305085=CYLINDRICAL_SURFACE('',#329149,0.139999999999998); #305086=CYLINDRICAL_SURFACE('',#329152,0.175000000000001); #305087=CYLINDRICAL_SURFACE('',#329157,0.139999999999998); #305088=CYLINDRICAL_SURFACE('',#329160,0.175000000000001); #305089=CYLINDRICAL_SURFACE('',#329165,0.139999999999998); #305090=CYLINDRICAL_SURFACE('',#329168,0.175000000000001); #305091=CYLINDRICAL_SURFACE('',#329173,0.140000000000002); #305092=CYLINDRICAL_SURFACE('',#329176,0.175000000000001); #305093=CYLINDRICAL_SURFACE('',#329181,0.139999999999998); #305094=CYLINDRICAL_SURFACE('',#329184,0.175000000000001); #305095=CYLINDRICAL_SURFACE('',#329189,0.139999999999998); #305096=CYLINDRICAL_SURFACE('',#329192,0.175000000000001); #305097=CYLINDRICAL_SURFACE('',#329197,0.139999999999998); #305098=CYLINDRICAL_SURFACE('',#329200,0.175000000000001); #305099=CYLINDRICAL_SURFACE('',#329205,0.140000000000002); #305100=CYLINDRICAL_SURFACE('',#329208,0.175000000000001); #305101=CYLINDRICAL_SURFACE('',#329213,0.139999999999998); #305102=CYLINDRICAL_SURFACE('',#329216,0.175000000000001); #305103=CYLINDRICAL_SURFACE('',#329221,0.139999999999998); #305104=CYLINDRICAL_SURFACE('',#329224,0.175000000000001); #305105=CYLINDRICAL_SURFACE('',#329229,0.139999999999998); #305106=CYLINDRICAL_SURFACE('',#329232,0.175000000000001); #305107=CYLINDRICAL_SURFACE('',#329237,0.140000000000002); #305108=CYLINDRICAL_SURFACE('',#329240,0.175000000000001); #305109=CYLINDRICAL_SURFACE('',#329245,0.139999999999998); #305110=CYLINDRICAL_SURFACE('',#329248,0.175000000000001); #305111=CYLINDRICAL_SURFACE('',#329253,0.465); #305112=CYLINDRICAL_SURFACE('',#329256,0.499999999999998); #305113=CYLINDRICAL_SURFACE('',#329261,0.139999999999998); #305114=CYLINDRICAL_SURFACE('',#329264,0.175000000000001); #305115=CYLINDRICAL_SURFACE('',#329269,0.140000000000002); #305116=CYLINDRICAL_SURFACE('',#329272,0.175000000000001); #305117=CYLINDRICAL_SURFACE('',#329277,0.139999999999998); #305118=CYLINDRICAL_SURFACE('',#329280,0.175000000000001); #305119=CYLINDRICAL_SURFACE('',#329285,0.139999999999998); #305120=CYLINDRICAL_SURFACE('',#329288,0.175000000000001); #305121=CYLINDRICAL_SURFACE('',#329293,0.14); #305122=CYLINDRICAL_SURFACE('',#329296,0.175000000000001); #305123=CYLINDRICAL_SURFACE('',#329301,0.140000000000002); #305124=CYLINDRICAL_SURFACE('',#329304,0.175000000000001); #305125=CYLINDRICAL_SURFACE('',#329309,0.139999999999998); #305126=CYLINDRICAL_SURFACE('',#329312,0.175000000000001); #305127=CYLINDRICAL_SURFACE('',#329317,0.139999999999998); #305128=CYLINDRICAL_SURFACE('',#329320,0.175000000000001); #305129=CYLINDRICAL_SURFACE('',#329325,0.139999999999998); #305130=CYLINDRICAL_SURFACE('',#329328,0.175000000000001); #305131=CYLINDRICAL_SURFACE('',#329333,0.140000000000002); #305132=CYLINDRICAL_SURFACE('',#329336,0.175000000000001); #305133=CYLINDRICAL_SURFACE('',#329341,0.139999999999998); #305134=CYLINDRICAL_SURFACE('',#329344,0.175000000000001); #305135=CYLINDRICAL_SURFACE('',#329349,0.139999999999998); #305136=CYLINDRICAL_SURFACE('',#329352,0.175000000000001); #305137=CYLINDRICAL_SURFACE('',#329357,0.139999999999998); #305138=CYLINDRICAL_SURFACE('',#329360,0.175000000000001); #305139=CYLINDRICAL_SURFACE('',#329365,0.140000000000002); #305140=CYLINDRICAL_SURFACE('',#329368,0.175000000000001); #305141=CYLINDRICAL_SURFACE('',#329373,0.139999999999998); #305142=CYLINDRICAL_SURFACE('',#329376,0.175000000000001); #305143=CYLINDRICAL_SURFACE('',#329381,0.465); #305144=CYLINDRICAL_SURFACE('',#329384,0.499999999999998); #305145=CYLINDRICAL_SURFACE('',#329389,0.14); #305146=CYLINDRICAL_SURFACE('',#329392,0.175000000000001); #305147=CYLINDRICAL_SURFACE('',#329397,0.140000000000002); #305148=CYLINDRICAL_SURFACE('',#329400,0.175000000000001); #305149=CYLINDRICAL_SURFACE('',#329405,0.14); #305150=CYLINDRICAL_SURFACE('',#329408,0.175000000000001); #305151=CYLINDRICAL_SURFACE('',#329413,0.139999999999998); #305152=CYLINDRICAL_SURFACE('',#329416,0.175000000000001); #305153=CYLINDRICAL_SURFACE('',#329421,0.14); #305154=CYLINDRICAL_SURFACE('',#329424,0.175000000000001); #305155=CYLINDRICAL_SURFACE('',#329429,0.140000000000002); #305156=CYLINDRICAL_SURFACE('',#329432,0.175000000000001); #305157=CYLINDRICAL_SURFACE('',#329437,0.14); #305158=CYLINDRICAL_SURFACE('',#329440,0.175000000000001); #305159=CYLINDRICAL_SURFACE('',#329445,0.139999999999998); #305160=CYLINDRICAL_SURFACE('',#329448,0.175000000000001); #305161=CYLINDRICAL_SURFACE('',#329453,0.14); #305162=CYLINDRICAL_SURFACE('',#329456,0.175000000000001); #305163=CYLINDRICAL_SURFACE('',#329461,0.140000000000002); #305164=CYLINDRICAL_SURFACE('',#329464,0.175000000000001); #305165=CYLINDRICAL_SURFACE('',#329469,0.14); #305166=CYLINDRICAL_SURFACE('',#329472,0.175000000000001); #305167=CYLINDRICAL_SURFACE('',#329477,0.139999999999998); #305168=CYLINDRICAL_SURFACE('',#329480,0.175000000000001); #305169=CYLINDRICAL_SURFACE('',#329485,0.14); #305170=CYLINDRICAL_SURFACE('',#329488,0.175000000000001); #305171=CYLINDRICAL_SURFACE('',#329493,0.140000000000002); #305172=CYLINDRICAL_SURFACE('',#329496,0.175000000000001); #305173=CYLINDRICAL_SURFACE('',#329501,0.14); #305174=CYLINDRICAL_SURFACE('',#329504,0.175000000000001); #305175=CYLINDRICAL_SURFACE('',#329509,0.139999999999998); #305176=CYLINDRICAL_SURFACE('',#329512,0.175000000000001); #305177=CYLINDRICAL_SURFACE('',#329517,0.14); #305178=CYLINDRICAL_SURFACE('',#329520,0.175000000000001); #305179=CYLINDRICAL_SURFACE('',#329525,0.14); #305180=CYLINDRICAL_SURFACE('',#329528,0.175); #305181=CYLINDRICAL_SURFACE('',#329533,0.14); #305182=CYLINDRICAL_SURFACE('',#329536,0.175000000000001); #305183=CYLINDRICAL_SURFACE('',#329541,0.139999999999998); #305184=CYLINDRICAL_SURFACE('',#329544,0.175000000000001); #305185=CYLINDRICAL_SURFACE('',#329549,0.14); #305186=CYLINDRICAL_SURFACE('',#329552,0.175000000000001); #305187=CYLINDRICAL_SURFACE('',#329557,0.14); #305188=CYLINDRICAL_SURFACE('',#329560,0.175000000000001); #305189=CYLINDRICAL_SURFACE('',#329565,0.14); #305190=CYLINDRICAL_SURFACE('',#329568,0.175000000000001); #305191=CYLINDRICAL_SURFACE('',#329573,0.139999999999998); #305192=CYLINDRICAL_SURFACE('',#329576,0.175000000000001); #305193=CYLINDRICAL_SURFACE('',#329581,0.139999999999998); #305194=CYLINDRICAL_SURFACE('',#329584,0.175000000000001); #305195=CYLINDRICAL_SURFACE('',#329589,0.14); #305196=CYLINDRICAL_SURFACE('',#329592,0.175); #305197=CYLINDRICAL_SURFACE('',#329597,0.139999999999998); #305198=CYLINDRICAL_SURFACE('',#329600,0.175000000000001); #305199=CYLINDRICAL_SURFACE('',#329605,0.139999999999998); #305200=CYLINDRICAL_SURFACE('',#329608,0.175000000000001); #305201=CYLINDRICAL_SURFACE('',#329613,0.139999999999998); #305202=CYLINDRICAL_SURFACE('',#329616,0.175000000000001); #305203=CYLINDRICAL_SURFACE('',#329621,0.14); #305204=CYLINDRICAL_SURFACE('',#329624,0.175000000000001); #305205=CYLINDRICAL_SURFACE('',#329629,0.139999999999998); #305206=CYLINDRICAL_SURFACE('',#329632,0.175000000000001); #305207=CYLINDRICAL_SURFACE('',#329637,0.565000000000002); #305208=CYLINDRICAL_SURFACE('',#329640,0.600000000000001); #305209=CYLINDRICAL_SURFACE('',#329645,0.140000000000002); #305210=CYLINDRICAL_SURFACE('',#329648,0.175000000000001); #305211=CYLINDRICAL_SURFACE('',#329653,0.139999999999998); #305212=CYLINDRICAL_SURFACE('',#329656,0.175000000000001); #305213=CYLINDRICAL_SURFACE('',#329661,0.140000000000002); #305214=CYLINDRICAL_SURFACE('',#329664,0.175000000000001); #305215=CYLINDRICAL_SURFACE('',#329669,0.139999999999998); #305216=CYLINDRICAL_SURFACE('',#329672,0.175000000000001); #305217=CYLINDRICAL_SURFACE('',#329677,0.140000000000002); #305218=CYLINDRICAL_SURFACE('',#329680,0.175000000000001); #305219=CYLINDRICAL_SURFACE('',#329685,0.139999999999998); #305220=CYLINDRICAL_SURFACE('',#329688,0.175000000000001); #305221=CYLINDRICAL_SURFACE('',#329693,0.140000000000002); #305222=CYLINDRICAL_SURFACE('',#329696,0.175000000000001); #305223=CYLINDRICAL_SURFACE('',#329701,0.139999999999998); #305224=CYLINDRICAL_SURFACE('',#329704,0.175000000000001); #305225=CYLINDRICAL_SURFACE('',#329709,0.140000000000002); #305226=CYLINDRICAL_SURFACE('',#329712,0.175000000000001); #305227=CYLINDRICAL_SURFACE('',#329717,0.139999999999998); #305228=CYLINDRICAL_SURFACE('',#329720,0.175000000000001); #305229=CYLINDRICAL_SURFACE('',#329725,0.139999999999998); #305230=CYLINDRICAL_SURFACE('',#329728,0.175000000000001); #305231=CYLINDRICAL_SURFACE('',#329733,0.139999999999998); #305232=CYLINDRICAL_SURFACE('',#329736,0.175000000000001); #305233=CYLINDRICAL_SURFACE('',#329741,0.139999999999998); #305234=CYLINDRICAL_SURFACE('',#329744,0.175000000000001); #305235=CYLINDRICAL_SURFACE('',#329749,0.139999999999998); #305236=CYLINDRICAL_SURFACE('',#329752,0.175000000000001); #305237=CYLINDRICAL_SURFACE('',#329757,0.140000000000002); #305238=CYLINDRICAL_SURFACE('',#329760,0.175000000000001); #305239=CYLINDRICAL_SURFACE('',#329765,0.465); #305240=CYLINDRICAL_SURFACE('',#329768,0.5); #305241=CYLINDRICAL_SURFACE('',#329773,0.14); #305242=CYLINDRICAL_SURFACE('',#329776,0.175000000000001); #305243=CYLINDRICAL_SURFACE('',#329781,0.139999999999998); #305244=CYLINDRICAL_SURFACE('',#329784,0.175000000000001); #305245=CYLINDRICAL_SURFACE('',#329789,0.14); #305246=CYLINDRICAL_SURFACE('',#329792,0.175000000000001); #305247=CYLINDRICAL_SURFACE('',#329797,0.139999999999998); #305248=CYLINDRICAL_SURFACE('',#329800,0.175000000000001); #305249=CYLINDRICAL_SURFACE('',#329805,0.14); #305250=CYLINDRICAL_SURFACE('',#329808,0.175000000000001); #305251=CYLINDRICAL_SURFACE('',#329813,0.139999999999998); #305252=CYLINDRICAL_SURFACE('',#329816,0.175000000000001); #305253=CYLINDRICAL_SURFACE('',#329821,0.14); #305254=CYLINDRICAL_SURFACE('',#329824,0.175000000000001); #305255=CYLINDRICAL_SURFACE('',#329829,0.139999999999998); #305256=CYLINDRICAL_SURFACE('',#329832,0.175000000000001); #305257=CYLINDRICAL_SURFACE('',#329837,0.14); #305258=CYLINDRICAL_SURFACE('',#329840,0.175000000000001); #305259=CYLINDRICAL_SURFACE('',#329845,0.139999999999998); #305260=CYLINDRICAL_SURFACE('',#329848,0.175000000000001); #305261=CYLINDRICAL_SURFACE('',#329853,0.14); #305262=CYLINDRICAL_SURFACE('',#329856,0.175000000000001); #305263=CYLINDRICAL_SURFACE('',#329861,0.139999999999998); #305264=CYLINDRICAL_SURFACE('',#329864,0.175000000000001); #305265=CYLINDRICAL_SURFACE('',#329869,0.14); #305266=CYLINDRICAL_SURFACE('',#329872,0.175000000000001); #305267=CYLINDRICAL_SURFACE('',#329877,0.139999999999998); #305268=CYLINDRICAL_SURFACE('',#329880,0.175000000000001); #305269=CYLINDRICAL_SURFACE('',#329885,0.14); #305270=CYLINDRICAL_SURFACE('',#329888,0.175000000000001); #305271=CYLINDRICAL_SURFACE('',#329893,0.514999999999999); #305272=CYLINDRICAL_SURFACE('',#329896,0.550000000000002); #305273=CYLINDRICAL_SURFACE('',#329901,0.140000000000002); #305274=CYLINDRICAL_SURFACE('',#329904,0.175000000000001); #305275=CYLINDRICAL_SURFACE('',#329909,0.139999999999998); #305276=CYLINDRICAL_SURFACE('',#329912,0.175000000000001); #305277=CYLINDRICAL_SURFACE('',#329917,0.140000000000002); #305278=CYLINDRICAL_SURFACE('',#329920,0.175000000000001); #305279=CYLINDRICAL_SURFACE('',#329925,0.139999999999998); #305280=CYLINDRICAL_SURFACE('',#329928,0.175000000000001); #305281=CYLINDRICAL_SURFACE('',#329933,0.140000000000002); #305282=CYLINDRICAL_SURFACE('',#329936,0.175000000000001); #305283=CYLINDRICAL_SURFACE('',#329941,0.139999999999998); #305284=CYLINDRICAL_SURFACE('',#329944,0.175000000000001); #305285=CYLINDRICAL_SURFACE('',#329949,0.140000000000002); #305286=CYLINDRICAL_SURFACE('',#329952,0.175000000000001); #305287=CYLINDRICAL_SURFACE('',#329957,0.139999999999998); #305288=CYLINDRICAL_SURFACE('',#329960,0.175000000000001); #305289=CYLINDRICAL_SURFACE('',#329965,0.140000000000002); #305290=CYLINDRICAL_SURFACE('',#329968,0.175000000000001); #305291=CYLINDRICAL_SURFACE('',#329973,0.139999999999998); #305292=CYLINDRICAL_SURFACE('',#329976,0.175000000000001); #305293=CYLINDRICAL_SURFACE('',#329981,0.140000000000002); #305294=CYLINDRICAL_SURFACE('',#329984,0.175000000000001); #305295=CYLINDRICAL_SURFACE('',#329989,0.139999999999998); #305296=CYLINDRICAL_SURFACE('',#329992,0.175000000000001); #305297=CYLINDRICAL_SURFACE('',#329997,0.140000000000002); #305298=CYLINDRICAL_SURFACE('',#330000,0.175000000000001); #305299=CYLINDRICAL_SURFACE('',#330005,0.139999999999998); #305300=CYLINDRICAL_SURFACE('',#330008,0.175000000000001); #305301=CYLINDRICAL_SURFACE('',#330013,0.140000000000002); #305302=CYLINDRICAL_SURFACE('',#330016,0.175000000000001); #305303=CYLINDRICAL_SURFACE('',#330021,0.465); #305304=CYLINDRICAL_SURFACE('',#330024,0.5); #305305=CYLINDRICAL_SURFACE('',#330029,0.140000000000002); #305306=CYLINDRICAL_SURFACE('',#330032,0.175000000000001); #305307=CYLINDRICAL_SURFACE('',#330037,0.139999999999998); #305308=CYLINDRICAL_SURFACE('',#330040,0.175000000000001); #305309=CYLINDRICAL_SURFACE('',#330045,0.140000000000002); #305310=CYLINDRICAL_SURFACE('',#330048,0.175000000000001); #305311=CYLINDRICAL_SURFACE('',#330053,0.139999999999998); #305312=CYLINDRICAL_SURFACE('',#330056,0.175000000000001); #305313=CYLINDRICAL_SURFACE('',#330061,0.140000000000002); #305314=CYLINDRICAL_SURFACE('',#330064,0.175000000000001); #305315=CYLINDRICAL_SURFACE('',#330069,0.139999999999998); #305316=CYLINDRICAL_SURFACE('',#330072,0.175000000000001); #305317=CYLINDRICAL_SURFACE('',#330077,0.140000000000002); #305318=CYLINDRICAL_SURFACE('',#330080,0.175000000000001); #305319=CYLINDRICAL_SURFACE('',#330085,0.139999999999998); #305320=CYLINDRICAL_SURFACE('',#330088,0.175000000000001); #305321=CYLINDRICAL_SURFACE('',#330093,0.140000000000002); #305322=CYLINDRICAL_SURFACE('',#330096,0.175000000000001); #305323=CYLINDRICAL_SURFACE('',#330101,0.139999999999998); #305324=CYLINDRICAL_SURFACE('',#330104,0.175000000000001); #305325=CYLINDRICAL_SURFACE('',#330109,0.140000000000002); #305326=CYLINDRICAL_SURFACE('',#330112,0.175000000000001); #305327=CYLINDRICAL_SURFACE('',#330117,0.139999999999998); #305328=CYLINDRICAL_SURFACE('',#330120,0.175000000000001); #305329=CYLINDRICAL_SURFACE('',#330125,0.140000000000002); #305330=CYLINDRICAL_SURFACE('',#330128,0.175000000000001); #305331=CYLINDRICAL_SURFACE('',#330133,0.140000000000002); #305332=CYLINDRICAL_SURFACE('',#330136,0.175000000000001); #305333=CYLINDRICAL_SURFACE('',#330141,0.140000000000002); #305334=CYLINDRICAL_SURFACE('',#330144,0.175000000000001); #305335=CYLINDRICAL_SURFACE('',#330149,0.564999999999998); #305336=CYLINDRICAL_SURFACE('',#330152,0.600000000000001); #305337=CYLINDRICAL_SURFACE('',#330158,0.564999999999998); #305338=CYLINDRICAL_SURFACE('',#330162,0.900857088405025); #305339=CYLINDRICAL_SURFACE('',#330165,0.900856769723134); #305340=CYLINDRICAL_SURFACE('',#330230,0.565000000000002); #305341=CYLINDRICAL_SURFACE('',#330234,0.900857088405025); #305342=CYLINDRICAL_SURFACE('',#330237,0.900856769723134); #305343=CYLINDRICAL_SURFACE('',#330242,0.140000000000002); #305344=CYLINDRICAL_SURFACE('',#330245,0.140000000000002); #305345=CYLINDRICAL_SURFACE('',#330249,0.275850031150924); #305346=CYLINDRICAL_SURFACE('',#330252,0.275430734847663); #305347=CYLINDRICAL_SURFACE('',#330256,0.275430616157734); #305348=CYLINDRICAL_SURFACE('',#330259,0.275430435747982); #305349=CYLINDRICAL_SURFACE('',#330263,0.275430284536495); #305350=CYLINDRICAL_SURFACE('',#330268,0.514999999999999); #305351=CYLINDRICAL_SURFACE('',#330272,0.825995597015953); #305352=CYLINDRICAL_SURFACE('',#330275,0.825996336030616); #305353=CYLINDRICAL_SURFACE('',#330280,0.465); #305354=CYLINDRICAL_SURFACE('',#330284,0.750904962541172); #305355=CYLINDRICAL_SURFACE('',#330287,0.750905460124643); #305356=CYLINDRICAL_SURFACE('',#330292,0.139999999999998); #305357=CYLINDRICAL_SURFACE('',#330296,0.275430523299251); #305358=CYLINDRICAL_SURFACE('',#330300,0.127001515838141); #305359=CYLINDRICAL_SURFACE('',#330310,0.275430756167228); #305360=CYLINDRICAL_SURFACE('',#330313,0.275850020106942); #305361=CYLINDRICAL_SURFACE('',#330318,0.139999999999998); #305362=CYLINDRICAL_SURFACE('',#330322,0.275429677195186); #305363=CYLINDRICAL_SURFACE('',#330332,0.275429442438526); #305364=CYLINDRICAL_SURFACE('',#330345,0.127001515838136); #305365=CYLINDRICAL_SURFACE('',#330349,0.127001515838139); #305366=CYLINDRICAL_SURFACE('',#330359,0.127000308727438); #305367=CYLINDRICAL_SURFACE('',#330370,0.127000308727433); #305368=CYLINDRICAL_SURFACE('',#330380,0.127000308727435); #305369=CYLINDRICAL_SURFACE('',#330385,0.127000308727438); #305370=CYLINDRICAL_SURFACE('',#330395,0.127001515838141); #305371=CYLINDRICAL_SURFACE('',#330403,0.127000308727433); #305372=CYLINDRICAL_SURFACE('',#330407,0.127000308727433); #305373=CYLINDRICAL_SURFACE('',#330421,0.127000308727433); #305374=CYLINDRICAL_SURFACE('',#330425,0.127000308727433); #305375=CYLINDRICAL_SURFACE('',#330433,0.127000308727425); #305376=CYLINDRICAL_SURFACE('',#330443,0.127000308727441); #305377=CYLINDRICAL_SURFACE('',#330448,0.127000308727431); #305378=CYLINDRICAL_SURFACE('',#330458,0.127000308727431); #305379=CYLINDRICAL_SURFACE('',#330465,0.139999999999998); #305380=CYLINDRICAL_SURFACE('',#330469,0.275429726247122); #305381=CYLINDRICAL_SURFACE('',#330474,0.127000429438417); #305382=CYLINDRICAL_SURFACE('',#330479,0.127000308727438); #305383=CYLINDRICAL_SURFACE('',#330490,0.127000308727435); #305384=CYLINDRICAL_SURFACE('',#330495,0.127000429438417); #305385=CYLINDRICAL_SURFACE('',#330499,0.27542954841201); #305386=CYLINDRICAL_SURFACE('',#330504,0.139999999999998); #305387=CYLINDRICAL_SURFACE('',#330508,0.275429726247122); #305388=CYLINDRICAL_SURFACE('',#330513,0.127001515838136); #305389=CYLINDRICAL_SURFACE('',#330524,0.127000308727438); #305390=CYLINDRICAL_SURFACE('',#330528,0.275429548412009); #305391=CYLINDRICAL_SURFACE('',#330533,0.139999999999998); #305392=CYLINDRICAL_SURFACE('',#330537,0.275429677195186); #305393=CYLINDRICAL_SURFACE('',#330547,0.127000308727438); #305394=CYLINDRICAL_SURFACE('',#330551,0.275429442438526); #305395=CYLINDRICAL_SURFACE('',#330556,0.139999999999998); #305396=CYLINDRICAL_SURFACE('',#330560,0.275430525995305); #305397=CYLINDRICAL_SURFACE('',#330564,0.127000308727436); #305398=CYLINDRICAL_SURFACE('',#330576,0.275850020106943); #305399=CYLINDRICAL_SURFACE('',#330581,0.140000000000002); #305400=CYLINDRICAL_SURFACE('',#330584,0.140000000000002); #305401=CYLINDRICAL_SURFACE('',#330588,0.275850031150944); #305402=CYLINDRICAL_SURFACE('',#330591,0.275430734847662); #305403=CYLINDRICAL_SURFACE('',#330595,0.275446747951507); #305404=CYLINDRICAL_SURFACE('',#330598,0.275424633936642); #305405=CYLINDRICAL_SURFACE('',#330602,0.275430284536491); #305406=CYLINDRICAL_SURFACE('',#330607,0.139999999999998); #305407=CYLINDRICAL_SURFACE('',#330611,0.275429726247122); #305408=CYLINDRICAL_SURFACE('',#330616,0.127001515838133); #305409=CYLINDRICAL_SURFACE('',#330627,0.127000308727435); #305410=CYLINDRICAL_SURFACE('',#330631,0.275429548412009); #305411=CYLINDRICAL_SURFACE('',#330636,0.139999999999998); #305412=CYLINDRICAL_SURFACE('',#330640,0.275429726247122); #305413=CYLINDRICAL_SURFACE('',#330645,0.127000429438417); #305414=CYLINDRICAL_SURFACE('',#330650,0.127000308727435); #305415=CYLINDRICAL_SURFACE('',#330661,0.127000308727433); #305416=CYLINDRICAL_SURFACE('',#330666,0.127000429438417); #305417=CYLINDRICAL_SURFACE('',#330670,0.27542954841201); #305418=CYLINDRICAL_SURFACE('',#330679,0.127000308727439); #305419=CYLINDRICAL_SURFACE('',#330683,0.127000308727438); #305420=CYLINDRICAL_SURFACE('',#330689,0.127000308727438); #305421=CYLINDRICAL_SURFACE('',#330699,0.127000308727433); #305422=CYLINDRICAL_SURFACE('',#330703,0.127000308727435); #305423=CYLINDRICAL_SURFACE('',#330713,0.139999999999998); #305424=CYLINDRICAL_SURFACE('',#330717,0.275429677195186); #305425=CYLINDRICAL_SURFACE('',#330722,0.127000308727438); #305426=CYLINDRICAL_SURFACE('',#330726,0.127000308727438); #305427=CYLINDRICAL_SURFACE('',#330730,0.127000308727446); #305428=CYLINDRICAL_SURFACE('',#330740,0.127000308727441); #305429=CYLINDRICAL_SURFACE('',#330747,0.127000308727447); #305430=CYLINDRICAL_SURFACE('',#330751,0.275429442438526); #305431=CYLINDRICAL_SURFACE('',#330756,0.139999999999998); #305432=CYLINDRICAL_SURFACE('',#330760,0.27543052329925); #305433=CYLINDRICAL_SURFACE('',#330770,0.275430756167224); #305434=CYLINDRICAL_SURFACE('',#330773,0.275850020106942); #305435=CYLINDRICAL_SURFACE('',#330783,0.127000308727433); #305436=CYLINDRICAL_SURFACE('',#330789,0.127000308727444); #305437=CYLINDRICAL_SURFACE('',#330793,0.127000308727438); #305438=CYLINDRICAL_SURFACE('',#330797,0.127000308727436); #305439=CYLINDRICAL_SURFACE('',#330802,0.127000308727438); #305440=CYLINDRICAL_SURFACE('',#330812,0.127000308727443); #305441=CYLINDRICAL_SURFACE('',#330817,0.127000308727427); #305442=CYLINDRICAL_SURFACE('',#330824,0.127000308727438); #305443=CYLINDRICAL_SURFACE('',#330828,0.127001515838136); #305444=CYLINDRICAL_SURFACE('',#330842,0.127001515838136); #305445=CYLINDRICAL_SURFACE('',#330846,0.127000308727433); #305446=CYLINDRICAL_SURFACE('',#330853,0.127000308727433); #305447=CYLINDRICAL_SURFACE('',#330858,0.127000308727443); #305448=CYLINDRICAL_SURFACE('',#330868,0.127000308727438); #305449=CYLINDRICAL_SURFACE('',#330873,0.127000308727436); #305450=CYLINDRICAL_SURFACE('',#330877,0.127000308727438); #305451=CYLINDRICAL_SURFACE('',#330881,0.127000308727444); #305452=CYLINDRICAL_SURFACE('',#330887,0.127000308727433); #305453=CYLINDRICAL_SURFACE('',#330898,0.127000308727438); #305454=CYLINDRICAL_SURFACE('',#330903,0.127000308727438); #305455=CYLINDRICAL_SURFACE('',#330913,0.127000308727438); #305456=CYLINDRICAL_SURFACE('',#330922,0.139999999999998); #305457=CYLINDRICAL_SURFACE('',#330927,0.127028798213342); #305458=CYLINDRICAL_SURFACE('',#330933,0.126750626298977); #305459=CYLINDRICAL_SURFACE('',#330938,0.275429704872054); #305460=CYLINDRICAL_SURFACE('',#330941,0.275429777742635); #305461=CYLINDRICAL_SURFACE('',#330945,0.127000308727434); #305462=CYLINDRICAL_SURFACE('',#330950,0.127000308727438); #305463=CYLINDRICAL_SURFACE('',#330960,0.139999999999998); #305464=CYLINDRICAL_SURFACE('',#330964,0.275429677195186); #305465=CYLINDRICAL_SURFACE('',#330969,0.127000308727435); #305466=CYLINDRICAL_SURFACE('',#330980,0.127000308727435); #305467=CYLINDRICAL_SURFACE('',#330984,0.275429442438527); #305468=CYLINDRICAL_SURFACE('',#330989,0.139999999999998); #305469=CYLINDRICAL_SURFACE('',#330993,0.275850826114455); #305470=CYLINDRICAL_SURFACE('',#330996,0.275429566248993); #305471=CYLINDRICAL_SURFACE('',#331006,0.127000308727425); #305472=CYLINDRICAL_SURFACE('',#331010,0.275430756167224); #305473=CYLINDRICAL_SURFACE('',#331015,0.139999999999998); #305474=CYLINDRICAL_SURFACE('',#331023,0.127000308727433); #305475=CYLINDRICAL_SURFACE('',#331028,0.127000308727438); #305476=CYLINDRICAL_SURFACE('',#331032,0.275430616157731); #305477=CYLINDRICAL_SURFACE('',#331035,0.275430435747982); #305478=CYLINDRICAL_SURFACE('',#331040,0.127000308727436); #305479=CYLINDRICAL_SURFACE('',#331048,0.139999999999998); #305480=CYLINDRICAL_SURFACE('',#331052,0.275430523299249); #305481=CYLINDRICAL_SURFACE('',#331056,0.127001515838139); #305482=CYLINDRICAL_SURFACE('',#331066,0.275430756167228); #305483=CYLINDRICAL_SURFACE('',#331069,0.275850020106943); #305484=CYLINDRICAL_SURFACE('',#331074,0.139999999999998); #305485=CYLINDRICAL_SURFACE('',#331083,0.127000308727438); #305486=CYLINDRICAL_SURFACE('',#331088,0.275430616157734); #305487=CYLINDRICAL_SURFACE('',#331091,0.275430906036581); #305488=CYLINDRICAL_SURFACE('',#331095,0.127000308727438); #305489=CYLINDRICAL_SURFACE('',#331100,0.127000308727433); #305490=CYLINDRICAL_SURFACE('',#331107,0.139999999999998); #305491=CYLINDRICAL_SURFACE('',#331111,0.27543052329925); #305492=CYLINDRICAL_SURFACE('',#331121,0.275430756167225); #305493=CYLINDRICAL_SURFACE('',#331124,0.275850020106942); #305494=CYLINDRICAL_SURFACE('',#331129,0.140000000000002); #305495=CYLINDRICAL_SURFACE('',#331133,0.275850826114459); #305496=CYLINDRICAL_SURFACE('',#331136,0.275429566248995); #305497=CYLINDRICAL_SURFACE('',#331146,0.275430756167224); #305498=CYLINDRICAL_SURFACE('',#331151,0.139999999999998); #305499=CYLINDRICAL_SURFACE('',#331159,0.12700030872744); #305500=CYLINDRICAL_SURFACE('',#331167,0.275429728561975); #305501=CYLINDRICAL_SURFACE('',#331170,0.275429566248996); #305502=CYLINDRICAL_SURFACE('',#331174,0.127000308727436); #305503=CYLINDRICAL_SURFACE('',#331178,0.127000308727438); #305504=CYLINDRICAL_SURFACE('',#331182,0.127000308727438); #305505=CYLINDRICAL_SURFACE('',#331186,0.127000308727431); #305506=CYLINDRICAL_SURFACE('',#331193,0.139999999999998); #305507=CYLINDRICAL_SURFACE('',#331204,0.275429728561975); #305508=CYLINDRICAL_SURFACE('',#331207,0.275429566248998); #305509=CYLINDRICAL_SURFACE('',#331211,0.127000308727436); #305510=CYLINDRICAL_SURFACE('',#331215,0.127000308727438); #305511=CYLINDRICAL_SURFACE('',#331219,0.12700151583812); #305512=CYLINDRICAL_SURFACE('',#331225,0.140000000000002); #305513=CYLINDRICAL_SURFACE('',#331233,0.127000308727436); #305514=CYLINDRICAL_SURFACE('',#331237,0.127000308727436); #305515=CYLINDRICAL_SURFACE('',#331241,0.127000308727436); #305516=CYLINDRICAL_SURFACE('',#331245,0.127000308727447); #305517=CYLINDRICAL_SURFACE('',#331249,0.275429704872057); #305518=CYLINDRICAL_SURFACE('',#331252,0.275429777742636); #305519=CYLINDRICAL_SURFACE('',#331260,0.12700030872743); #305520=CYLINDRICAL_SURFACE('',#331271,0.127000308727437); #305521=CYLINDRICAL_SURFACE('',#331275,0.127000308727438); #305522=CYLINDRICAL_SURFACE('',#331287,0.127000308727433); #305523=CYLINDRICAL_SURFACE('',#331291,0.127000308727438); #305524=CYLINDRICAL_SURFACE('',#331301,0.139999999999998); #305525=CYLINDRICAL_SURFACE('',#331310,0.139999999999998); #305526=CYLINDRICAL_SURFACE('',#331314,0.275429677195186); #305527=CYLINDRICAL_SURFACE('',#331318,0.127000308727449); #305528=CYLINDRICAL_SURFACE('',#331325,0.127000308727436); #305529=CYLINDRICAL_SURFACE('',#331335,0.12700030872744); #305530=CYLINDRICAL_SURFACE('',#331339,0.127000308727438); #305531=CYLINDRICAL_SURFACE('',#331343,0.127000308727438); #305532=CYLINDRICAL_SURFACE('',#331348,0.275429442438526); #305533=CYLINDRICAL_SURFACE('',#331353,0.139999999999998); #305534=CYLINDRICAL_SURFACE('',#331363,0.127000308727438); #305535=CYLINDRICAL_SURFACE('',#331367,0.275429704872049); #305536=CYLINDRICAL_SURFACE('',#331370,0.27542977774263); #305537=CYLINDRICAL_SURFACE('',#331375,0.127000308727437); #305538=CYLINDRICAL_SURFACE('',#331381,0.140000000000002); #305539=CYLINDRICAL_SURFACE('',#331394,0.127000308727447); #305540=CYLINDRICAL_SURFACE('',#331398,0.127000308727447); #305541=CYLINDRICAL_SURFACE('',#331404,0.127001515838128); #305542=CYLINDRICAL_SURFACE('',#331408,0.127000308727427); #305543=CYLINDRICAL_SURFACE('',#331414,0.275429704872049); #305544=CYLINDRICAL_SURFACE('',#331417,0.27542977774263); #305545=CYLINDRICAL_SURFACE('',#331421,0.127000308727435); #305546=CYLINDRICAL_SURFACE('',#331425,0.127000308727427); #305547=CYLINDRICAL_SURFACE('',#331431,0.12700030872744); #305548=CYLINDRICAL_SURFACE('',#331435,0.127000308727436); #305549=CYLINDRICAL_SURFACE('',#331449,0.140000000000002); #305550=CYLINDRICAL_SURFACE('',#331457,0.127000308727433); #305551=CYLINDRICAL_SURFACE('',#331462,0.127000308727438); #305552=CYLINDRICAL_SURFACE('',#331467,0.275429728561973); #305553=CYLINDRICAL_SURFACE('',#331470,0.275429566248996); #305554=CYLINDRICAL_SURFACE('',#331474,0.127001515838138); #305555=CYLINDRICAL_SURFACE('',#331479,0.127001515838136); #305556=CYLINDRICAL_SURFACE('',#331487,0.139999999999998); #305557=CYLINDRICAL_SURFACE('',#331496,0.139999999999998); #305558=CYLINDRICAL_SURFACE('',#331505,0.139999999999998); #305559=CYLINDRICAL_SURFACE('',#331509,0.275430525995305); #305560=CYLINDRICAL_SURFACE('',#331513,0.127000308727435); #305561=CYLINDRICAL_SURFACE('',#331525,0.275850020106943); #305562=CYLINDRICAL_SURFACE('',#331530,0.465); #305563=CYLINDRICAL_SURFACE('',#331534,0.750904962541172); #305564=CYLINDRICAL_SURFACE('',#331537,0.750905460124644); #305565=CYLINDRICAL_SURFACE('',#331542,0.139999999999998); #305566=CYLINDRICAL_SURFACE('',#331545,0.465); #305567=CYLINDRICAL_SURFACE('',#331549,0.275429677195186); #305568=CYLINDRICAL_SURFACE('',#331553,0.750581792116793); #305569=CYLINDRICAL_SURFACE('',#331556,0.750581774250364); #305570=CYLINDRICAL_SURFACE('',#331560,0.275429442438529); #305571=CYLINDRICAL_SURFACE('',#331565,0.465); #305572=CYLINDRICAL_SURFACE('',#331569,0.750904962541172); #305573=CYLINDRICAL_SURFACE('',#331572,0.750905460124643); #305574=CYLINDRICAL_SURFACE('',#331577,0.564999999999998); #305575=CYLINDRICAL_SURFACE('',#331586,0.139999999999998); #305576=CYLINDRICAL_SURFACE('',#331601,0.127000308727433); #305577=CYLINDRICAL_SURFACE('',#331605,0.127000308727437); #305578=CYLINDRICAL_SURFACE('',#331611,0.127000308727438); #305579=CYLINDRICAL_SURFACE('',#331615,0.127000308727433); #305580=CYLINDRICAL_SURFACE('',#331619,0.275429704872049); #305581=CYLINDRICAL_SURFACE('',#331622,0.27542977774263); #305582=CYLINDRICAL_SURFACE('',#331628,0.127001515838136); #305583=CYLINDRICAL_SURFACE('',#331632,0.127001515838129); #305584=CYLINDRICAL_SURFACE('',#331638,0.127000308727433); #305585=CYLINDRICAL_SURFACE('',#331642,0.127000308727449); #305586=CYLINDRICAL_SURFACE('',#331654,0.139999999999998); #305587=CYLINDRICAL_SURFACE('',#331661,0.127000308727433); #305588=CYLINDRICAL_SURFACE('',#331665,0.127000308727438); #305589=CYLINDRICAL_SURFACE('',#331670,0.127001515838136); #305590=CYLINDRICAL_SURFACE('',#331674,0.275429728561973); #305591=CYLINDRICAL_SURFACE('',#331677,0.275429566248995); #305592=CYLINDRICAL_SURFACE('',#331682,0.127000308727438); #305593=CYLINDRICAL_SURFACE('',#331720,0.140000000000002); #305594=CYLINDRICAL_SURFACE('',#331727,0.127001515838122); #305595=CYLINDRICAL_SURFACE('',#331731,0.12700030872744); #305596=CYLINDRICAL_SURFACE('',#331735,0.127000308727447); #305597=CYLINDRICAL_SURFACE('',#331739,0.275429704872057); #305598=CYLINDRICAL_SURFACE('',#331742,0.275429777742636); #305599=CYLINDRICAL_SURFACE('',#331752,0.139999999999998); #305600=CYLINDRICAL_SURFACE('',#331756,0.275429677195186); #305601=CYLINDRICAL_SURFACE('',#331760,0.127000308727433); #305602=CYLINDRICAL_SURFACE('',#331764,0.127000308727444); #305603=CYLINDRICAL_SURFACE('',#331776,0.12700030872744); #305604=CYLINDRICAL_SURFACE('',#331780,0.127000308727438); #305605=CYLINDRICAL_SURFACE('',#331786,0.275429442438529); #305606=CYLINDRICAL_SURFACE('',#331791,0.139999999999998); #305607=CYLINDRICAL_SURFACE('',#331795,0.275850031150926); #305608=CYLINDRICAL_SURFACE('',#331798,0.27543073484766); #305609=CYLINDRICAL_SURFACE('',#331807,0.127000308727438); #305610=CYLINDRICAL_SURFACE('',#331811,0.275430620370238); #305611=CYLINDRICAL_SURFACE('',#331820,0.127001515838141); #305612=CYLINDRICAL_SURFACE('',#331824,0.127001515838136); #305613=CYLINDRICAL_SURFACE('',#331830,0.127000308727438); #305614=CYLINDRICAL_SURFACE('',#331834,0.127000308727438); #305615=CYLINDRICAL_SURFACE('',#331846,0.127000308727438); #305616=CYLINDRICAL_SURFACE('',#331850,0.127000308727438); #305617=CYLINDRICAL_SURFACE('',#331856,0.127000308727438); #305618=CYLINDRICAL_SURFACE('',#331860,0.127000308727438); #305619=CYLINDRICAL_SURFACE('',#331870,0.139999999999998); #305620=CYLINDRICAL_SURFACE('',#331874,0.275429677195185); #305621=CYLINDRICAL_SURFACE('',#331884,0.275429442438528); #305622=CYLINDRICAL_SURFACE('',#331889,0.139999999999998); #305623=CYLINDRICAL_SURFACE('',#331892,0.139999999999998); #305624=CYLINDRICAL_SURFACE('',#331897,0.275429728561973); #305625=CYLINDRICAL_SURFACE('',#331900,0.275429566248995); #305626=CYLINDRICAL_SURFACE('',#331905,0.275640885203079); #305627=CYLINDRICAL_SURFACE('',#331908,0.275640720012506); #305628=CYLINDRICAL_SURFACE('',#331921,0.127000308727432); #305629=CYLINDRICAL_SURFACE('',#331925,0.126758017197154); #305630=CYLINDRICAL_SURFACE('',#331934,0.127001515838137); #305631=CYLINDRICAL_SURFACE('',#331948,0.14); #305632=CYLINDRICAL_SURFACE('',#331951,0.14); #305633=CYLINDRICAL_SURFACE('',#331954,0.14); #305634=CYLINDRICAL_SURFACE('',#331957,0.14); #305635=CYLINDRICAL_SURFACE('',#331960,0.14); #305636=CYLINDRICAL_SURFACE('',#331963,0.14); #305637=CYLINDRICAL_SURFACE('',#331966,0.14); #305638=CYLINDRICAL_SURFACE('',#331969,0.14); #305639=CYLINDRICAL_SURFACE('',#331972,0.465); #305640=CYLINDRICAL_SURFACE('',#331975,0.514999999999999); #305641=CYLINDRICAL_SURFACE('',#331978,0.14); #305642=CYLINDRICAL_SURFACE('',#331985,0.127001515838136); #305643=CYLINDRICAL_SURFACE('',#331989,0.127001515838141); #305644=CYLINDRICAL_SURFACE('',#332110,0.127000308727438); #305645=CYLINDRICAL_SURFACE('',#332114,0.127000308727438); #305646=CYLINDRICAL_SURFACE('',#332124,0.465); #305647=CYLINDRICAL_SURFACE('',#332128,0.750904962541172); #305648=CYLINDRICAL_SURFACE('',#332131,0.750905460124644); #305649=CYLINDRICAL_SURFACE('',#332226,0.140000000000002); #305650=CYLINDRICAL_SURFACE('',#332229,0.140000000000002); #305651=CYLINDRICAL_SURFACE('',#332232,0.140000000000002); #305652=CYLINDRICAL_SURFACE('',#332408,0.140000000000002); #305653=CYLINDRICAL_SURFACE('',#332412,0.565); #305654=CYLINDRICAL_SURFACE('',#332416,0.565); #305655=CYLINDRICAL_SURFACE('',#332419,0.514999999999999); #305656=CYLINDRICAL_SURFACE('',#332422,0.140000000000002); #305657=CYLINDRICAL_SURFACE('',#332425,0.140000000000002); #305658=CYLINDRICAL_SURFACE('',#332428,0.140000000000002); #305659=CYLINDRICAL_SURFACE('',#332431,0.140000000000002); #305660=CYLINDRICAL_SURFACE('',#332434,0.140000000000002); #305661=CYLINDRICAL_SURFACE('',#332437,0.140000000000002); #305662=CYLINDRICAL_SURFACE('',#332440,0.140000000000002); #305663=CYLINDRICAL_SURFACE('',#332443,0.140000000000002); #305664=CYLINDRICAL_SURFACE('',#332720,0.139999999999998); #305665=CYLINDRICAL_SURFACE('',#332723,0.139999999999998); #305666=CYLINDRICAL_SURFACE('',#332726,0.139999999999998); #305667=CYLINDRICAL_SURFACE('',#332729,0.465); #305668=CYLINDRICAL_SURFACE('',#332732,0.139999999999998); #305669=CYLINDRICAL_SURFACE('',#332735,0.139999999999998); #305670=CYLINDRICAL_SURFACE('',#332738,0.139999999999998); #305671=CYLINDRICAL_SURFACE('',#332741,0.139999999999998); #305672=CYLINDRICAL_SURFACE('',#332744,0.139999999999998); #305673=CYLINDRICAL_SURFACE('',#332747,0.139999999999998); #305674=CYLINDRICAL_SURFACE('',#332750,0.14); #305675=CYLINDRICAL_SURFACE('',#332753,0.14); #305676=CYLINDRICAL_SURFACE('',#332756,0.14); #305677=CYLINDRICAL_SURFACE('',#332759,0.14); #305678=CYLINDRICAL_SURFACE('',#332762,0.140000000000002); #305679=CYLINDRICAL_SURFACE('',#332765,0.140000000000002); #305680=CYLINDRICAL_SURFACE('',#332768,0.140000000000002); #305681=CYLINDRICAL_SURFACE('',#332771,0.140000000000002); #305682=CYLINDRICAL_SURFACE('',#332774,0.140000000000002); #305683=CYLINDRICAL_SURFACE('',#332777,0.140000000000002); #305684=CYLINDRICAL_SURFACE('',#332780,0.140000000000002); #305685=CYLINDRICAL_SURFACE('',#332783,0.140000000000002); #305686=CYLINDRICAL_SURFACE('',#332786,0.140000000000002); #305687=CYLINDRICAL_SURFACE('',#332789,0.140000000000002); #305688=CYLINDRICAL_SURFACE('',#332792,0.140000000000002); #305689=CYLINDRICAL_SURFACE('',#332795,0.140000000000002); #305690=CYLINDRICAL_SURFACE('',#332798,0.14); #305691=CYLINDRICAL_SURFACE('',#332801,0.14); #305692=CYLINDRICAL_SURFACE('',#332804,0.14); #305693=CYLINDRICAL_SURFACE('',#332807,0.14); #305694=CYLINDRICAL_SURFACE('',#332810,0.14); #305695=CYLINDRICAL_SURFACE('',#332813,0.14); #305696=CYLINDRICAL_SURFACE('',#332816,0.14); #305697=CYLINDRICAL_SURFACE('',#332819,0.14); #305698=CYLINDRICAL_SURFACE('',#332822,0.465); #305699=CYLINDRICAL_SURFACE('',#332826,0.315); #305700=CYLINDRICAL_SURFACE('',#332830,0.315); #305701=CYLINDRICAL_SURFACE('',#332833,0.114999999999998); #305702=CYLINDRICAL_SURFACE('',#332836,0.114999999999998); #305703=CYLINDRICAL_SURFACE('',#332839,0.114999999999998); #305704=CYLINDRICAL_SURFACE('',#332842,0.114999999999998); #305705=CYLINDRICAL_SURFACE('',#332846,0.315); #305706=CYLINDRICAL_SURFACE('',#332850,0.315); #305707=CYLINDRICAL_SURFACE('',#332854,0.315); #305708=CYLINDRICAL_SURFACE('',#332858,0.315); #305709=CYLINDRICAL_SURFACE('',#332862,0.315); #305710=CYLINDRICAL_SURFACE('',#332866,0.315); #305711=CYLINDRICAL_SURFACE('',#332869,0.140000000000002); #305712=CYLINDRICAL_SURFACE('',#332872,0.140000000000002); #305713=CYLINDRICAL_SURFACE('',#332875,0.564999999999998); #305714=CYLINDRICAL_SURFACE('',#332878,0.14); #305715=CYLINDRICAL_SURFACE('',#332881,0.140000000000002); #305716=CYLINDRICAL_SURFACE('',#332884,0.140000000000002); #305717=CYLINDRICAL_SURFACE('',#332887,0.140000000000002); #305718=CYLINDRICAL_SURFACE('',#332890,0.140000000000002); #305719=CYLINDRICAL_SURFACE('',#332893,0.140000000000002); #305720=CYLINDRICAL_SURFACE('',#332896,0.140000000000002); #305721=CYLINDRICAL_SURFACE('',#332899,0.140000000000002); #305722=CYLINDRICAL_SURFACE('',#332902,0.14); #305723=CYLINDRICAL_SURFACE('',#332905,0.14); #305724=CYLINDRICAL_SURFACE('',#332908,0.14); #305725=CYLINDRICAL_SURFACE('',#332911,0.14); #305726=CYLINDRICAL_SURFACE('',#332914,0.14); #305727=CYLINDRICAL_SURFACE('',#332917,0.14); #305728=CYLINDRICAL_SURFACE('',#332920,0.14); #305729=CYLINDRICAL_SURFACE('',#332923,0.14); #305730=CYLINDRICAL_SURFACE('',#334075,0.139999999999998); #305731=CYLINDRICAL_SURFACE('',#334078,0.139999999999998); #305732=CYLINDRICAL_SURFACE('',#334081,0.139999999999998); #305733=CYLINDRICAL_SURFACE('',#334225,0.139999999999998); #305734=CYLINDRICAL_SURFACE('',#334228,0.139999999999998); #305735=CYLINDRICAL_SURFACE('',#334231,0.139999999999998); #305736=CYLINDRICAL_SURFACE('',#334234,0.139999999999998); #305737=CYLINDRICAL_SURFACE('',#334237,0.139999999999998); #305738=CYLINDRICAL_SURFACE('',#334240,0.139999999999998); #305739=CYLINDRICAL_SURFACE('',#334243,0.139999999999998); #305740=CYLINDRICAL_SURFACE('',#334246,0.139999999999998); #305741=CYLINDRICAL_SURFACE('',#334249,0.139999999999998); #305742=CYLINDRICAL_SURFACE('',#334252,0.139999999999998); #305743=CYLINDRICAL_SURFACE('',#334255,0.139999999999998); #305744=CYLINDRICAL_SURFACE('',#334258,0.139999999999998); #305745=CYLINDRICAL_SURFACE('',#334261,0.139999999999998); #305746=CYLINDRICAL_SURFACE('',#334264,0.139999999999998); #305747=CYLINDRICAL_SURFACE('',#334267,0.139999999999998); #305748=CYLINDRICAL_SURFACE('',#334270,0.139999999999998); #305749=CYLINDRICAL_SURFACE('',#334273,0.139999999999998); #305750=CYLINDRICAL_SURFACE('',#334276,0.139999999999998); #305751=CYLINDRICAL_SURFACE('',#334279,0.139999999999998); #305752=CYLINDRICAL_SURFACE('',#334282,0.139999999999998); #305753=CYLINDRICAL_SURFACE('',#334285,0.139999999999998); #305754=CYLINDRICAL_SURFACE('',#334288,0.139999999999998); #305755=CYLINDRICAL_SURFACE('',#334291,0.139999999999998); #305756=CYLINDRICAL_SURFACE('',#334294,0.139999999999998); #305757=CYLINDRICAL_SURFACE('',#334297,0.139999999999998); #305758=CYLINDRICAL_SURFACE('',#334300,0.139999999999998); #305759=CYLINDRICAL_SURFACE('',#334303,0.139999999999998); #305760=CYLINDRICAL_SURFACE('',#334306,0.139999999999998); #305761=CYLINDRICAL_SURFACE('',#334309,0.139999999999998); #305762=CYLINDRICAL_SURFACE('',#334312,0.139999999999998); #305763=CYLINDRICAL_SURFACE('',#334315,0.139999999999998); #305764=CYLINDRICAL_SURFACE('',#334318,0.139999999999998); #305765=CYLINDRICAL_SURFACE('',#334321,0.139999999999998); #305766=CYLINDRICAL_SURFACE('',#334324,0.139999999999998); #305767=CYLINDRICAL_SURFACE('',#334327,0.139999999999998); #305768=CYLINDRICAL_SURFACE('',#334330,0.139999999999998); #305769=CYLINDRICAL_SURFACE('',#334333,0.139999999999998); #305770=CYLINDRICAL_SURFACE('',#334336,0.139999999999998); #305771=CYLINDRICAL_SURFACE('',#334339,0.139999999999998); #305772=CYLINDRICAL_SURFACE('',#334342,0.139999999999998); #305773=CYLINDRICAL_SURFACE('',#334345,0.139999999999998); #305774=CYLINDRICAL_SURFACE('',#334348,0.139999999999998); #305775=CYLINDRICAL_SURFACE('',#334351,0.139999999999998); #305776=CYLINDRICAL_SURFACE('',#334354,0.139999999999998); #305777=CYLINDRICAL_SURFACE('',#334357,0.139999999999998); #305778=CYLINDRICAL_SURFACE('',#334360,0.139999999999998); #305779=CYLINDRICAL_SURFACE('',#334363,0.139999999999998); #305780=CYLINDRICAL_SURFACE('',#334366,0.139999999999998); #305781=CYLINDRICAL_SURFACE('',#334369,0.139999999999998); #305782=CYLINDRICAL_SURFACE('',#335173,0.514999999999999); #305783=CYLINDRICAL_SURFACE('',#335177,0.565); #305784=CYLINDRICAL_SURFACE('',#335181,0.565); #305785=CYLINDRICAL_SURFACE('',#335301,0.140000000000002); #305786=CYLINDRICAL_SURFACE('',#335304,0.140000000000002); #305787=CYLINDRICAL_SURFACE('',#335307,0.140000000000002); #305788=CYLINDRICAL_SURFACE('',#335310,0.140000000000002); #305789=CYLINDRICAL_SURFACE('',#335313,0.140000000000002); #305790=CYLINDRICAL_SURFACE('',#335316,0.140000000000002); #305791=CYLINDRICAL_SURFACE('',#335319,0.140000000000002); #305792=CYLINDRICAL_SURFACE('',#335322,0.140000000000002); #305793=CYLINDRICAL_SURFACE('',#335325,0.140000000000002); #305794=CYLINDRICAL_SURFACE('',#335328,0.140000000000002); #305795=CYLINDRICAL_SURFACE('',#335331,0.140000000000002); #305796=CYLINDRICAL_SURFACE('',#335334,0.140000000000002); #305797=CYLINDRICAL_SURFACE('',#335337,0.140000000000002); #305798=CYLINDRICAL_SURFACE('',#335340,0.140000000000002); #305799=CYLINDRICAL_SURFACE('',#335343,0.140000000000002); #305800=CYLINDRICAL_SURFACE('',#335389,0.139999999999998); #305801=CYLINDRICAL_SURFACE('',#335397,0.127000308727436); #305802=CYLINDRICAL_SURFACE('',#335402,0.127000308727438); #305803=CYLINDRICAL_SURFACE('',#335421,0.127000308727436); #305804=CYLINDRICAL_SURFACE('',#335425,0.127000308727436); #305805=CYLINDRICAL_SURFACE('',#335429,0.126951739765291); #305806=CYLINDRICAL_SURFACE('',#335435,0.127000308727438); #305807=CYLINDRICAL_SURFACE('',#335439,0.127000308727433); #305808=CYLINDRICAL_SURFACE('',#335444,0.127001515838136); #305809=CYLINDRICAL_SURFACE('',#335452,0.139999999999998); #305810=CYLINDRICAL_SURFACE('',#335455,0.139999999999998); #305811=CYLINDRICAL_SURFACE('',#335458,0.139999999999998); #305812=CYLINDRICAL_SURFACE('',#335461,0.139999999999998); #305813=CYLINDRICAL_SURFACE('',#335464,0.139999999999998); #305814=CYLINDRICAL_SURFACE('',#335467,0.139999999999998); #305815=CYLINDRICAL_SURFACE('',#335470,0.139999999999998); #305816=CYLINDRICAL_SURFACE('',#335473,0.139999999999998); #305817=CYLINDRICAL_SURFACE('',#335476,0.139999999999998); #305818=CYLINDRICAL_SURFACE('',#335479,0.139999999999998); #305819=CYLINDRICAL_SURFACE('',#335482,0.139999999999998); #305820=CYLINDRICAL_SURFACE('',#335485,0.139999999999998); #305821=CYLINDRICAL_SURFACE('',#335488,0.139999999999998); #305822=CYLINDRICAL_SURFACE('',#335491,0.139999999999998); #305823=CYLINDRICAL_SURFACE('',#335494,0.139999999999998); #305824=CYLINDRICAL_SURFACE('',#335548,0.139999999999998); #305825=CYLINDRICAL_SURFACE('',#335557,0.127001515838136); #305826=CYLINDRICAL_SURFACE('',#335562,0.127000308727433); #305827=CYLINDRICAL_SURFACE('',#335566,0.127000308727438); #305828=CYLINDRICAL_SURFACE('',#335572,0.127000308727447); #305829=CYLINDRICAL_SURFACE('',#335576,0.127000308727436); #305830=CYLINDRICAL_SURFACE('',#335580,0.127000308727436); #305831=CYLINDRICAL_SURFACE('',#335599,0.127000308727436); #305832=CYLINDRICAL_SURFACE('',#335604,0.127000308727438); #305833=CYLINDRICAL_SURFACE('',#335611,0.139999999999998); #305834=CYLINDRICAL_SURFACE('',#335614,0.14); #305835=CYLINDRICAL_SURFACE('',#335617,0.14); #305836=CYLINDRICAL_SURFACE('',#335620,0.14); #305837=CYLINDRICAL_SURFACE('',#335623,0.14); #305838=CYLINDRICAL_SURFACE('',#335626,0.14); #305839=CYLINDRICAL_SURFACE('',#335629,0.14); #305840=CYLINDRICAL_SURFACE('',#335632,0.14); #305841=CYLINDRICAL_SURFACE('',#335635,0.14); #305842=CYLINDRICAL_SURFACE('',#335638,0.14); #305843=CYLINDRICAL_SURFACE('',#335641,0.14); #305844=CYLINDRICAL_SURFACE('',#335644,0.14); #305845=CYLINDRICAL_SURFACE('',#335647,0.14); #305846=CYLINDRICAL_SURFACE('',#335650,0.139999999999998); #305847=CYLINDRICAL_SURFACE('',#335653,0.139999999999998); #305848=CYLINDRICAL_SURFACE('',#335656,0.139999999999998); #305849=CYLINDRICAL_SURFACE('',#335659,0.139999999999998); #305850=CYLINDRICAL_SURFACE('',#335662,0.139999999999998); #305851=CYLINDRICAL_SURFACE('',#335665,0.14); #305852=CYLINDRICAL_SURFACE('',#335668,0.139999999999998); #305853=CYLINDRICAL_SURFACE('',#335671,0.139999999999998); #305854=CYLINDRICAL_SURFACE('',#335674,0.139999999999998); #305855=CYLINDRICAL_SURFACE('',#335677,0.139999999999998); #305856=CYLINDRICAL_SURFACE('',#335680,0.139999999999998); #305857=CYLINDRICAL_SURFACE('',#335683,0.139999999999998); #305858=CYLINDRICAL_SURFACE('',#335686,0.139999999999998); #305859=CYLINDRICAL_SURFACE('',#335689,0.139999999999998); #305860=CYLINDRICAL_SURFACE('',#335692,0.139999999999998); #305861=CYLINDRICAL_SURFACE('',#335695,0.139999999999998); #305862=CYLINDRICAL_SURFACE('',#335698,0.14); #305863=CYLINDRICAL_SURFACE('',#335701,0.14); #305864=CYLINDRICAL_SURFACE('',#335705,0.275429677195184); #305865=CYLINDRICAL_SURFACE('',#335711,0.127000308727435); #305866=CYLINDRICAL_SURFACE('',#335715,0.127000308727438); #305867=CYLINDRICAL_SURFACE('',#335790,0.127000308727436); #305868=CYLINDRICAL_SURFACE('',#335794,0.127000308727427); #305869=CYLINDRICAL_SURFACE('',#335798,0.275429442438526); #305870=CYLINDRICAL_SURFACE('',#335803,0.139999999999998); #305871=CYLINDRICAL_SURFACE('',#335812,0.127000308727436); #305872=CYLINDRICAL_SURFACE('',#335817,0.127000308727438); #305873=CYLINDRICAL_SURFACE('',#335821,0.275429728561973); #305874=CYLINDRICAL_SURFACE('',#335824,0.275429566248994); #305875=CYLINDRICAL_SURFACE('',#335829,0.127000308727431); #305876=CYLINDRICAL_SURFACE('',#335834,0.127000308727438); #305877=CYLINDRICAL_SURFACE('',#335841,0.140000000000002); #305878=CYLINDRICAL_SURFACE('',#335844,0.140000000000002); #305879=CYLINDRICAL_SURFACE('',#335847,0.140000000000002); #305880=CYLINDRICAL_SURFACE('',#335850,0.140000000000002); #305881=CYLINDRICAL_SURFACE('',#335853,0.140000000000002); #305882=CYLINDRICAL_SURFACE('',#335856,0.139999999999998); #305883=CYLINDRICAL_SURFACE('',#335859,0.140000000000002); #305884=CYLINDRICAL_SURFACE('',#335862,0.140000000000002); #305885=CYLINDRICAL_SURFACE('',#335865,0.140000000000002); #305886=CYLINDRICAL_SURFACE('',#335868,0.140000000000002); #305887=CYLINDRICAL_SURFACE('',#335871,0.465); #305888=CYLINDRICAL_SURFACE('',#335898,0.275170203557187); #305889=CYLINDRICAL_SURFACE('',#335903,0.127000308727437); #305890=CYLINDRICAL_SURFACE('',#335914,0.127000308727438); #305891=CYLINDRICAL_SURFACE('',#335918,0.275004382214899); #305892=CYLINDRICAL_SURFACE('',#335936,0.12700030872744); #305893=CYLINDRICAL_SURFACE('',#335940,0.127000308727433); #305894=CYLINDRICAL_SURFACE('',#336012,0.140000000000002); #305895=CYLINDRICAL_SURFACE('',#336015,0.140000000000002); #305896=CYLINDRICAL_SURFACE('',#336018,0.140000000000002); #305897=CYLINDRICAL_SURFACE('',#336021,0.140000000000002); #305898=CYLINDRICAL_SURFACE('',#336024,0.140000000000002); #305899=CYLINDRICAL_SURFACE('',#336027,0.140000000000002); #305900=CYLINDRICAL_SURFACE('',#336030,0.140000000000002); #305901=CYLINDRICAL_SURFACE('',#336033,0.140000000000002); #305902=CYLINDRICAL_SURFACE('',#336036,0.140000000000002); #305903=CYLINDRICAL_SURFACE('',#336039,0.140000000000002); #305904=CYLINDRICAL_SURFACE('',#336042,0.140000000000002); #305905=CYLINDRICAL_SURFACE('',#336045,0.140000000000002); #305906=CYLINDRICAL_SURFACE('',#336048,0.140000000000002); #305907=CYLINDRICAL_SURFACE('',#336051,0.140000000000002); #305908=CYLINDRICAL_SURFACE('',#336054,0.140000000000002); #305909=CYLINDRICAL_SURFACE('',#336057,0.140000000000002); #305910=CYLINDRICAL_SURFACE('',#336060,0.140000000000002); #305911=CYLINDRICAL_SURFACE('',#336063,0.140000000000002); #305912=CYLINDRICAL_SURFACE('',#336066,0.140000000000002); #305913=CYLINDRICAL_SURFACE('',#336069,0.140000000000002); #305914=CYLINDRICAL_SURFACE('',#336072,0.140000000000002); #305915=CYLINDRICAL_SURFACE('',#336075,0.140000000000002); #305916=CYLINDRICAL_SURFACE('',#336078,0.140000000000002); #305917=CYLINDRICAL_SURFACE('',#336081,0.140000000000002); #305918=CYLINDRICAL_SURFACE('',#336084,0.140000000000002); #305919=CYLINDRICAL_SURFACE('',#336087,0.140000000000002); #305920=CYLINDRICAL_SURFACE('',#336090,0.140000000000002); #305921=CYLINDRICAL_SURFACE('',#336093,0.140000000000002); #305922=CYLINDRICAL_SURFACE('',#336096,0.140000000000002); #305923=CYLINDRICAL_SURFACE('',#336099,0.140000000000002); #305924=CYLINDRICAL_SURFACE('',#336102,0.140000000000002); #305925=CYLINDRICAL_SURFACE('',#336106,0.275429677195184); #305926=CYLINDRICAL_SURFACE('',#336110,0.127000308727425); #305927=CYLINDRICAL_SURFACE('',#336114,0.127001515838118); #305928=CYLINDRICAL_SURFACE('',#336184,0.127000308727436); #305929=CYLINDRICAL_SURFACE('',#336188,0.127000308727438); #305930=CYLINDRICAL_SURFACE('',#336194,0.275429442438526); #305931=CYLINDRICAL_SURFACE('',#336199,0.140000000000002); #305932=CYLINDRICAL_SURFACE('',#336207,0.127000308727438); #305933=CYLINDRICAL_SURFACE('',#336212,0.127000308727433); #305934=CYLINDRICAL_SURFACE('',#336217,0.275429728561973); #305935=CYLINDRICAL_SURFACE('',#336220,0.275429566248996); #305936=CYLINDRICAL_SURFACE('',#336224,0.12700030872744); #305937=CYLINDRICAL_SURFACE('',#336229,0.127000308727436); #305938=CYLINDRICAL_SURFACE('',#336237,0.139999999999998); #305939=CYLINDRICAL_SURFACE('',#336240,0.139999999999998); #305940=CYLINDRICAL_SURFACE('',#336243,0.139999999999998); #305941=CYLINDRICAL_SURFACE('',#336246,0.139999999999998); #305942=CYLINDRICAL_SURFACE('',#336249,0.114999999999998); #305943=CYLINDRICAL_SURFACE('',#336252,0.114999999999998); #305944=CYLINDRICAL_SURFACE('',#336255,0.114999999999998); #305945=CYLINDRICAL_SURFACE('',#336258,0.114999999999998); #305946=CYLINDRICAL_SURFACE('',#336261,0.139999999999998); #305947=CYLINDRICAL_SURFACE('',#336264,0.139999999999998); #305948=CYLINDRICAL_SURFACE('',#336267,0.139999999999998); #305949=CYLINDRICAL_SURFACE('',#336270,0.139999999999998); #305950=CYLINDRICAL_SURFACE('',#336273,0.139999999999998); #305951=CYLINDRICAL_SURFACE('',#336276,0.139999999999998); #305952=CYLINDRICAL_SURFACE('',#336279,0.139999999999998); #305953=CYLINDRICAL_SURFACE('',#336282,0.139999999999998); #305954=CYLINDRICAL_SURFACE('',#336508,0.2750043822149); #305955=CYLINDRICAL_SURFACE('',#336512,0.127000308727437); #305956=CYLINDRICAL_SURFACE('',#336523,0.127000308727438); #305957=CYLINDRICAL_SURFACE('',#336528,0.275180228450174); #305958=CYLINDRICAL_SURFACE('',#336753,0.564999999999998); #305959=CYLINDRICAL_SURFACE('',#336757,0.900857088405025); #305960=CYLINDRICAL_SURFACE('',#336760,0.900856769723134); #305961=CYLINDRICAL_SURFACE('',#336765,0.565000000000002); #305962=CYLINDRICAL_SURFACE('',#336769,0.900857088405025); #305963=CYLINDRICAL_SURFACE('',#336772,0.900856769723134); #305964=CYLINDRICAL_SURFACE('',#336777,0.465); #305965=CYLINDRICAL_SURFACE('',#336781,0.750904962541172); #305966=CYLINDRICAL_SURFACE('',#336784,0.750905460124643); #305967=CYLINDRICAL_SURFACE('',#336789,0.514999999999999); #305968=CYLINDRICAL_SURFACE('',#336793,0.825995597015953); #305969=CYLINDRICAL_SURFACE('',#336796,0.825996336030616); #305970=CYLINDRICAL_SURFACE('',#336801,0.514999999999999); #305971=CYLINDRICAL_SURFACE('',#336810,0.465); #305972=CYLINDRICAL_SURFACE('',#336814,0.750904962541172); #305973=CYLINDRICAL_SURFACE('',#336817,0.750905460124644); #305974=CYLINDRICAL_SURFACE('',#336822,0.465); #305975=CYLINDRICAL_SURFACE('',#336826,0.750904962541172); #305976=CYLINDRICAL_SURFACE('',#336829,0.750905460124644); #305977=CYLINDRICAL_SURFACE('',#336834,0.564999999999998); #305978=CYLINDRICAL_SURFACE('',#336838,0.900857088405025); #305979=CYLINDRICAL_SURFACE('',#336841,0.900856769723134); #305980=CYLINDRICAL_SURFACE('',#336846,0.465); #305981=CYLINDRICAL_SURFACE('',#336850,0.750904962541171); #305982=CYLINDRICAL_SURFACE('',#336853,0.750905460124643); #305983=CYLINDRICAL_SURFACE('',#336859,0.315); #305984=CYLINDRICAL_SURFACE('',#336863,0.315); #305985=CYLINDRICAL_SURFACE('',#336925,0.565); #305986=CYLINDRICAL_SURFACE('',#336929,0.565); #305987=CYLINDRICAL_SURFACE('',#337007,0.315); #305988=CYLINDRICAL_SURFACE('',#337011,0.315); #305989=CYLINDRICAL_SURFACE('',#337072,0.514999999999999); #305990=CYLINDRICAL_SURFACE('',#337082,0.315); #305991=CYLINDRICAL_SURFACE('',#337086,0.315); #305992=CYLINDRICAL_SURFACE('',#337148,0.565); #305993=CYLINDRICAL_SURFACE('',#337152,0.565); #305994=CYLINDRICAL_SURFACE('',#337229,0.465); #305995=CYLINDRICAL_SURFACE('',#337233,0.750904962541172); #305996=CYLINDRICAL_SURFACE('',#337236,0.750905460124644); #305997=CYLINDRICAL_SURFACE('',#337241,0.514999999999999); #305998=CYLINDRICAL_SURFACE('',#337245,0.825995597015952); #305999=CYLINDRICAL_SURFACE('',#337248,0.825996336030615); #306000=CYLINDRICAL_SURFACE('',#337254,0.315); #306001=CYLINDRICAL_SURFACE('',#337258,0.315); #306002=CYLINDRICAL_SURFACE('',#337319,0.465); #306003=CYLINDRICAL_SURFACE('',#337323,0.750904962541172); #306004=CYLINDRICAL_SURFACE('',#337326,0.750905460124644); #306005=CYLINDRICAL_SURFACE('',#337331,0.465); #306006=CYLINDRICAL_SURFACE('',#337335,0.750904962541172); #306007=CYLINDRICAL_SURFACE('',#337338,0.750905460124643); #306008=CYLINDRICAL_SURFACE('',#337343,0.465); #306009=CYLINDRICAL_SURFACE('',#337347,0.750904962541172); #306010=CYLINDRICAL_SURFACE('',#337350,0.750905460124643); #306011=CYLINDRICAL_SURFACE('',#337355,0.564999999999998); #306012=CYLINDRICAL_SURFACE('',#337364,0.465); #306013=CYLINDRICAL_SURFACE('',#337368,0.750904962541172); #306014=CYLINDRICAL_SURFACE('',#337371,0.750905460124643); #306015=CYLINDRICAL_SURFACE('',#337454,0.14); #306016=CYLINDRICAL_SURFACE('',#337457,0.14); #306017=CYLINDRICAL_SURFACE('',#337460,0.14); #306018=CYLINDRICAL_SURFACE('',#337463,0.14); #306019=CYLINDRICAL_SURFACE('',#337466,0.14); #306020=CYLINDRICAL_SURFACE('',#337469,0.14); #306021=CYLINDRICAL_SURFACE('',#337634,0.14); #306022=CYLINDRICAL_SURFACE('',#337637,0.14); #306023=CYLINDRICAL_SURFACE('',#337640,0.14); #306024=CYLINDRICAL_SURFACE('',#337643,0.14); #306025=CYLINDRICAL_SURFACE('',#337646,0.14); #306026=CYLINDRICAL_SURFACE('',#337649,0.14); #306027=CYLINDRICAL_SURFACE('',#337694,0.140000000000002); #306028=CYLINDRICAL_SURFACE('',#337697,0.140000000000002); #306029=CYLINDRICAL_SURFACE('',#337700,0.140000000000002); #306030=CYLINDRICAL_SURFACE('',#337703,0.140000000000002); #306031=CYLINDRICAL_SURFACE('',#337706,0.140000000000002); #306032=CYLINDRICAL_SURFACE('',#337709,0.140000000000002); #306033=CYLINDRICAL_SURFACE('',#337730,0.139999999999998); #306034=CYLINDRICAL_SURFACE('',#337733,0.139999999999998); #306035=CYLINDRICAL_SURFACE('',#337736,0.139999999999998); #306036=CYLINDRICAL_SURFACE('',#337739,0.139999999999998); #306037=CYLINDRICAL_SURFACE('',#337742,0.139999999999998); #306038=CYLINDRICAL_SURFACE('',#337745,0.139999999999998); #306039=CYLINDRICAL_SURFACE('',#337748,0.139999999999998); #306040=CYLINDRICAL_SURFACE('',#337751,0.139999999999998); #306041=CYLINDRICAL_SURFACE('',#337754,0.139999999999998); #306042=CYLINDRICAL_SURFACE('',#337757,0.139999999999998); #306043=CYLINDRICAL_SURFACE('',#337760,0.139999999999998); #306044=CYLINDRICAL_SURFACE('',#337763,0.139999999999998); #306045=CYLINDRICAL_SURFACE('',#337766,0.139999999999998); #306046=CYLINDRICAL_SURFACE('',#337769,0.139999999999998); #306047=CYLINDRICAL_SURFACE('',#337772,0.139999999999998); #306048=CYLINDRICAL_SURFACE('',#337775,0.139999999999998); #306049=CYLINDRICAL_SURFACE('',#337778,0.139999999999998); #306050=CYLINDRICAL_SURFACE('',#337781,0.139999999999998); #306051=CYLINDRICAL_SURFACE('',#337784,0.139999999999998); #306052=CYLINDRICAL_SURFACE('',#337787,0.139999999999998); #306053=CYLINDRICAL_SURFACE('',#337790,0.139999999999998); #306054=CYLINDRICAL_SURFACE('',#337793,0.139999999999998); #306055=CYLINDRICAL_SURFACE('',#337796,0.139999999999998); #306056=CYLINDRICAL_SURFACE('',#337799,0.139999999999998); #306057=CYLINDRICAL_SURFACE('',#337802,0.139999999999998); #306058=CYLINDRICAL_SURFACE('',#337805,0.139999999999998); #306059=CYLINDRICAL_SURFACE('',#337808,0.139999999999998); #306060=CYLINDRICAL_SURFACE('',#337811,0.139999999999998); #306061=CYLINDRICAL_SURFACE('',#337814,0.139999999999998); #306062=CYLINDRICAL_SURFACE('',#337817,0.139999999999998); #306063=CYLINDRICAL_SURFACE('',#337820,0.139999999999998); #306064=CYLINDRICAL_SURFACE('',#337823,0.139999999999998); #306065=CYLINDRICAL_SURFACE('',#337826,0.139999999999998); #306066=CYLINDRICAL_SURFACE('',#337829,0.139999999999998); #306067=CYLINDRICAL_SURFACE('',#337832,0.139999999999998); #306068=CYLINDRICAL_SURFACE('',#337835,0.139999999999998); #306069=CYLINDRICAL_SURFACE('',#337838,0.139999999999998); #306070=CYLINDRICAL_SURFACE('',#337841,0.139999999999998); #306071=CYLINDRICAL_SURFACE('',#337844,0.139999999999998); #306072=CYLINDRICAL_SURFACE('',#337847,0.139999999999998); #306073=CYLINDRICAL_SURFACE('',#337850,0.139999999999998); #306074=CYLINDRICAL_SURFACE('',#337853,0.139999999999998); #306075=CYLINDRICAL_SURFACE('',#337856,0.139999999999998); #306076=CYLINDRICAL_SURFACE('',#337859,0.139999999999998); #306077=CYLINDRICAL_SURFACE('',#337862,0.139999999999998); #306078=CYLINDRICAL_SURFACE('',#337865,0.139999999999998); #306079=CYLINDRICAL_SURFACE('',#337868,0.139999999999998); #306080=CYLINDRICAL_SURFACE('',#337871,0.139999999999998); #306081=CYLINDRICAL_SURFACE('',#337874,0.139999999999998); #306082=CYLINDRICAL_SURFACE('',#337991,0.139999999999998); #306083=CYLINDRICAL_SURFACE('',#338012,0.139999999999998); #306084=CYLINDRICAL_SURFACE('',#338087,0.14); #306085=CYLINDRICAL_SURFACE('',#338090,0.14); #306086=CYLINDRICAL_SURFACE('',#338093,0.14); #306087=CYLINDRICAL_SURFACE('',#338096,0.14); #306088=CYLINDRICAL_SURFACE('',#338099,0.14); #306089=CYLINDRICAL_SURFACE('',#338102,0.14); #306090=CYLINDRICAL_SURFACE('',#338105,0.14); #306091=CYLINDRICAL_SURFACE('',#338108,0.14); #306092=CYLINDRICAL_SURFACE('',#338111,0.14); #306093=CYLINDRICAL_SURFACE('',#338126,0.139999999999998); #306094=CYLINDRICAL_SURFACE('',#338165,0.139999999999998); #306095=CYLINDRICAL_SURFACE('',#338174,0.139999999999998); #306096=CYLINDRICAL_SURFACE('',#338249,0.140000000000002); #306097=CYLINDRICAL_SURFACE('',#338252,0.140000000000002); #306098=CYLINDRICAL_SURFACE('',#338255,0.140000000000002); #306099=CYLINDRICAL_SURFACE('',#338258,0.140000000000002); #306100=CYLINDRICAL_SURFACE('',#338261,0.140000000000002); #306101=CYLINDRICAL_SURFACE('',#338264,0.140000000000002); #306102=CYLINDRICAL_SURFACE('',#338291,0.140000000000002); #306103=CYLINDRICAL_SURFACE('',#338294,0.140000000000002); #306104=CYLINDRICAL_SURFACE('',#338297,0.140000000000002); #306105=CYLINDRICAL_SURFACE('',#338300,0.140000000000002); #306106=CYLINDRICAL_SURFACE('',#338303,0.140000000000002); #306107=CYLINDRICAL_SURFACE('',#338306,0.140000000000002); #306108=CYLINDRICAL_SURFACE('',#338446,0.564999999999998); #306109=CYLINDRICAL_SURFACE('',#338450,0.900857088405025); #306110=CYLINDRICAL_SURFACE('',#338453,0.900856769723134); #306111=CYLINDRICAL_SURFACE('',#338470,0.114999999999998); #306112=CYLINDRICAL_SURFACE('',#338473,0.114999999999998); #306113=CYLINDRICAL_SURFACE('',#338488,0.114999999999998); #306114=CYLINDRICAL_SURFACE('',#338491,0.114999999999998); #306115=CYLINDRICAL_SURFACE('',#338506,0.114999999999998); #306116=CYLINDRICAL_SURFACE('',#338509,0.114999999999998); #306117=CYLINDRICAL_SURFACE('',#338524,0.114999999999998); #306118=CYLINDRICAL_SURFACE('',#338527,0.114999999999998); #306119=CYLINDRICAL_SURFACE('',#338548,0.127000308727433); #306120=CYLINDRICAL_SURFACE('',#338556,0.126999843437875); #306121=CYLINDRICAL_SURFACE('',#338561,0.126998775938454); #306122=CYLINDRICAL_SURFACE('',#338571,0.126999785858201); #306123=CYLINDRICAL_SURFACE('',#338575,0.127000308727438); #306124=CYLINDRICAL_SURFACE('',#338586,0.127000308727436); #306125=CYLINDRICAL_SURFACE('',#338590,0.127000308727441); #306126=CYLINDRICAL_SURFACE('',#338596,0.127001478143445); #306127=CYLINDRICAL_SURFACE('',#338600,0.127000248685803); #306128=CYLINDRICAL_SURFACE('',#338608,0.127000023435621); #306129=CYLINDRICAL_SURFACE('',#338617,0.127000308727437); #306130=CYLINDRICAL_SURFACE('',#338624,0.139999999999998); #306131=CYLINDRICAL_SURFACE('',#338627,0.139999999999998); #306132=CYLINDRICAL_SURFACE('',#338631,0.275850826114455); #306133=CYLINDRICAL_SURFACE('',#338634,0.275429566248996); #306134=CYLINDRICAL_SURFACE('',#338638,0.275429704872049); #306135=CYLINDRICAL_SURFACE('',#338641,0.27542977774263); #306136=CYLINDRICAL_SURFACE('',#338645,0.275430756167224); #306137=CYLINDRICAL_SURFACE('',#338650,0.139999999999998); #306138=CYLINDRICAL_SURFACE('',#338654,0.275429726247122); #306139=CYLINDRICAL_SURFACE('',#338664,0.27542954841201); #306140=CYLINDRICAL_SURFACE('',#338669,0.139999999999998); #306141=CYLINDRICAL_SURFACE('',#338677,0.127000429438416); #306142=CYLINDRICAL_SURFACE('',#338681,0.127073045682421); #306143=CYLINDRICAL_SURFACE('',#338684,0.275429182716805); #306144=CYLINDRICAL_SURFACE('',#338687,0.275466854775623); #306145=CYLINDRICAL_SURFACE('',#338695,0.139999999999998); #306146=CYLINDRICAL_SURFACE('',#338698,0.140000000000002); #306147=CYLINDRICAL_SURFACE('',#338702,0.275850826114459); #306148=CYLINDRICAL_SURFACE('',#338705,0.275429566248996); #306149=CYLINDRICAL_SURFACE('',#338713,0.127000308727436); #306150=CYLINDRICAL_SURFACE('',#338718,0.127000308727436); #306151=CYLINDRICAL_SURFACE('',#338722,0.127000308727436); #306152=CYLINDRICAL_SURFACE('',#338728,0.127000308727441); #306153=CYLINDRICAL_SURFACE('',#338732,0.127000308727438); #306154=CYLINDRICAL_SURFACE('',#338736,0.275429728561968); #306155=CYLINDRICAL_SURFACE('',#338739,0.275429566248991); #306156=CYLINDRICAL_SURFACE('',#338745,0.127000308727436); #306157=CYLINDRICAL_SURFACE('',#338749,0.127000308727436); #306158=CYLINDRICAL_SURFACE('',#338755,0.12700030872744); #306159=CYLINDRICAL_SURFACE('',#338760,0.127000308727436); #306160=CYLINDRICAL_SURFACE('',#338764,0.127000308727441); #306161=CYLINDRICAL_SURFACE('',#338768,0.127000308727433); #306162=CYLINDRICAL_SURFACE('',#338772,0.127000308727438); #306163=CYLINDRICAL_SURFACE('',#338776,0.275430756167224); #306164=CYLINDRICAL_SURFACE('',#338781,0.140000000000002); #306165=CYLINDRICAL_SURFACE('',#338784,0.139999999999998); #306166=CYLINDRICAL_SURFACE('',#338788,0.275850826114452); #306167=CYLINDRICAL_SURFACE('',#338791,0.275429566248992); #306168=CYLINDRICAL_SURFACE('',#338796,0.275430616157734); #306169=CYLINDRICAL_SURFACE('',#338799,0.275430435747982); #306170=CYLINDRICAL_SURFACE('',#338803,0.127000308727439); #306171=CYLINDRICAL_SURFACE('',#338807,0.275430756167224); #306172=CYLINDRICAL_SURFACE('',#338812,0.139999999999998); #306173=CYLINDRICAL_SURFACE('',#338820,0.127000429438416); #306174=CYLINDRICAL_SURFACE('',#338824,0.127073045682421); #306175=CYLINDRICAL_SURFACE('',#338827,0.275008823028508); #306176=CYLINDRICAL_SURFACE('',#338830,0.127073045682415); #306177=CYLINDRICAL_SURFACE('',#338834,0.127000429438414); #306178=CYLINDRICAL_SURFACE('',#338845,0.275084370745809); #306179=CYLINDRICAL_SURFACE('',#338853,0.139999999999998); #306180=CYLINDRICAL_SURFACE('',#338857,0.275429773854103); #306181=CYLINDRICAL_SURFACE('',#338860,0.12691207986283); #306182=CYLINDRICAL_SURFACE('',#338864,0.127000429438417); #306183=CYLINDRICAL_SURFACE('',#338875,0.275006306563116); #306184=CYLINDRICAL_SURFACE('',#338886,0.127000429438417); #306185=CYLINDRICAL_SURFACE('',#338890,0.126926612717977); #306186=CYLINDRICAL_SURFACE('',#338893,0.275430611325914); #306187=CYLINDRICAL_SURFACE('',#338898,0.139999999999998); #306188=CYLINDRICAL_SURFACE('',#338901,0.139999999999998); #306189=CYLINDRICAL_SURFACE('',#338906,0.127000308727438); #306190=CYLINDRICAL_SURFACE('',#338911,0.27542972856197); #306191=CYLINDRICAL_SURFACE('',#338914,0.275429566248993); #306192=CYLINDRICAL_SURFACE('',#338918,0.127000308727433); #306193=CYLINDRICAL_SURFACE('',#338924,0.127000308727449); #306194=CYLINDRICAL_SURFACE('',#338932,0.127000308727451); #306195=CYLINDRICAL_SURFACE('',#338937,0.127000308727436); #306196=CYLINDRICAL_SURFACE('',#338941,0.12700030872744); #306197=CYLINDRICAL_SURFACE('',#338947,0.12700030872744); #306198=CYLINDRICAL_SURFACE('',#338951,0.127000308727449); #306199=CYLINDRICAL_SURFACE('',#338955,0.127000308727438); #306200=CYLINDRICAL_SURFACE('',#338960,0.275429728561968); #306201=CYLINDRICAL_SURFACE('',#338963,0.275429566248991); #306202=CYLINDRICAL_SURFACE('',#338967,0.127000308727436); #306203=CYLINDRICAL_SURFACE('',#338974,0.127000308727425); #306204=CYLINDRICAL_SURFACE('',#338978,0.127000308727425); #306205=CYLINDRICAL_SURFACE('',#338984,0.127000308727436); #306206=CYLINDRICAL_SURFACE('',#338989,0.127001515838133); #306207=CYLINDRICAL_SURFACE('',#338993,0.127001515838138); #306208=CYLINDRICAL_SURFACE('',#338997,0.127001515838138); #306209=CYLINDRICAL_SURFACE('',#339001,0.127001515838131); #306210=CYLINDRICAL_SURFACE('',#339006,0.126758017197157); #306211=CYLINDRICAL_SURFACE('',#339011,0.139999999999998); #306212=CYLINDRICAL_SURFACE('',#339014,0.139999999999998); #306213=CYLINDRICAL_SURFACE('',#339018,0.275850826114455); #306214=CYLINDRICAL_SURFACE('',#339021,0.275429566248996); #306215=CYLINDRICAL_SURFACE('',#339025,0.275429704872049); #306216=CYLINDRICAL_SURFACE('',#339028,0.27542977774263); #306217=CYLINDRICAL_SURFACE('',#339032,0.275430756167224); #306218=CYLINDRICAL_SURFACE('',#339037,0.139999999999998); #306219=CYLINDRICAL_SURFACE('',#339040,0.139999999999998); #306220=CYLINDRICAL_SURFACE('',#339044,0.275850826114455); #306221=CYLINDRICAL_SURFACE('',#339047,0.275429566248993); #306222=CYLINDRICAL_SURFACE('',#339051,0.275429704872047); #306223=CYLINDRICAL_SURFACE('',#339054,0.275429777742628); #306224=CYLINDRICAL_SURFACE('',#339058,0.275430756167224); #306225=CYLINDRICAL_SURFACE('',#339063,0.139999999999998); #306226=CYLINDRICAL_SURFACE('',#339066,0.139999999999998); #306227=CYLINDRICAL_SURFACE('',#339070,0.275850826114455); #306228=CYLINDRICAL_SURFACE('',#339073,0.275429566248995); #306229=CYLINDRICAL_SURFACE('',#339077,0.275429704872054); #306230=CYLINDRICAL_SURFACE('',#339080,0.275429777742634); #306231=CYLINDRICAL_SURFACE('',#339084,0.275430756167224); #306232=CYLINDRICAL_SURFACE('',#339089,0.139999999999998); #306233=CYLINDRICAL_SURFACE('',#339092,0.140000000000002); #306234=CYLINDRICAL_SURFACE('',#339096,0.275429677195186); #306235=CYLINDRICAL_SURFACE('',#339102,0.27542972856197); #306236=CYLINDRICAL_SURFACE('',#339105,0.275429566248992); #306237=CYLINDRICAL_SURFACE('',#339109,0.127000308727437); #306238=CYLINDRICAL_SURFACE('',#339113,0.127000308727438); #306239=CYLINDRICAL_SURFACE('',#339117,0.275429442438527); #306240=CYLINDRICAL_SURFACE('',#339122,0.139999999999998); #306241=CYLINDRICAL_SURFACE('',#339131,0.139999999999998); #306242=CYLINDRICAL_SURFACE('',#339140,0.140000000000002); #306243=CYLINDRICAL_SURFACE('',#339149,0.139999999999998); #306244=CYLINDRICAL_SURFACE('',#339153,0.275429677195181); #306245=CYLINDRICAL_SURFACE('',#339163,0.275429442438521); #306246=CYLINDRICAL_SURFACE('',#339168,0.139999999999998); #306247=CYLINDRICAL_SURFACE('',#339171,0.139999999999998); #306248=CYLINDRICAL_SURFACE('',#339176,0.12700030872745); #306249=CYLINDRICAL_SURFACE('',#339181,0.127000308727449); #306250=CYLINDRICAL_SURFACE('',#339186,0.27542972856197); #306251=CYLINDRICAL_SURFACE('',#339189,0.275429566248993); #306252=CYLINDRICAL_SURFACE('',#339193,0.127000308727438); #306253=CYLINDRICAL_SURFACE('',#339198,0.127000308727439); #306254=CYLINDRICAL_SURFACE('',#339207,0.127000308727438); #306255=CYLINDRICAL_SURFACE('',#339212,0.12700030872744); #306256=CYLINDRICAL_SURFACE('',#339216,0.12700030872744); #306257=CYLINDRICAL_SURFACE('',#339222,0.12700030872744); #306258=CYLINDRICAL_SURFACE('',#339226,0.127000308727436); #306259=CYLINDRICAL_SURFACE('',#339231,0.127000308727438); #306260=CYLINDRICAL_SURFACE('',#339235,0.275429728561968); #306261=CYLINDRICAL_SURFACE('',#339238,0.275429566248991); #306262=CYLINDRICAL_SURFACE('',#339243,0.127000308727438); #306263=CYLINDRICAL_SURFACE('',#339249,0.12700030872744); #306264=CYLINDRICAL_SURFACE('',#339253,0.12700030872744); #306265=CYLINDRICAL_SURFACE('',#339259,0.127000308727447); #306266=CYLINDRICAL_SURFACE('',#339264,0.127000308727451); #306267=CYLINDRICAL_SURFACE('',#339268,0.12700030872744); #306268=CYLINDRICAL_SURFACE('',#339272,0.127000308727438); #306269=CYLINDRICAL_SURFACE('',#339276,0.126758017197157); #306270=CYLINDRICAL_SURFACE('',#339281,0.140000000000002); #306271=CYLINDRICAL_SURFACE('',#339284,0.140000000000002); #306272=CYLINDRICAL_SURFACE('',#339288,0.275429677195186); #306273=CYLINDRICAL_SURFACE('',#339292,0.275429728561971); #306274=CYLINDRICAL_SURFACE('',#339295,0.275429566248994); #306275=CYLINDRICAL_SURFACE('',#339299,0.275429442438529); #306276=CYLINDRICAL_SURFACE('',#339304,0.139999999999998); #306277=CYLINDRICAL_SURFACE('',#339308,0.275429677195182); #306278=CYLINDRICAL_SURFACE('',#339318,0.275429442438522); #306279=CYLINDRICAL_SURFACE('',#339323,0.139999999999998); #306280=CYLINDRICAL_SURFACE('',#339327,0.275429677195182); #306281=CYLINDRICAL_SURFACE('',#339337,0.275429442438521); #306282=CYLINDRICAL_SURFACE('',#339342,0.139999999999998); #306283=CYLINDRICAL_SURFACE('',#339346,0.275430523299247); #306284=CYLINDRICAL_SURFACE('',#339350,0.127001515838137); #306285=CYLINDRICAL_SURFACE('',#339354,0.127001515838134); #306286=CYLINDRICAL_SURFACE('',#339366,0.275430756167224); #306287=CYLINDRICAL_SURFACE('',#339369,0.275850020106943); #306288=CYLINDRICAL_SURFACE('',#339374,0.465); #306289=CYLINDRICAL_SURFACE('',#339385,0.750581792116793); #306290=CYLINDRICAL_SURFACE('',#339388,0.750581774250364); #306291=CYLINDRICAL_SURFACE('',#339392,0.127000308727438); #306292=CYLINDRICAL_SURFACE('',#339396,0.127000308727433); #306293=CYLINDRICAL_SURFACE('',#339402,0.465); #306294=CYLINDRICAL_SURFACE('',#339406,0.750904962541172); #306295=CYLINDRICAL_SURFACE('',#339409,0.750905460124643); #306296=CYLINDRICAL_SURFACE('',#339414,0.139999999999998); #306297=CYLINDRICAL_SURFACE('',#339424,0.127000308727438); #306298=CYLINDRICAL_SURFACE('',#339429,0.275429728561968); #306299=CYLINDRICAL_SURFACE('',#339432,0.275429566248991); #306300=CYLINDRICAL_SURFACE('',#339436,0.127000308727444); #306301=CYLINDRICAL_SURFACE('',#339441,0.127000308727438); #306302=CYLINDRICAL_SURFACE('',#339445,0.127000308727435); #306303=CYLINDRICAL_SURFACE('',#339452,0.465); #306304=CYLINDRICAL_SURFACE('',#339459,0.127000308727438); #306305=CYLINDRICAL_SURFACE('',#339463,0.127000308727438); #306306=CYLINDRICAL_SURFACE('',#339467,0.750581792116793); #306307=CYLINDRICAL_SURFACE('',#339470,0.750581774250364); #306308=CYLINDRICAL_SURFACE('',#339485,0.127000308727435); #306309=CYLINDRICAL_SURFACE('',#339509,0.127000308727435); #306310=CYLINDRICAL_SURFACE('',#339516,0.564999999999998); #306311=CYLINDRICAL_SURFACE('',#339521,0.127000308727436); #306312=CYLINDRICAL_SURFACE('',#339525,0.127000308727438); #306313=CYLINDRICAL_SURFACE('',#339530,0.12700030872744); #306314=CYLINDRICAL_SURFACE('',#339541,0.127000308727444); #306315=CYLINDRICAL_SURFACE('',#339548,0.127000308727441); #306316=CYLINDRICAL_SURFACE('',#339567,0.126758017197157); #306317=CYLINDRICAL_SURFACE('',#339572,0.139999999999998); #306318=CYLINDRICAL_SURFACE('',#339575,0.139999999999998); #306319=CYLINDRICAL_SURFACE('',#339579,0.275429677195185); #306320=CYLINDRICAL_SURFACE('',#339583,0.27542972856197); #306321=CYLINDRICAL_SURFACE('',#339586,0.275429566248993); #306322=CYLINDRICAL_SURFACE('',#339590,0.275429442438528); #306323=CYLINDRICAL_SURFACE('',#339595,0.140000000000002); #306324=CYLINDRICAL_SURFACE('',#339604,0.139999999999998); #306325=CYLINDRICAL_SURFACE('',#339607,0.139999999999998); #306326=CYLINDRICAL_SURFACE('',#339611,0.275430523299248); #306327=CYLINDRICAL_SURFACE('',#339615,0.127000308727438); #306328=CYLINDRICAL_SURFACE('',#339619,0.127000308727433); #306329=CYLINDRICAL_SURFACE('',#339623,0.127000308727436); #306330=CYLINDRICAL_SURFACE('',#339627,0.127000308727438); #306331=CYLINDRICAL_SURFACE('',#339631,0.127000308727444); #306332=CYLINDRICAL_SURFACE('',#339635,0.275430209658209); #306333=CYLINDRICAL_SURFACE('',#339638,0.275430264558768); #306334=CYLINDRICAL_SURFACE('',#339647,0.275430756167224); #306335=CYLINDRICAL_SURFACE('',#339650,0.275850020106942); #306336=CYLINDRICAL_SURFACE('',#339655,0.139999999999998); #306337=CYLINDRICAL_SURFACE('',#339658,0.139999999999998); #306338=CYLINDRICAL_SURFACE('',#339662,0.275430525995305); #306339=CYLINDRICAL_SURFACE('',#339666,0.275430616157734); #306340=CYLINDRICAL_SURFACE('',#339669,0.27543090603658); #306341=CYLINDRICAL_SURFACE('',#339674,0.275850020106941); #306342=CYLINDRICAL_SURFACE('',#339679,0.139999999999998); #306343=CYLINDRICAL_SURFACE('',#339688,0.565000000000002); #306344=CYLINDRICAL_SURFACE('',#339691,0.140000000000002); #306345=CYLINDRICAL_SURFACE('',#339694,0.514999999999999); #306346=CYLINDRICAL_SURFACE('',#339697,0.465); #306347=CYLINDRICAL_SURFACE('',#339770,0.275008823028508); #306348=CYLINDRICAL_SURFACE('',#339776,0.825862156960858); #306349=CYLINDRICAL_SURFACE('',#339779,0.825862160595456); #306350=CYLINDRICAL_SURFACE('',#339783,0.127000308727435); #306351=CYLINDRICAL_SURFACE('',#339787,0.127000308727438); #306352=CYLINDRICAL_SURFACE('',#339791,0.275008823028508); #306353=CYLINDRICAL_SURFACE('',#339804,0.140000000000002); #306354=CYLINDRICAL_SURFACE('',#339809,0.126999756401237); #306355=CYLINDRICAL_SURFACE('',#339832,0.127000308727433); #306356=CYLINDRICAL_SURFACE('',#339838,0.127000308727438); #306357=CYLINDRICAL_SURFACE('',#339843,0.127000308727441); #306358=CYLINDRICAL_SURFACE('',#339847,0.275429704872047); #306359=CYLINDRICAL_SURFACE('',#339850,0.275429777742628); #306360=CYLINDRICAL_SURFACE('',#339855,0.127000308727438); #306361=CYLINDRICAL_SURFACE('',#339860,0.127000308727438); #306362=CYLINDRICAL_SURFACE('',#339864,0.127000308727433); #306363=CYLINDRICAL_SURFACE('',#339872,0.126735934237225); #306364=CYLINDRICAL_SURFACE('',#339877,0.14); #306365=CYLINDRICAL_SURFACE('',#339880,0.14); #306366=CYLINDRICAL_SURFACE('',#339883,0.14); #306367=CYLINDRICAL_SURFACE('',#339886,0.14); #306368=CYLINDRICAL_SURFACE('',#339889,0.14); #306369=CYLINDRICAL_SURFACE('',#339892,0.14); #306370=CYLINDRICAL_SURFACE('',#339895,0.14); #306371=CYLINDRICAL_SURFACE('',#339898,0.14); #306372=CYLINDRICAL_SURFACE('',#339901,0.465); #306373=CYLINDRICAL_SURFACE('',#339904,0.465); #306374=CYLINDRICAL_SURFACE('',#339907,0.514999999999999); #306375=CYLINDRICAL_SURFACE('',#339910,0.14); #306376=CYLINDRICAL_SURFACE('',#340245,0.140000000000002); #306377=CYLINDRICAL_SURFACE('',#340248,0.139999999999998); #306378=CYLINDRICAL_SURFACE('',#340251,0.139999999999998); #306379=CYLINDRICAL_SURFACE('',#340254,0.139999999999998); #306380=CYLINDRICAL_SURFACE('',#340257,0.139999999999998); #306381=CYLINDRICAL_SURFACE('',#340260,0.139999999999998); #306382=CYLINDRICAL_SURFACE('',#340263,0.465); #306383=CYLINDRICAL_SURFACE('',#340266,0.139999999999998); #306384=CYLINDRICAL_SURFACE('',#340269,0.139999999999998); #306385=CYLINDRICAL_SURFACE('',#340272,0.139999999999998); #306386=CYLINDRICAL_SURFACE('',#340275,0.139999999999998); #306387=CYLINDRICAL_SURFACE('',#340278,0.139999999999998); #306388=CYLINDRICAL_SURFACE('',#340281,0.139999999999998); #306389=CYLINDRICAL_SURFACE('',#340284,0.514999999999999); #306390=CYLINDRICAL_SURFACE('',#340287,0.139999999999998); #306391=CYLINDRICAL_SURFACE('',#340290,0.139999999999998); #306392=CYLINDRICAL_SURFACE('',#340293,0.139999999999998); #306393=CYLINDRICAL_SURFACE('',#340296,0.139999999999998); #306394=CYLINDRICAL_SURFACE('',#340299,0.139999999999998); #306395=CYLINDRICAL_SURFACE('',#340302,0.139999999999998); #306396=CYLINDRICAL_SURFACE('',#340305,0.139999999999998); #306397=CYLINDRICAL_SURFACE('',#340308,0.139999999999998); #306398=CYLINDRICAL_SURFACE('',#340311,0.14); #306399=CYLINDRICAL_SURFACE('',#340314,0.139999999999998); #306400=CYLINDRICAL_SURFACE('',#340317,0.14); #306401=CYLINDRICAL_SURFACE('',#340320,0.139999999999998); #306402=CYLINDRICAL_SURFACE('',#340323,0.14); #306403=CYLINDRICAL_SURFACE('',#340326,0.139999999999998); #306404=CYLINDRICAL_SURFACE('',#340329,0.14); #306405=CYLINDRICAL_SURFACE('',#340332,0.140000000000002); #306406=CYLINDRICAL_SURFACE('',#340335,0.139999999999998); #306407=CYLINDRICAL_SURFACE('',#340338,0.140000000000002); #306408=CYLINDRICAL_SURFACE('',#340341,0.139999999999998); #306409=CYLINDRICAL_SURFACE('',#340344,0.140000000000002); #306410=CYLINDRICAL_SURFACE('',#340347,0.139999999999998); #306411=CYLINDRICAL_SURFACE('',#340350,0.140000000000002); #306412=CYLINDRICAL_SURFACE('',#340353,0.140000000000002); #306413=CYLINDRICAL_SURFACE('',#340356,0.139999999999998); #306414=CYLINDRICAL_SURFACE('',#340359,0.140000000000002); #306415=CYLINDRICAL_SURFACE('',#340362,0.140000000000002); #306416=CYLINDRICAL_SURFACE('',#340365,0.139999999999998); #306417=CYLINDRICAL_SURFACE('',#340368,0.140000000000002); #306418=CYLINDRICAL_SURFACE('',#340371,0.140000000000002); #306419=CYLINDRICAL_SURFACE('',#340374,0.139999999999998); #306420=CYLINDRICAL_SURFACE('',#340377,0.140000000000002); #306421=CYLINDRICAL_SURFACE('',#340380,0.139999999999998); #306422=CYLINDRICAL_SURFACE('',#340383,0.140000000000002); #306423=CYLINDRICAL_SURFACE('',#340386,0.139999999999998); #306424=CYLINDRICAL_SURFACE('',#340389,0.140000000000002); #306425=CYLINDRICAL_SURFACE('',#340392,0.14); #306426=CYLINDRICAL_SURFACE('',#340395,0.139999999999998); #306427=CYLINDRICAL_SURFACE('',#340398,0.14); #306428=CYLINDRICAL_SURFACE('',#340401,0.139999999999998); #306429=CYLINDRICAL_SURFACE('',#340404,0.14); #306430=CYLINDRICAL_SURFACE('',#340407,0.139999999999998); #306431=CYLINDRICAL_SURFACE('',#340410,0.14); #306432=CYLINDRICAL_SURFACE('',#340413,0.14); #306433=CYLINDRICAL_SURFACE('',#340416,0.139999999999998); #306434=CYLINDRICAL_SURFACE('',#340419,0.14); #306435=CYLINDRICAL_SURFACE('',#340422,0.139999999999998); #306436=CYLINDRICAL_SURFACE('',#340425,0.14); #306437=CYLINDRICAL_SURFACE('',#340428,0.139999999999998); #306438=CYLINDRICAL_SURFACE('',#340431,0.14); #306439=CYLINDRICAL_SURFACE('',#340434,0.465); #306440=CYLINDRICAL_SURFACE('',#340438,0.315); #306441=CYLINDRICAL_SURFACE('',#340442,0.315); #306442=CYLINDRICAL_SURFACE('',#340446,0.565); #306443=CYLINDRICAL_SURFACE('',#340450,0.565); #306444=CYLINDRICAL_SURFACE('',#340453,0.139999999999998); #306445=CYLINDRICAL_SURFACE('',#340456,0.114999999999998); #306446=CYLINDRICAL_SURFACE('',#340460,0.565); #306447=CYLINDRICAL_SURFACE('',#340464,0.565); #306448=CYLINDRICAL_SURFACE('',#340467,0.139999999999998); #306449=CYLINDRICAL_SURFACE('',#340470,0.114999999999998); #306450=CYLINDRICAL_SURFACE('',#340473,0.139999999999998); #306451=CYLINDRICAL_SURFACE('',#340476,0.114999999999998); #306452=CYLINDRICAL_SURFACE('',#340479,0.114999999999998); #306453=CYLINDRICAL_SURFACE('',#340483,0.315); #306454=CYLINDRICAL_SURFACE('',#340487,0.315); #306455=CYLINDRICAL_SURFACE('',#340490,0.139999999999998); #306456=CYLINDRICAL_SURFACE('',#340494,0.315); #306457=CYLINDRICAL_SURFACE('',#340498,0.315); #306458=CYLINDRICAL_SURFACE('',#340502,0.315); #306459=CYLINDRICAL_SURFACE('',#340506,0.315); #306460=CYLINDRICAL_SURFACE('',#340509,0.139999999999998); #306461=CYLINDRICAL_SURFACE('',#340512,0.139999999999998); #306462=CYLINDRICAL_SURFACE('',#340515,0.140000000000002); #306463=CYLINDRICAL_SURFACE('',#340518,0.139999999999998); #306464=CYLINDRICAL_SURFACE('',#340521,0.140000000000002); #306465=CYLINDRICAL_SURFACE('',#340524,0.140000000000002); #306466=CYLINDRICAL_SURFACE('',#340527,0.139999999999998); #306467=CYLINDRICAL_SURFACE('',#340530,0.140000000000002); #306468=CYLINDRICAL_SURFACE('',#340533,0.139999999999998); #306469=CYLINDRICAL_SURFACE('',#340536,0.139999999999998); #306470=CYLINDRICAL_SURFACE('',#340539,0.139999999999998); #306471=CYLINDRICAL_SURFACE('',#340542,0.139999999999998); #306472=CYLINDRICAL_SURFACE('',#340545,0.139999999999998); #306473=CYLINDRICAL_SURFACE('',#340548,0.140000000000002); #306474=CYLINDRICAL_SURFACE('',#340551,0.514999999999999); #306475=CYLINDRICAL_SURFACE('',#340554,0.140000000000002); #306476=CYLINDRICAL_SURFACE('',#340557,0.139999999999998); #306477=CYLINDRICAL_SURFACE('',#340560,0.140000000000002); #306478=CYLINDRICAL_SURFACE('',#340563,0.140000000000002); #306479=CYLINDRICAL_SURFACE('',#340566,0.139999999999998); #306480=CYLINDRICAL_SURFACE('',#340569,0.140000000000002); #306481=CYLINDRICAL_SURFACE('',#340572,0.140000000000002); #306482=CYLINDRICAL_SURFACE('',#340575,0.139999999999998); #306483=CYLINDRICAL_SURFACE('',#340578,0.140000000000002); #306484=CYLINDRICAL_SURFACE('',#340581,0.140000000000002); #306485=CYLINDRICAL_SURFACE('',#340584,0.139999999999998); #306486=CYLINDRICAL_SURFACE('',#340587,0.140000000000002); #306487=CYLINDRICAL_SURFACE('',#340590,0.564999999999998); #306488=CYLINDRICAL_SURFACE('',#340593,0.14); #306489=CYLINDRICAL_SURFACE('',#340596,0.139999999999998); #306490=CYLINDRICAL_SURFACE('',#340599,0.140000000000002); #306491=CYLINDRICAL_SURFACE('',#340602,0.140000000000002); #306492=CYLINDRICAL_SURFACE('',#340605,0.139999999999998); #306493=CYLINDRICAL_SURFACE('',#340608,0.140000000000002); #306494=CYLINDRICAL_SURFACE('',#340611,0.140000000000002); #306495=CYLINDRICAL_SURFACE('',#340614,0.139999999999998); #306496=CYLINDRICAL_SURFACE('',#340617,0.140000000000002); #306497=CYLINDRICAL_SURFACE('',#340620,0.140000000000002); #306498=CYLINDRICAL_SURFACE('',#340623,0.139999999999998); #306499=CYLINDRICAL_SURFACE('',#340626,0.140000000000002); #306500=CYLINDRICAL_SURFACE('',#340629,0.14); #306501=CYLINDRICAL_SURFACE('',#340632,0.139999999999998); #306502=CYLINDRICAL_SURFACE('',#340635,0.14); #306503=CYLINDRICAL_SURFACE('',#340638,0.14); #306504=CYLINDRICAL_SURFACE('',#340641,0.139999999999998); #306505=CYLINDRICAL_SURFACE('',#340644,0.14); #306506=CYLINDRICAL_SURFACE('',#340647,0.14); #306507=CYLINDRICAL_SURFACE('',#340650,0.139999999999998); #306508=CYLINDRICAL_SURFACE('',#340653,0.14); #306509=CYLINDRICAL_SURFACE('',#340656,0.14); #306510=CYLINDRICAL_SURFACE('',#340659,0.139999999999998); #306511=CYLINDRICAL_SURFACE('',#340662,0.14); #306512=CYLINDRICAL_SURFACE('',#342509,0.140000000000002); #306513=CYLINDRICAL_SURFACE('',#342512,0.140000000000002); #306514=CYLINDRICAL_SURFACE('',#342515,0.140000000000002); #306515=CYLINDRICAL_SURFACE('',#342518,0.140000000000002); #306516=CYLINDRICAL_SURFACE('',#342521,0.140000000000002); #306517=CYLINDRICAL_SURFACE('',#342524,0.140000000000002); #306518=CYLINDRICAL_SURFACE('',#342527,0.140000000000002); #306519=CYLINDRICAL_SURFACE('',#342530,0.140000000000002); #306520=CYLINDRICAL_SURFACE('',#342533,0.140000000000002); #306521=CYLINDRICAL_SURFACE('',#342536,0.140000000000002); #306522=CYLINDRICAL_SURFACE('',#342539,0.140000000000002); #306523=CYLINDRICAL_SURFACE('',#342542,0.140000000000002); #306524=CYLINDRICAL_SURFACE('',#342545,0.140000000000002); #306525=CYLINDRICAL_SURFACE('',#342548,0.140000000000002); #306526=CYLINDRICAL_SURFACE('',#342551,0.139999999999998); #306527=CYLINDRICAL_SURFACE('',#342554,0.140000000000002); #306528=CYLINDRICAL_SURFACE('',#342633,0.139999999999998); #306529=CYLINDRICAL_SURFACE('',#342636,0.139999999999998); #306530=CYLINDRICAL_SURFACE('',#342639,0.139999999999998); #306531=CYLINDRICAL_SURFACE('',#342642,0.139999999999998); #306532=CYLINDRICAL_SURFACE('',#342645,0.139999999999998); #306533=CYLINDRICAL_SURFACE('',#342648,0.139999999999998); #306534=CYLINDRICAL_SURFACE('',#342651,0.139999999999998); #306535=CYLINDRICAL_SURFACE('',#342654,0.139999999999998); #306536=CYLINDRICAL_SURFACE('',#342657,0.139999999999998); #306537=CYLINDRICAL_SURFACE('',#342660,0.139999999999998); #306538=CYLINDRICAL_SURFACE('',#342663,0.139999999999998); #306539=CYLINDRICAL_SURFACE('',#342666,0.139999999999998); #306540=CYLINDRICAL_SURFACE('',#342669,0.139999999999998); #306541=CYLINDRICAL_SURFACE('',#342672,0.139999999999998); #306542=CYLINDRICAL_SURFACE('',#342675,0.139999999999998); #306543=CYLINDRICAL_SURFACE('',#342678,0.139999999999998); #306544=CYLINDRICAL_SURFACE('',#342753,0.14); #306545=CYLINDRICAL_SURFACE('',#342756,0.14); #306546=CYLINDRICAL_SURFACE('',#342759,0.14); #306547=CYLINDRICAL_SURFACE('',#342762,0.14); #306548=CYLINDRICAL_SURFACE('',#342765,0.14); #306549=CYLINDRICAL_SURFACE('',#342768,0.14); #306550=CYLINDRICAL_SURFACE('',#342771,0.14); #306551=CYLINDRICAL_SURFACE('',#342774,0.14); #306552=CYLINDRICAL_SURFACE('',#342777,0.14); #306553=CYLINDRICAL_SURFACE('',#342780,0.14); #306554=CYLINDRICAL_SURFACE('',#342783,0.14); #306555=CYLINDRICAL_SURFACE('',#342786,0.14); #306556=CYLINDRICAL_SURFACE('',#342789,0.139999999999998); #306557=CYLINDRICAL_SURFACE('',#342792,0.139999999999998); #306558=CYLINDRICAL_SURFACE('',#342795,0.139999999999998); #306559=CYLINDRICAL_SURFACE('',#342798,0.139999999999998); #306560=CYLINDRICAL_SURFACE('',#342801,0.139999999999998); #306561=CYLINDRICAL_SURFACE('',#342804,0.14); #306562=CYLINDRICAL_SURFACE('',#342807,0.139999999999998); #306563=CYLINDRICAL_SURFACE('',#342810,0.139999999999998); #306564=CYLINDRICAL_SURFACE('',#342813,0.139999999999998); #306565=CYLINDRICAL_SURFACE('',#342816,0.139999999999998); #306566=CYLINDRICAL_SURFACE('',#342819,0.139999999999998); #306567=CYLINDRICAL_SURFACE('',#342822,0.139999999999998); #306568=CYLINDRICAL_SURFACE('',#342825,0.139999999999998); #306569=CYLINDRICAL_SURFACE('',#342828,0.139999999999998); #306570=CYLINDRICAL_SURFACE('',#342831,0.139999999999998); #306571=CYLINDRICAL_SURFACE('',#342834,0.139999999999998); #306572=CYLINDRICAL_SURFACE('',#342837,0.14); #306573=CYLINDRICAL_SURFACE('',#342840,0.14); #306574=CYLINDRICAL_SURFACE('',#342890,0.139999999999998); #306575=CYLINDRICAL_SURFACE('',#342893,0.139999999999998); #306576=CYLINDRICAL_SURFACE('',#342897,0.275429677195186); #306577=CYLINDRICAL_SURFACE('',#342901,0.27542972856197); #306578=CYLINDRICAL_SURFACE('',#342904,0.275429566248992); #306579=CYLINDRICAL_SURFACE('',#342908,0.275429442438528); #306580=CYLINDRICAL_SURFACE('',#342913,0.140000000000002); #306581=CYLINDRICAL_SURFACE('',#342916,0.140000000000002); #306582=CYLINDRICAL_SURFACE('',#342919,0.140000000000002); #306583=CYLINDRICAL_SURFACE('',#342922,0.140000000000002); #306584=CYLINDRICAL_SURFACE('',#342925,0.140000000000002); #306585=CYLINDRICAL_SURFACE('',#342928,0.140000000000002); #306586=CYLINDRICAL_SURFACE('',#342931,0.140000000000002); #306587=CYLINDRICAL_SURFACE('',#342934,0.140000000000002); #306588=CYLINDRICAL_SURFACE('',#342937,0.140000000000002); #306589=CYLINDRICAL_SURFACE('',#342940,0.465); #306590=CYLINDRICAL_SURFACE('',#342969,0.139999999999998); #306591=CYLINDRICAL_SURFACE('',#342973,0.275850231559089); #306592=CYLINDRICAL_SURFACE('',#342976,0.275850020106942); #306593=CYLINDRICAL_SURFACE('',#342981,0.140000000000002); #306594=CYLINDRICAL_SURFACE('',#342984,0.140000000000002); #306595=CYLINDRICAL_SURFACE('',#342987,0.140000000000002); #306596=CYLINDRICAL_SURFACE('',#342990,0.140000000000002); #306597=CYLINDRICAL_SURFACE('',#342993,0.140000000000002); #306598=CYLINDRICAL_SURFACE('',#342996,0.140000000000002); #306599=CYLINDRICAL_SURFACE('',#342999,0.140000000000002); #306600=CYLINDRICAL_SURFACE('',#343002,0.140000000000002); #306601=CYLINDRICAL_SURFACE('',#343005,0.140000000000002); #306602=CYLINDRICAL_SURFACE('',#343008,0.140000000000002); #306603=CYLINDRICAL_SURFACE('',#343011,0.140000000000002); #306604=CYLINDRICAL_SURFACE('',#343014,0.140000000000002); #306605=CYLINDRICAL_SURFACE('',#343017,0.140000000000002); #306606=CYLINDRICAL_SURFACE('',#343020,0.140000000000002); #306607=CYLINDRICAL_SURFACE('',#343023,0.140000000000002); #306608=CYLINDRICAL_SURFACE('',#343026,0.140000000000002); #306609=CYLINDRICAL_SURFACE('',#343029,0.140000000000002); #306610=CYLINDRICAL_SURFACE('',#343032,0.140000000000002); #306611=CYLINDRICAL_SURFACE('',#343035,0.140000000000002); #306612=CYLINDRICAL_SURFACE('',#343038,0.140000000000002); #306613=CYLINDRICAL_SURFACE('',#343041,0.140000000000002); #306614=CYLINDRICAL_SURFACE('',#343044,0.140000000000002); #306615=CYLINDRICAL_SURFACE('',#343047,0.140000000000002); #306616=CYLINDRICAL_SURFACE('',#343050,0.140000000000002); #306617=CYLINDRICAL_SURFACE('',#343053,0.140000000000002); #306618=CYLINDRICAL_SURFACE('',#343056,0.140000000000002); #306619=CYLINDRICAL_SURFACE('',#343059,0.140000000000002); #306620=CYLINDRICAL_SURFACE('',#343062,0.140000000000002); #306621=CYLINDRICAL_SURFACE('',#343065,0.140000000000002); #306622=CYLINDRICAL_SURFACE('',#343068,0.140000000000002); #306623=CYLINDRICAL_SURFACE('',#343118,0.140000000000002); #306624=CYLINDRICAL_SURFACE('',#343121,0.140000000000002); #306625=CYLINDRICAL_SURFACE('',#343125,0.275429677195186); #306626=CYLINDRICAL_SURFACE('',#343129,0.27542972856197); #306627=CYLINDRICAL_SURFACE('',#343132,0.275429566248994); #306628=CYLINDRICAL_SURFACE('',#343136,0.275429442438528); #306629=CYLINDRICAL_SURFACE('',#343141,0.139999999999998); #306630=CYLINDRICAL_SURFACE('',#343145,0.27585023155909); #306631=CYLINDRICAL_SURFACE('',#343148,0.275850020106942); #306632=CYLINDRICAL_SURFACE('',#343153,0.139999999999998); #306633=CYLINDRICAL_SURFACE('',#343156,0.139999999999998); #306634=CYLINDRICAL_SURFACE('',#343159,0.139999999999998); #306635=CYLINDRICAL_SURFACE('',#343162,0.114999999999998); #306636=CYLINDRICAL_SURFACE('',#343165,0.114999999999998); #306637=CYLINDRICAL_SURFACE('',#343168,0.114999999999998); #306638=CYLINDRICAL_SURFACE('',#343171,0.114999999999998); #306639=CYLINDRICAL_SURFACE('',#343174,0.139999999999998); #306640=CYLINDRICAL_SURFACE('',#343177,0.139999999999998); #306641=CYLINDRICAL_SURFACE('',#343180,0.139999999999998); #306642=CYLINDRICAL_SURFACE('',#343183,0.139999999999998); #306643=CYLINDRICAL_SURFACE('',#343186,0.139999999999998); #306644=CYLINDRICAL_SURFACE('',#343189,0.139999999999998); #306645=CYLINDRICAL_SURFACE('',#343192,0.139999999999998); #306646=CYLINDRICAL_SURFACE('',#343195,0.139999999999998); #306647=CYLINDRICAL_SURFACE('',#343367,0.564999999999998); #306648=CYLINDRICAL_SURFACE('',#343371,0.900857088405025); #306649=CYLINDRICAL_SURFACE('',#343374,0.900856769723134); #306650=CYLINDRICAL_SURFACE('',#343379,0.565000000000002); #306651=CYLINDRICAL_SURFACE('',#343383,0.900857088405025); #306652=CYLINDRICAL_SURFACE('',#343386,0.900856769723134); #306653=CYLINDRICAL_SURFACE('',#343391,0.465); #306654=CYLINDRICAL_SURFACE('',#343395,0.750904962541172); #306655=CYLINDRICAL_SURFACE('',#343398,0.750905460124643); #306656=CYLINDRICAL_SURFACE('',#343403,0.514999999999999); #306657=CYLINDRICAL_SURFACE('',#343407,0.825995597015953); #306658=CYLINDRICAL_SURFACE('',#343410,0.825996336030616); #306659=CYLINDRICAL_SURFACE('',#343415,0.514999999999999); #306660=CYLINDRICAL_SURFACE('',#343424,0.465); #306661=CYLINDRICAL_SURFACE('',#343428,0.750904962541172); #306662=CYLINDRICAL_SURFACE('',#343431,0.750905460124644); #306663=CYLINDRICAL_SURFACE('',#343436,0.465); #306664=CYLINDRICAL_SURFACE('',#343440,0.750904962541172); #306665=CYLINDRICAL_SURFACE('',#343443,0.750905460124644); #306666=CYLINDRICAL_SURFACE('',#343448,0.564999999999998); #306667=CYLINDRICAL_SURFACE('',#343452,0.900857088405025); #306668=CYLINDRICAL_SURFACE('',#343455,0.900856769723134); #306669=CYLINDRICAL_SURFACE('',#343460,0.465); #306670=CYLINDRICAL_SURFACE('',#343464,0.750904962541171); #306671=CYLINDRICAL_SURFACE('',#343467,0.750905460124643); #306672=CYLINDRICAL_SURFACE('',#343473,0.315); #306673=CYLINDRICAL_SURFACE('',#343477,0.315); #306674=CYLINDRICAL_SURFACE('',#343539,0.565); #306675=CYLINDRICAL_SURFACE('',#343543,0.565); #306676=CYLINDRICAL_SURFACE('',#343621,0.315); #306677=CYLINDRICAL_SURFACE('',#343625,0.315); #306678=CYLINDRICAL_SURFACE('',#343686,0.514999999999999); #306679=CYLINDRICAL_SURFACE('',#343696,0.315); #306680=CYLINDRICAL_SURFACE('',#343700,0.315); #306681=CYLINDRICAL_SURFACE('',#343762,0.565); #306682=CYLINDRICAL_SURFACE('',#343766,0.565); #306683=CYLINDRICAL_SURFACE('',#343843,0.465); #306684=CYLINDRICAL_SURFACE('',#343847,0.750904962541172); #306685=CYLINDRICAL_SURFACE('',#343850,0.750905460124644); #306686=CYLINDRICAL_SURFACE('',#343855,0.514999999999999); #306687=CYLINDRICAL_SURFACE('',#343859,0.825995597015952); #306688=CYLINDRICAL_SURFACE('',#343862,0.825996336030615); #306689=CYLINDRICAL_SURFACE('',#343868,0.315); #306690=CYLINDRICAL_SURFACE('',#343872,0.315); #306691=CYLINDRICAL_SURFACE('',#343933,0.465); #306692=CYLINDRICAL_SURFACE('',#343937,0.750904962541172); #306693=CYLINDRICAL_SURFACE('',#343940,0.750905460124644); #306694=CYLINDRICAL_SURFACE('',#343945,0.465); #306695=CYLINDRICAL_SURFACE('',#343949,0.750904962541172); #306696=CYLINDRICAL_SURFACE('',#343952,0.750905460124643); #306697=CYLINDRICAL_SURFACE('',#343957,0.465); #306698=CYLINDRICAL_SURFACE('',#343961,0.750904962541172); #306699=CYLINDRICAL_SURFACE('',#343964,0.750905460124643); #306700=CYLINDRICAL_SURFACE('',#343969,0.564999999999998); #306701=CYLINDRICAL_SURFACE('',#343978,0.465); #306702=CYLINDRICAL_SURFACE('',#343982,0.750904962541172); #306703=CYLINDRICAL_SURFACE('',#343985,0.750905460124643); #306704=CYLINDRICAL_SURFACE('',#344128,0.114999999999998); #306705=CYLINDRICAL_SURFACE('',#344131,0.114999999999998); #306706=CYLINDRICAL_SURFACE('',#344146,0.114999999999998); #306707=CYLINDRICAL_SURFACE('',#344149,0.114999999999998); #306708=CYLINDRICAL_SURFACE('',#344254,0.139999999999998); #306709=CYLINDRICAL_SURFACE('',#344287,0.140000000000002); #306710=CYLINDRICAL_SURFACE('',#344290,0.140000000000002); #306711=CYLINDRICAL_SURFACE('',#344293,0.140000000000002); #306712=CYLINDRICAL_SURFACE('',#344296,0.140000000000002); #306713=CYLINDRICAL_SURFACE('',#344299,0.140000000000002); #306714=CYLINDRICAL_SURFACE('',#344302,0.140000000000002); #306715=CYLINDRICAL_SURFACE('',#344305,0.140000000000002); #306716=CYLINDRICAL_SURFACE('',#344308,0.140000000000002); #306717=CYLINDRICAL_SURFACE('',#344311,0.140000000000002); #306718=CYLINDRICAL_SURFACE('',#344314,0.140000000000002); #306719=CYLINDRICAL_SURFACE('',#344317,0.140000000000002); #306720=CYLINDRICAL_SURFACE('',#344320,0.140000000000002); #306721=CYLINDRICAL_SURFACE('',#344323,0.140000000000002); #306722=CYLINDRICAL_SURFACE('',#344326,0.140000000000002); #306723=CYLINDRICAL_SURFACE('',#344329,0.140000000000002); #306724=CYLINDRICAL_SURFACE('',#344356,0.139999999999998); #306725=CYLINDRICAL_SURFACE('',#344389,0.139999999999998); #306726=CYLINDRICAL_SURFACE('',#344392,0.139999999999998); #306727=CYLINDRICAL_SURFACE('',#344395,0.139999999999998); #306728=CYLINDRICAL_SURFACE('',#344398,0.139999999999998); #306729=CYLINDRICAL_SURFACE('',#344401,0.139999999999998); #306730=CYLINDRICAL_SURFACE('',#344404,0.139999999999998); #306731=CYLINDRICAL_SURFACE('',#344407,0.139999999999998); #306732=CYLINDRICAL_SURFACE('',#344410,0.139999999999998); #306733=CYLINDRICAL_SURFACE('',#344413,0.139999999999998); #306734=CYLINDRICAL_SURFACE('',#344416,0.139999999999998); #306735=CYLINDRICAL_SURFACE('',#344419,0.139999999999998); #306736=CYLINDRICAL_SURFACE('',#344422,0.139999999999998); #306737=CYLINDRICAL_SURFACE('',#344425,0.139999999999998); #306738=CYLINDRICAL_SURFACE('',#344428,0.139999999999998); #306739=CYLINDRICAL_SURFACE('',#344431,0.139999999999998); #306740=CYLINDRICAL_SURFACE('',#344458,0.139999999999998); #306741=CYLINDRICAL_SURFACE('',#344467,0.139999999999998); #306742=CYLINDRICAL_SURFACE('',#344476,0.140000000000002); #306743=CYLINDRICAL_SURFACE('',#344485,0.139999999999998); #306744=CYLINDRICAL_SURFACE('',#344488,0.139999999999998); #306745=CYLINDRICAL_SURFACE('',#344491,0.139999999999998); #306746=CYLINDRICAL_SURFACE('',#344494,0.139999999999998); #306747=CYLINDRICAL_SURFACE('',#344497,0.139999999999998); #306748=CYLINDRICAL_SURFACE('',#344500,0.139999999999998); #306749=CYLINDRICAL_SURFACE('',#344503,0.139999999999998); #306750=CYLINDRICAL_SURFACE('',#344506,0.139999999999998); #306751=CYLINDRICAL_SURFACE('',#344509,0.139999999999998); #306752=CYLINDRICAL_SURFACE('',#344512,0.139999999999998); #306753=CYLINDRICAL_SURFACE('',#344515,0.139999999999998); #306754=CYLINDRICAL_SURFACE('',#344518,0.139999999999998); #306755=CYLINDRICAL_SURFACE('',#344521,0.139999999999998); #306756=CYLINDRICAL_SURFACE('',#344524,0.139999999999998); #306757=CYLINDRICAL_SURFACE('',#344527,0.139999999999998); #306758=CYLINDRICAL_SURFACE('',#344656,0.140000000000002); #306759=CYLINDRICAL_SURFACE('',#344659,0.140000000000002); #306760=CYLINDRICAL_SURFACE('',#344662,0.140000000000002); #306761=CYLINDRICAL_SURFACE('',#344665,0.140000000000002); #306762=CYLINDRICAL_SURFACE('',#344668,0.140000000000002); #306763=CYLINDRICAL_SURFACE('',#344671,0.140000000000002); #306764=CYLINDRICAL_SURFACE('',#344674,0.140000000000002); #306765=CYLINDRICAL_SURFACE('',#344677,0.140000000000002); #306766=CYLINDRICAL_SURFACE('',#344680,0.140000000000002); #306767=CYLINDRICAL_SURFACE('',#344683,0.140000000000002); #306768=CYLINDRICAL_SURFACE('',#344686,0.140000000000002); #306769=CYLINDRICAL_SURFACE('',#344689,0.140000000000002); #306770=CYLINDRICAL_SURFACE('',#344692,0.140000000000002); #306771=CYLINDRICAL_SURFACE('',#344695,0.140000000000002); #306772=CYLINDRICAL_SURFACE('',#344698,0.140000000000002); #306773=CYLINDRICAL_SURFACE('',#344743,0.140000000000002); #306774=CYLINDRICAL_SURFACE('',#344752,0.114999999999998); #306775=CYLINDRICAL_SURFACE('',#344755,0.114999999999998); #306776=CYLINDRICAL_SURFACE('',#344764,0.114999999999998); #306777=CYLINDRICAL_SURFACE('',#344767,0.114999999999998); #306778=CYLINDRICAL_SURFACE('',#344776,0.139999999999998); #306779=CYLINDRICAL_SURFACE('',#344786,0.325); #306780=CYLINDRICAL_SURFACE('',#344789,0.325); #306781=CYLINDRICAL_SURFACE('',#344792,0.600000000000001); #306782=CYLINDRICAL_SURFACE('',#344795,0.175000000000001); #306783=CYLINDRICAL_SURFACE('',#344798,0.175000000000001); #306784=CYLINDRICAL_SURFACE('',#344801,0.175000000000001); #306785=CYLINDRICAL_SURFACE('',#344804,0.175000000000001); #306786=CYLINDRICAL_SURFACE('',#344807,0.175000000000001); #306787=CYLINDRICAL_SURFACE('',#344810,0.175000000000001); #306788=CYLINDRICAL_SURFACE('',#344813,0.175000000000001); #306789=CYLINDRICAL_SURFACE('',#344816,0.175000000000001); #306790=CYLINDRICAL_SURFACE('',#344819,0.175000000000001); #306791=CYLINDRICAL_SURFACE('',#344822,0.175000000000001); #306792=CYLINDRICAL_SURFACE('',#344825,0.175000000000001); #306793=CYLINDRICAL_SURFACE('',#344828,0.175000000000001); #306794=CYLINDRICAL_SURFACE('',#344831,0.175000000000001); #306795=CYLINDRICAL_SURFACE('',#344834,0.175000000000001); #306796=CYLINDRICAL_SURFACE('',#344837,0.175000000000001); #306797=CYLINDRICAL_SURFACE('',#344840,0.5); #306798=CYLINDRICAL_SURFACE('',#344843,0.175000000000001); #306799=CYLINDRICAL_SURFACE('',#344846,0.175000000000001); #306800=CYLINDRICAL_SURFACE('',#344849,0.175000000000001); #306801=CYLINDRICAL_SURFACE('',#344852,0.175000000000001); #306802=CYLINDRICAL_SURFACE('',#344855,0.175000000000001); #306803=CYLINDRICAL_SURFACE('',#344858,0.175000000000001); #306804=CYLINDRICAL_SURFACE('',#344861,0.175000000000001); #306805=CYLINDRICAL_SURFACE('',#344864,0.175000000000001); #306806=CYLINDRICAL_SURFACE('',#344867,0.175000000000001); #306807=CYLINDRICAL_SURFACE('',#344870,0.175000000000001); #306808=CYLINDRICAL_SURFACE('',#344873,0.175000000000001); #306809=CYLINDRICAL_SURFACE('',#344876,0.175000000000001); #306810=CYLINDRICAL_SURFACE('',#344879,0.175000000000001); #306811=CYLINDRICAL_SURFACE('',#344882,0.175000000000001); #306812=CYLINDRICAL_SURFACE('',#344885,0.175000000000001); #306813=CYLINDRICAL_SURFACE('',#344888,0.550000000000002); #306814=CYLINDRICAL_SURFACE('',#344891,0.175000000000001); #306815=CYLINDRICAL_SURFACE('',#344894,0.175000000000001); #306816=CYLINDRICAL_SURFACE('',#344897,0.175000000000001); #306817=CYLINDRICAL_SURFACE('',#344900,0.175000000000001); #306818=CYLINDRICAL_SURFACE('',#344903,0.175000000000001); #306819=CYLINDRICAL_SURFACE('',#344906,0.175000000000001); #306820=CYLINDRICAL_SURFACE('',#344909,0.175000000000001); #306821=CYLINDRICAL_SURFACE('',#344912,0.175000000000001); #306822=CYLINDRICAL_SURFACE('',#344915,0.175000000000001); #306823=CYLINDRICAL_SURFACE('',#344918,0.175000000000001); #306824=CYLINDRICAL_SURFACE('',#344921,0.175000000000001); #306825=CYLINDRICAL_SURFACE('',#344924,0.175000000000001); #306826=CYLINDRICAL_SURFACE('',#344927,0.175000000000001); #306827=CYLINDRICAL_SURFACE('',#344930,0.175000000000001); #306828=CYLINDRICAL_SURFACE('',#344933,0.175000000000001); #306829=CYLINDRICAL_SURFACE('',#344936,0.5); #306830=CYLINDRICAL_SURFACE('',#344939,0.175000000000001); #306831=CYLINDRICAL_SURFACE('',#344942,0.175000000000001); #306832=CYLINDRICAL_SURFACE('',#344945,0.175000000000001); #306833=CYLINDRICAL_SURFACE('',#344948,0.175000000000001); #306834=CYLINDRICAL_SURFACE('',#344951,0.175000000000001); #306835=CYLINDRICAL_SURFACE('',#344954,0.175000000000001); #306836=CYLINDRICAL_SURFACE('',#344957,0.175000000000001); #306837=CYLINDRICAL_SURFACE('',#344960,0.175000000000001); #306838=CYLINDRICAL_SURFACE('',#344963,0.175000000000001); #306839=CYLINDRICAL_SURFACE('',#344966,0.175000000000001); #306840=CYLINDRICAL_SURFACE('',#344969,0.175000000000001); #306841=CYLINDRICAL_SURFACE('',#344972,0.175000000000001); #306842=CYLINDRICAL_SURFACE('',#344975,0.175000000000001); #306843=CYLINDRICAL_SURFACE('',#344978,0.175000000000001); #306844=CYLINDRICAL_SURFACE('',#344981,0.175000000000001); #306845=CYLINDRICAL_SURFACE('',#344984,0.600000000000001); #306846=CYLINDRICAL_SURFACE('',#344987,0.175000000000001); #306847=CYLINDRICAL_SURFACE('',#344990,0.175000000000001); #306848=CYLINDRICAL_SURFACE('',#344993,0.175000000000001); #306849=CYLINDRICAL_SURFACE('',#344996,0.175000000000001); #306850=CYLINDRICAL_SURFACE('',#344999,0.175000000000001); #306851=CYLINDRICAL_SURFACE('',#345002,0.175); #306852=CYLINDRICAL_SURFACE('',#345005,0.175000000000001); #306853=CYLINDRICAL_SURFACE('',#345008,0.175000000000001); #306854=CYLINDRICAL_SURFACE('',#345011,0.175000000000001); #306855=CYLINDRICAL_SURFACE('',#345014,0.175000000000001); #306856=CYLINDRICAL_SURFACE('',#345017,0.175000000000001); #306857=CYLINDRICAL_SURFACE('',#345020,0.175000000000001); #306858=CYLINDRICAL_SURFACE('',#345023,0.175000000000001); #306859=CYLINDRICAL_SURFACE('',#345026,0.175); #306860=CYLINDRICAL_SURFACE('',#345029,0.175000000000001); #306861=CYLINDRICAL_SURFACE('',#345032,0.175000000000001); #306862=CYLINDRICAL_SURFACE('',#345035,0.175000000000001); #306863=CYLINDRICAL_SURFACE('',#345038,0.175000000000001); #306864=CYLINDRICAL_SURFACE('',#345041,0.175000000000001); #306865=CYLINDRICAL_SURFACE('',#345044,0.175000000000001); #306866=CYLINDRICAL_SURFACE('',#345047,0.175000000000001); #306867=CYLINDRICAL_SURFACE('',#345050,0.175000000000001); #306868=CYLINDRICAL_SURFACE('',#345053,0.175000000000001); #306869=CYLINDRICAL_SURFACE('',#345056,0.175000000000001); #306870=CYLINDRICAL_SURFACE('',#345059,0.175000000000001); #306871=CYLINDRICAL_SURFACE('',#345062,0.175000000000001); #306872=CYLINDRICAL_SURFACE('',#345065,0.175000000000001); #306873=CYLINDRICAL_SURFACE('',#345068,0.175000000000001); #306874=CYLINDRICAL_SURFACE('',#345071,0.175000000000001); #306875=CYLINDRICAL_SURFACE('',#345074,0.175000000000001); #306876=CYLINDRICAL_SURFACE('',#345077,0.175000000000001); #306877=CYLINDRICAL_SURFACE('',#345080,0.499999999999998); #306878=CYLINDRICAL_SURFACE('',#345083,0.175000000000001); #306879=CYLINDRICAL_SURFACE('',#345086,0.175000000000001); #306880=CYLINDRICAL_SURFACE('',#345089,0.175000000000001); #306881=CYLINDRICAL_SURFACE('',#345092,0.175000000000001); #306882=CYLINDRICAL_SURFACE('',#345095,0.175000000000001); #306883=CYLINDRICAL_SURFACE('',#345098,0.175000000000001); #306884=CYLINDRICAL_SURFACE('',#345101,0.175000000000001); #306885=CYLINDRICAL_SURFACE('',#345104,0.175000000000001); #306886=CYLINDRICAL_SURFACE('',#345107,0.175000000000001); #306887=CYLINDRICAL_SURFACE('',#345110,0.175000000000001); #306888=CYLINDRICAL_SURFACE('',#345113,0.175000000000001); #306889=CYLINDRICAL_SURFACE('',#345116,0.175000000000001); #306890=CYLINDRICAL_SURFACE('',#345119,0.175000000000001); #306891=CYLINDRICAL_SURFACE('',#345122,0.175000000000001); #306892=CYLINDRICAL_SURFACE('',#345125,0.175000000000001); #306893=CYLINDRICAL_SURFACE('',#345128,0.499999999999998); #306894=CYLINDRICAL_SURFACE('',#345131,0.175000000000001); #306895=CYLINDRICAL_SURFACE('',#345134,0.175000000000001); #306896=CYLINDRICAL_SURFACE('',#345137,0.175000000000001); #306897=CYLINDRICAL_SURFACE('',#345140,0.175000000000001); #306898=CYLINDRICAL_SURFACE('',#345143,0.175000000000001); #306899=CYLINDRICAL_SURFACE('',#345146,0.175000000000001); #306900=CYLINDRICAL_SURFACE('',#345149,0.175000000000001); #306901=CYLINDRICAL_SURFACE('',#345152,0.175000000000001); #306902=CYLINDRICAL_SURFACE('',#345155,0.175000000000001); #306903=CYLINDRICAL_SURFACE('',#345158,0.175000000000001); #306904=CYLINDRICAL_SURFACE('',#345161,0.175000000000001); #306905=CYLINDRICAL_SURFACE('',#345164,0.175000000000001); #306906=CYLINDRICAL_SURFACE('',#345167,0.175000000000001); #306907=CYLINDRICAL_SURFACE('',#345170,0.175000000000001); #306908=CYLINDRICAL_SURFACE('',#345173,0.175000000000001); #306909=CYLINDRICAL_SURFACE('',#345176,0.550000000000002); #306910=CYLINDRICAL_SURFACE('',#345179,0.150000000000001); #306911=CYLINDRICAL_SURFACE('',#345182,0.175); #306912=CYLINDRICAL_SURFACE('',#345185,0.150000000000001); #306913=CYLINDRICAL_SURFACE('',#345188,0.175000000000001); #306914=CYLINDRICAL_SURFACE('',#345191,0.175000000000001); #306915=CYLINDRICAL_SURFACE('',#345194,0.175); #306916=CYLINDRICAL_SURFACE('',#345197,0.150000000000001); #306917=CYLINDRICAL_SURFACE('',#345200,0.175000000000001); #306918=CYLINDRICAL_SURFACE('',#345203,0.175000000000001); #306919=CYLINDRICAL_SURFACE('',#345206,0.175); #306920=CYLINDRICAL_SURFACE('',#345209,0.175000000000001); #306921=CYLINDRICAL_SURFACE('',#345212,0.175000000000001); #306922=CYLINDRICAL_SURFACE('',#345215,0.175000000000001); #306923=CYLINDRICAL_SURFACE('',#345218,0.175); #306924=CYLINDRICAL_SURFACE('',#345221,0.175000000000001); #306925=CYLINDRICAL_SURFACE('',#345224,0.175000000000001); #306926=CYLINDRICAL_SURFACE('',#345227,0.175000000000001); #306927=CYLINDRICAL_SURFACE('',#345230,0.175); #306928=CYLINDRICAL_SURFACE('',#345233,0.175000000000001); #306929=CYLINDRICAL_SURFACE('',#345236,0.175000000000001); #306930=CYLINDRICAL_SURFACE('',#345239,0.175000000000001); #306931=CYLINDRICAL_SURFACE('',#345242,0.175000000000001); #306932=CYLINDRICAL_SURFACE('',#345245,0.175000000000001); #306933=CYLINDRICAL_SURFACE('',#345248,0.175000000000001); #306934=CYLINDRICAL_SURFACE('',#345251,0.175000000000001); #306935=CYLINDRICAL_SURFACE('',#345254,0.175); #306936=CYLINDRICAL_SURFACE('',#345257,0.175000000000001); #306937=CYLINDRICAL_SURFACE('',#345260,0.175000000000001); #306938=CYLINDRICAL_SURFACE('',#345263,0.175000000000001); #306939=CYLINDRICAL_SURFACE('',#345266,0.175); #306940=CYLINDRICAL_SURFACE('',#345269,0.175000000000001); #306941=CYLINDRICAL_SURFACE('',#345272,0.499999999999998); #306942=CYLINDRICAL_SURFACE('',#345276,0.35); #306943=CYLINDRICAL_SURFACE('',#345280,0.35); #306944=CYLINDRICAL_SURFACE('',#345283,0.175000000000001); #306945=CYLINDRICAL_SURFACE('',#345287,0.6); #306946=CYLINDRICAL_SURFACE('',#345291,0.6); #306947=CYLINDRICAL_SURFACE('',#345294,0.175000000000001); #306948=CYLINDRICAL_SURFACE('',#345297,0.150000000000001); #306949=CYLINDRICAL_SURFACE('',#345300,0.175000000000001); #306950=CYLINDRICAL_SURFACE('',#345304,0.6); #306951=CYLINDRICAL_SURFACE('',#345308,0.6); #306952=CYLINDRICAL_SURFACE('',#345311,0.175000000000001); #306953=CYLINDRICAL_SURFACE('',#345314,0.150000000000001); #306954=CYLINDRICAL_SURFACE('',#345317,0.175000000000001); #306955=CYLINDRICAL_SURFACE('',#345320,0.150000000000001); #306956=CYLINDRICAL_SURFACE('',#345323,0.175000000000001); #306957=CYLINDRICAL_SURFACE('',#345326,0.150000000000001); #306958=CYLINDRICAL_SURFACE('',#345329,0.175000000000001); #306959=CYLINDRICAL_SURFACE('',#345332,0.150000000000001); #306960=CYLINDRICAL_SURFACE('',#345335,0.175000000000001); #306961=CYLINDRICAL_SURFACE('',#345338,0.175000000000001); #306962=CYLINDRICAL_SURFACE('',#345342,0.35); #306963=CYLINDRICAL_SURFACE('',#345346,0.35); #306964=CYLINDRICAL_SURFACE('',#345349,0.175000000000001); #306965=CYLINDRICAL_SURFACE('',#345353,0.35); #306966=CYLINDRICAL_SURFACE('',#345357,0.35); #306967=CYLINDRICAL_SURFACE('',#345360,0.175000000000001); #306968=CYLINDRICAL_SURFACE('',#345364,0.35); #306969=CYLINDRICAL_SURFACE('',#345368,0.35); #306970=CYLINDRICAL_SURFACE('',#345371,0.175000000000001); #306971=CYLINDRICAL_SURFACE('',#345374,0.175000000000001); #306972=CYLINDRICAL_SURFACE('',#345377,0.175000000000001); #306973=CYLINDRICAL_SURFACE('',#345380,0.175000000000001); #306974=CYLINDRICAL_SURFACE('',#345383,0.600000000000001); #306975=CYLINDRICAL_SURFACE('',#345386,0.175000000000001); #306976=CYLINDRICAL_SURFACE('',#345389,0.175000000000001); #306977=CYLINDRICAL_SURFACE('',#345392,0.175000000000001); #306978=CYLINDRICAL_SURFACE('',#345395,0.175000000000001); #306979=CYLINDRICAL_SURFACE('',#345398,0.175000000000001); #306980=CYLINDRICAL_SURFACE('',#345401,0.175000000000001); #306981=CYLINDRICAL_SURFACE('',#345404,0.175000000000001); #306982=CYLINDRICAL_SURFACE('',#345407,0.175000000000001); #306983=CYLINDRICAL_SURFACE('',#345410,0.175000000000001); #306984=CYLINDRICAL_SURFACE('',#345413,0.175000000000001); #306985=CYLINDRICAL_SURFACE('',#345416,0.175000000000001); #306986=CYLINDRICAL_SURFACE('',#345419,0.175000000000001); #306987=CYLINDRICAL_SURFACE('',#345422,0.175000000000001); #306988=CYLINDRICAL_SURFACE('',#345425,0.175000000000001); #306989=CYLINDRICAL_SURFACE('',#345428,0.175000000000001); #306990=CYLINDRICAL_SURFACE('',#345431,0.499999999999998); #306991=CYLINDRICAL_SURFACE('',#345434,0.175000000000001); #306992=CYLINDRICAL_SURFACE('',#345437,0.175000000000001); #306993=CYLINDRICAL_SURFACE('',#345440,0.175000000000001); #306994=CYLINDRICAL_SURFACE('',#345443,0.175000000000001); #306995=CYLINDRICAL_SURFACE('',#345446,0.175000000000001); #306996=CYLINDRICAL_SURFACE('',#345449,0.175000000000001); #306997=CYLINDRICAL_SURFACE('',#345452,0.175000000000001); #306998=CYLINDRICAL_SURFACE('',#345455,0.175000000000001); #306999=CYLINDRICAL_SURFACE('',#345458,0.175000000000001); #307000=CYLINDRICAL_SURFACE('',#345461,0.175000000000001); #307001=CYLINDRICAL_SURFACE('',#345464,0.175000000000001); #307002=CYLINDRICAL_SURFACE('',#345467,0.175000000000001); #307003=CYLINDRICAL_SURFACE('',#345470,0.175000000000001); #307004=CYLINDRICAL_SURFACE('',#345473,0.175000000000001); #307005=CYLINDRICAL_SURFACE('',#345476,0.175000000000001); #307006=CYLINDRICAL_SURFACE('',#345479,0.549999999999997); #307007=CYLINDRICAL_SURFACE('',#345482,0.175000000000001); #307008=CYLINDRICAL_SURFACE('',#345485,0.175000000000001); #307009=CYLINDRICAL_SURFACE('',#345488,0.175000000000001); #307010=CYLINDRICAL_SURFACE('',#345491,0.175000000000001); #307011=CYLINDRICAL_SURFACE('',#345494,0.175000000000001); #307012=CYLINDRICAL_SURFACE('',#345497,0.175000000000001); #307013=CYLINDRICAL_SURFACE('',#345500,0.175000000000001); #307014=CYLINDRICAL_SURFACE('',#345503,0.175000000000001); #307015=CYLINDRICAL_SURFACE('',#345506,0.175000000000001); #307016=CYLINDRICAL_SURFACE('',#345509,0.175000000000001); #307017=CYLINDRICAL_SURFACE('',#345512,0.175000000000001); #307018=CYLINDRICAL_SURFACE('',#345515,0.175000000000001); #307019=CYLINDRICAL_SURFACE('',#345518,0.175000000000001); #307020=CYLINDRICAL_SURFACE('',#345521,0.175000000000001); #307021=CYLINDRICAL_SURFACE('',#345524,0.175000000000001); #307022=CYLINDRICAL_SURFACE('',#345527,0.499999999999998); #307023=CYLINDRICAL_SURFACE('',#345530,0.175000000000001); #307024=CYLINDRICAL_SURFACE('',#345533,0.175000000000001); #307025=CYLINDRICAL_SURFACE('',#345536,0.175000000000001); #307026=CYLINDRICAL_SURFACE('',#345539,0.175000000000001); #307027=CYLINDRICAL_SURFACE('',#345542,0.175000000000001); #307028=CYLINDRICAL_SURFACE('',#345545,0.175000000000001); #307029=CYLINDRICAL_SURFACE('',#345548,0.175000000000001); #307030=CYLINDRICAL_SURFACE('',#345551,0.175000000000001); #307031=CYLINDRICAL_SURFACE('',#345554,0.175000000000001); #307032=CYLINDRICAL_SURFACE('',#345557,0.175000000000001); #307033=CYLINDRICAL_SURFACE('',#345560,0.175000000000001); #307034=CYLINDRICAL_SURFACE('',#345563,0.175000000000001); #307035=CYLINDRICAL_SURFACE('',#345566,0.175000000000001); #307036=CYLINDRICAL_SURFACE('',#345569,0.175000000000001); #307037=CYLINDRICAL_SURFACE('',#345572,0.175000000000001); #307038=CYLINDRICAL_SURFACE('',#345575,0.600000000000001); #307039=CYLINDRICAL_SURFACE('',#345578,0.175000000000001); #307040=CYLINDRICAL_SURFACE('',#345581,0.175000000000001); #307041=CYLINDRICAL_SURFACE('',#345584,0.175000000000001); #307042=CYLINDRICAL_SURFACE('',#345587,0.175000000000001); #307043=CYLINDRICAL_SURFACE('',#345590,0.175000000000001); #307044=CYLINDRICAL_SURFACE('',#345593,0.175000000000001); #307045=CYLINDRICAL_SURFACE('',#345596,0.175000000000001); #307046=CYLINDRICAL_SURFACE('',#345599,0.175000000000001); #307047=CYLINDRICAL_SURFACE('',#345602,0.175000000000001); #307048=CYLINDRICAL_SURFACE('',#345605,0.175000000000001); #307049=CYLINDRICAL_SURFACE('',#345608,0.175000000000001); #307050=CYLINDRICAL_SURFACE('',#345611,0.175000000000001); #307051=CYLINDRICAL_SURFACE('',#345614,0.175000000000001); #307052=CYLINDRICAL_SURFACE('',#345617,0.175000000000001); #307053=CYLINDRICAL_SURFACE('',#345620,0.175000000000001); #307054=CYLINDRICAL_SURFACE('',#345623,0.499999999999998); #307055=CYLINDRICAL_SURFACE('',#345626,0.175000000000001); #307056=CYLINDRICAL_SURFACE('',#345629,0.175000000000001); #307057=CYLINDRICAL_SURFACE('',#345632,0.175000000000001); #307058=CYLINDRICAL_SURFACE('',#345635,0.175000000000001); #307059=CYLINDRICAL_SURFACE('',#345638,0.175000000000001); #307060=CYLINDRICAL_SURFACE('',#345641,0.175000000000001); #307061=CYLINDRICAL_SURFACE('',#345644,0.175000000000001); #307062=CYLINDRICAL_SURFACE('',#345647,0.175000000000001); #307063=CYLINDRICAL_SURFACE('',#345650,0.175000000000001); #307064=CYLINDRICAL_SURFACE('',#345653,0.175000000000001); #307065=CYLINDRICAL_SURFACE('',#345656,0.175000000000001); #307066=CYLINDRICAL_SURFACE('',#345659,0.175000000000001); #307067=CYLINDRICAL_SURFACE('',#345662,0.175000000000001); #307068=CYLINDRICAL_SURFACE('',#345665,0.175000000000001); #307069=CYLINDRICAL_SURFACE('',#345668,0.175000000000001); #307070=CYLINDRICAL_SURFACE('',#345671,0.549999999999997); #307071=CYLINDRICAL_SURFACE('',#345674,0.175000000000001); #307072=CYLINDRICAL_SURFACE('',#345677,0.175000000000001); #307073=CYLINDRICAL_SURFACE('',#345680,0.175000000000001); #307074=CYLINDRICAL_SURFACE('',#345683,0.175000000000001); #307075=CYLINDRICAL_SURFACE('',#345686,0.175000000000001); #307076=CYLINDRICAL_SURFACE('',#345689,0.175000000000001); #307077=CYLINDRICAL_SURFACE('',#345692,0.175000000000001); #307078=CYLINDRICAL_SURFACE('',#345695,0.175000000000001); #307079=CYLINDRICAL_SURFACE('',#345698,0.175000000000001); #307080=CYLINDRICAL_SURFACE('',#345701,0.175000000000001); #307081=CYLINDRICAL_SURFACE('',#345704,0.175000000000001); #307082=CYLINDRICAL_SURFACE('',#345707,0.175000000000001); #307083=CYLINDRICAL_SURFACE('',#345710,0.175000000000001); #307084=CYLINDRICAL_SURFACE('',#345713,0.175000000000001); #307085=CYLINDRICAL_SURFACE('',#345716,0.175000000000001); #307086=CYLINDRICAL_SURFACE('',#345719,0.499999999999998); #307087=CYLINDRICAL_SURFACE('',#345722,0.175000000000001); #307088=CYLINDRICAL_SURFACE('',#345725,0.175000000000001); #307089=CYLINDRICAL_SURFACE('',#345728,0.175000000000001); #307090=CYLINDRICAL_SURFACE('',#345731,0.175000000000001); #307091=CYLINDRICAL_SURFACE('',#345734,0.175000000000001); #307092=CYLINDRICAL_SURFACE('',#345737,0.175000000000001); #307093=CYLINDRICAL_SURFACE('',#345740,0.175000000000001); #307094=CYLINDRICAL_SURFACE('',#345743,0.175000000000001); #307095=CYLINDRICAL_SURFACE('',#345746,0.175000000000001); #307096=CYLINDRICAL_SURFACE('',#345749,0.175000000000001); #307097=CYLINDRICAL_SURFACE('',#345752,0.175000000000001); #307098=CYLINDRICAL_SURFACE('',#345755,0.175000000000001); #307099=CYLINDRICAL_SURFACE('',#345758,0.175000000000001); #307100=CYLINDRICAL_SURFACE('',#345761,0.175000000000001); #307101=CYLINDRICAL_SURFACE('',#345764,0.175000000000001); #307102=CYLINDRICAL_SURFACE('',#345767,34.); #307103=CYLINDRICAL_SURFACE('',#345773,0.325); #307104=CYLINDRICAL_SURFACE('',#345776,0.325); #307105=CYLINDRICAL_SURFACE('',#345779,0.600000000000001); #307106=CYLINDRICAL_SURFACE('',#345782,0.175000000000001); #307107=CYLINDRICAL_SURFACE('',#345785,0.175000000000001); #307108=CYLINDRICAL_SURFACE('',#345788,0.175000000000001); #307109=CYLINDRICAL_SURFACE('',#345791,0.175000000000001); #307110=CYLINDRICAL_SURFACE('',#345794,0.175000000000001); #307111=CYLINDRICAL_SURFACE('',#345797,0.175000000000001); #307112=CYLINDRICAL_SURFACE('',#345800,0.175000000000001); #307113=CYLINDRICAL_SURFACE('',#345803,0.175000000000001); #307114=CYLINDRICAL_SURFACE('',#345806,0.175000000000001); #307115=CYLINDRICAL_SURFACE('',#345809,0.175000000000001); #307116=CYLINDRICAL_SURFACE('',#345812,0.175000000000001); #307117=CYLINDRICAL_SURFACE('',#345815,0.175000000000001); #307118=CYLINDRICAL_SURFACE('',#345818,0.175000000000001); #307119=CYLINDRICAL_SURFACE('',#345821,0.175000000000001); #307120=CYLINDRICAL_SURFACE('',#345824,0.175000000000001); #307121=CYLINDRICAL_SURFACE('',#345827,0.5); #307122=CYLINDRICAL_SURFACE('',#345830,0.175000000000001); #307123=CYLINDRICAL_SURFACE('',#345833,0.175000000000001); #307124=CYLINDRICAL_SURFACE('',#345836,0.175000000000001); #307125=CYLINDRICAL_SURFACE('',#345839,0.175000000000001); #307126=CYLINDRICAL_SURFACE('',#345842,0.175000000000001); #307127=CYLINDRICAL_SURFACE('',#345845,0.175000000000001); #307128=CYLINDRICAL_SURFACE('',#345848,0.175000000000001); #307129=CYLINDRICAL_SURFACE('',#345851,0.175000000000001); #307130=CYLINDRICAL_SURFACE('',#345854,0.175000000000001); #307131=CYLINDRICAL_SURFACE('',#345857,0.175000000000001); #307132=CYLINDRICAL_SURFACE('',#345860,0.175000000000001); #307133=CYLINDRICAL_SURFACE('',#345863,0.175000000000001); #307134=CYLINDRICAL_SURFACE('',#345866,0.175000000000001); #307135=CYLINDRICAL_SURFACE('',#345869,0.175000000000001); #307136=CYLINDRICAL_SURFACE('',#345872,0.175000000000001); #307137=CYLINDRICAL_SURFACE('',#345875,0.550000000000002); #307138=CYLINDRICAL_SURFACE('',#345878,0.175000000000001); #307139=CYLINDRICAL_SURFACE('',#345881,0.175000000000001); #307140=CYLINDRICAL_SURFACE('',#345884,0.175000000000001); #307141=CYLINDRICAL_SURFACE('',#345887,0.175000000000001); #307142=CYLINDRICAL_SURFACE('',#345890,0.175000000000001); #307143=CYLINDRICAL_SURFACE('',#345893,0.175000000000001); #307144=CYLINDRICAL_SURFACE('',#345896,0.175000000000001); #307145=CYLINDRICAL_SURFACE('',#345899,0.175000000000001); #307146=CYLINDRICAL_SURFACE('',#345902,0.175000000000001); #307147=CYLINDRICAL_SURFACE('',#345905,0.175000000000001); #307148=CYLINDRICAL_SURFACE('',#345908,0.175000000000001); #307149=CYLINDRICAL_SURFACE('',#345911,0.175000000000001); #307150=CYLINDRICAL_SURFACE('',#345914,0.175000000000001); #307151=CYLINDRICAL_SURFACE('',#345917,0.175000000000001); #307152=CYLINDRICAL_SURFACE('',#345920,0.175000000000001); #307153=CYLINDRICAL_SURFACE('',#345923,0.5); #307154=CYLINDRICAL_SURFACE('',#345926,0.175000000000001); #307155=CYLINDRICAL_SURFACE('',#345929,0.175000000000001); #307156=CYLINDRICAL_SURFACE('',#345932,0.175000000000001); #307157=CYLINDRICAL_SURFACE('',#345935,0.175000000000001); #307158=CYLINDRICAL_SURFACE('',#345938,0.175000000000001); #307159=CYLINDRICAL_SURFACE('',#345941,0.175000000000001); #307160=CYLINDRICAL_SURFACE('',#345944,0.175000000000001); #307161=CYLINDRICAL_SURFACE('',#345947,0.175000000000001); #307162=CYLINDRICAL_SURFACE('',#345950,0.175000000000001); #307163=CYLINDRICAL_SURFACE('',#345953,0.175000000000001); #307164=CYLINDRICAL_SURFACE('',#345956,0.175000000000001); #307165=CYLINDRICAL_SURFACE('',#345959,0.175000000000001); #307166=CYLINDRICAL_SURFACE('',#345962,0.175000000000001); #307167=CYLINDRICAL_SURFACE('',#345965,0.175000000000001); #307168=CYLINDRICAL_SURFACE('',#345968,0.175000000000001); #307169=CYLINDRICAL_SURFACE('',#345971,0.600000000000001); #307170=CYLINDRICAL_SURFACE('',#345974,0.175000000000001); #307171=CYLINDRICAL_SURFACE('',#345977,0.175000000000001); #307172=CYLINDRICAL_SURFACE('',#345980,0.175000000000001); #307173=CYLINDRICAL_SURFACE('',#345983,0.175000000000001); #307174=CYLINDRICAL_SURFACE('',#345986,0.175000000000001); #307175=CYLINDRICAL_SURFACE('',#345989,0.175); #307176=CYLINDRICAL_SURFACE('',#345992,0.175000000000001); #307177=CYLINDRICAL_SURFACE('',#345995,0.175000000000001); #307178=CYLINDRICAL_SURFACE('',#345998,0.175000000000001); #307179=CYLINDRICAL_SURFACE('',#346001,0.175000000000001); #307180=CYLINDRICAL_SURFACE('',#346004,0.175000000000001); #307181=CYLINDRICAL_SURFACE('',#346007,0.175000000000001); #307182=CYLINDRICAL_SURFACE('',#346010,0.175000000000001); #307183=CYLINDRICAL_SURFACE('',#346013,0.175); #307184=CYLINDRICAL_SURFACE('',#346016,0.175000000000001); #307185=CYLINDRICAL_SURFACE('',#346019,0.175000000000001); #307186=CYLINDRICAL_SURFACE('',#346022,0.175000000000001); #307187=CYLINDRICAL_SURFACE('',#346025,0.175000000000001); #307188=CYLINDRICAL_SURFACE('',#346028,0.175000000000001); #307189=CYLINDRICAL_SURFACE('',#346031,0.175000000000001); #307190=CYLINDRICAL_SURFACE('',#346034,0.175000000000001); #307191=CYLINDRICAL_SURFACE('',#346037,0.175000000000001); #307192=CYLINDRICAL_SURFACE('',#346040,0.175000000000001); #307193=CYLINDRICAL_SURFACE('',#346043,0.175000000000001); #307194=CYLINDRICAL_SURFACE('',#346046,0.175000000000001); #307195=CYLINDRICAL_SURFACE('',#346049,0.175000000000001); #307196=CYLINDRICAL_SURFACE('',#346052,0.175000000000001); #307197=CYLINDRICAL_SURFACE('',#346055,0.175000000000001); #307198=CYLINDRICAL_SURFACE('',#346058,0.175000000000001); #307199=CYLINDRICAL_SURFACE('',#346061,0.175000000000001); #307200=CYLINDRICAL_SURFACE('',#346064,0.175000000000001); #307201=CYLINDRICAL_SURFACE('',#346067,0.499999999999998); #307202=CYLINDRICAL_SURFACE('',#346070,0.175000000000001); #307203=CYLINDRICAL_SURFACE('',#346073,0.175000000000001); #307204=CYLINDRICAL_SURFACE('',#346076,0.175000000000001); #307205=CYLINDRICAL_SURFACE('',#346079,0.175000000000001); #307206=CYLINDRICAL_SURFACE('',#346082,0.175000000000001); #307207=CYLINDRICAL_SURFACE('',#346085,0.175000000000001); #307208=CYLINDRICAL_SURFACE('',#346088,0.175000000000001); #307209=CYLINDRICAL_SURFACE('',#346091,0.175000000000001); #307210=CYLINDRICAL_SURFACE('',#346094,0.175000000000001); #307211=CYLINDRICAL_SURFACE('',#346097,0.175000000000001); #307212=CYLINDRICAL_SURFACE('',#346100,0.175000000000001); #307213=CYLINDRICAL_SURFACE('',#346103,0.175000000000001); #307214=CYLINDRICAL_SURFACE('',#346106,0.175000000000001); #307215=CYLINDRICAL_SURFACE('',#346109,0.175000000000001); #307216=CYLINDRICAL_SURFACE('',#346112,0.175000000000001); #307217=CYLINDRICAL_SURFACE('',#346115,0.499999999999998); #307218=CYLINDRICAL_SURFACE('',#346118,0.175000000000001); #307219=CYLINDRICAL_SURFACE('',#346121,0.175000000000001); #307220=CYLINDRICAL_SURFACE('',#346124,0.175000000000001); #307221=CYLINDRICAL_SURFACE('',#346127,0.175000000000001); #307222=CYLINDRICAL_SURFACE('',#346130,0.175000000000001); #307223=CYLINDRICAL_SURFACE('',#346133,0.175000000000001); #307224=CYLINDRICAL_SURFACE('',#346136,0.175000000000001); #307225=CYLINDRICAL_SURFACE('',#346139,0.175000000000001); #307226=CYLINDRICAL_SURFACE('',#346142,0.175000000000001); #307227=CYLINDRICAL_SURFACE('',#346145,0.175000000000001); #307228=CYLINDRICAL_SURFACE('',#346148,0.175000000000001); #307229=CYLINDRICAL_SURFACE('',#346151,0.175000000000001); #307230=CYLINDRICAL_SURFACE('',#346154,0.175000000000001); #307231=CYLINDRICAL_SURFACE('',#346157,0.175000000000001); #307232=CYLINDRICAL_SURFACE('',#346160,0.175000000000001); #307233=CYLINDRICAL_SURFACE('',#346163,0.550000000000002); #307234=CYLINDRICAL_SURFACE('',#346166,0.150000000000001); #307235=CYLINDRICAL_SURFACE('',#346169,0.175); #307236=CYLINDRICAL_SURFACE('',#346172,0.150000000000001); #307237=CYLINDRICAL_SURFACE('',#346175,0.175000000000001); #307238=CYLINDRICAL_SURFACE('',#346178,0.175000000000001); #307239=CYLINDRICAL_SURFACE('',#346181,0.175); #307240=CYLINDRICAL_SURFACE('',#346184,0.150000000000001); #307241=CYLINDRICAL_SURFACE('',#346187,0.175000000000001); #307242=CYLINDRICAL_SURFACE('',#346190,0.175000000000001); #307243=CYLINDRICAL_SURFACE('',#346193,0.175); #307244=CYLINDRICAL_SURFACE('',#346196,0.175000000000001); #307245=CYLINDRICAL_SURFACE('',#346199,0.175000000000001); #307246=CYLINDRICAL_SURFACE('',#346202,0.175000000000001); #307247=CYLINDRICAL_SURFACE('',#346205,0.175); #307248=CYLINDRICAL_SURFACE('',#346208,0.175000000000001); #307249=CYLINDRICAL_SURFACE('',#346211,0.175000000000001); #307250=CYLINDRICAL_SURFACE('',#346214,0.175000000000001); #307251=CYLINDRICAL_SURFACE('',#346217,0.175); #307252=CYLINDRICAL_SURFACE('',#346220,0.175000000000001); #307253=CYLINDRICAL_SURFACE('',#346223,0.175000000000001); #307254=CYLINDRICAL_SURFACE('',#346226,0.175000000000001); #307255=CYLINDRICAL_SURFACE('',#346229,0.175000000000001); #307256=CYLINDRICAL_SURFACE('',#346232,0.175000000000001); #307257=CYLINDRICAL_SURFACE('',#346235,0.175000000000001); #307258=CYLINDRICAL_SURFACE('',#346238,0.175000000000001); #307259=CYLINDRICAL_SURFACE('',#346241,0.175); #307260=CYLINDRICAL_SURFACE('',#346244,0.175000000000001); #307261=CYLINDRICAL_SURFACE('',#346247,0.175000000000001); #307262=CYLINDRICAL_SURFACE('',#346250,0.175000000000001); #307263=CYLINDRICAL_SURFACE('',#346253,0.175); #307264=CYLINDRICAL_SURFACE('',#346256,0.175000000000001); #307265=CYLINDRICAL_SURFACE('',#346259,0.499999999999998); #307266=CYLINDRICAL_SURFACE('',#346263,0.35); #307267=CYLINDRICAL_SURFACE('',#346267,0.35); #307268=CYLINDRICAL_SURFACE('',#346270,0.175000000000001); #307269=CYLINDRICAL_SURFACE('',#346274,0.6); #307270=CYLINDRICAL_SURFACE('',#346278,0.6); #307271=CYLINDRICAL_SURFACE('',#346281,0.175000000000001); #307272=CYLINDRICAL_SURFACE('',#346284,0.150000000000001); #307273=CYLINDRICAL_SURFACE('',#346287,0.175000000000001); #307274=CYLINDRICAL_SURFACE('',#346291,0.6); #307275=CYLINDRICAL_SURFACE('',#346295,0.6); #307276=CYLINDRICAL_SURFACE('',#346298,0.175000000000001); #307277=CYLINDRICAL_SURFACE('',#346301,0.150000000000001); #307278=CYLINDRICAL_SURFACE('',#346304,0.175000000000001); #307279=CYLINDRICAL_SURFACE('',#346307,0.150000000000001); #307280=CYLINDRICAL_SURFACE('',#346310,0.175000000000001); #307281=CYLINDRICAL_SURFACE('',#346313,0.150000000000001); #307282=CYLINDRICAL_SURFACE('',#346316,0.175000000000001); #307283=CYLINDRICAL_SURFACE('',#346319,0.150000000000001); #307284=CYLINDRICAL_SURFACE('',#346322,0.175000000000001); #307285=CYLINDRICAL_SURFACE('',#346325,0.175000000000001); #307286=CYLINDRICAL_SURFACE('',#346329,0.35); #307287=CYLINDRICAL_SURFACE('',#346333,0.35); #307288=CYLINDRICAL_SURFACE('',#346336,0.175000000000001); #307289=CYLINDRICAL_SURFACE('',#346340,0.35); #307290=CYLINDRICAL_SURFACE('',#346344,0.35); #307291=CYLINDRICAL_SURFACE('',#346347,0.175000000000001); #307292=CYLINDRICAL_SURFACE('',#346351,0.35); #307293=CYLINDRICAL_SURFACE('',#346355,0.35); #307294=CYLINDRICAL_SURFACE('',#346358,0.175000000000001); #307295=CYLINDRICAL_SURFACE('',#346361,0.175000000000001); #307296=CYLINDRICAL_SURFACE('',#346364,0.175000000000001); #307297=CYLINDRICAL_SURFACE('',#346367,0.175000000000001); #307298=CYLINDRICAL_SURFACE('',#346370,0.600000000000001); #307299=CYLINDRICAL_SURFACE('',#346373,0.175000000000001); #307300=CYLINDRICAL_SURFACE('',#346376,0.175000000000001); #307301=CYLINDRICAL_SURFACE('',#346379,0.175000000000001); #307302=CYLINDRICAL_SURFACE('',#346382,0.175000000000001); #307303=CYLINDRICAL_SURFACE('',#346385,0.175000000000001); #307304=CYLINDRICAL_SURFACE('',#346388,0.175000000000001); #307305=CYLINDRICAL_SURFACE('',#346391,0.175000000000001); #307306=CYLINDRICAL_SURFACE('',#346394,0.175000000000001); #307307=CYLINDRICAL_SURFACE('',#346397,0.175000000000001); #307308=CYLINDRICAL_SURFACE('',#346400,0.175000000000001); #307309=CYLINDRICAL_SURFACE('',#346403,0.175000000000001); #307310=CYLINDRICAL_SURFACE('',#346406,0.175000000000001); #307311=CYLINDRICAL_SURFACE('',#346409,0.175000000000001); #307312=CYLINDRICAL_SURFACE('',#346412,0.175000000000001); #307313=CYLINDRICAL_SURFACE('',#346415,0.175000000000001); #307314=CYLINDRICAL_SURFACE('',#346418,0.499999999999998); #307315=CYLINDRICAL_SURFACE('',#346421,0.175000000000001); #307316=CYLINDRICAL_SURFACE('',#346424,0.175000000000001); #307317=CYLINDRICAL_SURFACE('',#346427,0.175000000000001); #307318=CYLINDRICAL_SURFACE('',#346430,0.175000000000001); #307319=CYLINDRICAL_SURFACE('',#346433,0.175000000000001); #307320=CYLINDRICAL_SURFACE('',#346436,0.175000000000001); #307321=CYLINDRICAL_SURFACE('',#346439,0.175000000000001); #307322=CYLINDRICAL_SURFACE('',#346442,0.175000000000001); #307323=CYLINDRICAL_SURFACE('',#346445,0.175000000000001); #307324=CYLINDRICAL_SURFACE('',#346448,0.175000000000001); #307325=CYLINDRICAL_SURFACE('',#346451,0.175000000000001); #307326=CYLINDRICAL_SURFACE('',#346454,0.175000000000001); #307327=CYLINDRICAL_SURFACE('',#346457,0.175000000000001); #307328=CYLINDRICAL_SURFACE('',#346460,0.175000000000001); #307329=CYLINDRICAL_SURFACE('',#346463,0.175000000000001); #307330=CYLINDRICAL_SURFACE('',#346466,0.549999999999997); #307331=CYLINDRICAL_SURFACE('',#346469,0.175000000000001); #307332=CYLINDRICAL_SURFACE('',#346472,0.175000000000001); #307333=CYLINDRICAL_SURFACE('',#346475,0.175000000000001); #307334=CYLINDRICAL_SURFACE('',#346478,0.175000000000001); #307335=CYLINDRICAL_SURFACE('',#346481,0.175000000000001); #307336=CYLINDRICAL_SURFACE('',#346484,0.175000000000001); #307337=CYLINDRICAL_SURFACE('',#346487,0.175000000000001); #307338=CYLINDRICAL_SURFACE('',#346490,0.175000000000001); #307339=CYLINDRICAL_SURFACE('',#346493,0.175000000000001); #307340=CYLINDRICAL_SURFACE('',#346496,0.175000000000001); #307341=CYLINDRICAL_SURFACE('',#346499,0.175000000000001); #307342=CYLINDRICAL_SURFACE('',#346502,0.175000000000001); #307343=CYLINDRICAL_SURFACE('',#346505,0.175000000000001); #307344=CYLINDRICAL_SURFACE('',#346508,0.175000000000001); #307345=CYLINDRICAL_SURFACE('',#346511,0.175000000000001); #307346=CYLINDRICAL_SURFACE('',#346514,0.499999999999998); #307347=CYLINDRICAL_SURFACE('',#346517,0.175000000000001); #307348=CYLINDRICAL_SURFACE('',#346520,0.175000000000001); #307349=CYLINDRICAL_SURFACE('',#346523,0.175000000000001); #307350=CYLINDRICAL_SURFACE('',#346526,0.175000000000001); #307351=CYLINDRICAL_SURFACE('',#346529,0.175000000000001); #307352=CYLINDRICAL_SURFACE('',#346532,0.175000000000001); #307353=CYLINDRICAL_SURFACE('',#346535,0.175000000000001); #307354=CYLINDRICAL_SURFACE('',#346538,0.175000000000001); #307355=CYLINDRICAL_SURFACE('',#346541,0.175000000000001); #307356=CYLINDRICAL_SURFACE('',#346544,0.175000000000001); #307357=CYLINDRICAL_SURFACE('',#346547,0.175000000000001); #307358=CYLINDRICAL_SURFACE('',#346550,0.175000000000001); #307359=CYLINDRICAL_SURFACE('',#346553,0.175000000000001); #307360=CYLINDRICAL_SURFACE('',#346556,0.175000000000001); #307361=CYLINDRICAL_SURFACE('',#346559,0.175000000000001); #307362=CYLINDRICAL_SURFACE('',#346562,0.600000000000001); #307363=CYLINDRICAL_SURFACE('',#346565,0.175000000000001); #307364=CYLINDRICAL_SURFACE('',#346568,0.175000000000001); #307365=CYLINDRICAL_SURFACE('',#346571,0.175000000000001); #307366=CYLINDRICAL_SURFACE('',#346574,0.175000000000001); #307367=CYLINDRICAL_SURFACE('',#346577,0.175000000000001); #307368=CYLINDRICAL_SURFACE('',#346580,0.175000000000001); #307369=CYLINDRICAL_SURFACE('',#346583,0.175000000000001); #307370=CYLINDRICAL_SURFACE('',#346586,0.175000000000001); #307371=CYLINDRICAL_SURFACE('',#346589,0.175000000000001); #307372=CYLINDRICAL_SURFACE('',#346592,0.175000000000001); #307373=CYLINDRICAL_SURFACE('',#346595,0.175000000000001); #307374=CYLINDRICAL_SURFACE('',#346598,0.175000000000001); #307375=CYLINDRICAL_SURFACE('',#346601,0.175000000000001); #307376=CYLINDRICAL_SURFACE('',#346604,0.175000000000001); #307377=CYLINDRICAL_SURFACE('',#346607,0.175000000000001); #307378=CYLINDRICAL_SURFACE('',#346610,0.499999999999998); #307379=CYLINDRICAL_SURFACE('',#346613,0.175000000000001); #307380=CYLINDRICAL_SURFACE('',#346616,0.175000000000001); #307381=CYLINDRICAL_SURFACE('',#346619,0.175000000000001); #307382=CYLINDRICAL_SURFACE('',#346622,0.175000000000001); #307383=CYLINDRICAL_SURFACE('',#346625,0.175000000000001); #307384=CYLINDRICAL_SURFACE('',#346628,0.175000000000001); #307385=CYLINDRICAL_SURFACE('',#346631,0.175000000000001); #307386=CYLINDRICAL_SURFACE('',#346634,0.175000000000001); #307387=CYLINDRICAL_SURFACE('',#346637,0.175000000000001); #307388=CYLINDRICAL_SURFACE('',#346640,0.175000000000001); #307389=CYLINDRICAL_SURFACE('',#346643,0.175000000000001); #307390=CYLINDRICAL_SURFACE('',#346646,0.175000000000001); #307391=CYLINDRICAL_SURFACE('',#346649,0.175000000000001); #307392=CYLINDRICAL_SURFACE('',#346652,0.175000000000001); #307393=CYLINDRICAL_SURFACE('',#346655,0.175000000000001); #307394=CYLINDRICAL_SURFACE('',#346658,0.549999999999997); #307395=CYLINDRICAL_SURFACE('',#346661,0.175000000000001); #307396=CYLINDRICAL_SURFACE('',#346664,0.175000000000001); #307397=CYLINDRICAL_SURFACE('',#346667,0.175000000000001); #307398=CYLINDRICAL_SURFACE('',#346670,0.175000000000001); #307399=CYLINDRICAL_SURFACE('',#346673,0.175000000000001); #307400=CYLINDRICAL_SURFACE('',#346676,0.175000000000001); #307401=CYLINDRICAL_SURFACE('',#346679,0.175000000000001); #307402=CYLINDRICAL_SURFACE('',#346682,0.175000000000001); #307403=CYLINDRICAL_SURFACE('',#346685,0.175000000000001); #307404=CYLINDRICAL_SURFACE('',#346688,0.175000000000001); #307405=CYLINDRICAL_SURFACE('',#346691,0.175000000000001); #307406=CYLINDRICAL_SURFACE('',#346694,0.175000000000001); #307407=CYLINDRICAL_SURFACE('',#346697,0.175000000000001); #307408=CYLINDRICAL_SURFACE('',#346700,0.175000000000001); #307409=CYLINDRICAL_SURFACE('',#346703,0.175000000000001); #307410=CYLINDRICAL_SURFACE('',#346706,0.499999999999998); #307411=CYLINDRICAL_SURFACE('',#346709,0.175000000000001); #307412=CYLINDRICAL_SURFACE('',#346712,0.175000000000001); #307413=CYLINDRICAL_SURFACE('',#346715,0.175000000000001); #307414=CYLINDRICAL_SURFACE('',#346718,0.175000000000001); #307415=CYLINDRICAL_SURFACE('',#346721,0.175000000000001); #307416=CYLINDRICAL_SURFACE('',#346724,0.175000000000001); #307417=CYLINDRICAL_SURFACE('',#346727,0.175000000000001); #307418=CYLINDRICAL_SURFACE('',#346730,0.175000000000001); #307419=CYLINDRICAL_SURFACE('',#346733,0.175000000000001); #307420=CYLINDRICAL_SURFACE('',#346736,0.175000000000001); #307421=CYLINDRICAL_SURFACE('',#346739,0.175000000000001); #307422=CYLINDRICAL_SURFACE('',#346742,0.175000000000001); #307423=CYLINDRICAL_SURFACE('',#346745,0.175000000000001); #307424=CYLINDRICAL_SURFACE('',#346748,0.175000000000001); #307425=CYLINDRICAL_SURFACE('',#346751,0.175000000000001); #307426=CYLINDRICAL_SURFACE('',#346754,34.); #307427=CYLINDRICAL_SURFACE('',#346889,0.016); #307428=CYLINDRICAL_SURFACE('',#346898,0.016); #307429=CYLINDRICAL_SURFACE('',#346899,0.016); #307430=CYLINDRICAL_SURFACE('',#346904,0.016); #307431=CYLINDRICAL_SURFACE('',#346905,0.016); #307432=CYLINDRICAL_SURFACE('',#346906,0.016); #307433=CYLINDRICAL_SURFACE('',#346907,0.016); #307434=CYLINDRICAL_SURFACE('',#346908,0.016); #307435=CYLINDRICAL_SURFACE('',#346909,0.016); #307436=CYLINDRICAL_SURFACE('',#346910,0.016); #307437=CYLINDRICAL_SURFACE('',#346911,0.016); #307438=CYLINDRICAL_SURFACE('',#346912,0.016); #307439=CYLINDRICAL_SURFACE('',#346939,0.016); #307440=CYLINDRICAL_SURFACE('',#346948,0.016); #307441=CYLINDRICAL_SURFACE('',#346949,0.016); #307442=CYLINDRICAL_SURFACE('',#346954,0.016); #307443=CYLINDRICAL_SURFACE('',#346955,0.016); #307444=CYLINDRICAL_SURFACE('',#346956,0.016); #307445=CYLINDRICAL_SURFACE('',#346957,0.016); #307446=CYLINDRICAL_SURFACE('',#346958,0.016); #307447=CYLINDRICAL_SURFACE('',#346959,0.016); #307448=CYLINDRICAL_SURFACE('',#346960,0.016); #307449=CYLINDRICAL_SURFACE('',#346961,0.016); #307450=CYLINDRICAL_SURFACE('',#346962,0.016); #307451=CYLINDRICAL_SURFACE('',#346994,0.45); #307452=CYLINDRICAL_SURFACE('',#346999,0.45); #307453=CYLINDRICAL_SURFACE('',#347083,0.96); #307454=CYLINDRICAL_SURFACE('',#347105,0.96); #307455=CYLINDRICAL_SURFACE('',#347109,0.96); #307456=CYLINDRICAL_SURFACE('',#347112,0.96); #307457=CYLINDRICAL_SURFACE('',#347116,0.45); #307458=CYLINDRICAL_SURFACE('',#347121,0.45); #307459=CYLINDRICAL_SURFACE('',#347133,0.250000000000004); #307460=CYLINDRICAL_SURFACE('',#347141,0.250000000000002); #307461=CYLINDRICAL_SURFACE('',#347166,0.14); #307462=CYLINDRICAL_SURFACE('',#347167,0.14); #307463=CYLINDRICAL_SURFACE('',#347196,0.25); #307464=CYLINDRICAL_SURFACE('',#347200,0.25); #307465=CYLINDRICAL_SURFACE('',#347316,0.1); #307466=CYLINDRICAL_SURFACE('',#347318,0.2); #307467=CYLINDRICAL_SURFACE('',#347320,0.22); #307468=CYLINDRICAL_SURFACE('',#347322,0.08); #307469=CYLINDRICAL_SURFACE('',#347345,0.1); #307470=CYLINDRICAL_SURFACE('',#347347,0.2); #307471=CYLINDRICAL_SURFACE('',#347349,0.22); #307472=CYLINDRICAL_SURFACE('',#347351,0.08); #307473=CYLINDRICAL_SURFACE('',#347374,0.1); #307474=CYLINDRICAL_SURFACE('',#347376,0.2); #307475=CYLINDRICAL_SURFACE('',#347378,0.22); #307476=CYLINDRICAL_SURFACE('',#347380,0.08); #307477=CYLINDRICAL_SURFACE('',#347403,0.1); #307478=CYLINDRICAL_SURFACE('',#347405,0.2); #307479=CYLINDRICAL_SURFACE('',#347407,0.22); #307480=CYLINDRICAL_SURFACE('',#347409,0.08); #307481=CYLINDRICAL_SURFACE('',#347432,0.1); #307482=CYLINDRICAL_SURFACE('',#347434,0.2); #307483=CYLINDRICAL_SURFACE('',#347436,0.22); #307484=CYLINDRICAL_SURFACE('',#347438,0.08); #307485=CYLINDRICAL_SURFACE('',#347461,0.1); #307486=CYLINDRICAL_SURFACE('',#347463,0.2); #307487=CYLINDRICAL_SURFACE('',#347465,0.22); #307488=CYLINDRICAL_SURFACE('',#347467,0.08); #307489=CYLINDRICAL_SURFACE('',#347490,0.1); #307490=CYLINDRICAL_SURFACE('',#347492,0.2); #307491=CYLINDRICAL_SURFACE('',#347494,0.22); #307492=CYLINDRICAL_SURFACE('',#347496,0.08); #307493=CYLINDRICAL_SURFACE('',#347519,0.1); #307494=CYLINDRICAL_SURFACE('',#347521,0.2); #307495=CYLINDRICAL_SURFACE('',#347523,0.22); #307496=CYLINDRICAL_SURFACE('',#347525,0.08); #307497=CYLINDRICAL_SURFACE('',#347548,0.1); #307498=CYLINDRICAL_SURFACE('',#347550,0.2); #307499=CYLINDRICAL_SURFACE('',#347552,0.22); #307500=CYLINDRICAL_SURFACE('',#347554,0.08); #307501=CYLINDRICAL_SURFACE('',#347577,0.1); #307502=CYLINDRICAL_SURFACE('',#347579,0.2); #307503=CYLINDRICAL_SURFACE('',#347581,0.22); #307504=CYLINDRICAL_SURFACE('',#347583,0.08); #307505=CYLINDRICAL_SURFACE('',#347606,0.1); #307506=CYLINDRICAL_SURFACE('',#347608,0.2); #307507=CYLINDRICAL_SURFACE('',#347610,0.22); #307508=CYLINDRICAL_SURFACE('',#347612,0.08); #307509=CYLINDRICAL_SURFACE('',#347635,0.1); #307510=CYLINDRICAL_SURFACE('',#347637,0.2); #307511=CYLINDRICAL_SURFACE('',#347639,0.22); #307512=CYLINDRICAL_SURFACE('',#347641,0.08); #307513=CYLINDRICAL_SURFACE('',#347664,0.1); #307514=CYLINDRICAL_SURFACE('',#347666,0.2); #307515=CYLINDRICAL_SURFACE('',#347668,0.22); #307516=CYLINDRICAL_SURFACE('',#347670,0.08); #307517=CYLINDRICAL_SURFACE('',#347693,0.1); #307518=CYLINDRICAL_SURFACE('',#347695,0.2); #307519=CYLINDRICAL_SURFACE('',#347697,0.22); #307520=CYLINDRICAL_SURFACE('',#347699,0.08); #307521=CYLINDRICAL_SURFACE('',#347708,0.099340716866279); #307522=CYLINDRICAL_SURFACE('',#347714,0.2); #307523=CYLINDRICAL_SURFACE('',#347718,0.07); #307524=CYLINDRICAL_SURFACE('',#347721,0.07); #307525=CYLINDRICAL_SURFACE('',#347725,0.0810588235294118); #307526=CYLINDRICAL_SURFACE('',#347729,0.0810588235294118); #307527=CYLINDRICAL_SURFACE('',#347739,0.0810588235294118); #307528=CYLINDRICAL_SURFACE('',#347743,0.0810588235294118); #307529=CYLINDRICAL_SURFACE('',#347747,0.07); #307530=CYLINDRICAL_SURFACE('',#347750,0.07); #307531=CYLINDRICAL_SURFACE('',#347754,0.2); #307532=CYLINDRICAL_SURFACE('',#347760,0.099340716866279); #307533=CYLINDRICAL_SURFACE('',#347807,0.15); #307534=CYLINDRICAL_SURFACE('',#347810,0.15); #307535=CYLINDRICAL_SURFACE('',#347888,0.15); #307536=CYLINDRICAL_SURFACE('',#347935,0.98); #307537=CYLINDRICAL_SURFACE('',#347938,0.98); #307538=CYLINDRICAL_SURFACE('',#347941,1.28); #307539=CYLINDRICAL_SURFACE('',#347944,1.28); #307540=CYLINDRICAL_SURFACE('',#347947,0.98); #307541=CYLINDRICAL_SURFACE('',#347950,1.28); #307542=CYLINDRICAL_SURFACE('',#347966,0.98); #307543=CYLINDRICAL_SURFACE('',#347968,0.98); #307544=CYLINDRICAL_SURFACE('',#347970,0.98); #307545=CYLINDRICAL_SURFACE('',#347993,1.28); #307546=CYLINDRICAL_SURFACE('',#347997,1.28); #307547=CYLINDRICAL_SURFACE('',#347998,1.28); #307548=CYLINDRICAL_SURFACE('',#348001,0.15); #307549=CYLINDRICAL_SURFACE('',#348002,0.15); #307550=CYLINDRICAL_SURFACE('',#348003,0.2); #307551=CYLINDRICAL_SURFACE('',#348008,0.203418496667774); #307552=CYLINDRICAL_SURFACE('',#348010,0.203418496667774); #307553=CYLINDRICAL_SURFACE('',#348013,0.2); #307554=CYLINDRICAL_SURFACE('',#348015,0.203418496667774); #307555=CYLINDRICAL_SURFACE('',#348017,0.203418496667774); #307556=CYLINDRICAL_SURFACE('',#348019,0.2); #307557=CYLINDRICAL_SURFACE('',#348020,0.2); #307558=CYLINDRICAL_SURFACE('',#348022,0.15); #307559=CYLINDRICAL_SURFACE('',#348024,0.2); #307560=CYLINDRICAL_SURFACE('',#348026,0.2); #307561=CYLINDRICAL_SURFACE('',#348029,0.15); #307562=CYLINDRICAL_SURFACE('',#348031,0.2); #307563=CYLINDRICAL_SURFACE('',#348033,0.2); #307564=CYLINDRICAL_SURFACE('',#348035,0.2); #307565=CYLINDRICAL_SURFACE('',#348037,0.2); #307566=CYLINDRICAL_SURFACE('',#348040,0.15); #307567=CYLINDRICAL_SURFACE('',#348042,0.2); #307568=CYLINDRICAL_SURFACE('',#348044,0.2); #307569=CYLINDRICAL_SURFACE('',#348046,0.156782950094636); #307570=CYLINDRICAL_SURFACE('',#348048,0.196634615384615); #307571=CYLINDRICAL_SURFACE('',#348050,0.196634615384615); #307572=CYLINDRICAL_SURFACE('',#348052,0.15678295009463); #307573=CYLINDRICAL_SURFACE('',#348054,0.1425625); #307574=CYLINDRICAL_SURFACE('',#348055,0.0999670307511854); #307575=CYLINDRICAL_SURFACE('',#348056,0.146360174065558); #307576=CYLINDRICAL_SURFACE('',#348058,0.15); #307577=CYLINDRICAL_SURFACE('',#348060,0.200511249999998); #307578=CYLINDRICAL_SURFACE('',#348061,0.200511249999998); #307579=CYLINDRICAL_SURFACE('',#348065,0.143940075739726); #307580=CYLINDRICAL_SURFACE('',#348067,0.199862831858373); #307581=CYLINDRICAL_SURFACE('',#348069,0.199862831858373); #307582=CYLINDRICAL_SURFACE('',#348071,0.143940075739726); #307583=CYLINDRICAL_SURFACE('',#348073,0.2); #307584=CYLINDRICAL_SURFACE('',#348074,0.2); #307585=CYLINDRICAL_SURFACE('',#348077,0.2); #307586=CYLINDRICAL_SURFACE('',#348078,0.2); #307587=CYLINDRICAL_SURFACE('',#348079,0.2); #307588=CYLINDRICAL_SURFACE('',#348111,0.410719203494586); #307589=CYLINDRICAL_SURFACE('',#348113,0.103126984126984); #307590=CYLINDRICAL_SURFACE('',#348115,0.103126984126984); #307591=CYLINDRICAL_SURFACE('',#348117,0.410719203494586); #307592=CYLINDRICAL_SURFACE('',#348118,0.110719203494586); #307593=CYLINDRICAL_SURFACE('',#348120,0.403126984126984); #307594=CYLINDRICAL_SURFACE('',#348122,0.403126984126984); #307595=CYLINDRICAL_SURFACE('',#348124,0.110719203494586); #307596=CYLINDRICAL_SURFACE('',#348147,0.410719203494586); #307597=CYLINDRICAL_SURFACE('',#348149,0.103126984126984); #307598=CYLINDRICAL_SURFACE('',#348151,0.103126984126984); #307599=CYLINDRICAL_SURFACE('',#348153,0.410719203494586); #307600=CYLINDRICAL_SURFACE('',#348154,0.110719203494586); #307601=CYLINDRICAL_SURFACE('',#348156,0.403126984126984); #307602=CYLINDRICAL_SURFACE('',#348158,0.403126984126984); #307603=CYLINDRICAL_SURFACE('',#348160,0.110719203494586); #307604=CYLINDRICAL_SURFACE('',#348162,0.124613333333333); #307605=CYLINDRICAL_SURFACE('',#348166,0.124613333333333); #307606=CYLINDRICAL_SURFACE('',#348171,0.15); #307607=CYLINDRICAL_SURFACE('',#348174,0.45); #307608=CYLINDRICAL_SURFACE('',#348175,0.15); #307609=CYLINDRICAL_SURFACE('',#348176,0.15); #307610=CYLINDRICAL_SURFACE('',#348180,0.15); #307611=CYLINDRICAL_SURFACE('',#348186,0.15); #307612=CYLINDRICAL_SURFACE('',#348196,0.15); #307613=CYLINDRICAL_SURFACE('',#348198,0.146360174065558); #307614=CYLINDRICAL_SURFACE('',#348199,0.0999670307511854); #307615=CYLINDRICAL_SURFACE('',#348200,0.1425625); #307616=CYLINDRICAL_SURFACE('',#348202,0.15678295009463); #307617=CYLINDRICAL_SURFACE('',#348204,0.196634615384615); #307618=CYLINDRICAL_SURFACE('',#348206,0.196634615384615); #307619=CYLINDRICAL_SURFACE('',#348208,0.156782950094636); #307620=CYLINDRICAL_SURFACE('',#348210,0.2); #307621=CYLINDRICAL_SURFACE('',#348211,0.2); #307622=CYLINDRICAL_SURFACE('',#348213,0.143940075739726); #307623=CYLINDRICAL_SURFACE('',#348215,0.199862831858373); #307624=CYLINDRICAL_SURFACE('',#348217,0.199862831858373); #307625=CYLINDRICAL_SURFACE('',#348219,0.143940075739726); #307626=CYLINDRICAL_SURFACE('',#348223,0.200511249999998); #307627=CYLINDRICAL_SURFACE('',#348224,0.200511249999998); #307628=CYLINDRICAL_SURFACE('',#348226,0.2); #307629=CYLINDRICAL_SURFACE('',#348227,0.2); #307630=CYLINDRICAL_SURFACE('',#348228,0.2); #307631=CYLINDRICAL_SURFACE('',#348251,0.410719203494586); #307632=CYLINDRICAL_SURFACE('',#348253,0.103126984126984); #307633=CYLINDRICAL_SURFACE('',#348255,0.103126984126984); #307634=CYLINDRICAL_SURFACE('',#348257,0.410719203494586); #307635=CYLINDRICAL_SURFACE('',#348258,0.110719203494586); #307636=CYLINDRICAL_SURFACE('',#348260,0.403126984126984); #307637=CYLINDRICAL_SURFACE('',#348262,0.403126984126984); #307638=CYLINDRICAL_SURFACE('',#348264,0.110719203494586); #307639=CYLINDRICAL_SURFACE('',#348287,0.410719203494586); #307640=CYLINDRICAL_SURFACE('',#348289,0.103126984126984); #307641=CYLINDRICAL_SURFACE('',#348291,0.103126984126984); #307642=CYLINDRICAL_SURFACE('',#348293,0.410719203494586); #307643=CYLINDRICAL_SURFACE('',#348294,0.110719203494586); #307644=CYLINDRICAL_SURFACE('',#348296,0.403126984126984); #307645=CYLINDRICAL_SURFACE('',#348298,0.403126984126984); #307646=CYLINDRICAL_SURFACE('',#348300,0.110719203494586); #307647=CYLINDRICAL_SURFACE('',#348301,0.124613333333333); #307648=CYLINDRICAL_SURFACE('',#348305,0.124613333333333); #307649=CYLINDRICAL_SURFACE('',#348309,0.15); #307650=CYLINDRICAL_SURFACE('',#348314,0.45); #307651=CYLINDRICAL_SURFACE('',#348315,0.15); #307652=CYLINDRICAL_SURFACE('',#348316,0.15); #307653=CYLINDRICAL_SURFACE('',#348319,0.15); #307654=CYLINDRICAL_SURFACE('',#348326,0.15); #307655=CYLINDRICAL_SURFACE('',#348335,0.2); #307656=CYLINDRICAL_SURFACE('',#348339,0.132018518518511); #307657=CYLINDRICAL_SURFACE('',#348342,0.148012635933508); #307658=CYLINDRICAL_SURFACE('',#348345,0.11087204124655); #307659=CYLINDRICAL_SURFACE('',#348349,0.11087204124655); #307660=CYLINDRICAL_SURFACE('',#348352,0.148012635933508); #307661=CYLINDRICAL_SURFACE('',#348355,0.132018518518511); #307662=CYLINDRICAL_SURFACE('',#348359,0.15); #307663=CYLINDRICAL_SURFACE('',#348363,0.15); #307664=CYLINDRICAL_SURFACE('',#348367,0.132018518518511); #307665=CYLINDRICAL_SURFACE('',#348370,0.148012635933508); #307666=CYLINDRICAL_SURFACE('',#348373,0.11087204124655); #307667=CYLINDRICAL_SURFACE('',#348377,0.11087204124655); #307668=CYLINDRICAL_SURFACE('',#348380,0.148012635933508); #307669=CYLINDRICAL_SURFACE('',#348383,0.132018518518511); #307670=CYLINDRICAL_SURFACE('',#348387,0.15); #307671=CYLINDRICAL_SURFACE('',#348391,0.15); #307672=CYLINDRICAL_SURFACE('',#348395,0.2); #307673=CYLINDRICAL_SURFACE('',#348403,0.2); #307674=CYLINDRICAL_SURFACE('',#348410,0.2); #307675=CYLINDRICAL_SURFACE('',#348416,0.15); #307676=CYLINDRICAL_SURFACE('',#348420,0.15); #307677=CYLINDRICAL_SURFACE('',#348423,0.148); #307678=CYLINDRICAL_SURFACE('',#348426,0.292004733994588); #307679=CYLINDRICAL_SURFACE('',#348429,1.15304609186865); #307680=CYLINDRICAL_SURFACE('',#348432,0.45); #307681=CYLINDRICAL_SURFACE('',#348435,0.14737794976545); #307682=CYLINDRICAL_SURFACE('',#348439,0.14737794976545); #307683=CYLINDRICAL_SURFACE('',#348443,0.144226016739943); #307684=CYLINDRICAL_SURFACE('',#348446,0.176676870506421); #307685=CYLINDRICAL_SURFACE('',#348452,0.176676870506421); #307686=CYLINDRICAL_SURFACE('',#348455,0.144226016739943); #307687=CYLINDRICAL_SURFACE('',#348459,0.144226016739943); #307688=CYLINDRICAL_SURFACE('',#348462,0.176676870506421); #307689=CYLINDRICAL_SURFACE('',#348468,0.176676870506421); #307690=CYLINDRICAL_SURFACE('',#348471,0.144226016739943); #307691=CYLINDRICAL_SURFACE('',#348475,0.14737794976545); #307692=CYLINDRICAL_SURFACE('',#348479,0.14737794976545); #307693=CYLINDRICAL_SURFACE('',#348484,0.45); #307694=CYLINDRICAL_SURFACE('',#348485,1.15304609186865); #307695=CYLINDRICAL_SURFACE('',#348488,0.292004733994588); #307696=CYLINDRICAL_SURFACE('',#348491,0.148); #307697=CYLINDRICAL_SURFACE('',#348496,0.15); #307698=CYLINDRICAL_SURFACE('',#348499,0.15); #307699=CYLINDRICAL_SURFACE('',#348508,0.15); #307700=CYLINDRICAL_SURFACE('',#348512,0.15); #307701=CYLINDRICAL_SURFACE('',#348521,0.45); #307702=CYLINDRICAL_SURFACE('',#348522,0.15); #307703=CYLINDRICAL_SURFACE('',#348528,0.45); #307704=CYLINDRICAL_SURFACE('',#348529,0.15); #307705=CYLINDRICAL_SURFACE('',#348530,0.14); #307706=CYLINDRICAL_SURFACE('',#348532,0.14); #307707=CYLINDRICAL_SURFACE('',#348534,0.14); #307708=CYLINDRICAL_SURFACE('',#348536,0.14); #307709=CYLINDRICAL_SURFACE('',#348537,0.14); #307710=CYLINDRICAL_SURFACE('',#348539,0.14); #307711=CYLINDRICAL_SURFACE('',#348541,0.14); #307712=CYLINDRICAL_SURFACE('',#348543,0.14); #307713=CYLINDRICAL_SURFACE('',#348544,0.15); #307714=CYLINDRICAL_SURFACE('',#348546,0.13); #307715=CYLINDRICAL_SURFACE('',#348548,0.13); #307716=CYLINDRICAL_SURFACE('',#348550,0.15); #307717=CYLINDRICAL_SURFACE('',#348551,0.15); #307718=CYLINDRICAL_SURFACE('',#348553,0.13); #307719=CYLINDRICAL_SURFACE('',#348555,0.13); #307720=CYLINDRICAL_SURFACE('',#348557,0.15); #307721=CYLINDRICAL_SURFACE('',#348577,0.1); #307722=CYLINDRICAL_SURFACE('',#348579,0.2); #307723=CYLINDRICAL_SURFACE('',#348581,0.22); #307724=CYLINDRICAL_SURFACE('',#348583,0.08); #307725=CYLINDRICAL_SURFACE('',#348643,0.999999985477); #307726=CYLINDRICAL_SURFACE('',#348646,0.999999985477); #307727=CYLINDRICAL_SURFACE('',#348694,0.499999992739); #307728=CYLINDRICAL_SURFACE('',#348695,0.499999992739); #307729=CYLINDRICAL_SURFACE('',#348722,0.04); #307730=CYLINDRICAL_SURFACE('',#348731,0.04); #307731=CYLINDRICAL_SURFACE('',#348732,0.04); #307732=CYLINDRICAL_SURFACE('',#348737,0.04); #307733=CYLINDRICAL_SURFACE('',#348738,0.04); #307734=CYLINDRICAL_SURFACE('',#348739,0.04); #307735=CYLINDRICAL_SURFACE('',#348740,0.04); #307736=CYLINDRICAL_SURFACE('',#348741,0.04); #307737=CYLINDRICAL_SURFACE('',#348742,0.04); #307738=CYLINDRICAL_SURFACE('',#348743,0.04); #307739=CYLINDRICAL_SURFACE('',#348744,0.04); #307740=CYLINDRICAL_SURFACE('',#348745,0.04); #307741=CYLINDRICAL_SURFACE('',#348772,0.04); #307742=CYLINDRICAL_SURFACE('',#348781,0.04); #307743=CYLINDRICAL_SURFACE('',#348782,0.04); #307744=CYLINDRICAL_SURFACE('',#348787,0.04); #307745=CYLINDRICAL_SURFACE('',#348788,0.04); #307746=CYLINDRICAL_SURFACE('',#348789,0.04); #307747=CYLINDRICAL_SURFACE('',#348790,0.04); #307748=CYLINDRICAL_SURFACE('',#348791,0.04); #307749=CYLINDRICAL_SURFACE('',#348792,0.04); #307750=CYLINDRICAL_SURFACE('',#348793,0.04); #307751=CYLINDRICAL_SURFACE('',#348794,0.04); #307752=CYLINDRICAL_SURFACE('',#348795,0.04); #307753=CYLINDRICAL_SURFACE('',#348831,0.032); #307754=CYLINDRICAL_SURFACE('',#348840,0.032); #307755=CYLINDRICAL_SURFACE('',#348841,0.032); #307756=CYLINDRICAL_SURFACE('',#348846,0.032); #307757=CYLINDRICAL_SURFACE('',#348847,0.032); #307758=CYLINDRICAL_SURFACE('',#348848,0.032); #307759=CYLINDRICAL_SURFACE('',#348849,0.032); #307760=CYLINDRICAL_SURFACE('',#348850,0.032); #307761=CYLINDRICAL_SURFACE('',#348851,0.032); #307762=CYLINDRICAL_SURFACE('',#348852,0.032); #307763=CYLINDRICAL_SURFACE('',#348853,0.032); #307764=CYLINDRICAL_SURFACE('',#348854,0.032); #307765=CYLINDRICAL_SURFACE('',#348881,0.032); #307766=CYLINDRICAL_SURFACE('',#348890,0.032); #307767=CYLINDRICAL_SURFACE('',#348891,0.032); #307768=CYLINDRICAL_SURFACE('',#348896,0.032); #307769=CYLINDRICAL_SURFACE('',#348897,0.032); #307770=CYLINDRICAL_SURFACE('',#348898,0.032); #307771=CYLINDRICAL_SURFACE('',#348899,0.032); #307772=CYLINDRICAL_SURFACE('',#348900,0.032); #307773=CYLINDRICAL_SURFACE('',#348901,0.032); #307774=CYLINDRICAL_SURFACE('',#348902,0.032); #307775=CYLINDRICAL_SURFACE('',#348903,0.032); #307776=CYLINDRICAL_SURFACE('',#348904,0.032); #307777=CYLINDRICAL_SURFACE('',#348940,0.04); #307778=CYLINDRICAL_SURFACE('',#348949,0.04); #307779=CYLINDRICAL_SURFACE('',#348950,0.04); #307780=CYLINDRICAL_SURFACE('',#348955,0.04); #307781=CYLINDRICAL_SURFACE('',#348956,0.04); #307782=CYLINDRICAL_SURFACE('',#348957,0.04); #307783=CYLINDRICAL_SURFACE('',#348958,0.04); #307784=CYLINDRICAL_SURFACE('',#348959,0.04); #307785=CYLINDRICAL_SURFACE('',#348960,0.04); #307786=CYLINDRICAL_SURFACE('',#348961,0.04); #307787=CYLINDRICAL_SURFACE('',#348962,0.04); #307788=CYLINDRICAL_SURFACE('',#348963,0.04); #307789=CYLINDRICAL_SURFACE('',#348990,0.04); #307790=CYLINDRICAL_SURFACE('',#348999,0.04); #307791=CYLINDRICAL_SURFACE('',#349000,0.04); #307792=CYLINDRICAL_SURFACE('',#349005,0.04); #307793=CYLINDRICAL_SURFACE('',#349006,0.04); #307794=CYLINDRICAL_SURFACE('',#349007,0.04); #307795=CYLINDRICAL_SURFACE('',#349008,0.04); #307796=CYLINDRICAL_SURFACE('',#349009,0.04); #307797=CYLINDRICAL_SURFACE('',#349010,0.04); #307798=CYLINDRICAL_SURFACE('',#349011,0.04); #307799=CYLINDRICAL_SURFACE('',#349012,0.04); #307800=CYLINDRICAL_SURFACE('',#349013,0.04); #307801=CYLINDRICAL_SURFACE('',#349029,3.11666666666667); #307802=CYLINDRICAL_SURFACE('',#349038,4.25); #307803=CYLINDRICAL_SURFACE('',#349049,4.25); #307804=CYLINDRICAL_SURFACE('',#349073,4.27); #307805=CYLINDRICAL_SURFACE('',#349083,4.25); #307806=CYLINDRICAL_SURFACE('',#349094,4.25); #307807=CYLINDRICAL_SURFACE('',#349104,4.27); #307808=CYLINDRICAL_SURFACE('',#349109,0.325); #307809=CYLINDRICAL_SURFACE('',#349114,0.325); #307810=CYLINDRICAL_SURFACE('',#349123,4.2715); #307811=CYLINDRICAL_SURFACE('',#349127,4.2705); #307812=CYLINDRICAL_SURFACE('',#349133,4.2715); #307813=CYLINDRICAL_SURFACE('',#349137,4.2705); #307814=CYLINDRICAL_SURFACE('',#349143,4.2715); #307815=CYLINDRICAL_SURFACE('',#349147,4.2705); #307816=CYLINDRICAL_SURFACE('',#349153,4.2715); #307817=CYLINDRICAL_SURFACE('',#349157,4.2705); #307818=CYLINDRICAL_SURFACE('',#349163,4.2715); #307819=CYLINDRICAL_SURFACE('',#349167,4.2705); #307820=CYLINDRICAL_SURFACE('',#349173,4.2715); #307821=CYLINDRICAL_SURFACE('',#349177,4.2705); #307822=CYLINDRICAL_SURFACE('',#349183,4.2715); #307823=CYLINDRICAL_SURFACE('',#349187,4.2705); #307824=CYLINDRICAL_SURFACE('',#349193,4.2715); #307825=CYLINDRICAL_SURFACE('',#349197,4.2705); #307826=CYLINDRICAL_SURFACE('',#349203,4.2715); #307827=CYLINDRICAL_SURFACE('',#349207,4.2705); #307828=CYLINDRICAL_SURFACE('',#349212,0.0750000000000001); #307829=CYLINDRICAL_SURFACE('',#349234,0.175); #307830=CYLINDRICAL_SURFACE('',#349238,0.175); #307831=CYLINDRICAL_SURFACE('',#349246,0.175); #307832=CYLINDRICAL_SURFACE('',#349250,0.175); #307833=CYLINDRICAL_SURFACE('',#349258,0.175); #307834=CYLINDRICAL_SURFACE('',#349262,0.175); #307835=CYLINDRICAL_SURFACE('',#349270,0.175); #307836=CYLINDRICAL_SURFACE('',#349274,0.175); #307837=CYLINDRICAL_SURFACE('',#349282,0.175); #307838=CYLINDRICAL_SURFACE('',#349286,0.175); #307839=CYLINDRICAL_SURFACE('',#349294,0.175); #307840=CYLINDRICAL_SURFACE('',#349298,0.175); #307841=CYLINDRICAL_SURFACE('',#349306,0.175); #307842=CYLINDRICAL_SURFACE('',#349310,0.175); #307843=CYLINDRICAL_SURFACE('',#349318,0.175); #307844=CYLINDRICAL_SURFACE('',#349322,0.175); #307845=CYLINDRICAL_SURFACE('',#349379,0.0750000000000001); #307846=CYLINDRICAL_SURFACE('',#349401,0.25); #307847=CYLINDRICAL_SURFACE('',#349405,0.25); #307848=CYLINDRICAL_SURFACE('',#349413,0.25); #307849=CYLINDRICAL_SURFACE('',#349417,0.25); #307850=CYLINDRICAL_SURFACE('',#349425,0.25); #307851=CYLINDRICAL_SURFACE('',#349429,0.25); #307852=CYLINDRICAL_SURFACE('',#349437,0.25); #307853=CYLINDRICAL_SURFACE('',#349441,0.25); #307854=CYLINDRICAL_SURFACE('',#349449,0.25); #307855=CYLINDRICAL_SURFACE('',#349453,0.25); #307856=CYLINDRICAL_SURFACE('',#349461,0.25); #307857=CYLINDRICAL_SURFACE('',#349465,0.25); #307858=CYLINDRICAL_SURFACE('',#349473,0.25); #307859=CYLINDRICAL_SURFACE('',#349477,0.25); #307860=CYLINDRICAL_SURFACE('',#349485,0.25); #307861=CYLINDRICAL_SURFACE('',#349489,0.25); #307862=CYLINDRICAL_SURFACE('',#349583,3.595); #307863=CYLINDRICAL_SURFACE('',#349586,5.76); #307864=CYLINDRICAL_SURFACE('',#349591,3.5); #307865=CYLINDRICAL_SURFACE('',#349592,3.5); #307866=CYLINDRICAL_SURFACE('',#349593,3.5); #307867=CYLINDRICAL_SURFACE('',#349595,3.14155); #307868=CYLINDRICAL_SURFACE('',#349597,3.14155); #307869=CYLINDRICAL_SURFACE('',#349598,3.14155); #307870=CYLINDRICAL_SURFACE('',#349599,3.14155); #307871=CYLINDRICAL_SURFACE('',#349600,3.5); #307872=CYLINDRICAL_SURFACE('',#349608,3.454); #307873=CYLINDRICAL_SURFACE('',#349610,3.454); #307874=CYLINDRICAL_SURFACE('',#349611,3.454); #307875=CYLINDRICAL_SURFACE('',#349612,3.454); #307876=CYLINDRICAL_SURFACE('',#349613,3.454); #307877=CYLINDRICAL_SURFACE('',#349614,3.454); #307878=CYLINDRICAL_SURFACE('',#349615,3.454); #307879=CYLINDRICAL_SURFACE('',#349616,3.02375); #307880=CYLINDRICAL_SURFACE('',#349618,3.02375); #307881=CYLINDRICAL_SURFACE('',#349620,3.02375); #307882=CYLINDRICAL_SURFACE('',#349621,3.02375); #307883=CYLINDRICAL_SURFACE('',#349622,3.02375); #307884=CYLINDRICAL_SURFACE('',#349623,3.02375); #307885=CYLINDRICAL_SURFACE('',#349624,3.02375); #307886=CYLINDRICAL_SURFACE('',#349751,3.); #307887=CYLINDRICAL_SURFACE('',#349755,0.150000000181); #307888=CYLINDRICAL_SURFACE('',#349758,0.400000000483); #307889=CYLINDRICAL_SURFACE('',#349770,0.1); #307890=CYLINDRICAL_SURFACE('',#349773,0.1); #307891=CYLINDRICAL_SURFACE('',#349775,0.3); #307892=CYLINDRICAL_SURFACE('',#349780,0.3); #307893=CYLINDRICAL_SURFACE('',#349793,0.3); #307894=CYLINDRICAL_SURFACE('',#349795,0.3); #307895=CYLINDRICAL_SURFACE('',#349796,0.1); #307896=CYLINDRICAL_SURFACE('',#349798,0.1); #307897=CYLINDRICAL_SURFACE('',#349804,0.400000000483); #307898=CYLINDRICAL_SURFACE('',#349807,0.150000000181); #307899=CYLINDRICAL_SURFACE('',#349817,0.3); #307900=CYLINDRICAL_SURFACE('',#349824,0.3); #307901=CYLINDRICAL_SURFACE('',#349825,0.1); #307902=CYLINDRICAL_SURFACE('',#349827,0.1); #307903=CYLINDRICAL_SURFACE('',#349834,0.150000000181); #307904=CYLINDRICAL_SURFACE('',#349837,0.400000000483); #307905=CYLINDRICAL_SURFACE('',#349849,0.1); #307906=CYLINDRICAL_SURFACE('',#349852,0.1); #307907=CYLINDRICAL_SURFACE('',#349854,0.3); #307908=CYLINDRICAL_SURFACE('',#349859,0.3); #307909=CYLINDRICAL_SURFACE('',#349876,0.1); #307910=CYLINDRICAL_SURFACE('',#349878,0.1); #307911=CYLINDRICAL_SURFACE('',#349879,0.3); #307912=CYLINDRICAL_SURFACE('',#349881,0.3); #307913=CYLINDRICAL_SURFACE('',#349897,3.02375); #307914=CYLINDRICAL_SURFACE('',#349899,3.454); #307915=CYLINDRICAL_SURFACE('',#349915,4.5); #307916=CYLINDRICAL_SURFACE('',#349925,4.5); #307917=CYLINDRICAL_SURFACE('',#349928,4.5); #307918=CYLINDRICAL_SURFACE('',#349935,4.5); #307919=CYLINDRICAL_SURFACE('',#349939,5.000000006042); #307920=CYLINDRICAL_SURFACE('',#349956,0.150000000181); #307921=CYLINDRICAL_SURFACE('',#349960,0.150000000181); #307922=CYLINDRICAL_SURFACE('',#349969,0.150000000181); #307923=CYLINDRICAL_SURFACE('',#349972,0.150000000181); #307924=CYLINDRICAL_SURFACE('',#349978,0.3); #307925=CYLINDRICAL_SURFACE('',#349982,0.3); #307926=CYLINDRICAL_SURFACE('',#349986,0.3); #307927=CYLINDRICAL_SURFACE('',#349988,0.3); #307928=CYLINDRICAL_SURFACE('',#349991,0.3); #307929=CYLINDRICAL_SURFACE('',#349995,0.3); #307930=CYLINDRICAL_SURFACE('',#349999,0.3); #307931=CYLINDRICAL_SURFACE('',#350003,0.3); #307932=CYLINDRICAL_SURFACE('',#350006,0.3); #307933=CYLINDRICAL_SURFACE('',#350020,0.3); #307934=CYLINDRICAL_SURFACE('',#350022,0.3); #307935=CYLINDRICAL_SURFACE('',#350024,0.3); #307936=CYLINDRICAL_SURFACE('',#350035,0.150000000181); #307937=CYLINDRICAL_SURFACE('',#350038,0.350000000423); #307938=CYLINDRICAL_SURFACE('',#350047,0.3); #307939=CYLINDRICAL_SURFACE('',#350052,0.3); #307940=CYLINDRICAL_SURFACE('',#350057,0.150000000181); #307941=CYLINDRICAL_SURFACE('',#350060,0.350000000423); #307942=CYLINDRICAL_SURFACE('',#350069,0.3); #307943=CYLINDRICAL_SURFACE('',#350074,0.3); #307944=CYLINDRICAL_SURFACE('',#350080,0.3); #307945=CYLINDRICAL_SURFACE('',#350084,0.3); #307946=CYLINDRICAL_SURFACE('',#350090,0.3); #307947=CYLINDRICAL_SURFACE('',#350094,0.3); #307948=CYLINDRICAL_SURFACE('',#350097,0.3); #307949=CYLINDRICAL_SURFACE('',#350105,0.3); #307950=CYLINDRICAL_SURFACE('',#350106,0.3); #307951=CYLINDRICAL_SURFACE('',#350112,0.3); #307952=CYLINDRICAL_SURFACE('',#350113,0.150000000181); #307953=CYLINDRICAL_SURFACE('',#350115,0.350000000423); #307954=CYLINDRICAL_SURFACE('',#350119,0.350000000423); #307955=CYLINDRICAL_SURFACE('',#350121,0.150000000181); #307956=CYLINDRICAL_SURFACE('',#350127,0.300000000363); #307957=CYLINDRICAL_SURFACE('',#350128,0.300000000363); #307958=CYLINDRICAL_SURFACE('',#350129,0.300000000363); #307959=CYLINDRICAL_SURFACE('',#350130,0.300000000363); #307960=CYLINDRICAL_SURFACE('',#350131,0.300000000363); #307961=CYLINDRICAL_SURFACE('',#350134,0.300000000363); #307962=CYLINDRICAL_SURFACE('',#350143,0.350000000423); #307963=CYLINDRICAL_SURFACE('',#350146,0.150000000181); #307964=CYLINDRICAL_SURFACE('',#350150,0.350000000423); #307965=CYLINDRICAL_SURFACE('',#350152,0.150000000181); #307966=CYLINDRICAL_SURFACE('',#350160,0.150000000181); #307967=CYLINDRICAL_SURFACE('',#350162,0.350000000423); #307968=CYLINDRICAL_SURFACE('',#350174,0.300000000363); #307969=CYLINDRICAL_SURFACE('',#350177,0.300000000363); #307970=CYLINDRICAL_SURFACE('',#350186,0.350000000423); #307971=CYLINDRICAL_SURFACE('',#350189,0.150000000181); #307972=CYLINDRICAL_SURFACE('',#350193,0.350000000423); #307973=CYLINDRICAL_SURFACE('',#350200,0.150000000181); #307974=CYLINDRICAL_SURFACE('',#350212,0.350000000423); #307975=CYLINDRICAL_SURFACE('',#350216,0.150000000181); #307976=CYLINDRICAL_SURFACE('',#350220,0.15); #307977=CYLINDRICAL_SURFACE('',#350236,0.15); #307978=CYLINDRICAL_SURFACE('',#350246,0.15); #307979=CYLINDRICAL_SURFACE('',#350256,0.15); #307980=CYLINDRICAL_SURFACE('',#350266,0.15); #307981=CYLINDRICAL_SURFACE('',#350276,0.15); #307982=CYLINDRICAL_SURFACE('',#350286,0.15); #307983=CYLINDRICAL_SURFACE('',#350296,0.15); #307984=CYLINDRICAL_SURFACE('',#350306,0.15); #307985=CYLINDRICAL_SURFACE('',#350316,0.15); #307986=CYLINDRICAL_SURFACE('',#350326,0.15); #307987=CYLINDRICAL_SURFACE('',#350336,0.15); #307988=CYLINDRICAL_SURFACE('',#350346,0.15); #307989=CYLINDRICAL_SURFACE('',#350356,0.15); #307990=CYLINDRICAL_SURFACE('',#350366,0.15); #307991=CYLINDRICAL_SURFACE('',#350376,0.15); #307992=CYLINDRICAL_SURFACE('',#350386,0.15); #307993=CYLINDRICAL_SURFACE('',#350396,0.15); #307994=CYLINDRICAL_SURFACE('',#350406,0.15); #307995=CYLINDRICAL_SURFACE('',#350416,0.15); #307996=CYLINDRICAL_SURFACE('',#350426,0.15); #307997=CYLINDRICAL_SURFACE('',#350436,0.15); #307998=CYLINDRICAL_SURFACE('',#350446,0.15); #307999=CYLINDRICAL_SURFACE('',#350456,0.15); #308000=CYLINDRICAL_SURFACE('',#350466,0.15); #308001=CYLINDRICAL_SURFACE('',#350476,0.15); #308002=CYLINDRICAL_SURFACE('',#350486,0.15); #308003=CYLINDRICAL_SURFACE('',#350496,0.15); #308004=CYLINDRICAL_SURFACE('',#350506,0.15); #308005=CYLINDRICAL_SURFACE('',#350516,0.15); #308006=CYLINDRICAL_SURFACE('',#350526,0.15); #308007=CYLINDRICAL_SURFACE('',#350536,0.15); #308008=CYLINDRICAL_SURFACE('',#350546,0.15); #308009=CYLINDRICAL_SURFACE('',#350556,0.15); #308010=CYLINDRICAL_SURFACE('',#350566,0.15); #308011=CYLINDRICAL_SURFACE('',#350576,0.15); #308012=CYLINDRICAL_SURFACE('',#350586,0.15); #308013=CYLINDRICAL_SURFACE('',#350596,0.15); #308014=CYLINDRICAL_SURFACE('',#350606,0.15); #308015=CYLINDRICAL_SURFACE('',#350616,0.15); #308016=CYLINDRICAL_SURFACE('',#350626,0.15); #308017=CYLINDRICAL_SURFACE('',#350636,0.15); #308018=CYLINDRICAL_SURFACE('',#350646,0.15); #308019=CYLINDRICAL_SURFACE('',#350656,0.15); #308020=CYLINDRICAL_SURFACE('',#350666,0.15); #308021=CYLINDRICAL_SURFACE('',#350676,0.15); #308022=CYLINDRICAL_SURFACE('',#350686,0.15); #308023=CYLINDRICAL_SURFACE('',#350696,0.15); #308024=CYLINDRICAL_SURFACE('',#350706,0.15); #308025=CYLINDRICAL_SURFACE('',#350743,0.04); #308026=CYLINDRICAL_SURFACE('',#350752,0.04); #308027=CYLINDRICAL_SURFACE('',#350753,0.04); #308028=CYLINDRICAL_SURFACE('',#350758,0.04); #308029=CYLINDRICAL_SURFACE('',#350759,0.04); #308030=CYLINDRICAL_SURFACE('',#350760,0.04); #308031=CYLINDRICAL_SURFACE('',#350761,0.04); #308032=CYLINDRICAL_SURFACE('',#350762,0.04); #308033=CYLINDRICAL_SURFACE('',#350763,0.04); #308034=CYLINDRICAL_SURFACE('',#350764,0.04); #308035=CYLINDRICAL_SURFACE('',#350765,0.04); #308036=CYLINDRICAL_SURFACE('',#350766,0.04); #308037=CYLINDRICAL_SURFACE('',#350793,0.04); #308038=CYLINDRICAL_SURFACE('',#350802,0.04); #308039=CYLINDRICAL_SURFACE('',#350803,0.04); #308040=CYLINDRICAL_SURFACE('',#350808,0.04); #308041=CYLINDRICAL_SURFACE('',#350809,0.04); #308042=CYLINDRICAL_SURFACE('',#350810,0.04); #308043=CYLINDRICAL_SURFACE('',#350811,0.04); #308044=CYLINDRICAL_SURFACE('',#350812,0.04); #308045=CYLINDRICAL_SURFACE('',#350813,0.04); #308046=CYLINDRICAL_SURFACE('',#350814,0.04); #308047=CYLINDRICAL_SURFACE('',#350815,0.04); #308048=CYLINDRICAL_SURFACE('',#350816,0.04); #308049=CYLINDRICAL_SURFACE('',#350875,0.1995); #308050=CYLINDRICAL_SURFACE('',#350899,0.0499999999991504); #308051=CYLINDRICAL_SURFACE('',#350904,0.200000000000034); #308052=CYLINDRICAL_SURFACE('',#350907,0.0500000000000014); #308053=CYLINDRICAL_SURFACE('',#350912,0.200000000000001); #308054=CYLINDRICAL_SURFACE('',#350921,0.0499999999991504); #308055=CYLINDRICAL_SURFACE('',#350926,0.200000000000034); #308056=CYLINDRICAL_SURFACE('',#350929,0.0500000000000014); #308057=CYLINDRICAL_SURFACE('',#350934,0.200000000000001); #308058=CYLINDRICAL_SURFACE('',#350943,0.0499999999991504); #308059=CYLINDRICAL_SURFACE('',#350948,0.200000000000034); #308060=CYLINDRICAL_SURFACE('',#350951,0.0500000000000014); #308061=CYLINDRICAL_SURFACE('',#350956,0.200000000000001); #308062=CYLINDRICAL_SURFACE('',#350965,0.0499999999991504); #308063=CYLINDRICAL_SURFACE('',#350970,0.200000000000034); #308064=CYLINDRICAL_SURFACE('',#350973,0.0500000000000014); #308065=CYLINDRICAL_SURFACE('',#350978,0.200000000000001); #308066=CYLINDRICAL_SURFACE('',#350987,0.0499999999991504); #308067=CYLINDRICAL_SURFACE('',#350992,0.200000000000034); #308068=CYLINDRICAL_SURFACE('',#350995,0.0500000000000014); #308069=CYLINDRICAL_SURFACE('',#351000,0.200000000000001); #308070=CYLINDRICAL_SURFACE('',#351009,0.0499999999991504); #308071=CYLINDRICAL_SURFACE('',#351014,0.200000000000034); #308072=CYLINDRICAL_SURFACE('',#351017,0.0500000000000014); #308073=CYLINDRICAL_SURFACE('',#351022,0.200000000000001); #308074=CYLINDRICAL_SURFACE('',#351031,0.0499999999991504); #308075=CYLINDRICAL_SURFACE('',#351036,0.200000000000034); #308076=CYLINDRICAL_SURFACE('',#351039,0.0500000000000014); #308077=CYLINDRICAL_SURFACE('',#351044,0.200000000000001); #308078=CYLINDRICAL_SURFACE('',#351053,0.0499999999991504); #308079=CYLINDRICAL_SURFACE('',#351058,0.200000000000034); #308080=CYLINDRICAL_SURFACE('',#351061,0.0500000000000014); #308081=CYLINDRICAL_SURFACE('',#351066,0.200000000000001); #308082=CYLINDRICAL_SURFACE('',#351072,0.085); #308083=CYLINDRICAL_SURFACE('',#351098,0.0325); #308084=CYLINDRICAL_SURFACE('',#351101,0.13); #308085=CYLINDRICAL_SURFACE('',#351106,0.0325); #308086=CYLINDRICAL_SURFACE('',#351109,0.13); #308087=CYLINDRICAL_SURFACE('',#351120,0.0325); #308088=CYLINDRICAL_SURFACE('',#351123,0.13); #308089=CYLINDRICAL_SURFACE('',#351128,0.0325); #308090=CYLINDRICAL_SURFACE('',#351131,0.13); #308091=CYLINDRICAL_SURFACE('',#351142,0.0325); #308092=CYLINDRICAL_SURFACE('',#351145,0.13); #308093=CYLINDRICAL_SURFACE('',#351150,0.0325); #308094=CYLINDRICAL_SURFACE('',#351153,0.13); #308095=CYLINDRICAL_SURFACE('',#351164,0.0325); #308096=CYLINDRICAL_SURFACE('',#351167,0.13); #308097=CYLINDRICAL_SURFACE('',#351172,0.0325); #308098=CYLINDRICAL_SURFACE('',#351175,0.13); #308099=CYLINDRICAL_SURFACE('',#351186,0.0325); #308100=CYLINDRICAL_SURFACE('',#351189,0.13); #308101=CYLINDRICAL_SURFACE('',#351194,0.0325); #308102=CYLINDRICAL_SURFACE('',#351197,0.13); #308103=CYLINDRICAL_SURFACE('',#351223,0.04); #308104=CYLINDRICAL_SURFACE('',#351232,0.04); #308105=CYLINDRICAL_SURFACE('',#351233,0.04); #308106=CYLINDRICAL_SURFACE('',#351238,0.04); #308107=CYLINDRICAL_SURFACE('',#351239,0.04); #308108=CYLINDRICAL_SURFACE('',#351240,0.04); #308109=CYLINDRICAL_SURFACE('',#351241,0.04); #308110=CYLINDRICAL_SURFACE('',#351242,0.04); #308111=CYLINDRICAL_SURFACE('',#351243,0.04); #308112=CYLINDRICAL_SURFACE('',#351244,0.04); #308113=CYLINDRICAL_SURFACE('',#351245,0.04); #308114=CYLINDRICAL_SURFACE('',#351246,0.04); #308115=CYLINDRICAL_SURFACE('',#351273,0.04); #308116=CYLINDRICAL_SURFACE('',#351282,0.04); #308117=CYLINDRICAL_SURFACE('',#351283,0.04); #308118=CYLINDRICAL_SURFACE('',#351288,0.04); #308119=CYLINDRICAL_SURFACE('',#351289,0.04); #308120=CYLINDRICAL_SURFACE('',#351290,0.04); #308121=CYLINDRICAL_SURFACE('',#351291,0.04); #308122=CYLINDRICAL_SURFACE('',#351292,0.04); #308123=CYLINDRICAL_SURFACE('',#351293,0.04); #308124=CYLINDRICAL_SURFACE('',#351294,0.04); #308125=CYLINDRICAL_SURFACE('',#351295,0.04); #308126=CYLINDRICAL_SURFACE('',#351296,0.04); #308127=ADVANCED_FACE('',(#20612),#304371,.F.); #308128=ADVANCED_FACE('',(#20613),#304372,.F.); #308129=ADVANCED_FACE('',(#20614),#304373,.F.); #308130=ADVANCED_FACE('',(#20615),#304374,.F.); #308131=ADVANCED_FACE('',(#20616),#304375,.F.); #308132=ADVANCED_FACE('',(#20617),#304376,.F.); #308133=ADVANCED_FACE('',(#20618),#304377,.F.); #308134=ADVANCED_FACE('',(#20619),#304378,.F.); #308135=ADVANCED_FACE('',(#20620),#304379,.F.); #308136=ADVANCED_FACE('',(#20621),#304380,.F.); #308137=ADVANCED_FACE('',(#20622),#304381,.F.); #308138=ADVANCED_FACE('',(#20623),#304382,.F.); #308139=ADVANCED_FACE('',(#20624),#304383,.F.); #308140=ADVANCED_FACE('',(#20625),#304384,.F.); #308141=ADVANCED_FACE('',(#20626),#304385,.F.); #308142=ADVANCED_FACE('',(#20627),#304386,.F.); #308143=ADVANCED_FACE('',(#20628),#304387,.F.); #308144=ADVANCED_FACE('',(#20629),#304388,.F.); #308145=ADVANCED_FACE('',(#20630),#304389,.F.); #308146=ADVANCED_FACE('',(#20631),#304390,.F.); #308147=ADVANCED_FACE('',(#20632),#304391,.F.); #308148=ADVANCED_FACE('',(#20633),#304392,.F.); #308149=ADVANCED_FACE('',(#20634),#304393,.F.); #308150=ADVANCED_FACE('',(#20635),#304394,.F.); #308151=ADVANCED_FACE('',(#20636),#304395,.F.); #308152=ADVANCED_FACE('',(#20637),#304396,.F.); #308153=ADVANCED_FACE('',(#20638),#304397,.F.); #308154=ADVANCED_FACE('',(#20639),#304398,.F.); #308155=ADVANCED_FACE('',(#20640),#304399,.F.); #308156=ADVANCED_FACE('',(#20641),#304400,.F.); #308157=ADVANCED_FACE('',(#20642),#304401,.F.); #308158=ADVANCED_FACE('',(#20643),#304402,.F.); #308159=ADVANCED_FACE('',(#20644),#304403,.F.); #308160=ADVANCED_FACE('',(#20645),#304404,.F.); #308161=ADVANCED_FACE('',(#20646),#304405,.F.); #308162=ADVANCED_FACE('',(#20647),#304406,.F.); #308163=ADVANCED_FACE('',(#20648),#304407,.F.); #308164=ADVANCED_FACE('',(#20649),#304408,.F.); #308165=ADVANCED_FACE('',(#20650),#304409,.F.); #308166=ADVANCED_FACE('',(#20651),#304410,.F.); #308167=ADVANCED_FACE('',(#20652),#304411,.F.); #308168=ADVANCED_FACE('',(#20653),#304412,.F.); #308169=ADVANCED_FACE('',(#20654),#304413,.F.); #308170=ADVANCED_FACE('',(#20655),#304414,.F.); #308171=ADVANCED_FACE('',(#20656),#304415,.F.); #308172=ADVANCED_FACE('',(#20657),#304416,.F.); #308173=ADVANCED_FACE('',(#20658),#304417,.F.); #308174=ADVANCED_FACE('',(#20659),#304418,.F.); #308175=ADVANCED_FACE('',(#20660),#304419,.F.); #308176=ADVANCED_FACE('',(#20661),#304420,.F.); #308177=ADVANCED_FACE('',(#20662),#304421,.F.); #308178=ADVANCED_FACE('',(#20663),#304422,.F.); #308179=ADVANCED_FACE('',(#20664),#304423,.F.); #308180=ADVANCED_FACE('',(#20665),#304424,.F.); #308181=ADVANCED_FACE('',(#20666),#304425,.F.); #308182=ADVANCED_FACE('',(#20667),#304426,.F.); #308183=ADVANCED_FACE('',(#20668),#304427,.F.); #308184=ADVANCED_FACE('',(#20669),#304428,.F.); #308185=ADVANCED_FACE('',(#20670),#304429,.F.); #308186=ADVANCED_FACE('',(#20671),#304430,.F.); #308187=ADVANCED_FACE('',(#20672),#304431,.F.); #308188=ADVANCED_FACE('',(#20673),#304432,.F.); #308189=ADVANCED_FACE('',(#20674),#304433,.F.); #308190=ADVANCED_FACE('',(#20675),#304434,.F.); #308191=ADVANCED_FACE('',(#20676),#304435,.F.); #308192=ADVANCED_FACE('',(#20677),#304436,.F.); #308193=ADVANCED_FACE('',(#20678),#304437,.F.); #308194=ADVANCED_FACE('',(#20679),#304438,.F.); #308195=ADVANCED_FACE('',(#20680),#304439,.F.); #308196=ADVANCED_FACE('',(#20681),#304440,.F.); #308197=ADVANCED_FACE('',(#20682),#304441,.F.); #308198=ADVANCED_FACE('',(#20683),#304442,.F.); #308199=ADVANCED_FACE('',(#20684),#304443,.F.); #308200=ADVANCED_FACE('',(#20685),#304444,.F.); #308201=ADVANCED_FACE('',(#20686),#304445,.F.); #308202=ADVANCED_FACE('',(#20687),#304446,.F.); #308203=ADVANCED_FACE('',(#20688),#304447,.F.); #308204=ADVANCED_FACE('',(#20689),#304448,.F.); #308205=ADVANCED_FACE('',(#20690),#304449,.F.); #308206=ADVANCED_FACE('',(#20691),#304450,.F.); #308207=ADVANCED_FACE('',(#20692),#304451,.F.); #308208=ADVANCED_FACE('',(#20693),#304452,.F.); #308209=ADVANCED_FACE('',(#20694),#304453,.F.); #308210=ADVANCED_FACE('',(#20695),#304454,.F.); #308211=ADVANCED_FACE('',(#20696),#304455,.F.); #308212=ADVANCED_FACE('',(#20697),#304456,.F.); #308213=ADVANCED_FACE('',(#20698),#304457,.F.); #308214=ADVANCED_FACE('',(#20699),#304458,.F.); #308215=ADVANCED_FACE('',(#20700),#304459,.F.); #308216=ADVANCED_FACE('',(#20701),#304460,.F.); #308217=ADVANCED_FACE('',(#20702),#304461,.F.); #308218=ADVANCED_FACE('',(#20703),#304462,.F.); #308219=ADVANCED_FACE('',(#20704),#304463,.F.); #308220=ADVANCED_FACE('',(#20705),#304464,.F.); #308221=ADVANCED_FACE('',(#20706),#304465,.F.); #308222=ADVANCED_FACE('',(#20707),#304466,.F.); #308223=ADVANCED_FACE('',(#20708),#304467,.F.); #308224=ADVANCED_FACE('',(#20709),#304468,.F.); #308225=ADVANCED_FACE('',(#20710),#304469,.F.); #308226=ADVANCED_FACE('',(#20711),#304470,.F.); #308227=ADVANCED_FACE('',(#20712),#304471,.F.); #308228=ADVANCED_FACE('',(#20713),#304472,.F.); #308229=ADVANCED_FACE('',(#20714),#304473,.F.); #308230=ADVANCED_FACE('',(#20715),#304474,.F.); #308231=ADVANCED_FACE('',(#20716),#304475,.F.); #308232=ADVANCED_FACE('',(#20717),#304476,.F.); #308233=ADVANCED_FACE('',(#20718),#304477,.F.); #308234=ADVANCED_FACE('',(#20719),#304478,.F.); #308235=ADVANCED_FACE('',(#20720),#304479,.F.); #308236=ADVANCED_FACE('',(#20721),#304480,.F.); #308237=ADVANCED_FACE('',(#20722),#304481,.F.); #308238=ADVANCED_FACE('',(#20723),#304482,.F.); #308239=ADVANCED_FACE('',(#20724),#304483,.F.); #308240=ADVANCED_FACE('',(#20725),#304484,.F.); #308241=ADVANCED_FACE('',(#20726),#304485,.F.); #308242=ADVANCED_FACE('',(#20727),#304486,.F.); #308243=ADVANCED_FACE('',(#20728),#304487,.F.); #308244=ADVANCED_FACE('',(#20729),#304488,.F.); #308245=ADVANCED_FACE('',(#20730),#304489,.F.); #308246=ADVANCED_FACE('',(#20731),#304490,.F.); #308247=ADVANCED_FACE('',(#20732),#304491,.F.); #308248=ADVANCED_FACE('',(#20733),#304492,.F.); #308249=ADVANCED_FACE('',(#20734),#304493,.F.); #308250=ADVANCED_FACE('',(#20735),#304494,.F.); #308251=ADVANCED_FACE('',(#20736),#304495,.F.); #308252=ADVANCED_FACE('',(#20737),#304496,.F.); #308253=ADVANCED_FACE('',(#20738),#304497,.F.); #308254=ADVANCED_FACE('',(#20739),#304498,.F.); #308255=ADVANCED_FACE('',(#20740),#304499,.F.); #308256=ADVANCED_FACE('',(#20741),#304500,.F.); #308257=ADVANCED_FACE('',(#20742),#304501,.F.); #308258=ADVANCED_FACE('',(#20743),#304502,.F.); #308259=ADVANCED_FACE('',(#20744),#304503,.F.); #308260=ADVANCED_FACE('',(#20745),#304504,.F.); #308261=ADVANCED_FACE('',(#20746),#304505,.F.); #308262=ADVANCED_FACE('',(#20747),#304506,.F.); #308263=ADVANCED_FACE('',(#20748),#304507,.F.); #308264=ADVANCED_FACE('',(#20749),#304508,.F.); #308265=ADVANCED_FACE('',(#20750),#304509,.F.); #308266=ADVANCED_FACE('',(#20751),#304510,.F.); #308267=ADVANCED_FACE('',(#20752),#304511,.F.); #308268=ADVANCED_FACE('',(#20753),#304512,.F.); #308269=ADVANCED_FACE('',(#20754),#304513,.F.); #308270=ADVANCED_FACE('',(#20755),#304514,.F.); #308271=ADVANCED_FACE('',(#20756),#304515,.F.); #308272=ADVANCED_FACE('',(#20757),#304516,.F.); #308273=ADVANCED_FACE('',(#20758),#304517,.F.); #308274=ADVANCED_FACE('',(#20759),#304518,.F.); #308275=ADVANCED_FACE('',(#20760),#304519,.F.); #308276=ADVANCED_FACE('',(#20761),#304520,.F.); #308277=ADVANCED_FACE('',(#20762),#304521,.F.); #308278=ADVANCED_FACE('',(#20763),#304522,.F.); #308279=ADVANCED_FACE('',(#20764),#304523,.F.); #308280=ADVANCED_FACE('',(#20765),#304524,.F.); #308281=ADVANCED_FACE('',(#20766),#304525,.F.); #308282=ADVANCED_FACE('',(#20767),#304526,.F.); #308283=ADVANCED_FACE('',(#20768),#304527,.F.); #308284=ADVANCED_FACE('',(#20769),#304528,.F.); #308285=ADVANCED_FACE('',(#20770),#304529,.F.); #308286=ADVANCED_FACE('',(#20771),#304530,.F.); #308287=ADVANCED_FACE('',(#20772),#304531,.F.); #308288=ADVANCED_FACE('',(#20773),#304532,.F.); #308289=ADVANCED_FACE('',(#20774),#304533,.F.); #308290=ADVANCED_FACE('',(#20775),#7482,.F.); #308291=ADVANCED_FACE('',(#20776),#304534,.F.); #308292=ADVANCED_FACE('',(#20777),#7483,.F.); #308293=ADVANCED_FACE('',(#20778),#304535,.F.); #308294=ADVANCED_FACE('',(#20779),#304536,.F.); #308295=ADVANCED_FACE('',(#20780),#7484,.F.); #308296=ADVANCED_FACE('',(#20781),#304537,.F.); #308297=ADVANCED_FACE('',(#20782),#7485,.F.); #308298=ADVANCED_FACE('',(#20783),#304538,.F.); #308299=ADVANCED_FACE('',(#20784),#304539,.F.); #308300=ADVANCED_FACE('',(#20785),#304540,.F.); #308301=ADVANCED_FACE('',(#20786),#304541,.F.); #308302=ADVANCED_FACE('',(#20787),#7486,.F.); #308303=ADVANCED_FACE('',(#20788),#304542,.F.); #308304=ADVANCED_FACE('',(#20789),#7487,.F.); #308305=ADVANCED_FACE('',(#20790),#304543,.F.); #308306=ADVANCED_FACE('',(#20791),#304544,.F.); #308307=ADVANCED_FACE('',(#20792),#304545,.F.); #308308=ADVANCED_FACE('',(#20793),#304546,.F.); #308309=ADVANCED_FACE('',(#20794),#304547,.F.); #308310=ADVANCED_FACE('',(#20795),#304548,.F.); #308311=ADVANCED_FACE('',(#20796),#304549,.F.); #308312=ADVANCED_FACE('',(#20797),#304550,.F.); #308313=ADVANCED_FACE('',(#20798),#304551,.F.); #308314=ADVANCED_FACE('',(#20799),#304552,.F.); #308315=ADVANCED_FACE('',(#20800),#304553,.F.); #308316=ADVANCED_FACE('',(#20801),#7488,.F.); #308317=ADVANCED_FACE('',(#20802),#304554,.F.); #308318=ADVANCED_FACE('',(#20803),#7489,.F.); #308319=ADVANCED_FACE('',(#20804),#304555,.F.); #308320=ADVANCED_FACE('',(#20805),#304556,.F.); #308321=ADVANCED_FACE('',(#20806),#7490,.F.); #308322=ADVANCED_FACE('',(#20807),#304557,.F.); #308323=ADVANCED_FACE('',(#20808),#7491,.F.); #308324=ADVANCED_FACE('',(#20809),#304558,.F.); #308325=ADVANCED_FACE('',(#20810),#304559,.F.); #308326=ADVANCED_FACE('',(#20811),#7492,.F.); #308327=ADVANCED_FACE('',(#20812),#304560,.F.); #308328=ADVANCED_FACE('',(#20813),#7493,.F.); #308329=ADVANCED_FACE('',(#20814),#304561,.F.); #308330=ADVANCED_FACE('',(#20815),#304562,.F.); #308331=ADVANCED_FACE('',(#20816),#304563,.F.); #308332=ADVANCED_FACE('',(#20817),#304564,.F.); #308333=ADVANCED_FACE('',(#20818),#304565,.F.); #308334=ADVANCED_FACE('',(#20819),#304566,.F.); #308335=ADVANCED_FACE('',(#20820),#304567,.F.); #308336=ADVANCED_FACE('',(#20821),#304568,.F.); #308337=ADVANCED_FACE('',(#20822),#304569,.F.); #308338=ADVANCED_FACE('',(#20823),#304570,.F.); #308339=ADVANCED_FACE('',(#20824),#304571,.F.); #308340=ADVANCED_FACE('',(#20825),#304572,.F.); #308341=ADVANCED_FACE('',(#20826),#304573,.F.); #308342=ADVANCED_FACE('',(#20827),#304574,.F.); #308343=ADVANCED_FACE('',(#20828),#304575,.F.); #308344=ADVANCED_FACE('',(#20829),#304576,.F.); #308345=ADVANCED_FACE('',(#20830),#304577,.F.); #308346=ADVANCED_FACE('',(#20831),#304578,.F.); #308347=ADVANCED_FACE('',(#20832),#304579,.F.); #308348=ADVANCED_FACE('',(#20833),#304580,.F.); #308349=ADVANCED_FACE('',(#20834),#304581,.F.); #308350=ADVANCED_FACE('',(#20835),#304582,.F.); #308351=ADVANCED_FACE('',(#20836),#304583,.F.); #308352=ADVANCED_FACE('',(#20837),#304584,.F.); #308353=ADVANCED_FACE('',(#20838),#304585,.F.); #308354=ADVANCED_FACE('',(#20839),#304586,.F.); #308355=ADVANCED_FACE('',(#20840),#304587,.F.); #308356=ADVANCED_FACE('',(#20841),#304588,.F.); #308357=ADVANCED_FACE('',(#20842),#304589,.F.); #308358=ADVANCED_FACE('',(#20843),#304590,.F.); #308359=ADVANCED_FACE('',(#20844),#304591,.F.); #308360=ADVANCED_FACE('',(#20845),#304592,.F.); #308361=ADVANCED_FACE('',(#20846),#304593,.F.); #308362=ADVANCED_FACE('',(#20847),#304594,.F.); #308363=ADVANCED_FACE('',(#20848),#304595,.F.); #308364=ADVANCED_FACE('',(#20849),#304596,.F.); #308365=ADVANCED_FACE('',(#20850),#304597,.F.); #308366=ADVANCED_FACE('',(#20851),#304598,.F.); #308367=ADVANCED_FACE('',(#20852),#304599,.F.); #308368=ADVANCED_FACE('',(#20853),#304600,.F.); #308369=ADVANCED_FACE('',(#20854),#304601,.F.); #308370=ADVANCED_FACE('',(#20855),#304602,.F.); #308371=ADVANCED_FACE('',(#20856),#304603,.F.); #308372=ADVANCED_FACE('',(#20857),#304604,.F.); #308373=ADVANCED_FACE('',(#20858),#304605,.F.); #308374=ADVANCED_FACE('',(#20859),#304606,.F.); #308375=ADVANCED_FACE('',(#20860),#304607,.F.); #308376=ADVANCED_FACE('',(#20861),#304608,.F.); #308377=ADVANCED_FACE('',(#20862),#304609,.F.); #308378=ADVANCED_FACE('',(#20863),#304610,.F.); #308379=ADVANCED_FACE('',(#20864),#304611,.F.); #308380=ADVANCED_FACE('',(#20865),#304612,.F.); #308381=ADVANCED_FACE('',(#20866),#304613,.F.); #308382=ADVANCED_FACE('',(#20867),#304614,.F.); #308383=ADVANCED_FACE('',(#20868),#304615,.F.); #308384=ADVANCED_FACE('',(#20869),#304616,.F.); #308385=ADVANCED_FACE('',(#20870),#304617,.F.); #308386=ADVANCED_FACE('',(#20871),#304618,.F.); #308387=ADVANCED_FACE('',(#20872),#304619,.F.); #308388=ADVANCED_FACE('',(#20873),#304620,.F.); #308389=ADVANCED_FACE('',(#20874),#304621,.F.); #308390=ADVANCED_FACE('',(#20875),#304622,.F.); #308391=ADVANCED_FACE('',(#20876),#304623,.F.); #308392=ADVANCED_FACE('',(#20877),#304624,.F.); #308393=ADVANCED_FACE('',(#20878),#304625,.F.); #308394=ADVANCED_FACE('',(#20879),#304626,.F.); #308395=ADVANCED_FACE('',(#20880),#304627,.F.); #308396=ADVANCED_FACE('',(#20881),#304628,.F.); #308397=ADVANCED_FACE('',(#20882),#304629,.F.); #308398=ADVANCED_FACE('',(#20883),#304630,.F.); #308399=ADVANCED_FACE('',(#20884),#304631,.F.); #308400=ADVANCED_FACE('',(#20885),#304632,.F.); #308401=ADVANCED_FACE('',(#20886),#304633,.F.); #308402=ADVANCED_FACE('',(#20887),#304634,.F.); #308403=ADVANCED_FACE('',(#20888),#304635,.F.); #308404=ADVANCED_FACE('',(#20889),#304636,.F.); #308405=ADVANCED_FACE('',(#20890),#304637,.F.); #308406=ADVANCED_FACE('',(#20891),#304638,.F.); #308407=ADVANCED_FACE('',(#20892),#304639,.F.); #308408=ADVANCED_FACE('',(#20893),#304640,.F.); #308409=ADVANCED_FACE('',(#20894),#304641,.F.); #308410=ADVANCED_FACE('',(#20895),#304642,.F.); #308411=ADVANCED_FACE('',(#20896),#304643,.F.); #308412=ADVANCED_FACE('',(#20897),#304644,.F.); #308413=ADVANCED_FACE('',(#20898),#304645,.F.); #308414=ADVANCED_FACE('',(#20899),#304646,.F.); #308415=ADVANCED_FACE('',(#20900),#304647,.F.); #308416=ADVANCED_FACE('',(#20901),#304648,.F.); #308417=ADVANCED_FACE('',(#20902),#304649,.F.); #308418=ADVANCED_FACE('',(#20903),#304650,.F.); #308419=ADVANCED_FACE('',(#20904),#304651,.F.); #308420=ADVANCED_FACE('',(#20905),#304652,.F.); #308421=ADVANCED_FACE('',(#20906),#304653,.F.); #308422=ADVANCED_FACE('',(#20907),#304654,.F.); #308423=ADVANCED_FACE('',(#20908),#304655,.F.); #308424=ADVANCED_FACE('',(#20909),#304656,.F.); #308425=ADVANCED_FACE('',(#20910),#304657,.F.); #308426=ADVANCED_FACE('',(#20911),#304658,.F.); #308427=ADVANCED_FACE('',(#20912),#304659,.F.); #308428=ADVANCED_FACE('',(#20913),#304660,.F.); #308429=ADVANCED_FACE('',(#20914),#304661,.F.); #308430=ADVANCED_FACE('',(#20915),#304662,.F.); #308431=ADVANCED_FACE('',(#20916),#304663,.F.); #308432=ADVANCED_FACE('',(#20917),#304664,.F.); #308433=ADVANCED_FACE('',(#20918),#304665,.F.); #308434=ADVANCED_FACE('',(#20919),#304666,.F.); #308435=ADVANCED_FACE('',(#20920),#304667,.F.); #308436=ADVANCED_FACE('',(#20921),#304668,.F.); #308437=ADVANCED_FACE('',(#20922),#304669,.F.); #308438=ADVANCED_FACE('',(#20923),#304670,.F.); #308439=ADVANCED_FACE('',(#20924),#304671,.F.); #308440=ADVANCED_FACE('',(#20925),#304672,.F.); #308441=ADVANCED_FACE('',(#20926),#304673,.F.); #308442=ADVANCED_FACE('',(#20927),#304674,.F.); #308443=ADVANCED_FACE('',(#20928),#304675,.F.); #308444=ADVANCED_FACE('',(#20929),#304676,.F.); #308445=ADVANCED_FACE('',(#20930),#304677,.F.); #308446=ADVANCED_FACE('',(#20931),#304678,.F.); #308447=ADVANCED_FACE('',(#20932),#304679,.F.); #308448=ADVANCED_FACE('',(#20933),#304680,.F.); #308449=ADVANCED_FACE('',(#20934),#304681,.F.); #308450=ADVANCED_FACE('',(#20935),#304682,.F.); #308451=ADVANCED_FACE('',(#20936),#304683,.F.); #308452=ADVANCED_FACE('',(#20937),#304684,.F.); #308453=ADVANCED_FACE('',(#20938),#304685,.F.); #308454=ADVANCED_FACE('',(#20939),#304686,.F.); #308455=ADVANCED_FACE('',(#20940),#304687,.F.); #308456=ADVANCED_FACE('',(#20941),#304688,.F.); #308457=ADVANCED_FACE('',(#20942),#304689,.F.); #308458=ADVANCED_FACE('',(#20943),#304690,.F.); #308459=ADVANCED_FACE('',(#20944),#304691,.F.); #308460=ADVANCED_FACE('',(#20945),#304692,.F.); #308461=ADVANCED_FACE('',(#20946),#304693,.F.); #308462=ADVANCED_FACE('',(#20947),#304694,.T.); #308463=ADVANCED_FACE('',(#20948,#3169,#3170,#3171,#3172,#3173,#3174,#3175, #3176,#3177,#3178,#3179,#3180,#3181,#3182,#3183,#3184,#3185,#3186,#3187, #3188,#3189,#3190,#3191,#3192,#3193,#3194,#3195,#3196,#3197,#3198,#3199, #3200,#3201,#3202,#3203,#3204,#3205,#3206,#3207,#3208,#3209,#3210,#3211, #3212,#3213,#3214,#3215,#3216,#3217,#3218,#3219,#3220,#3221,#3222,#3223, #3224,#3225,#3226,#3227,#3228,#3229,#3230,#3231,#3232,#3233,#3234,#3235, #3236,#3237,#3238,#3239,#3240,#3241,#3242,#3243,#3244,#3245,#3246,#3247, #3248,#3249,#3250,#3251,#3252,#3253,#3254,#3255,#3256,#3257,#3258,#3259, #3260,#3261,#3262,#3263,#3264,#3265,#3266,#3267,#3268,#3269,#3270,#3271, #3272,#3273,#3274,#3275,#3276,#3277,#3278,#3279,#3280,#3281,#3282,#3283, #3284,#3285,#3286,#3287,#3288,#3289,#3290,#3291,#3292,#3293,#3294,#3295, #3296,#3297,#3298,#3299,#3300,#3301,#3302,#3303,#3304,#3305,#3306,#3307, #3308,#3309,#3310,#3311,#3312,#3313,#3314,#3315,#3316,#3317,#3318,#3319, #3320,#3321,#3322,#3323,#3324,#3325,#3326,#3327,#3328,#3329,#3330,#3331, #3332,#3333,#3334,#3335,#3336,#3337,#3338,#3339,#3340,#3341,#3342,#3343, #3344,#3345,#3346,#3347,#3348,#3349,#3350,#3351,#3352,#3353,#3354,#3355, #3356,#3357,#3358,#3359,#3360,#3361,#3362,#3363,#3364,#3365,#3366,#3367, #3368,#3369,#3370,#3371,#3372,#3373,#3374,#3375,#3376,#3377,#3378,#3379, #3380,#3381,#3382,#3383,#3384,#3385,#3386,#3387,#3388,#3389,#3390,#3391, #3392,#3393,#3394,#3395,#3396,#3397,#3398,#3399,#3400,#3401,#3402,#3403, #3404,#3405,#3406,#3407,#3408,#3409,#3410,#3411,#3412,#3413,#3414,#3415, #3416,#3417,#3418,#3419,#3420,#3421,#3422,#3423,#3424,#3425,#3426,#3427, #3428,#3429,#3430,#3431,#3432,#3433,#3434,#3435,#3436,#3437,#3438,#3439, #3440,#3441,#3442,#3443,#3444,#3445,#3446,#3447,#3448,#3449,#3450,#3451, #3452,#3453,#3454,#3455,#3456,#3457,#3458,#3459,#3460,#3461,#3462,#3463, #3464,#3465,#3466,#3467,#3468,#3469,#3470,#3471,#3472,#3473,#3474,#3475, #3476,#3477,#3478,#3479,#3480,#3481,#3482,#3483,#3484,#3485),#7494,.T.); #308464=ADVANCED_FACE('',(#20949,#3486,#3487,#3488,#3489,#3490,#3491,#3492, #3493,#3494,#3495,#3496,#3497,#3498,#3499,#3500,#3501,#3502,#3503,#3504, #3505,#3506,#3507,#3508,#3509,#3510,#3511,#3512,#3513,#3514,#3515,#3516, #3517,#3518,#3519,#3520,#3521,#3522,#3523,#3524,#3525,#3526,#3527,#3528, #3529,#3530,#3531,#3532,#3533,#3534,#3535,#3536,#3537,#3538,#3539,#3540, #3541,#3542,#3543,#3544,#3545,#3546,#3547,#3548,#3549,#3550,#3551,#3552, #3553,#3554,#3555,#3556,#3557,#3558,#3559,#3560,#3561,#3562,#3563,#3564, #3565,#3566,#3567,#3568,#3569,#3570,#3571,#3572,#3573,#3574,#3575,#3576, #3577,#3578,#3579,#3580,#3581,#3582,#3583,#3584,#3585,#3586,#3587,#3588, #3589,#3590,#3591,#3592,#3593,#3594,#3595,#3596,#3597,#3598,#3599,#3600, #3601,#3602,#3603,#3604,#3605,#3606,#3607,#3608,#3609,#3610,#3611,#3612, #3613,#3614,#3615,#3616,#3617,#3618,#3619,#3620,#3621,#3622,#3623,#3624, #3625,#3626,#3627,#3628,#3629,#3630,#3631,#3632,#3633,#3634,#3635,#3636, #3637,#3638,#3639,#3640,#3641,#3642,#3643,#3644,#3645,#3646,#3647,#3648, #3649,#3650,#3651,#3652,#3653,#3654,#3655,#3656,#3657,#3658,#3659,#3660, #3661,#3662,#3663,#3664,#3665,#3666,#3667,#3668,#3669,#3670,#3671,#3672, #3673,#3674,#3675,#3676,#3677,#3678,#3679,#3680,#3681,#3682,#3683,#3684, #3685,#3686,#3687,#3688,#3689,#3690,#3691,#3692,#3693,#3694,#3695,#3696, #3697,#3698,#3699,#3700,#3701,#3702,#3703,#3704,#3705,#3706,#3707,#3708, #3709,#3710,#3711,#3712,#3713,#3714,#3715,#3716,#3717,#3718,#3719,#3720, #3721,#3722,#3723,#3724,#3725,#3726,#3727,#3728,#3729,#3730,#3731,#3732, #3733,#3734,#3735,#3736,#3737,#3738,#3739,#3740,#3741,#3742,#3743,#3744, #3745,#3746,#3747,#3748,#3749,#3750,#3751,#3752,#3753,#3754,#3755,#3756, #3757,#3758,#3759,#3760,#3761,#3762,#3763,#3764,#3765,#3766,#3767,#3768, #3769,#3770,#3771,#3772,#3773,#3774,#3775,#3776,#3777,#3778,#3779,#3780, #3781,#3782,#3783,#3784,#3785,#3786,#3787,#3788,#3789,#3790,#3791,#3792, #3793,#3794,#3795,#3796,#3797,#3798,#3799,#3800,#3801,#3802),#7495,.F.); #308465=ADVANCED_FACE('',(#20950),#304695,.F.); #308466=ADVANCED_FACE('',(#20951),#304696,.T.); #308467=ADVANCED_FACE('',(#20952,#3803),#7496,.T.); #308468=ADVANCED_FACE('',(#20953,#3804),#7497,.F.); #308469=ADVANCED_FACE('',(#20954),#304697,.F.); #308470=ADVANCED_FACE('',(#20955),#304698,.T.); #308471=ADVANCED_FACE('',(#20956,#3805),#7498,.T.); #308472=ADVANCED_FACE('',(#20957,#3806),#7499,.F.); #308473=ADVANCED_FACE('',(#20958),#304699,.F.); #308474=ADVANCED_FACE('',(#20959),#304700,.T.); #308475=ADVANCED_FACE('',(#20960,#3807),#7500,.T.); #308476=ADVANCED_FACE('',(#20961,#3808),#7501,.F.); #308477=ADVANCED_FACE('',(#20962),#304701,.F.); #308478=ADVANCED_FACE('',(#20963),#304702,.T.); #308479=ADVANCED_FACE('',(#20964,#3809),#7502,.T.); #308480=ADVANCED_FACE('',(#20965,#3810),#7503,.F.); #308481=ADVANCED_FACE('',(#20966),#304703,.F.); #308482=ADVANCED_FACE('',(#20967),#304704,.T.); #308483=ADVANCED_FACE('',(#20968,#3811),#7504,.T.); #308484=ADVANCED_FACE('',(#20969,#3812),#7505,.F.); #308485=ADVANCED_FACE('',(#20970),#304705,.F.); #308486=ADVANCED_FACE('',(#20971),#304706,.T.); #308487=ADVANCED_FACE('',(#20972,#3813),#7506,.T.); #308488=ADVANCED_FACE('',(#20973,#3814),#7507,.F.); #308489=ADVANCED_FACE('',(#20974),#304707,.F.); #308490=ADVANCED_FACE('',(#20975),#304708,.T.); #308491=ADVANCED_FACE('',(#20976,#3815),#7508,.T.); #308492=ADVANCED_FACE('',(#20977,#3816),#7509,.F.); #308493=ADVANCED_FACE('',(#20978),#304709,.F.); #308494=ADVANCED_FACE('',(#20979),#304710,.T.); #308495=ADVANCED_FACE('',(#20980,#3817),#7510,.T.); #308496=ADVANCED_FACE('',(#20981,#3818),#7511,.F.); #308497=ADVANCED_FACE('',(#20982),#304711,.F.); #308498=ADVANCED_FACE('',(#20983),#304712,.T.); #308499=ADVANCED_FACE('',(#20984,#3819),#7512,.T.); #308500=ADVANCED_FACE('',(#20985,#3820),#7513,.F.); #308501=ADVANCED_FACE('',(#20986),#304713,.F.); #308502=ADVANCED_FACE('',(#20987),#304714,.T.); #308503=ADVANCED_FACE('',(#20988,#3821),#7514,.T.); #308504=ADVANCED_FACE('',(#20989,#3822),#7515,.F.); #308505=ADVANCED_FACE('',(#20990),#304715,.F.); #308506=ADVANCED_FACE('',(#20991),#304716,.T.); #308507=ADVANCED_FACE('',(#20992,#3823),#7516,.T.); #308508=ADVANCED_FACE('',(#20993,#3824),#7517,.F.); #308509=ADVANCED_FACE('',(#20994),#304717,.F.); #308510=ADVANCED_FACE('',(#20995),#304718,.T.); #308511=ADVANCED_FACE('',(#20996,#3825),#7518,.T.); #308512=ADVANCED_FACE('',(#20997,#3826),#7519,.F.); #308513=ADVANCED_FACE('',(#20998),#304719,.F.); #308514=ADVANCED_FACE('',(#20999),#304720,.T.); #308515=ADVANCED_FACE('',(#21000,#3827),#7520,.T.); #308516=ADVANCED_FACE('',(#21001,#3828),#7521,.F.); #308517=ADVANCED_FACE('',(#21002),#304721,.F.); #308518=ADVANCED_FACE('',(#21003),#304722,.T.); #308519=ADVANCED_FACE('',(#21004,#3829),#7522,.T.); #308520=ADVANCED_FACE('',(#21005,#3830),#7523,.F.); #308521=ADVANCED_FACE('',(#21006),#304723,.F.); #308522=ADVANCED_FACE('',(#21007),#304724,.T.); #308523=ADVANCED_FACE('',(#21008,#3831),#7524,.T.); #308524=ADVANCED_FACE('',(#21009,#3832),#7525,.F.); #308525=ADVANCED_FACE('',(#21010),#304725,.F.); #308526=ADVANCED_FACE('',(#21011),#304726,.T.); #308527=ADVANCED_FACE('',(#21012,#3833),#7526,.T.); #308528=ADVANCED_FACE('',(#21013,#3834),#7527,.F.); #308529=ADVANCED_FACE('',(#21014),#304727,.F.); #308530=ADVANCED_FACE('',(#21015),#304728,.T.); #308531=ADVANCED_FACE('',(#21016,#3835),#7528,.T.); #308532=ADVANCED_FACE('',(#21017,#3836),#7529,.F.); #308533=ADVANCED_FACE('',(#21018),#304729,.F.); #308534=ADVANCED_FACE('',(#21019),#304730,.T.); #308535=ADVANCED_FACE('',(#21020,#3837),#7530,.T.); #308536=ADVANCED_FACE('',(#21021,#3838),#7531,.F.); #308537=ADVANCED_FACE('',(#21022),#304731,.F.); #308538=ADVANCED_FACE('',(#21023),#304732,.T.); #308539=ADVANCED_FACE('',(#21024,#3839),#7532,.T.); #308540=ADVANCED_FACE('',(#21025,#3840),#7533,.F.); #308541=ADVANCED_FACE('',(#21026),#304733,.F.); #308542=ADVANCED_FACE('',(#21027),#304734,.T.); #308543=ADVANCED_FACE('',(#21028,#3841),#7534,.T.); #308544=ADVANCED_FACE('',(#21029,#3842),#7535,.F.); #308545=ADVANCED_FACE('',(#21030),#304735,.F.); #308546=ADVANCED_FACE('',(#21031),#304736,.T.); #308547=ADVANCED_FACE('',(#21032,#3843),#7536,.T.); #308548=ADVANCED_FACE('',(#21033,#3844),#7537,.F.); #308549=ADVANCED_FACE('',(#21034),#304737,.F.); #308550=ADVANCED_FACE('',(#21035),#304738,.T.); #308551=ADVANCED_FACE('',(#21036,#3845),#7538,.T.); #308552=ADVANCED_FACE('',(#21037,#3846),#7539,.F.); #308553=ADVANCED_FACE('',(#21038),#304739,.F.); #308554=ADVANCED_FACE('',(#21039),#304740,.T.); #308555=ADVANCED_FACE('',(#21040,#3847),#7540,.T.); #308556=ADVANCED_FACE('',(#21041,#3848),#7541,.F.); #308557=ADVANCED_FACE('',(#21042),#304741,.F.); #308558=ADVANCED_FACE('',(#21043),#304742,.T.); #308559=ADVANCED_FACE('',(#21044,#3849),#7542,.T.); #308560=ADVANCED_FACE('',(#21045,#3850),#7543,.F.); #308561=ADVANCED_FACE('',(#21046),#304743,.F.); #308562=ADVANCED_FACE('',(#21047),#304744,.T.); #308563=ADVANCED_FACE('',(#21048,#3851),#7544,.T.); #308564=ADVANCED_FACE('',(#21049,#3852),#7545,.F.); #308565=ADVANCED_FACE('',(#21050),#304745,.F.); #308566=ADVANCED_FACE('',(#21051),#304746,.T.); #308567=ADVANCED_FACE('',(#21052,#3853),#7546,.T.); #308568=ADVANCED_FACE('',(#21053,#3854),#7547,.F.); #308569=ADVANCED_FACE('',(#21054),#304747,.F.); #308570=ADVANCED_FACE('',(#21055),#304748,.T.); #308571=ADVANCED_FACE('',(#21056,#3855),#7548,.T.); #308572=ADVANCED_FACE('',(#21057,#3856),#7549,.F.); #308573=ADVANCED_FACE('',(#21058),#304749,.F.); #308574=ADVANCED_FACE('',(#21059),#304750,.T.); #308575=ADVANCED_FACE('',(#21060,#3857),#7550,.T.); #308576=ADVANCED_FACE('',(#21061,#3858),#7551,.F.); #308577=ADVANCED_FACE('',(#21062),#304751,.F.); #308578=ADVANCED_FACE('',(#21063),#304752,.T.); #308579=ADVANCED_FACE('',(#21064,#3859),#7552,.T.); #308580=ADVANCED_FACE('',(#21065,#3860),#7553,.F.); #308581=ADVANCED_FACE('',(#21066),#304753,.F.); #308582=ADVANCED_FACE('',(#21067),#304754,.T.); #308583=ADVANCED_FACE('',(#21068,#3861),#7554,.T.); #308584=ADVANCED_FACE('',(#21069,#3862),#7555,.F.); #308585=ADVANCED_FACE('',(#21070),#304755,.F.); #308586=ADVANCED_FACE('',(#21071),#304756,.T.); #308587=ADVANCED_FACE('',(#21072,#3863),#7556,.T.); #308588=ADVANCED_FACE('',(#21073,#3864),#7557,.F.); #308589=ADVANCED_FACE('',(#21074),#304757,.F.); #308590=ADVANCED_FACE('',(#21075),#304758,.T.); #308591=ADVANCED_FACE('',(#21076,#3865),#7558,.T.); #308592=ADVANCED_FACE('',(#21077,#3866),#7559,.F.); #308593=ADVANCED_FACE('',(#21078),#304759,.F.); #308594=ADVANCED_FACE('',(#21079),#304760,.T.); #308595=ADVANCED_FACE('',(#21080,#3867),#7560,.T.); #308596=ADVANCED_FACE('',(#21081,#3868),#7561,.F.); #308597=ADVANCED_FACE('',(#21082),#304761,.F.); #308598=ADVANCED_FACE('',(#21083),#304762,.T.); #308599=ADVANCED_FACE('',(#21084,#3869),#7562,.T.); #308600=ADVANCED_FACE('',(#21085,#3870),#7563,.F.); #308601=ADVANCED_FACE('',(#21086),#304763,.F.); #308602=ADVANCED_FACE('',(#21087),#304764,.T.); #308603=ADVANCED_FACE('',(#21088,#3871),#7564,.T.); #308604=ADVANCED_FACE('',(#21089,#3872),#7565,.F.); #308605=ADVANCED_FACE('',(#21090),#304765,.F.); #308606=ADVANCED_FACE('',(#21091),#304766,.T.); #308607=ADVANCED_FACE('',(#21092,#3873),#7566,.T.); #308608=ADVANCED_FACE('',(#21093,#3874),#7567,.F.); #308609=ADVANCED_FACE('',(#21094),#304767,.F.); #308610=ADVANCED_FACE('',(#21095),#304768,.T.); #308611=ADVANCED_FACE('',(#21096,#3875),#7568,.T.); #308612=ADVANCED_FACE('',(#21097,#3876),#7569,.F.); #308613=ADVANCED_FACE('',(#21098),#304769,.F.); #308614=ADVANCED_FACE('',(#21099),#304770,.T.); #308615=ADVANCED_FACE('',(#21100,#3877),#7570,.T.); #308616=ADVANCED_FACE('',(#21101,#3878),#7571,.F.); #308617=ADVANCED_FACE('',(#21102),#304771,.F.); #308618=ADVANCED_FACE('',(#21103),#304772,.T.); #308619=ADVANCED_FACE('',(#21104,#3879),#7572,.T.); #308620=ADVANCED_FACE('',(#21105,#3880),#7573,.F.); #308621=ADVANCED_FACE('',(#21106),#304773,.F.); #308622=ADVANCED_FACE('',(#21107),#304774,.T.); #308623=ADVANCED_FACE('',(#21108,#3881),#7574,.T.); #308624=ADVANCED_FACE('',(#21109,#3882),#7575,.F.); #308625=ADVANCED_FACE('',(#21110),#304775,.F.); #308626=ADVANCED_FACE('',(#21111),#304776,.T.); #308627=ADVANCED_FACE('',(#21112,#3883),#7576,.T.); #308628=ADVANCED_FACE('',(#21113,#3884),#7577,.F.); #308629=ADVANCED_FACE('',(#21114),#304777,.F.); #308630=ADVANCED_FACE('',(#21115),#304778,.T.); #308631=ADVANCED_FACE('',(#21116,#3885),#7578,.T.); #308632=ADVANCED_FACE('',(#21117,#3886),#7579,.F.); #308633=ADVANCED_FACE('',(#21118),#304779,.F.); #308634=ADVANCED_FACE('',(#21119),#304780,.T.); #308635=ADVANCED_FACE('',(#21120,#3887),#7580,.T.); #308636=ADVANCED_FACE('',(#21121,#3888),#7581,.F.); #308637=ADVANCED_FACE('',(#21122),#304781,.F.); #308638=ADVANCED_FACE('',(#21123),#304782,.T.); #308639=ADVANCED_FACE('',(#21124,#3889),#7582,.T.); #308640=ADVANCED_FACE('',(#21125,#3890),#7583,.F.); #308641=ADVANCED_FACE('',(#21126),#304783,.F.); #308642=ADVANCED_FACE('',(#21127),#304784,.T.); #308643=ADVANCED_FACE('',(#21128,#3891),#7584,.T.); #308644=ADVANCED_FACE('',(#21129,#3892),#7585,.F.); #308645=ADVANCED_FACE('',(#21130),#304785,.F.); #308646=ADVANCED_FACE('',(#21131),#304786,.T.); #308647=ADVANCED_FACE('',(#21132,#3893),#7586,.T.); #308648=ADVANCED_FACE('',(#21133,#3894),#7587,.F.); #308649=ADVANCED_FACE('',(#21134),#304787,.F.); #308650=ADVANCED_FACE('',(#21135),#304788,.T.); #308651=ADVANCED_FACE('',(#21136,#3895),#7588,.T.); #308652=ADVANCED_FACE('',(#21137,#3896),#7589,.F.); #308653=ADVANCED_FACE('',(#21138),#304789,.F.); #308654=ADVANCED_FACE('',(#21139),#304790,.T.); #308655=ADVANCED_FACE('',(#21140,#3897),#7590,.T.); #308656=ADVANCED_FACE('',(#21141,#3898),#7591,.F.); #308657=ADVANCED_FACE('',(#21142),#304791,.F.); #308658=ADVANCED_FACE('',(#21143),#304792,.T.); #308659=ADVANCED_FACE('',(#21144,#3899),#7592,.T.); #308660=ADVANCED_FACE('',(#21145,#3900),#7593,.F.); #308661=ADVANCED_FACE('',(#21146),#304793,.F.); #308662=ADVANCED_FACE('',(#21147),#304794,.T.); #308663=ADVANCED_FACE('',(#21148,#3901),#7594,.T.); #308664=ADVANCED_FACE('',(#21149,#3902),#7595,.F.); #308665=ADVANCED_FACE('',(#21150),#304795,.F.); #308666=ADVANCED_FACE('',(#21151),#304796,.T.); #308667=ADVANCED_FACE('',(#21152,#3903),#7596,.T.); #308668=ADVANCED_FACE('',(#21153,#3904),#7597,.F.); #308669=ADVANCED_FACE('',(#21154),#304797,.F.); #308670=ADVANCED_FACE('',(#21155),#304798,.T.); #308671=ADVANCED_FACE('',(#21156,#3905),#7598,.T.); #308672=ADVANCED_FACE('',(#21157,#3906),#7599,.F.); #308673=ADVANCED_FACE('',(#21158),#304799,.F.); #308674=ADVANCED_FACE('',(#21159),#304800,.T.); #308675=ADVANCED_FACE('',(#21160,#3907),#7600,.T.); #308676=ADVANCED_FACE('',(#21161,#3908),#7601,.F.); #308677=ADVANCED_FACE('',(#21162),#304801,.F.); #308678=ADVANCED_FACE('',(#21163),#304802,.T.); #308679=ADVANCED_FACE('',(#21164,#3909),#7602,.T.); #308680=ADVANCED_FACE('',(#21165,#3910),#7603,.F.); #308681=ADVANCED_FACE('',(#21166),#304803,.F.); #308682=ADVANCED_FACE('',(#21167),#304804,.T.); #308683=ADVANCED_FACE('',(#21168,#3911),#7604,.T.); #308684=ADVANCED_FACE('',(#21169,#3912),#7605,.F.); #308685=ADVANCED_FACE('',(#21170),#304805,.F.); #308686=ADVANCED_FACE('',(#21171),#304806,.T.); #308687=ADVANCED_FACE('',(#21172,#3913),#7606,.T.); #308688=ADVANCED_FACE('',(#21173,#3914),#7607,.F.); #308689=ADVANCED_FACE('',(#21174),#304807,.F.); #308690=ADVANCED_FACE('',(#21175),#304808,.T.); #308691=ADVANCED_FACE('',(#21176,#3915),#7608,.T.); #308692=ADVANCED_FACE('',(#21177,#3916),#7609,.F.); #308693=ADVANCED_FACE('',(#21178),#304809,.F.); #308694=ADVANCED_FACE('',(#21179),#304810,.T.); #308695=ADVANCED_FACE('',(#21180,#3917),#7610,.T.); #308696=ADVANCED_FACE('',(#21181,#3918),#7611,.F.); #308697=ADVANCED_FACE('',(#21182),#304811,.F.); #308698=ADVANCED_FACE('',(#21183),#304812,.T.); #308699=ADVANCED_FACE('',(#21184,#3919),#7612,.T.); #308700=ADVANCED_FACE('',(#21185,#3920),#7613,.F.); #308701=ADVANCED_FACE('',(#21186),#304813,.F.); #308702=ADVANCED_FACE('',(#21187),#304814,.T.); #308703=ADVANCED_FACE('',(#21188,#3921),#7614,.T.); #308704=ADVANCED_FACE('',(#21189,#3922),#7615,.F.); #308705=ADVANCED_FACE('',(#21190),#304815,.F.); #308706=ADVANCED_FACE('',(#21191),#304816,.T.); #308707=ADVANCED_FACE('',(#21192,#3923),#7616,.T.); #308708=ADVANCED_FACE('',(#21193,#3924),#7617,.F.); #308709=ADVANCED_FACE('',(#21194),#304817,.F.); #308710=ADVANCED_FACE('',(#21195),#304818,.T.); #308711=ADVANCED_FACE('',(#21196,#3925),#7618,.T.); #308712=ADVANCED_FACE('',(#21197,#3926),#7619,.F.); #308713=ADVANCED_FACE('',(#21198),#304819,.F.); #308714=ADVANCED_FACE('',(#21199),#304820,.T.); #308715=ADVANCED_FACE('',(#21200,#3927),#7620,.T.); #308716=ADVANCED_FACE('',(#21201,#3928),#7621,.F.); #308717=ADVANCED_FACE('',(#21202),#304821,.F.); #308718=ADVANCED_FACE('',(#21203),#304822,.T.); #308719=ADVANCED_FACE('',(#21204,#3929),#7622,.T.); #308720=ADVANCED_FACE('',(#21205,#3930),#7623,.F.); #308721=ADVANCED_FACE('',(#21206),#304823,.F.); #308722=ADVANCED_FACE('',(#21207),#304824,.T.); #308723=ADVANCED_FACE('',(#21208,#3931),#7624,.T.); #308724=ADVANCED_FACE('',(#21209,#3932),#7625,.F.); #308725=ADVANCED_FACE('',(#21210),#304825,.F.); #308726=ADVANCED_FACE('',(#21211),#304826,.T.); #308727=ADVANCED_FACE('',(#21212,#3933),#7626,.T.); #308728=ADVANCED_FACE('',(#21213,#3934),#7627,.F.); #308729=ADVANCED_FACE('',(#21214),#304827,.F.); #308730=ADVANCED_FACE('',(#21215),#304828,.T.); #308731=ADVANCED_FACE('',(#21216,#3935),#7628,.T.); #308732=ADVANCED_FACE('',(#21217,#3936),#7629,.F.); #308733=ADVANCED_FACE('',(#21218),#304829,.F.); #308734=ADVANCED_FACE('',(#21219),#304830,.T.); #308735=ADVANCED_FACE('',(#21220,#3937),#7630,.T.); #308736=ADVANCED_FACE('',(#21221,#3938),#7631,.F.); #308737=ADVANCED_FACE('',(#21222),#304831,.F.); #308738=ADVANCED_FACE('',(#21223),#304832,.T.); #308739=ADVANCED_FACE('',(#21224,#3939),#7632,.T.); #308740=ADVANCED_FACE('',(#21225,#3940),#7633,.F.); #308741=ADVANCED_FACE('',(#21226),#304833,.F.); #308742=ADVANCED_FACE('',(#21227),#304834,.T.); #308743=ADVANCED_FACE('',(#21228,#3941),#7634,.T.); #308744=ADVANCED_FACE('',(#21229,#3942),#7635,.F.); #308745=ADVANCED_FACE('',(#21230),#304835,.F.); #308746=ADVANCED_FACE('',(#21231),#304836,.T.); #308747=ADVANCED_FACE('',(#21232,#3943),#7636,.T.); #308748=ADVANCED_FACE('',(#21233,#3944),#7637,.F.); #308749=ADVANCED_FACE('',(#21234),#304837,.F.); #308750=ADVANCED_FACE('',(#21235),#304838,.T.); #308751=ADVANCED_FACE('',(#21236,#3945),#7638,.T.); #308752=ADVANCED_FACE('',(#21237,#3946),#7639,.F.); #308753=ADVANCED_FACE('',(#21238),#304839,.F.); #308754=ADVANCED_FACE('',(#21239),#304840,.T.); #308755=ADVANCED_FACE('',(#21240,#3947),#7640,.T.); #308756=ADVANCED_FACE('',(#21241,#3948),#7641,.F.); #308757=ADVANCED_FACE('',(#21242),#304841,.F.); #308758=ADVANCED_FACE('',(#21243),#304842,.T.); #308759=ADVANCED_FACE('',(#21244,#3949),#7642,.T.); #308760=ADVANCED_FACE('',(#21245,#3950),#7643,.F.); #308761=ADVANCED_FACE('',(#21246),#304843,.F.); #308762=ADVANCED_FACE('',(#21247),#304844,.T.); #308763=ADVANCED_FACE('',(#21248,#3951),#7644,.T.); #308764=ADVANCED_FACE('',(#21249,#3952),#7645,.F.); #308765=ADVANCED_FACE('',(#21250),#304845,.F.); #308766=ADVANCED_FACE('',(#21251),#304846,.T.); #308767=ADVANCED_FACE('',(#21252,#3953),#7646,.T.); #308768=ADVANCED_FACE('',(#21253,#3954),#7647,.F.); #308769=ADVANCED_FACE('',(#21254),#304847,.F.); #308770=ADVANCED_FACE('',(#21255),#304848,.T.); #308771=ADVANCED_FACE('',(#21256,#3955),#7648,.T.); #308772=ADVANCED_FACE('',(#21257,#3956),#7649,.F.); #308773=ADVANCED_FACE('',(#21258),#304849,.F.); #308774=ADVANCED_FACE('',(#21259),#304850,.T.); #308775=ADVANCED_FACE('',(#21260,#3957),#7650,.T.); #308776=ADVANCED_FACE('',(#21261,#3958),#7651,.F.); #308777=ADVANCED_FACE('',(#21262),#304851,.F.); #308778=ADVANCED_FACE('',(#21263),#304852,.T.); #308779=ADVANCED_FACE('',(#21264,#3959),#7652,.T.); #308780=ADVANCED_FACE('',(#21265,#3960),#7653,.F.); #308781=ADVANCED_FACE('',(#21266),#304853,.F.); #308782=ADVANCED_FACE('',(#21267),#304854,.T.); #308783=ADVANCED_FACE('',(#21268,#3961),#7654,.T.); #308784=ADVANCED_FACE('',(#21269,#3962),#7655,.F.); #308785=ADVANCED_FACE('',(#21270),#304855,.F.); #308786=ADVANCED_FACE('',(#21271),#304856,.T.); #308787=ADVANCED_FACE('',(#21272,#3963),#7656,.T.); #308788=ADVANCED_FACE('',(#21273,#3964),#7657,.F.); #308789=ADVANCED_FACE('',(#21274),#304857,.F.); #308790=ADVANCED_FACE('',(#21275),#304858,.T.); #308791=ADVANCED_FACE('',(#21276,#3965),#7658,.T.); #308792=ADVANCED_FACE('',(#21277,#3966),#7659,.F.); #308793=ADVANCED_FACE('',(#21278),#304859,.F.); #308794=ADVANCED_FACE('',(#21279),#304860,.T.); #308795=ADVANCED_FACE('',(#21280,#3967),#7660,.T.); #308796=ADVANCED_FACE('',(#21281,#3968),#7661,.F.); #308797=ADVANCED_FACE('',(#21282),#304861,.F.); #308798=ADVANCED_FACE('',(#21283),#304862,.T.); #308799=ADVANCED_FACE('',(#21284,#3969),#7662,.T.); #308800=ADVANCED_FACE('',(#21285,#3970),#7663,.F.); #308801=ADVANCED_FACE('',(#21286),#304863,.F.); #308802=ADVANCED_FACE('',(#21287),#304864,.T.); #308803=ADVANCED_FACE('',(#21288,#3971),#7664,.T.); #308804=ADVANCED_FACE('',(#21289,#3972),#7665,.F.); #308805=ADVANCED_FACE('',(#21290),#304865,.F.); #308806=ADVANCED_FACE('',(#21291),#304866,.T.); #308807=ADVANCED_FACE('',(#21292,#3973),#7666,.T.); #308808=ADVANCED_FACE('',(#21293,#3974),#7667,.F.); #308809=ADVANCED_FACE('',(#21294),#304867,.F.); #308810=ADVANCED_FACE('',(#21295),#304868,.T.); #308811=ADVANCED_FACE('',(#21296,#3975),#7668,.T.); #308812=ADVANCED_FACE('',(#21297,#3976),#7669,.F.); #308813=ADVANCED_FACE('',(#21298),#304869,.F.); #308814=ADVANCED_FACE('',(#21299),#304870,.T.); #308815=ADVANCED_FACE('',(#21300,#3977),#7670,.T.); #308816=ADVANCED_FACE('',(#21301,#3978),#7671,.F.); #308817=ADVANCED_FACE('',(#21302),#304871,.F.); #308818=ADVANCED_FACE('',(#21303),#304872,.T.); #308819=ADVANCED_FACE('',(#21304,#3979),#7672,.T.); #308820=ADVANCED_FACE('',(#21305,#3980),#7673,.F.); #308821=ADVANCED_FACE('',(#21306),#304873,.F.); #308822=ADVANCED_FACE('',(#21307),#304874,.T.); #308823=ADVANCED_FACE('',(#21308,#3981),#7674,.T.); #308824=ADVANCED_FACE('',(#21309,#3982),#7675,.F.); #308825=ADVANCED_FACE('',(#21310),#304875,.F.); #308826=ADVANCED_FACE('',(#21311),#304876,.T.); #308827=ADVANCED_FACE('',(#21312,#3983),#7676,.T.); #308828=ADVANCED_FACE('',(#21313,#3984),#7677,.F.); #308829=ADVANCED_FACE('',(#21314),#304877,.F.); #308830=ADVANCED_FACE('',(#21315),#304878,.T.); #308831=ADVANCED_FACE('',(#21316,#3985),#7678,.T.); #308832=ADVANCED_FACE('',(#21317,#3986),#7679,.F.); #308833=ADVANCED_FACE('',(#21318),#304879,.F.); #308834=ADVANCED_FACE('',(#21319),#304880,.T.); #308835=ADVANCED_FACE('',(#21320,#3987),#7680,.T.); #308836=ADVANCED_FACE('',(#21321,#3988),#7681,.F.); #308837=ADVANCED_FACE('',(#21322),#304881,.F.); #308838=ADVANCED_FACE('',(#21323),#304882,.T.); #308839=ADVANCED_FACE('',(#21324,#3989),#7682,.T.); #308840=ADVANCED_FACE('',(#21325,#3990),#7683,.F.); #308841=ADVANCED_FACE('',(#21326),#304883,.F.); #308842=ADVANCED_FACE('',(#21327),#304884,.T.); #308843=ADVANCED_FACE('',(#21328,#3991),#7684,.T.); #308844=ADVANCED_FACE('',(#21329,#3992),#7685,.F.); #308845=ADVANCED_FACE('',(#21330),#304885,.F.); #308846=ADVANCED_FACE('',(#21331),#304886,.T.); #308847=ADVANCED_FACE('',(#21332,#3993),#7686,.T.); #308848=ADVANCED_FACE('',(#21333,#3994),#7687,.F.); #308849=ADVANCED_FACE('',(#21334),#304887,.F.); #308850=ADVANCED_FACE('',(#21335),#304888,.T.); #308851=ADVANCED_FACE('',(#21336,#3995),#7688,.T.); #308852=ADVANCED_FACE('',(#21337,#3996),#7689,.F.); #308853=ADVANCED_FACE('',(#21338),#304889,.F.); #308854=ADVANCED_FACE('',(#21339),#304890,.T.); #308855=ADVANCED_FACE('',(#21340,#3997),#7690,.T.); #308856=ADVANCED_FACE('',(#21341,#3998),#7691,.F.); #308857=ADVANCED_FACE('',(#21342),#304891,.F.); #308858=ADVANCED_FACE('',(#21343),#304892,.T.); #308859=ADVANCED_FACE('',(#21344,#3999),#7692,.T.); #308860=ADVANCED_FACE('',(#21345,#4000),#7693,.F.); #308861=ADVANCED_FACE('',(#21346),#304893,.F.); #308862=ADVANCED_FACE('',(#21347),#304894,.T.); #308863=ADVANCED_FACE('',(#21348,#4001),#7694,.T.); #308864=ADVANCED_FACE('',(#21349,#4002),#7695,.F.); #308865=ADVANCED_FACE('',(#21350),#304895,.F.); #308866=ADVANCED_FACE('',(#21351),#304896,.T.); #308867=ADVANCED_FACE('',(#21352,#4003),#7696,.T.); #308868=ADVANCED_FACE('',(#21353,#4004),#7697,.F.); #308869=ADVANCED_FACE('',(#21354),#304897,.F.); #308870=ADVANCED_FACE('',(#21355),#304898,.T.); #308871=ADVANCED_FACE('',(#21356,#4005),#7698,.T.); #308872=ADVANCED_FACE('',(#21357,#4006),#7699,.F.); #308873=ADVANCED_FACE('',(#21358),#304899,.F.); #308874=ADVANCED_FACE('',(#21359),#304900,.T.); #308875=ADVANCED_FACE('',(#21360,#4007),#7700,.T.); #308876=ADVANCED_FACE('',(#21361,#4008),#7701,.F.); #308877=ADVANCED_FACE('',(#21362),#304901,.F.); #308878=ADVANCED_FACE('',(#21363),#304902,.T.); #308879=ADVANCED_FACE('',(#21364,#4009),#7702,.T.); #308880=ADVANCED_FACE('',(#21365,#4010),#7703,.F.); #308881=ADVANCED_FACE('',(#21366),#304903,.F.); #308882=ADVANCED_FACE('',(#21367),#304904,.T.); #308883=ADVANCED_FACE('',(#21368,#4011),#7704,.T.); #308884=ADVANCED_FACE('',(#21369,#4012),#7705,.F.); #308885=ADVANCED_FACE('',(#21370),#304905,.F.); #308886=ADVANCED_FACE('',(#21371),#304906,.T.); #308887=ADVANCED_FACE('',(#21372,#4013),#7706,.T.); #308888=ADVANCED_FACE('',(#21373,#4014),#7707,.F.); #308889=ADVANCED_FACE('',(#21374),#304907,.F.); #308890=ADVANCED_FACE('',(#21375),#304908,.T.); #308891=ADVANCED_FACE('',(#21376,#4015),#7708,.T.); #308892=ADVANCED_FACE('',(#21377,#4016),#7709,.F.); #308893=ADVANCED_FACE('',(#21378),#304909,.F.); #308894=ADVANCED_FACE('',(#21379),#304910,.T.); #308895=ADVANCED_FACE('',(#21380,#4017),#7710,.T.); #308896=ADVANCED_FACE('',(#21381,#4018),#7711,.F.); #308897=ADVANCED_FACE('',(#21382),#304911,.F.); #308898=ADVANCED_FACE('',(#21383),#304912,.T.); #308899=ADVANCED_FACE('',(#21384,#4019),#7712,.T.); #308900=ADVANCED_FACE('',(#21385,#4020),#7713,.F.); #308901=ADVANCED_FACE('',(#21386),#304913,.F.); #308902=ADVANCED_FACE('',(#21387),#304914,.T.); #308903=ADVANCED_FACE('',(#21388,#4021),#7714,.T.); #308904=ADVANCED_FACE('',(#21389,#4022),#7715,.F.); #308905=ADVANCED_FACE('',(#21390),#304915,.F.); #308906=ADVANCED_FACE('',(#21391),#304916,.T.); #308907=ADVANCED_FACE('',(#21392,#4023),#7716,.T.); #308908=ADVANCED_FACE('',(#21393,#4024),#7717,.F.); #308909=ADVANCED_FACE('',(#21394),#304917,.F.); #308910=ADVANCED_FACE('',(#21395),#304918,.T.); #308911=ADVANCED_FACE('',(#21396,#4025),#7718,.T.); #308912=ADVANCED_FACE('',(#21397,#4026),#7719,.F.); #308913=ADVANCED_FACE('',(#21398),#304919,.F.); #308914=ADVANCED_FACE('',(#21399),#304920,.T.); #308915=ADVANCED_FACE('',(#21400,#4027),#7720,.T.); #308916=ADVANCED_FACE('',(#21401,#4028),#7721,.F.); #308917=ADVANCED_FACE('',(#21402),#304921,.F.); #308918=ADVANCED_FACE('',(#21403),#304922,.T.); #308919=ADVANCED_FACE('',(#21404,#4029),#7722,.T.); #308920=ADVANCED_FACE('',(#21405,#4030),#7723,.F.); #308921=ADVANCED_FACE('',(#21406),#304923,.F.); #308922=ADVANCED_FACE('',(#21407),#304924,.T.); #308923=ADVANCED_FACE('',(#21408,#4031),#7724,.T.); #308924=ADVANCED_FACE('',(#21409,#4032),#7725,.F.); #308925=ADVANCED_FACE('',(#21410),#304925,.F.); #308926=ADVANCED_FACE('',(#21411),#304926,.T.); #308927=ADVANCED_FACE('',(#21412,#4033),#7726,.T.); #308928=ADVANCED_FACE('',(#21413,#4034),#7727,.F.); #308929=ADVANCED_FACE('',(#21414),#304927,.F.); #308930=ADVANCED_FACE('',(#21415),#304928,.T.); #308931=ADVANCED_FACE('',(#21416,#4035),#7728,.T.); #308932=ADVANCED_FACE('',(#21417,#4036),#7729,.F.); #308933=ADVANCED_FACE('',(#21418),#304929,.F.); #308934=ADVANCED_FACE('',(#21419),#304930,.T.); #308935=ADVANCED_FACE('',(#21420,#4037),#7730,.T.); #308936=ADVANCED_FACE('',(#21421,#4038),#7731,.F.); #308937=ADVANCED_FACE('',(#21422),#304931,.F.); #308938=ADVANCED_FACE('',(#21423),#304932,.T.); #308939=ADVANCED_FACE('',(#21424,#4039),#7732,.T.); #308940=ADVANCED_FACE('',(#21425,#4040),#7733,.F.); #308941=ADVANCED_FACE('',(#21426),#304933,.F.); #308942=ADVANCED_FACE('',(#21427),#304934,.T.); #308943=ADVANCED_FACE('',(#21428,#4041),#7734,.T.); #308944=ADVANCED_FACE('',(#21429,#4042),#7735,.F.); #308945=ADVANCED_FACE('',(#21430),#304935,.F.); #308946=ADVANCED_FACE('',(#21431),#304936,.T.); #308947=ADVANCED_FACE('',(#21432,#4043),#7736,.T.); #308948=ADVANCED_FACE('',(#21433,#4044),#7737,.F.); #308949=ADVANCED_FACE('',(#21434),#304937,.F.); #308950=ADVANCED_FACE('',(#21435),#304938,.T.); #308951=ADVANCED_FACE('',(#21436,#4045),#7738,.T.); #308952=ADVANCED_FACE('',(#21437,#4046),#7739,.F.); #308953=ADVANCED_FACE('',(#21438),#304939,.F.); #308954=ADVANCED_FACE('',(#21439),#304940,.T.); #308955=ADVANCED_FACE('',(#21440,#4047),#7740,.T.); #308956=ADVANCED_FACE('',(#21441,#4048),#7741,.F.); #308957=ADVANCED_FACE('',(#21442),#304941,.F.); #308958=ADVANCED_FACE('',(#21443),#304942,.T.); #308959=ADVANCED_FACE('',(#21444,#4049),#7742,.T.); #308960=ADVANCED_FACE('',(#21445,#4050),#7743,.F.); #308961=ADVANCED_FACE('',(#21446),#304943,.F.); #308962=ADVANCED_FACE('',(#21447),#304944,.T.); #308963=ADVANCED_FACE('',(#21448,#4051),#7744,.T.); #308964=ADVANCED_FACE('',(#21449,#4052),#7745,.F.); #308965=ADVANCED_FACE('',(#21450),#304945,.F.); #308966=ADVANCED_FACE('',(#21451),#304946,.T.); #308967=ADVANCED_FACE('',(#21452,#4053),#7746,.T.); #308968=ADVANCED_FACE('',(#21453,#4054),#7747,.F.); #308969=ADVANCED_FACE('',(#21454),#304947,.F.); #308970=ADVANCED_FACE('',(#21455),#304948,.T.); #308971=ADVANCED_FACE('',(#21456,#4055),#7748,.T.); #308972=ADVANCED_FACE('',(#21457,#4056),#7749,.F.); #308973=ADVANCED_FACE('',(#21458),#304949,.F.); #308974=ADVANCED_FACE('',(#21459),#304950,.T.); #308975=ADVANCED_FACE('',(#21460,#4057),#7750,.T.); #308976=ADVANCED_FACE('',(#21461,#4058),#7751,.F.); #308977=ADVANCED_FACE('',(#21462),#304951,.F.); #308978=ADVANCED_FACE('',(#21463),#304952,.T.); #308979=ADVANCED_FACE('',(#21464,#4059),#7752,.T.); #308980=ADVANCED_FACE('',(#21465,#4060),#7753,.F.); #308981=ADVANCED_FACE('',(#21466),#304953,.F.); #308982=ADVANCED_FACE('',(#21467),#304954,.T.); #308983=ADVANCED_FACE('',(#21468,#4061),#7754,.T.); #308984=ADVANCED_FACE('',(#21469,#4062),#7755,.F.); #308985=ADVANCED_FACE('',(#21470),#304955,.F.); #308986=ADVANCED_FACE('',(#21471),#304956,.T.); #308987=ADVANCED_FACE('',(#21472,#4063),#7756,.T.); #308988=ADVANCED_FACE('',(#21473,#4064),#7757,.F.); #308989=ADVANCED_FACE('',(#21474),#304957,.F.); #308990=ADVANCED_FACE('',(#21475),#304958,.T.); #308991=ADVANCED_FACE('',(#21476,#4065),#7758,.T.); #308992=ADVANCED_FACE('',(#21477,#4066),#7759,.F.); #308993=ADVANCED_FACE('',(#21478),#7760,.T.); #308994=ADVANCED_FACE('',(#21479),#304959,.F.); #308995=ADVANCED_FACE('',(#21480),#7761,.T.); #308996=ADVANCED_FACE('',(#21481),#304960,.F.); #308997=ADVANCED_FACE('',(#21482),#7762,.T.); #308998=ADVANCED_FACE('',(#21483),#304961,.T.); #308999=ADVANCED_FACE('',(#21484),#7763,.T.); #309000=ADVANCED_FACE('',(#21485),#304962,.T.); #309001=ADVANCED_FACE('',(#21486,#4067),#7764,.T.); #309002=ADVANCED_FACE('',(#21487,#4068),#7765,.F.); #309003=ADVANCED_FACE('',(#21488),#304963,.F.); #309004=ADVANCED_FACE('',(#21489),#304964,.T.); #309005=ADVANCED_FACE('',(#21490,#4069),#7766,.T.); #309006=ADVANCED_FACE('',(#21491,#4070),#7767,.F.); #309007=ADVANCED_FACE('',(#21492),#7768,.T.); #309008=ADVANCED_FACE('',(#21493),#304965,.F.); #309009=ADVANCED_FACE('',(#21494),#7769,.T.); #309010=ADVANCED_FACE('',(#21495),#304966,.F.); #309011=ADVANCED_FACE('',(#21496),#7770,.T.); #309012=ADVANCED_FACE('',(#21497),#304967,.T.); #309013=ADVANCED_FACE('',(#21498),#7771,.T.); #309014=ADVANCED_FACE('',(#21499),#304968,.T.); #309015=ADVANCED_FACE('',(#21500,#4071),#7772,.T.); #309016=ADVANCED_FACE('',(#21501,#4072),#7773,.F.); #309017=ADVANCED_FACE('',(#21502),#304969,.F.); #309018=ADVANCED_FACE('',(#21503),#304970,.T.); #309019=ADVANCED_FACE('',(#21504,#4073),#7774,.T.); #309020=ADVANCED_FACE('',(#21505,#4074),#7775,.F.); #309021=ADVANCED_FACE('',(#21506),#7776,.T.); #309022=ADVANCED_FACE('',(#21507),#304971,.F.); #309023=ADVANCED_FACE('',(#21508),#7777,.T.); #309024=ADVANCED_FACE('',(#21509),#304972,.F.); #309025=ADVANCED_FACE('',(#21510),#7778,.T.); #309026=ADVANCED_FACE('',(#21511),#304973,.T.); #309027=ADVANCED_FACE('',(#21512),#7779,.T.); #309028=ADVANCED_FACE('',(#21513),#304974,.T.); #309029=ADVANCED_FACE('',(#21514,#4075),#7780,.T.); #309030=ADVANCED_FACE('',(#21515,#4076),#7781,.F.); #309031=ADVANCED_FACE('',(#21516),#304975,.F.); #309032=ADVANCED_FACE('',(#21517),#304976,.T.); #309033=ADVANCED_FACE('',(#21518,#4077),#7782,.T.); #309034=ADVANCED_FACE('',(#21519,#4078),#7783,.F.); #309035=ADVANCED_FACE('',(#21520),#304977,.F.); #309036=ADVANCED_FACE('',(#21521),#304978,.T.); #309037=ADVANCED_FACE('',(#21522,#4079),#7784,.T.); #309038=ADVANCED_FACE('',(#21523,#4080),#7785,.F.); #309039=ADVANCED_FACE('',(#21524),#304979,.F.); #309040=ADVANCED_FACE('',(#21525),#304980,.T.); #309041=ADVANCED_FACE('',(#21526,#4081),#7786,.T.); #309042=ADVANCED_FACE('',(#21527,#4082),#7787,.F.); #309043=ADVANCED_FACE('',(#21528),#304981,.F.); #309044=ADVANCED_FACE('',(#21529),#304982,.T.); #309045=ADVANCED_FACE('',(#21530,#4083),#7788,.T.); #309046=ADVANCED_FACE('',(#21531,#4084),#7789,.F.); #309047=ADVANCED_FACE('',(#21532),#304983,.F.); #309048=ADVANCED_FACE('',(#21533),#304984,.T.); #309049=ADVANCED_FACE('',(#21534,#4085),#7790,.T.); #309050=ADVANCED_FACE('',(#21535,#4086),#7791,.F.); #309051=ADVANCED_FACE('',(#21536),#304985,.F.); #309052=ADVANCED_FACE('',(#21537),#304986,.T.); #309053=ADVANCED_FACE('',(#21538,#4087),#7792,.T.); #309054=ADVANCED_FACE('',(#21539,#4088),#7793,.F.); #309055=ADVANCED_FACE('',(#21540),#304987,.F.); #309056=ADVANCED_FACE('',(#21541),#304988,.T.); #309057=ADVANCED_FACE('',(#21542,#4089),#7794,.T.); #309058=ADVANCED_FACE('',(#21543,#4090),#7795,.F.); #309059=ADVANCED_FACE('',(#21544),#304989,.F.); #309060=ADVANCED_FACE('',(#21545),#304990,.T.); #309061=ADVANCED_FACE('',(#21546,#4091),#7796,.T.); #309062=ADVANCED_FACE('',(#21547,#4092),#7797,.F.); #309063=ADVANCED_FACE('',(#21548),#304991,.F.); #309064=ADVANCED_FACE('',(#21549),#304992,.T.); #309065=ADVANCED_FACE('',(#21550,#4093),#7798,.T.); #309066=ADVANCED_FACE('',(#21551,#4094),#7799,.F.); #309067=ADVANCED_FACE('',(#21552),#304993,.F.); #309068=ADVANCED_FACE('',(#21553),#304994,.T.); #309069=ADVANCED_FACE('',(#21554,#4095),#7800,.T.); #309070=ADVANCED_FACE('',(#21555,#4096),#7801,.F.); #309071=ADVANCED_FACE('',(#21556),#7802,.T.); #309072=ADVANCED_FACE('',(#21557),#304995,.F.); #309073=ADVANCED_FACE('',(#21558),#7803,.T.); #309074=ADVANCED_FACE('',(#21559),#304996,.F.); #309075=ADVANCED_FACE('',(#21560),#7804,.T.); #309076=ADVANCED_FACE('',(#21561),#304997,.T.); #309077=ADVANCED_FACE('',(#21562),#7805,.T.); #309078=ADVANCED_FACE('',(#21563),#304998,.T.); #309079=ADVANCED_FACE('',(#21564,#4097),#7806,.T.); #309080=ADVANCED_FACE('',(#21565,#4098),#7807,.F.); #309081=ADVANCED_FACE('',(#21566),#304999,.F.); #309082=ADVANCED_FACE('',(#21567),#305000,.T.); #309083=ADVANCED_FACE('',(#21568,#4099),#7808,.T.); #309084=ADVANCED_FACE('',(#21569,#4100),#7809,.F.); #309085=ADVANCED_FACE('',(#21570),#305001,.F.); #309086=ADVANCED_FACE('',(#21571),#305002,.T.); #309087=ADVANCED_FACE('',(#21572,#4101),#7810,.T.); #309088=ADVANCED_FACE('',(#21573,#4102),#7811,.F.); #309089=ADVANCED_FACE('',(#21574),#305003,.F.); #309090=ADVANCED_FACE('',(#21575),#305004,.T.); #309091=ADVANCED_FACE('',(#21576,#4103),#7812,.T.); #309092=ADVANCED_FACE('',(#21577,#4104),#7813,.F.); #309093=ADVANCED_FACE('',(#21578),#7814,.T.); #309094=ADVANCED_FACE('',(#21579),#305005,.F.); #309095=ADVANCED_FACE('',(#21580),#7815,.T.); #309096=ADVANCED_FACE('',(#21581),#305006,.F.); #309097=ADVANCED_FACE('',(#21582),#7816,.T.); #309098=ADVANCED_FACE('',(#21583),#305007,.T.); #309099=ADVANCED_FACE('',(#21584),#7817,.T.); #309100=ADVANCED_FACE('',(#21585),#305008,.T.); #309101=ADVANCED_FACE('',(#21586,#4105),#7818,.T.); #309102=ADVANCED_FACE('',(#21587,#4106),#7819,.F.); #309103=ADVANCED_FACE('',(#21588),#305009,.F.); #309104=ADVANCED_FACE('',(#21589),#305010,.T.); #309105=ADVANCED_FACE('',(#21590,#4107),#7820,.T.); #309106=ADVANCED_FACE('',(#21591,#4108),#7821,.F.); #309107=ADVANCED_FACE('',(#21592),#7822,.T.); #309108=ADVANCED_FACE('',(#21593),#305011,.F.); #309109=ADVANCED_FACE('',(#21594),#7823,.T.); #309110=ADVANCED_FACE('',(#21595),#305012,.F.); #309111=ADVANCED_FACE('',(#21596),#7824,.T.); #309112=ADVANCED_FACE('',(#21597),#305013,.T.); #309113=ADVANCED_FACE('',(#21598),#7825,.T.); #309114=ADVANCED_FACE('',(#21599),#305014,.T.); #309115=ADVANCED_FACE('',(#21600,#4109),#7826,.T.); #309116=ADVANCED_FACE('',(#21601,#4110),#7827,.F.); #309117=ADVANCED_FACE('',(#21602),#305015,.F.); #309118=ADVANCED_FACE('',(#21603),#305016,.T.); #309119=ADVANCED_FACE('',(#21604,#4111),#7828,.T.); #309120=ADVANCED_FACE('',(#21605,#4112),#7829,.F.); #309121=ADVANCED_FACE('',(#21606),#305017,.F.); #309122=ADVANCED_FACE('',(#21607),#305018,.T.); #309123=ADVANCED_FACE('',(#21608,#4113),#7830,.T.); #309124=ADVANCED_FACE('',(#21609,#4114),#7831,.F.); #309125=ADVANCED_FACE('',(#21610),#305019,.F.); #309126=ADVANCED_FACE('',(#21611),#305020,.T.); #309127=ADVANCED_FACE('',(#21612,#4115),#7832,.T.); #309128=ADVANCED_FACE('',(#21613,#4116),#7833,.F.); #309129=ADVANCED_FACE('',(#21614),#305021,.F.); #309130=ADVANCED_FACE('',(#21615),#305022,.T.); #309131=ADVANCED_FACE('',(#21616,#4117),#7834,.T.); #309132=ADVANCED_FACE('',(#21617,#4118),#7835,.F.); #309133=ADVANCED_FACE('',(#21618),#305023,.F.); #309134=ADVANCED_FACE('',(#21619),#305024,.T.); #309135=ADVANCED_FACE('',(#21620,#4119),#7836,.T.); #309136=ADVANCED_FACE('',(#21621,#4120),#7837,.F.); #309137=ADVANCED_FACE('',(#21622),#305025,.F.); #309138=ADVANCED_FACE('',(#21623),#305026,.T.); #309139=ADVANCED_FACE('',(#21624,#4121),#7838,.T.); #309140=ADVANCED_FACE('',(#21625,#4122),#7839,.F.); #309141=ADVANCED_FACE('',(#21626),#305027,.F.); #309142=ADVANCED_FACE('',(#21627),#305028,.T.); #309143=ADVANCED_FACE('',(#21628,#4123),#7840,.T.); #309144=ADVANCED_FACE('',(#21629,#4124),#7841,.F.); #309145=ADVANCED_FACE('',(#21630),#305029,.F.); #309146=ADVANCED_FACE('',(#21631),#305030,.T.); #309147=ADVANCED_FACE('',(#21632,#4125),#7842,.T.); #309148=ADVANCED_FACE('',(#21633,#4126),#7843,.F.); #309149=ADVANCED_FACE('',(#21634),#305031,.F.); #309150=ADVANCED_FACE('',(#21635),#305032,.T.); #309151=ADVANCED_FACE('',(#21636,#4127),#7844,.T.); #309152=ADVANCED_FACE('',(#21637,#4128),#7845,.F.); #309153=ADVANCED_FACE('',(#21638),#305033,.F.); #309154=ADVANCED_FACE('',(#21639),#305034,.T.); #309155=ADVANCED_FACE('',(#21640,#4129),#7846,.T.); #309156=ADVANCED_FACE('',(#21641,#4130),#7847,.F.); #309157=ADVANCED_FACE('',(#21642),#305035,.F.); #309158=ADVANCED_FACE('',(#21643),#305036,.T.); #309159=ADVANCED_FACE('',(#21644,#4131),#7848,.T.); #309160=ADVANCED_FACE('',(#21645,#4132),#7849,.F.); #309161=ADVANCED_FACE('',(#21646),#305037,.F.); #309162=ADVANCED_FACE('',(#21647),#305038,.T.); #309163=ADVANCED_FACE('',(#21648,#4133),#7850,.T.); #309164=ADVANCED_FACE('',(#21649,#4134),#7851,.F.); #309165=ADVANCED_FACE('',(#21650),#305039,.F.); #309166=ADVANCED_FACE('',(#21651),#305040,.T.); #309167=ADVANCED_FACE('',(#21652,#4135),#7852,.T.); #309168=ADVANCED_FACE('',(#21653,#4136),#7853,.F.); #309169=ADVANCED_FACE('',(#21654),#305041,.F.); #309170=ADVANCED_FACE('',(#21655),#305042,.T.); #309171=ADVANCED_FACE('',(#21656,#4137),#7854,.T.); #309172=ADVANCED_FACE('',(#21657,#4138),#7855,.F.); #309173=ADVANCED_FACE('',(#21658),#305043,.F.); #309174=ADVANCED_FACE('',(#21659),#305044,.T.); #309175=ADVANCED_FACE('',(#21660,#4139),#7856,.T.); #309176=ADVANCED_FACE('',(#21661,#4140),#7857,.F.); #309177=ADVANCED_FACE('',(#21662),#305045,.F.); #309178=ADVANCED_FACE('',(#21663),#305046,.T.); #309179=ADVANCED_FACE('',(#21664,#4141),#7858,.T.); #309180=ADVANCED_FACE('',(#21665,#4142),#7859,.F.); #309181=ADVANCED_FACE('',(#21666),#305047,.F.); #309182=ADVANCED_FACE('',(#21667),#305048,.T.); #309183=ADVANCED_FACE('',(#21668,#4143),#7860,.T.); #309184=ADVANCED_FACE('',(#21669,#4144),#7861,.F.); #309185=ADVANCED_FACE('',(#21670),#305049,.F.); #309186=ADVANCED_FACE('',(#21671),#305050,.T.); #309187=ADVANCED_FACE('',(#21672,#4145),#7862,.T.); #309188=ADVANCED_FACE('',(#21673,#4146),#7863,.F.); #309189=ADVANCED_FACE('',(#21674),#305051,.F.); #309190=ADVANCED_FACE('',(#21675),#305052,.T.); #309191=ADVANCED_FACE('',(#21676,#4147),#7864,.T.); #309192=ADVANCED_FACE('',(#21677,#4148),#7865,.F.); #309193=ADVANCED_FACE('',(#21678),#305053,.F.); #309194=ADVANCED_FACE('',(#21679),#305054,.T.); #309195=ADVANCED_FACE('',(#21680,#4149),#7866,.T.); #309196=ADVANCED_FACE('',(#21681,#4150),#7867,.F.); #309197=ADVANCED_FACE('',(#21682),#305055,.F.); #309198=ADVANCED_FACE('',(#21683),#305056,.T.); #309199=ADVANCED_FACE('',(#21684,#4151),#7868,.T.); #309200=ADVANCED_FACE('',(#21685,#4152),#7869,.F.); #309201=ADVANCED_FACE('',(#21686),#305057,.F.); #309202=ADVANCED_FACE('',(#21687),#305058,.T.); #309203=ADVANCED_FACE('',(#21688,#4153),#7870,.T.); #309204=ADVANCED_FACE('',(#21689,#4154),#7871,.F.); #309205=ADVANCED_FACE('',(#21690),#305059,.F.); #309206=ADVANCED_FACE('',(#21691),#305060,.T.); #309207=ADVANCED_FACE('',(#21692,#4155),#7872,.T.); #309208=ADVANCED_FACE('',(#21693,#4156),#7873,.F.); #309209=ADVANCED_FACE('',(#21694),#305061,.F.); #309210=ADVANCED_FACE('',(#21695),#305062,.T.); #309211=ADVANCED_FACE('',(#21696,#4157),#7874,.T.); #309212=ADVANCED_FACE('',(#21697,#4158),#7875,.F.); #309213=ADVANCED_FACE('',(#21698),#305063,.F.); #309214=ADVANCED_FACE('',(#21699),#305064,.T.); #309215=ADVANCED_FACE('',(#21700,#4159),#7876,.T.); #309216=ADVANCED_FACE('',(#21701,#4160),#7877,.F.); #309217=ADVANCED_FACE('',(#21702),#305065,.F.); #309218=ADVANCED_FACE('',(#21703),#305066,.T.); #309219=ADVANCED_FACE('',(#21704,#4161),#7878,.T.); #309220=ADVANCED_FACE('',(#21705,#4162),#7879,.F.); #309221=ADVANCED_FACE('',(#21706),#305067,.F.); #309222=ADVANCED_FACE('',(#21707),#305068,.T.); #309223=ADVANCED_FACE('',(#21708,#4163),#7880,.T.); #309224=ADVANCED_FACE('',(#21709,#4164),#7881,.F.); #309225=ADVANCED_FACE('',(#21710),#305069,.F.); #309226=ADVANCED_FACE('',(#21711),#305070,.T.); #309227=ADVANCED_FACE('',(#21712,#4165),#7882,.T.); #309228=ADVANCED_FACE('',(#21713,#4166),#7883,.F.); #309229=ADVANCED_FACE('',(#21714),#305071,.F.); #309230=ADVANCED_FACE('',(#21715),#305072,.T.); #309231=ADVANCED_FACE('',(#21716,#4167),#7884,.T.); #309232=ADVANCED_FACE('',(#21717,#4168),#7885,.F.); #309233=ADVANCED_FACE('',(#21718),#305073,.F.); #309234=ADVANCED_FACE('',(#21719),#305074,.T.); #309235=ADVANCED_FACE('',(#21720,#4169),#7886,.T.); #309236=ADVANCED_FACE('',(#21721,#4170),#7887,.F.); #309237=ADVANCED_FACE('',(#21722),#305075,.F.); #309238=ADVANCED_FACE('',(#21723),#305076,.T.); #309239=ADVANCED_FACE('',(#21724,#4171),#7888,.T.); #309240=ADVANCED_FACE('',(#21725,#4172),#7889,.F.); #309241=ADVANCED_FACE('',(#21726),#305077,.F.); #309242=ADVANCED_FACE('',(#21727),#305078,.T.); #309243=ADVANCED_FACE('',(#21728,#4173),#7890,.T.); #309244=ADVANCED_FACE('',(#21729,#4174),#7891,.F.); #309245=ADVANCED_FACE('',(#21730),#305079,.F.); #309246=ADVANCED_FACE('',(#21731),#305080,.T.); #309247=ADVANCED_FACE('',(#21732,#4175),#7892,.T.); #309248=ADVANCED_FACE('',(#21733,#4176),#7893,.F.); #309249=ADVANCED_FACE('',(#21734),#305081,.F.); #309250=ADVANCED_FACE('',(#21735),#305082,.T.); #309251=ADVANCED_FACE('',(#21736,#4177),#7894,.T.); #309252=ADVANCED_FACE('',(#21737,#4178),#7895,.F.); #309253=ADVANCED_FACE('',(#21738),#305083,.F.); #309254=ADVANCED_FACE('',(#21739),#305084,.T.); #309255=ADVANCED_FACE('',(#21740,#4179),#7896,.T.); #309256=ADVANCED_FACE('',(#21741,#4180),#7897,.F.); #309257=ADVANCED_FACE('',(#21742),#305085,.F.); #309258=ADVANCED_FACE('',(#21743),#305086,.T.); #309259=ADVANCED_FACE('',(#21744,#4181),#7898,.T.); #309260=ADVANCED_FACE('',(#21745,#4182),#7899,.F.); #309261=ADVANCED_FACE('',(#21746),#305087,.F.); #309262=ADVANCED_FACE('',(#21747),#305088,.T.); #309263=ADVANCED_FACE('',(#21748,#4183),#7900,.T.); #309264=ADVANCED_FACE('',(#21749,#4184),#7901,.F.); #309265=ADVANCED_FACE('',(#21750),#305089,.F.); #309266=ADVANCED_FACE('',(#21751),#305090,.T.); #309267=ADVANCED_FACE('',(#21752,#4185),#7902,.T.); #309268=ADVANCED_FACE('',(#21753,#4186),#7903,.F.); #309269=ADVANCED_FACE('',(#21754),#305091,.F.); #309270=ADVANCED_FACE('',(#21755),#305092,.T.); #309271=ADVANCED_FACE('',(#21756,#4187),#7904,.T.); #309272=ADVANCED_FACE('',(#21757,#4188),#7905,.F.); #309273=ADVANCED_FACE('',(#21758),#305093,.F.); #309274=ADVANCED_FACE('',(#21759),#305094,.T.); #309275=ADVANCED_FACE('',(#21760,#4189),#7906,.T.); #309276=ADVANCED_FACE('',(#21761,#4190),#7907,.F.); #309277=ADVANCED_FACE('',(#21762),#305095,.F.); #309278=ADVANCED_FACE('',(#21763),#305096,.T.); #309279=ADVANCED_FACE('',(#21764,#4191),#7908,.T.); #309280=ADVANCED_FACE('',(#21765,#4192),#7909,.F.); #309281=ADVANCED_FACE('',(#21766),#305097,.F.); #309282=ADVANCED_FACE('',(#21767),#305098,.T.); #309283=ADVANCED_FACE('',(#21768,#4193),#7910,.T.); #309284=ADVANCED_FACE('',(#21769,#4194),#7911,.F.); #309285=ADVANCED_FACE('',(#21770),#305099,.F.); #309286=ADVANCED_FACE('',(#21771),#305100,.T.); #309287=ADVANCED_FACE('',(#21772,#4195),#7912,.T.); #309288=ADVANCED_FACE('',(#21773,#4196),#7913,.F.); #309289=ADVANCED_FACE('',(#21774),#305101,.F.); #309290=ADVANCED_FACE('',(#21775),#305102,.T.); #309291=ADVANCED_FACE('',(#21776,#4197),#7914,.T.); #309292=ADVANCED_FACE('',(#21777,#4198),#7915,.F.); #309293=ADVANCED_FACE('',(#21778),#305103,.F.); #309294=ADVANCED_FACE('',(#21779),#305104,.T.); #309295=ADVANCED_FACE('',(#21780,#4199),#7916,.T.); #309296=ADVANCED_FACE('',(#21781,#4200),#7917,.F.); #309297=ADVANCED_FACE('',(#21782),#305105,.F.); #309298=ADVANCED_FACE('',(#21783),#305106,.T.); #309299=ADVANCED_FACE('',(#21784,#4201),#7918,.T.); #309300=ADVANCED_FACE('',(#21785,#4202),#7919,.F.); #309301=ADVANCED_FACE('',(#21786),#305107,.F.); #309302=ADVANCED_FACE('',(#21787),#305108,.T.); #309303=ADVANCED_FACE('',(#21788,#4203),#7920,.T.); #309304=ADVANCED_FACE('',(#21789,#4204),#7921,.F.); #309305=ADVANCED_FACE('',(#21790),#305109,.F.); #309306=ADVANCED_FACE('',(#21791),#305110,.T.); #309307=ADVANCED_FACE('',(#21792,#4205),#7922,.T.); #309308=ADVANCED_FACE('',(#21793,#4206),#7923,.F.); #309309=ADVANCED_FACE('',(#21794),#305111,.F.); #309310=ADVANCED_FACE('',(#21795),#305112,.T.); #309311=ADVANCED_FACE('',(#21796,#4207),#7924,.T.); #309312=ADVANCED_FACE('',(#21797,#4208),#7925,.F.); #309313=ADVANCED_FACE('',(#21798),#305113,.F.); #309314=ADVANCED_FACE('',(#21799),#305114,.T.); #309315=ADVANCED_FACE('',(#21800,#4209),#7926,.T.); #309316=ADVANCED_FACE('',(#21801,#4210),#7927,.F.); #309317=ADVANCED_FACE('',(#21802),#305115,.F.); #309318=ADVANCED_FACE('',(#21803),#305116,.T.); #309319=ADVANCED_FACE('',(#21804,#4211),#7928,.T.); #309320=ADVANCED_FACE('',(#21805,#4212),#7929,.F.); #309321=ADVANCED_FACE('',(#21806),#305117,.F.); #309322=ADVANCED_FACE('',(#21807),#305118,.T.); #309323=ADVANCED_FACE('',(#21808,#4213),#7930,.T.); #309324=ADVANCED_FACE('',(#21809,#4214),#7931,.F.); #309325=ADVANCED_FACE('',(#21810),#305119,.F.); #309326=ADVANCED_FACE('',(#21811),#305120,.T.); #309327=ADVANCED_FACE('',(#21812,#4215),#7932,.T.); #309328=ADVANCED_FACE('',(#21813,#4216),#7933,.F.); #309329=ADVANCED_FACE('',(#21814),#305121,.F.); #309330=ADVANCED_FACE('',(#21815),#305122,.T.); #309331=ADVANCED_FACE('',(#21816,#4217),#7934,.T.); #309332=ADVANCED_FACE('',(#21817,#4218),#7935,.F.); #309333=ADVANCED_FACE('',(#21818),#305123,.F.); #309334=ADVANCED_FACE('',(#21819),#305124,.T.); #309335=ADVANCED_FACE('',(#21820,#4219),#7936,.T.); #309336=ADVANCED_FACE('',(#21821,#4220),#7937,.F.); #309337=ADVANCED_FACE('',(#21822),#305125,.F.); #309338=ADVANCED_FACE('',(#21823),#305126,.T.); #309339=ADVANCED_FACE('',(#21824,#4221),#7938,.T.); #309340=ADVANCED_FACE('',(#21825,#4222),#7939,.F.); #309341=ADVANCED_FACE('',(#21826),#305127,.F.); #309342=ADVANCED_FACE('',(#21827),#305128,.T.); #309343=ADVANCED_FACE('',(#21828,#4223),#7940,.T.); #309344=ADVANCED_FACE('',(#21829,#4224),#7941,.F.); #309345=ADVANCED_FACE('',(#21830),#305129,.F.); #309346=ADVANCED_FACE('',(#21831),#305130,.T.); #309347=ADVANCED_FACE('',(#21832,#4225),#7942,.T.); #309348=ADVANCED_FACE('',(#21833,#4226),#7943,.F.); #309349=ADVANCED_FACE('',(#21834),#305131,.F.); #309350=ADVANCED_FACE('',(#21835),#305132,.T.); #309351=ADVANCED_FACE('',(#21836,#4227),#7944,.T.); #309352=ADVANCED_FACE('',(#21837,#4228),#7945,.F.); #309353=ADVANCED_FACE('',(#21838),#305133,.F.); #309354=ADVANCED_FACE('',(#21839),#305134,.T.); #309355=ADVANCED_FACE('',(#21840,#4229),#7946,.T.); #309356=ADVANCED_FACE('',(#21841,#4230),#7947,.F.); #309357=ADVANCED_FACE('',(#21842),#305135,.F.); #309358=ADVANCED_FACE('',(#21843),#305136,.T.); #309359=ADVANCED_FACE('',(#21844,#4231),#7948,.T.); #309360=ADVANCED_FACE('',(#21845,#4232),#7949,.F.); #309361=ADVANCED_FACE('',(#21846),#305137,.F.); #309362=ADVANCED_FACE('',(#21847),#305138,.T.); #309363=ADVANCED_FACE('',(#21848,#4233),#7950,.T.); #309364=ADVANCED_FACE('',(#21849,#4234),#7951,.F.); #309365=ADVANCED_FACE('',(#21850),#305139,.F.); #309366=ADVANCED_FACE('',(#21851),#305140,.T.); #309367=ADVANCED_FACE('',(#21852,#4235),#7952,.T.); #309368=ADVANCED_FACE('',(#21853,#4236),#7953,.F.); #309369=ADVANCED_FACE('',(#21854),#305141,.F.); #309370=ADVANCED_FACE('',(#21855),#305142,.T.); #309371=ADVANCED_FACE('',(#21856,#4237),#7954,.T.); #309372=ADVANCED_FACE('',(#21857,#4238),#7955,.F.); #309373=ADVANCED_FACE('',(#21858),#305143,.F.); #309374=ADVANCED_FACE('',(#21859),#305144,.T.); #309375=ADVANCED_FACE('',(#21860,#4239),#7956,.T.); #309376=ADVANCED_FACE('',(#21861,#4240),#7957,.F.); #309377=ADVANCED_FACE('',(#21862),#305145,.F.); #309378=ADVANCED_FACE('',(#21863),#305146,.T.); #309379=ADVANCED_FACE('',(#21864,#4241),#7958,.T.); #309380=ADVANCED_FACE('',(#21865,#4242),#7959,.F.); #309381=ADVANCED_FACE('',(#21866),#305147,.F.); #309382=ADVANCED_FACE('',(#21867),#305148,.T.); #309383=ADVANCED_FACE('',(#21868,#4243),#7960,.T.); #309384=ADVANCED_FACE('',(#21869,#4244),#7961,.F.); #309385=ADVANCED_FACE('',(#21870),#305149,.F.); #309386=ADVANCED_FACE('',(#21871),#305150,.T.); #309387=ADVANCED_FACE('',(#21872,#4245),#7962,.T.); #309388=ADVANCED_FACE('',(#21873,#4246),#7963,.F.); #309389=ADVANCED_FACE('',(#21874),#305151,.F.); #309390=ADVANCED_FACE('',(#21875),#305152,.T.); #309391=ADVANCED_FACE('',(#21876,#4247),#7964,.T.); #309392=ADVANCED_FACE('',(#21877,#4248),#7965,.F.); #309393=ADVANCED_FACE('',(#21878),#305153,.F.); #309394=ADVANCED_FACE('',(#21879),#305154,.T.); #309395=ADVANCED_FACE('',(#21880,#4249),#7966,.T.); #309396=ADVANCED_FACE('',(#21881,#4250),#7967,.F.); #309397=ADVANCED_FACE('',(#21882),#305155,.F.); #309398=ADVANCED_FACE('',(#21883),#305156,.T.); #309399=ADVANCED_FACE('',(#21884,#4251),#7968,.T.); #309400=ADVANCED_FACE('',(#21885,#4252),#7969,.F.); #309401=ADVANCED_FACE('',(#21886),#305157,.F.); #309402=ADVANCED_FACE('',(#21887),#305158,.T.); #309403=ADVANCED_FACE('',(#21888,#4253),#7970,.T.); #309404=ADVANCED_FACE('',(#21889,#4254),#7971,.F.); #309405=ADVANCED_FACE('',(#21890),#305159,.F.); #309406=ADVANCED_FACE('',(#21891),#305160,.T.); #309407=ADVANCED_FACE('',(#21892,#4255),#7972,.T.); #309408=ADVANCED_FACE('',(#21893,#4256),#7973,.F.); #309409=ADVANCED_FACE('',(#21894),#305161,.F.); #309410=ADVANCED_FACE('',(#21895),#305162,.T.); #309411=ADVANCED_FACE('',(#21896,#4257),#7974,.T.); #309412=ADVANCED_FACE('',(#21897,#4258),#7975,.F.); #309413=ADVANCED_FACE('',(#21898),#305163,.F.); #309414=ADVANCED_FACE('',(#21899),#305164,.T.); #309415=ADVANCED_FACE('',(#21900,#4259),#7976,.T.); #309416=ADVANCED_FACE('',(#21901,#4260),#7977,.F.); #309417=ADVANCED_FACE('',(#21902),#305165,.F.); #309418=ADVANCED_FACE('',(#21903),#305166,.T.); #309419=ADVANCED_FACE('',(#21904,#4261),#7978,.T.); #309420=ADVANCED_FACE('',(#21905,#4262),#7979,.F.); #309421=ADVANCED_FACE('',(#21906),#305167,.F.); #309422=ADVANCED_FACE('',(#21907),#305168,.T.); #309423=ADVANCED_FACE('',(#21908,#4263),#7980,.T.); #309424=ADVANCED_FACE('',(#21909,#4264),#7981,.F.); #309425=ADVANCED_FACE('',(#21910),#305169,.F.); #309426=ADVANCED_FACE('',(#21911),#305170,.T.); #309427=ADVANCED_FACE('',(#21912,#4265),#7982,.T.); #309428=ADVANCED_FACE('',(#21913,#4266),#7983,.F.); #309429=ADVANCED_FACE('',(#21914),#305171,.F.); #309430=ADVANCED_FACE('',(#21915),#305172,.T.); #309431=ADVANCED_FACE('',(#21916,#4267),#7984,.T.); #309432=ADVANCED_FACE('',(#21917,#4268),#7985,.F.); #309433=ADVANCED_FACE('',(#21918),#305173,.F.); #309434=ADVANCED_FACE('',(#21919),#305174,.T.); #309435=ADVANCED_FACE('',(#21920,#4269),#7986,.T.); #309436=ADVANCED_FACE('',(#21921,#4270),#7987,.F.); #309437=ADVANCED_FACE('',(#21922),#305175,.F.); #309438=ADVANCED_FACE('',(#21923),#305176,.T.); #309439=ADVANCED_FACE('',(#21924,#4271),#7988,.T.); #309440=ADVANCED_FACE('',(#21925,#4272),#7989,.F.); #309441=ADVANCED_FACE('',(#21926),#305177,.F.); #309442=ADVANCED_FACE('',(#21927),#305178,.T.); #309443=ADVANCED_FACE('',(#21928,#4273),#7990,.T.); #309444=ADVANCED_FACE('',(#21929,#4274),#7991,.F.); #309445=ADVANCED_FACE('',(#21930),#305179,.F.); #309446=ADVANCED_FACE('',(#21931),#305180,.T.); #309447=ADVANCED_FACE('',(#21932,#4275),#7992,.T.); #309448=ADVANCED_FACE('',(#21933,#4276),#7993,.F.); #309449=ADVANCED_FACE('',(#21934),#305181,.F.); #309450=ADVANCED_FACE('',(#21935),#305182,.T.); #309451=ADVANCED_FACE('',(#21936,#4277),#7994,.T.); #309452=ADVANCED_FACE('',(#21937,#4278),#7995,.F.); #309453=ADVANCED_FACE('',(#21938),#305183,.F.); #309454=ADVANCED_FACE('',(#21939),#305184,.T.); #309455=ADVANCED_FACE('',(#21940,#4279),#7996,.T.); #309456=ADVANCED_FACE('',(#21941,#4280),#7997,.F.); #309457=ADVANCED_FACE('',(#21942),#305185,.F.); #309458=ADVANCED_FACE('',(#21943),#305186,.T.); #309459=ADVANCED_FACE('',(#21944,#4281),#7998,.T.); #309460=ADVANCED_FACE('',(#21945,#4282),#7999,.F.); #309461=ADVANCED_FACE('',(#21946),#305187,.F.); #309462=ADVANCED_FACE('',(#21947),#305188,.T.); #309463=ADVANCED_FACE('',(#21948,#4283),#8000,.T.); #309464=ADVANCED_FACE('',(#21949,#4284),#8001,.F.); #309465=ADVANCED_FACE('',(#21950),#305189,.F.); #309466=ADVANCED_FACE('',(#21951),#305190,.T.); #309467=ADVANCED_FACE('',(#21952,#4285),#8002,.T.); #309468=ADVANCED_FACE('',(#21953,#4286),#8003,.F.); #309469=ADVANCED_FACE('',(#21954),#305191,.F.); #309470=ADVANCED_FACE('',(#21955),#305192,.T.); #309471=ADVANCED_FACE('',(#21956,#4287),#8004,.T.); #309472=ADVANCED_FACE('',(#21957,#4288),#8005,.F.); #309473=ADVANCED_FACE('',(#21958),#305193,.F.); #309474=ADVANCED_FACE('',(#21959),#305194,.T.); #309475=ADVANCED_FACE('',(#21960,#4289),#8006,.T.); #309476=ADVANCED_FACE('',(#21961,#4290),#8007,.F.); #309477=ADVANCED_FACE('',(#21962),#305195,.F.); #309478=ADVANCED_FACE('',(#21963),#305196,.T.); #309479=ADVANCED_FACE('',(#21964,#4291),#8008,.T.); #309480=ADVANCED_FACE('',(#21965,#4292),#8009,.F.); #309481=ADVANCED_FACE('',(#21966),#305197,.F.); #309482=ADVANCED_FACE('',(#21967),#305198,.T.); #309483=ADVANCED_FACE('',(#21968,#4293),#8010,.T.); #309484=ADVANCED_FACE('',(#21969,#4294),#8011,.F.); #309485=ADVANCED_FACE('',(#21970),#305199,.F.); #309486=ADVANCED_FACE('',(#21971),#305200,.T.); #309487=ADVANCED_FACE('',(#21972,#4295),#8012,.T.); #309488=ADVANCED_FACE('',(#21973,#4296),#8013,.F.); #309489=ADVANCED_FACE('',(#21974),#305201,.F.); #309490=ADVANCED_FACE('',(#21975),#305202,.T.); #309491=ADVANCED_FACE('',(#21976,#4297),#8014,.T.); #309492=ADVANCED_FACE('',(#21977,#4298),#8015,.F.); #309493=ADVANCED_FACE('',(#21978),#305203,.F.); #309494=ADVANCED_FACE('',(#21979),#305204,.T.); #309495=ADVANCED_FACE('',(#21980,#4299),#8016,.T.); #309496=ADVANCED_FACE('',(#21981,#4300),#8017,.F.); #309497=ADVANCED_FACE('',(#21982),#305205,.F.); #309498=ADVANCED_FACE('',(#21983),#305206,.T.); #309499=ADVANCED_FACE('',(#21984,#4301),#8018,.T.); #309500=ADVANCED_FACE('',(#21985,#4302),#8019,.F.); #309501=ADVANCED_FACE('',(#21986),#305207,.F.); #309502=ADVANCED_FACE('',(#21987),#305208,.T.); #309503=ADVANCED_FACE('',(#21988,#4303),#8020,.T.); #309504=ADVANCED_FACE('',(#21989,#4304),#8021,.F.); #309505=ADVANCED_FACE('',(#21990),#305209,.F.); #309506=ADVANCED_FACE('',(#21991),#305210,.T.); #309507=ADVANCED_FACE('',(#21992,#4305),#8022,.T.); #309508=ADVANCED_FACE('',(#21993,#4306),#8023,.F.); #309509=ADVANCED_FACE('',(#21994),#305211,.F.); #309510=ADVANCED_FACE('',(#21995),#305212,.T.); #309511=ADVANCED_FACE('',(#21996,#4307),#8024,.T.); #309512=ADVANCED_FACE('',(#21997,#4308),#8025,.F.); #309513=ADVANCED_FACE('',(#21998),#305213,.F.); #309514=ADVANCED_FACE('',(#21999),#305214,.T.); #309515=ADVANCED_FACE('',(#22000,#4309),#8026,.T.); #309516=ADVANCED_FACE('',(#22001,#4310),#8027,.F.); #309517=ADVANCED_FACE('',(#22002),#305215,.F.); #309518=ADVANCED_FACE('',(#22003),#305216,.T.); #309519=ADVANCED_FACE('',(#22004,#4311),#8028,.T.); #309520=ADVANCED_FACE('',(#22005,#4312),#8029,.F.); #309521=ADVANCED_FACE('',(#22006),#305217,.F.); #309522=ADVANCED_FACE('',(#22007),#305218,.T.); #309523=ADVANCED_FACE('',(#22008,#4313),#8030,.T.); #309524=ADVANCED_FACE('',(#22009,#4314),#8031,.F.); #309525=ADVANCED_FACE('',(#22010),#305219,.F.); #309526=ADVANCED_FACE('',(#22011),#305220,.T.); #309527=ADVANCED_FACE('',(#22012,#4315),#8032,.T.); #309528=ADVANCED_FACE('',(#22013,#4316),#8033,.F.); #309529=ADVANCED_FACE('',(#22014),#305221,.F.); #309530=ADVANCED_FACE('',(#22015),#305222,.T.); #309531=ADVANCED_FACE('',(#22016,#4317),#8034,.T.); #309532=ADVANCED_FACE('',(#22017,#4318),#8035,.F.); #309533=ADVANCED_FACE('',(#22018),#305223,.F.); #309534=ADVANCED_FACE('',(#22019),#305224,.T.); #309535=ADVANCED_FACE('',(#22020,#4319),#8036,.T.); #309536=ADVANCED_FACE('',(#22021,#4320),#8037,.F.); #309537=ADVANCED_FACE('',(#22022),#305225,.F.); #309538=ADVANCED_FACE('',(#22023),#305226,.T.); #309539=ADVANCED_FACE('',(#22024,#4321),#8038,.T.); #309540=ADVANCED_FACE('',(#22025,#4322),#8039,.F.); #309541=ADVANCED_FACE('',(#22026),#305227,.F.); #309542=ADVANCED_FACE('',(#22027),#305228,.T.); #309543=ADVANCED_FACE('',(#22028,#4323),#8040,.T.); #309544=ADVANCED_FACE('',(#22029,#4324),#8041,.F.); #309545=ADVANCED_FACE('',(#22030),#305229,.F.); #309546=ADVANCED_FACE('',(#22031),#305230,.T.); #309547=ADVANCED_FACE('',(#22032,#4325),#8042,.T.); #309548=ADVANCED_FACE('',(#22033,#4326),#8043,.F.); #309549=ADVANCED_FACE('',(#22034),#305231,.F.); #309550=ADVANCED_FACE('',(#22035),#305232,.T.); #309551=ADVANCED_FACE('',(#22036,#4327),#8044,.T.); #309552=ADVANCED_FACE('',(#22037,#4328),#8045,.F.); #309553=ADVANCED_FACE('',(#22038),#305233,.F.); #309554=ADVANCED_FACE('',(#22039),#305234,.T.); #309555=ADVANCED_FACE('',(#22040,#4329),#8046,.T.); #309556=ADVANCED_FACE('',(#22041,#4330),#8047,.F.); #309557=ADVANCED_FACE('',(#22042),#305235,.F.); #309558=ADVANCED_FACE('',(#22043),#305236,.T.); #309559=ADVANCED_FACE('',(#22044,#4331),#8048,.T.); #309560=ADVANCED_FACE('',(#22045,#4332),#8049,.F.); #309561=ADVANCED_FACE('',(#22046),#305237,.F.); #309562=ADVANCED_FACE('',(#22047),#305238,.T.); #309563=ADVANCED_FACE('',(#22048,#4333),#8050,.T.); #309564=ADVANCED_FACE('',(#22049,#4334),#8051,.F.); #309565=ADVANCED_FACE('',(#22050),#305239,.F.); #309566=ADVANCED_FACE('',(#22051),#305240,.T.); #309567=ADVANCED_FACE('',(#22052,#4335),#8052,.T.); #309568=ADVANCED_FACE('',(#22053,#4336),#8053,.F.); #309569=ADVANCED_FACE('',(#22054),#305241,.F.); #309570=ADVANCED_FACE('',(#22055),#305242,.T.); #309571=ADVANCED_FACE('',(#22056,#4337),#8054,.T.); #309572=ADVANCED_FACE('',(#22057,#4338),#8055,.F.); #309573=ADVANCED_FACE('',(#22058),#305243,.F.); #309574=ADVANCED_FACE('',(#22059),#305244,.T.); #309575=ADVANCED_FACE('',(#22060,#4339),#8056,.T.); #309576=ADVANCED_FACE('',(#22061,#4340),#8057,.F.); #309577=ADVANCED_FACE('',(#22062),#305245,.F.); #309578=ADVANCED_FACE('',(#22063),#305246,.T.); #309579=ADVANCED_FACE('',(#22064,#4341),#8058,.T.); #309580=ADVANCED_FACE('',(#22065,#4342),#8059,.F.); #309581=ADVANCED_FACE('',(#22066),#305247,.F.); #309582=ADVANCED_FACE('',(#22067),#305248,.T.); #309583=ADVANCED_FACE('',(#22068,#4343),#8060,.T.); #309584=ADVANCED_FACE('',(#22069,#4344),#8061,.F.); #309585=ADVANCED_FACE('',(#22070),#305249,.F.); #309586=ADVANCED_FACE('',(#22071),#305250,.T.); #309587=ADVANCED_FACE('',(#22072,#4345),#8062,.T.); #309588=ADVANCED_FACE('',(#22073,#4346),#8063,.F.); #309589=ADVANCED_FACE('',(#22074),#305251,.F.); #309590=ADVANCED_FACE('',(#22075),#305252,.T.); #309591=ADVANCED_FACE('',(#22076,#4347),#8064,.T.); #309592=ADVANCED_FACE('',(#22077,#4348),#8065,.F.); #309593=ADVANCED_FACE('',(#22078),#305253,.F.); #309594=ADVANCED_FACE('',(#22079),#305254,.T.); #309595=ADVANCED_FACE('',(#22080,#4349),#8066,.T.); #309596=ADVANCED_FACE('',(#22081,#4350),#8067,.F.); #309597=ADVANCED_FACE('',(#22082),#305255,.F.); #309598=ADVANCED_FACE('',(#22083),#305256,.T.); #309599=ADVANCED_FACE('',(#22084,#4351),#8068,.T.); #309600=ADVANCED_FACE('',(#22085,#4352),#8069,.F.); #309601=ADVANCED_FACE('',(#22086),#305257,.F.); #309602=ADVANCED_FACE('',(#22087),#305258,.T.); #309603=ADVANCED_FACE('',(#22088,#4353),#8070,.T.); #309604=ADVANCED_FACE('',(#22089,#4354),#8071,.F.); #309605=ADVANCED_FACE('',(#22090),#305259,.F.); #309606=ADVANCED_FACE('',(#22091),#305260,.T.); #309607=ADVANCED_FACE('',(#22092,#4355),#8072,.T.); #309608=ADVANCED_FACE('',(#22093,#4356),#8073,.F.); #309609=ADVANCED_FACE('',(#22094),#305261,.F.); #309610=ADVANCED_FACE('',(#22095),#305262,.T.); #309611=ADVANCED_FACE('',(#22096,#4357),#8074,.T.); #309612=ADVANCED_FACE('',(#22097,#4358),#8075,.F.); #309613=ADVANCED_FACE('',(#22098),#305263,.F.); #309614=ADVANCED_FACE('',(#22099),#305264,.T.); #309615=ADVANCED_FACE('',(#22100,#4359),#8076,.T.); #309616=ADVANCED_FACE('',(#22101,#4360),#8077,.F.); #309617=ADVANCED_FACE('',(#22102),#305265,.F.); #309618=ADVANCED_FACE('',(#22103),#305266,.T.); #309619=ADVANCED_FACE('',(#22104,#4361),#8078,.T.); #309620=ADVANCED_FACE('',(#22105,#4362),#8079,.F.); #309621=ADVANCED_FACE('',(#22106),#305267,.F.); #309622=ADVANCED_FACE('',(#22107),#305268,.T.); #309623=ADVANCED_FACE('',(#22108,#4363),#8080,.T.); #309624=ADVANCED_FACE('',(#22109,#4364),#8081,.F.); #309625=ADVANCED_FACE('',(#22110),#305269,.F.); #309626=ADVANCED_FACE('',(#22111),#305270,.T.); #309627=ADVANCED_FACE('',(#22112,#4365),#8082,.T.); #309628=ADVANCED_FACE('',(#22113,#4366),#8083,.F.); #309629=ADVANCED_FACE('',(#22114),#305271,.F.); #309630=ADVANCED_FACE('',(#22115),#305272,.T.); #309631=ADVANCED_FACE('',(#22116,#4367),#8084,.T.); #309632=ADVANCED_FACE('',(#22117,#4368),#8085,.F.); #309633=ADVANCED_FACE('',(#22118),#305273,.F.); #309634=ADVANCED_FACE('',(#22119),#305274,.T.); #309635=ADVANCED_FACE('',(#22120,#4369),#8086,.T.); #309636=ADVANCED_FACE('',(#22121,#4370),#8087,.F.); #309637=ADVANCED_FACE('',(#22122),#305275,.F.); #309638=ADVANCED_FACE('',(#22123),#305276,.T.); #309639=ADVANCED_FACE('',(#22124,#4371),#8088,.T.); #309640=ADVANCED_FACE('',(#22125,#4372),#8089,.F.); #309641=ADVANCED_FACE('',(#22126),#305277,.F.); #309642=ADVANCED_FACE('',(#22127),#305278,.T.); #309643=ADVANCED_FACE('',(#22128,#4373),#8090,.T.); #309644=ADVANCED_FACE('',(#22129,#4374),#8091,.F.); #309645=ADVANCED_FACE('',(#22130),#305279,.F.); #309646=ADVANCED_FACE('',(#22131),#305280,.T.); #309647=ADVANCED_FACE('',(#22132,#4375),#8092,.T.); #309648=ADVANCED_FACE('',(#22133,#4376),#8093,.F.); #309649=ADVANCED_FACE('',(#22134),#305281,.F.); #309650=ADVANCED_FACE('',(#22135),#305282,.T.); #309651=ADVANCED_FACE('',(#22136,#4377),#8094,.T.); #309652=ADVANCED_FACE('',(#22137,#4378),#8095,.F.); #309653=ADVANCED_FACE('',(#22138),#305283,.F.); #309654=ADVANCED_FACE('',(#22139),#305284,.T.); #309655=ADVANCED_FACE('',(#22140,#4379),#8096,.T.); #309656=ADVANCED_FACE('',(#22141,#4380),#8097,.F.); #309657=ADVANCED_FACE('',(#22142),#305285,.F.); #309658=ADVANCED_FACE('',(#22143),#305286,.T.); #309659=ADVANCED_FACE('',(#22144,#4381),#8098,.T.); #309660=ADVANCED_FACE('',(#22145,#4382),#8099,.F.); #309661=ADVANCED_FACE('',(#22146),#305287,.F.); #309662=ADVANCED_FACE('',(#22147),#305288,.T.); #309663=ADVANCED_FACE('',(#22148,#4383),#8100,.T.); #309664=ADVANCED_FACE('',(#22149,#4384),#8101,.F.); #309665=ADVANCED_FACE('',(#22150),#305289,.F.); #309666=ADVANCED_FACE('',(#22151),#305290,.T.); #309667=ADVANCED_FACE('',(#22152,#4385),#8102,.T.); #309668=ADVANCED_FACE('',(#22153,#4386),#8103,.F.); #309669=ADVANCED_FACE('',(#22154),#305291,.F.); #309670=ADVANCED_FACE('',(#22155),#305292,.T.); #309671=ADVANCED_FACE('',(#22156,#4387),#8104,.T.); #309672=ADVANCED_FACE('',(#22157,#4388),#8105,.F.); #309673=ADVANCED_FACE('',(#22158),#305293,.F.); #309674=ADVANCED_FACE('',(#22159),#305294,.T.); #309675=ADVANCED_FACE('',(#22160,#4389),#8106,.T.); #309676=ADVANCED_FACE('',(#22161,#4390),#8107,.F.); #309677=ADVANCED_FACE('',(#22162),#305295,.F.); #309678=ADVANCED_FACE('',(#22163),#305296,.T.); #309679=ADVANCED_FACE('',(#22164,#4391),#8108,.T.); #309680=ADVANCED_FACE('',(#22165,#4392),#8109,.F.); #309681=ADVANCED_FACE('',(#22166),#305297,.F.); #309682=ADVANCED_FACE('',(#22167),#305298,.T.); #309683=ADVANCED_FACE('',(#22168,#4393),#8110,.T.); #309684=ADVANCED_FACE('',(#22169,#4394),#8111,.F.); #309685=ADVANCED_FACE('',(#22170),#305299,.F.); #309686=ADVANCED_FACE('',(#22171),#305300,.T.); #309687=ADVANCED_FACE('',(#22172,#4395),#8112,.T.); #309688=ADVANCED_FACE('',(#22173,#4396),#8113,.F.); #309689=ADVANCED_FACE('',(#22174),#305301,.F.); #309690=ADVANCED_FACE('',(#22175),#305302,.T.); #309691=ADVANCED_FACE('',(#22176,#4397),#8114,.T.); #309692=ADVANCED_FACE('',(#22177,#4398),#8115,.F.); #309693=ADVANCED_FACE('',(#22178),#305303,.F.); #309694=ADVANCED_FACE('',(#22179),#305304,.T.); #309695=ADVANCED_FACE('',(#22180,#4399),#8116,.T.); #309696=ADVANCED_FACE('',(#22181,#4400),#8117,.F.); #309697=ADVANCED_FACE('',(#22182),#305305,.F.); #309698=ADVANCED_FACE('',(#22183),#305306,.T.); #309699=ADVANCED_FACE('',(#22184,#4401),#8118,.T.); #309700=ADVANCED_FACE('',(#22185,#4402),#8119,.F.); #309701=ADVANCED_FACE('',(#22186),#305307,.F.); #309702=ADVANCED_FACE('',(#22187),#305308,.T.); #309703=ADVANCED_FACE('',(#22188,#4403),#8120,.T.); #309704=ADVANCED_FACE('',(#22189,#4404),#8121,.F.); #309705=ADVANCED_FACE('',(#22190),#305309,.F.); #309706=ADVANCED_FACE('',(#22191),#305310,.T.); #309707=ADVANCED_FACE('',(#22192,#4405),#8122,.T.); #309708=ADVANCED_FACE('',(#22193,#4406),#8123,.F.); #309709=ADVANCED_FACE('',(#22194),#305311,.F.); #309710=ADVANCED_FACE('',(#22195),#305312,.T.); #309711=ADVANCED_FACE('',(#22196,#4407),#8124,.T.); #309712=ADVANCED_FACE('',(#22197,#4408),#8125,.F.); #309713=ADVANCED_FACE('',(#22198),#305313,.F.); #309714=ADVANCED_FACE('',(#22199),#305314,.T.); #309715=ADVANCED_FACE('',(#22200,#4409),#8126,.T.); #309716=ADVANCED_FACE('',(#22201,#4410),#8127,.F.); #309717=ADVANCED_FACE('',(#22202),#305315,.F.); #309718=ADVANCED_FACE('',(#22203),#305316,.T.); #309719=ADVANCED_FACE('',(#22204,#4411),#8128,.T.); #309720=ADVANCED_FACE('',(#22205,#4412),#8129,.F.); #309721=ADVANCED_FACE('',(#22206),#305317,.F.); #309722=ADVANCED_FACE('',(#22207),#305318,.T.); #309723=ADVANCED_FACE('',(#22208,#4413),#8130,.T.); #309724=ADVANCED_FACE('',(#22209,#4414),#8131,.F.); #309725=ADVANCED_FACE('',(#22210),#305319,.F.); #309726=ADVANCED_FACE('',(#22211),#305320,.T.); #309727=ADVANCED_FACE('',(#22212,#4415),#8132,.T.); #309728=ADVANCED_FACE('',(#22213,#4416),#8133,.F.); #309729=ADVANCED_FACE('',(#22214),#305321,.F.); #309730=ADVANCED_FACE('',(#22215),#305322,.T.); #309731=ADVANCED_FACE('',(#22216,#4417),#8134,.T.); #309732=ADVANCED_FACE('',(#22217,#4418),#8135,.F.); #309733=ADVANCED_FACE('',(#22218),#305323,.F.); #309734=ADVANCED_FACE('',(#22219),#305324,.T.); #309735=ADVANCED_FACE('',(#22220,#4419),#8136,.T.); #309736=ADVANCED_FACE('',(#22221,#4420),#8137,.F.); #309737=ADVANCED_FACE('',(#22222),#305325,.F.); #309738=ADVANCED_FACE('',(#22223),#305326,.T.); #309739=ADVANCED_FACE('',(#22224,#4421),#8138,.T.); #309740=ADVANCED_FACE('',(#22225,#4422),#8139,.F.); #309741=ADVANCED_FACE('',(#22226),#305327,.F.); #309742=ADVANCED_FACE('',(#22227),#305328,.T.); #309743=ADVANCED_FACE('',(#22228,#4423),#8140,.T.); #309744=ADVANCED_FACE('',(#22229,#4424),#8141,.F.); #309745=ADVANCED_FACE('',(#22230),#305329,.F.); #309746=ADVANCED_FACE('',(#22231),#305330,.T.); #309747=ADVANCED_FACE('',(#22232,#4425),#8142,.T.); #309748=ADVANCED_FACE('',(#22233,#4426),#8143,.F.); #309749=ADVANCED_FACE('',(#22234),#305331,.F.); #309750=ADVANCED_FACE('',(#22235),#305332,.T.); #309751=ADVANCED_FACE('',(#22236,#4427),#8144,.T.); #309752=ADVANCED_FACE('',(#22237,#4428),#8145,.F.); #309753=ADVANCED_FACE('',(#22238),#305333,.F.); #309754=ADVANCED_FACE('',(#22239),#305334,.T.); #309755=ADVANCED_FACE('',(#22240,#4429),#8146,.T.); #309756=ADVANCED_FACE('',(#22241,#4430),#8147,.F.); #309757=ADVANCED_FACE('',(#22242),#305335,.F.); #309758=ADVANCED_FACE('',(#22243),#305336,.T.); #309759=ADVANCED_FACE('',(#22244,#4431),#8148,.T.); #309760=ADVANCED_FACE('',(#22245,#4432),#8149,.F.); #309761=ADVANCED_FACE('',(#22246),#305337,.F.); #309762=ADVANCED_FACE('',(#22247),#8150,.T.); #309763=ADVANCED_FACE('',(#22248),#305338,.T.); #309764=ADVANCED_FACE('',(#22249),#305339,.T.); #309765=ADVANCED_FACE('',(#22250,#4433),#8151,.T.); #309766=ADVANCED_FACE('',(#22251,#4434),#8152,.F.); #309767=ADVANCED_FACE('',(#22252),#8153,.T.); #309768=ADVANCED_FACE('',(#22253),#8154,.T.); #309769=ADVANCED_FACE('',(#22254),#8155,.T.); #309770=ADVANCED_FACE('',(#22255),#8156,.T.); #309771=ADVANCED_FACE('',(#22256),#8157,.T.); #309772=ADVANCED_FACE('',(#22257),#8158,.F.); #309773=ADVANCED_FACE('',(#22258),#8159,.T.); #309774=ADVANCED_FACE('',(#22259),#8160,.T.); #309775=ADVANCED_FACE('',(#22260),#8161,.T.); #309776=ADVANCED_FACE('',(#22261),#8162,.T.); #309777=ADVANCED_FACE('',(#22262),#8163,.T.); #309778=ADVANCED_FACE('',(#22263),#8164,.F.); #309779=ADVANCED_FACE('',(#22264),#8165,.T.); #309780=ADVANCED_FACE('',(#22265),#8166,.T.); #309781=ADVANCED_FACE('',(#22266),#8167,.T.); #309782=ADVANCED_FACE('',(#22267),#8168,.T.); #309783=ADVANCED_FACE('',(#22268),#8169,.T.); #309784=ADVANCED_FACE('',(#22269),#8170,.F.); #309785=ADVANCED_FACE('',(#22270),#8171,.T.); #309786=ADVANCED_FACE('',(#22271),#8172,.T.); #309787=ADVANCED_FACE('',(#22272),#8173,.T.); #309788=ADVANCED_FACE('',(#22273),#8174,.T.); #309789=ADVANCED_FACE('',(#22274),#8175,.T.); #309790=ADVANCED_FACE('',(#22275),#8176,.F.); #309791=ADVANCED_FACE('',(#22276),#8177,.T.); #309792=ADVANCED_FACE('',(#22277),#8178,.T.); #309793=ADVANCED_FACE('',(#22278),#8179,.T.); #309794=ADVANCED_FACE('',(#22279),#8180,.T.); #309795=ADVANCED_FACE('',(#22280),#8181,.T.); #309796=ADVANCED_FACE('',(#22281),#8182,.F.); #309797=ADVANCED_FACE('',(#22282),#8183,.T.); #309798=ADVANCED_FACE('',(#22283),#8184,.T.); #309799=ADVANCED_FACE('',(#22284),#8185,.T.); #309800=ADVANCED_FACE('',(#22285),#8186,.T.); #309801=ADVANCED_FACE('',(#22286),#8187,.T.); #309802=ADVANCED_FACE('',(#22287),#8188,.F.); #309803=ADVANCED_FACE('',(#22288),#8189,.T.); #309804=ADVANCED_FACE('',(#22289),#8190,.T.); #309805=ADVANCED_FACE('',(#22290),#8191,.T.); #309806=ADVANCED_FACE('',(#22291),#8192,.T.); #309807=ADVANCED_FACE('',(#22292),#8193,.T.); #309808=ADVANCED_FACE('',(#22293),#8194,.F.); #309809=ADVANCED_FACE('',(#22294),#8195,.T.); #309810=ADVANCED_FACE('',(#22295),#8196,.T.); #309811=ADVANCED_FACE('',(#22296),#8197,.T.); #309812=ADVANCED_FACE('',(#22297),#8198,.T.); #309813=ADVANCED_FACE('',(#22298),#8199,.T.); #309814=ADVANCED_FACE('',(#22299),#8200,.F.); #309815=ADVANCED_FACE('',(#22300),#8201,.T.); #309816=ADVANCED_FACE('',(#22301),#8202,.T.); #309817=ADVANCED_FACE('',(#22302),#8203,.T.); #309818=ADVANCED_FACE('',(#22303),#8204,.T.); #309819=ADVANCED_FACE('',(#22304),#8205,.T.); #309820=ADVANCED_FACE('',(#22305),#8206,.F.); #309821=ADVANCED_FACE('',(#22306),#8207,.T.); #309822=ADVANCED_FACE('',(#22307),#8208,.T.); #309823=ADVANCED_FACE('',(#22308),#8209,.T.); #309824=ADVANCED_FACE('',(#22309),#8210,.T.); #309825=ADVANCED_FACE('',(#22310),#8211,.T.); #309826=ADVANCED_FACE('',(#22311),#8212,.F.); #309827=ADVANCED_FACE('',(#22312),#305340,.F.); #309828=ADVANCED_FACE('',(#22313),#8213,.T.); #309829=ADVANCED_FACE('',(#22314),#305341,.T.); #309830=ADVANCED_FACE('',(#22315),#305342,.T.); #309831=ADVANCED_FACE('',(#22316,#4435),#8214,.T.); #309832=ADVANCED_FACE('',(#22317,#4436),#8215,.F.); #309833=ADVANCED_FACE('',(#22318),#305343,.F.); #309834=ADVANCED_FACE('',(#22319),#305344,.F.); #309835=ADVANCED_FACE('',(#22320),#8216,.T.); #309836=ADVANCED_FACE('',(#22321),#305345,.T.); #309837=ADVANCED_FACE('',(#22322),#305346,.T.); #309838=ADVANCED_FACE('',(#22323),#8217,.T.); #309839=ADVANCED_FACE('',(#22324),#305347,.T.); #309840=ADVANCED_FACE('',(#22325),#305348,.T.); #309841=ADVANCED_FACE('',(#22326),#8218,.T.); #309842=ADVANCED_FACE('',(#22327),#305349,.T.); #309843=ADVANCED_FACE('',(#22328,#4437,#4438),#8219,.T.); #309844=ADVANCED_FACE('',(#22329,#4439,#4440),#8220,.F.); #309845=ADVANCED_FACE('',(#22330),#305350,.F.); #309846=ADVANCED_FACE('',(#22331),#8221,.T.); #309847=ADVANCED_FACE('',(#22332),#305351,.T.); #309848=ADVANCED_FACE('',(#22333),#305352,.T.); #309849=ADVANCED_FACE('',(#22334,#4441),#8222,.T.); #309850=ADVANCED_FACE('',(#22335,#4442),#8223,.F.); #309851=ADVANCED_FACE('',(#22336),#305353,.F.); #309852=ADVANCED_FACE('',(#22337),#8224,.T.); #309853=ADVANCED_FACE('',(#22338),#305354,.T.); #309854=ADVANCED_FACE('',(#22339),#305355,.T.); #309855=ADVANCED_FACE('',(#22340,#4443),#8225,.T.); #309856=ADVANCED_FACE('',(#22341,#4444),#8226,.F.); #309857=ADVANCED_FACE('',(#22342),#305356,.F.); #309858=ADVANCED_FACE('',(#22343),#8227,.T.); #309859=ADVANCED_FACE('',(#22344),#305357,.T.); #309860=ADVANCED_FACE('',(#22345),#8228,.T.); #309861=ADVANCED_FACE('',(#22346),#305358,.T.); #309862=ADVANCED_FACE('',(#22347),#8229,.T.); #309863=ADVANCED_FACE('',(#22348),#8230,.T.); #309864=ADVANCED_FACE('',(#22349),#8231,.T.); #309865=ADVANCED_FACE('',(#22350),#8232,.T.); #309866=ADVANCED_FACE('',(#22351),#8233,.T.); #309867=ADVANCED_FACE('',(#22352),#8234,.T.); #309868=ADVANCED_FACE('',(#22353),#8235,.T.); #309869=ADVANCED_FACE('',(#22354),#305359,.T.); #309870=ADVANCED_FACE('',(#22355),#305360,.T.); #309871=ADVANCED_FACE('',(#22356,#4445),#8236,.T.); #309872=ADVANCED_FACE('',(#22357,#4446),#8237,.F.); #309873=ADVANCED_FACE('',(#22358),#305361,.F.); #309874=ADVANCED_FACE('',(#22359),#8238,.T.); #309875=ADVANCED_FACE('',(#22360),#305362,.T.); #309876=ADVANCED_FACE('',(#22361),#8239,.T.); #309877=ADVANCED_FACE('',(#22362),#8240,.T.); #309878=ADVANCED_FACE('',(#22363),#8241,.T.); #309879=ADVANCED_FACE('',(#22364),#8242,.T.); #309880=ADVANCED_FACE('',(#22365),#8243,.T.); #309881=ADVANCED_FACE('',(#22366),#8244,.T.); #309882=ADVANCED_FACE('',(#22367),#8245,.T.); #309883=ADVANCED_FACE('',(#22368),#305363,.T.); #309884=ADVANCED_FACE('',(#22369,#4447),#8246,.T.); #309885=ADVANCED_FACE('',(#22370,#4448),#8247,.F.); #309886=ADVANCED_FACE('',(#22371),#8248,.T.); #309887=ADVANCED_FACE('',(#22372),#8249,.T.); #309888=ADVANCED_FACE('',(#22373),#8250,.T.); #309889=ADVANCED_FACE('',(#22374),#8251,.T.); #309890=ADVANCED_FACE('',(#22375),#8252,.T.); #309891=ADVANCED_FACE('',(#22376),#8253,.T.); #309892=ADVANCED_FACE('',(#22377),#8254,.T.); #309893=ADVANCED_FACE('',(#22378),#8255,.T.); #309894=ADVANCED_FACE('',(#22379),#305364,.T.); #309895=ADVANCED_FACE('',(#22380),#8256,.T.); #309896=ADVANCED_FACE('',(#22381),#305365,.T.); #309897=ADVANCED_FACE('',(#22382),#8257,.T.); #309898=ADVANCED_FACE('',(#22383),#8258,.T.); #309899=ADVANCED_FACE('',(#22384),#8259,.T.); #309900=ADVANCED_FACE('',(#22385),#8260,.T.); #309901=ADVANCED_FACE('',(#22386),#8261,.T.); #309902=ADVANCED_FACE('',(#22387),#8262,.T.); #309903=ADVANCED_FACE('',(#22388),#8263,.T.); #309904=ADVANCED_FACE('',(#22389),#305366,.T.); #309905=ADVANCED_FACE('',(#22390),#8264,.T.); #309906=ADVANCED_FACE('',(#22391),#8265,.T.); #309907=ADVANCED_FACE('',(#22392),#8266,.F.); #309908=ADVANCED_FACE('',(#22393),#8267,.T.); #309909=ADVANCED_FACE('',(#22394),#8268,.T.); #309910=ADVANCED_FACE('',(#22395),#8269,.T.); #309911=ADVANCED_FACE('',(#22396),#8270,.T.); #309912=ADVANCED_FACE('',(#22397),#8271,.T.); #309913=ADVANCED_FACE('',(#22398),#305367,.T.); #309914=ADVANCED_FACE('',(#22399),#8272,.T.); #309915=ADVANCED_FACE('',(#22400),#8273,.T.); #309916=ADVANCED_FACE('',(#22401),#8274,.T.); #309917=ADVANCED_FACE('',(#22402),#8275,.T.); #309918=ADVANCED_FACE('',(#22403),#8276,.T.); #309919=ADVANCED_FACE('',(#22404),#8277,.T.); #309920=ADVANCED_FACE('',(#22405),#8278,.T.); #309921=ADVANCED_FACE('',(#22406),#305368,.T.); #309922=ADVANCED_FACE('',(#22407),#8279,.T.); #309923=ADVANCED_FACE('',(#22408),#8280,.T.); #309924=ADVANCED_FACE('',(#22409),#305369,.T.); #309925=ADVANCED_FACE('',(#22410),#8281,.T.); #309926=ADVANCED_FACE('',(#22411),#8282,.T.); #309927=ADVANCED_FACE('',(#22412),#8283,.T.); #309928=ADVANCED_FACE('',(#22413),#8284,.T.); #309929=ADVANCED_FACE('',(#22414),#8285,.T.); #309930=ADVANCED_FACE('',(#22415),#8286,.T.); #309931=ADVANCED_FACE('',(#22416),#8287,.T.); #309932=ADVANCED_FACE('',(#22417),#305370,.T.); #309933=ADVANCED_FACE('',(#22418),#8288,.T.); #309934=ADVANCED_FACE('',(#22419),#8289,.T.); #309935=ADVANCED_FACE('',(#22420),#8290,.T.); #309936=ADVANCED_FACE('',(#22421),#8291,.T.); #309937=ADVANCED_FACE('',(#22422),#8292,.T.); #309938=ADVANCED_FACE('',(#22423),#305371,.T.); #309939=ADVANCED_FACE('',(#22424),#8293,.T.); #309940=ADVANCED_FACE('',(#22425),#305372,.T.); #309941=ADVANCED_FACE('',(#22426),#8294,.T.); #309942=ADVANCED_FACE('',(#22427),#8295,.T.); #309943=ADVANCED_FACE('',(#22428),#8296,.T.); #309944=ADVANCED_FACE('',(#22429),#8297,.T.); #309945=ADVANCED_FACE('',(#22430),#8298,.F.); #309946=ADVANCED_FACE('',(#22431),#8299,.T.); #309947=ADVANCED_FACE('',(#22432),#8300,.T.); #309948=ADVANCED_FACE('',(#22433),#8301,.T.); #309949=ADVANCED_FACE('',(#22434),#8302,.T.); #309950=ADVANCED_FACE('',(#22435),#8303,.T.); #309951=ADVANCED_FACE('',(#22436),#8304,.T.); #309952=ADVANCED_FACE('',(#22437),#305373,.T.); #309953=ADVANCED_FACE('',(#22438),#8305,.T.); #309954=ADVANCED_FACE('',(#22439),#305374,.T.); #309955=ADVANCED_FACE('',(#22440),#8306,.T.); #309956=ADVANCED_FACE('',(#22441),#8307,.T.); #309957=ADVANCED_FACE('',(#22442),#8308,.T.); #309958=ADVANCED_FACE('',(#22443),#8309,.T.); #309959=ADVANCED_FACE('',(#22444),#8310,.T.); #309960=ADVANCED_FACE('',(#22445),#305375,.T.); #309961=ADVANCED_FACE('',(#22446),#8311,.T.); #309962=ADVANCED_FACE('',(#22447),#8312,.T.); #309963=ADVANCED_FACE('',(#22448),#8313,.T.); #309964=ADVANCED_FACE('',(#22449),#8314,.T.); #309965=ADVANCED_FACE('',(#22450),#8315,.T.); #309966=ADVANCED_FACE('',(#22451),#8316,.T.); #309967=ADVANCED_FACE('',(#22452),#8317,.T.); #309968=ADVANCED_FACE('',(#22453),#305376,.T.); #309969=ADVANCED_FACE('',(#22454),#8318,.T.); #309970=ADVANCED_FACE('',(#22455),#8319,.T.); #309971=ADVANCED_FACE('',(#22456),#305377,.T.); #309972=ADVANCED_FACE('',(#22457),#8320,.T.); #309973=ADVANCED_FACE('',(#22458),#8321,.T.); #309974=ADVANCED_FACE('',(#22459),#8322,.T.); #309975=ADVANCED_FACE('',(#22460),#8323,.T.); #309976=ADVANCED_FACE('',(#22461),#8324,.T.); #309977=ADVANCED_FACE('',(#22462),#8325,.T.); #309978=ADVANCED_FACE('',(#22463),#8326,.T.); #309979=ADVANCED_FACE('',(#22464),#305378,.T.); #309980=ADVANCED_FACE('',(#22465),#8327,.T.); #309981=ADVANCED_FACE('',(#22466),#8328,.T.); #309982=ADVANCED_FACE('',(#22467),#8329,.T.); #309983=ADVANCED_FACE('',(#22468),#8330,.F.); #309984=ADVANCED_FACE('',(#22469),#305379,.F.); #309985=ADVANCED_FACE('',(#22470),#8331,.T.); #309986=ADVANCED_FACE('',(#22471),#305380,.T.); #309987=ADVANCED_FACE('',(#22472),#8332,.T.); #309988=ADVANCED_FACE('',(#22473),#8333,.T.); #309989=ADVANCED_FACE('',(#22474),#305381,.T.); #309990=ADVANCED_FACE('',(#22475),#8334,.T.); #309991=ADVANCED_FACE('',(#22476),#8335,.T.); #309992=ADVANCED_FACE('',(#22477),#305382,.T.); #309993=ADVANCED_FACE('',(#22478),#8336,.T.); #309994=ADVANCED_FACE('',(#22479),#8337,.T.); #309995=ADVANCED_FACE('',(#22480),#8338,.T.); #309996=ADVANCED_FACE('',(#22481),#8339,.T.); #309997=ADVANCED_FACE('',(#22482),#8340,.T.); #309998=ADVANCED_FACE('',(#22483),#8341,.T.); #309999=ADVANCED_FACE('',(#22484),#8342,.T.); #310000=ADVANCED_FACE('',(#22485),#8343,.T.); #310001=ADVANCED_FACE('',(#22486),#305383,.T.); #310002=ADVANCED_FACE('',(#22487),#8344,.T.); #310003=ADVANCED_FACE('',(#22488),#8345,.T.); #310004=ADVANCED_FACE('',(#22489),#305384,.T.); #310005=ADVANCED_FACE('',(#22490),#8346,.T.); #310006=ADVANCED_FACE('',(#22491),#305385,.T.); #310007=ADVANCED_FACE('',(#22492,#4449),#8347,.T.); #310008=ADVANCED_FACE('',(#22493,#4450),#8348,.F.); #310009=ADVANCED_FACE('',(#22494),#305386,.F.); #310010=ADVANCED_FACE('',(#22495),#8349,.T.); #310011=ADVANCED_FACE('',(#22496),#305387,.T.); #310012=ADVANCED_FACE('',(#22497),#8350,.T.); #310013=ADVANCED_FACE('',(#22498),#8351,.T.); #310014=ADVANCED_FACE('',(#22499),#305388,.T.); #310015=ADVANCED_FACE('',(#22500),#8352,.T.); #310016=ADVANCED_FACE('',(#22501),#8353,.T.); #310017=ADVANCED_FACE('',(#22502),#8354,.T.); #310018=ADVANCED_FACE('',(#22503),#8355,.T.); #310019=ADVANCED_FACE('',(#22504),#8356,.T.); #310020=ADVANCED_FACE('',(#22505),#8357,.T.); #310021=ADVANCED_FACE('',(#22506),#8358,.T.); #310022=ADVANCED_FACE('',(#22507),#8359,.T.); #310023=ADVANCED_FACE('',(#22508),#305389,.T.); #310024=ADVANCED_FACE('',(#22509),#8360,.T.); #310025=ADVANCED_FACE('',(#22510),#305390,.T.); #310026=ADVANCED_FACE('',(#22511,#4451),#8361,.T.); #310027=ADVANCED_FACE('',(#22512,#4452),#8362,.F.); #310028=ADVANCED_FACE('',(#22513),#305391,.F.); #310029=ADVANCED_FACE('',(#22514),#8363,.T.); #310030=ADVANCED_FACE('',(#22515),#305392,.T.); #310031=ADVANCED_FACE('',(#22516),#8364,.T.); #310032=ADVANCED_FACE('',(#22517),#8365,.T.); #310033=ADVANCED_FACE('',(#22518),#8366,.T.); #310034=ADVANCED_FACE('',(#22519),#8367,.T.); #310035=ADVANCED_FACE('',(#22520),#8368,.T.); #310036=ADVANCED_FACE('',(#22521),#8369,.T.); #310037=ADVANCED_FACE('',(#22522),#8370,.T.); #310038=ADVANCED_FACE('',(#22523),#305393,.T.); #310039=ADVANCED_FACE('',(#22524),#8371,.T.); #310040=ADVANCED_FACE('',(#22525),#305394,.T.); #310041=ADVANCED_FACE('',(#22526,#4453),#8372,.T.); #310042=ADVANCED_FACE('',(#22527,#4454),#8373,.F.); #310043=ADVANCED_FACE('',(#22528),#305395,.F.); #310044=ADVANCED_FACE('',(#22529),#8374,.T.); #310045=ADVANCED_FACE('',(#22530),#305396,.T.); #310046=ADVANCED_FACE('',(#22531),#8375,.T.); #310047=ADVANCED_FACE('',(#22532),#305397,.T.); #310048=ADVANCED_FACE('',(#22533),#8376,.T.); #310049=ADVANCED_FACE('',(#22534),#8377,.T.); #310050=ADVANCED_FACE('',(#22535),#8378,.T.); #310051=ADVANCED_FACE('',(#22536),#8379,.T.); #310052=ADVANCED_FACE('',(#22537),#8380,.T.); #310053=ADVANCED_FACE('',(#22538),#8381,.T.); #310054=ADVANCED_FACE('',(#22539),#8382,.T.); #310055=ADVANCED_FACE('',(#22540),#8383,.T.); #310056=ADVANCED_FACE('',(#22541),#8384,.T.); #310057=ADVANCED_FACE('',(#22542),#305398,.T.); #310058=ADVANCED_FACE('',(#22543,#4455),#8385,.T.); #310059=ADVANCED_FACE('',(#22544,#4456),#8386,.F.); #310060=ADVANCED_FACE('',(#22545),#305399,.F.); #310061=ADVANCED_FACE('',(#22546),#305400,.F.); #310062=ADVANCED_FACE('',(#22547),#8387,.T.); #310063=ADVANCED_FACE('',(#22548),#305401,.T.); #310064=ADVANCED_FACE('',(#22549),#305402,.T.); #310065=ADVANCED_FACE('',(#22550),#8388,.T.); #310066=ADVANCED_FACE('',(#22551),#305403,.T.); #310067=ADVANCED_FACE('',(#22552),#305404,.T.); #310068=ADVANCED_FACE('',(#22553),#8389,.T.); #310069=ADVANCED_FACE('',(#22554),#305405,.T.); #310070=ADVANCED_FACE('',(#22555,#4457,#4458),#8390,.T.); #310071=ADVANCED_FACE('',(#22556,#4459,#4460),#8391,.F.); #310072=ADVANCED_FACE('',(#22557),#305406,.F.); #310073=ADVANCED_FACE('',(#22558),#8392,.T.); #310074=ADVANCED_FACE('',(#22559),#305407,.T.); #310075=ADVANCED_FACE('',(#22560),#8393,.T.); #310076=ADVANCED_FACE('',(#22561),#8394,.T.); #310077=ADVANCED_FACE('',(#22562),#305408,.T.); #310078=ADVANCED_FACE('',(#22563),#8395,.T.); #310079=ADVANCED_FACE('',(#22564),#8396,.T.); #310080=ADVANCED_FACE('',(#22565),#8397,.T.); #310081=ADVANCED_FACE('',(#22566),#8398,.T.); #310082=ADVANCED_FACE('',(#22567),#8399,.T.); #310083=ADVANCED_FACE('',(#22568),#8400,.T.); #310084=ADVANCED_FACE('',(#22569),#8401,.T.); #310085=ADVANCED_FACE('',(#22570),#8402,.T.); #310086=ADVANCED_FACE('',(#22571),#305409,.T.); #310087=ADVANCED_FACE('',(#22572),#8403,.T.); #310088=ADVANCED_FACE('',(#22573),#305410,.T.); #310089=ADVANCED_FACE('',(#22574,#4461),#8404,.T.); #310090=ADVANCED_FACE('',(#22575,#4462),#8405,.F.); #310091=ADVANCED_FACE('',(#22576),#305411,.F.); #310092=ADVANCED_FACE('',(#22577),#8406,.T.); #310093=ADVANCED_FACE('',(#22578),#305412,.T.); #310094=ADVANCED_FACE('',(#22579),#8407,.T.); #310095=ADVANCED_FACE('',(#22580),#8408,.T.); #310096=ADVANCED_FACE('',(#22581),#305413,.T.); #310097=ADVANCED_FACE('',(#22582),#8409,.T.); #310098=ADVANCED_FACE('',(#22583),#8410,.T.); #310099=ADVANCED_FACE('',(#22584),#305414,.T.); #310100=ADVANCED_FACE('',(#22585),#8411,.T.); #310101=ADVANCED_FACE('',(#22586),#8412,.T.); #310102=ADVANCED_FACE('',(#22587),#8413,.T.); #310103=ADVANCED_FACE('',(#22588),#8414,.T.); #310104=ADVANCED_FACE('',(#22589),#8415,.T.); #310105=ADVANCED_FACE('',(#22590),#8416,.T.); #310106=ADVANCED_FACE('',(#22591),#8417,.T.); #310107=ADVANCED_FACE('',(#22592),#8418,.T.); #310108=ADVANCED_FACE('',(#22593),#305415,.T.); #310109=ADVANCED_FACE('',(#22594),#8419,.T.); #310110=ADVANCED_FACE('',(#22595),#8420,.T.); #310111=ADVANCED_FACE('',(#22596),#305416,.T.); #310112=ADVANCED_FACE('',(#22597),#8421,.T.); #310113=ADVANCED_FACE('',(#22598),#305417,.T.); #310114=ADVANCED_FACE('',(#22599,#4463),#8422,.T.); #310115=ADVANCED_FACE('',(#22600,#4464),#8423,.F.); #310116=ADVANCED_FACE('',(#22601),#8424,.T.); #310117=ADVANCED_FACE('',(#22602),#8425,.T.); #310118=ADVANCED_FACE('',(#22603),#8426,.T.); #310119=ADVANCED_FACE('',(#22604),#8427,.T.); #310120=ADVANCED_FACE('',(#22605),#305418,.T.); #310121=ADVANCED_FACE('',(#22606),#8428,.T.); #310122=ADVANCED_FACE('',(#22607),#305419,.T.); #310123=ADVANCED_FACE('',(#22608),#8429,.T.); #310124=ADVANCED_FACE('',(#22609),#8430,.T.); #310125=ADVANCED_FACE('',(#22610),#8431,.T.); #310126=ADVANCED_FACE('',(#22611),#305420,.T.); #310127=ADVANCED_FACE('',(#22612),#8432,.T.); #310128=ADVANCED_FACE('',(#22613),#8433,.T.); #310129=ADVANCED_FACE('',(#22614),#8434,.T.); #310130=ADVANCED_FACE('',(#22615),#8435,.T.); #310131=ADVANCED_FACE('',(#22616),#8436,.T.); #310132=ADVANCED_FACE('',(#22617),#8437,.T.); #310133=ADVANCED_FACE('',(#22618),#8438,.T.); #310134=ADVANCED_FACE('',(#22619),#305421,.T.); #310135=ADVANCED_FACE('',(#22620),#8439,.T.); #310136=ADVANCED_FACE('',(#22621),#305422,.T.); #310137=ADVANCED_FACE('',(#22622),#8440,.T.); #310138=ADVANCED_FACE('',(#22623),#8441,.T.); #310139=ADVANCED_FACE('',(#22624),#8442,.T.); #310140=ADVANCED_FACE('',(#22625),#8443,.T.); #310141=ADVANCED_FACE('',(#22626),#8444,.T.); #310142=ADVANCED_FACE('',(#22627),#8445,.T.); #310143=ADVANCED_FACE('',(#22628),#8446,.F.); #310144=ADVANCED_FACE('',(#22629),#305423,.F.); #310145=ADVANCED_FACE('',(#22630),#8447,.T.); #310146=ADVANCED_FACE('',(#22631),#305424,.T.); #310147=ADVANCED_FACE('',(#22632),#8448,.T.); #310148=ADVANCED_FACE('',(#22633),#8449,.T.); #310149=ADVANCED_FACE('',(#22634),#305425,.T.); #310150=ADVANCED_FACE('',(#22635),#8450,.T.); #310151=ADVANCED_FACE('',(#22636),#305426,.T.); #310152=ADVANCED_FACE('',(#22637),#8451,.T.); #310153=ADVANCED_FACE('',(#22638),#305427,.T.); #310154=ADVANCED_FACE('',(#22639),#8452,.T.); #310155=ADVANCED_FACE('',(#22640),#8453,.T.); #310156=ADVANCED_FACE('',(#22641),#8454,.T.); #310157=ADVANCED_FACE('',(#22642),#8455,.T.); #310158=ADVANCED_FACE('',(#22643),#8456,.T.); #310159=ADVANCED_FACE('',(#22644),#8457,.T.); #310160=ADVANCED_FACE('',(#22645),#8458,.T.); #310161=ADVANCED_FACE('',(#22646),#305428,.T.); #310162=ADVANCED_FACE('',(#22647),#8459,.T.); #310163=ADVANCED_FACE('',(#22648),#8460,.T.); #310164=ADVANCED_FACE('',(#22649),#8461,.T.); #310165=ADVANCED_FACE('',(#22650),#8462,.T.); #310166=ADVANCED_FACE('',(#22651),#305429,.T.); #310167=ADVANCED_FACE('',(#22652),#8463,.T.); #310168=ADVANCED_FACE('',(#22653),#305430,.T.); #310169=ADVANCED_FACE('',(#22654,#4465),#8464,.T.); #310170=ADVANCED_FACE('',(#22655,#4466),#8465,.F.); #310171=ADVANCED_FACE('',(#22656),#305431,.F.); #310172=ADVANCED_FACE('',(#22657),#8466,.T.); #310173=ADVANCED_FACE('',(#22658),#305432,.T.); #310174=ADVANCED_FACE('',(#22659),#8467,.T.); #310175=ADVANCED_FACE('',(#22660),#8468,.T.); #310176=ADVANCED_FACE('',(#22661),#8469,.T.); #310177=ADVANCED_FACE('',(#22662),#8470,.T.); #310178=ADVANCED_FACE('',(#22663),#8471,.T.); #310179=ADVANCED_FACE('',(#22664),#8472,.T.); #310180=ADVANCED_FACE('',(#22665),#8473,.T.); #310181=ADVANCED_FACE('',(#22666),#305433,.T.); #310182=ADVANCED_FACE('',(#22667),#305434,.T.); #310183=ADVANCED_FACE('',(#22668,#4467),#8474,.T.); #310184=ADVANCED_FACE('',(#22669,#4468),#8475,.F.); #310185=ADVANCED_FACE('',(#22670),#8476,.T.); #310186=ADVANCED_FACE('',(#22671),#8477,.T.); #310187=ADVANCED_FACE('',(#22672),#8478,.T.); #310188=ADVANCED_FACE('',(#22673),#8479,.T.); #310189=ADVANCED_FACE('',(#22674),#8480,.T.); #310190=ADVANCED_FACE('',(#22675),#305435,.T.); #310191=ADVANCED_FACE('',(#22676),#8481,.T.); #310192=ADVANCED_FACE('',(#22677),#8482,.T.); #310193=ADVANCED_FACE('',(#22678),#8483,.T.); #310194=ADVANCED_FACE('',(#22679),#305436,.T.); #310195=ADVANCED_FACE('',(#22680),#8484,.T.); #310196=ADVANCED_FACE('',(#22681),#305437,.T.); #310197=ADVANCED_FACE('',(#22682),#8485,.T.); #310198=ADVANCED_FACE('',(#22683),#305438,.T.); #310199=ADVANCED_FACE('',(#22684),#8486,.T.); #310200=ADVANCED_FACE('',(#22685),#8487,.T.); #310201=ADVANCED_FACE('',(#22686),#305439,.T.); #310202=ADVANCED_FACE('',(#22687),#8488,.T.); #310203=ADVANCED_FACE('',(#22688),#8489,.T.); #310204=ADVANCED_FACE('',(#22689),#8490,.T.); #310205=ADVANCED_FACE('',(#22690),#8491,.T.); #310206=ADVANCED_FACE('',(#22691),#8492,.T.); #310207=ADVANCED_FACE('',(#22692),#8493,.T.); #310208=ADVANCED_FACE('',(#22693),#8494,.T.); #310209=ADVANCED_FACE('',(#22694),#305440,.T.); #310210=ADVANCED_FACE('',(#22695),#8495,.T.); #310211=ADVANCED_FACE('',(#22696),#8496,.T.); #310212=ADVANCED_FACE('',(#22697),#305441,.T.); #310213=ADVANCED_FACE('',(#22698),#8497,.T.); #310214=ADVANCED_FACE('',(#22699),#8498,.T.); #310215=ADVANCED_FACE('',(#22700),#8499,.T.); #310216=ADVANCED_FACE('',(#22701),#8500,.T.); #310217=ADVANCED_FACE('',(#22702),#305442,.T.); #310218=ADVANCED_FACE('',(#22703),#8501,.T.); #310219=ADVANCED_FACE('',(#22704),#305443,.T.); #310220=ADVANCED_FACE('',(#22705),#8502,.T.); #310221=ADVANCED_FACE('',(#22706),#8503,.T.); #310222=ADVANCED_FACE('',(#22707),#8504,.T.); #310223=ADVANCED_FACE('',(#22708),#8505,.T.); #310224=ADVANCED_FACE('',(#22709),#8506,.F.); #310225=ADVANCED_FACE('',(#22710),#8507,.T.); #310226=ADVANCED_FACE('',(#22711),#8508,.T.); #310227=ADVANCED_FACE('',(#22712),#8509,.T.); #310228=ADVANCED_FACE('',(#22713),#8510,.T.); #310229=ADVANCED_FACE('',(#22714),#8511,.T.); #310230=ADVANCED_FACE('',(#22715),#8512,.T.); #310231=ADVANCED_FACE('',(#22716),#305444,.T.); #310232=ADVANCED_FACE('',(#22717),#8513,.T.); #310233=ADVANCED_FACE('',(#22718),#305445,.T.); #310234=ADVANCED_FACE('',(#22719),#8514,.T.); #310235=ADVANCED_FACE('',(#22720),#8515,.T.); #310236=ADVANCED_FACE('',(#22721),#8516,.T.); #310237=ADVANCED_FACE('',(#22722),#8517,.T.); #310238=ADVANCED_FACE('',(#22723),#305446,.T.); #310239=ADVANCED_FACE('',(#22724),#8518,.T.); #310240=ADVANCED_FACE('',(#22725),#8519,.T.); #310241=ADVANCED_FACE('',(#22726),#305447,.T.); #310242=ADVANCED_FACE('',(#22727),#8520,.T.); #310243=ADVANCED_FACE('',(#22728),#8521,.T.); #310244=ADVANCED_FACE('',(#22729),#8522,.T.); #310245=ADVANCED_FACE('',(#22730),#8523,.T.); #310246=ADVANCED_FACE('',(#22731),#8524,.T.); #310247=ADVANCED_FACE('',(#22732),#8525,.T.); #310248=ADVANCED_FACE('',(#22733),#8526,.T.); #310249=ADVANCED_FACE('',(#22734),#305448,.T.); #310250=ADVANCED_FACE('',(#22735),#8527,.T.); #310251=ADVANCED_FACE('',(#22736),#8528,.T.); #310252=ADVANCED_FACE('',(#22737),#305449,.T.); #310253=ADVANCED_FACE('',(#22738),#8529,.T.); #310254=ADVANCED_FACE('',(#22739),#305450,.T.); #310255=ADVANCED_FACE('',(#22740),#8530,.T.); #310256=ADVANCED_FACE('',(#22741),#305451,.T.); #310257=ADVANCED_FACE('',(#22742),#8531,.T.); #310258=ADVANCED_FACE('',(#22743),#8532,.T.); #310259=ADVANCED_FACE('',(#22744),#8533,.T.); #310260=ADVANCED_FACE('',(#22745),#305452,.T.); #310261=ADVANCED_FACE('',(#22746),#8534,.T.); #310262=ADVANCED_FACE('',(#22747),#8535,.T.); #310263=ADVANCED_FACE('',(#22748),#8536,.T.); #310264=ADVANCED_FACE('',(#22749),#8537,.F.); #310265=ADVANCED_FACE('',(#22750),#8538,.T.); #310266=ADVANCED_FACE('',(#22751),#8539,.T.); #310267=ADVANCED_FACE('',(#22752),#8540,.T.); #310268=ADVANCED_FACE('',(#22753),#8541,.T.); #310269=ADVANCED_FACE('',(#22754),#305453,.T.); #310270=ADVANCED_FACE('',(#22755),#8542,.T.); #310271=ADVANCED_FACE('',(#22756),#8543,.T.); #310272=ADVANCED_FACE('',(#22757),#305454,.T.); #310273=ADVANCED_FACE('',(#22758),#8544,.T.); #310274=ADVANCED_FACE('',(#22759),#8545,.T.); #310275=ADVANCED_FACE('',(#22760),#8546,.T.); #310276=ADVANCED_FACE('',(#22761),#8547,.T.); #310277=ADVANCED_FACE('',(#22762),#8548,.T.); #310278=ADVANCED_FACE('',(#22763),#8549,.T.); #310279=ADVANCED_FACE('',(#22764),#8550,.T.); #310280=ADVANCED_FACE('',(#22765),#305455,.T.); #310281=ADVANCED_FACE('',(#22766),#8551,.T.); #310282=ADVANCED_FACE('',(#22767),#8552,.T.); #310283=ADVANCED_FACE('',(#22768),#8553,.T.); #310284=ADVANCED_FACE('',(#22769),#8554,.T.); #310285=ADVANCED_FACE('',(#22770),#8555,.T.); #310286=ADVANCED_FACE('',(#22771),#8556,.F.); #310287=ADVANCED_FACE('',(#22772),#305456,.F.); #310288=ADVANCED_FACE('',(#22773),#8557,.T.); #310289=ADVANCED_FACE('',(#22774),#8558,.T.); #310290=ADVANCED_FACE('',(#22775),#305457,.T.); #310291=ADVANCED_FACE('',(#22776),#8559,.T.); #310292=ADVANCED_FACE('',(#22777),#8560,.T.); #310293=ADVANCED_FACE('',(#22778),#8561,.T.); #310294=ADVANCED_FACE('',(#22779),#305458,.T.); #310295=ADVANCED_FACE('',(#22780),#8562,.T.); #310296=ADVANCED_FACE('',(#22781),#8563,.T.); #310297=ADVANCED_FACE('',(#22782),#305459,.T.); #310298=ADVANCED_FACE('',(#22783),#305460,.T.); #310299=ADVANCED_FACE('',(#22784),#8564,.T.); #310300=ADVANCED_FACE('',(#22785),#305461,.T.); #310301=ADVANCED_FACE('',(#22786),#8565,.T.); #310302=ADVANCED_FACE('',(#22787),#8566,.T.); #310303=ADVANCED_FACE('',(#22788),#305462,.T.); #310304=ADVANCED_FACE('',(#22789),#8567,.T.); #310305=ADVANCED_FACE('',(#22790),#8568,.T.); #310306=ADVANCED_FACE('',(#22791),#8569,.T.); #310307=ADVANCED_FACE('',(#22792),#8570,.T.); #310308=ADVANCED_FACE('',(#22793),#8571,.T.); #310309=ADVANCED_FACE('',(#22794,#4469),#8572,.T.); #310310=ADVANCED_FACE('',(#22795,#4470),#8573,.F.); #310311=ADVANCED_FACE('',(#22796),#305463,.F.); #310312=ADVANCED_FACE('',(#22797),#8574,.T.); #310313=ADVANCED_FACE('',(#22798),#305464,.T.); #310314=ADVANCED_FACE('',(#22799),#8575,.T.); #310315=ADVANCED_FACE('',(#22800),#8576,.T.); #310316=ADVANCED_FACE('',(#22801),#305465,.T.); #310317=ADVANCED_FACE('',(#22802),#8577,.T.); #310318=ADVANCED_FACE('',(#22803),#8578,.T.); #310319=ADVANCED_FACE('',(#22804),#8579,.T.); #310320=ADVANCED_FACE('',(#22805),#8580,.T.); #310321=ADVANCED_FACE('',(#22806),#8581,.T.); #310322=ADVANCED_FACE('',(#22807),#8582,.T.); #310323=ADVANCED_FACE('',(#22808),#8583,.T.); #310324=ADVANCED_FACE('',(#22809),#8584,.T.); #310325=ADVANCED_FACE('',(#22810),#305466,.T.); #310326=ADVANCED_FACE('',(#22811),#8585,.T.); #310327=ADVANCED_FACE('',(#22812),#305467,.T.); #310328=ADVANCED_FACE('',(#22813,#4471),#8586,.T.); #310329=ADVANCED_FACE('',(#22814,#4472),#8587,.F.); #310330=ADVANCED_FACE('',(#22815),#305468,.F.); #310331=ADVANCED_FACE('',(#22816),#8588,.T.); #310332=ADVANCED_FACE('',(#22817),#305469,.T.); #310333=ADVANCED_FACE('',(#22818),#305470,.T.); #310334=ADVANCED_FACE('',(#22819),#8589,.T.); #310335=ADVANCED_FACE('',(#22820),#8590,.T.); #310336=ADVANCED_FACE('',(#22821),#8591,.T.); #310337=ADVANCED_FACE('',(#22822),#8592,.T.); #310338=ADVANCED_FACE('',(#22823),#8593,.T.); #310339=ADVANCED_FACE('',(#22824),#8594,.T.); #310340=ADVANCED_FACE('',(#22825),#8595,.T.); #310341=ADVANCED_FACE('',(#22826),#305471,.T.); #310342=ADVANCED_FACE('',(#22827),#8596,.T.); #310343=ADVANCED_FACE('',(#22828),#305472,.T.); #310344=ADVANCED_FACE('',(#22829,#4473),#8597,.T.); #310345=ADVANCED_FACE('',(#22830,#4474),#8598,.F.); #310346=ADVANCED_FACE('',(#22831),#305473,.F.); #310347=ADVANCED_FACE('',(#22832),#8599,.T.); #310348=ADVANCED_FACE('',(#22833),#8600,.T.); #310349=ADVANCED_FACE('',(#22834),#8601,.T.); #310350=ADVANCED_FACE('',(#22835),#8602,.T.); #310351=ADVANCED_FACE('',(#22836),#8603,.T.); #310352=ADVANCED_FACE('',(#22837),#305474,.T.); #310353=ADVANCED_FACE('',(#22838),#8604,.T.); #310354=ADVANCED_FACE('',(#22839),#8605,.T.); #310355=ADVANCED_FACE('',(#22840),#305475,.T.); #310356=ADVANCED_FACE('',(#22841),#8606,.T.); #310357=ADVANCED_FACE('',(#22842),#305476,.T.); #310358=ADVANCED_FACE('',(#22843),#305477,.T.); #310359=ADVANCED_FACE('',(#22844),#8607,.T.); #310360=ADVANCED_FACE('',(#22845),#8608,.T.); #310361=ADVANCED_FACE('',(#22846),#305478,.T.); #310362=ADVANCED_FACE('',(#22847),#8609,.T.); #310363=ADVANCED_FACE('',(#22848),#8610,.T.); #310364=ADVANCED_FACE('',(#22849),#8611,.T.); #310365=ADVANCED_FACE('',(#22850,#4475),#8612,.T.); #310366=ADVANCED_FACE('',(#22851,#4476),#8613,.F.); #310367=ADVANCED_FACE('',(#22852),#305479,.F.); #310368=ADVANCED_FACE('',(#22853),#8614,.T.); #310369=ADVANCED_FACE('',(#22854),#305480,.T.); #310370=ADVANCED_FACE('',(#22855),#8615,.T.); #310371=ADVANCED_FACE('',(#22856),#305481,.T.); #310372=ADVANCED_FACE('',(#22857),#8616,.T.); #310373=ADVANCED_FACE('',(#22858),#8617,.T.); #310374=ADVANCED_FACE('',(#22859),#8618,.T.); #310375=ADVANCED_FACE('',(#22860),#8619,.T.); #310376=ADVANCED_FACE('',(#22861),#8620,.T.); #310377=ADVANCED_FACE('',(#22862),#8621,.T.); #310378=ADVANCED_FACE('',(#22863),#8622,.T.); #310379=ADVANCED_FACE('',(#22864),#305482,.T.); #310380=ADVANCED_FACE('',(#22865),#305483,.T.); #310381=ADVANCED_FACE('',(#22866,#4477),#8623,.T.); #310382=ADVANCED_FACE('',(#22867,#4478),#8624,.F.); #310383=ADVANCED_FACE('',(#22868),#305484,.F.); #310384=ADVANCED_FACE('',(#22869),#8625,.T.); #310385=ADVANCED_FACE('',(#22870),#8626,.T.); #310386=ADVANCED_FACE('',(#22871),#8627,.T.); #310387=ADVANCED_FACE('',(#22872),#8628,.T.); #310388=ADVANCED_FACE('',(#22873),#8629,.T.); #310389=ADVANCED_FACE('',(#22874),#8630,.T.); #310390=ADVANCED_FACE('',(#22875),#305485,.T.); #310391=ADVANCED_FACE('',(#22876),#8631,.T.); #310392=ADVANCED_FACE('',(#22877),#8632,.T.); #310393=ADVANCED_FACE('',(#22878),#305486,.T.); #310394=ADVANCED_FACE('',(#22879),#305487,.T.); #310395=ADVANCED_FACE('',(#22880),#8633,.T.); #310396=ADVANCED_FACE('',(#22881),#305488,.T.); #310397=ADVANCED_FACE('',(#22882),#8634,.T.); #310398=ADVANCED_FACE('',(#22883),#8635,.T.); #310399=ADVANCED_FACE('',(#22884),#305489,.T.); #310400=ADVANCED_FACE('',(#22885),#8636,.T.); #310401=ADVANCED_FACE('',(#22886),#8637,.T.); #310402=ADVANCED_FACE('',(#22887,#4479),#8638,.T.); #310403=ADVANCED_FACE('',(#22888,#4480),#8639,.F.); #310404=ADVANCED_FACE('',(#22889),#305490,.F.); #310405=ADVANCED_FACE('',(#22890),#8640,.T.); #310406=ADVANCED_FACE('',(#22891),#305491,.T.); #310407=ADVANCED_FACE('',(#22892),#8641,.T.); #310408=ADVANCED_FACE('',(#22893),#8642,.T.); #310409=ADVANCED_FACE('',(#22894),#8643,.T.); #310410=ADVANCED_FACE('',(#22895),#8644,.T.); #310411=ADVANCED_FACE('',(#22896),#8645,.T.); #310412=ADVANCED_FACE('',(#22897),#8646,.T.); #310413=ADVANCED_FACE('',(#22898),#8647,.T.); #310414=ADVANCED_FACE('',(#22899),#305492,.T.); #310415=ADVANCED_FACE('',(#22900),#305493,.T.); #310416=ADVANCED_FACE('',(#22901,#4481),#8648,.T.); #310417=ADVANCED_FACE('',(#22902,#4482),#8649,.F.); #310418=ADVANCED_FACE('',(#22903),#305494,.F.); #310419=ADVANCED_FACE('',(#22904),#8650,.T.); #310420=ADVANCED_FACE('',(#22905),#305495,.T.); #310421=ADVANCED_FACE('',(#22906),#305496,.T.); #310422=ADVANCED_FACE('',(#22907),#8651,.T.); #310423=ADVANCED_FACE('',(#22908),#8652,.T.); #310424=ADVANCED_FACE('',(#22909),#8653,.T.); #310425=ADVANCED_FACE('',(#22910),#8654,.T.); #310426=ADVANCED_FACE('',(#22911),#8655,.T.); #310427=ADVANCED_FACE('',(#22912),#8656,.T.); #310428=ADVANCED_FACE('',(#22913),#8657,.T.); #310429=ADVANCED_FACE('',(#22914),#305497,.T.); #310430=ADVANCED_FACE('',(#22915,#4483),#8658,.T.); #310431=ADVANCED_FACE('',(#22916,#4484),#8659,.F.); #310432=ADVANCED_FACE('',(#22917),#305498,.F.); #310433=ADVANCED_FACE('',(#22918),#8660,.T.); #310434=ADVANCED_FACE('',(#22919),#8661,.T.); #310435=ADVANCED_FACE('',(#22920),#8662,.T.); #310436=ADVANCED_FACE('',(#22921),#8663,.T.); #310437=ADVANCED_FACE('',(#22922),#8664,.T.); #310438=ADVANCED_FACE('',(#22923),#305499,.T.); #310439=ADVANCED_FACE('',(#22924),#8665,.T.); #310440=ADVANCED_FACE('',(#22925),#8666,.T.); #310441=ADVANCED_FACE('',(#22926),#8667,.T.); #310442=ADVANCED_FACE('',(#22927),#8668,.T.); #310443=ADVANCED_FACE('',(#22928),#8669,.T.); #310444=ADVANCED_FACE('',(#22929),#305500,.T.); #310445=ADVANCED_FACE('',(#22930),#305501,.T.); #310446=ADVANCED_FACE('',(#22931),#8670,.T.); #310447=ADVANCED_FACE('',(#22932),#305502,.T.); #310448=ADVANCED_FACE('',(#22933),#8671,.T.); #310449=ADVANCED_FACE('',(#22934),#305503,.T.); #310450=ADVANCED_FACE('',(#22935),#8672,.T.); #310451=ADVANCED_FACE('',(#22936),#305504,.T.); #310452=ADVANCED_FACE('',(#22937),#8673,.T.); #310453=ADVANCED_FACE('',(#22938),#305505,.T.); #310454=ADVANCED_FACE('',(#22939),#8674,.T.); #310455=ADVANCED_FACE('',(#22940),#8675,.T.); #310456=ADVANCED_FACE('',(#22941,#4485),#8676,.T.); #310457=ADVANCED_FACE('',(#22942,#4486),#8677,.F.); #310458=ADVANCED_FACE('',(#22943),#305506,.F.); #310459=ADVANCED_FACE('',(#22944),#8678,.T.); #310460=ADVANCED_FACE('',(#22945),#8679,.T.); #310461=ADVANCED_FACE('',(#22946),#8680,.T.); #310462=ADVANCED_FACE('',(#22947),#8681,.T.); #310463=ADVANCED_FACE('',(#22948),#8682,.T.); #310464=ADVANCED_FACE('',(#22949),#8683,.T.); #310465=ADVANCED_FACE('',(#22950),#8684,.T.); #310466=ADVANCED_FACE('',(#22951),#8685,.T.); #310467=ADVANCED_FACE('',(#22952),#305507,.T.); #310468=ADVANCED_FACE('',(#22953),#305508,.T.); #310469=ADVANCED_FACE('',(#22954),#8686,.T.); #310470=ADVANCED_FACE('',(#22955),#305509,.T.); #310471=ADVANCED_FACE('',(#22956),#8687,.T.); #310472=ADVANCED_FACE('',(#22957),#305510,.T.); #310473=ADVANCED_FACE('',(#22958),#8688,.T.); #310474=ADVANCED_FACE('',(#22959),#305511,.T.); #310475=ADVANCED_FACE('',(#22960),#8689,.T.); #310476=ADVANCED_FACE('',(#22961,#4487),#8690,.T.); #310477=ADVANCED_FACE('',(#22962,#4488),#8691,.F.); #310478=ADVANCED_FACE('',(#22963),#305512,.F.); #310479=ADVANCED_FACE('',(#22964),#8692,.T.); #310480=ADVANCED_FACE('',(#22965),#8693,.T.); #310481=ADVANCED_FACE('',(#22966),#8694,.T.); #310482=ADVANCED_FACE('',(#22967),#8695,.T.); #310483=ADVANCED_FACE('',(#22968),#8696,.T.); #310484=ADVANCED_FACE('',(#22969),#305513,.T.); #310485=ADVANCED_FACE('',(#22970),#8697,.T.); #310486=ADVANCED_FACE('',(#22971),#305514,.T.); #310487=ADVANCED_FACE('',(#22972),#8698,.T.); #310488=ADVANCED_FACE('',(#22973),#305515,.T.); #310489=ADVANCED_FACE('',(#22974),#8699,.T.); #310490=ADVANCED_FACE('',(#22975),#305516,.T.); #310491=ADVANCED_FACE('',(#22976),#8700,.T.); #310492=ADVANCED_FACE('',(#22977),#305517,.T.); #310493=ADVANCED_FACE('',(#22978),#305518,.T.); #310494=ADVANCED_FACE('',(#22979),#8701,.T.); #310495=ADVANCED_FACE('',(#22980),#8702,.T.); #310496=ADVANCED_FACE('',(#22981),#8703,.T.); #310497=ADVANCED_FACE('',(#22982),#8704,.T.); #310498=ADVANCED_FACE('',(#22983),#8705,.T.); #310499=ADVANCED_FACE('',(#22984),#305519,.T.); #310500=ADVANCED_FACE('',(#22985),#8706,.T.); #310501=ADVANCED_FACE('',(#22986),#8707,.T.); #310502=ADVANCED_FACE('',(#22987,#4489),#8708,.T.); #310503=ADVANCED_FACE('',(#22988,#4490),#8709,.F.); #310504=ADVANCED_FACE('',(#22989),#8710,.T.); #310505=ADVANCED_FACE('',(#22990),#8711,.T.); #310506=ADVANCED_FACE('',(#22991),#8712,.T.); #310507=ADVANCED_FACE('',(#22992),#8713,.T.); #310508=ADVANCED_FACE('',(#22993),#305520,.T.); #310509=ADVANCED_FACE('',(#22994),#8714,.T.); #310510=ADVANCED_FACE('',(#22995),#305521,.T.); #310511=ADVANCED_FACE('',(#22996),#8715,.T.); #310512=ADVANCED_FACE('',(#22997),#8716,.T.); #310513=ADVANCED_FACE('',(#22998),#8717,.T.); #310514=ADVANCED_FACE('',(#22999),#8718,.T.); #310515=ADVANCED_FACE('',(#23000),#8719,.T.); #310516=ADVANCED_FACE('',(#23001),#8720,.T.); #310517=ADVANCED_FACE('',(#23002),#8721,.T.); #310518=ADVANCED_FACE('',(#23003),#8722,.T.); #310519=ADVANCED_FACE('',(#23004),#8723,.T.); #310520=ADVANCED_FACE('',(#23005),#305522,.T.); #310521=ADVANCED_FACE('',(#23006),#8724,.T.); #310522=ADVANCED_FACE('',(#23007),#305523,.T.); #310523=ADVANCED_FACE('',(#23008),#8725,.T.); #310524=ADVANCED_FACE('',(#23009),#8726,.T.); #310525=ADVANCED_FACE('',(#23010),#8727,.T.); #310526=ADVANCED_FACE('',(#23011),#8728,.T.); #310527=ADVANCED_FACE('',(#23012),#8729,.T.); #310528=ADVANCED_FACE('',(#23013),#8730,.T.); #310529=ADVANCED_FACE('',(#23014),#8731,.F.); #310530=ADVANCED_FACE('',(#23015),#305524,.F.); #310531=ADVANCED_FACE('',(#23016),#8732,.T.); #310532=ADVANCED_FACE('',(#23017),#8733,.T.); #310533=ADVANCED_FACE('',(#23018),#8734,.T.); #310534=ADVANCED_FACE('',(#23019),#8735,.T.); #310535=ADVANCED_FACE('',(#23020,#4491),#8736,.T.); #310536=ADVANCED_FACE('',(#23021,#4492),#8737,.F.); #310537=ADVANCED_FACE('',(#23022),#305525,.F.); #310538=ADVANCED_FACE('',(#23023),#8738,.T.); #310539=ADVANCED_FACE('',(#23024),#305526,.T.); #310540=ADVANCED_FACE('',(#23025),#8739,.T.); #310541=ADVANCED_FACE('',(#23026),#305527,.T.); #310542=ADVANCED_FACE('',(#23027),#8740,.T.); #310543=ADVANCED_FACE('',(#23028),#8741,.T.); #310544=ADVANCED_FACE('',(#23029),#8742,.T.); #310545=ADVANCED_FACE('',(#23030),#8743,.T.); #310546=ADVANCED_FACE('',(#23031),#305528,.T.); #310547=ADVANCED_FACE('',(#23032),#8744,.T.); #310548=ADVANCED_FACE('',(#23033),#8745,.T.); #310549=ADVANCED_FACE('',(#23034),#8746,.T.); #310550=ADVANCED_FACE('',(#23035),#8747,.T.); #310551=ADVANCED_FACE('',(#23036),#8748,.T.); #310552=ADVANCED_FACE('',(#23037),#8749,.T.); #310553=ADVANCED_FACE('',(#23038),#8750,.T.); #310554=ADVANCED_FACE('',(#23039),#305529,.T.); #310555=ADVANCED_FACE('',(#23040),#8751,.T.); #310556=ADVANCED_FACE('',(#23041),#305530,.T.); #310557=ADVANCED_FACE('',(#23042),#8752,.T.); #310558=ADVANCED_FACE('',(#23043),#305531,.T.); #310559=ADVANCED_FACE('',(#23044),#8753,.T.); #310560=ADVANCED_FACE('',(#23045),#8754,.T.); #310561=ADVANCED_FACE('',(#23046),#305532,.T.); #310562=ADVANCED_FACE('',(#23047,#4493),#8755,.T.); #310563=ADVANCED_FACE('',(#23048,#4494),#8756,.F.); #310564=ADVANCED_FACE('',(#23049),#305533,.F.); #310565=ADVANCED_FACE('',(#23050),#8757,.T.); #310566=ADVANCED_FACE('',(#23051),#8758,.T.); #310567=ADVANCED_FACE('',(#23052),#8759,.T.); #310568=ADVANCED_FACE('',(#23053),#8760,.T.); #310569=ADVANCED_FACE('',(#23054),#8761,.T.); #310570=ADVANCED_FACE('',(#23055),#8762,.T.); #310571=ADVANCED_FACE('',(#23056),#8763,.T.); #310572=ADVANCED_FACE('',(#23057),#305534,.T.); #310573=ADVANCED_FACE('',(#23058),#8764,.T.); #310574=ADVANCED_FACE('',(#23059),#305535,.T.); #310575=ADVANCED_FACE('',(#23060),#305536,.T.); #310576=ADVANCED_FACE('',(#23061),#8765,.T.); #310577=ADVANCED_FACE('',(#23062),#8766,.T.); #310578=ADVANCED_FACE('',(#23063),#305537,.T.); #310579=ADVANCED_FACE('',(#23064),#8767,.T.); #310580=ADVANCED_FACE('',(#23065,#4495),#8768,.T.); #310581=ADVANCED_FACE('',(#23066,#4496),#8769,.F.); #310582=ADVANCED_FACE('',(#23067),#305538,.F.); #310583=ADVANCED_FACE('',(#23068),#8770,.T.); #310584=ADVANCED_FACE('',(#23069),#8771,.T.); #310585=ADVANCED_FACE('',(#23070),#8772,.T.); #310586=ADVANCED_FACE('',(#23071),#8773,.T.); #310587=ADVANCED_FACE('',(#23072),#8774,.T.); #310588=ADVANCED_FACE('',(#23073),#8775,.T.); #310589=ADVANCED_FACE('',(#23074),#8776,.T.); #310590=ADVANCED_FACE('',(#23075),#8777,.T.); #310591=ADVANCED_FACE('',(#23076),#8778,.T.); #310592=ADVANCED_FACE('',(#23077),#8779,.T.); #310593=ADVANCED_FACE('',(#23078),#305539,.T.); #310594=ADVANCED_FACE('',(#23079),#8780,.T.); #310595=ADVANCED_FACE('',(#23080),#305540,.T.); #310596=ADVANCED_FACE('',(#23081),#8781,.T.); #310597=ADVANCED_FACE('',(#23082),#8782,.T.); #310598=ADVANCED_FACE('',(#23083),#8783,.T.); #310599=ADVANCED_FACE('',(#23084),#305541,.T.); #310600=ADVANCED_FACE('',(#23085),#8784,.T.); #310601=ADVANCED_FACE('',(#23086),#305542,.T.); #310602=ADVANCED_FACE('',(#23087),#8785,.T.); #310603=ADVANCED_FACE('',(#23088),#8786,.T.); #310604=ADVANCED_FACE('',(#23089),#8787,.T.); #310605=ADVANCED_FACE('',(#23090),#305543,.T.); #310606=ADVANCED_FACE('',(#23091),#305544,.T.); #310607=ADVANCED_FACE('',(#23092),#8788,.T.); #310608=ADVANCED_FACE('',(#23093),#305545,.T.); #310609=ADVANCED_FACE('',(#23094),#8789,.T.); #310610=ADVANCED_FACE('',(#23095),#305546,.T.); #310611=ADVANCED_FACE('',(#23096),#8790,.T.); #310612=ADVANCED_FACE('',(#23097),#8791,.T.); #310613=ADVANCED_FACE('',(#23098),#8792,.T.); #310614=ADVANCED_FACE('',(#23099),#305547,.T.); #310615=ADVANCED_FACE('',(#23100),#8793,.T.); #310616=ADVANCED_FACE('',(#23101),#305548,.T.); #310617=ADVANCED_FACE('',(#23102),#8794,.T.); #310618=ADVANCED_FACE('',(#23103),#8795,.T.); #310619=ADVANCED_FACE('',(#23104),#8796,.T.); #310620=ADVANCED_FACE('',(#23105),#8797,.T.); #310621=ADVANCED_FACE('',(#23106),#8798,.T.); #310622=ADVANCED_FACE('',(#23107),#8799,.T.); #310623=ADVANCED_FACE('',(#23108),#8800,.T.); #310624=ADVANCED_FACE('',(#23109),#8801,.T.); #310625=ADVANCED_FACE('',(#23110),#8802,.T.); #310626=ADVANCED_FACE('',(#23111,#4497),#8803,.T.); #310627=ADVANCED_FACE('',(#23112,#4498),#8804,.F.); #310628=ADVANCED_FACE('',(#23113),#305549,.F.); #310629=ADVANCED_FACE('',(#23114),#8805,.T.); #310630=ADVANCED_FACE('',(#23115),#8806,.T.); #310631=ADVANCED_FACE('',(#23116),#8807,.T.); #310632=ADVANCED_FACE('',(#23117),#8808,.T.); #310633=ADVANCED_FACE('',(#23118),#8809,.T.); #310634=ADVANCED_FACE('',(#23119),#305550,.T.); #310635=ADVANCED_FACE('',(#23120),#8810,.T.); #310636=ADVANCED_FACE('',(#23121),#8811,.T.); #310637=ADVANCED_FACE('',(#23122),#305551,.T.); #310638=ADVANCED_FACE('',(#23123),#8812,.T.); #310639=ADVANCED_FACE('',(#23124),#8813,.T.); #310640=ADVANCED_FACE('',(#23125),#305552,.T.); #310641=ADVANCED_FACE('',(#23126),#305553,.T.); #310642=ADVANCED_FACE('',(#23127),#8814,.T.); #310643=ADVANCED_FACE('',(#23128),#305554,.T.); #310644=ADVANCED_FACE('',(#23129),#8815,.T.); #310645=ADVANCED_FACE('',(#23130),#8816,.T.); #310646=ADVANCED_FACE('',(#23131),#305555,.T.); #310647=ADVANCED_FACE('',(#23132),#8817,.T.); #310648=ADVANCED_FACE('',(#23133),#8818,.T.); #310649=ADVANCED_FACE('',(#23134),#8819,.T.); #310650=ADVANCED_FACE('',(#23135,#4499),#8820,.T.); #310651=ADVANCED_FACE('',(#23136,#4500),#8821,.F.); #310652=ADVANCED_FACE('',(#23137),#305556,.F.); #310653=ADVANCED_FACE('',(#23138),#8822,.T.); #310654=ADVANCED_FACE('',(#23139),#8823,.T.); #310655=ADVANCED_FACE('',(#23140),#8824,.T.); #310656=ADVANCED_FACE('',(#23141),#8825,.T.); #310657=ADVANCED_FACE('',(#23142,#4501),#8826,.T.); #310658=ADVANCED_FACE('',(#23143,#4502),#8827,.F.); #310659=ADVANCED_FACE('',(#23144),#305557,.F.); #310660=ADVANCED_FACE('',(#23145),#8828,.T.); #310661=ADVANCED_FACE('',(#23146),#8829,.T.); #310662=ADVANCED_FACE('',(#23147),#8830,.T.); #310663=ADVANCED_FACE('',(#23148),#8831,.T.); #310664=ADVANCED_FACE('',(#23149,#4503),#8832,.T.); #310665=ADVANCED_FACE('',(#23150,#4504),#8833,.F.); #310666=ADVANCED_FACE('',(#23151),#305558,.F.); #310667=ADVANCED_FACE('',(#23152),#8834,.T.); #310668=ADVANCED_FACE('',(#23153),#305559,.T.); #310669=ADVANCED_FACE('',(#23154),#8835,.T.); #310670=ADVANCED_FACE('',(#23155),#305560,.T.); #310671=ADVANCED_FACE('',(#23156),#8836,.T.); #310672=ADVANCED_FACE('',(#23157),#8837,.T.); #310673=ADVANCED_FACE('',(#23158),#8838,.T.); #310674=ADVANCED_FACE('',(#23159),#8839,.T.); #310675=ADVANCED_FACE('',(#23160),#8840,.T.); #310676=ADVANCED_FACE('',(#23161),#8841,.T.); #310677=ADVANCED_FACE('',(#23162),#8842,.T.); #310678=ADVANCED_FACE('',(#23163),#8843,.T.); #310679=ADVANCED_FACE('',(#23164),#8844,.T.); #310680=ADVANCED_FACE('',(#23165),#305561,.T.); #310681=ADVANCED_FACE('',(#23166,#4505),#8845,.T.); #310682=ADVANCED_FACE('',(#23167,#4506),#8846,.F.); #310683=ADVANCED_FACE('',(#23168),#305562,.F.); #310684=ADVANCED_FACE('',(#23169),#8847,.T.); #310685=ADVANCED_FACE('',(#23170),#305563,.T.); #310686=ADVANCED_FACE('',(#23171),#305564,.T.); #310687=ADVANCED_FACE('',(#23172,#4507),#8848,.T.); #310688=ADVANCED_FACE('',(#23173,#4508),#8849,.F.); #310689=ADVANCED_FACE('',(#23174),#305565,.F.); #310690=ADVANCED_FACE('',(#23175),#305566,.F.); #310691=ADVANCED_FACE('',(#23176),#8850,.T.); #310692=ADVANCED_FACE('',(#23177),#305567,.T.); #310693=ADVANCED_FACE('',(#23178),#8851,.T.); #310694=ADVANCED_FACE('',(#23179),#305568,.T.); #310695=ADVANCED_FACE('',(#23180),#305569,.T.); #310696=ADVANCED_FACE('',(#23181),#8852,.T.); #310697=ADVANCED_FACE('',(#23182),#305570,.T.); #310698=ADVANCED_FACE('',(#23183,#4509,#4510),#8853,.T.); #310699=ADVANCED_FACE('',(#23184,#4511,#4512),#8854,.F.); #310700=ADVANCED_FACE('',(#23185),#305571,.F.); #310701=ADVANCED_FACE('',(#23186),#8855,.T.); #310702=ADVANCED_FACE('',(#23187),#305572,.T.); #310703=ADVANCED_FACE('',(#23188),#305573,.T.); #310704=ADVANCED_FACE('',(#23189,#4513),#8856,.T.); #310705=ADVANCED_FACE('',(#23190,#4514),#8857,.F.); #310706=ADVANCED_FACE('',(#23191),#305574,.F.); #310707=ADVANCED_FACE('',(#23192),#8858,.T.); #310708=ADVANCED_FACE('',(#23193),#8859,.T.); #310709=ADVANCED_FACE('',(#23194),#8860,.T.); #310710=ADVANCED_FACE('',(#23195),#8861,.T.); #310711=ADVANCED_FACE('',(#23196,#4515),#8862,.T.); #310712=ADVANCED_FACE('',(#23197,#4516),#8863,.F.); #310713=ADVANCED_FACE('',(#23198),#305575,.F.); #310714=ADVANCED_FACE('',(#23199),#8864,.T.); #310715=ADVANCED_FACE('',(#23200),#8865,.T.); #310716=ADVANCED_FACE('',(#23201),#8866,.T.); #310717=ADVANCED_FACE('',(#23202),#8867,.T.); #310718=ADVANCED_FACE('',(#23203),#8868,.T.); #310719=ADVANCED_FACE('',(#23204),#8869,.T.); #310720=ADVANCED_FACE('',(#23205),#8870,.T.); #310721=ADVANCED_FACE('',(#23206),#8871,.T.); #310722=ADVANCED_FACE('',(#23207),#8872,.T.); #310723=ADVANCED_FACE('',(#23208),#8873,.T.); #310724=ADVANCED_FACE('',(#23209),#8874,.T.); #310725=ADVANCED_FACE('',(#23210),#8875,.T.); #310726=ADVANCED_FACE('',(#23211),#305576,.T.); #310727=ADVANCED_FACE('',(#23212),#8876,.T.); #310728=ADVANCED_FACE('',(#23213),#305577,.T.); #310729=ADVANCED_FACE('',(#23214),#8877,.T.); #310730=ADVANCED_FACE('',(#23215),#8878,.T.); #310731=ADVANCED_FACE('',(#23216),#8879,.T.); #310732=ADVANCED_FACE('',(#23217),#305578,.T.); #310733=ADVANCED_FACE('',(#23218),#8880,.T.); #310734=ADVANCED_FACE('',(#23219),#305579,.T.); #310735=ADVANCED_FACE('',(#23220),#8881,.T.); #310736=ADVANCED_FACE('',(#23221),#305580,.T.); #310737=ADVANCED_FACE('',(#23222),#305581,.T.); #310738=ADVANCED_FACE('',(#23223),#8882,.T.); #310739=ADVANCED_FACE('',(#23224),#8883,.T.); #310740=ADVANCED_FACE('',(#23225),#8884,.T.); #310741=ADVANCED_FACE('',(#23226),#305582,.T.); #310742=ADVANCED_FACE('',(#23227),#8885,.T.); #310743=ADVANCED_FACE('',(#23228),#305583,.T.); #310744=ADVANCED_FACE('',(#23229),#8886,.T.); #310745=ADVANCED_FACE('',(#23230),#8887,.T.); #310746=ADVANCED_FACE('',(#23231),#8888,.T.); #310747=ADVANCED_FACE('',(#23232),#305584,.T.); #310748=ADVANCED_FACE('',(#23233),#8889,.T.); #310749=ADVANCED_FACE('',(#23234),#305585,.T.); #310750=ADVANCED_FACE('',(#23235),#8890,.T.); #310751=ADVANCED_FACE('',(#23236),#8891,.T.); #310752=ADVANCED_FACE('',(#23237),#8892,.T.); #310753=ADVANCED_FACE('',(#23238),#8893,.T.); #310754=ADVANCED_FACE('',(#23239),#8894,.T.); #310755=ADVANCED_FACE('',(#23240),#8895,.T.); #310756=ADVANCED_FACE('',(#23241),#8896,.T.); #310757=ADVANCED_FACE('',(#23242,#4517),#8897,.T.); #310758=ADVANCED_FACE('',(#23243,#4518),#8898,.F.); #310759=ADVANCED_FACE('',(#23244),#305586,.F.); #310760=ADVANCED_FACE('',(#23245),#8899,.T.); #310761=ADVANCED_FACE('',(#23246),#8900,.T.); #310762=ADVANCED_FACE('',(#23247),#8901,.T.); #310763=ADVANCED_FACE('',(#23248),#8902,.T.); #310764=ADVANCED_FACE('',(#23249),#305587,.T.); #310765=ADVANCED_FACE('',(#23250),#8903,.T.); #310766=ADVANCED_FACE('',(#23251),#305588,.T.); #310767=ADVANCED_FACE('',(#23252),#8904,.T.); #310768=ADVANCED_FACE('',(#23253),#8905,.T.); #310769=ADVANCED_FACE('',(#23254),#305589,.T.); #310770=ADVANCED_FACE('',(#23255),#8906,.T.); #310771=ADVANCED_FACE('',(#23256),#305590,.T.); #310772=ADVANCED_FACE('',(#23257),#305591,.T.); #310773=ADVANCED_FACE('',(#23258),#8907,.T.); #310774=ADVANCED_FACE('',(#23259),#8908,.T.); #310775=ADVANCED_FACE('',(#23260),#305592,.T.); #310776=ADVANCED_FACE('',(#23261),#8909,.T.); #310777=ADVANCED_FACE('',(#23262),#8910,.T.); #310778=ADVANCED_FACE('',(#23263),#8911,.T.); #310779=ADVANCED_FACE('',(#23264),#8912,.T.); #310780=ADVANCED_FACE('',(#23265),#8913,.T.); #310781=ADVANCED_FACE('',(#23266,#4519),#8914,.T.); #310782=ADVANCED_FACE('',(#23267,#4520),#8915,.F.); #310783=ADVANCED_FACE('',(#23268),#8916,.T.); #310784=ADVANCED_FACE('',(#23269),#8917,.T.); #310785=ADVANCED_FACE('',(#23270),#8918,.T.); #310786=ADVANCED_FACE('',(#23271),#8919,.T.); #310787=ADVANCED_FACE('',(#23272),#8920,.T.); #310788=ADVANCED_FACE('',(#23273),#8921,.T.); #310789=ADVANCED_FACE('',(#23274),#8922,.T.); #310790=ADVANCED_FACE('',(#23275),#8923,.T.); #310791=ADVANCED_FACE('',(#23276),#8924,.T.); #310792=ADVANCED_FACE('',(#23277),#8925,.T.); #310793=ADVANCED_FACE('',(#23278),#8926,.T.); #310794=ADVANCED_FACE('',(#23279),#8927,.T.); #310795=ADVANCED_FACE('',(#23280),#8928,.T.); #310796=ADVANCED_FACE('',(#23281),#8929,.F.); #310797=ADVANCED_FACE('',(#23282),#8930,.T.); #310798=ADVANCED_FACE('',(#23283),#8931,.T.); #310799=ADVANCED_FACE('',(#23284),#8932,.T.); #310800=ADVANCED_FACE('',(#23285),#8933,.T.); #310801=ADVANCED_FACE('',(#23286),#8934,.T.); #310802=ADVANCED_FACE('',(#23287),#8935,.T.); #310803=ADVANCED_FACE('',(#23288),#8936,.T.); #310804=ADVANCED_FACE('',(#23289),#8937,.T.); #310805=ADVANCED_FACE('',(#23290),#8938,.T.); #310806=ADVANCED_FACE('',(#23291),#8939,.T.); #310807=ADVANCED_FACE('',(#23292),#8940,.T.); #310808=ADVANCED_FACE('',(#23293),#8941,.T.); #310809=ADVANCED_FACE('',(#23294),#8942,.T.); #310810=ADVANCED_FACE('',(#23295),#8943,.F.); #310811=ADVANCED_FACE('',(#23296),#305593,.F.); #310812=ADVANCED_FACE('',(#23297),#8944,.T.); #310813=ADVANCED_FACE('',(#23298),#8945,.T.); #310814=ADVANCED_FACE('',(#23299),#8946,.T.); #310815=ADVANCED_FACE('',(#23300),#8947,.T.); #310816=ADVANCED_FACE('',(#23301),#305594,.T.); #310817=ADVANCED_FACE('',(#23302),#8948,.T.); #310818=ADVANCED_FACE('',(#23303),#305595,.T.); #310819=ADVANCED_FACE('',(#23304),#8949,.T.); #310820=ADVANCED_FACE('',(#23305),#305596,.T.); #310821=ADVANCED_FACE('',(#23306),#8950,.T.); #310822=ADVANCED_FACE('',(#23307),#305597,.T.); #310823=ADVANCED_FACE('',(#23308),#305598,.T.); #310824=ADVANCED_FACE('',(#23309),#8951,.T.); #310825=ADVANCED_FACE('',(#23310),#8952,.T.); #310826=ADVANCED_FACE('',(#23311),#8953,.T.); #310827=ADVANCED_FACE('',(#23312),#8954,.T.); #310828=ADVANCED_FACE('',(#23313),#8955,.T.); #310829=ADVANCED_FACE('',(#23314,#4521),#8956,.T.); #310830=ADVANCED_FACE('',(#23315,#4522),#8957,.F.); #310831=ADVANCED_FACE('',(#23316),#305599,.F.); #310832=ADVANCED_FACE('',(#23317),#8958,.T.); #310833=ADVANCED_FACE('',(#23318),#305600,.T.); #310834=ADVANCED_FACE('',(#23319),#8959,.T.); #310835=ADVANCED_FACE('',(#23320),#305601,.T.); #310836=ADVANCED_FACE('',(#23321),#8960,.T.); #310837=ADVANCED_FACE('',(#23322),#305602,.T.); #310838=ADVANCED_FACE('',(#23323),#8961,.T.); #310839=ADVANCED_FACE('',(#23324),#8962,.T.); #310840=ADVANCED_FACE('',(#23325),#8963,.T.); #310841=ADVANCED_FACE('',(#23326),#8964,.T.); #310842=ADVANCED_FACE('',(#23327),#8965,.T.); #310843=ADVANCED_FACE('',(#23328),#8966,.T.); #310844=ADVANCED_FACE('',(#23329),#8967,.T.); #310845=ADVANCED_FACE('',(#23330),#8968,.T.); #310846=ADVANCED_FACE('',(#23331),#8969,.T.); #310847=ADVANCED_FACE('',(#23332),#305603,.T.); #310848=ADVANCED_FACE('',(#23333),#8970,.T.); #310849=ADVANCED_FACE('',(#23334),#305604,.T.); #310850=ADVANCED_FACE('',(#23335),#8971,.T.); #310851=ADVANCED_FACE('',(#23336),#8972,.T.); #310852=ADVANCED_FACE('',(#23337),#8973,.T.); #310853=ADVANCED_FACE('',(#23338),#305605,.T.); #310854=ADVANCED_FACE('',(#23339,#4523),#8974,.T.); #310855=ADVANCED_FACE('',(#23340,#4524),#8975,.F.); #310856=ADVANCED_FACE('',(#23341),#305606,.F.); #310857=ADVANCED_FACE('',(#23342),#8976,.T.); #310858=ADVANCED_FACE('',(#23343),#305607,.T.); #310859=ADVANCED_FACE('',(#23344),#305608,.T.); #310860=ADVANCED_FACE('',(#23345),#8977,.T.); #310861=ADVANCED_FACE('',(#23346),#8978,.T.); #310862=ADVANCED_FACE('',(#23347),#8979,.T.); #310863=ADVANCED_FACE('',(#23348),#8980,.T.); #310864=ADVANCED_FACE('',(#23349),#8981,.T.); #310865=ADVANCED_FACE('',(#23350),#8982,.T.); #310866=ADVANCED_FACE('',(#23351),#305609,.T.); #310867=ADVANCED_FACE('',(#23352),#8983,.T.); #310868=ADVANCED_FACE('',(#23353),#305610,.T.); #310869=ADVANCED_FACE('',(#23354,#4525),#8984,.T.); #310870=ADVANCED_FACE('',(#23355,#4526),#8985,.F.); #310871=ADVANCED_FACE('',(#23356),#8986,.T.); #310872=ADVANCED_FACE('',(#23357),#8987,.T.); #310873=ADVANCED_FACE('',(#23358),#8988,.T.); #310874=ADVANCED_FACE('',(#23359),#8989,.T.); #310875=ADVANCED_FACE('',(#23360),#305611,.T.); #310876=ADVANCED_FACE('',(#23361),#8990,.T.); #310877=ADVANCED_FACE('',(#23362),#305612,.T.); #310878=ADVANCED_FACE('',(#23363),#8991,.T.); #310879=ADVANCED_FACE('',(#23364),#8992,.T.); #310880=ADVANCED_FACE('',(#23365),#8993,.T.); #310881=ADVANCED_FACE('',(#23366),#305613,.T.); #310882=ADVANCED_FACE('',(#23367),#8994,.T.); #310883=ADVANCED_FACE('',(#23368),#305614,.T.); #310884=ADVANCED_FACE('',(#23369),#8995,.T.); #310885=ADVANCED_FACE('',(#23370),#8996,.T.); #310886=ADVANCED_FACE('',(#23371),#8997,.T.); #310887=ADVANCED_FACE('',(#23372),#8998,.T.); #310888=ADVANCED_FACE('',(#23373),#8999,.T.); #310889=ADVANCED_FACE('',(#23374),#9000,.T.); #310890=ADVANCED_FACE('',(#23375),#9001,.T.); #310891=ADVANCED_FACE('',(#23376),#9002,.T.); #310892=ADVANCED_FACE('',(#23377),#9003,.T.); #310893=ADVANCED_FACE('',(#23378),#305615,.T.); #310894=ADVANCED_FACE('',(#23379),#9004,.T.); #310895=ADVANCED_FACE('',(#23380),#305616,.T.); #310896=ADVANCED_FACE('',(#23381),#9005,.T.); #310897=ADVANCED_FACE('',(#23382),#9006,.T.); #310898=ADVANCED_FACE('',(#23383),#9007,.T.); #310899=ADVANCED_FACE('',(#23384),#305617,.T.); #310900=ADVANCED_FACE('',(#23385),#9008,.T.); #310901=ADVANCED_FACE('',(#23386),#305618,.T.); #310902=ADVANCED_FACE('',(#23387),#9009,.T.); #310903=ADVANCED_FACE('',(#23388),#9010,.T.); #310904=ADVANCED_FACE('',(#23389),#9011,.T.); #310905=ADVANCED_FACE('',(#23390),#9012,.T.); #310906=ADVANCED_FACE('',(#23391),#9013,.T.); #310907=ADVANCED_FACE('',(#23392),#9014,.T.); #310908=ADVANCED_FACE('',(#23393),#9015,.F.); #310909=ADVANCED_FACE('',(#23394),#305619,.F.); #310910=ADVANCED_FACE('',(#23395),#9016,.T.); #310911=ADVANCED_FACE('',(#23396),#305620,.T.); #310912=ADVANCED_FACE('',(#23397),#9017,.T.); #310913=ADVANCED_FACE('',(#23398),#9018,.T.); #310914=ADVANCED_FACE('',(#23399),#9019,.T.); #310915=ADVANCED_FACE('',(#23400),#9020,.T.); #310916=ADVANCED_FACE('',(#23401),#9021,.T.); #310917=ADVANCED_FACE('',(#23402),#9022,.T.); #310918=ADVANCED_FACE('',(#23403),#9023,.T.); #310919=ADVANCED_FACE('',(#23404),#305621,.T.); #310920=ADVANCED_FACE('',(#23405,#4527),#9024,.T.); #310921=ADVANCED_FACE('',(#23406,#4528),#9025,.F.); #310922=ADVANCED_FACE('',(#23407),#305622,.F.); #310923=ADVANCED_FACE('',(#23408),#305623,.F.); #310924=ADVANCED_FACE('',(#23409),#9026,.T.); #310925=ADVANCED_FACE('',(#23410),#9027,.T.); #310926=ADVANCED_FACE('',(#23411),#305624,.T.); #310927=ADVANCED_FACE('',(#23412),#305625,.T.); #310928=ADVANCED_FACE('',(#23413),#9028,.T.); #310929=ADVANCED_FACE('',(#23414),#9029,.T.); #310930=ADVANCED_FACE('',(#23415),#305626,.T.); #310931=ADVANCED_FACE('',(#23416),#305627,.T.); #310932=ADVANCED_FACE('',(#23417),#9030,.T.); #310933=ADVANCED_FACE('',(#23418),#9031,.T.); #310934=ADVANCED_FACE('',(#23419),#9032,.T.); #310935=ADVANCED_FACE('',(#23420),#9033,.T.); #310936=ADVANCED_FACE('',(#23421),#9034,.T.); #310937=ADVANCED_FACE('',(#23422),#9035,.T.); #310938=ADVANCED_FACE('',(#23423),#9036,.T.); #310939=ADVANCED_FACE('',(#23424),#9037,.T.); #310940=ADVANCED_FACE('',(#23425),#9038,.T.); #310941=ADVANCED_FACE('',(#23426),#9039,.T.); #310942=ADVANCED_FACE('',(#23427),#305628,.T.); #310943=ADVANCED_FACE('',(#23428),#9040,.T.); #310944=ADVANCED_FACE('',(#23429),#305629,.T.); #310945=ADVANCED_FACE('',(#23430,#4529,#4530),#9041,.T.); #310946=ADVANCED_FACE('',(#23431,#4531,#4532),#9042,.F.); #310947=ADVANCED_FACE('',(#23432),#9043,.T.); #310948=ADVANCED_FACE('',(#23433),#9044,.T.); #310949=ADVANCED_FACE('',(#23434),#9045,.T.); #310950=ADVANCED_FACE('',(#23435),#9046,.T.); #310951=ADVANCED_FACE('',(#23436),#305630,.T.); #310952=ADVANCED_FACE('',(#23437),#9047,.T.); #310953=ADVANCED_FACE('',(#23438),#9048,.T.); #310954=ADVANCED_FACE('',(#23439),#9049,.T.); #310955=ADVANCED_FACE('',(#23440),#9050,.T.); #310956=ADVANCED_FACE('',(#23441),#9051,.T.); #310957=ADVANCED_FACE('',(#23442),#9052,.T.); #310958=ADVANCED_FACE('',(#23443),#9053,.T.); #310959=ADVANCED_FACE('',(#23444),#9054,.T.); #310960=ADVANCED_FACE('',(#23445),#9055,.T.); #310961=ADVANCED_FACE('',(#23446),#9056,.T.); #310962=ADVANCED_FACE('',(#23447),#9057,.F.); #310963=ADVANCED_FACE('',(#23448),#305631,.F.); #310964=ADVANCED_FACE('',(#23449),#305632,.F.); #310965=ADVANCED_FACE('',(#23450),#305633,.F.); #310966=ADVANCED_FACE('',(#23451),#305634,.F.); #310967=ADVANCED_FACE('',(#23452),#305635,.F.); #310968=ADVANCED_FACE('',(#23453),#305636,.F.); #310969=ADVANCED_FACE('',(#23454),#305637,.F.); #310970=ADVANCED_FACE('',(#23455),#305638,.F.); #310971=ADVANCED_FACE('',(#23456),#305639,.F.); #310972=ADVANCED_FACE('',(#23457),#305640,.F.); #310973=ADVANCED_FACE('',(#23458),#305641,.F.); #310974=ADVANCED_FACE('',(#23459),#9058,.T.); #310975=ADVANCED_FACE('',(#23460),#9059,.T.); #310976=ADVANCED_FACE('',(#23461),#9060,.T.); #310977=ADVANCED_FACE('',(#23462),#9061,.T.); #310978=ADVANCED_FACE('',(#23463),#305642,.T.); #310979=ADVANCED_FACE('',(#23464),#9062,.T.); #310980=ADVANCED_FACE('',(#23465),#305643,.T.); #310981=ADVANCED_FACE('',(#23466),#9063,.T.); #310982=ADVANCED_FACE('',(#23467),#9064,.T.); #310983=ADVANCED_FACE('',(#23468),#9065,.T.); #310984=ADVANCED_FACE('',(#23469),#9066,.T.); #310985=ADVANCED_FACE('',(#23470),#9067,.T.); #310986=ADVANCED_FACE('',(#23471),#9068,.T.); #310987=ADVANCED_FACE('',(#23472),#9069,.T.); #310988=ADVANCED_FACE('',(#23473),#9070,.T.); #310989=ADVANCED_FACE('',(#23474),#9071,.T.); #310990=ADVANCED_FACE('',(#23475),#9072,.T.); #310991=ADVANCED_FACE('',(#23476),#9073,.T.); #310992=ADVANCED_FACE('',(#23477),#9074,.T.); #310993=ADVANCED_FACE('',(#23478),#9075,.T.); #310994=ADVANCED_FACE('',(#23479),#9076,.T.); #310995=ADVANCED_FACE('',(#23480),#9077,.T.); #310996=ADVANCED_FACE('',(#23481),#9078,.T.); #310997=ADVANCED_FACE('',(#23482),#9079,.T.); #310998=ADVANCED_FACE('',(#23483),#9080,.T.); #310999=ADVANCED_FACE('',(#23484),#9081,.T.); #311000=ADVANCED_FACE('',(#23485),#9082,.T.); #311001=ADVANCED_FACE('',(#23486),#9083,.T.); #311002=ADVANCED_FACE('',(#23487),#9084,.T.); #311003=ADVANCED_FACE('',(#23488),#9085,.T.); #311004=ADVANCED_FACE('',(#23489),#9086,.T.); #311005=ADVANCED_FACE('',(#23490),#9087,.T.); #311006=ADVANCED_FACE('',(#23491),#9088,.T.); #311007=ADVANCED_FACE('',(#23492),#9089,.T.); #311008=ADVANCED_FACE('',(#23493),#9090,.T.); #311009=ADVANCED_FACE('',(#23494),#9091,.T.); #311010=ADVANCED_FACE('',(#23495),#9092,.T.); #311011=ADVANCED_FACE('',(#23496),#9093,.T.); #311012=ADVANCED_FACE('',(#23497),#9094,.T.); #311013=ADVANCED_FACE('',(#23498),#9095,.T.); #311014=ADVANCED_FACE('',(#23499),#9096,.T.); #311015=ADVANCED_FACE('',(#23500),#9097,.T.); #311016=ADVANCED_FACE('',(#23501),#9098,.T.); #311017=ADVANCED_FACE('',(#23502),#9099,.T.); #311018=ADVANCED_FACE('',(#23503),#9100,.T.); #311019=ADVANCED_FACE('',(#23504),#9101,.T.); #311020=ADVANCED_FACE('',(#23505),#9102,.T.); #311021=ADVANCED_FACE('',(#23506),#9103,.T.); #311022=ADVANCED_FACE('',(#23507),#9104,.T.); #311023=ADVANCED_FACE('',(#23508),#9105,.T.); #311024=ADVANCED_FACE('',(#23509),#9106,.T.); #311025=ADVANCED_FACE('',(#23510),#9107,.T.); #311026=ADVANCED_FACE('',(#23511),#9108,.T.); #311027=ADVANCED_FACE('',(#23512),#9109,.T.); #311028=ADVANCED_FACE('',(#23513),#9110,.T.); #311029=ADVANCED_FACE('',(#23514),#9111,.T.); #311030=ADVANCED_FACE('',(#23515),#9112,.T.); #311031=ADVANCED_FACE('',(#23516),#9113,.T.); #311032=ADVANCED_FACE('',(#23517),#9114,.T.); #311033=ADVANCED_FACE('',(#23518),#9115,.T.); #311034=ADVANCED_FACE('',(#23519),#9116,.T.); #311035=ADVANCED_FACE('',(#23520),#9117,.T.); #311036=ADVANCED_FACE('',(#23521),#9118,.T.); #311037=ADVANCED_FACE('',(#23522),#9119,.T.); #311038=ADVANCED_FACE('',(#23523),#9120,.T.); #311039=ADVANCED_FACE('',(#23524),#9121,.T.); #311040=ADVANCED_FACE('',(#23525),#9122,.T.); #311041=ADVANCED_FACE('',(#23526),#9123,.T.); #311042=ADVANCED_FACE('',(#23527),#9124,.T.); #311043=ADVANCED_FACE('',(#23528),#9125,.T.); #311044=ADVANCED_FACE('',(#23529),#9126,.T.); #311045=ADVANCED_FACE('',(#23530),#9127,.T.); #311046=ADVANCED_FACE('',(#23531),#9128,.T.); #311047=ADVANCED_FACE('',(#23532),#9129,.T.); #311048=ADVANCED_FACE('',(#23533),#9130,.T.); #311049=ADVANCED_FACE('',(#23534),#9131,.T.); #311050=ADVANCED_FACE('',(#23535),#9132,.T.); #311051=ADVANCED_FACE('',(#23536),#9133,.T.); #311052=ADVANCED_FACE('',(#23537),#9134,.T.); #311053=ADVANCED_FACE('',(#23538),#9135,.T.); #311054=ADVANCED_FACE('',(#23539),#9136,.T.); #311055=ADVANCED_FACE('',(#23540),#9137,.T.); #311056=ADVANCED_FACE('',(#23541),#9138,.T.); #311057=ADVANCED_FACE('',(#23542),#9139,.T.); #311058=ADVANCED_FACE('',(#23543),#9140,.T.); #311059=ADVANCED_FACE('',(#23544),#9141,.T.); #311060=ADVANCED_FACE('',(#23545),#9142,.T.); #311061=ADVANCED_FACE('',(#23546),#9143,.T.); #311062=ADVANCED_FACE('',(#23547),#9144,.T.); #311063=ADVANCED_FACE('',(#23548),#9145,.T.); #311064=ADVANCED_FACE('',(#23549),#9146,.T.); #311065=ADVANCED_FACE('',(#23550),#9147,.T.); #311066=ADVANCED_FACE('',(#23551),#9148,.T.); #311067=ADVANCED_FACE('',(#23552),#9149,.T.); #311068=ADVANCED_FACE('',(#23553),#9150,.T.); #311069=ADVANCED_FACE('',(#23554),#9151,.T.); #311070=ADVANCED_FACE('',(#23555),#9152,.T.); #311071=ADVANCED_FACE('',(#23556),#9153,.T.); #311072=ADVANCED_FACE('',(#23557),#9154,.T.); #311073=ADVANCED_FACE('',(#23558),#9155,.T.); #311074=ADVANCED_FACE('',(#23559),#9156,.T.); #311075=ADVANCED_FACE('',(#23560),#9157,.T.); #311076=ADVANCED_FACE('',(#23561),#9158,.T.); #311077=ADVANCED_FACE('',(#23562),#9159,.T.); #311078=ADVANCED_FACE('',(#23563),#9160,.T.); #311079=ADVANCED_FACE('',(#23564),#9161,.T.); #311080=ADVANCED_FACE('',(#23565),#9162,.T.); #311081=ADVANCED_FACE('',(#23566),#9163,.T.); #311082=ADVANCED_FACE('',(#23567),#9164,.T.); #311083=ADVANCED_FACE('',(#23568),#9165,.T.); #311084=ADVANCED_FACE('',(#23569),#9166,.T.); #311085=ADVANCED_FACE('',(#23570),#9167,.T.); #311086=ADVANCED_FACE('',(#23571),#9168,.T.); #311087=ADVANCED_FACE('',(#23572),#9169,.T.); #311088=ADVANCED_FACE('',(#23573),#9170,.T.); #311089=ADVANCED_FACE('',(#23574),#9171,.T.); #311090=ADVANCED_FACE('',(#23575),#9172,.T.); #311091=ADVANCED_FACE('',(#23576),#9173,.T.); #311092=ADVANCED_FACE('',(#23577),#9174,.T.); #311093=ADVANCED_FACE('',(#23578),#9175,.T.); #311094=ADVANCED_FACE('',(#23579),#9176,.T.); #311095=ADVANCED_FACE('',(#23580),#9177,.T.); #311096=ADVANCED_FACE('',(#23581),#9178,.T.); #311097=ADVANCED_FACE('',(#23582),#9179,.T.); #311098=ADVANCED_FACE('',(#23583),#9180,.T.); #311099=ADVANCED_FACE('',(#23584),#305644,.T.); #311100=ADVANCED_FACE('',(#23585),#9181,.T.); #311101=ADVANCED_FACE('',(#23586),#305645,.T.); #311102=ADVANCED_FACE('',(#23587),#9182,.T.); #311103=ADVANCED_FACE('',(#23588),#9183,.T.); #311104=ADVANCED_FACE('',(#23589),#9184,.T.); #311105=ADVANCED_FACE('',(#23590),#9185,.T.); #311106=ADVANCED_FACE('',(#23591),#9186,.T.); #311107=ADVANCED_FACE('',(#23592,#4533,#4534,#4535,#4536,#4537,#4538,#4539, #4540,#4541,#4542,#4543),#9187,.T.); #311108=ADVANCED_FACE('',(#23593,#4544,#4545,#4546,#4547,#4548,#4549,#4550, #4551,#4552,#4553,#4554),#9188,.F.); #311109=ADVANCED_FACE('',(#23594),#305646,.F.); #311110=ADVANCED_FACE('',(#23595),#9189,.T.); #311111=ADVANCED_FACE('',(#23596),#305647,.T.); #311112=ADVANCED_FACE('',(#23597),#305648,.T.); #311113=ADVANCED_FACE('',(#23598,#4555),#9190,.T.); #311114=ADVANCED_FACE('',(#23599,#4556),#9191,.F.); #311115=ADVANCED_FACE('',(#23600),#9192,.T.); #311116=ADVANCED_FACE('',(#23601),#9193,.T.); #311117=ADVANCED_FACE('',(#23602),#9194,.T.); #311118=ADVANCED_FACE('',(#23603),#9195,.T.); #311119=ADVANCED_FACE('',(#23604),#9196,.T.); #311120=ADVANCED_FACE('',(#23605),#9197,.T.); #311121=ADVANCED_FACE('',(#23606),#9198,.T.); #311122=ADVANCED_FACE('',(#23607),#9199,.T.); #311123=ADVANCED_FACE('',(#23608),#9200,.T.); #311124=ADVANCED_FACE('',(#23609),#9201,.T.); #311125=ADVANCED_FACE('',(#23610),#9202,.T.); #311126=ADVANCED_FACE('',(#23611),#9203,.T.); #311127=ADVANCED_FACE('',(#23612),#9204,.T.); #311128=ADVANCED_FACE('',(#23613),#9205,.T.); #311129=ADVANCED_FACE('',(#23614),#9206,.T.); #311130=ADVANCED_FACE('',(#23615),#9207,.T.); #311131=ADVANCED_FACE('',(#23616),#9208,.T.); #311132=ADVANCED_FACE('',(#23617),#9209,.T.); #311133=ADVANCED_FACE('',(#23618),#9210,.T.); #311134=ADVANCED_FACE('',(#23619),#9211,.T.); #311135=ADVANCED_FACE('',(#23620),#9212,.T.); #311136=ADVANCED_FACE('',(#23621),#9213,.F.); #311137=ADVANCED_FACE('',(#23622),#9214,.T.); #311138=ADVANCED_FACE('',(#23623),#9215,.T.); #311139=ADVANCED_FACE('',(#23624),#9216,.T.); #311140=ADVANCED_FACE('',(#23625),#9217,.T.); #311141=ADVANCED_FACE('',(#23626),#9218,.T.); #311142=ADVANCED_FACE('',(#23627),#9219,.T.); #311143=ADVANCED_FACE('',(#23628),#9220,.T.); #311144=ADVANCED_FACE('',(#23629),#9221,.T.); #311145=ADVANCED_FACE('',(#23630),#9222,.T.); #311146=ADVANCED_FACE('',(#23631),#9223,.T.); #311147=ADVANCED_FACE('',(#23632),#9224,.T.); #311148=ADVANCED_FACE('',(#23633),#9225,.T.); #311149=ADVANCED_FACE('',(#23634),#9226,.T.); #311150=ADVANCED_FACE('',(#23635),#9227,.T.); #311151=ADVANCED_FACE('',(#23636),#9228,.T.); #311152=ADVANCED_FACE('',(#23637),#9229,.T.); #311153=ADVANCED_FACE('',(#23638),#9230,.T.); #311154=ADVANCED_FACE('',(#23639),#9231,.T.); #311155=ADVANCED_FACE('',(#23640),#9232,.T.); #311156=ADVANCED_FACE('',(#23641),#9233,.T.); #311157=ADVANCED_FACE('',(#23642),#9234,.T.); #311158=ADVANCED_FACE('',(#23643),#9235,.T.); #311159=ADVANCED_FACE('',(#23644),#9236,.F.); #311160=ADVANCED_FACE('',(#23645),#9237,.T.); #311161=ADVANCED_FACE('',(#23646),#9238,.T.); #311162=ADVANCED_FACE('',(#23647),#9239,.T.); #311163=ADVANCED_FACE('',(#23648),#9240,.T.); #311164=ADVANCED_FACE('',(#23649),#9241,.T.); #311165=ADVANCED_FACE('',(#23650),#9242,.T.); #311166=ADVANCED_FACE('',(#23651),#9243,.T.); #311167=ADVANCED_FACE('',(#23652),#9244,.T.); #311168=ADVANCED_FACE('',(#23653),#9245,.T.); #311169=ADVANCED_FACE('',(#23654),#9246,.T.); #311170=ADVANCED_FACE('',(#23655),#9247,.T.); #311171=ADVANCED_FACE('',(#23656),#9248,.T.); #311172=ADVANCED_FACE('',(#23657),#9249,.T.); #311173=ADVANCED_FACE('',(#23658),#9250,.T.); #311174=ADVANCED_FACE('',(#23659),#9251,.T.); #311175=ADVANCED_FACE('',(#23660),#9252,.T.); #311176=ADVANCED_FACE('',(#23661),#9253,.T.); #311177=ADVANCED_FACE('',(#23662),#9254,.T.); #311178=ADVANCED_FACE('',(#23663),#9255,.T.); #311179=ADVANCED_FACE('',(#23664),#9256,.T.); #311180=ADVANCED_FACE('',(#23665),#9257,.T.); #311181=ADVANCED_FACE('',(#23666),#9258,.F.); #311182=ADVANCED_FACE('',(#23667),#9259,.T.); #311183=ADVANCED_FACE('',(#23668),#9260,.T.); #311184=ADVANCED_FACE('',(#23669),#9261,.T.); #311185=ADVANCED_FACE('',(#23670),#9262,.T.); #311186=ADVANCED_FACE('',(#23671),#9263,.T.); #311187=ADVANCED_FACE('',(#23672),#9264,.T.); #311188=ADVANCED_FACE('',(#23673),#9265,.T.); #311189=ADVANCED_FACE('',(#23674),#9266,.T.); #311190=ADVANCED_FACE('',(#23675),#9267,.T.); #311191=ADVANCED_FACE('',(#23676),#9268,.T.); #311192=ADVANCED_FACE('',(#23677),#9269,.T.); #311193=ADVANCED_FACE('',(#23678),#9270,.T.); #311194=ADVANCED_FACE('',(#23679),#9271,.T.); #311195=ADVANCED_FACE('',(#23680),#9272,.T.); #311196=ADVANCED_FACE('',(#23681),#9273,.T.); #311197=ADVANCED_FACE('',(#23682),#9274,.T.); #311198=ADVANCED_FACE('',(#23683),#9275,.T.); #311199=ADVANCED_FACE('',(#23684),#9276,.T.); #311200=ADVANCED_FACE('',(#23685),#9277,.T.); #311201=ADVANCED_FACE('',(#23686),#9278,.T.); #311202=ADVANCED_FACE('',(#23687),#9279,.T.); #311203=ADVANCED_FACE('',(#23688),#9280,.T.); #311204=ADVANCED_FACE('',(#23689),#9281,.F.); #311205=ADVANCED_FACE('',(#23690),#305649,.F.); #311206=ADVANCED_FACE('',(#23691),#305650,.F.); #311207=ADVANCED_FACE('',(#23692),#305651,.F.); #311208=ADVANCED_FACE('',(#23693),#9282,.T.); #311209=ADVANCED_FACE('',(#23694),#9283,.T.); #311210=ADVANCED_FACE('',(#23695),#9284,.T.); #311211=ADVANCED_FACE('',(#23696),#9285,.T.); #311212=ADVANCED_FACE('',(#23697),#9286,.T.); #311213=ADVANCED_FACE('',(#23698),#9287,.T.); #311214=ADVANCED_FACE('',(#23699),#9288,.T.); #311215=ADVANCED_FACE('',(#23700),#9289,.T.); #311216=ADVANCED_FACE('',(#23701),#9290,.T.); #311217=ADVANCED_FACE('',(#23702),#9291,.T.); #311218=ADVANCED_FACE('',(#23703),#9292,.T.); #311219=ADVANCED_FACE('',(#23704),#9293,.T.); #311220=ADVANCED_FACE('',(#23705),#9294,.T.); #311221=ADVANCED_FACE('',(#23706),#9295,.T.); #311222=ADVANCED_FACE('',(#23707),#9296,.T.); #311223=ADVANCED_FACE('',(#23708),#9297,.T.); #311224=ADVANCED_FACE('',(#23709),#9298,.T.); #311225=ADVANCED_FACE('',(#23710),#9299,.T.); #311226=ADVANCED_FACE('',(#23711),#9300,.T.); #311227=ADVANCED_FACE('',(#23712),#9301,.T.); #311228=ADVANCED_FACE('',(#23713),#9302,.T.); #311229=ADVANCED_FACE('',(#23714),#9303,.T.); #311230=ADVANCED_FACE('',(#23715),#9304,.T.); #311231=ADVANCED_FACE('',(#23716),#9305,.T.); #311232=ADVANCED_FACE('',(#23717),#9306,.T.); #311233=ADVANCED_FACE('',(#23718),#9307,.T.); #311234=ADVANCED_FACE('',(#23719),#9308,.T.); #311235=ADVANCED_FACE('',(#23720),#9309,.T.); #311236=ADVANCED_FACE('',(#23721),#9310,.T.); #311237=ADVANCED_FACE('',(#23722),#9311,.T.); #311238=ADVANCED_FACE('',(#23723),#9312,.T.); #311239=ADVANCED_FACE('',(#23724),#9313,.T.); #311240=ADVANCED_FACE('',(#23725),#9314,.T.); #311241=ADVANCED_FACE('',(#23726),#9315,.T.); #311242=ADVANCED_FACE('',(#23727),#9316,.T.); #311243=ADVANCED_FACE('',(#23728),#9317,.T.); #311244=ADVANCED_FACE('',(#23729),#9318,.T.); #311245=ADVANCED_FACE('',(#23730),#9319,.T.); #311246=ADVANCED_FACE('',(#23731),#9320,.T.); #311247=ADVANCED_FACE('',(#23732),#9321,.T.); #311248=ADVANCED_FACE('',(#23733),#9322,.T.); #311249=ADVANCED_FACE('',(#23734),#9323,.T.); #311250=ADVANCED_FACE('',(#23735),#9324,.T.); #311251=ADVANCED_FACE('',(#23736),#9325,.T.); #311252=ADVANCED_FACE('',(#23737),#9326,.T.); #311253=ADVANCED_FACE('',(#23738),#9327,.T.); #311254=ADVANCED_FACE('',(#23739),#9328,.T.); #311255=ADVANCED_FACE('',(#23740),#9329,.T.); #311256=ADVANCED_FACE('',(#23741),#9330,.T.); #311257=ADVANCED_FACE('',(#23742),#9331,.T.); #311258=ADVANCED_FACE('',(#23743),#9332,.T.); #311259=ADVANCED_FACE('',(#23744),#9333,.T.); #311260=ADVANCED_FACE('',(#23745),#9334,.T.); #311261=ADVANCED_FACE('',(#23746),#9335,.T.); #311262=ADVANCED_FACE('',(#23747),#9336,.T.); #311263=ADVANCED_FACE('',(#23748),#9337,.T.); #311264=ADVANCED_FACE('',(#23749),#9338,.T.); #311265=ADVANCED_FACE('',(#23750),#9339,.T.); #311266=ADVANCED_FACE('',(#23751),#9340,.T.); #311267=ADVANCED_FACE('',(#23752),#9341,.T.); #311268=ADVANCED_FACE('',(#23753),#9342,.T.); #311269=ADVANCED_FACE('',(#23754),#9343,.T.); #311270=ADVANCED_FACE('',(#23755),#9344,.T.); #311271=ADVANCED_FACE('',(#23756),#9345,.T.); #311272=ADVANCED_FACE('',(#23757),#9346,.T.); #311273=ADVANCED_FACE('',(#23758),#9347,.T.); #311274=ADVANCED_FACE('',(#23759),#9348,.T.); #311275=ADVANCED_FACE('',(#23760),#9349,.T.); #311276=ADVANCED_FACE('',(#23761),#9350,.T.); #311277=ADVANCED_FACE('',(#23762),#9351,.T.); #311278=ADVANCED_FACE('',(#23763),#9352,.T.); #311279=ADVANCED_FACE('',(#23764),#9353,.T.); #311280=ADVANCED_FACE('',(#23765),#9354,.T.); #311281=ADVANCED_FACE('',(#23766),#9355,.T.); #311282=ADVANCED_FACE('',(#23767),#9356,.T.); #311283=ADVANCED_FACE('',(#23768),#9357,.T.); #311284=ADVANCED_FACE('',(#23769),#9358,.T.); #311285=ADVANCED_FACE('',(#23770),#9359,.T.); #311286=ADVANCED_FACE('',(#23771),#9360,.T.); #311287=ADVANCED_FACE('',(#23772),#9361,.T.); #311288=ADVANCED_FACE('',(#23773),#9362,.T.); #311289=ADVANCED_FACE('',(#23774),#9363,.T.); #311290=ADVANCED_FACE('',(#23775),#9364,.T.); #311291=ADVANCED_FACE('',(#23776),#9365,.T.); #311292=ADVANCED_FACE('',(#23777),#9366,.T.); #311293=ADVANCED_FACE('',(#23778),#9367,.T.); #311294=ADVANCED_FACE('',(#23779),#9368,.T.); #311295=ADVANCED_FACE('',(#23780),#9369,.T.); #311296=ADVANCED_FACE('',(#23781),#9370,.T.); #311297=ADVANCED_FACE('',(#23782),#9371,.T.); #311298=ADVANCED_FACE('',(#23783,#4557,#4558,#4559),#9372,.T.); #311299=ADVANCED_FACE('',(#23784,#4560,#4561,#4562),#9373,.F.); #311300=ADVANCED_FACE('',(#23785),#9374,.T.); #311301=ADVANCED_FACE('',(#23786),#9375,.T.); #311302=ADVANCED_FACE('',(#23787),#9376,.T.); #311303=ADVANCED_FACE('',(#23788),#9377,.T.); #311304=ADVANCED_FACE('',(#23789),#9378,.T.); #311305=ADVANCED_FACE('',(#23790),#9379,.T.); #311306=ADVANCED_FACE('',(#23791),#9380,.T.); #311307=ADVANCED_FACE('',(#23792),#9381,.T.); #311308=ADVANCED_FACE('',(#23793),#9382,.T.); #311309=ADVANCED_FACE('',(#23794),#9383,.T.); #311310=ADVANCED_FACE('',(#23795),#9384,.T.); #311311=ADVANCED_FACE('',(#23796),#9385,.T.); #311312=ADVANCED_FACE('',(#23797),#9386,.T.); #311313=ADVANCED_FACE('',(#23798),#9387,.T.); #311314=ADVANCED_FACE('',(#23799),#9388,.T.); #311315=ADVANCED_FACE('',(#23800),#9389,.T.); #311316=ADVANCED_FACE('',(#23801),#9390,.T.); #311317=ADVANCED_FACE('',(#23802),#9391,.T.); #311318=ADVANCED_FACE('',(#23803),#9392,.T.); #311319=ADVANCED_FACE('',(#23804),#9393,.T.); #311320=ADVANCED_FACE('',(#23805),#9394,.T.); #311321=ADVANCED_FACE('',(#23806),#9395,.T.); #311322=ADVANCED_FACE('',(#23807),#9396,.T.); #311323=ADVANCED_FACE('',(#23808),#9397,.T.); #311324=ADVANCED_FACE('',(#23809),#9398,.T.); #311325=ADVANCED_FACE('',(#23810),#9399,.T.); #311326=ADVANCED_FACE('',(#23811),#9400,.T.); #311327=ADVANCED_FACE('',(#23812),#9401,.T.); #311328=ADVANCED_FACE('',(#23813),#9402,.T.); #311329=ADVANCED_FACE('',(#23814),#9403,.T.); #311330=ADVANCED_FACE('',(#23815),#9404,.T.); #311331=ADVANCED_FACE('',(#23816),#9405,.T.); #311332=ADVANCED_FACE('',(#23817),#9406,.T.); #311333=ADVANCED_FACE('',(#23818),#9407,.T.); #311334=ADVANCED_FACE('',(#23819),#9408,.T.); #311335=ADVANCED_FACE('',(#23820),#9409,.F.); #311336=ADVANCED_FACE('',(#23821),#9410,.T.); #311337=ADVANCED_FACE('',(#23822),#9411,.T.); #311338=ADVANCED_FACE('',(#23823),#9412,.T.); #311339=ADVANCED_FACE('',(#23824),#9413,.T.); #311340=ADVANCED_FACE('',(#23825),#9414,.T.); #311341=ADVANCED_FACE('',(#23826),#9415,.T.); #311342=ADVANCED_FACE('',(#23827),#9416,.T.); #311343=ADVANCED_FACE('',(#23828),#9417,.T.); #311344=ADVANCED_FACE('',(#23829),#9418,.T.); #311345=ADVANCED_FACE('',(#23830),#9419,.T.); #311346=ADVANCED_FACE('',(#23831),#9420,.T.); #311347=ADVANCED_FACE('',(#23832),#9421,.T.); #311348=ADVANCED_FACE('',(#23833),#9422,.T.); #311349=ADVANCED_FACE('',(#23834),#9423,.T.); #311350=ADVANCED_FACE('',(#23835),#9424,.T.); #311351=ADVANCED_FACE('',(#23836),#9425,.T.); #311352=ADVANCED_FACE('',(#23837),#9426,.T.); #311353=ADVANCED_FACE('',(#23838),#9427,.T.); #311354=ADVANCED_FACE('',(#23839),#9428,.T.); #311355=ADVANCED_FACE('',(#23840),#9429,.T.); #311356=ADVANCED_FACE('',(#23841),#9430,.T.); #311357=ADVANCED_FACE('',(#23842),#9431,.F.); #311358=ADVANCED_FACE('',(#23843),#9432,.T.); #311359=ADVANCED_FACE('',(#23844),#9433,.T.); #311360=ADVANCED_FACE('',(#23845),#9434,.T.); #311361=ADVANCED_FACE('',(#23846),#9435,.T.); #311362=ADVANCED_FACE('',(#23847),#9436,.T.); #311363=ADVANCED_FACE('',(#23848),#9437,.T.); #311364=ADVANCED_FACE('',(#23849),#9438,.T.); #311365=ADVANCED_FACE('',(#23850),#9439,.T.); #311366=ADVANCED_FACE('',(#23851),#9440,.T.); #311367=ADVANCED_FACE('',(#23852),#9441,.T.); #311368=ADVANCED_FACE('',(#23853),#9442,.T.); #311369=ADVANCED_FACE('',(#23854),#9443,.T.); #311370=ADVANCED_FACE('',(#23855),#9444,.T.); #311371=ADVANCED_FACE('',(#23856),#9445,.T.); #311372=ADVANCED_FACE('',(#23857),#9446,.T.); #311373=ADVANCED_FACE('',(#23858),#9447,.T.); #311374=ADVANCED_FACE('',(#23859),#9448,.T.); #311375=ADVANCED_FACE('',(#23860),#9449,.T.); #311376=ADVANCED_FACE('',(#23861),#9450,.T.); #311377=ADVANCED_FACE('',(#23862),#9451,.T.); #311378=ADVANCED_FACE('',(#23863),#9452,.T.); #311379=ADVANCED_FACE('',(#23864),#9453,.T.); #311380=ADVANCED_FACE('',(#23865),#9454,.F.); #311381=ADVANCED_FACE('',(#23866),#305652,.F.); #311382=ADVANCED_FACE('',(#23867),#9455,.F.); #311383=ADVANCED_FACE('',(#23868),#305653,.F.); #311384=ADVANCED_FACE('',(#23869),#9456,.F.); #311385=ADVANCED_FACE('',(#23870),#305654,.F.); #311386=ADVANCED_FACE('',(#23871),#305655,.F.); #311387=ADVANCED_FACE('',(#23872),#305656,.F.); #311388=ADVANCED_FACE('',(#23873),#305657,.F.); #311389=ADVANCED_FACE('',(#23874),#305658,.F.); #311390=ADVANCED_FACE('',(#23875),#305659,.F.); #311391=ADVANCED_FACE('',(#23876),#305660,.F.); #311392=ADVANCED_FACE('',(#23877),#305661,.F.); #311393=ADVANCED_FACE('',(#23878),#305662,.F.); #311394=ADVANCED_FACE('',(#23879),#305663,.F.); #311395=ADVANCED_FACE('',(#23880),#9457,.T.); #311396=ADVANCED_FACE('',(#23881),#9458,.T.); #311397=ADVANCED_FACE('',(#23882),#9459,.T.); #311398=ADVANCED_FACE('',(#23883),#9460,.T.); #311399=ADVANCED_FACE('',(#23884),#9461,.T.); #311400=ADVANCED_FACE('',(#23885),#9462,.T.); #311401=ADVANCED_FACE('',(#23886),#9463,.T.); #311402=ADVANCED_FACE('',(#23887),#9464,.T.); #311403=ADVANCED_FACE('',(#23888),#9465,.T.); #311404=ADVANCED_FACE('',(#23889),#9466,.T.); #311405=ADVANCED_FACE('',(#23890),#9467,.T.); #311406=ADVANCED_FACE('',(#23891),#9468,.T.); #311407=ADVANCED_FACE('',(#23892),#9469,.T.); #311408=ADVANCED_FACE('',(#23893),#9470,.T.); #311409=ADVANCED_FACE('',(#23894),#9471,.T.); #311410=ADVANCED_FACE('',(#23895),#9472,.T.); #311411=ADVANCED_FACE('',(#23896),#9473,.T.); #311412=ADVANCED_FACE('',(#23897),#9474,.T.); #311413=ADVANCED_FACE('',(#23898),#9475,.T.); #311414=ADVANCED_FACE('',(#23899),#9476,.T.); #311415=ADVANCED_FACE('',(#23900),#9477,.T.); #311416=ADVANCED_FACE('',(#23901),#9478,.T.); #311417=ADVANCED_FACE('',(#23902),#9479,.T.); #311418=ADVANCED_FACE('',(#23903),#9480,.T.); #311419=ADVANCED_FACE('',(#23904),#9481,.T.); #311420=ADVANCED_FACE('',(#23905),#9482,.T.); #311421=ADVANCED_FACE('',(#23906),#9483,.T.); #311422=ADVANCED_FACE('',(#23907),#9484,.T.); #311423=ADVANCED_FACE('',(#23908),#9485,.T.); #311424=ADVANCED_FACE('',(#23909),#9486,.T.); #311425=ADVANCED_FACE('',(#23910),#9487,.T.); #311426=ADVANCED_FACE('',(#23911),#9488,.T.); #311427=ADVANCED_FACE('',(#23912),#9489,.T.); #311428=ADVANCED_FACE('',(#23913),#9490,.T.); #311429=ADVANCED_FACE('',(#23914),#9491,.T.); #311430=ADVANCED_FACE('',(#23915),#9492,.T.); #311431=ADVANCED_FACE('',(#23916),#9493,.T.); #311432=ADVANCED_FACE('',(#23917),#9494,.T.); #311433=ADVANCED_FACE('',(#23918),#9495,.T.); #311434=ADVANCED_FACE('',(#23919),#9496,.T.); #311435=ADVANCED_FACE('',(#23920),#9497,.T.); #311436=ADVANCED_FACE('',(#23921),#9498,.T.); #311437=ADVANCED_FACE('',(#23922),#9499,.T.); #311438=ADVANCED_FACE('',(#23923),#9500,.T.); #311439=ADVANCED_FACE('',(#23924),#9501,.T.); #311440=ADVANCED_FACE('',(#23925),#9502,.T.); #311441=ADVANCED_FACE('',(#23926),#9503,.T.); #311442=ADVANCED_FACE('',(#23927),#9504,.T.); #311443=ADVANCED_FACE('',(#23928),#9505,.T.); #311444=ADVANCED_FACE('',(#23929),#9506,.T.); #311445=ADVANCED_FACE('',(#23930),#9507,.T.); #311446=ADVANCED_FACE('',(#23931),#9508,.T.); #311447=ADVANCED_FACE('',(#23932),#9509,.T.); #311448=ADVANCED_FACE('',(#23933),#9510,.T.); #311449=ADVANCED_FACE('',(#23934),#9511,.T.); #311450=ADVANCED_FACE('',(#23935),#9512,.T.); #311451=ADVANCED_FACE('',(#23936),#9513,.T.); #311452=ADVANCED_FACE('',(#23937),#9514,.T.); #311453=ADVANCED_FACE('',(#23938),#9515,.T.); #311454=ADVANCED_FACE('',(#23939),#9516,.T.); #311455=ADVANCED_FACE('',(#23940),#9517,.T.); #311456=ADVANCED_FACE('',(#23941),#9518,.T.); #311457=ADVANCED_FACE('',(#23942),#9519,.T.); #311458=ADVANCED_FACE('',(#23943),#9520,.T.); #311459=ADVANCED_FACE('',(#23944),#9521,.T.); #311460=ADVANCED_FACE('',(#23945),#9522,.T.); #311461=ADVANCED_FACE('',(#23946),#9523,.T.); #311462=ADVANCED_FACE('',(#23947),#9524,.T.); #311463=ADVANCED_FACE('',(#23948),#9525,.T.); #311464=ADVANCED_FACE('',(#23949),#9526,.T.); #311465=ADVANCED_FACE('',(#23950),#9527,.T.); #311466=ADVANCED_FACE('',(#23951),#9528,.T.); #311467=ADVANCED_FACE('',(#23952),#9529,.T.); #311468=ADVANCED_FACE('',(#23953),#9530,.T.); #311469=ADVANCED_FACE('',(#23954),#9531,.T.); #311470=ADVANCED_FACE('',(#23955),#9532,.T.); #311471=ADVANCED_FACE('',(#23956),#9533,.T.); #311472=ADVANCED_FACE('',(#23957),#9534,.T.); #311473=ADVANCED_FACE('',(#23958),#9535,.T.); #311474=ADVANCED_FACE('',(#23959),#9536,.T.); #311475=ADVANCED_FACE('',(#23960),#9537,.T.); #311476=ADVANCED_FACE('',(#23961),#9538,.T.); #311477=ADVANCED_FACE('',(#23962),#9539,.T.); #311478=ADVANCED_FACE('',(#23963),#9540,.T.); #311479=ADVANCED_FACE('',(#23964),#9541,.T.); #311480=ADVANCED_FACE('',(#23965),#9542,.T.); #311481=ADVANCED_FACE('',(#23966),#9543,.T.); #311482=ADVANCED_FACE('',(#23967),#9544,.T.); #311483=ADVANCED_FACE('',(#23968),#9545,.T.); #311484=ADVANCED_FACE('',(#23969),#9546,.T.); #311485=ADVANCED_FACE('',(#23970),#9547,.T.); #311486=ADVANCED_FACE('',(#23971),#9548,.T.); #311487=ADVANCED_FACE('',(#23972),#9549,.T.); #311488=ADVANCED_FACE('',(#23973),#9550,.T.); #311489=ADVANCED_FACE('',(#23974),#9551,.T.); #311490=ADVANCED_FACE('',(#23975),#9552,.T.); #311491=ADVANCED_FACE('',(#23976),#9553,.T.); #311492=ADVANCED_FACE('',(#23977),#9554,.T.); #311493=ADVANCED_FACE('',(#23978),#9555,.T.); #311494=ADVANCED_FACE('',(#23979),#9556,.T.); #311495=ADVANCED_FACE('',(#23980),#9557,.T.); #311496=ADVANCED_FACE('',(#23981),#9558,.T.); #311497=ADVANCED_FACE('',(#23982),#9559,.T.); #311498=ADVANCED_FACE('',(#23983),#9560,.T.); #311499=ADVANCED_FACE('',(#23984),#9561,.T.); #311500=ADVANCED_FACE('',(#23985),#9562,.T.); #311501=ADVANCED_FACE('',(#23986),#9563,.T.); #311502=ADVANCED_FACE('',(#23987),#9564,.T.); #311503=ADVANCED_FACE('',(#23988),#9565,.T.); #311504=ADVANCED_FACE('',(#23989),#9566,.T.); #311505=ADVANCED_FACE('',(#23990),#9567,.T.); #311506=ADVANCED_FACE('',(#23991),#9568,.T.); #311507=ADVANCED_FACE('',(#23992),#9569,.T.); #311508=ADVANCED_FACE('',(#23993),#9570,.T.); #311509=ADVANCED_FACE('',(#23994),#9571,.T.); #311510=ADVANCED_FACE('',(#23995),#9572,.T.); #311511=ADVANCED_FACE('',(#23996),#9573,.T.); #311512=ADVANCED_FACE('',(#23997),#9574,.T.); #311513=ADVANCED_FACE('',(#23998),#9575,.T.); #311514=ADVANCED_FACE('',(#23999),#9576,.T.); #311515=ADVANCED_FACE('',(#24000),#9577,.T.); #311516=ADVANCED_FACE('',(#24001),#9578,.T.); #311517=ADVANCED_FACE('',(#24002),#9579,.T.); #311518=ADVANCED_FACE('',(#24003),#9580,.T.); #311519=ADVANCED_FACE('',(#24004),#9581,.T.); #311520=ADVANCED_FACE('',(#24005),#9582,.T.); #311521=ADVANCED_FACE('',(#24006),#9583,.T.); #311522=ADVANCED_FACE('',(#24007),#9584,.T.); #311523=ADVANCED_FACE('',(#24008),#9585,.T.); #311524=ADVANCED_FACE('',(#24009),#9586,.T.); #311525=ADVANCED_FACE('',(#24010),#9587,.T.); #311526=ADVANCED_FACE('',(#24011),#9588,.T.); #311527=ADVANCED_FACE('',(#24012),#9589,.T.); #311528=ADVANCED_FACE('',(#24013),#9590,.T.); #311529=ADVANCED_FACE('',(#24014),#9591,.T.); #311530=ADVANCED_FACE('',(#24015),#9592,.T.); #311531=ADVANCED_FACE('',(#24016),#9593,.T.); #311532=ADVANCED_FACE('',(#24017),#9594,.T.); #311533=ADVANCED_FACE('',(#24018),#9595,.T.); #311534=ADVANCED_FACE('',(#24019),#9596,.T.); #311535=ADVANCED_FACE('',(#24020),#9597,.T.); #311536=ADVANCED_FACE('',(#24021),#9598,.T.); #311537=ADVANCED_FACE('',(#24022),#9599,.T.); #311538=ADVANCED_FACE('',(#24023),#9600,.T.); #311539=ADVANCED_FACE('',(#24024),#9601,.T.); #311540=ADVANCED_FACE('',(#24025),#9602,.T.); #311541=ADVANCED_FACE('',(#24026),#9603,.T.); #311542=ADVANCED_FACE('',(#24027),#9604,.T.); #311543=ADVANCED_FACE('',(#24028),#9605,.T.); #311544=ADVANCED_FACE('',(#24029),#9606,.T.); #311545=ADVANCED_FACE('',(#24030),#9607,.T.); #311546=ADVANCED_FACE('',(#24031),#9608,.T.); #311547=ADVANCED_FACE('',(#24032),#9609,.T.); #311548=ADVANCED_FACE('',(#24033),#9610,.T.); #311549=ADVANCED_FACE('',(#24034),#9611,.T.); #311550=ADVANCED_FACE('',(#24035),#9612,.T.); #311551=ADVANCED_FACE('',(#24036),#9613,.T.); #311552=ADVANCED_FACE('',(#24037),#9614,.T.); #311553=ADVANCED_FACE('',(#24038),#9615,.T.); #311554=ADVANCED_FACE('',(#24039),#9616,.T.); #311555=ADVANCED_FACE('',(#24040),#9617,.T.); #311556=ADVANCED_FACE('',(#24041),#9618,.T.); #311557=ADVANCED_FACE('',(#24042),#9619,.T.); #311558=ADVANCED_FACE('',(#24043),#9620,.T.); #311559=ADVANCED_FACE('',(#24044),#9621,.T.); #311560=ADVANCED_FACE('',(#24045),#9622,.T.); #311561=ADVANCED_FACE('',(#24046),#9623,.T.); #311562=ADVANCED_FACE('',(#24047),#9624,.T.); #311563=ADVANCED_FACE('',(#24048),#9625,.T.); #311564=ADVANCED_FACE('',(#24049),#9626,.T.); #311565=ADVANCED_FACE('',(#24050),#9627,.T.); #311566=ADVANCED_FACE('',(#24051),#9628,.T.); #311567=ADVANCED_FACE('',(#24052),#9629,.T.); #311568=ADVANCED_FACE('',(#24053),#9630,.T.); #311569=ADVANCED_FACE('',(#24054),#9631,.T.); #311570=ADVANCED_FACE('',(#24055),#9632,.T.); #311571=ADVANCED_FACE('',(#24056),#9633,.T.); #311572=ADVANCED_FACE('',(#24057),#9634,.T.); #311573=ADVANCED_FACE('',(#24058),#9635,.T.); #311574=ADVANCED_FACE('',(#24059),#9636,.T.); #311575=ADVANCED_FACE('',(#24060),#9637,.T.); #311576=ADVANCED_FACE('',(#24061),#9638,.T.); #311577=ADVANCED_FACE('',(#24062),#9639,.T.); #311578=ADVANCED_FACE('',(#24063),#9640,.T.); #311579=ADVANCED_FACE('',(#24064),#9641,.T.); #311580=ADVANCED_FACE('',(#24065),#9642,.T.); #311581=ADVANCED_FACE('',(#24066),#9643,.T.); #311582=ADVANCED_FACE('',(#24067),#9644,.T.); #311583=ADVANCED_FACE('',(#24068),#9645,.T.); #311584=ADVANCED_FACE('',(#24069),#9646,.T.); #311585=ADVANCED_FACE('',(#24070),#9647,.T.); #311586=ADVANCED_FACE('',(#24071),#9648,.T.); #311587=ADVANCED_FACE('',(#24072),#9649,.T.); #311588=ADVANCED_FACE('',(#24073),#9650,.T.); #311589=ADVANCED_FACE('',(#24074),#9651,.T.); #311590=ADVANCED_FACE('',(#24075),#9652,.T.); #311591=ADVANCED_FACE('',(#24076),#9653,.T.); #311592=ADVANCED_FACE('',(#24077),#9654,.T.); #311593=ADVANCED_FACE('',(#24078),#9655,.T.); #311594=ADVANCED_FACE('',(#24079),#9656,.T.); #311595=ADVANCED_FACE('',(#24080),#9657,.T.); #311596=ADVANCED_FACE('',(#24081),#9658,.T.); #311597=ADVANCED_FACE('',(#24082),#9659,.T.); #311598=ADVANCED_FACE('',(#24083),#9660,.T.); #311599=ADVANCED_FACE('',(#24084),#9661,.T.); #311600=ADVANCED_FACE('',(#24085),#9662,.T.); #311601=ADVANCED_FACE('',(#24086),#9663,.T.); #311602=ADVANCED_FACE('',(#24087),#9664,.T.); #311603=ADVANCED_FACE('',(#24088),#9665,.T.); #311604=ADVANCED_FACE('',(#24089),#9666,.T.); #311605=ADVANCED_FACE('',(#24090),#9667,.T.); #311606=ADVANCED_FACE('',(#24091),#9668,.T.); #311607=ADVANCED_FACE('',(#24092),#9669,.T.); #311608=ADVANCED_FACE('',(#24093),#9670,.T.); #311609=ADVANCED_FACE('',(#24094),#9671,.T.); #311610=ADVANCED_FACE('',(#24095),#9672,.T.); #311611=ADVANCED_FACE('',(#24096),#9673,.T.); #311612=ADVANCED_FACE('',(#24097),#9674,.T.); #311613=ADVANCED_FACE('',(#24098),#9675,.T.); #311614=ADVANCED_FACE('',(#24099),#9676,.T.); #311615=ADVANCED_FACE('',(#24100),#9677,.T.); #311616=ADVANCED_FACE('',(#24101),#9678,.T.); #311617=ADVANCED_FACE('',(#24102),#9679,.T.); #311618=ADVANCED_FACE('',(#24103),#9680,.T.); #311619=ADVANCED_FACE('',(#24104),#9681,.T.); #311620=ADVANCED_FACE('',(#24105),#9682,.T.); #311621=ADVANCED_FACE('',(#24106),#9683,.T.); #311622=ADVANCED_FACE('',(#24107,#4563,#4564,#4565,#4566,#4567,#4568,#4569, #4570,#4571,#4572,#4573,#4574),#9684,.T.); #311623=ADVANCED_FACE('',(#24108,#4575,#4576,#4577,#4578,#4579,#4580,#4581, #4582,#4583,#4584,#4585,#4586),#9685,.F.); #311624=ADVANCED_FACE('',(#24109),#9686,.T.); #311625=ADVANCED_FACE('',(#24110),#9687,.T.); #311626=ADVANCED_FACE('',(#24111),#9688,.T.); #311627=ADVANCED_FACE('',(#24112),#9689,.T.); #311628=ADVANCED_FACE('',(#24113),#9690,.T.); #311629=ADVANCED_FACE('',(#24114),#9691,.T.); #311630=ADVANCED_FACE('',(#24115),#9692,.T.); #311631=ADVANCED_FACE('',(#24116),#9693,.T.); #311632=ADVANCED_FACE('',(#24117),#9694,.T.); #311633=ADVANCED_FACE('',(#24118),#9695,.T.); #311634=ADVANCED_FACE('',(#24119),#9696,.T.); #311635=ADVANCED_FACE('',(#24120),#9697,.T.); #311636=ADVANCED_FACE('',(#24121),#9698,.T.); #311637=ADVANCED_FACE('',(#24122),#9699,.T.); #311638=ADVANCED_FACE('',(#24123),#9700,.T.); #311639=ADVANCED_FACE('',(#24124),#9701,.T.); #311640=ADVANCED_FACE('',(#24125),#9702,.T.); #311641=ADVANCED_FACE('',(#24126),#9703,.T.); #311642=ADVANCED_FACE('',(#24127),#9704,.T.); #311643=ADVANCED_FACE('',(#24128),#9705,.T.); #311644=ADVANCED_FACE('',(#24129),#9706,.T.); #311645=ADVANCED_FACE('',(#24130),#9707,.T.); #311646=ADVANCED_FACE('',(#24131),#9708,.F.); #311647=ADVANCED_FACE('',(#24132),#9709,.T.); #311648=ADVANCED_FACE('',(#24133),#9710,.T.); #311649=ADVANCED_FACE('',(#24134),#9711,.T.); #311650=ADVANCED_FACE('',(#24135),#9712,.T.); #311651=ADVANCED_FACE('',(#24136),#9713,.T.); #311652=ADVANCED_FACE('',(#24137),#9714,.T.); #311653=ADVANCED_FACE('',(#24138),#9715,.T.); #311654=ADVANCED_FACE('',(#24139),#9716,.T.); #311655=ADVANCED_FACE('',(#24140),#9717,.T.); #311656=ADVANCED_FACE('',(#24141),#9718,.T.); #311657=ADVANCED_FACE('',(#24142),#9719,.T.); #311658=ADVANCED_FACE('',(#24143),#9720,.T.); #311659=ADVANCED_FACE('',(#24144),#9721,.T.); #311660=ADVANCED_FACE('',(#24145),#9722,.T.); #311661=ADVANCED_FACE('',(#24146),#9723,.T.); #311662=ADVANCED_FACE('',(#24147),#9724,.T.); #311663=ADVANCED_FACE('',(#24148),#9725,.T.); #311664=ADVANCED_FACE('',(#24149),#9726,.T.); #311665=ADVANCED_FACE('',(#24150),#9727,.T.); #311666=ADVANCED_FACE('',(#24151),#9728,.T.); #311667=ADVANCED_FACE('',(#24152),#9729,.T.); #311668=ADVANCED_FACE('',(#24153),#9730,.F.); #311669=ADVANCED_FACE('',(#24154),#305664,.F.); #311670=ADVANCED_FACE('',(#24155),#305665,.F.); #311671=ADVANCED_FACE('',(#24156),#305666,.F.); #311672=ADVANCED_FACE('',(#24157),#305667,.F.); #311673=ADVANCED_FACE('',(#24158),#305668,.F.); #311674=ADVANCED_FACE('',(#24159),#305669,.F.); #311675=ADVANCED_FACE('',(#24160),#305670,.F.); #311676=ADVANCED_FACE('',(#24161),#305671,.F.); #311677=ADVANCED_FACE('',(#24162),#305672,.F.); #311678=ADVANCED_FACE('',(#24163),#305673,.F.); #311679=ADVANCED_FACE('',(#24164),#305674,.F.); #311680=ADVANCED_FACE('',(#24165),#305675,.F.); #311681=ADVANCED_FACE('',(#24166),#305676,.F.); #311682=ADVANCED_FACE('',(#24167),#305677,.F.); #311683=ADVANCED_FACE('',(#24168),#305678,.F.); #311684=ADVANCED_FACE('',(#24169),#305679,.F.); #311685=ADVANCED_FACE('',(#24170),#305680,.F.); #311686=ADVANCED_FACE('',(#24171),#305681,.F.); #311687=ADVANCED_FACE('',(#24172),#305682,.F.); #311688=ADVANCED_FACE('',(#24173),#305683,.F.); #311689=ADVANCED_FACE('',(#24174),#305684,.F.); #311690=ADVANCED_FACE('',(#24175),#305685,.F.); #311691=ADVANCED_FACE('',(#24176),#305686,.F.); #311692=ADVANCED_FACE('',(#24177),#305687,.F.); #311693=ADVANCED_FACE('',(#24178),#305688,.F.); #311694=ADVANCED_FACE('',(#24179),#305689,.F.); #311695=ADVANCED_FACE('',(#24180),#305690,.F.); #311696=ADVANCED_FACE('',(#24181),#305691,.F.); #311697=ADVANCED_FACE('',(#24182),#305692,.F.); #311698=ADVANCED_FACE('',(#24183),#305693,.F.); #311699=ADVANCED_FACE('',(#24184),#305694,.F.); #311700=ADVANCED_FACE('',(#24185),#305695,.F.); #311701=ADVANCED_FACE('',(#24186),#305696,.F.); #311702=ADVANCED_FACE('',(#24187),#305697,.F.); #311703=ADVANCED_FACE('',(#24188),#305698,.F.); #311704=ADVANCED_FACE('',(#24189),#9731,.F.); #311705=ADVANCED_FACE('',(#24190),#305699,.F.); #311706=ADVANCED_FACE('',(#24191),#9732,.F.); #311707=ADVANCED_FACE('',(#24192),#305700,.F.); #311708=ADVANCED_FACE('',(#24193),#305701,.F.); #311709=ADVANCED_FACE('',(#24194),#305702,.F.); #311710=ADVANCED_FACE('',(#24195),#305703,.F.); #311711=ADVANCED_FACE('',(#24196),#305704,.F.); #311712=ADVANCED_FACE('',(#24197),#9733,.F.); #311713=ADVANCED_FACE('',(#24198),#305705,.F.); #311714=ADVANCED_FACE('',(#24199),#9734,.F.); #311715=ADVANCED_FACE('',(#24200),#305706,.F.); #311716=ADVANCED_FACE('',(#24201),#9735,.F.); #311717=ADVANCED_FACE('',(#24202),#305707,.F.); #311718=ADVANCED_FACE('',(#24203),#9736,.F.); #311719=ADVANCED_FACE('',(#24204),#305708,.F.); #311720=ADVANCED_FACE('',(#24205),#9737,.F.); #311721=ADVANCED_FACE('',(#24206),#305709,.F.); #311722=ADVANCED_FACE('',(#24207),#9738,.F.); #311723=ADVANCED_FACE('',(#24208),#305710,.F.); #311724=ADVANCED_FACE('',(#24209),#305711,.F.); #311725=ADVANCED_FACE('',(#24210),#305712,.F.); #311726=ADVANCED_FACE('',(#24211),#305713,.F.); #311727=ADVANCED_FACE('',(#24212),#305714,.F.); #311728=ADVANCED_FACE('',(#24213),#305715,.F.); #311729=ADVANCED_FACE('',(#24214),#305716,.F.); #311730=ADVANCED_FACE('',(#24215),#305717,.F.); #311731=ADVANCED_FACE('',(#24216),#305718,.F.); #311732=ADVANCED_FACE('',(#24217),#305719,.F.); #311733=ADVANCED_FACE('',(#24218),#305720,.F.); #311734=ADVANCED_FACE('',(#24219),#305721,.F.); #311735=ADVANCED_FACE('',(#24220),#305722,.F.); #311736=ADVANCED_FACE('',(#24221),#305723,.F.); #311737=ADVANCED_FACE('',(#24222),#305724,.F.); #311738=ADVANCED_FACE('',(#24223),#305725,.F.); #311739=ADVANCED_FACE('',(#24224),#305726,.F.); #311740=ADVANCED_FACE('',(#24225),#305727,.F.); #311741=ADVANCED_FACE('',(#24226),#305728,.F.); #311742=ADVANCED_FACE('',(#24227),#305729,.F.); #311743=ADVANCED_FACE('',(#24228),#9739,.T.); #311744=ADVANCED_FACE('',(#24229),#9740,.T.); #311745=ADVANCED_FACE('',(#24230),#9741,.T.); #311746=ADVANCED_FACE('',(#24231),#9742,.T.); #311747=ADVANCED_FACE('',(#24232),#9743,.T.); #311748=ADVANCED_FACE('',(#24233),#9744,.T.); #311749=ADVANCED_FACE('',(#24234),#9745,.T.); #311750=ADVANCED_FACE('',(#24235),#9746,.T.); #311751=ADVANCED_FACE('',(#24236),#9747,.T.); #311752=ADVANCED_FACE('',(#24237),#9748,.T.); #311753=ADVANCED_FACE('',(#24238),#9749,.T.); #311754=ADVANCED_FACE('',(#24239),#9750,.T.); #311755=ADVANCED_FACE('',(#24240),#9751,.T.); #311756=ADVANCED_FACE('',(#24241),#9752,.T.); #311757=ADVANCED_FACE('',(#24242),#9753,.T.); #311758=ADVANCED_FACE('',(#24243),#9754,.T.); #311759=ADVANCED_FACE('',(#24244),#9755,.T.); #311760=ADVANCED_FACE('',(#24245),#9756,.T.); #311761=ADVANCED_FACE('',(#24246),#9757,.T.); #311762=ADVANCED_FACE('',(#24247),#9758,.T.); #311763=ADVANCED_FACE('',(#24248),#9759,.T.); #311764=ADVANCED_FACE('',(#24249),#9760,.T.); #311765=ADVANCED_FACE('',(#24250),#9761,.T.); #311766=ADVANCED_FACE('',(#24251),#9762,.T.); #311767=ADVANCED_FACE('',(#24252),#9763,.T.); #311768=ADVANCED_FACE('',(#24253),#9764,.T.); #311769=ADVANCED_FACE('',(#24254),#9765,.T.); #311770=ADVANCED_FACE('',(#24255),#9766,.T.); #311771=ADVANCED_FACE('',(#24256),#9767,.T.); #311772=ADVANCED_FACE('',(#24257),#9768,.T.); #311773=ADVANCED_FACE('',(#24258),#9769,.T.); #311774=ADVANCED_FACE('',(#24259),#9770,.T.); #311775=ADVANCED_FACE('',(#24260),#9771,.T.); #311776=ADVANCED_FACE('',(#24261),#9772,.T.); #311777=ADVANCED_FACE('',(#24262),#9773,.T.); #311778=ADVANCED_FACE('',(#24263),#9774,.T.); #311779=ADVANCED_FACE('',(#24264),#9775,.T.); #311780=ADVANCED_FACE('',(#24265),#9776,.T.); #311781=ADVANCED_FACE('',(#24266),#9777,.T.); #311782=ADVANCED_FACE('',(#24267),#9778,.T.); #311783=ADVANCED_FACE('',(#24268),#9779,.T.); #311784=ADVANCED_FACE('',(#24269),#9780,.T.); #311785=ADVANCED_FACE('',(#24270),#9781,.T.); #311786=ADVANCED_FACE('',(#24271),#9782,.T.); #311787=ADVANCED_FACE('',(#24272),#9783,.T.); #311788=ADVANCED_FACE('',(#24273),#9784,.T.); #311789=ADVANCED_FACE('',(#24274),#9785,.T.); #311790=ADVANCED_FACE('',(#24275),#9786,.T.); #311791=ADVANCED_FACE('',(#24276),#9787,.T.); #311792=ADVANCED_FACE('',(#24277),#9788,.T.); #311793=ADVANCED_FACE('',(#24278),#9789,.T.); #311794=ADVANCED_FACE('',(#24279),#9790,.T.); #311795=ADVANCED_FACE('',(#24280),#9791,.T.); #311796=ADVANCED_FACE('',(#24281),#9792,.T.); #311797=ADVANCED_FACE('',(#24282),#9793,.T.); #311798=ADVANCED_FACE('',(#24283),#9794,.T.); #311799=ADVANCED_FACE('',(#24284),#9795,.T.); #311800=ADVANCED_FACE('',(#24285),#9796,.T.); #311801=ADVANCED_FACE('',(#24286),#9797,.T.); #311802=ADVANCED_FACE('',(#24287),#9798,.T.); #311803=ADVANCED_FACE('',(#24288),#9799,.T.); #311804=ADVANCED_FACE('',(#24289),#9800,.T.); #311805=ADVANCED_FACE('',(#24290),#9801,.T.); #311806=ADVANCED_FACE('',(#24291),#9802,.T.); #311807=ADVANCED_FACE('',(#24292),#9803,.T.); #311808=ADVANCED_FACE('',(#24293),#9804,.T.); #311809=ADVANCED_FACE('',(#24294),#9805,.T.); #311810=ADVANCED_FACE('',(#24295),#9806,.T.); #311811=ADVANCED_FACE('',(#24296),#9807,.T.); #311812=ADVANCED_FACE('',(#24297),#9808,.T.); #311813=ADVANCED_FACE('',(#24298),#9809,.T.); #311814=ADVANCED_FACE('',(#24299),#9810,.T.); #311815=ADVANCED_FACE('',(#24300),#9811,.T.); #311816=ADVANCED_FACE('',(#24301),#9812,.T.); #311817=ADVANCED_FACE('',(#24302),#9813,.T.); #311818=ADVANCED_FACE('',(#24303),#9814,.T.); #311819=ADVANCED_FACE('',(#24304),#9815,.T.); #311820=ADVANCED_FACE('',(#24305),#9816,.T.); #311821=ADVANCED_FACE('',(#24306),#9817,.T.); #311822=ADVANCED_FACE('',(#24307),#9818,.T.); #311823=ADVANCED_FACE('',(#24308),#9819,.T.); #311824=ADVANCED_FACE('',(#24309),#9820,.T.); #311825=ADVANCED_FACE('',(#24310),#9821,.T.); #311826=ADVANCED_FACE('',(#24311),#9822,.T.); #311827=ADVANCED_FACE('',(#24312),#9823,.T.); #311828=ADVANCED_FACE('',(#24313),#9824,.T.); #311829=ADVANCED_FACE('',(#24314),#9825,.T.); #311830=ADVANCED_FACE('',(#24315),#9826,.T.); #311831=ADVANCED_FACE('',(#24316),#9827,.T.); #311832=ADVANCED_FACE('',(#24317),#9828,.T.); #311833=ADVANCED_FACE('',(#24318),#9829,.T.); #311834=ADVANCED_FACE('',(#24319),#9830,.T.); #311835=ADVANCED_FACE('',(#24320),#9831,.T.); #311836=ADVANCED_FACE('',(#24321),#9832,.T.); #311837=ADVANCED_FACE('',(#24322),#9833,.T.); #311838=ADVANCED_FACE('',(#24323),#9834,.T.); #311839=ADVANCED_FACE('',(#24324),#9835,.T.); #311840=ADVANCED_FACE('',(#24325),#9836,.T.); #311841=ADVANCED_FACE('',(#24326),#9837,.T.); #311842=ADVANCED_FACE('',(#24327),#9838,.T.); #311843=ADVANCED_FACE('',(#24328),#9839,.T.); #311844=ADVANCED_FACE('',(#24329),#9840,.T.); #311845=ADVANCED_FACE('',(#24330),#9841,.T.); #311846=ADVANCED_FACE('',(#24331),#9842,.T.); #311847=ADVANCED_FACE('',(#24332),#9843,.T.); #311848=ADVANCED_FACE('',(#24333),#9844,.T.); #311849=ADVANCED_FACE('',(#24334),#9845,.T.); #311850=ADVANCED_FACE('',(#24335),#9846,.T.); #311851=ADVANCED_FACE('',(#24336),#9847,.T.); #311852=ADVANCED_FACE('',(#24337),#9848,.T.); #311853=ADVANCED_FACE('',(#24338),#9849,.T.); #311854=ADVANCED_FACE('',(#24339),#9850,.T.); #311855=ADVANCED_FACE('',(#24340),#9851,.T.); #311856=ADVANCED_FACE('',(#24341),#9852,.T.); #311857=ADVANCED_FACE('',(#24342),#9853,.T.); #311858=ADVANCED_FACE('',(#24343),#9854,.T.); #311859=ADVANCED_FACE('',(#24344),#9855,.T.); #311860=ADVANCED_FACE('',(#24345),#9856,.T.); #311861=ADVANCED_FACE('',(#24346),#9857,.T.); #311862=ADVANCED_FACE('',(#24347),#9858,.T.); #311863=ADVANCED_FACE('',(#24348),#9859,.T.); #311864=ADVANCED_FACE('',(#24349),#9860,.T.); #311865=ADVANCED_FACE('',(#24350),#9861,.T.); #311866=ADVANCED_FACE('',(#24351),#9862,.T.); #311867=ADVANCED_FACE('',(#24352),#9863,.T.); #311868=ADVANCED_FACE('',(#24353),#9864,.T.); #311869=ADVANCED_FACE('',(#24354),#9865,.T.); #311870=ADVANCED_FACE('',(#24355),#9866,.T.); #311871=ADVANCED_FACE('',(#24356),#9867,.T.); #311872=ADVANCED_FACE('',(#24357),#9868,.T.); #311873=ADVANCED_FACE('',(#24358),#9869,.T.); #311874=ADVANCED_FACE('',(#24359),#9870,.T.); #311875=ADVANCED_FACE('',(#24360),#9871,.T.); #311876=ADVANCED_FACE('',(#24361),#9872,.T.); #311877=ADVANCED_FACE('',(#24362),#9873,.T.); #311878=ADVANCED_FACE('',(#24363),#9874,.T.); #311879=ADVANCED_FACE('',(#24364),#9875,.T.); #311880=ADVANCED_FACE('',(#24365),#9876,.T.); #311881=ADVANCED_FACE('',(#24366),#9877,.T.); #311882=ADVANCED_FACE('',(#24367),#9878,.T.); #311883=ADVANCED_FACE('',(#24368),#9879,.T.); #311884=ADVANCED_FACE('',(#24369),#9880,.T.); #311885=ADVANCED_FACE('',(#24370),#9881,.T.); #311886=ADVANCED_FACE('',(#24371),#9882,.T.); #311887=ADVANCED_FACE('',(#24372),#9883,.T.); #311888=ADVANCED_FACE('',(#24373),#9884,.T.); #311889=ADVANCED_FACE('',(#24374),#9885,.T.); #311890=ADVANCED_FACE('',(#24375),#9886,.T.); #311891=ADVANCED_FACE('',(#24376),#9887,.T.); #311892=ADVANCED_FACE('',(#24377),#9888,.T.); #311893=ADVANCED_FACE('',(#24378),#9889,.T.); #311894=ADVANCED_FACE('',(#24379),#9890,.T.); #311895=ADVANCED_FACE('',(#24380),#9891,.T.); #311896=ADVANCED_FACE('',(#24381),#9892,.T.); #311897=ADVANCED_FACE('',(#24382),#9893,.T.); #311898=ADVANCED_FACE('',(#24383),#9894,.T.); #311899=ADVANCED_FACE('',(#24384),#9895,.T.); #311900=ADVANCED_FACE('',(#24385),#9896,.T.); #311901=ADVANCED_FACE('',(#24386),#9897,.T.); #311902=ADVANCED_FACE('',(#24387),#9898,.T.); #311903=ADVANCED_FACE('',(#24388),#9899,.T.); #311904=ADVANCED_FACE('',(#24389),#9900,.T.); #311905=ADVANCED_FACE('',(#24390),#9901,.T.); #311906=ADVANCED_FACE('',(#24391),#9902,.T.); #311907=ADVANCED_FACE('',(#24392),#9903,.T.); #311908=ADVANCED_FACE('',(#24393),#9904,.T.); #311909=ADVANCED_FACE('',(#24394),#9905,.T.); #311910=ADVANCED_FACE('',(#24395),#9906,.T.); #311911=ADVANCED_FACE('',(#24396),#9907,.T.); #311912=ADVANCED_FACE('',(#24397),#9908,.T.); #311913=ADVANCED_FACE('',(#24398),#9909,.T.); #311914=ADVANCED_FACE('',(#24399),#9910,.T.); #311915=ADVANCED_FACE('',(#24400),#9911,.T.); #311916=ADVANCED_FACE('',(#24401),#9912,.T.); #311917=ADVANCED_FACE('',(#24402),#9913,.T.); #311918=ADVANCED_FACE('',(#24403),#9914,.T.); #311919=ADVANCED_FACE('',(#24404),#9915,.T.); #311920=ADVANCED_FACE('',(#24405),#9916,.T.); #311921=ADVANCED_FACE('',(#24406),#9917,.T.); #311922=ADVANCED_FACE('',(#24407),#9918,.T.); #311923=ADVANCED_FACE('',(#24408),#9919,.T.); #311924=ADVANCED_FACE('',(#24409),#9920,.T.); #311925=ADVANCED_FACE('',(#24410),#9921,.T.); #311926=ADVANCED_FACE('',(#24411),#9922,.T.); #311927=ADVANCED_FACE('',(#24412),#9923,.T.); #311928=ADVANCED_FACE('',(#24413),#9924,.T.); #311929=ADVANCED_FACE('',(#24414),#9925,.T.); #311930=ADVANCED_FACE('',(#24415),#9926,.T.); #311931=ADVANCED_FACE('',(#24416),#9927,.T.); #311932=ADVANCED_FACE('',(#24417),#9928,.T.); #311933=ADVANCED_FACE('',(#24418),#9929,.T.); #311934=ADVANCED_FACE('',(#24419),#9930,.T.); #311935=ADVANCED_FACE('',(#24420),#9931,.T.); #311936=ADVANCED_FACE('',(#24421),#9932,.T.); #311937=ADVANCED_FACE('',(#24422),#9933,.T.); #311938=ADVANCED_FACE('',(#24423),#9934,.T.); #311939=ADVANCED_FACE('',(#24424),#9935,.T.); #311940=ADVANCED_FACE('',(#24425),#9936,.T.); #311941=ADVANCED_FACE('',(#24426),#9937,.T.); #311942=ADVANCED_FACE('',(#24427),#9938,.T.); #311943=ADVANCED_FACE('',(#24428),#9939,.T.); #311944=ADVANCED_FACE('',(#24429),#9940,.T.); #311945=ADVANCED_FACE('',(#24430),#9941,.T.); #311946=ADVANCED_FACE('',(#24431),#9942,.T.); #311947=ADVANCED_FACE('',(#24432),#9943,.T.); #311948=ADVANCED_FACE('',(#24433),#9944,.T.); #311949=ADVANCED_FACE('',(#24434),#9945,.T.); #311950=ADVANCED_FACE('',(#24435),#9946,.T.); #311951=ADVANCED_FACE('',(#24436),#9947,.T.); #311952=ADVANCED_FACE('',(#24437),#9948,.T.); #311953=ADVANCED_FACE('',(#24438),#9949,.T.); #311954=ADVANCED_FACE('',(#24439),#9950,.T.); #311955=ADVANCED_FACE('',(#24440),#9951,.T.); #311956=ADVANCED_FACE('',(#24441),#9952,.T.); #311957=ADVANCED_FACE('',(#24442),#9953,.T.); #311958=ADVANCED_FACE('',(#24443),#9954,.T.); #311959=ADVANCED_FACE('',(#24444),#9955,.T.); #311960=ADVANCED_FACE('',(#24445),#9956,.T.); #311961=ADVANCED_FACE('',(#24446),#9957,.T.); #311962=ADVANCED_FACE('',(#24447),#9958,.T.); #311963=ADVANCED_FACE('',(#24448),#9959,.T.); #311964=ADVANCED_FACE('',(#24449),#9960,.T.); #311965=ADVANCED_FACE('',(#24450),#9961,.T.); #311966=ADVANCED_FACE('',(#24451),#9962,.T.); #311967=ADVANCED_FACE('',(#24452),#9963,.T.); #311968=ADVANCED_FACE('',(#24453),#9964,.T.); #311969=ADVANCED_FACE('',(#24454),#9965,.T.); #311970=ADVANCED_FACE('',(#24455),#9966,.T.); #311971=ADVANCED_FACE('',(#24456),#9967,.T.); #311972=ADVANCED_FACE('',(#24457),#9968,.T.); #311973=ADVANCED_FACE('',(#24458),#9969,.T.); #311974=ADVANCED_FACE('',(#24459),#9970,.T.); #311975=ADVANCED_FACE('',(#24460),#9971,.T.); #311976=ADVANCED_FACE('',(#24461),#9972,.T.); #311977=ADVANCED_FACE('',(#24462),#9973,.T.); #311978=ADVANCED_FACE('',(#24463),#9974,.T.); #311979=ADVANCED_FACE('',(#24464),#9975,.T.); #311980=ADVANCED_FACE('',(#24465),#9976,.T.); #311981=ADVANCED_FACE('',(#24466),#9977,.T.); #311982=ADVANCED_FACE('',(#24467),#9978,.T.); #311983=ADVANCED_FACE('',(#24468),#9979,.T.); #311984=ADVANCED_FACE('',(#24469),#9980,.T.); #311985=ADVANCED_FACE('',(#24470),#9981,.T.); #311986=ADVANCED_FACE('',(#24471),#9982,.T.); #311987=ADVANCED_FACE('',(#24472),#9983,.T.); #311988=ADVANCED_FACE('',(#24473),#9984,.T.); #311989=ADVANCED_FACE('',(#24474),#9985,.T.); #311990=ADVANCED_FACE('',(#24475),#9986,.T.); #311991=ADVANCED_FACE('',(#24476),#9987,.T.); #311992=ADVANCED_FACE('',(#24477),#9988,.T.); #311993=ADVANCED_FACE('',(#24478),#9989,.T.); #311994=ADVANCED_FACE('',(#24479),#9990,.T.); #311995=ADVANCED_FACE('',(#24480),#9991,.T.); #311996=ADVANCED_FACE('',(#24481),#9992,.T.); #311997=ADVANCED_FACE('',(#24482),#9993,.T.); #311998=ADVANCED_FACE('',(#24483),#9994,.T.); #311999=ADVANCED_FACE('',(#24484),#9995,.T.); #312000=ADVANCED_FACE('',(#24485),#9996,.T.); #312001=ADVANCED_FACE('',(#24486),#9997,.T.); #312002=ADVANCED_FACE('',(#24487),#9998,.T.); #312003=ADVANCED_FACE('',(#24488),#9999,.T.); #312004=ADVANCED_FACE('',(#24489),#10000,.T.); #312005=ADVANCED_FACE('',(#24490),#10001,.T.); #312006=ADVANCED_FACE('',(#24491),#10002,.T.); #312007=ADVANCED_FACE('',(#24492),#10003,.T.); #312008=ADVANCED_FACE('',(#24493),#10004,.T.); #312009=ADVANCED_FACE('',(#24494),#10005,.T.); #312010=ADVANCED_FACE('',(#24495),#10006,.T.); #312011=ADVANCED_FACE('',(#24496),#10007,.T.); #312012=ADVANCED_FACE('',(#24497),#10008,.T.); #312013=ADVANCED_FACE('',(#24498),#10009,.T.); #312014=ADVANCED_FACE('',(#24499),#10010,.T.); #312015=ADVANCED_FACE('',(#24500),#10011,.T.); #312016=ADVANCED_FACE('',(#24501),#10012,.T.); #312017=ADVANCED_FACE('',(#24502),#10013,.T.); #312018=ADVANCED_FACE('',(#24503),#10014,.T.); #312019=ADVANCED_FACE('',(#24504),#10015,.T.); #312020=ADVANCED_FACE('',(#24505),#10016,.T.); #312021=ADVANCED_FACE('',(#24506),#10017,.T.); #312022=ADVANCED_FACE('',(#24507),#10018,.T.); #312023=ADVANCED_FACE('',(#24508),#10019,.T.); #312024=ADVANCED_FACE('',(#24509),#10020,.T.); #312025=ADVANCED_FACE('',(#24510),#10021,.T.); #312026=ADVANCED_FACE('',(#24511),#10022,.T.); #312027=ADVANCED_FACE('',(#24512),#10023,.T.); #312028=ADVANCED_FACE('',(#24513),#10024,.T.); #312029=ADVANCED_FACE('',(#24514),#10025,.T.); #312030=ADVANCED_FACE('',(#24515),#10026,.T.); #312031=ADVANCED_FACE('',(#24516),#10027,.T.); #312032=ADVANCED_FACE('',(#24517),#10028,.T.); #312033=ADVANCED_FACE('',(#24518),#10029,.T.); #312034=ADVANCED_FACE('',(#24519),#10030,.T.); #312035=ADVANCED_FACE('',(#24520),#10031,.T.); #312036=ADVANCED_FACE('',(#24521),#10032,.T.); #312037=ADVANCED_FACE('',(#24522),#10033,.T.); #312038=ADVANCED_FACE('',(#24523),#10034,.T.); #312039=ADVANCED_FACE('',(#24524),#10035,.T.); #312040=ADVANCED_FACE('',(#24525),#10036,.T.); #312041=ADVANCED_FACE('',(#24526),#10037,.T.); #312042=ADVANCED_FACE('',(#24527),#10038,.T.); #312043=ADVANCED_FACE('',(#24528),#10039,.T.); #312044=ADVANCED_FACE('',(#24529),#10040,.T.); #312045=ADVANCED_FACE('',(#24530),#10041,.T.); #312046=ADVANCED_FACE('',(#24531),#10042,.T.); #312047=ADVANCED_FACE('',(#24532),#10043,.T.); #312048=ADVANCED_FACE('',(#24533),#10044,.T.); #312049=ADVANCED_FACE('',(#24534),#10045,.T.); #312050=ADVANCED_FACE('',(#24535),#10046,.T.); #312051=ADVANCED_FACE('',(#24536),#10047,.T.); #312052=ADVANCED_FACE('',(#24537),#10048,.T.); #312053=ADVANCED_FACE('',(#24538),#10049,.T.); #312054=ADVANCED_FACE('',(#24539),#10050,.T.); #312055=ADVANCED_FACE('',(#24540),#10051,.T.); #312056=ADVANCED_FACE('',(#24541),#10052,.T.); #312057=ADVANCED_FACE('',(#24542),#10053,.T.); #312058=ADVANCED_FACE('',(#24543),#10054,.T.); #312059=ADVANCED_FACE('',(#24544),#10055,.T.); #312060=ADVANCED_FACE('',(#24545),#10056,.T.); #312061=ADVANCED_FACE('',(#24546),#10057,.T.); #312062=ADVANCED_FACE('',(#24547),#10058,.T.); #312063=ADVANCED_FACE('',(#24548),#10059,.T.); #312064=ADVANCED_FACE('',(#24549),#10060,.T.); #312065=ADVANCED_FACE('',(#24550),#10061,.T.); #312066=ADVANCED_FACE('',(#24551),#10062,.T.); #312067=ADVANCED_FACE('',(#24552),#10063,.T.); #312068=ADVANCED_FACE('',(#24553),#10064,.T.); #312069=ADVANCED_FACE('',(#24554),#10065,.T.); #312070=ADVANCED_FACE('',(#24555),#10066,.T.); #312071=ADVANCED_FACE('',(#24556),#10067,.T.); #312072=ADVANCED_FACE('',(#24557),#10068,.T.); #312073=ADVANCED_FACE('',(#24558),#10069,.T.); #312074=ADVANCED_FACE('',(#24559),#10070,.T.); #312075=ADVANCED_FACE('',(#24560),#10071,.T.); #312076=ADVANCED_FACE('',(#24561),#10072,.T.); #312077=ADVANCED_FACE('',(#24562),#10073,.T.); #312078=ADVANCED_FACE('',(#24563),#10074,.T.); #312079=ADVANCED_FACE('',(#24564),#10075,.T.); #312080=ADVANCED_FACE('',(#24565),#10076,.T.); #312081=ADVANCED_FACE('',(#24566),#10077,.T.); #312082=ADVANCED_FACE('',(#24567),#10078,.T.); #312083=ADVANCED_FACE('',(#24568),#10079,.T.); #312084=ADVANCED_FACE('',(#24569),#10080,.T.); #312085=ADVANCED_FACE('',(#24570),#10081,.T.); #312086=ADVANCED_FACE('',(#24571),#10082,.T.); #312087=ADVANCED_FACE('',(#24572),#10083,.T.); #312088=ADVANCED_FACE('',(#24573),#10084,.T.); #312089=ADVANCED_FACE('',(#24574),#10085,.T.); #312090=ADVANCED_FACE('',(#24575),#10086,.T.); #312091=ADVANCED_FACE('',(#24576),#10087,.T.); #312092=ADVANCED_FACE('',(#24577),#10088,.T.); #312093=ADVANCED_FACE('',(#24578),#10089,.T.); #312094=ADVANCED_FACE('',(#24579),#10090,.T.); #312095=ADVANCED_FACE('',(#24580),#10091,.T.); #312096=ADVANCED_FACE('',(#24581),#10092,.T.); #312097=ADVANCED_FACE('',(#24582),#10093,.T.); #312098=ADVANCED_FACE('',(#24583),#10094,.T.); #312099=ADVANCED_FACE('',(#24584),#10095,.T.); #312100=ADVANCED_FACE('',(#24585),#10096,.T.); #312101=ADVANCED_FACE('',(#24586),#10097,.T.); #312102=ADVANCED_FACE('',(#24587),#10098,.T.); #312103=ADVANCED_FACE('',(#24588),#10099,.T.); #312104=ADVANCED_FACE('',(#24589),#10100,.T.); #312105=ADVANCED_FACE('',(#24590),#10101,.T.); #312106=ADVANCED_FACE('',(#24591),#10102,.T.); #312107=ADVANCED_FACE('',(#24592),#10103,.T.); #312108=ADVANCED_FACE('',(#24593),#10104,.T.); #312109=ADVANCED_FACE('',(#24594),#10105,.T.); #312110=ADVANCED_FACE('',(#24595),#10106,.T.); #312111=ADVANCED_FACE('',(#24596),#10107,.T.); #312112=ADVANCED_FACE('',(#24597),#10108,.T.); #312113=ADVANCED_FACE('',(#24598),#10109,.T.); #312114=ADVANCED_FACE('',(#24599),#10110,.T.); #312115=ADVANCED_FACE('',(#24600),#10111,.T.); #312116=ADVANCED_FACE('',(#24601),#10112,.T.); #312117=ADVANCED_FACE('',(#24602),#10113,.T.); #312118=ADVANCED_FACE('',(#24603),#10114,.T.); #312119=ADVANCED_FACE('',(#24604),#10115,.T.); #312120=ADVANCED_FACE('',(#24605),#10116,.T.); #312121=ADVANCED_FACE('',(#24606),#10117,.T.); #312122=ADVANCED_FACE('',(#24607),#10118,.T.); #312123=ADVANCED_FACE('',(#24608),#10119,.T.); #312124=ADVANCED_FACE('',(#24609),#10120,.T.); #312125=ADVANCED_FACE('',(#24610),#10121,.T.); #312126=ADVANCED_FACE('',(#24611),#10122,.T.); #312127=ADVANCED_FACE('',(#24612),#10123,.T.); #312128=ADVANCED_FACE('',(#24613),#10124,.T.); #312129=ADVANCED_FACE('',(#24614),#10125,.T.); #312130=ADVANCED_FACE('',(#24615),#10126,.T.); #312131=ADVANCED_FACE('',(#24616),#10127,.T.); #312132=ADVANCED_FACE('',(#24617),#10128,.T.); #312133=ADVANCED_FACE('',(#24618),#10129,.T.); #312134=ADVANCED_FACE('',(#24619),#10130,.T.); #312135=ADVANCED_FACE('',(#24620),#10131,.T.); #312136=ADVANCED_FACE('',(#24621),#10132,.T.); #312137=ADVANCED_FACE('',(#24622),#10133,.T.); #312138=ADVANCED_FACE('',(#24623),#10134,.T.); #312139=ADVANCED_FACE('',(#24624),#10135,.T.); #312140=ADVANCED_FACE('',(#24625),#10136,.T.); #312141=ADVANCED_FACE('',(#24626),#10137,.T.); #312142=ADVANCED_FACE('',(#24627),#10138,.T.); #312143=ADVANCED_FACE('',(#24628),#10139,.T.); #312144=ADVANCED_FACE('',(#24629),#10140,.T.); #312145=ADVANCED_FACE('',(#24630),#10141,.T.); #312146=ADVANCED_FACE('',(#24631),#10142,.T.); #312147=ADVANCED_FACE('',(#24632),#10143,.T.); #312148=ADVANCED_FACE('',(#24633),#10144,.T.); #312149=ADVANCED_FACE('',(#24634),#10145,.T.); #312150=ADVANCED_FACE('',(#24635),#10146,.T.); #312151=ADVANCED_FACE('',(#24636),#10147,.T.); #312152=ADVANCED_FACE('',(#24637),#10148,.T.); #312153=ADVANCED_FACE('',(#24638),#10149,.T.); #312154=ADVANCED_FACE('',(#24639),#10150,.T.); #312155=ADVANCED_FACE('',(#24640),#10151,.T.); #312156=ADVANCED_FACE('',(#24641),#10152,.T.); #312157=ADVANCED_FACE('',(#24642),#10153,.T.); #312158=ADVANCED_FACE('',(#24643),#10154,.T.); #312159=ADVANCED_FACE('',(#24644),#10155,.T.); #312160=ADVANCED_FACE('',(#24645),#10156,.T.); #312161=ADVANCED_FACE('',(#24646),#10157,.T.); #312162=ADVANCED_FACE('',(#24647),#10158,.T.); #312163=ADVANCED_FACE('',(#24648),#10159,.T.); #312164=ADVANCED_FACE('',(#24649),#10160,.T.); #312165=ADVANCED_FACE('',(#24650),#10161,.T.); #312166=ADVANCED_FACE('',(#24651),#10162,.T.); #312167=ADVANCED_FACE('',(#24652),#10163,.T.); #312168=ADVANCED_FACE('',(#24653),#10164,.T.); #312169=ADVANCED_FACE('',(#24654),#10165,.T.); #312170=ADVANCED_FACE('',(#24655),#10166,.T.); #312171=ADVANCED_FACE('',(#24656),#10167,.T.); #312172=ADVANCED_FACE('',(#24657),#10168,.T.); #312173=ADVANCED_FACE('',(#24658),#10169,.T.); #312174=ADVANCED_FACE('',(#24659),#10170,.T.); #312175=ADVANCED_FACE('',(#24660),#10171,.T.); #312176=ADVANCED_FACE('',(#24661),#10172,.T.); #312177=ADVANCED_FACE('',(#24662),#10173,.T.); #312178=ADVANCED_FACE('',(#24663),#10174,.T.); #312179=ADVANCED_FACE('',(#24664),#10175,.T.); #312180=ADVANCED_FACE('',(#24665),#10176,.T.); #312181=ADVANCED_FACE('',(#24666),#10177,.T.); #312182=ADVANCED_FACE('',(#24667),#10178,.T.); #312183=ADVANCED_FACE('',(#24668),#10179,.T.); #312184=ADVANCED_FACE('',(#24669),#10180,.T.); #312185=ADVANCED_FACE('',(#24670),#10181,.T.); #312186=ADVANCED_FACE('',(#24671),#10182,.T.); #312187=ADVANCED_FACE('',(#24672),#10183,.T.); #312188=ADVANCED_FACE('',(#24673),#10184,.T.); #312189=ADVANCED_FACE('',(#24674),#10185,.T.); #312190=ADVANCED_FACE('',(#24675),#10186,.T.); #312191=ADVANCED_FACE('',(#24676),#10187,.T.); #312192=ADVANCED_FACE('',(#24677),#10188,.T.); #312193=ADVANCED_FACE('',(#24678),#10189,.T.); #312194=ADVANCED_FACE('',(#24679),#10190,.T.); #312195=ADVANCED_FACE('',(#24680),#10191,.T.); #312196=ADVANCED_FACE('',(#24681),#10192,.T.); #312197=ADVANCED_FACE('',(#24682),#10193,.T.); #312198=ADVANCED_FACE('',(#24683),#10194,.T.); #312199=ADVANCED_FACE('',(#24684),#10195,.T.); #312200=ADVANCED_FACE('',(#24685),#10196,.T.); #312201=ADVANCED_FACE('',(#24686),#10197,.T.); #312202=ADVANCED_FACE('',(#24687),#10198,.T.); #312203=ADVANCED_FACE('',(#24688),#10199,.T.); #312204=ADVANCED_FACE('',(#24689),#10200,.T.); #312205=ADVANCED_FACE('',(#24690),#10201,.T.); #312206=ADVANCED_FACE('',(#24691),#10202,.T.); #312207=ADVANCED_FACE('',(#24692),#10203,.T.); #312208=ADVANCED_FACE('',(#24693),#10204,.T.); #312209=ADVANCED_FACE('',(#24694),#10205,.T.); #312210=ADVANCED_FACE('',(#24695),#10206,.T.); #312211=ADVANCED_FACE('',(#24696),#10207,.T.); #312212=ADVANCED_FACE('',(#24697),#10208,.T.); #312213=ADVANCED_FACE('',(#24698),#10209,.T.); #312214=ADVANCED_FACE('',(#24699),#10210,.T.); #312215=ADVANCED_FACE('',(#24700),#10211,.T.); #312216=ADVANCED_FACE('',(#24701),#10212,.T.); #312217=ADVANCED_FACE('',(#24702),#10213,.T.); #312218=ADVANCED_FACE('',(#24703),#10214,.T.); #312219=ADVANCED_FACE('',(#24704),#10215,.T.); #312220=ADVANCED_FACE('',(#24705),#10216,.T.); #312221=ADVANCED_FACE('',(#24706),#10217,.T.); #312222=ADVANCED_FACE('',(#24707),#10218,.T.); #312223=ADVANCED_FACE('',(#24708),#10219,.T.); #312224=ADVANCED_FACE('',(#24709),#10220,.T.); #312225=ADVANCED_FACE('',(#24710),#10221,.T.); #312226=ADVANCED_FACE('',(#24711),#10222,.T.); #312227=ADVANCED_FACE('',(#24712),#10223,.T.); #312228=ADVANCED_FACE('',(#24713),#10224,.T.); #312229=ADVANCED_FACE('',(#24714),#10225,.T.); #312230=ADVANCED_FACE('',(#24715),#10226,.T.); #312231=ADVANCED_FACE('',(#24716),#10227,.T.); #312232=ADVANCED_FACE('',(#24717),#10228,.T.); #312233=ADVANCED_FACE('',(#24718),#10229,.T.); #312234=ADVANCED_FACE('',(#24719),#10230,.T.); #312235=ADVANCED_FACE('',(#24720),#10231,.T.); #312236=ADVANCED_FACE('',(#24721),#10232,.T.); #312237=ADVANCED_FACE('',(#24722),#10233,.T.); #312238=ADVANCED_FACE('',(#24723),#10234,.T.); #312239=ADVANCED_FACE('',(#24724),#10235,.T.); #312240=ADVANCED_FACE('',(#24725),#10236,.T.); #312241=ADVANCED_FACE('',(#24726),#10237,.T.); #312242=ADVANCED_FACE('',(#24727),#10238,.T.); #312243=ADVANCED_FACE('',(#24728),#10239,.T.); #312244=ADVANCED_FACE('',(#24729),#10240,.T.); #312245=ADVANCED_FACE('',(#24730),#10241,.T.); #312246=ADVANCED_FACE('',(#24731),#10242,.T.); #312247=ADVANCED_FACE('',(#24732),#10243,.T.); #312248=ADVANCED_FACE('',(#24733),#10244,.T.); #312249=ADVANCED_FACE('',(#24734),#10245,.T.); #312250=ADVANCED_FACE('',(#24735),#10246,.T.); #312251=ADVANCED_FACE('',(#24736),#10247,.T.); #312252=ADVANCED_FACE('',(#24737),#10248,.T.); #312253=ADVANCED_FACE('',(#24738),#10249,.T.); #312254=ADVANCED_FACE('',(#24739),#10250,.T.); #312255=ADVANCED_FACE('',(#24740),#10251,.T.); #312256=ADVANCED_FACE('',(#24741),#10252,.T.); #312257=ADVANCED_FACE('',(#24742),#10253,.T.); #312258=ADVANCED_FACE('',(#24743),#10254,.T.); #312259=ADVANCED_FACE('',(#24744),#10255,.T.); #312260=ADVANCED_FACE('',(#24745),#10256,.T.); #312261=ADVANCED_FACE('',(#24746),#10257,.T.); #312262=ADVANCED_FACE('',(#24747),#10258,.T.); #312263=ADVANCED_FACE('',(#24748),#10259,.T.); #312264=ADVANCED_FACE('',(#24749),#10260,.T.); #312265=ADVANCED_FACE('',(#24750),#10261,.T.); #312266=ADVANCED_FACE('',(#24751),#10262,.T.); #312267=ADVANCED_FACE('',(#24752),#10263,.T.); #312268=ADVANCED_FACE('',(#24753),#10264,.T.); #312269=ADVANCED_FACE('',(#24754),#10265,.T.); #312270=ADVANCED_FACE('',(#24755),#10266,.T.); #312271=ADVANCED_FACE('',(#24756),#10267,.T.); #312272=ADVANCED_FACE('',(#24757),#10268,.T.); #312273=ADVANCED_FACE('',(#24758),#10269,.T.); #312274=ADVANCED_FACE('',(#24759),#10270,.T.); #312275=ADVANCED_FACE('',(#24760),#10271,.T.); #312276=ADVANCED_FACE('',(#24761),#10272,.T.); #312277=ADVANCED_FACE('',(#24762),#10273,.T.); #312278=ADVANCED_FACE('',(#24763),#10274,.T.); #312279=ADVANCED_FACE('',(#24764),#10275,.T.); #312280=ADVANCED_FACE('',(#24765),#10276,.T.); #312281=ADVANCED_FACE('',(#24766),#10277,.T.); #312282=ADVANCED_FACE('',(#24767),#10278,.T.); #312283=ADVANCED_FACE('',(#24768),#10279,.T.); #312284=ADVANCED_FACE('',(#24769),#10280,.T.); #312285=ADVANCED_FACE('',(#24770),#10281,.T.); #312286=ADVANCED_FACE('',(#24771),#10282,.T.); #312287=ADVANCED_FACE('',(#24772),#10283,.T.); #312288=ADVANCED_FACE('',(#24773),#10284,.T.); #312289=ADVANCED_FACE('',(#24774),#10285,.T.); #312290=ADVANCED_FACE('',(#24775),#10286,.T.); #312291=ADVANCED_FACE('',(#24776),#10287,.T.); #312292=ADVANCED_FACE('',(#24777),#10288,.T.); #312293=ADVANCED_FACE('',(#24778),#10289,.T.); #312294=ADVANCED_FACE('',(#24779),#10290,.T.); #312295=ADVANCED_FACE('',(#24780),#10291,.T.); #312296=ADVANCED_FACE('',(#24781),#10292,.T.); #312297=ADVANCED_FACE('',(#24782),#10293,.T.); #312298=ADVANCED_FACE('',(#24783),#10294,.T.); #312299=ADVANCED_FACE('',(#24784),#10295,.T.); #312300=ADVANCED_FACE('',(#24785),#10296,.T.); #312301=ADVANCED_FACE('',(#24786),#10297,.T.); #312302=ADVANCED_FACE('',(#24787),#10298,.T.); #312303=ADVANCED_FACE('',(#24788),#10299,.T.); #312304=ADVANCED_FACE('',(#24789),#10300,.T.); #312305=ADVANCED_FACE('',(#24790),#10301,.T.); #312306=ADVANCED_FACE('',(#24791),#10302,.T.); #312307=ADVANCED_FACE('',(#24792),#10303,.T.); #312308=ADVANCED_FACE('',(#24793),#10304,.T.); #312309=ADVANCED_FACE('',(#24794),#10305,.T.); #312310=ADVANCED_FACE('',(#24795),#10306,.T.); #312311=ADVANCED_FACE('',(#24796),#10307,.T.); #312312=ADVANCED_FACE('',(#24797),#10308,.T.); #312313=ADVANCED_FACE('',(#24798),#10309,.T.); #312314=ADVANCED_FACE('',(#24799),#10310,.T.); #312315=ADVANCED_FACE('',(#24800),#10311,.T.); #312316=ADVANCED_FACE('',(#24801),#10312,.T.); #312317=ADVANCED_FACE('',(#24802),#10313,.T.); #312318=ADVANCED_FACE('',(#24803),#10314,.T.); #312319=ADVANCED_FACE('',(#24804),#10315,.T.); #312320=ADVANCED_FACE('',(#24805),#10316,.T.); #312321=ADVANCED_FACE('',(#24806),#10317,.T.); #312322=ADVANCED_FACE('',(#24807),#10318,.T.); #312323=ADVANCED_FACE('',(#24808),#10319,.T.); #312324=ADVANCED_FACE('',(#24809),#10320,.T.); #312325=ADVANCED_FACE('',(#24810),#10321,.T.); #312326=ADVANCED_FACE('',(#24811),#10322,.T.); #312327=ADVANCED_FACE('',(#24812),#10323,.T.); #312328=ADVANCED_FACE('',(#24813),#10324,.T.); #312329=ADVANCED_FACE('',(#24814),#10325,.T.); #312330=ADVANCED_FACE('',(#24815),#10326,.T.); #312331=ADVANCED_FACE('',(#24816),#10327,.T.); #312332=ADVANCED_FACE('',(#24817),#10328,.T.); #312333=ADVANCED_FACE('',(#24818),#10329,.T.); #312334=ADVANCED_FACE('',(#24819),#10330,.T.); #312335=ADVANCED_FACE('',(#24820),#10331,.T.); #312336=ADVANCED_FACE('',(#24821),#10332,.T.); #312337=ADVANCED_FACE('',(#24822),#10333,.T.); #312338=ADVANCED_FACE('',(#24823),#10334,.T.); #312339=ADVANCED_FACE('',(#24824),#10335,.T.); #312340=ADVANCED_FACE('',(#24825),#10336,.T.); #312341=ADVANCED_FACE('',(#24826),#10337,.T.); #312342=ADVANCED_FACE('',(#24827),#10338,.T.); #312343=ADVANCED_FACE('',(#24828),#10339,.T.); #312344=ADVANCED_FACE('',(#24829),#10340,.T.); #312345=ADVANCED_FACE('',(#24830),#10341,.T.); #312346=ADVANCED_FACE('',(#24831),#10342,.T.); #312347=ADVANCED_FACE('',(#24832),#10343,.T.); #312348=ADVANCED_FACE('',(#24833),#10344,.T.); #312349=ADVANCED_FACE('',(#24834),#10345,.T.); #312350=ADVANCED_FACE('',(#24835),#10346,.T.); #312351=ADVANCED_FACE('',(#24836),#10347,.T.); #312352=ADVANCED_FACE('',(#24837),#10348,.T.); #312353=ADVANCED_FACE('',(#24838),#10349,.T.); #312354=ADVANCED_FACE('',(#24839),#10350,.T.); #312355=ADVANCED_FACE('',(#24840),#10351,.T.); #312356=ADVANCED_FACE('',(#24841),#10352,.T.); #312357=ADVANCED_FACE('',(#24842),#10353,.T.); #312358=ADVANCED_FACE('',(#24843),#10354,.T.); #312359=ADVANCED_FACE('',(#24844),#10355,.T.); #312360=ADVANCED_FACE('',(#24845),#10356,.T.); #312361=ADVANCED_FACE('',(#24846),#10357,.T.); #312362=ADVANCED_FACE('',(#24847),#10358,.T.); #312363=ADVANCED_FACE('',(#24848),#10359,.T.); #312364=ADVANCED_FACE('',(#24849),#10360,.T.); #312365=ADVANCED_FACE('',(#24850),#10361,.T.); #312366=ADVANCED_FACE('',(#24851),#10362,.T.); #312367=ADVANCED_FACE('',(#24852),#10363,.T.); #312368=ADVANCED_FACE('',(#24853),#10364,.T.); #312369=ADVANCED_FACE('',(#24854),#10365,.T.); #312370=ADVANCED_FACE('',(#24855),#10366,.T.); #312371=ADVANCED_FACE('',(#24856),#10367,.T.); #312372=ADVANCED_FACE('',(#24857),#10368,.T.); #312373=ADVANCED_FACE('',(#24858),#10369,.T.); #312374=ADVANCED_FACE('',(#24859),#10370,.T.); #312375=ADVANCED_FACE('',(#24860),#10371,.T.); #312376=ADVANCED_FACE('',(#24861),#10372,.T.); #312377=ADVANCED_FACE('',(#24862),#10373,.T.); #312378=ADVANCED_FACE('',(#24863),#10374,.T.); #312379=ADVANCED_FACE('',(#24864),#10375,.T.); #312380=ADVANCED_FACE('',(#24865),#10376,.T.); #312381=ADVANCED_FACE('',(#24866),#10377,.T.); #312382=ADVANCED_FACE('',(#24867),#10378,.T.); #312383=ADVANCED_FACE('',(#24868),#10379,.T.); #312384=ADVANCED_FACE('',(#24869),#10380,.T.); #312385=ADVANCED_FACE('',(#24870),#10381,.T.); #312386=ADVANCED_FACE('',(#24871),#10382,.T.); #312387=ADVANCED_FACE('',(#24872),#10383,.T.); #312388=ADVANCED_FACE('',(#24873),#10384,.T.); #312389=ADVANCED_FACE('',(#24874),#10385,.T.); #312390=ADVANCED_FACE('',(#24875),#10386,.T.); #312391=ADVANCED_FACE('',(#24876),#10387,.T.); #312392=ADVANCED_FACE('',(#24877),#10388,.T.); #312393=ADVANCED_FACE('',(#24878),#10389,.T.); #312394=ADVANCED_FACE('',(#24879),#10390,.T.); #312395=ADVANCED_FACE('',(#24880),#10391,.T.); #312396=ADVANCED_FACE('',(#24881),#10392,.T.); #312397=ADVANCED_FACE('',(#24882),#10393,.T.); #312398=ADVANCED_FACE('',(#24883),#10394,.T.); #312399=ADVANCED_FACE('',(#24884),#10395,.T.); #312400=ADVANCED_FACE('',(#24885),#10396,.T.); #312401=ADVANCED_FACE('',(#24886),#10397,.T.); #312402=ADVANCED_FACE('',(#24887),#10398,.T.); #312403=ADVANCED_FACE('',(#24888),#10399,.T.); #312404=ADVANCED_FACE('',(#24889),#10400,.T.); #312405=ADVANCED_FACE('',(#24890),#10401,.T.); #312406=ADVANCED_FACE('',(#24891),#10402,.T.); #312407=ADVANCED_FACE('',(#24892),#10403,.T.); #312408=ADVANCED_FACE('',(#24893),#10404,.T.); #312409=ADVANCED_FACE('',(#24894),#10405,.T.); #312410=ADVANCED_FACE('',(#24895),#10406,.T.); #312411=ADVANCED_FACE('',(#24896),#10407,.T.); #312412=ADVANCED_FACE('',(#24897),#10408,.T.); #312413=ADVANCED_FACE('',(#24898),#10409,.T.); #312414=ADVANCED_FACE('',(#24899),#10410,.T.); #312415=ADVANCED_FACE('',(#24900),#10411,.T.); #312416=ADVANCED_FACE('',(#24901),#10412,.T.); #312417=ADVANCED_FACE('',(#24902),#10413,.T.); #312418=ADVANCED_FACE('',(#24903),#10414,.T.); #312419=ADVANCED_FACE('',(#24904),#10415,.T.); #312420=ADVANCED_FACE('',(#24905),#10416,.T.); #312421=ADVANCED_FACE('',(#24906),#10417,.T.); #312422=ADVANCED_FACE('',(#24907),#10418,.T.); #312423=ADVANCED_FACE('',(#24908),#10419,.T.); #312424=ADVANCED_FACE('',(#24909),#10420,.T.); #312425=ADVANCED_FACE('',(#24910),#10421,.T.); #312426=ADVANCED_FACE('',(#24911),#10422,.T.); #312427=ADVANCED_FACE('',(#24912),#10423,.T.); #312428=ADVANCED_FACE('',(#24913),#10424,.T.); #312429=ADVANCED_FACE('',(#24914),#10425,.T.); #312430=ADVANCED_FACE('',(#24915),#10426,.T.); #312431=ADVANCED_FACE('',(#24916),#10427,.T.); #312432=ADVANCED_FACE('',(#24917),#10428,.T.); #312433=ADVANCED_FACE('',(#24918),#10429,.T.); #312434=ADVANCED_FACE('',(#24919),#10430,.T.); #312435=ADVANCED_FACE('',(#24920),#10431,.T.); #312436=ADVANCED_FACE('',(#24921),#10432,.T.); #312437=ADVANCED_FACE('',(#24922),#10433,.T.); #312438=ADVANCED_FACE('',(#24923),#10434,.T.); #312439=ADVANCED_FACE('',(#24924),#10435,.T.); #312440=ADVANCED_FACE('',(#24925),#10436,.T.); #312441=ADVANCED_FACE('',(#24926),#10437,.T.); #312442=ADVANCED_FACE('',(#24927),#10438,.T.); #312443=ADVANCED_FACE('',(#24928),#10439,.T.); #312444=ADVANCED_FACE('',(#24929),#10440,.T.); #312445=ADVANCED_FACE('',(#24930),#10441,.T.); #312446=ADVANCED_FACE('',(#24931),#10442,.T.); #312447=ADVANCED_FACE('',(#24932),#10443,.T.); #312448=ADVANCED_FACE('',(#24933),#10444,.T.); #312449=ADVANCED_FACE('',(#24934),#10445,.T.); #312450=ADVANCED_FACE('',(#24935),#10446,.T.); #312451=ADVANCED_FACE('',(#24936),#10447,.T.); #312452=ADVANCED_FACE('',(#24937),#10448,.T.); #312453=ADVANCED_FACE('',(#24938),#10449,.T.); #312454=ADVANCED_FACE('',(#24939),#10450,.T.); #312455=ADVANCED_FACE('',(#24940),#10451,.T.); #312456=ADVANCED_FACE('',(#24941),#10452,.T.); #312457=ADVANCED_FACE('',(#24942),#10453,.T.); #312458=ADVANCED_FACE('',(#24943),#10454,.T.); #312459=ADVANCED_FACE('',(#24944),#10455,.T.); #312460=ADVANCED_FACE('',(#24945),#10456,.T.); #312461=ADVANCED_FACE('',(#24946),#10457,.T.); #312462=ADVANCED_FACE('',(#24947),#10458,.T.); #312463=ADVANCED_FACE('',(#24948),#10459,.T.); #312464=ADVANCED_FACE('',(#24949),#10460,.T.); #312465=ADVANCED_FACE('',(#24950),#10461,.T.); #312466=ADVANCED_FACE('',(#24951),#10462,.T.); #312467=ADVANCED_FACE('',(#24952),#10463,.T.); #312468=ADVANCED_FACE('',(#24953),#10464,.T.); #312469=ADVANCED_FACE('',(#24954),#10465,.T.); #312470=ADVANCED_FACE('',(#24955),#10466,.T.); #312471=ADVANCED_FACE('',(#24956),#10467,.T.); #312472=ADVANCED_FACE('',(#24957),#10468,.T.); #312473=ADVANCED_FACE('',(#24958),#10469,.T.); #312474=ADVANCED_FACE('',(#24959),#10470,.T.); #312475=ADVANCED_FACE('',(#24960),#10471,.T.); #312476=ADVANCED_FACE('',(#24961),#10472,.T.); #312477=ADVANCED_FACE('',(#24962),#10473,.T.); #312478=ADVANCED_FACE('',(#24963),#10474,.T.); #312479=ADVANCED_FACE('',(#24964),#10475,.T.); #312480=ADVANCED_FACE('',(#24965),#10476,.T.); #312481=ADVANCED_FACE('',(#24966),#10477,.T.); #312482=ADVANCED_FACE('',(#24967),#10478,.T.); #312483=ADVANCED_FACE('',(#24968),#10479,.T.); #312484=ADVANCED_FACE('',(#24969),#10480,.T.); #312485=ADVANCED_FACE('',(#24970),#10481,.T.); #312486=ADVANCED_FACE('',(#24971),#10482,.T.); #312487=ADVANCED_FACE('',(#24972),#10483,.T.); #312488=ADVANCED_FACE('',(#24973),#10484,.T.); #312489=ADVANCED_FACE('',(#24974),#10485,.T.); #312490=ADVANCED_FACE('',(#24975),#10486,.T.); #312491=ADVANCED_FACE('',(#24976),#10487,.T.); #312492=ADVANCED_FACE('',(#24977),#10488,.T.); #312493=ADVANCED_FACE('',(#24978),#10489,.T.); #312494=ADVANCED_FACE('',(#24979),#10490,.T.); #312495=ADVANCED_FACE('',(#24980),#10491,.T.); #312496=ADVANCED_FACE('',(#24981),#10492,.T.); #312497=ADVANCED_FACE('',(#24982),#10493,.T.); #312498=ADVANCED_FACE('',(#24983),#10494,.T.); #312499=ADVANCED_FACE('',(#24984),#10495,.T.); #312500=ADVANCED_FACE('',(#24985),#10496,.T.); #312501=ADVANCED_FACE('',(#24986),#10497,.T.); #312502=ADVANCED_FACE('',(#24987),#10498,.T.); #312503=ADVANCED_FACE('',(#24988),#10499,.T.); #312504=ADVANCED_FACE('',(#24989),#10500,.T.); #312505=ADVANCED_FACE('',(#24990),#10501,.T.); #312506=ADVANCED_FACE('',(#24991),#10502,.T.); #312507=ADVANCED_FACE('',(#24992),#10503,.T.); #312508=ADVANCED_FACE('',(#24993),#10504,.T.); #312509=ADVANCED_FACE('',(#24994),#10505,.T.); #312510=ADVANCED_FACE('',(#24995),#10506,.T.); #312511=ADVANCED_FACE('',(#24996),#10507,.T.); #312512=ADVANCED_FACE('',(#24997),#10508,.T.); #312513=ADVANCED_FACE('',(#24998),#10509,.T.); #312514=ADVANCED_FACE('',(#24999),#10510,.T.); #312515=ADVANCED_FACE('',(#25000),#10511,.T.); #312516=ADVANCED_FACE('',(#25001),#10512,.T.); #312517=ADVANCED_FACE('',(#25002),#10513,.T.); #312518=ADVANCED_FACE('',(#25003),#10514,.T.); #312519=ADVANCED_FACE('',(#25004),#10515,.T.); #312520=ADVANCED_FACE('',(#25005),#10516,.T.); #312521=ADVANCED_FACE('',(#25006),#10517,.T.); #312522=ADVANCED_FACE('',(#25007),#10518,.T.); #312523=ADVANCED_FACE('',(#25008),#10519,.T.); #312524=ADVANCED_FACE('',(#25009),#10520,.T.); #312525=ADVANCED_FACE('',(#25010),#10521,.T.); #312526=ADVANCED_FACE('',(#25011),#10522,.T.); #312527=ADVANCED_FACE('',(#25012),#10523,.T.); #312528=ADVANCED_FACE('',(#25013),#10524,.T.); #312529=ADVANCED_FACE('',(#25014),#10525,.T.); #312530=ADVANCED_FACE('',(#25015),#10526,.T.); #312531=ADVANCED_FACE('',(#25016),#10527,.T.); #312532=ADVANCED_FACE('',(#25017),#10528,.T.); #312533=ADVANCED_FACE('',(#25018),#10529,.T.); #312534=ADVANCED_FACE('',(#25019),#10530,.T.); #312535=ADVANCED_FACE('',(#25020),#10531,.T.); #312536=ADVANCED_FACE('',(#25021),#10532,.T.); #312537=ADVANCED_FACE('',(#25022),#10533,.T.); #312538=ADVANCED_FACE('',(#25023),#10534,.T.); #312539=ADVANCED_FACE('',(#25024),#10535,.T.); #312540=ADVANCED_FACE('',(#25025),#10536,.T.); #312541=ADVANCED_FACE('',(#25026),#10537,.T.); #312542=ADVANCED_FACE('',(#25027),#10538,.T.); #312543=ADVANCED_FACE('',(#25028),#10539,.T.); #312544=ADVANCED_FACE('',(#25029),#10540,.T.); #312545=ADVANCED_FACE('',(#25030),#10541,.T.); #312546=ADVANCED_FACE('',(#25031),#10542,.T.); #312547=ADVANCED_FACE('',(#25032),#10543,.T.); #312548=ADVANCED_FACE('',(#25033),#10544,.T.); #312549=ADVANCED_FACE('',(#25034),#10545,.T.); #312550=ADVANCED_FACE('',(#25035),#10546,.T.); #312551=ADVANCED_FACE('',(#25036),#10547,.T.); #312552=ADVANCED_FACE('',(#25037),#10548,.T.); #312553=ADVANCED_FACE('',(#25038),#10549,.T.); #312554=ADVANCED_FACE('',(#25039),#10550,.T.); #312555=ADVANCED_FACE('',(#25040),#10551,.T.); #312556=ADVANCED_FACE('',(#25041),#10552,.T.); #312557=ADVANCED_FACE('',(#25042),#10553,.T.); #312558=ADVANCED_FACE('',(#25043),#10554,.T.); #312559=ADVANCED_FACE('',(#25044),#10555,.T.); #312560=ADVANCED_FACE('',(#25045),#10556,.T.); #312561=ADVANCED_FACE('',(#25046),#10557,.T.); #312562=ADVANCED_FACE('',(#25047),#10558,.T.); #312563=ADVANCED_FACE('',(#25048),#10559,.T.); #312564=ADVANCED_FACE('',(#25049),#10560,.T.); #312565=ADVANCED_FACE('',(#25050),#10561,.T.); #312566=ADVANCED_FACE('',(#25051),#10562,.T.); #312567=ADVANCED_FACE('',(#25052),#10563,.T.); #312568=ADVANCED_FACE('',(#25053),#10564,.T.); #312569=ADVANCED_FACE('',(#25054),#10565,.T.); #312570=ADVANCED_FACE('',(#25055),#10566,.T.); #312571=ADVANCED_FACE('',(#25056),#10567,.T.); #312572=ADVANCED_FACE('',(#25057),#10568,.T.); #312573=ADVANCED_FACE('',(#25058),#10569,.T.); #312574=ADVANCED_FACE('',(#25059),#10570,.T.); #312575=ADVANCED_FACE('',(#25060),#10571,.T.); #312576=ADVANCED_FACE('',(#25061),#10572,.T.); #312577=ADVANCED_FACE('',(#25062),#10573,.T.); #312578=ADVANCED_FACE('',(#25063),#10574,.T.); #312579=ADVANCED_FACE('',(#25064),#10575,.T.); #312580=ADVANCED_FACE('',(#25065),#10576,.T.); #312581=ADVANCED_FACE('',(#25066),#10577,.T.); #312582=ADVANCED_FACE('',(#25067),#10578,.T.); #312583=ADVANCED_FACE('',(#25068),#10579,.T.); #312584=ADVANCED_FACE('',(#25069),#10580,.T.); #312585=ADVANCED_FACE('',(#25070),#10581,.T.); #312586=ADVANCED_FACE('',(#25071),#10582,.T.); #312587=ADVANCED_FACE('',(#25072),#10583,.T.); #312588=ADVANCED_FACE('',(#25073),#10584,.T.); #312589=ADVANCED_FACE('',(#25074),#10585,.T.); #312590=ADVANCED_FACE('',(#25075),#10586,.T.); #312591=ADVANCED_FACE('',(#25076),#10587,.T.); #312592=ADVANCED_FACE('',(#25077),#10588,.T.); #312593=ADVANCED_FACE('',(#25078),#10589,.T.); #312594=ADVANCED_FACE('',(#25079),#10590,.T.); #312595=ADVANCED_FACE('',(#25080),#10591,.T.); #312596=ADVANCED_FACE('',(#25081),#10592,.T.); #312597=ADVANCED_FACE('',(#25082),#10593,.T.); #312598=ADVANCED_FACE('',(#25083),#10594,.T.); #312599=ADVANCED_FACE('',(#25084),#10595,.T.); #312600=ADVANCED_FACE('',(#25085),#10596,.T.); #312601=ADVANCED_FACE('',(#25086),#10597,.T.); #312602=ADVANCED_FACE('',(#25087),#10598,.T.); #312603=ADVANCED_FACE('',(#25088),#10599,.T.); #312604=ADVANCED_FACE('',(#25089),#10600,.T.); #312605=ADVANCED_FACE('',(#25090),#10601,.T.); #312606=ADVANCED_FACE('',(#25091),#10602,.T.); #312607=ADVANCED_FACE('',(#25092),#10603,.T.); #312608=ADVANCED_FACE('',(#25093),#10604,.T.); #312609=ADVANCED_FACE('',(#25094),#10605,.T.); #312610=ADVANCED_FACE('',(#25095),#10606,.T.); #312611=ADVANCED_FACE('',(#25096),#10607,.T.); #312612=ADVANCED_FACE('',(#25097),#10608,.T.); #312613=ADVANCED_FACE('',(#25098),#10609,.T.); #312614=ADVANCED_FACE('',(#25099),#10610,.T.); #312615=ADVANCED_FACE('',(#25100),#10611,.T.); #312616=ADVANCED_FACE('',(#25101),#10612,.T.); #312617=ADVANCED_FACE('',(#25102),#10613,.T.); #312618=ADVANCED_FACE('',(#25103),#10614,.T.); #312619=ADVANCED_FACE('',(#25104),#10615,.T.); #312620=ADVANCED_FACE('',(#25105),#10616,.T.); #312621=ADVANCED_FACE('',(#25106),#10617,.T.); #312622=ADVANCED_FACE('',(#25107),#10618,.T.); #312623=ADVANCED_FACE('',(#25108),#10619,.T.); #312624=ADVANCED_FACE('',(#25109),#10620,.T.); #312625=ADVANCED_FACE('',(#25110),#10621,.T.); #312626=ADVANCED_FACE('',(#25111),#10622,.T.); #312627=ADVANCED_FACE('',(#25112),#10623,.T.); #312628=ADVANCED_FACE('',(#25113),#10624,.T.); #312629=ADVANCED_FACE('',(#25114),#10625,.T.); #312630=ADVANCED_FACE('',(#25115),#10626,.T.); #312631=ADVANCED_FACE('',(#25116),#10627,.T.); #312632=ADVANCED_FACE('',(#25117),#10628,.T.); #312633=ADVANCED_FACE('',(#25118),#10629,.T.); #312634=ADVANCED_FACE('',(#25119),#10630,.T.); #312635=ADVANCED_FACE('',(#25120),#10631,.T.); #312636=ADVANCED_FACE('',(#25121),#10632,.T.); #312637=ADVANCED_FACE('',(#25122),#10633,.T.); #312638=ADVANCED_FACE('',(#25123),#10634,.T.); #312639=ADVANCED_FACE('',(#25124),#10635,.T.); #312640=ADVANCED_FACE('',(#25125),#10636,.T.); #312641=ADVANCED_FACE('',(#25126),#10637,.T.); #312642=ADVANCED_FACE('',(#25127),#10638,.T.); #312643=ADVANCED_FACE('',(#25128),#10639,.T.); #312644=ADVANCED_FACE('',(#25129),#10640,.T.); #312645=ADVANCED_FACE('',(#25130),#10641,.T.); #312646=ADVANCED_FACE('',(#25131),#10642,.T.); #312647=ADVANCED_FACE('',(#25132),#10643,.T.); #312648=ADVANCED_FACE('',(#25133),#10644,.T.); #312649=ADVANCED_FACE('',(#25134),#10645,.T.); #312650=ADVANCED_FACE('',(#25135),#10646,.T.); #312651=ADVANCED_FACE('',(#25136),#10647,.T.); #312652=ADVANCED_FACE('',(#25137),#10648,.T.); #312653=ADVANCED_FACE('',(#25138),#10649,.T.); #312654=ADVANCED_FACE('',(#25139),#10650,.T.); #312655=ADVANCED_FACE('',(#25140),#10651,.T.); #312656=ADVANCED_FACE('',(#25141),#10652,.T.); #312657=ADVANCED_FACE('',(#25142),#10653,.T.); #312658=ADVANCED_FACE('',(#25143),#10654,.T.); #312659=ADVANCED_FACE('',(#25144),#10655,.T.); #312660=ADVANCED_FACE('',(#25145),#10656,.T.); #312661=ADVANCED_FACE('',(#25146),#10657,.T.); #312662=ADVANCED_FACE('',(#25147),#10658,.T.); #312663=ADVANCED_FACE('',(#25148),#10659,.T.); #312664=ADVANCED_FACE('',(#25149),#10660,.T.); #312665=ADVANCED_FACE('',(#25150),#10661,.T.); #312666=ADVANCED_FACE('',(#25151),#10662,.T.); #312667=ADVANCED_FACE('',(#25152),#10663,.T.); #312668=ADVANCED_FACE('',(#25153),#10664,.T.); #312669=ADVANCED_FACE('',(#25154),#10665,.T.); #312670=ADVANCED_FACE('',(#25155),#10666,.T.); #312671=ADVANCED_FACE('',(#25156),#10667,.T.); #312672=ADVANCED_FACE('',(#25157),#10668,.T.); #312673=ADVANCED_FACE('',(#25158),#10669,.T.); #312674=ADVANCED_FACE('',(#25159),#10670,.T.); #312675=ADVANCED_FACE('',(#25160),#10671,.T.); #312676=ADVANCED_FACE('',(#25161),#10672,.T.); #312677=ADVANCED_FACE('',(#25162),#10673,.T.); #312678=ADVANCED_FACE('',(#25163),#10674,.T.); #312679=ADVANCED_FACE('',(#25164),#10675,.T.); #312680=ADVANCED_FACE('',(#25165,#4587,#4588,#4589,#4590,#4591,#4592,#4593, #4594,#4595,#4596,#4597,#4598,#4599,#4600,#4601,#4602,#4603,#4604,#4605, #4606,#4607,#4608,#4609,#4610,#4611,#4612,#4613,#4614,#4615,#4616,#4617, #4618,#4619,#4620,#4621,#4622,#4623,#4624,#4625,#4626,#4627,#4628,#4629, #4630,#4631,#4632,#4633,#4634,#4635,#4636,#4637,#4638,#4639,#4640,#4641, #4642,#4643,#4644,#4645,#4646,#4647,#4648,#4649,#4650,#4651,#4652,#4653, #4654,#4655,#4656),#10676,.T.); #312681=ADVANCED_FACE('',(#25166,#4657,#4658,#4659,#4660,#4661,#4662,#4663, #4664,#4665,#4666,#4667,#4668,#4669,#4670,#4671,#4672,#4673,#4674,#4675, #4676,#4677,#4678,#4679,#4680,#4681,#4682,#4683,#4684,#4685,#4686,#4687, #4688,#4689,#4690,#4691,#4692,#4693,#4694,#4695,#4696,#4697,#4698,#4699, #4700,#4701,#4702,#4703,#4704,#4705,#4706,#4707,#4708,#4709,#4710,#4711, #4712,#4713,#4714,#4715,#4716,#4717,#4718,#4719,#4720,#4721,#4722,#4723, #4724,#4725,#4726),#10677,.F.); #312682=ADVANCED_FACE('',(#25167),#10678,.T.); #312683=ADVANCED_FACE('',(#25168),#10679,.T.); #312684=ADVANCED_FACE('',(#25169),#10680,.T.); #312685=ADVANCED_FACE('',(#25170),#10681,.T.); #312686=ADVANCED_FACE('',(#25171),#10682,.T.); #312687=ADVANCED_FACE('',(#25172),#10683,.T.); #312688=ADVANCED_FACE('',(#25173),#10684,.T.); #312689=ADVANCED_FACE('',(#25174),#10685,.T.); #312690=ADVANCED_FACE('',(#25175),#10686,.T.); #312691=ADVANCED_FACE('',(#25176),#10687,.T.); #312692=ADVANCED_FACE('',(#25177),#10688,.T.); #312693=ADVANCED_FACE('',(#25178),#10689,.T.); #312694=ADVANCED_FACE('',(#25179),#10690,.T.); #312695=ADVANCED_FACE('',(#25180),#10691,.T.); #312696=ADVANCED_FACE('',(#25181),#10692,.T.); #312697=ADVANCED_FACE('',(#25182),#10693,.T.); #312698=ADVANCED_FACE('',(#25183),#10694,.T.); #312699=ADVANCED_FACE('',(#25184),#10695,.T.); #312700=ADVANCED_FACE('',(#25185),#10696,.T.); #312701=ADVANCED_FACE('',(#25186),#10697,.T.); #312702=ADVANCED_FACE('',(#25187),#10698,.T.); #312703=ADVANCED_FACE('',(#25188),#10699,.T.); #312704=ADVANCED_FACE('',(#25189),#10700,.F.); #312705=ADVANCED_FACE('',(#25190),#10701,.T.); #312706=ADVANCED_FACE('',(#25191),#10702,.T.); #312707=ADVANCED_FACE('',(#25192),#10703,.T.); #312708=ADVANCED_FACE('',(#25193),#10704,.T.); #312709=ADVANCED_FACE('',(#25194),#10705,.T.); #312710=ADVANCED_FACE('',(#25195),#10706,.T.); #312711=ADVANCED_FACE('',(#25196),#10707,.T.); #312712=ADVANCED_FACE('',(#25197),#10708,.T.); #312713=ADVANCED_FACE('',(#25198),#10709,.T.); #312714=ADVANCED_FACE('',(#25199),#10710,.T.); #312715=ADVANCED_FACE('',(#25200),#10711,.T.); #312716=ADVANCED_FACE('',(#25201),#10712,.T.); #312717=ADVANCED_FACE('',(#25202),#10713,.T.); #312718=ADVANCED_FACE('',(#25203),#10714,.T.); #312719=ADVANCED_FACE('',(#25204),#10715,.T.); #312720=ADVANCED_FACE('',(#25205),#10716,.T.); #312721=ADVANCED_FACE('',(#25206),#10717,.T.); #312722=ADVANCED_FACE('',(#25207),#10718,.T.); #312723=ADVANCED_FACE('',(#25208),#10719,.T.); #312724=ADVANCED_FACE('',(#25209),#10720,.T.); #312725=ADVANCED_FACE('',(#25210),#10721,.T.); #312726=ADVANCED_FACE('',(#25211),#10722,.T.); #312727=ADVANCED_FACE('',(#25212),#10723,.T.); #312728=ADVANCED_FACE('',(#25213),#10724,.T.); #312729=ADVANCED_FACE('',(#25214),#10725,.T.); #312730=ADVANCED_FACE('',(#25215),#10726,.T.); #312731=ADVANCED_FACE('',(#25216),#10727,.T.); #312732=ADVANCED_FACE('',(#25217),#10728,.F.); #312733=ADVANCED_FACE('',(#25218),#10729,.T.); #312734=ADVANCED_FACE('',(#25219),#10730,.T.); #312735=ADVANCED_FACE('',(#25220),#10731,.T.); #312736=ADVANCED_FACE('',(#25221),#10732,.T.); #312737=ADVANCED_FACE('',(#25222),#10733,.T.); #312738=ADVANCED_FACE('',(#25223),#10734,.T.); #312739=ADVANCED_FACE('',(#25224),#10735,.T.); #312740=ADVANCED_FACE('',(#25225),#10736,.T.); #312741=ADVANCED_FACE('',(#25226),#10737,.T.); #312742=ADVANCED_FACE('',(#25227),#10738,.T.); #312743=ADVANCED_FACE('',(#25228),#10739,.T.); #312744=ADVANCED_FACE('',(#25229),#10740,.T.); #312745=ADVANCED_FACE('',(#25230),#10741,.T.); #312746=ADVANCED_FACE('',(#25231),#10742,.T.); #312747=ADVANCED_FACE('',(#25232),#10743,.T.); #312748=ADVANCED_FACE('',(#25233),#10744,.T.); #312749=ADVANCED_FACE('',(#25234),#10745,.T.); #312750=ADVANCED_FACE('',(#25235),#10746,.T.); #312751=ADVANCED_FACE('',(#25236),#10747,.T.); #312752=ADVANCED_FACE('',(#25237),#10748,.T.); #312753=ADVANCED_FACE('',(#25238),#10749,.T.); #312754=ADVANCED_FACE('',(#25239),#10750,.F.); #312755=ADVANCED_FACE('',(#25240),#10751,.T.); #312756=ADVANCED_FACE('',(#25241),#10752,.T.); #312757=ADVANCED_FACE('',(#25242),#10753,.T.); #312758=ADVANCED_FACE('',(#25243),#10754,.T.); #312759=ADVANCED_FACE('',(#25244),#10755,.T.); #312760=ADVANCED_FACE('',(#25245),#10756,.T.); #312761=ADVANCED_FACE('',(#25246),#10757,.T.); #312762=ADVANCED_FACE('',(#25247),#10758,.T.); #312763=ADVANCED_FACE('',(#25248),#10759,.T.); #312764=ADVANCED_FACE('',(#25249),#10760,.T.); #312765=ADVANCED_FACE('',(#25250),#10761,.T.); #312766=ADVANCED_FACE('',(#25251),#10762,.T.); #312767=ADVANCED_FACE('',(#25252),#10763,.T.); #312768=ADVANCED_FACE('',(#25253),#10764,.T.); #312769=ADVANCED_FACE('',(#25254),#10765,.T.); #312770=ADVANCED_FACE('',(#25255),#10766,.T.); #312771=ADVANCED_FACE('',(#25256),#10767,.T.); #312772=ADVANCED_FACE('',(#25257),#10768,.T.); #312773=ADVANCED_FACE('',(#25258),#10769,.T.); #312774=ADVANCED_FACE('',(#25259),#10770,.T.); #312775=ADVANCED_FACE('',(#25260),#10771,.T.); #312776=ADVANCED_FACE('',(#25261),#10772,.T.); #312777=ADVANCED_FACE('',(#25262),#10773,.T.); #312778=ADVANCED_FACE('',(#25263),#10774,.T.); #312779=ADVANCED_FACE('',(#25264),#10775,.F.); #312780=ADVANCED_FACE('',(#25265),#10776,.T.); #312781=ADVANCED_FACE('',(#25266),#10777,.T.); #312782=ADVANCED_FACE('',(#25267),#10778,.T.); #312783=ADVANCED_FACE('',(#25268),#10779,.T.); #312784=ADVANCED_FACE('',(#25269),#10780,.T.); #312785=ADVANCED_FACE('',(#25270),#10781,.T.); #312786=ADVANCED_FACE('',(#25271),#10782,.T.); #312787=ADVANCED_FACE('',(#25272),#10783,.T.); #312788=ADVANCED_FACE('',(#25273),#10784,.T.); #312789=ADVANCED_FACE('',(#25274),#10785,.T.); #312790=ADVANCED_FACE('',(#25275),#10786,.T.); #312791=ADVANCED_FACE('',(#25276),#10787,.T.); #312792=ADVANCED_FACE('',(#25277),#10788,.T.); #312793=ADVANCED_FACE('',(#25278),#10789,.T.); #312794=ADVANCED_FACE('',(#25279),#10790,.T.); #312795=ADVANCED_FACE('',(#25280),#10791,.T.); #312796=ADVANCED_FACE('',(#25281),#10792,.T.); #312797=ADVANCED_FACE('',(#25282),#10793,.T.); #312798=ADVANCED_FACE('',(#25283),#10794,.T.); #312799=ADVANCED_FACE('',(#25284),#10795,.T.); #312800=ADVANCED_FACE('',(#25285),#10796,.T.); #312801=ADVANCED_FACE('',(#25286),#10797,.T.); #312802=ADVANCED_FACE('',(#25287),#10798,.F.); #312803=ADVANCED_FACE('',(#25288),#10799,.T.); #312804=ADVANCED_FACE('',(#25289),#10800,.T.); #312805=ADVANCED_FACE('',(#25290),#10801,.T.); #312806=ADVANCED_FACE('',(#25291),#10802,.T.); #312807=ADVANCED_FACE('',(#25292),#10803,.T.); #312808=ADVANCED_FACE('',(#25293),#10804,.T.); #312809=ADVANCED_FACE('',(#25294),#10805,.T.); #312810=ADVANCED_FACE('',(#25295),#10806,.T.); #312811=ADVANCED_FACE('',(#25296),#10807,.T.); #312812=ADVANCED_FACE('',(#25297),#10808,.T.); #312813=ADVANCED_FACE('',(#25298),#10809,.T.); #312814=ADVANCED_FACE('',(#25299),#10810,.T.); #312815=ADVANCED_FACE('',(#25300),#10811,.T.); #312816=ADVANCED_FACE('',(#25301),#10812,.T.); #312817=ADVANCED_FACE('',(#25302),#10813,.T.); #312818=ADVANCED_FACE('',(#25303),#10814,.T.); #312819=ADVANCED_FACE('',(#25304),#10815,.T.); #312820=ADVANCED_FACE('',(#25305),#10816,.T.); #312821=ADVANCED_FACE('',(#25306),#10817,.T.); #312822=ADVANCED_FACE('',(#25307),#10818,.T.); #312823=ADVANCED_FACE('',(#25308),#10819,.T.); #312824=ADVANCED_FACE('',(#25309),#10820,.T.); #312825=ADVANCED_FACE('',(#25310),#10821,.T.); #312826=ADVANCED_FACE('',(#25311),#10822,.T.); #312827=ADVANCED_FACE('',(#25312),#10823,.T.); #312828=ADVANCED_FACE('',(#25313),#10824,.T.); #312829=ADVANCED_FACE('',(#25314),#10825,.T.); #312830=ADVANCED_FACE('',(#25315),#10826,.T.); #312831=ADVANCED_FACE('',(#25316),#10827,.T.); #312832=ADVANCED_FACE('',(#25317),#10828,.T.); #312833=ADVANCED_FACE('',(#25318),#10829,.T.); #312834=ADVANCED_FACE('',(#25319),#10830,.F.); #312835=ADVANCED_FACE('',(#25320),#10831,.T.); #312836=ADVANCED_FACE('',(#25321),#10832,.T.); #312837=ADVANCED_FACE('',(#25322),#10833,.T.); #312838=ADVANCED_FACE('',(#25323),#10834,.T.); #312839=ADVANCED_FACE('',(#25324),#10835,.T.); #312840=ADVANCED_FACE('',(#25325),#10836,.T.); #312841=ADVANCED_FACE('',(#25326),#10837,.T.); #312842=ADVANCED_FACE('',(#25327),#10838,.T.); #312843=ADVANCED_FACE('',(#25328),#10839,.T.); #312844=ADVANCED_FACE('',(#25329),#10840,.T.); #312845=ADVANCED_FACE('',(#25330),#10841,.T.); #312846=ADVANCED_FACE('',(#25331),#10842,.T.); #312847=ADVANCED_FACE('',(#25332),#10843,.T.); #312848=ADVANCED_FACE('',(#25333),#10844,.T.); #312849=ADVANCED_FACE('',(#25334),#10845,.T.); #312850=ADVANCED_FACE('',(#25335),#10846,.T.); #312851=ADVANCED_FACE('',(#25336),#10847,.T.); #312852=ADVANCED_FACE('',(#25337),#10848,.T.); #312853=ADVANCED_FACE('',(#25338),#10849,.T.); #312854=ADVANCED_FACE('',(#25339),#10850,.T.); #312855=ADVANCED_FACE('',(#25340),#10851,.T.); #312856=ADVANCED_FACE('',(#25341),#10852,.F.); #312857=ADVANCED_FACE('',(#25342),#10853,.T.); #312858=ADVANCED_FACE('',(#25343),#10854,.T.); #312859=ADVANCED_FACE('',(#25344),#10855,.T.); #312860=ADVANCED_FACE('',(#25345),#10856,.T.); #312861=ADVANCED_FACE('',(#25346),#10857,.T.); #312862=ADVANCED_FACE('',(#25347),#10858,.T.); #312863=ADVANCED_FACE('',(#25348),#10859,.T.); #312864=ADVANCED_FACE('',(#25349),#10860,.T.); #312865=ADVANCED_FACE('',(#25350),#10861,.T.); #312866=ADVANCED_FACE('',(#25351),#10862,.T.); #312867=ADVANCED_FACE('',(#25352),#10863,.T.); #312868=ADVANCED_FACE('',(#25353),#10864,.T.); #312869=ADVANCED_FACE('',(#25354),#10865,.T.); #312870=ADVANCED_FACE('',(#25355),#10866,.T.); #312871=ADVANCED_FACE('',(#25356),#10867,.T.); #312872=ADVANCED_FACE('',(#25357),#10868,.T.); #312873=ADVANCED_FACE('',(#25358),#10869,.T.); #312874=ADVANCED_FACE('',(#25359),#10870,.T.); #312875=ADVANCED_FACE('',(#25360),#10871,.T.); #312876=ADVANCED_FACE('',(#25361),#10872,.T.); #312877=ADVANCED_FACE('',(#25362),#10873,.T.); #312878=ADVANCED_FACE('',(#25363),#10874,.T.); #312879=ADVANCED_FACE('',(#25364),#10875,.T.); #312880=ADVANCED_FACE('',(#25365),#10876,.T.); #312881=ADVANCED_FACE('',(#25366),#10877,.T.); #312882=ADVANCED_FACE('',(#25367),#10878,.T.); #312883=ADVANCED_FACE('',(#25368),#10879,.T.); #312884=ADVANCED_FACE('',(#25369),#10880,.T.); #312885=ADVANCED_FACE('',(#25370),#10881,.T.); #312886=ADVANCED_FACE('',(#25371),#10882,.T.); #312887=ADVANCED_FACE('',(#25372),#10883,.T.); #312888=ADVANCED_FACE('',(#25373),#10884,.T.); #312889=ADVANCED_FACE('',(#25374),#10885,.T.); #312890=ADVANCED_FACE('',(#25375),#10886,.T.); #312891=ADVANCED_FACE('',(#25376),#10887,.F.); #312892=ADVANCED_FACE('',(#25377),#305730,.F.); #312893=ADVANCED_FACE('',(#25378),#305731,.F.); #312894=ADVANCED_FACE('',(#25379),#305732,.F.); #312895=ADVANCED_FACE('',(#25380),#10888,.T.); #312896=ADVANCED_FACE('',(#25381),#10889,.T.); #312897=ADVANCED_FACE('',(#25382),#10890,.T.); #312898=ADVANCED_FACE('',(#25383),#10891,.T.); #312899=ADVANCED_FACE('',(#25384),#10892,.T.); #312900=ADVANCED_FACE('',(#25385),#10893,.T.); #312901=ADVANCED_FACE('',(#25386),#10894,.T.); #312902=ADVANCED_FACE('',(#25387),#10895,.T.); #312903=ADVANCED_FACE('',(#25388),#10896,.T.); #312904=ADVANCED_FACE('',(#25389),#10897,.T.); #312905=ADVANCED_FACE('',(#25390),#10898,.T.); #312906=ADVANCED_FACE('',(#25391),#10899,.T.); #312907=ADVANCED_FACE('',(#25392),#10900,.T.); #312908=ADVANCED_FACE('',(#25393),#10901,.T.); #312909=ADVANCED_FACE('',(#25394),#10902,.T.); #312910=ADVANCED_FACE('',(#25395),#10903,.T.); #312911=ADVANCED_FACE('',(#25396),#10904,.T.); #312912=ADVANCED_FACE('',(#25397),#10905,.T.); #312913=ADVANCED_FACE('',(#25398),#10906,.T.); #312914=ADVANCED_FACE('',(#25399),#10907,.T.); #312915=ADVANCED_FACE('',(#25400),#10908,.T.); #312916=ADVANCED_FACE('',(#25401),#10909,.T.); #312917=ADVANCED_FACE('',(#25402),#10910,.T.); #312918=ADVANCED_FACE('',(#25403),#10911,.T.); #312919=ADVANCED_FACE('',(#25404),#10912,.T.); #312920=ADVANCED_FACE('',(#25405),#10913,.T.); #312921=ADVANCED_FACE('',(#25406),#10914,.T.); #312922=ADVANCED_FACE('',(#25407),#10915,.T.); #312923=ADVANCED_FACE('',(#25408),#10916,.T.); #312924=ADVANCED_FACE('',(#25409),#10917,.T.); #312925=ADVANCED_FACE('',(#25410),#10918,.T.); #312926=ADVANCED_FACE('',(#25411),#10919,.T.); #312927=ADVANCED_FACE('',(#25412),#10920,.T.); #312928=ADVANCED_FACE('',(#25413),#10921,.T.); #312929=ADVANCED_FACE('',(#25414),#10922,.T.); #312930=ADVANCED_FACE('',(#25415),#10923,.T.); #312931=ADVANCED_FACE('',(#25416),#10924,.T.); #312932=ADVANCED_FACE('',(#25417),#10925,.T.); #312933=ADVANCED_FACE('',(#25418),#10926,.T.); #312934=ADVANCED_FACE('',(#25419),#10927,.T.); #312935=ADVANCED_FACE('',(#25420),#10928,.T.); #312936=ADVANCED_FACE('',(#25421),#10929,.T.); #312937=ADVANCED_FACE('',(#25422),#10930,.T.); #312938=ADVANCED_FACE('',(#25423),#10931,.T.); #312939=ADVANCED_FACE('',(#25424),#10932,.T.); #312940=ADVANCED_FACE('',(#25425),#10933,.T.); #312941=ADVANCED_FACE('',(#25426),#10934,.T.); #312942=ADVANCED_FACE('',(#25427),#10935,.T.); #312943=ADVANCED_FACE('',(#25428),#10936,.T.); #312944=ADVANCED_FACE('',(#25429),#10937,.T.); #312945=ADVANCED_FACE('',(#25430),#10938,.T.); #312946=ADVANCED_FACE('',(#25431),#10939,.T.); #312947=ADVANCED_FACE('',(#25432),#10940,.T.); #312948=ADVANCED_FACE('',(#25433),#10941,.T.); #312949=ADVANCED_FACE('',(#25434),#10942,.T.); #312950=ADVANCED_FACE('',(#25435),#10943,.T.); #312951=ADVANCED_FACE('',(#25436),#10944,.T.); #312952=ADVANCED_FACE('',(#25437),#10945,.T.); #312953=ADVANCED_FACE('',(#25438),#10946,.T.); #312954=ADVANCED_FACE('',(#25439),#10947,.T.); #312955=ADVANCED_FACE('',(#25440),#10948,.T.); #312956=ADVANCED_FACE('',(#25441),#10949,.T.); #312957=ADVANCED_FACE('',(#25442),#10950,.T.); #312958=ADVANCED_FACE('',(#25443),#10951,.T.); #312959=ADVANCED_FACE('',(#25444),#10952,.T.); #312960=ADVANCED_FACE('',(#25445),#10953,.T.); #312961=ADVANCED_FACE('',(#25446),#10954,.T.); #312962=ADVANCED_FACE('',(#25447),#10955,.T.); #312963=ADVANCED_FACE('',(#25448),#10956,.T.); #312964=ADVANCED_FACE('',(#25449),#10957,.T.); #312965=ADVANCED_FACE('',(#25450),#10958,.T.); #312966=ADVANCED_FACE('',(#25451),#10959,.T.); #312967=ADVANCED_FACE('',(#25452),#10960,.T.); #312968=ADVANCED_FACE('',(#25453),#10961,.T.); #312969=ADVANCED_FACE('',(#25454),#10962,.T.); #312970=ADVANCED_FACE('',(#25455),#10963,.T.); #312971=ADVANCED_FACE('',(#25456),#10964,.T.); #312972=ADVANCED_FACE('',(#25457),#10965,.T.); #312973=ADVANCED_FACE('',(#25458),#10966,.T.); #312974=ADVANCED_FACE('',(#25459),#10967,.T.); #312975=ADVANCED_FACE('',(#25460),#10968,.T.); #312976=ADVANCED_FACE('',(#25461),#10969,.T.); #312977=ADVANCED_FACE('',(#25462),#10970,.T.); #312978=ADVANCED_FACE('',(#25463),#10971,.T.); #312979=ADVANCED_FACE('',(#25464),#10972,.T.); #312980=ADVANCED_FACE('',(#25465),#10973,.T.); #312981=ADVANCED_FACE('',(#25466),#10974,.T.); #312982=ADVANCED_FACE('',(#25467,#4727,#4728,#4729),#10975,.T.); #312983=ADVANCED_FACE('',(#25468,#4730,#4731,#4732),#10976,.F.); #312984=ADVANCED_FACE('',(#25469),#10977,.T.); #312985=ADVANCED_FACE('',(#25470),#10978,.T.); #312986=ADVANCED_FACE('',(#25471),#10979,.T.); #312987=ADVANCED_FACE('',(#25472),#10980,.T.); #312988=ADVANCED_FACE('',(#25473),#10981,.T.); #312989=ADVANCED_FACE('',(#25474),#10982,.T.); #312990=ADVANCED_FACE('',(#25475),#10983,.T.); #312991=ADVANCED_FACE('',(#25476),#10984,.T.); #312992=ADVANCED_FACE('',(#25477),#10985,.T.); #312993=ADVANCED_FACE('',(#25478),#10986,.T.); #312994=ADVANCED_FACE('',(#25479),#10987,.T.); #312995=ADVANCED_FACE('',(#25480),#10988,.T.); #312996=ADVANCED_FACE('',(#25481),#10989,.T.); #312997=ADVANCED_FACE('',(#25482),#10990,.T.); #312998=ADVANCED_FACE('',(#25483),#10991,.T.); #312999=ADVANCED_FACE('',(#25484),#10992,.T.); #313000=ADVANCED_FACE('',(#25485),#10993,.T.); #313001=ADVANCED_FACE('',(#25486),#10994,.T.); #313002=ADVANCED_FACE('',(#25487),#10995,.T.); #313003=ADVANCED_FACE('',(#25488),#10996,.T.); #313004=ADVANCED_FACE('',(#25489),#10997,.T.); #313005=ADVANCED_FACE('',(#25490),#10998,.T.); #313006=ADVANCED_FACE('',(#25491),#10999,.T.); #313007=ADVANCED_FACE('',(#25492),#11000,.T.); #313008=ADVANCED_FACE('',(#25493),#11001,.T.); #313009=ADVANCED_FACE('',(#25494),#11002,.T.); #313010=ADVANCED_FACE('',(#25495),#11003,.T.); #313011=ADVANCED_FACE('',(#25496),#11004,.T.); #313012=ADVANCED_FACE('',(#25497),#11005,.T.); #313013=ADVANCED_FACE('',(#25498),#11006,.T.); #313014=ADVANCED_FACE('',(#25499),#11007,.F.); #313015=ADVANCED_FACE('',(#25500),#11008,.T.); #313016=ADVANCED_FACE('',(#25501),#11009,.T.); #313017=ADVANCED_FACE('',(#25502),#11010,.T.); #313018=ADVANCED_FACE('',(#25503),#11011,.T.); #313019=ADVANCED_FACE('',(#25504),#11012,.T.); #313020=ADVANCED_FACE('',(#25505),#11013,.T.); #313021=ADVANCED_FACE('',(#25506),#11014,.T.); #313022=ADVANCED_FACE('',(#25507),#11015,.T.); #313023=ADVANCED_FACE('',(#25508),#11016,.T.); #313024=ADVANCED_FACE('',(#25509),#11017,.T.); #313025=ADVANCED_FACE('',(#25510),#11018,.T.); #313026=ADVANCED_FACE('',(#25511),#11019,.T.); #313027=ADVANCED_FACE('',(#25512),#11020,.T.); #313028=ADVANCED_FACE('',(#25513),#11021,.T.); #313029=ADVANCED_FACE('',(#25514),#11022,.T.); #313030=ADVANCED_FACE('',(#25515),#11023,.T.); #313031=ADVANCED_FACE('',(#25516),#11024,.T.); #313032=ADVANCED_FACE('',(#25517),#11025,.T.); #313033=ADVANCED_FACE('',(#25518),#11026,.T.); #313034=ADVANCED_FACE('',(#25519),#11027,.T.); #313035=ADVANCED_FACE('',(#25520),#11028,.F.); #313036=ADVANCED_FACE('',(#25521),#305733,.F.); #313037=ADVANCED_FACE('',(#25522),#305734,.F.); #313038=ADVANCED_FACE('',(#25523),#305735,.F.); #313039=ADVANCED_FACE('',(#25524),#305736,.F.); #313040=ADVANCED_FACE('',(#25525),#305737,.F.); #313041=ADVANCED_FACE('',(#25526),#305738,.F.); #313042=ADVANCED_FACE('',(#25527),#305739,.F.); #313043=ADVANCED_FACE('',(#25528),#305740,.F.); #313044=ADVANCED_FACE('',(#25529),#305741,.F.); #313045=ADVANCED_FACE('',(#25530),#305742,.F.); #313046=ADVANCED_FACE('',(#25531),#305743,.F.); #313047=ADVANCED_FACE('',(#25532),#305744,.F.); #313048=ADVANCED_FACE('',(#25533),#305745,.F.); #313049=ADVANCED_FACE('',(#25534),#305746,.F.); #313050=ADVANCED_FACE('',(#25535),#305747,.F.); #313051=ADVANCED_FACE('',(#25536),#305748,.F.); #313052=ADVANCED_FACE('',(#25537),#305749,.F.); #313053=ADVANCED_FACE('',(#25538),#305750,.F.); #313054=ADVANCED_FACE('',(#25539),#305751,.F.); #313055=ADVANCED_FACE('',(#25540),#305752,.F.); #313056=ADVANCED_FACE('',(#25541),#305753,.F.); #313057=ADVANCED_FACE('',(#25542),#305754,.F.); #313058=ADVANCED_FACE('',(#25543),#305755,.F.); #313059=ADVANCED_FACE('',(#25544),#305756,.F.); #313060=ADVANCED_FACE('',(#25545),#305757,.F.); #313061=ADVANCED_FACE('',(#25546),#305758,.F.); #313062=ADVANCED_FACE('',(#25547),#305759,.F.); #313063=ADVANCED_FACE('',(#25548),#305760,.F.); #313064=ADVANCED_FACE('',(#25549),#305761,.F.); #313065=ADVANCED_FACE('',(#25550),#305762,.F.); #313066=ADVANCED_FACE('',(#25551),#305763,.F.); #313067=ADVANCED_FACE('',(#25552),#305764,.F.); #313068=ADVANCED_FACE('',(#25553),#305765,.F.); #313069=ADVANCED_FACE('',(#25554),#305766,.F.); #313070=ADVANCED_FACE('',(#25555),#305767,.F.); #313071=ADVANCED_FACE('',(#25556),#305768,.F.); #313072=ADVANCED_FACE('',(#25557),#305769,.F.); #313073=ADVANCED_FACE('',(#25558),#305770,.F.); #313074=ADVANCED_FACE('',(#25559),#305771,.F.); #313075=ADVANCED_FACE('',(#25560),#305772,.F.); #313076=ADVANCED_FACE('',(#25561),#305773,.F.); #313077=ADVANCED_FACE('',(#25562),#305774,.F.); #313078=ADVANCED_FACE('',(#25563),#305775,.F.); #313079=ADVANCED_FACE('',(#25564),#305776,.F.); #313080=ADVANCED_FACE('',(#25565),#305777,.F.); #313081=ADVANCED_FACE('',(#25566),#305778,.F.); #313082=ADVANCED_FACE('',(#25567),#305779,.F.); #313083=ADVANCED_FACE('',(#25568),#305780,.F.); #313084=ADVANCED_FACE('',(#25569),#305781,.F.); #313085=ADVANCED_FACE('',(#25570),#11029,.T.); #313086=ADVANCED_FACE('',(#25571),#11030,.T.); #313087=ADVANCED_FACE('',(#25572),#11031,.T.); #313088=ADVANCED_FACE('',(#25573),#11032,.T.); #313089=ADVANCED_FACE('',(#25574),#11033,.T.); #313090=ADVANCED_FACE('',(#25575),#11034,.T.); #313091=ADVANCED_FACE('',(#25576),#11035,.T.); #313092=ADVANCED_FACE('',(#25577),#11036,.T.); #313093=ADVANCED_FACE('',(#25578),#11037,.T.); #313094=ADVANCED_FACE('',(#25579),#11038,.T.); #313095=ADVANCED_FACE('',(#25580),#11039,.T.); #313096=ADVANCED_FACE('',(#25581),#11040,.T.); #313097=ADVANCED_FACE('',(#25582),#11041,.T.); #313098=ADVANCED_FACE('',(#25583),#11042,.T.); #313099=ADVANCED_FACE('',(#25584),#11043,.T.); #313100=ADVANCED_FACE('',(#25585),#11044,.T.); #313101=ADVANCED_FACE('',(#25586),#11045,.T.); #313102=ADVANCED_FACE('',(#25587),#11046,.T.); #313103=ADVANCED_FACE('',(#25588),#11047,.T.); #313104=ADVANCED_FACE('',(#25589),#11048,.T.); #313105=ADVANCED_FACE('',(#25590),#11049,.T.); #313106=ADVANCED_FACE('',(#25591),#11050,.T.); #313107=ADVANCED_FACE('',(#25592),#11051,.T.); #313108=ADVANCED_FACE('',(#25593),#11052,.T.); #313109=ADVANCED_FACE('',(#25594),#11053,.T.); #313110=ADVANCED_FACE('',(#25595),#11054,.T.); #313111=ADVANCED_FACE('',(#25596),#11055,.T.); #313112=ADVANCED_FACE('',(#25597),#11056,.T.); #313113=ADVANCED_FACE('',(#25598),#11057,.T.); #313114=ADVANCED_FACE('',(#25599),#11058,.T.); #313115=ADVANCED_FACE('',(#25600),#11059,.T.); #313116=ADVANCED_FACE('',(#25601),#11060,.T.); #313117=ADVANCED_FACE('',(#25602),#11061,.T.); #313118=ADVANCED_FACE('',(#25603),#11062,.T.); #313119=ADVANCED_FACE('',(#25604),#11063,.T.); #313120=ADVANCED_FACE('',(#25605),#11064,.T.); #313121=ADVANCED_FACE('',(#25606),#11065,.T.); #313122=ADVANCED_FACE('',(#25607),#11066,.T.); #313123=ADVANCED_FACE('',(#25608),#11067,.T.); #313124=ADVANCED_FACE('',(#25609),#11068,.T.); #313125=ADVANCED_FACE('',(#25610),#11069,.T.); #313126=ADVANCED_FACE('',(#25611),#11070,.T.); #313127=ADVANCED_FACE('',(#25612),#11071,.T.); #313128=ADVANCED_FACE('',(#25613),#11072,.T.); #313129=ADVANCED_FACE('',(#25614),#11073,.T.); #313130=ADVANCED_FACE('',(#25615),#11074,.T.); #313131=ADVANCED_FACE('',(#25616),#11075,.T.); #313132=ADVANCED_FACE('',(#25617),#11076,.T.); #313133=ADVANCED_FACE('',(#25618),#11077,.T.); #313134=ADVANCED_FACE('',(#25619),#11078,.T.); #313135=ADVANCED_FACE('',(#25620),#11079,.T.); #313136=ADVANCED_FACE('',(#25621),#11080,.T.); #313137=ADVANCED_FACE('',(#25622),#11081,.T.); #313138=ADVANCED_FACE('',(#25623),#11082,.T.); #313139=ADVANCED_FACE('',(#25624),#11083,.T.); #313140=ADVANCED_FACE('',(#25625),#11084,.T.); #313141=ADVANCED_FACE('',(#25626),#11085,.T.); #313142=ADVANCED_FACE('',(#25627),#11086,.T.); #313143=ADVANCED_FACE('',(#25628),#11087,.T.); #313144=ADVANCED_FACE('',(#25629),#11088,.T.); #313145=ADVANCED_FACE('',(#25630),#11089,.T.); #313146=ADVANCED_FACE('',(#25631),#11090,.T.); #313147=ADVANCED_FACE('',(#25632),#11091,.T.); #313148=ADVANCED_FACE('',(#25633),#11092,.T.); #313149=ADVANCED_FACE('',(#25634),#11093,.T.); #313150=ADVANCED_FACE('',(#25635),#11094,.T.); #313151=ADVANCED_FACE('',(#25636),#11095,.T.); #313152=ADVANCED_FACE('',(#25637),#11096,.T.); #313153=ADVANCED_FACE('',(#25638),#11097,.T.); #313154=ADVANCED_FACE('',(#25639),#11098,.T.); #313155=ADVANCED_FACE('',(#25640),#11099,.T.); #313156=ADVANCED_FACE('',(#25641),#11100,.T.); #313157=ADVANCED_FACE('',(#25642),#11101,.T.); #313158=ADVANCED_FACE('',(#25643),#11102,.T.); #313159=ADVANCED_FACE('',(#25644),#11103,.T.); #313160=ADVANCED_FACE('',(#25645),#11104,.T.); #313161=ADVANCED_FACE('',(#25646),#11105,.T.); #313162=ADVANCED_FACE('',(#25647),#11106,.T.); #313163=ADVANCED_FACE('',(#25648),#11107,.T.); #313164=ADVANCED_FACE('',(#25649),#11108,.T.); #313165=ADVANCED_FACE('',(#25650),#11109,.T.); #313166=ADVANCED_FACE('',(#25651),#11110,.T.); #313167=ADVANCED_FACE('',(#25652),#11111,.T.); #313168=ADVANCED_FACE('',(#25653),#11112,.T.); #313169=ADVANCED_FACE('',(#25654),#11113,.T.); #313170=ADVANCED_FACE('',(#25655),#11114,.T.); #313171=ADVANCED_FACE('',(#25656),#11115,.T.); #313172=ADVANCED_FACE('',(#25657),#11116,.T.); #313173=ADVANCED_FACE('',(#25658),#11117,.T.); #313174=ADVANCED_FACE('',(#25659),#11118,.T.); #313175=ADVANCED_FACE('',(#25660),#11119,.T.); #313176=ADVANCED_FACE('',(#25661),#11120,.T.); #313177=ADVANCED_FACE('',(#25662),#11121,.T.); #313178=ADVANCED_FACE('',(#25663),#11122,.T.); #313179=ADVANCED_FACE('',(#25664),#11123,.T.); #313180=ADVANCED_FACE('',(#25665),#11124,.T.); #313181=ADVANCED_FACE('',(#25666),#11125,.T.); #313182=ADVANCED_FACE('',(#25667),#11126,.T.); #313183=ADVANCED_FACE('',(#25668),#11127,.T.); #313184=ADVANCED_FACE('',(#25669),#11128,.T.); #313185=ADVANCED_FACE('',(#25670),#11129,.T.); #313186=ADVANCED_FACE('',(#25671),#11130,.T.); #313187=ADVANCED_FACE('',(#25672),#11131,.T.); #313188=ADVANCED_FACE('',(#25673),#11132,.T.); #313189=ADVANCED_FACE('',(#25674),#11133,.T.); #313190=ADVANCED_FACE('',(#25675),#11134,.T.); #313191=ADVANCED_FACE('',(#25676),#11135,.T.); #313192=ADVANCED_FACE('',(#25677),#11136,.T.); #313193=ADVANCED_FACE('',(#25678),#11137,.T.); #313194=ADVANCED_FACE('',(#25679),#11138,.T.); #313195=ADVANCED_FACE('',(#25680),#11139,.T.); #313196=ADVANCED_FACE('',(#25681),#11140,.T.); #313197=ADVANCED_FACE('',(#25682),#11141,.T.); #313198=ADVANCED_FACE('',(#25683),#11142,.T.); #313199=ADVANCED_FACE('',(#25684),#11143,.T.); #313200=ADVANCED_FACE('',(#25685),#11144,.T.); #313201=ADVANCED_FACE('',(#25686),#11145,.T.); #313202=ADVANCED_FACE('',(#25687),#11146,.T.); #313203=ADVANCED_FACE('',(#25688),#11147,.T.); #313204=ADVANCED_FACE('',(#25689),#11148,.T.); #313205=ADVANCED_FACE('',(#25690),#11149,.T.); #313206=ADVANCED_FACE('',(#25691),#11150,.T.); #313207=ADVANCED_FACE('',(#25692),#11151,.T.); #313208=ADVANCED_FACE('',(#25693),#11152,.T.); #313209=ADVANCED_FACE('',(#25694),#11153,.T.); #313210=ADVANCED_FACE('',(#25695),#11154,.T.); #313211=ADVANCED_FACE('',(#25696),#11155,.T.); #313212=ADVANCED_FACE('',(#25697),#11156,.T.); #313213=ADVANCED_FACE('',(#25698),#11157,.T.); #313214=ADVANCED_FACE('',(#25699),#11158,.T.); #313215=ADVANCED_FACE('',(#25700),#11159,.T.); #313216=ADVANCED_FACE('',(#25701),#11160,.T.); #313217=ADVANCED_FACE('',(#25702),#11161,.T.); #313218=ADVANCED_FACE('',(#25703),#11162,.T.); #313219=ADVANCED_FACE('',(#25704),#11163,.T.); #313220=ADVANCED_FACE('',(#25705),#11164,.T.); #313221=ADVANCED_FACE('',(#25706),#11165,.T.); #313222=ADVANCED_FACE('',(#25707),#11166,.T.); #313223=ADVANCED_FACE('',(#25708),#11167,.T.); #313224=ADVANCED_FACE('',(#25709),#11168,.T.); #313225=ADVANCED_FACE('',(#25710),#11169,.T.); #313226=ADVANCED_FACE('',(#25711),#11170,.T.); #313227=ADVANCED_FACE('',(#25712),#11171,.T.); #313228=ADVANCED_FACE('',(#25713),#11172,.T.); #313229=ADVANCED_FACE('',(#25714),#11173,.T.); #313230=ADVANCED_FACE('',(#25715),#11174,.T.); #313231=ADVANCED_FACE('',(#25716),#11175,.T.); #313232=ADVANCED_FACE('',(#25717),#11176,.T.); #313233=ADVANCED_FACE('',(#25718),#11177,.T.); #313234=ADVANCED_FACE('',(#25719),#11178,.T.); #313235=ADVANCED_FACE('',(#25720),#11179,.T.); #313236=ADVANCED_FACE('',(#25721),#11180,.T.); #313237=ADVANCED_FACE('',(#25722),#11181,.T.); #313238=ADVANCED_FACE('',(#25723),#11182,.T.); #313239=ADVANCED_FACE('',(#25724),#11183,.T.); #313240=ADVANCED_FACE('',(#25725),#11184,.T.); #313241=ADVANCED_FACE('',(#25726),#11185,.T.); #313242=ADVANCED_FACE('',(#25727),#11186,.T.); #313243=ADVANCED_FACE('',(#25728),#11187,.T.); #313244=ADVANCED_FACE('',(#25729),#11188,.T.); #313245=ADVANCED_FACE('',(#25730),#11189,.T.); #313246=ADVANCED_FACE('',(#25731),#11190,.T.); #313247=ADVANCED_FACE('',(#25732),#11191,.T.); #313248=ADVANCED_FACE('',(#25733),#11192,.T.); #313249=ADVANCED_FACE('',(#25734),#11193,.T.); #313250=ADVANCED_FACE('',(#25735),#11194,.T.); #313251=ADVANCED_FACE('',(#25736),#11195,.T.); #313252=ADVANCED_FACE('',(#25737),#11196,.T.); #313253=ADVANCED_FACE('',(#25738),#11197,.T.); #313254=ADVANCED_FACE('',(#25739),#11198,.T.); #313255=ADVANCED_FACE('',(#25740),#11199,.T.); #313256=ADVANCED_FACE('',(#25741),#11200,.T.); #313257=ADVANCED_FACE('',(#25742),#11201,.T.); #313258=ADVANCED_FACE('',(#25743),#11202,.T.); #313259=ADVANCED_FACE('',(#25744),#11203,.T.); #313260=ADVANCED_FACE('',(#25745),#11204,.T.); #313261=ADVANCED_FACE('',(#25746),#11205,.T.); #313262=ADVANCED_FACE('',(#25747),#11206,.T.); #313263=ADVANCED_FACE('',(#25748),#11207,.T.); #313264=ADVANCED_FACE('',(#25749),#11208,.T.); #313265=ADVANCED_FACE('',(#25750),#11209,.T.); #313266=ADVANCED_FACE('',(#25751),#11210,.T.); #313267=ADVANCED_FACE('',(#25752),#11211,.T.); #313268=ADVANCED_FACE('',(#25753),#11212,.T.); #313269=ADVANCED_FACE('',(#25754),#11213,.T.); #313270=ADVANCED_FACE('',(#25755),#11214,.T.); #313271=ADVANCED_FACE('',(#25756),#11215,.T.); #313272=ADVANCED_FACE('',(#25757),#11216,.T.); #313273=ADVANCED_FACE('',(#25758),#11217,.T.); #313274=ADVANCED_FACE('',(#25759),#11218,.T.); #313275=ADVANCED_FACE('',(#25760),#11219,.T.); #313276=ADVANCED_FACE('',(#25761),#11220,.T.); #313277=ADVANCED_FACE('',(#25762),#11221,.T.); #313278=ADVANCED_FACE('',(#25763),#11222,.T.); #313279=ADVANCED_FACE('',(#25764),#11223,.T.); #313280=ADVANCED_FACE('',(#25765),#11224,.T.); #313281=ADVANCED_FACE('',(#25766),#11225,.T.); #313282=ADVANCED_FACE('',(#25767),#11226,.T.); #313283=ADVANCED_FACE('',(#25768),#11227,.T.); #313284=ADVANCED_FACE('',(#25769),#11228,.T.); #313285=ADVANCED_FACE('',(#25770),#11229,.T.); #313286=ADVANCED_FACE('',(#25771),#11230,.T.); #313287=ADVANCED_FACE('',(#25772),#11231,.T.); #313288=ADVANCED_FACE('',(#25773),#11232,.T.); #313289=ADVANCED_FACE('',(#25774),#11233,.T.); #313290=ADVANCED_FACE('',(#25775),#11234,.T.); #313291=ADVANCED_FACE('',(#25776),#11235,.T.); #313292=ADVANCED_FACE('',(#25777),#11236,.T.); #313293=ADVANCED_FACE('',(#25778),#11237,.T.); #313294=ADVANCED_FACE('',(#25779),#11238,.T.); #313295=ADVANCED_FACE('',(#25780),#11239,.T.); #313296=ADVANCED_FACE('',(#25781),#11240,.T.); #313297=ADVANCED_FACE('',(#25782),#11241,.T.); #313298=ADVANCED_FACE('',(#25783),#11242,.T.); #313299=ADVANCED_FACE('',(#25784),#11243,.T.); #313300=ADVANCED_FACE('',(#25785),#11244,.T.); #313301=ADVANCED_FACE('',(#25786),#11245,.T.); #313302=ADVANCED_FACE('',(#25787),#11246,.T.); #313303=ADVANCED_FACE('',(#25788),#11247,.T.); #313304=ADVANCED_FACE('',(#25789),#11248,.T.); #313305=ADVANCED_FACE('',(#25790),#11249,.T.); #313306=ADVANCED_FACE('',(#25791),#11250,.T.); #313307=ADVANCED_FACE('',(#25792),#11251,.T.); #313308=ADVANCED_FACE('',(#25793),#11252,.T.); #313309=ADVANCED_FACE('',(#25794),#11253,.T.); #313310=ADVANCED_FACE('',(#25795),#11254,.T.); #313311=ADVANCED_FACE('',(#25796),#11255,.T.); #313312=ADVANCED_FACE('',(#25797),#11256,.T.); #313313=ADVANCED_FACE('',(#25798),#11257,.T.); #313314=ADVANCED_FACE('',(#25799),#11258,.T.); #313315=ADVANCED_FACE('',(#25800),#11259,.T.); #313316=ADVANCED_FACE('',(#25801),#11260,.T.); #313317=ADVANCED_FACE('',(#25802),#11261,.T.); #313318=ADVANCED_FACE('',(#25803),#11262,.T.); #313319=ADVANCED_FACE('',(#25804),#11263,.T.); #313320=ADVANCED_FACE('',(#25805),#11264,.T.); #313321=ADVANCED_FACE('',(#25806),#11265,.T.); #313322=ADVANCED_FACE('',(#25807),#11266,.T.); #313323=ADVANCED_FACE('',(#25808),#11267,.T.); #313324=ADVANCED_FACE('',(#25809),#11268,.T.); #313325=ADVANCED_FACE('',(#25810),#11269,.T.); #313326=ADVANCED_FACE('',(#25811),#11270,.T.); #313327=ADVANCED_FACE('',(#25812),#11271,.T.); #313328=ADVANCED_FACE('',(#25813),#11272,.T.); #313329=ADVANCED_FACE('',(#25814),#11273,.T.); #313330=ADVANCED_FACE('',(#25815),#11274,.T.); #313331=ADVANCED_FACE('',(#25816),#11275,.T.); #313332=ADVANCED_FACE('',(#25817),#11276,.T.); #313333=ADVANCED_FACE('',(#25818),#11277,.T.); #313334=ADVANCED_FACE('',(#25819),#11278,.T.); #313335=ADVANCED_FACE('',(#25820),#11279,.T.); #313336=ADVANCED_FACE('',(#25821),#11280,.T.); #313337=ADVANCED_FACE('',(#25822),#11281,.T.); #313338=ADVANCED_FACE('',(#25823),#11282,.T.); #313339=ADVANCED_FACE('',(#25824),#11283,.T.); #313340=ADVANCED_FACE('',(#25825),#11284,.T.); #313341=ADVANCED_FACE('',(#25826),#11285,.T.); #313342=ADVANCED_FACE('',(#25827),#11286,.T.); #313343=ADVANCED_FACE('',(#25828),#11287,.T.); #313344=ADVANCED_FACE('',(#25829),#11288,.T.); #313345=ADVANCED_FACE('',(#25830),#11289,.T.); #313346=ADVANCED_FACE('',(#25831),#11290,.T.); #313347=ADVANCED_FACE('',(#25832),#11291,.T.); #313348=ADVANCED_FACE('',(#25833),#11292,.T.); #313349=ADVANCED_FACE('',(#25834),#11293,.T.); #313350=ADVANCED_FACE('',(#25835),#11294,.T.); #313351=ADVANCED_FACE('',(#25836),#11295,.T.); #313352=ADVANCED_FACE('',(#25837),#11296,.T.); #313353=ADVANCED_FACE('',(#25838),#11297,.T.); #313354=ADVANCED_FACE('',(#25839),#11298,.T.); #313355=ADVANCED_FACE('',(#25840),#11299,.T.); #313356=ADVANCED_FACE('',(#25841),#11300,.T.); #313357=ADVANCED_FACE('',(#25842),#11301,.T.); #313358=ADVANCED_FACE('',(#25843),#11302,.T.); #313359=ADVANCED_FACE('',(#25844),#11303,.T.); #313360=ADVANCED_FACE('',(#25845),#11304,.T.); #313361=ADVANCED_FACE('',(#25846),#11305,.T.); #313362=ADVANCED_FACE('',(#25847),#11306,.T.); #313363=ADVANCED_FACE('',(#25848),#11307,.T.); #313364=ADVANCED_FACE('',(#25849),#11308,.T.); #313365=ADVANCED_FACE('',(#25850),#11309,.T.); #313366=ADVANCED_FACE('',(#25851),#11310,.T.); #313367=ADVANCED_FACE('',(#25852),#11311,.T.); #313368=ADVANCED_FACE('',(#25853),#11312,.T.); #313369=ADVANCED_FACE('',(#25854),#11313,.T.); #313370=ADVANCED_FACE('',(#25855),#11314,.T.); #313371=ADVANCED_FACE('',(#25856),#11315,.T.); #313372=ADVANCED_FACE('',(#25857),#11316,.T.); #313373=ADVANCED_FACE('',(#25858),#11317,.T.); #313374=ADVANCED_FACE('',(#25859),#11318,.T.); #313375=ADVANCED_FACE('',(#25860),#11319,.T.); #313376=ADVANCED_FACE('',(#25861),#11320,.T.); #313377=ADVANCED_FACE('',(#25862),#11321,.T.); #313378=ADVANCED_FACE('',(#25863),#11322,.T.); #313379=ADVANCED_FACE('',(#25864),#11323,.T.); #313380=ADVANCED_FACE('',(#25865),#11324,.T.); #313381=ADVANCED_FACE('',(#25866,#4733,#4734,#4735,#4736,#4737,#4738,#4739, #4740,#4741,#4742,#4743,#4744,#4745,#4746,#4747,#4748,#4749,#4750,#4751, #4752,#4753,#4754,#4755,#4756,#4757,#4758,#4759,#4760,#4761,#4762,#4763, #4764,#4765,#4766,#4767,#4768,#4769,#4770,#4771,#4772,#4773,#4774,#4775, #4776,#4777,#4778,#4779,#4780,#4781),#11325,.T.); #313382=ADVANCED_FACE('',(#25867,#4782,#4783,#4784,#4785,#4786,#4787,#4788, #4789,#4790,#4791,#4792,#4793,#4794,#4795,#4796,#4797,#4798,#4799,#4800, #4801,#4802,#4803,#4804,#4805,#4806,#4807,#4808,#4809,#4810,#4811,#4812, #4813,#4814,#4815,#4816,#4817,#4818,#4819,#4820,#4821,#4822,#4823,#4824, #4825,#4826,#4827,#4828,#4829,#4830),#11326,.F.); #313383=ADVANCED_FACE('',(#25868),#11327,.T.); #313384=ADVANCED_FACE('',(#25869),#11328,.T.); #313385=ADVANCED_FACE('',(#25870),#11329,.T.); #313386=ADVANCED_FACE('',(#25871),#11330,.T.); #313387=ADVANCED_FACE('',(#25872),#11331,.T.); #313388=ADVANCED_FACE('',(#25873),#11332,.T.); #313389=ADVANCED_FACE('',(#25874),#11333,.T.); #313390=ADVANCED_FACE('',(#25875),#11334,.T.); #313391=ADVANCED_FACE('',(#25876),#11335,.T.); #313392=ADVANCED_FACE('',(#25877),#11336,.T.); #313393=ADVANCED_FACE('',(#25878),#11337,.T.); #313394=ADVANCED_FACE('',(#25879),#11338,.T.); #313395=ADVANCED_FACE('',(#25880),#11339,.T.); #313396=ADVANCED_FACE('',(#25881),#11340,.T.); #313397=ADVANCED_FACE('',(#25882),#11341,.T.); #313398=ADVANCED_FACE('',(#25883),#11342,.T.); #313399=ADVANCED_FACE('',(#25884),#11343,.T.); #313400=ADVANCED_FACE('',(#25885),#11344,.T.); #313401=ADVANCED_FACE('',(#25886),#11345,.T.); #313402=ADVANCED_FACE('',(#25887),#11346,.T.); #313403=ADVANCED_FACE('',(#25888),#11347,.T.); #313404=ADVANCED_FACE('',(#25889),#11348,.T.); #313405=ADVANCED_FACE('',(#25890),#11349,.F.); #313406=ADVANCED_FACE('',(#25891),#11350,.T.); #313407=ADVANCED_FACE('',(#25892),#11351,.T.); #313408=ADVANCED_FACE('',(#25893),#11352,.T.); #313409=ADVANCED_FACE('',(#25894),#11353,.T.); #313410=ADVANCED_FACE('',(#25895),#11354,.T.); #313411=ADVANCED_FACE('',(#25896),#11355,.T.); #313412=ADVANCED_FACE('',(#25897),#11356,.T.); #313413=ADVANCED_FACE('',(#25898),#11357,.T.); #313414=ADVANCED_FACE('',(#25899),#11358,.T.); #313415=ADVANCED_FACE('',(#25900),#11359,.T.); #313416=ADVANCED_FACE('',(#25901),#11360,.T.); #313417=ADVANCED_FACE('',(#25902),#11361,.T.); #313418=ADVANCED_FACE('',(#25903),#11362,.T.); #313419=ADVANCED_FACE('',(#25904),#11363,.T.); #313420=ADVANCED_FACE('',(#25905),#11364,.T.); #313421=ADVANCED_FACE('',(#25906),#11365,.T.); #313422=ADVANCED_FACE('',(#25907),#11366,.T.); #313423=ADVANCED_FACE('',(#25908),#11367,.T.); #313424=ADVANCED_FACE('',(#25909),#11368,.T.); #313425=ADVANCED_FACE('',(#25910),#11369,.T.); #313426=ADVANCED_FACE('',(#25911),#11370,.T.); #313427=ADVANCED_FACE('',(#25912),#11371,.T.); #313428=ADVANCED_FACE('',(#25913),#11372,.F.); #313429=ADVANCED_FACE('',(#25914),#11373,.T.); #313430=ADVANCED_FACE('',(#25915),#11374,.T.); #313431=ADVANCED_FACE('',(#25916),#11375,.T.); #313432=ADVANCED_FACE('',(#25917),#11376,.T.); #313433=ADVANCED_FACE('',(#25918),#11377,.T.); #313434=ADVANCED_FACE('',(#25919),#11378,.T.); #313435=ADVANCED_FACE('',(#25920),#11379,.T.); #313436=ADVANCED_FACE('',(#25921),#11380,.T.); #313437=ADVANCED_FACE('',(#25922),#11381,.T.); #313438=ADVANCED_FACE('',(#25923),#11382,.T.); #313439=ADVANCED_FACE('',(#25924),#11383,.T.); #313440=ADVANCED_FACE('',(#25925),#11384,.T.); #313441=ADVANCED_FACE('',(#25926),#11385,.T.); #313442=ADVANCED_FACE('',(#25927),#11386,.T.); #313443=ADVANCED_FACE('',(#25928),#11387,.T.); #313444=ADVANCED_FACE('',(#25929),#11388,.T.); #313445=ADVANCED_FACE('',(#25930),#11389,.T.); #313446=ADVANCED_FACE('',(#25931),#11390,.T.); #313447=ADVANCED_FACE('',(#25932),#11391,.T.); #313448=ADVANCED_FACE('',(#25933),#11392,.T.); #313449=ADVANCED_FACE('',(#25934),#11393,.F.); #313450=ADVANCED_FACE('',(#25935),#11394,.T.); #313451=ADVANCED_FACE('',(#25936),#11395,.T.); #313452=ADVANCED_FACE('',(#25937),#11396,.T.); #313453=ADVANCED_FACE('',(#25938),#11397,.T.); #313454=ADVANCED_FACE('',(#25939),#11398,.T.); #313455=ADVANCED_FACE('',(#25940),#11399,.T.); #313456=ADVANCED_FACE('',(#25941),#11400,.T.); #313457=ADVANCED_FACE('',(#25942),#11401,.T.); #313458=ADVANCED_FACE('',(#25943),#11402,.T.); #313459=ADVANCED_FACE('',(#25944),#11403,.T.); #313460=ADVANCED_FACE('',(#25945),#11404,.T.); #313461=ADVANCED_FACE('',(#25946),#11405,.T.); #313462=ADVANCED_FACE('',(#25947),#11406,.T.); #313463=ADVANCED_FACE('',(#25948),#11407,.T.); #313464=ADVANCED_FACE('',(#25949),#11408,.T.); #313465=ADVANCED_FACE('',(#25950),#11409,.T.); #313466=ADVANCED_FACE('',(#25951),#11410,.T.); #313467=ADVANCED_FACE('',(#25952),#11411,.T.); #313468=ADVANCED_FACE('',(#25953),#11412,.T.); #313469=ADVANCED_FACE('',(#25954),#11413,.T.); #313470=ADVANCED_FACE('',(#25955),#11414,.F.); #313471=ADVANCED_FACE('',(#25956),#11415,.T.); #313472=ADVANCED_FACE('',(#25957),#11416,.T.); #313473=ADVANCED_FACE('',(#25958),#11417,.T.); #313474=ADVANCED_FACE('',(#25959),#11418,.T.); #313475=ADVANCED_FACE('',(#25960),#11419,.T.); #313476=ADVANCED_FACE('',(#25961),#11420,.T.); #313477=ADVANCED_FACE('',(#25962),#11421,.T.); #313478=ADVANCED_FACE('',(#25963),#11422,.T.); #313479=ADVANCED_FACE('',(#25964),#11423,.T.); #313480=ADVANCED_FACE('',(#25965),#11424,.T.); #313481=ADVANCED_FACE('',(#25966),#11425,.T.); #313482=ADVANCED_FACE('',(#25967),#11426,.T.); #313483=ADVANCED_FACE('',(#25968),#11427,.T.); #313484=ADVANCED_FACE('',(#25969),#11428,.T.); #313485=ADVANCED_FACE('',(#25970),#11429,.T.); #313486=ADVANCED_FACE('',(#25971),#11430,.T.); #313487=ADVANCED_FACE('',(#25972),#11431,.T.); #313488=ADVANCED_FACE('',(#25973),#11432,.T.); #313489=ADVANCED_FACE('',(#25974),#11433,.T.); #313490=ADVANCED_FACE('',(#25975),#11434,.T.); #313491=ADVANCED_FACE('',(#25976),#11435,.F.); #313492=ADVANCED_FACE('',(#25977),#11436,.T.); #313493=ADVANCED_FACE('',(#25978),#11437,.T.); #313494=ADVANCED_FACE('',(#25979),#11438,.T.); #313495=ADVANCED_FACE('',(#25980),#11439,.T.); #313496=ADVANCED_FACE('',(#25981),#11440,.T.); #313497=ADVANCED_FACE('',(#25982),#11441,.T.); #313498=ADVANCED_FACE('',(#25983),#11442,.T.); #313499=ADVANCED_FACE('',(#25984),#11443,.T.); #313500=ADVANCED_FACE('',(#25985),#11444,.T.); #313501=ADVANCED_FACE('',(#25986),#11445,.T.); #313502=ADVANCED_FACE('',(#25987),#11446,.T.); #313503=ADVANCED_FACE('',(#25988),#11447,.T.); #313504=ADVANCED_FACE('',(#25989),#11448,.T.); #313505=ADVANCED_FACE('',(#25990),#11449,.T.); #313506=ADVANCED_FACE('',(#25991),#11450,.T.); #313507=ADVANCED_FACE('',(#25992),#11451,.T.); #313508=ADVANCED_FACE('',(#25993),#11452,.T.); #313509=ADVANCED_FACE('',(#25994),#11453,.T.); #313510=ADVANCED_FACE('',(#25995),#11454,.T.); #313511=ADVANCED_FACE('',(#25996),#11455,.T.); #313512=ADVANCED_FACE('',(#25997),#11456,.T.); #313513=ADVANCED_FACE('',(#25998),#11457,.T.); #313514=ADVANCED_FACE('',(#25999),#11458,.T.); #313515=ADVANCED_FACE('',(#26000),#11459,.T.); #313516=ADVANCED_FACE('',(#26001),#11460,.T.); #313517=ADVANCED_FACE('',(#26002),#11461,.T.); #313518=ADVANCED_FACE('',(#26003),#11462,.T.); #313519=ADVANCED_FACE('',(#26004),#11463,.T.); #313520=ADVANCED_FACE('',(#26005),#11464,.T.); #313521=ADVANCED_FACE('',(#26006),#11465,.T.); #313522=ADVANCED_FACE('',(#26007),#11466,.T.); #313523=ADVANCED_FACE('',(#26008),#11467,.T.); #313524=ADVANCED_FACE('',(#26009),#11468,.T.); #313525=ADVANCED_FACE('',(#26010),#11469,.T.); #313526=ADVANCED_FACE('',(#26011),#11470,.T.); #313527=ADVANCED_FACE('',(#26012),#11471,.T.); #313528=ADVANCED_FACE('',(#26013),#11472,.T.); #313529=ADVANCED_FACE('',(#26014),#11473,.T.); #313530=ADVANCED_FACE('',(#26015),#11474,.F.); #313531=ADVANCED_FACE('',(#26016),#11475,.T.); #313532=ADVANCED_FACE('',(#26017),#11476,.T.); #313533=ADVANCED_FACE('',(#26018),#11477,.T.); #313534=ADVANCED_FACE('',(#26019),#11478,.T.); #313535=ADVANCED_FACE('',(#26020),#11479,.T.); #313536=ADVANCED_FACE('',(#26021),#11480,.T.); #313537=ADVANCED_FACE('',(#26022),#11481,.T.); #313538=ADVANCED_FACE('',(#26023),#11482,.T.); #313539=ADVANCED_FACE('',(#26024),#11483,.T.); #313540=ADVANCED_FACE('',(#26025),#11484,.T.); #313541=ADVANCED_FACE('',(#26026),#11485,.T.); #313542=ADVANCED_FACE('',(#26027),#11486,.T.); #313543=ADVANCED_FACE('',(#26028),#11487,.T.); #313544=ADVANCED_FACE('',(#26029),#11488,.T.); #313545=ADVANCED_FACE('',(#26030),#11489,.T.); #313546=ADVANCED_FACE('',(#26031),#11490,.T.); #313547=ADVANCED_FACE('',(#26032),#11491,.T.); #313548=ADVANCED_FACE('',(#26033),#11492,.T.); #313549=ADVANCED_FACE('',(#26034),#11493,.T.); #313550=ADVANCED_FACE('',(#26035),#11494,.T.); #313551=ADVANCED_FACE('',(#26036),#11495,.T.); #313552=ADVANCED_FACE('',(#26037),#11496,.F.); #313553=ADVANCED_FACE('',(#26038),#11497,.T.); #313554=ADVANCED_FACE('',(#26039),#11498,.T.); #313555=ADVANCED_FACE('',(#26040),#11499,.T.); #313556=ADVANCED_FACE('',(#26041),#11500,.T.); #313557=ADVANCED_FACE('',(#26042),#11501,.T.); #313558=ADVANCED_FACE('',(#26043),#11502,.T.); #313559=ADVANCED_FACE('',(#26044),#11503,.T.); #313560=ADVANCED_FACE('',(#26045),#11504,.T.); #313561=ADVANCED_FACE('',(#26046),#11505,.T.); #313562=ADVANCED_FACE('',(#26047),#11506,.T.); #313563=ADVANCED_FACE('',(#26048),#11507,.T.); #313564=ADVANCED_FACE('',(#26049),#11508,.T.); #313565=ADVANCED_FACE('',(#26050),#11509,.T.); #313566=ADVANCED_FACE('',(#26051),#11510,.T.); #313567=ADVANCED_FACE('',(#26052),#11511,.T.); #313568=ADVANCED_FACE('',(#26053),#11512,.T.); #313569=ADVANCED_FACE('',(#26054),#11513,.T.); #313570=ADVANCED_FACE('',(#26055),#11514,.T.); #313571=ADVANCED_FACE('',(#26056),#11515,.T.); #313572=ADVANCED_FACE('',(#26057),#11516,.T.); #313573=ADVANCED_FACE('',(#26058),#11517,.T.); #313574=ADVANCED_FACE('',(#26059),#11518,.T.); #313575=ADVANCED_FACE('',(#26060),#11519,.T.); #313576=ADVANCED_FACE('',(#26061),#11520,.T.); #313577=ADVANCED_FACE('',(#26062),#11521,.T.); #313578=ADVANCED_FACE('',(#26063),#11522,.T.); #313579=ADVANCED_FACE('',(#26064),#11523,.T.); #313580=ADVANCED_FACE('',(#26065),#11524,.T.); #313581=ADVANCED_FACE('',(#26066),#11525,.T.); #313582=ADVANCED_FACE('',(#26067),#11526,.T.); #313583=ADVANCED_FACE('',(#26068),#11527,.T.); #313584=ADVANCED_FACE('',(#26069),#11528,.T.); #313585=ADVANCED_FACE('',(#26070),#11529,.T.); #313586=ADVANCED_FACE('',(#26071),#11530,.T.); #313587=ADVANCED_FACE('',(#26072),#11531,.T.); #313588=ADVANCED_FACE('',(#26073),#11532,.T.); #313589=ADVANCED_FACE('',(#26074),#11533,.T.); #313590=ADVANCED_FACE('',(#26075),#11534,.T.); #313591=ADVANCED_FACE('',(#26076),#11535,.T.); #313592=ADVANCED_FACE('',(#26077),#11536,.T.); #313593=ADVANCED_FACE('',(#26078),#11537,.T.); #313594=ADVANCED_FACE('',(#26079),#11538,.T.); #313595=ADVANCED_FACE('',(#26080),#11539,.T.); #313596=ADVANCED_FACE('',(#26081),#11540,.T.); #313597=ADVANCED_FACE('',(#26082),#11541,.T.); #313598=ADVANCED_FACE('',(#26083),#11542,.T.); #313599=ADVANCED_FACE('',(#26084),#11543,.T.); #313600=ADVANCED_FACE('',(#26085),#11544,.T.); #313601=ADVANCED_FACE('',(#26086),#11545,.T.); #313602=ADVANCED_FACE('',(#26087),#11546,.F.); #313603=ADVANCED_FACE('',(#26088),#11547,.T.); #313604=ADVANCED_FACE('',(#26089),#11548,.T.); #313605=ADVANCED_FACE('',(#26090),#11549,.T.); #313606=ADVANCED_FACE('',(#26091),#11550,.T.); #313607=ADVANCED_FACE('',(#26092),#11551,.T.); #313608=ADVANCED_FACE('',(#26093),#11552,.T.); #313609=ADVANCED_FACE('',(#26094),#11553,.T.); #313610=ADVANCED_FACE('',(#26095),#11554,.T.); #313611=ADVANCED_FACE('',(#26096),#11555,.T.); #313612=ADVANCED_FACE('',(#26097),#11556,.T.); #313613=ADVANCED_FACE('',(#26098),#11557,.T.); #313614=ADVANCED_FACE('',(#26099),#11558,.T.); #313615=ADVANCED_FACE('',(#26100),#11559,.T.); #313616=ADVANCED_FACE('',(#26101),#11560,.T.); #313617=ADVANCED_FACE('',(#26102),#11561,.T.); #313618=ADVANCED_FACE('',(#26103),#11562,.T.); #313619=ADVANCED_FACE('',(#26104),#11563,.T.); #313620=ADVANCED_FACE('',(#26105),#11564,.T.); #313621=ADVANCED_FACE('',(#26106),#11565,.T.); #313622=ADVANCED_FACE('',(#26107),#11566,.T.); #313623=ADVANCED_FACE('',(#26108),#11567,.T.); #313624=ADVANCED_FACE('',(#26109),#11568,.F.); #313625=ADVANCED_FACE('',(#26110),#11569,.T.); #313626=ADVANCED_FACE('',(#26111),#11570,.T.); #313627=ADVANCED_FACE('',(#26112),#11571,.T.); #313628=ADVANCED_FACE('',(#26113),#11572,.T.); #313629=ADVANCED_FACE('',(#26114),#11573,.T.); #313630=ADVANCED_FACE('',(#26115),#11574,.T.); #313631=ADVANCED_FACE('',(#26116),#11575,.T.); #313632=ADVANCED_FACE('',(#26117),#11576,.T.); #313633=ADVANCED_FACE('',(#26118),#11577,.T.); #313634=ADVANCED_FACE('',(#26119),#11578,.T.); #313635=ADVANCED_FACE('',(#26120),#11579,.T.); #313636=ADVANCED_FACE('',(#26121),#11580,.T.); #313637=ADVANCED_FACE('',(#26122),#11581,.T.); #313638=ADVANCED_FACE('',(#26123),#11582,.T.); #313639=ADVANCED_FACE('',(#26124),#11583,.T.); #313640=ADVANCED_FACE('',(#26125),#11584,.T.); #313641=ADVANCED_FACE('',(#26126),#11585,.T.); #313642=ADVANCED_FACE('',(#26127),#11586,.T.); #313643=ADVANCED_FACE('',(#26128),#11587,.T.); #313644=ADVANCED_FACE('',(#26129),#11588,.T.); #313645=ADVANCED_FACE('',(#26130),#11589,.T.); #313646=ADVANCED_FACE('',(#26131),#11590,.T.); #313647=ADVANCED_FACE('',(#26132),#11591,.T.); #313648=ADVANCED_FACE('',(#26133),#11592,.T.); #313649=ADVANCED_FACE('',(#26134),#11593,.T.); #313650=ADVANCED_FACE('',(#26135),#11594,.T.); #313651=ADVANCED_FACE('',(#26136),#11595,.T.); #313652=ADVANCED_FACE('',(#26137),#11596,.T.); #313653=ADVANCED_FACE('',(#26138),#11597,.T.); #313654=ADVANCED_FACE('',(#26139),#11598,.T.); #313655=ADVANCED_FACE('',(#26140),#11599,.T.); #313656=ADVANCED_FACE('',(#26141),#11600,.T.); #313657=ADVANCED_FACE('',(#26142),#11601,.F.); #313658=ADVANCED_FACE('',(#26143),#11602,.T.); #313659=ADVANCED_FACE('',(#26144),#11603,.T.); #313660=ADVANCED_FACE('',(#26145),#11604,.T.); #313661=ADVANCED_FACE('',(#26146),#11605,.T.); #313662=ADVANCED_FACE('',(#26147),#11606,.T.); #313663=ADVANCED_FACE('',(#26148),#11607,.T.); #313664=ADVANCED_FACE('',(#26149),#11608,.T.); #313665=ADVANCED_FACE('',(#26150),#11609,.T.); #313666=ADVANCED_FACE('',(#26151),#11610,.T.); #313667=ADVANCED_FACE('',(#26152),#11611,.T.); #313668=ADVANCED_FACE('',(#26153),#11612,.T.); #313669=ADVANCED_FACE('',(#26154),#11613,.T.); #313670=ADVANCED_FACE('',(#26155),#11614,.T.); #313671=ADVANCED_FACE('',(#26156),#11615,.T.); #313672=ADVANCED_FACE('',(#26157),#11616,.T.); #313673=ADVANCED_FACE('',(#26158),#11617,.T.); #313674=ADVANCED_FACE('',(#26159),#11618,.T.); #313675=ADVANCED_FACE('',(#26160),#11619,.T.); #313676=ADVANCED_FACE('',(#26161),#11620,.T.); #313677=ADVANCED_FACE('',(#26162),#11621,.T.); #313678=ADVANCED_FACE('',(#26163),#11622,.T.); #313679=ADVANCED_FACE('',(#26164),#11623,.F.); #313680=ADVANCED_FACE('',(#26165),#11624,.T.); #313681=ADVANCED_FACE('',(#26166),#11625,.T.); #313682=ADVANCED_FACE('',(#26167),#11626,.T.); #313683=ADVANCED_FACE('',(#26168),#11627,.T.); #313684=ADVANCED_FACE('',(#26169),#11628,.T.); #313685=ADVANCED_FACE('',(#26170),#11629,.T.); #313686=ADVANCED_FACE('',(#26171),#11630,.T.); #313687=ADVANCED_FACE('',(#26172),#11631,.T.); #313688=ADVANCED_FACE('',(#26173),#11632,.T.); #313689=ADVANCED_FACE('',(#26174),#11633,.T.); #313690=ADVANCED_FACE('',(#26175),#11634,.T.); #313691=ADVANCED_FACE('',(#26176),#11635,.T.); #313692=ADVANCED_FACE('',(#26177),#11636,.T.); #313693=ADVANCED_FACE('',(#26178),#11637,.T.); #313694=ADVANCED_FACE('',(#26179),#11638,.T.); #313695=ADVANCED_FACE('',(#26180),#11639,.T.); #313696=ADVANCED_FACE('',(#26181),#11640,.T.); #313697=ADVANCED_FACE('',(#26182),#11641,.T.); #313698=ADVANCED_FACE('',(#26183),#11642,.T.); #313699=ADVANCED_FACE('',(#26184),#11643,.T.); #313700=ADVANCED_FACE('',(#26185),#11644,.T.); #313701=ADVANCED_FACE('',(#26186),#11645,.T.); #313702=ADVANCED_FACE('',(#26187),#11646,.T.); #313703=ADVANCED_FACE('',(#26188),#11647,.T.); #313704=ADVANCED_FACE('',(#26189),#11648,.T.); #313705=ADVANCED_FACE('',(#26190),#11649,.T.); #313706=ADVANCED_FACE('',(#26191),#11650,.T.); #313707=ADVANCED_FACE('',(#26192),#11651,.T.); #313708=ADVANCED_FACE('',(#26193),#11652,.T.); #313709=ADVANCED_FACE('',(#26194),#11653,.T.); #313710=ADVANCED_FACE('',(#26195),#11654,.T.); #313711=ADVANCED_FACE('',(#26196),#11655,.T.); #313712=ADVANCED_FACE('',(#26197),#11656,.F.); #313713=ADVANCED_FACE('',(#26198),#11657,.T.); #313714=ADVANCED_FACE('',(#26199),#11658,.T.); #313715=ADVANCED_FACE('',(#26200),#11659,.T.); #313716=ADVANCED_FACE('',(#26201),#11660,.T.); #313717=ADVANCED_FACE('',(#26202),#11661,.T.); #313718=ADVANCED_FACE('',(#26203),#11662,.T.); #313719=ADVANCED_FACE('',(#26204),#11663,.T.); #313720=ADVANCED_FACE('',(#26205),#11664,.T.); #313721=ADVANCED_FACE('',(#26206),#11665,.T.); #313722=ADVANCED_FACE('',(#26207),#11666,.T.); #313723=ADVANCED_FACE('',(#26208),#11667,.T.); #313724=ADVANCED_FACE('',(#26209),#11668,.T.); #313725=ADVANCED_FACE('',(#26210),#11669,.T.); #313726=ADVANCED_FACE('',(#26211),#11670,.T.); #313727=ADVANCED_FACE('',(#26212),#11671,.T.); #313728=ADVANCED_FACE('',(#26213),#11672,.T.); #313729=ADVANCED_FACE('',(#26214),#11673,.T.); #313730=ADVANCED_FACE('',(#26215),#11674,.T.); #313731=ADVANCED_FACE('',(#26216),#11675,.T.); #313732=ADVANCED_FACE('',(#26217),#11676,.T.); #313733=ADVANCED_FACE('',(#26218),#11677,.T.); #313734=ADVANCED_FACE('',(#26219),#11678,.T.); #313735=ADVANCED_FACE('',(#26220),#11679,.F.); #313736=ADVANCED_FACE('',(#26221),#11680,.T.); #313737=ADVANCED_FACE('',(#26222),#11681,.T.); #313738=ADVANCED_FACE('',(#26223),#11682,.T.); #313739=ADVANCED_FACE('',(#26224),#11683,.T.); #313740=ADVANCED_FACE('',(#26225),#11684,.T.); #313741=ADVANCED_FACE('',(#26226),#11685,.T.); #313742=ADVANCED_FACE('',(#26227),#11686,.T.); #313743=ADVANCED_FACE('',(#26228),#11687,.T.); #313744=ADVANCED_FACE('',(#26229),#11688,.T.); #313745=ADVANCED_FACE('',(#26230),#11689,.T.); #313746=ADVANCED_FACE('',(#26231),#11690,.T.); #313747=ADVANCED_FACE('',(#26232),#11691,.T.); #313748=ADVANCED_FACE('',(#26233),#11692,.T.); #313749=ADVANCED_FACE('',(#26234),#11693,.T.); #313750=ADVANCED_FACE('',(#26235),#11694,.T.); #313751=ADVANCED_FACE('',(#26236),#11695,.T.); #313752=ADVANCED_FACE('',(#26237),#11696,.T.); #313753=ADVANCED_FACE('',(#26238),#11697,.T.); #313754=ADVANCED_FACE('',(#26239),#11698,.T.); #313755=ADVANCED_FACE('',(#26240),#11699,.T.); #313756=ADVANCED_FACE('',(#26241),#11700,.T.); #313757=ADVANCED_FACE('',(#26242),#11701,.T.); #313758=ADVANCED_FACE('',(#26243),#11702,.T.); #313759=ADVANCED_FACE('',(#26244),#11703,.T.); #313760=ADVANCED_FACE('',(#26245),#11704,.T.); #313761=ADVANCED_FACE('',(#26246),#11705,.T.); #313762=ADVANCED_FACE('',(#26247),#11706,.T.); #313763=ADVANCED_FACE('',(#26248),#11707,.T.); #313764=ADVANCED_FACE('',(#26249),#11708,.T.); #313765=ADVANCED_FACE('',(#26250),#11709,.T.); #313766=ADVANCED_FACE('',(#26251),#11710,.T.); #313767=ADVANCED_FACE('',(#26252),#11711,.T.); #313768=ADVANCED_FACE('',(#26253),#11712,.T.); #313769=ADVANCED_FACE('',(#26254),#11713,.T.); #313770=ADVANCED_FACE('',(#26255),#11714,.T.); #313771=ADVANCED_FACE('',(#26256),#11715,.T.); #313772=ADVANCED_FACE('',(#26257),#11716,.T.); #313773=ADVANCED_FACE('',(#26258),#11717,.T.); #313774=ADVANCED_FACE('',(#26259),#11718,.T.); #313775=ADVANCED_FACE('',(#26260),#11719,.T.); #313776=ADVANCED_FACE('',(#26261),#11720,.T.); #313777=ADVANCED_FACE('',(#26262),#11721,.F.); #313778=ADVANCED_FACE('',(#26263),#11722,.T.); #313779=ADVANCED_FACE('',(#26264),#11723,.T.); #313780=ADVANCED_FACE('',(#26265),#11724,.T.); #313781=ADVANCED_FACE('',(#26266),#11725,.T.); #313782=ADVANCED_FACE('',(#26267),#11726,.T.); #313783=ADVANCED_FACE('',(#26268),#11727,.T.); #313784=ADVANCED_FACE('',(#26269),#11728,.T.); #313785=ADVANCED_FACE('',(#26270),#11729,.T.); #313786=ADVANCED_FACE('',(#26271),#11730,.T.); #313787=ADVANCED_FACE('',(#26272),#11731,.T.); #313788=ADVANCED_FACE('',(#26273),#11732,.T.); #313789=ADVANCED_FACE('',(#26274),#11733,.T.); #313790=ADVANCED_FACE('',(#26275),#11734,.T.); #313791=ADVANCED_FACE('',(#26276),#11735,.T.); #313792=ADVANCED_FACE('',(#26277),#11736,.T.); #313793=ADVANCED_FACE('',(#26278),#11737,.T.); #313794=ADVANCED_FACE('',(#26279),#11738,.T.); #313795=ADVANCED_FACE('',(#26280),#11739,.T.); #313796=ADVANCED_FACE('',(#26281),#11740,.T.); #313797=ADVANCED_FACE('',(#26282),#11741,.T.); #313798=ADVANCED_FACE('',(#26283),#11742,.T.); #313799=ADVANCED_FACE('',(#26284),#11743,.F.); #313800=ADVANCED_FACE('',(#26285),#11744,.T.); #313801=ADVANCED_FACE('',(#26286),#11745,.T.); #313802=ADVANCED_FACE('',(#26287),#11746,.T.); #313803=ADVANCED_FACE('',(#26288),#11747,.T.); #313804=ADVANCED_FACE('',(#26289),#11748,.T.); #313805=ADVANCED_FACE('',(#26290),#11749,.T.); #313806=ADVANCED_FACE('',(#26291),#11750,.T.); #313807=ADVANCED_FACE('',(#26292),#11751,.T.); #313808=ADVANCED_FACE('',(#26293),#11752,.T.); #313809=ADVANCED_FACE('',(#26294),#11753,.T.); #313810=ADVANCED_FACE('',(#26295),#11754,.T.); #313811=ADVANCED_FACE('',(#26296),#11755,.T.); #313812=ADVANCED_FACE('',(#26297),#11756,.T.); #313813=ADVANCED_FACE('',(#26298),#11757,.T.); #313814=ADVANCED_FACE('',(#26299),#11758,.T.); #313815=ADVANCED_FACE('',(#26300),#11759,.T.); #313816=ADVANCED_FACE('',(#26301),#11760,.T.); #313817=ADVANCED_FACE('',(#26302),#11761,.T.); #313818=ADVANCED_FACE('',(#26303),#11762,.T.); #313819=ADVANCED_FACE('',(#26304),#11763,.T.); #313820=ADVANCED_FACE('',(#26305),#11764,.T.); #313821=ADVANCED_FACE('',(#26306),#11765,.F.); #313822=ADVANCED_FACE('',(#26307),#11766,.T.); #313823=ADVANCED_FACE('',(#26308),#11767,.T.); #313824=ADVANCED_FACE('',(#26309),#11768,.T.); #313825=ADVANCED_FACE('',(#26310),#11769,.T.); #313826=ADVANCED_FACE('',(#26311),#11770,.T.); #313827=ADVANCED_FACE('',(#26312),#11771,.T.); #313828=ADVANCED_FACE('',(#26313),#11772,.T.); #313829=ADVANCED_FACE('',(#26314),#11773,.T.); #313830=ADVANCED_FACE('',(#26315),#11774,.T.); #313831=ADVANCED_FACE('',(#26316),#11775,.T.); #313832=ADVANCED_FACE('',(#26317),#11776,.T.); #313833=ADVANCED_FACE('',(#26318),#11777,.T.); #313834=ADVANCED_FACE('',(#26319),#11778,.T.); #313835=ADVANCED_FACE('',(#26320),#11779,.T.); #313836=ADVANCED_FACE('',(#26321),#11780,.T.); #313837=ADVANCED_FACE('',(#26322),#11781,.T.); #313838=ADVANCED_FACE('',(#26323),#11782,.T.); #313839=ADVANCED_FACE('',(#26324),#11783,.T.); #313840=ADVANCED_FACE('',(#26325),#11784,.T.); #313841=ADVANCED_FACE('',(#26326),#11785,.T.); #313842=ADVANCED_FACE('',(#26327),#11786,.T.); #313843=ADVANCED_FACE('',(#26328),#11787,.F.); #313844=ADVANCED_FACE('',(#26329),#11788,.T.); #313845=ADVANCED_FACE('',(#26330),#11789,.T.); #313846=ADVANCED_FACE('',(#26331),#11790,.T.); #313847=ADVANCED_FACE('',(#26332),#11791,.T.); #313848=ADVANCED_FACE('',(#26333),#11792,.T.); #313849=ADVANCED_FACE('',(#26334),#11793,.T.); #313850=ADVANCED_FACE('',(#26335),#11794,.T.); #313851=ADVANCED_FACE('',(#26336),#11795,.T.); #313852=ADVANCED_FACE('',(#26337),#11796,.T.); #313853=ADVANCED_FACE('',(#26338),#11797,.T.); #313854=ADVANCED_FACE('',(#26339),#11798,.T.); #313855=ADVANCED_FACE('',(#26340),#11799,.T.); #313856=ADVANCED_FACE('',(#26341),#11800,.T.); #313857=ADVANCED_FACE('',(#26342),#11801,.T.); #313858=ADVANCED_FACE('',(#26343),#11802,.T.); #313859=ADVANCED_FACE('',(#26344),#11803,.T.); #313860=ADVANCED_FACE('',(#26345),#11804,.T.); #313861=ADVANCED_FACE('',(#26346),#11805,.T.); #313862=ADVANCED_FACE('',(#26347),#11806,.T.); #313863=ADVANCED_FACE('',(#26348),#11807,.T.); #313864=ADVANCED_FACE('',(#26349),#11808,.T.); #313865=ADVANCED_FACE('',(#26350),#11809,.T.); #313866=ADVANCED_FACE('',(#26351),#11810,.T.); #313867=ADVANCED_FACE('',(#26352),#11811,.T.); #313868=ADVANCED_FACE('',(#26353),#11812,.T.); #313869=ADVANCED_FACE('',(#26354),#11813,.T.); #313870=ADVANCED_FACE('',(#26355),#11814,.T.); #313871=ADVANCED_FACE('',(#26356),#11815,.T.); #313872=ADVANCED_FACE('',(#26357),#11816,.T.); #313873=ADVANCED_FACE('',(#26358),#11817,.T.); #313874=ADVANCED_FACE('',(#26359),#11818,.T.); #313875=ADVANCED_FACE('',(#26360),#11819,.T.); #313876=ADVANCED_FACE('',(#26361),#11820,.T.); #313877=ADVANCED_FACE('',(#26362),#11821,.T.); #313878=ADVANCED_FACE('',(#26363),#11822,.T.); #313879=ADVANCED_FACE('',(#26364),#11823,.T.); #313880=ADVANCED_FACE('',(#26365),#11824,.T.); #313881=ADVANCED_FACE('',(#26366),#11825,.T.); #313882=ADVANCED_FACE('',(#26367),#11826,.T.); #313883=ADVANCED_FACE('',(#26368),#11827,.T.); #313884=ADVANCED_FACE('',(#26369),#11828,.T.); #313885=ADVANCED_FACE('',(#26370),#11829,.F.); #313886=ADVANCED_FACE('',(#26371),#305782,.F.); #313887=ADVANCED_FACE('',(#26372),#11830,.F.); #313888=ADVANCED_FACE('',(#26373),#305783,.F.); #313889=ADVANCED_FACE('',(#26374),#11831,.F.); #313890=ADVANCED_FACE('',(#26375),#305784,.F.); #313891=ADVANCED_FACE('',(#26376),#11832,.T.); #313892=ADVANCED_FACE('',(#26377),#11833,.T.); #313893=ADVANCED_FACE('',(#26378),#11834,.T.); #313894=ADVANCED_FACE('',(#26379),#11835,.T.); #313895=ADVANCED_FACE('',(#26380),#11836,.T.); #313896=ADVANCED_FACE('',(#26381),#11837,.T.); #313897=ADVANCED_FACE('',(#26382),#11838,.T.); #313898=ADVANCED_FACE('',(#26383),#11839,.T.); #313899=ADVANCED_FACE('',(#26384),#11840,.T.); #313900=ADVANCED_FACE('',(#26385),#11841,.T.); #313901=ADVANCED_FACE('',(#26386),#11842,.T.); #313902=ADVANCED_FACE('',(#26387),#11843,.T.); #313903=ADVANCED_FACE('',(#26388),#11844,.T.); #313904=ADVANCED_FACE('',(#26389),#11845,.T.); #313905=ADVANCED_FACE('',(#26390),#11846,.T.); #313906=ADVANCED_FACE('',(#26391),#11847,.T.); #313907=ADVANCED_FACE('',(#26392),#11848,.T.); #313908=ADVANCED_FACE('',(#26393),#11849,.T.); #313909=ADVANCED_FACE('',(#26394),#11850,.T.); #313910=ADVANCED_FACE('',(#26395),#11851,.T.); #313911=ADVANCED_FACE('',(#26396),#11852,.T.); #313912=ADVANCED_FACE('',(#26397),#11853,.T.); #313913=ADVANCED_FACE('',(#26398),#11854,.T.); #313914=ADVANCED_FACE('',(#26399),#11855,.T.); #313915=ADVANCED_FACE('',(#26400),#11856,.T.); #313916=ADVANCED_FACE('',(#26401),#11857,.T.); #313917=ADVANCED_FACE('',(#26402),#11858,.T.); #313918=ADVANCED_FACE('',(#26403),#11859,.T.); #313919=ADVANCED_FACE('',(#26404),#11860,.T.); #313920=ADVANCED_FACE('',(#26405),#11861,.T.); #313921=ADVANCED_FACE('',(#26406),#11862,.T.); #313922=ADVANCED_FACE('',(#26407),#11863,.T.); #313923=ADVANCED_FACE('',(#26408),#11864,.T.); #313924=ADVANCED_FACE('',(#26409),#11865,.T.); #313925=ADVANCED_FACE('',(#26410),#11866,.T.); #313926=ADVANCED_FACE('',(#26411),#11867,.T.); #313927=ADVANCED_FACE('',(#26412),#11868,.T.); #313928=ADVANCED_FACE('',(#26413),#11869,.T.); #313929=ADVANCED_FACE('',(#26414),#11870,.T.); #313930=ADVANCED_FACE('',(#26415),#11871,.T.); #313931=ADVANCED_FACE('',(#26416),#11872,.T.); #313932=ADVANCED_FACE('',(#26417),#11873,.T.); #313933=ADVANCED_FACE('',(#26418),#11874,.T.); #313934=ADVANCED_FACE('',(#26419),#11875,.T.); #313935=ADVANCED_FACE('',(#26420),#11876,.T.); #313936=ADVANCED_FACE('',(#26421),#11877,.T.); #313937=ADVANCED_FACE('',(#26422),#11878,.T.); #313938=ADVANCED_FACE('',(#26423),#11879,.T.); #313939=ADVANCED_FACE('',(#26424),#11880,.T.); #313940=ADVANCED_FACE('',(#26425),#11881,.T.); #313941=ADVANCED_FACE('',(#26426),#11882,.T.); #313942=ADVANCED_FACE('',(#26427),#11883,.T.); #313943=ADVANCED_FACE('',(#26428),#11884,.T.); #313944=ADVANCED_FACE('',(#26429),#11885,.T.); #313945=ADVANCED_FACE('',(#26430),#11886,.T.); #313946=ADVANCED_FACE('',(#26431),#11887,.T.); #313947=ADVANCED_FACE('',(#26432),#11888,.T.); #313948=ADVANCED_FACE('',(#26433),#11889,.T.); #313949=ADVANCED_FACE('',(#26434),#11890,.T.); #313950=ADVANCED_FACE('',(#26435),#11891,.T.); #313951=ADVANCED_FACE('',(#26436),#11892,.T.); #313952=ADVANCED_FACE('',(#26437),#11893,.T.); #313953=ADVANCED_FACE('',(#26438),#11894,.T.); #313954=ADVANCED_FACE('',(#26439),#11895,.T.); #313955=ADVANCED_FACE('',(#26440),#11896,.T.); #313956=ADVANCED_FACE('',(#26441),#11897,.T.); #313957=ADVANCED_FACE('',(#26442),#11898,.T.); #313958=ADVANCED_FACE('',(#26443),#11899,.T.); #313959=ADVANCED_FACE('',(#26444),#11900,.T.); #313960=ADVANCED_FACE('',(#26445),#11901,.T.); #313961=ADVANCED_FACE('',(#26446),#11902,.T.); #313962=ADVANCED_FACE('',(#26447),#11903,.T.); #313963=ADVANCED_FACE('',(#26448),#11904,.T.); #313964=ADVANCED_FACE('',(#26449),#11905,.T.); #313965=ADVANCED_FACE('',(#26450),#11906,.T.); #313966=ADVANCED_FACE('',(#26451),#11907,.T.); #313967=ADVANCED_FACE('',(#26452),#11908,.T.); #313968=ADVANCED_FACE('',(#26453),#11909,.T.); #313969=ADVANCED_FACE('',(#26454),#11910,.T.); #313970=ADVANCED_FACE('',(#26455),#11911,.T.); #313971=ADVANCED_FACE('',(#26456),#11912,.T.); #313972=ADVANCED_FACE('',(#26457),#11913,.T.); #313973=ADVANCED_FACE('',(#26458),#11914,.T.); #313974=ADVANCED_FACE('',(#26459),#11915,.T.); #313975=ADVANCED_FACE('',(#26460),#11916,.T.); #313976=ADVANCED_FACE('',(#26461),#11917,.T.); #313977=ADVANCED_FACE('',(#26462),#11918,.T.); #313978=ADVANCED_FACE('',(#26463),#11919,.T.); #313979=ADVANCED_FACE('',(#26464),#11920,.T.); #313980=ADVANCED_FACE('',(#26465),#11921,.T.); #313981=ADVANCED_FACE('',(#26466),#11922,.T.); #313982=ADVANCED_FACE('',(#26467),#11923,.T.); #313983=ADVANCED_FACE('',(#26468),#11924,.T.); #313984=ADVANCED_FACE('',(#26469,#4831,#4832),#11925,.T.); #313985=ADVANCED_FACE('',(#26470,#4833,#4834),#11926,.F.); #313986=ADVANCED_FACE('',(#26471),#11927,.T.); #313987=ADVANCED_FACE('',(#26472),#11928,.T.); #313988=ADVANCED_FACE('',(#26473),#11929,.T.); #313989=ADVANCED_FACE('',(#26474),#11930,.T.); #313990=ADVANCED_FACE('',(#26475),#11931,.T.); #313991=ADVANCED_FACE('',(#26476),#11932,.T.); #313992=ADVANCED_FACE('',(#26477),#11933,.T.); #313993=ADVANCED_FACE('',(#26478),#11934,.T.); #313994=ADVANCED_FACE('',(#26479),#11935,.T.); #313995=ADVANCED_FACE('',(#26480),#11936,.T.); #313996=ADVANCED_FACE('',(#26481),#11937,.T.); #313997=ADVANCED_FACE('',(#26482),#11938,.T.); #313998=ADVANCED_FACE('',(#26483),#11939,.T.); #313999=ADVANCED_FACE('',(#26484),#11940,.T.); #314000=ADVANCED_FACE('',(#26485),#11941,.T.); #314001=ADVANCED_FACE('',(#26486),#11942,.T.); #314002=ADVANCED_FACE('',(#26487),#11943,.T.); #314003=ADVANCED_FACE('',(#26488),#11944,.T.); #314004=ADVANCED_FACE('',(#26489),#11945,.T.); #314005=ADVANCED_FACE('',(#26490),#11946,.T.); #314006=ADVANCED_FACE('',(#26491),#11947,.T.); #314007=ADVANCED_FACE('',(#26492),#11948,.F.); #314008=ADVANCED_FACE('',(#26493),#305785,.F.); #314009=ADVANCED_FACE('',(#26494),#305786,.F.); #314010=ADVANCED_FACE('',(#26495),#305787,.F.); #314011=ADVANCED_FACE('',(#26496),#305788,.F.); #314012=ADVANCED_FACE('',(#26497),#305789,.F.); #314013=ADVANCED_FACE('',(#26498),#305790,.F.); #314014=ADVANCED_FACE('',(#26499),#305791,.F.); #314015=ADVANCED_FACE('',(#26500),#305792,.F.); #314016=ADVANCED_FACE('',(#26501),#305793,.F.); #314017=ADVANCED_FACE('',(#26502),#305794,.F.); #314018=ADVANCED_FACE('',(#26503),#305795,.F.); #314019=ADVANCED_FACE('',(#26504),#305796,.F.); #314020=ADVANCED_FACE('',(#26505),#305797,.F.); #314021=ADVANCED_FACE('',(#26506),#305798,.F.); #314022=ADVANCED_FACE('',(#26507),#305799,.F.); #314023=ADVANCED_FACE('',(#26508),#11949,.T.); #314024=ADVANCED_FACE('',(#26509),#11950,.T.); #314025=ADVANCED_FACE('',(#26510),#11951,.T.); #314026=ADVANCED_FACE('',(#26511),#11952,.T.); #314027=ADVANCED_FACE('',(#26512),#11953,.T.); #314028=ADVANCED_FACE('',(#26513),#11954,.T.); #314029=ADVANCED_FACE('',(#26514),#11955,.T.); #314030=ADVANCED_FACE('',(#26515),#11956,.T.); #314031=ADVANCED_FACE('',(#26516),#11957,.T.); #314032=ADVANCED_FACE('',(#26517),#11958,.T.); #314033=ADVANCED_FACE('',(#26518),#11959,.T.); #314034=ADVANCED_FACE('',(#26519),#11960,.T.); #314035=ADVANCED_FACE('',(#26520),#11961,.T.); #314036=ADVANCED_FACE('',(#26521),#11962,.T.); #314037=ADVANCED_FACE('',(#26522),#11963,.T.); #314038=ADVANCED_FACE('',(#26523),#11964,.T.); #314039=ADVANCED_FACE('',(#26524),#11965,.T.); #314040=ADVANCED_FACE('',(#26525),#11966,.T.); #314041=ADVANCED_FACE('',(#26526),#11967,.T.); #314042=ADVANCED_FACE('',(#26527),#11968,.T.); #314043=ADVANCED_FACE('',(#26528),#11969,.T.); #314044=ADVANCED_FACE('',(#26529),#11970,.T.); #314045=ADVANCED_FACE('',(#26530),#11971,.T.); #314046=ADVANCED_FACE('',(#26531),#11972,.T.); #314047=ADVANCED_FACE('',(#26532),#11973,.T.); #314048=ADVANCED_FACE('',(#26533),#11974,.T.); #314049=ADVANCED_FACE('',(#26534),#11975,.T.); #314050=ADVANCED_FACE('',(#26535),#11976,.T.); #314051=ADVANCED_FACE('',(#26536),#11977,.T.); #314052=ADVANCED_FACE('',(#26537),#11978,.T.); #314053=ADVANCED_FACE('',(#26538),#11979,.T.); #314054=ADVANCED_FACE('',(#26539),#11980,.T.); #314055=ADVANCED_FACE('',(#26540),#11981,.T.); #314056=ADVANCED_FACE('',(#26541),#11982,.T.); #314057=ADVANCED_FACE('',(#26542),#11983,.T.); #314058=ADVANCED_FACE('',(#26543),#11984,.T.); #314059=ADVANCED_FACE('',(#26544),#11985,.T.); #314060=ADVANCED_FACE('',(#26545),#11986,.T.); #314061=ADVANCED_FACE('',(#26546),#11987,.T.); #314062=ADVANCED_FACE('',(#26547),#11988,.T.); #314063=ADVANCED_FACE('',(#26548),#11989,.T.); #314064=ADVANCED_FACE('',(#26549,#4835,#4836,#4837,#4838,#4839,#4840,#4841, #4842,#4843,#4844,#4845,#4846,#4847,#4848,#4849),#11990,.T.); #314065=ADVANCED_FACE('',(#26550,#4850,#4851,#4852,#4853,#4854,#4855,#4856, #4857,#4858,#4859,#4860,#4861,#4862,#4863,#4864),#11991,.F.); #314066=ADVANCED_FACE('',(#26551),#305800,.F.); #314067=ADVANCED_FACE('',(#26552),#11992,.T.); #314068=ADVANCED_FACE('',(#26553),#11993,.T.); #314069=ADVANCED_FACE('',(#26554),#11994,.T.); #314070=ADVANCED_FACE('',(#26555),#11995,.T.); #314071=ADVANCED_FACE('',(#26556),#11996,.T.); #314072=ADVANCED_FACE('',(#26557),#305801,.T.); #314073=ADVANCED_FACE('',(#26558),#11997,.T.); #314074=ADVANCED_FACE('',(#26559),#11998,.T.); #314075=ADVANCED_FACE('',(#26560),#305802,.T.); #314076=ADVANCED_FACE('',(#26561),#11999,.T.); #314077=ADVANCED_FACE('',(#26562),#12000,.T.); #314078=ADVANCED_FACE('',(#26563),#12001,.T.); #314079=ADVANCED_FACE('',(#26564),#12002,.T.); #314080=ADVANCED_FACE('',(#26565),#12003,.T.); #314081=ADVANCED_FACE('',(#26566),#12004,.T.); #314082=ADVANCED_FACE('',(#26567),#12005,.T.); #314083=ADVANCED_FACE('',(#26568),#12006,.T.); #314084=ADVANCED_FACE('',(#26569),#12007,.T.); #314085=ADVANCED_FACE('',(#26570),#12008,.T.); #314086=ADVANCED_FACE('',(#26571),#12009,.T.); #314087=ADVANCED_FACE('',(#26572),#12010,.T.); #314088=ADVANCED_FACE('',(#26573),#12011,.T.); #314089=ADVANCED_FACE('',(#26574),#12012,.T.); #314090=ADVANCED_FACE('',(#26575),#12013,.T.); #314091=ADVANCED_FACE('',(#26576),#12014,.T.); #314092=ADVANCED_FACE('',(#26577),#305803,.T.); #314093=ADVANCED_FACE('',(#26578),#12015,.T.); #314094=ADVANCED_FACE('',(#26579),#305804,.T.); #314095=ADVANCED_FACE('',(#26580),#12016,.T.); #314096=ADVANCED_FACE('',(#26581),#305805,.T.); #314097=ADVANCED_FACE('',(#26582),#12017,.T.); #314098=ADVANCED_FACE('',(#26583),#12018,.T.); #314099=ADVANCED_FACE('',(#26584),#12019,.T.); #314100=ADVANCED_FACE('',(#26585),#305806,.T.); #314101=ADVANCED_FACE('',(#26586),#12020,.T.); #314102=ADVANCED_FACE('',(#26587),#305807,.T.); #314103=ADVANCED_FACE('',(#26588),#12021,.T.); #314104=ADVANCED_FACE('',(#26589),#12022,.T.); #314105=ADVANCED_FACE('',(#26590),#305808,.T.); #314106=ADVANCED_FACE('',(#26591),#12023,.T.); #314107=ADVANCED_FACE('',(#26592),#12024,.T.); #314108=ADVANCED_FACE('',(#26593),#12025,.T.); #314109=ADVANCED_FACE('',(#26594,#4865),#12026,.T.); #314110=ADVANCED_FACE('',(#26595,#4866),#12027,.F.); #314111=ADVANCED_FACE('',(#26596),#305809,.F.); #314112=ADVANCED_FACE('',(#26597),#305810,.F.); #314113=ADVANCED_FACE('',(#26598),#305811,.F.); #314114=ADVANCED_FACE('',(#26599),#305812,.F.); #314115=ADVANCED_FACE('',(#26600),#305813,.F.); #314116=ADVANCED_FACE('',(#26601),#305814,.F.); #314117=ADVANCED_FACE('',(#26602),#305815,.F.); #314118=ADVANCED_FACE('',(#26603),#305816,.F.); #314119=ADVANCED_FACE('',(#26604),#305817,.F.); #314120=ADVANCED_FACE('',(#26605),#305818,.F.); #314121=ADVANCED_FACE('',(#26606),#305819,.F.); #314122=ADVANCED_FACE('',(#26607),#305820,.F.); #314123=ADVANCED_FACE('',(#26608),#305821,.F.); #314124=ADVANCED_FACE('',(#26609),#305822,.F.); #314125=ADVANCED_FACE('',(#26610),#305823,.F.); #314126=ADVANCED_FACE('',(#26611),#12028,.T.); #314127=ADVANCED_FACE('',(#26612),#12029,.T.); #314128=ADVANCED_FACE('',(#26613),#12030,.T.); #314129=ADVANCED_FACE('',(#26614),#12031,.T.); #314130=ADVANCED_FACE('',(#26615),#12032,.T.); #314131=ADVANCED_FACE('',(#26616),#12033,.T.); #314132=ADVANCED_FACE('',(#26617),#12034,.T.); #314133=ADVANCED_FACE('',(#26618),#12035,.T.); #314134=ADVANCED_FACE('',(#26619),#12036,.T.); #314135=ADVANCED_FACE('',(#26620),#12037,.T.); #314136=ADVANCED_FACE('',(#26621),#12038,.T.); #314137=ADVANCED_FACE('',(#26622),#12039,.T.); #314138=ADVANCED_FACE('',(#26623),#12040,.T.); #314139=ADVANCED_FACE('',(#26624),#12041,.T.); #314140=ADVANCED_FACE('',(#26625),#12042,.T.); #314141=ADVANCED_FACE('',(#26626),#12043,.T.); #314142=ADVANCED_FACE('',(#26627),#12044,.T.); #314143=ADVANCED_FACE('',(#26628),#12045,.T.); #314144=ADVANCED_FACE('',(#26629),#12046,.T.); #314145=ADVANCED_FACE('',(#26630),#12047,.T.); #314146=ADVANCED_FACE('',(#26631),#12048,.T.); #314147=ADVANCED_FACE('',(#26632),#12049,.T.); #314148=ADVANCED_FACE('',(#26633),#12050,.T.); #314149=ADVANCED_FACE('',(#26634),#12051,.T.); #314150=ADVANCED_FACE('',(#26635),#12052,.T.); #314151=ADVANCED_FACE('',(#26636),#12053,.T.); #314152=ADVANCED_FACE('',(#26637),#12054,.T.); #314153=ADVANCED_FACE('',(#26638),#12055,.T.); #314154=ADVANCED_FACE('',(#26639),#12056,.T.); #314155=ADVANCED_FACE('',(#26640),#12057,.T.); #314156=ADVANCED_FACE('',(#26641),#12058,.T.); #314157=ADVANCED_FACE('',(#26642),#12059,.T.); #314158=ADVANCED_FACE('',(#26643),#12060,.T.); #314159=ADVANCED_FACE('',(#26644),#12061,.T.); #314160=ADVANCED_FACE('',(#26645),#12062,.T.); #314161=ADVANCED_FACE('',(#26646),#12063,.T.); #314162=ADVANCED_FACE('',(#26647),#12064,.T.); #314163=ADVANCED_FACE('',(#26648),#12065,.T.); #314164=ADVANCED_FACE('',(#26649),#12066,.T.); #314165=ADVANCED_FACE('',(#26650),#12067,.T.); #314166=ADVANCED_FACE('',(#26651),#12068,.T.); #314167=ADVANCED_FACE('',(#26652),#12069,.T.); #314168=ADVANCED_FACE('',(#26653),#12070,.T.); #314169=ADVANCED_FACE('',(#26654),#12071,.T.); #314170=ADVANCED_FACE('',(#26655),#12072,.T.); #314171=ADVANCED_FACE('',(#26656),#12073,.T.); #314172=ADVANCED_FACE('',(#26657),#12074,.T.); #314173=ADVANCED_FACE('',(#26658),#12075,.T.); #314174=ADVANCED_FACE('',(#26659),#12076,.T.); #314175=ADVANCED_FACE('',(#26660,#4867,#4868,#4869,#4870,#4871,#4872,#4873, #4874,#4875,#4876,#4877,#4878,#4879,#4880,#4881),#12077,.T.); #314176=ADVANCED_FACE('',(#26661,#4882,#4883,#4884,#4885,#4886,#4887,#4888, #4889,#4890,#4891,#4892,#4893,#4894,#4895,#4896),#12078,.F.); #314177=ADVANCED_FACE('',(#26662),#305824,.F.); #314178=ADVANCED_FACE('',(#26663),#12079,.T.); #314179=ADVANCED_FACE('',(#26664),#12080,.T.); #314180=ADVANCED_FACE('',(#26665),#12081,.T.); #314181=ADVANCED_FACE('',(#26666),#12082,.T.); #314182=ADVANCED_FACE('',(#26667),#12083,.T.); #314183=ADVANCED_FACE('',(#26668),#12084,.T.); #314184=ADVANCED_FACE('',(#26669),#305825,.T.); #314185=ADVANCED_FACE('',(#26670),#12085,.T.); #314186=ADVANCED_FACE('',(#26671),#12086,.T.); #314187=ADVANCED_FACE('',(#26672),#305826,.T.); #314188=ADVANCED_FACE('',(#26673),#12087,.T.); #314189=ADVANCED_FACE('',(#26674),#305827,.T.); #314190=ADVANCED_FACE('',(#26675),#12088,.T.); #314191=ADVANCED_FACE('',(#26676),#12089,.T.); #314192=ADVANCED_FACE('',(#26677),#12090,.T.); #314193=ADVANCED_FACE('',(#26678),#305828,.T.); #314194=ADVANCED_FACE('',(#26679),#12091,.T.); #314195=ADVANCED_FACE('',(#26680),#305829,.T.); #314196=ADVANCED_FACE('',(#26681),#12092,.T.); #314197=ADVANCED_FACE('',(#26682),#305830,.T.); #314198=ADVANCED_FACE('',(#26683),#12093,.T.); #314199=ADVANCED_FACE('',(#26684),#12094,.T.); #314200=ADVANCED_FACE('',(#26685),#12095,.T.); #314201=ADVANCED_FACE('',(#26686),#12096,.T.); #314202=ADVANCED_FACE('',(#26687),#12097,.T.); #314203=ADVANCED_FACE('',(#26688),#12098,.T.); #314204=ADVANCED_FACE('',(#26689),#12099,.T.); #314205=ADVANCED_FACE('',(#26690),#12100,.T.); #314206=ADVANCED_FACE('',(#26691),#12101,.T.); #314207=ADVANCED_FACE('',(#26692),#12102,.T.); #314208=ADVANCED_FACE('',(#26693),#12103,.T.); #314209=ADVANCED_FACE('',(#26694),#12104,.T.); #314210=ADVANCED_FACE('',(#26695),#12105,.T.); #314211=ADVANCED_FACE('',(#26696),#12106,.T.); #314212=ADVANCED_FACE('',(#26697),#12107,.T.); #314213=ADVANCED_FACE('',(#26698),#12108,.T.); #314214=ADVANCED_FACE('',(#26699),#305831,.T.); #314215=ADVANCED_FACE('',(#26700),#12109,.T.); #314216=ADVANCED_FACE('',(#26701),#12110,.T.); #314217=ADVANCED_FACE('',(#26702),#305832,.T.); #314218=ADVANCED_FACE('',(#26703),#12111,.T.); #314219=ADVANCED_FACE('',(#26704),#12112,.T.); #314220=ADVANCED_FACE('',(#26705,#4897),#12113,.T.); #314221=ADVANCED_FACE('',(#26706,#4898),#12114,.F.); #314222=ADVANCED_FACE('',(#26707),#305833,.F.); #314223=ADVANCED_FACE('',(#26708),#305834,.F.); #314224=ADVANCED_FACE('',(#26709),#305835,.F.); #314225=ADVANCED_FACE('',(#26710),#305836,.F.); #314226=ADVANCED_FACE('',(#26711),#305837,.F.); #314227=ADVANCED_FACE('',(#26712),#305838,.F.); #314228=ADVANCED_FACE('',(#26713),#305839,.F.); #314229=ADVANCED_FACE('',(#26714),#305840,.F.); #314230=ADVANCED_FACE('',(#26715),#305841,.F.); #314231=ADVANCED_FACE('',(#26716),#305842,.F.); #314232=ADVANCED_FACE('',(#26717),#305843,.F.); #314233=ADVANCED_FACE('',(#26718),#305844,.F.); #314234=ADVANCED_FACE('',(#26719),#305845,.F.); #314235=ADVANCED_FACE('',(#26720),#305846,.F.); #314236=ADVANCED_FACE('',(#26721),#305847,.F.); #314237=ADVANCED_FACE('',(#26722),#305848,.F.); #314238=ADVANCED_FACE('',(#26723),#305849,.F.); #314239=ADVANCED_FACE('',(#26724),#305850,.F.); #314240=ADVANCED_FACE('',(#26725),#305851,.F.); #314241=ADVANCED_FACE('',(#26726),#305852,.F.); #314242=ADVANCED_FACE('',(#26727),#305853,.F.); #314243=ADVANCED_FACE('',(#26728),#305854,.F.); #314244=ADVANCED_FACE('',(#26729),#305855,.F.); #314245=ADVANCED_FACE('',(#26730),#305856,.F.); #314246=ADVANCED_FACE('',(#26731),#305857,.F.); #314247=ADVANCED_FACE('',(#26732),#305858,.F.); #314248=ADVANCED_FACE('',(#26733),#305859,.F.); #314249=ADVANCED_FACE('',(#26734),#305860,.F.); #314250=ADVANCED_FACE('',(#26735),#305861,.F.); #314251=ADVANCED_FACE('',(#26736),#305862,.F.); #314252=ADVANCED_FACE('',(#26737),#305863,.F.); #314253=ADVANCED_FACE('',(#26738),#12115,.T.); #314254=ADVANCED_FACE('',(#26739),#305864,.T.); #314255=ADVANCED_FACE('',(#26740),#12116,.T.); #314256=ADVANCED_FACE('',(#26741),#12117,.T.); #314257=ADVANCED_FACE('',(#26742),#12118,.T.); #314258=ADVANCED_FACE('',(#26743),#305865,.T.); #314259=ADVANCED_FACE('',(#26744),#12119,.T.); #314260=ADVANCED_FACE('',(#26745),#305866,.T.); #314261=ADVANCED_FACE('',(#26746),#12120,.T.); #314262=ADVANCED_FACE('',(#26747),#12121,.T.); #314263=ADVANCED_FACE('',(#26748),#12122,.T.); #314264=ADVANCED_FACE('',(#26749),#12123,.T.); #314265=ADVANCED_FACE('',(#26750),#12124,.T.); #314266=ADVANCED_FACE('',(#26751),#12125,.T.); #314267=ADVANCED_FACE('',(#26752),#12126,.T.); #314268=ADVANCED_FACE('',(#26753),#12127,.T.); #314269=ADVANCED_FACE('',(#26754),#12128,.T.); #314270=ADVANCED_FACE('',(#26755),#12129,.T.); #314271=ADVANCED_FACE('',(#26756),#12130,.T.); #314272=ADVANCED_FACE('',(#26757),#12131,.T.); #314273=ADVANCED_FACE('',(#26758),#12132,.T.); #314274=ADVANCED_FACE('',(#26759),#12133,.T.); #314275=ADVANCED_FACE('',(#26760),#12134,.T.); #314276=ADVANCED_FACE('',(#26761),#12135,.T.); #314277=ADVANCED_FACE('',(#26762),#12136,.T.); #314278=ADVANCED_FACE('',(#26763),#12137,.T.); #314279=ADVANCED_FACE('',(#26764),#12138,.T.); #314280=ADVANCED_FACE('',(#26765),#12139,.T.); #314281=ADVANCED_FACE('',(#26766),#12140,.T.); #314282=ADVANCED_FACE('',(#26767),#12141,.T.); #314283=ADVANCED_FACE('',(#26768),#12142,.T.); #314284=ADVANCED_FACE('',(#26769),#12143,.T.); #314285=ADVANCED_FACE('',(#26770),#12144,.T.); #314286=ADVANCED_FACE('',(#26771),#12145,.T.); #314287=ADVANCED_FACE('',(#26772),#12146,.T.); #314288=ADVANCED_FACE('',(#26773),#12147,.T.); #314289=ADVANCED_FACE('',(#26774),#12148,.T.); #314290=ADVANCED_FACE('',(#26775),#12149,.T.); #314291=ADVANCED_FACE('',(#26776),#12150,.T.); #314292=ADVANCED_FACE('',(#26777),#12151,.T.); #314293=ADVANCED_FACE('',(#26778),#12152,.T.); #314294=ADVANCED_FACE('',(#26779),#12153,.T.); #314295=ADVANCED_FACE('',(#26780),#12154,.T.); #314296=ADVANCED_FACE('',(#26781),#12155,.T.); #314297=ADVANCED_FACE('',(#26782),#12156,.T.); #314298=ADVANCED_FACE('',(#26783),#12157,.T.); #314299=ADVANCED_FACE('',(#26784),#12158,.T.); #314300=ADVANCED_FACE('',(#26785),#12159,.T.); #314301=ADVANCED_FACE('',(#26786),#12160,.T.); #314302=ADVANCED_FACE('',(#26787),#12161,.T.); #314303=ADVANCED_FACE('',(#26788),#12162,.T.); #314304=ADVANCED_FACE('',(#26789),#12163,.T.); #314305=ADVANCED_FACE('',(#26790),#12164,.T.); #314306=ADVANCED_FACE('',(#26791),#12165,.T.); #314307=ADVANCED_FACE('',(#26792),#12166,.T.); #314308=ADVANCED_FACE('',(#26793),#12167,.T.); #314309=ADVANCED_FACE('',(#26794),#12168,.T.); #314310=ADVANCED_FACE('',(#26795),#12169,.T.); #314311=ADVANCED_FACE('',(#26796),#12170,.T.); #314312=ADVANCED_FACE('',(#26797),#12171,.T.); #314313=ADVANCED_FACE('',(#26798),#12172,.T.); #314314=ADVANCED_FACE('',(#26799),#12173,.T.); #314315=ADVANCED_FACE('',(#26800),#12174,.T.); #314316=ADVANCED_FACE('',(#26801),#12175,.T.); #314317=ADVANCED_FACE('',(#26802),#12176,.T.); #314318=ADVANCED_FACE('',(#26803),#12177,.T.); #314319=ADVANCED_FACE('',(#26804),#12178,.T.); #314320=ADVANCED_FACE('',(#26805),#12179,.T.); #314321=ADVANCED_FACE('',(#26806),#12180,.T.); #314322=ADVANCED_FACE('',(#26807),#12181,.T.); #314323=ADVANCED_FACE('',(#26808),#12182,.T.); #314324=ADVANCED_FACE('',(#26809),#12183,.T.); #314325=ADVANCED_FACE('',(#26810),#12184,.T.); #314326=ADVANCED_FACE('',(#26811),#12185,.T.); #314327=ADVANCED_FACE('',(#26812),#12186,.T.); #314328=ADVANCED_FACE('',(#26813),#12187,.T.); #314329=ADVANCED_FACE('',(#26814),#12188,.T.); #314330=ADVANCED_FACE('',(#26815),#12189,.T.); #314331=ADVANCED_FACE('',(#26816),#12190,.T.); #314332=ADVANCED_FACE('',(#26817),#12191,.T.); #314333=ADVANCED_FACE('',(#26818),#305867,.T.); #314334=ADVANCED_FACE('',(#26819),#12192,.T.); #314335=ADVANCED_FACE('',(#26820),#305868,.T.); #314336=ADVANCED_FACE('',(#26821),#12193,.T.); #314337=ADVANCED_FACE('',(#26822),#305869,.T.); #314338=ADVANCED_FACE('',(#26823,#4899,#4900,#4901,#4902,#4903,#4904,#4905, #4906,#4907,#4908,#4909,#4910,#4911,#4912,#4913,#4914,#4915,#4916,#4917, #4918,#4919,#4920,#4921,#4922,#4923,#4924,#4925,#4926,#4927,#4928,#4929), #12194,.T.); #314339=ADVANCED_FACE('',(#26824,#4930,#4931,#4932,#4933,#4934,#4935,#4936, #4937,#4938,#4939,#4940,#4941,#4942,#4943,#4944,#4945,#4946,#4947,#4948, #4949,#4950,#4951,#4952,#4953,#4954,#4955,#4956,#4957,#4958,#4959,#4960), #12195,.F.); #314340=ADVANCED_FACE('',(#26825),#305870,.F.); #314341=ADVANCED_FACE('',(#26826),#12196,.T.); #314342=ADVANCED_FACE('',(#26827),#12197,.T.); #314343=ADVANCED_FACE('',(#26828),#12198,.T.); #314344=ADVANCED_FACE('',(#26829),#12199,.T.); #314345=ADVANCED_FACE('',(#26830),#12200,.T.); #314346=ADVANCED_FACE('',(#26831),#12201,.T.); #314347=ADVANCED_FACE('',(#26832),#305871,.T.); #314348=ADVANCED_FACE('',(#26833),#12202,.T.); #314349=ADVANCED_FACE('',(#26834),#12203,.T.); #314350=ADVANCED_FACE('',(#26835),#305872,.T.); #314351=ADVANCED_FACE('',(#26836),#12204,.T.); #314352=ADVANCED_FACE('',(#26837),#305873,.T.); #314353=ADVANCED_FACE('',(#26838),#305874,.T.); #314354=ADVANCED_FACE('',(#26839),#12205,.T.); #314355=ADVANCED_FACE('',(#26840),#12206,.T.); #314356=ADVANCED_FACE('',(#26841),#305875,.T.); #314357=ADVANCED_FACE('',(#26842),#12207,.T.); #314358=ADVANCED_FACE('',(#26843),#12208,.T.); #314359=ADVANCED_FACE('',(#26844),#305876,.T.); #314360=ADVANCED_FACE('',(#26845),#12209,.T.); #314361=ADVANCED_FACE('',(#26846),#12210,.T.); #314362=ADVANCED_FACE('',(#26847,#4961),#12211,.T.); #314363=ADVANCED_FACE('',(#26848,#4962),#12212,.F.); #314364=ADVANCED_FACE('',(#26849),#305877,.F.); #314365=ADVANCED_FACE('',(#26850),#305878,.F.); #314366=ADVANCED_FACE('',(#26851),#305879,.F.); #314367=ADVANCED_FACE('',(#26852),#305880,.F.); #314368=ADVANCED_FACE('',(#26853),#305881,.F.); #314369=ADVANCED_FACE('',(#26854),#305882,.F.); #314370=ADVANCED_FACE('',(#26855),#305883,.F.); #314371=ADVANCED_FACE('',(#26856),#305884,.F.); #314372=ADVANCED_FACE('',(#26857),#305885,.F.); #314373=ADVANCED_FACE('',(#26858),#305886,.F.); #314374=ADVANCED_FACE('',(#26859),#305887,.F.); #314375=ADVANCED_FACE('',(#26860),#12213,.T.); #314376=ADVANCED_FACE('',(#26861),#12214,.T.); #314377=ADVANCED_FACE('',(#26862),#12215,.T.); #314378=ADVANCED_FACE('',(#26863),#12216,.T.); #314379=ADVANCED_FACE('',(#26864),#12217,.T.); #314380=ADVANCED_FACE('',(#26865),#12218,.T.); #314381=ADVANCED_FACE('',(#26866),#12219,.T.); #314382=ADVANCED_FACE('',(#26867),#12220,.T.); #314383=ADVANCED_FACE('',(#26868),#12221,.T.); #314384=ADVANCED_FACE('',(#26869),#12222,.T.); #314385=ADVANCED_FACE('',(#26870),#12223,.T.); #314386=ADVANCED_FACE('',(#26871),#12224,.T.); #314387=ADVANCED_FACE('',(#26872),#12225,.T.); #314388=ADVANCED_FACE('',(#26873),#12226,.T.); #314389=ADVANCED_FACE('',(#26874),#12227,.T.); #314390=ADVANCED_FACE('',(#26875),#12228,.T.); #314391=ADVANCED_FACE('',(#26876),#12229,.T.); #314392=ADVANCED_FACE('',(#26877),#12230,.T.); #314393=ADVANCED_FACE('',(#26878),#12231,.T.); #314394=ADVANCED_FACE('',(#26879),#12232,.T.); #314395=ADVANCED_FACE('',(#26880),#12233,.T.); #314396=ADVANCED_FACE('',(#26881),#12234,.T.); #314397=ADVANCED_FACE('',(#26882),#12235,.T.); #314398=ADVANCED_FACE('',(#26883),#12236,.T.); #314399=ADVANCED_FACE('',(#26884),#305888,.T.); #314400=ADVANCED_FACE('',(#26885),#12237,.T.); #314401=ADVANCED_FACE('',(#26886),#12238,.T.); #314402=ADVANCED_FACE('',(#26887),#305889,.T.); #314403=ADVANCED_FACE('',(#26888),#12239,.T.); #314404=ADVANCED_FACE('',(#26889),#12240,.T.); #314405=ADVANCED_FACE('',(#26890),#12241,.T.); #314406=ADVANCED_FACE('',(#26891),#12242,.T.); #314407=ADVANCED_FACE('',(#26892),#12243,.T.); #314408=ADVANCED_FACE('',(#26893),#12244,.T.); #314409=ADVANCED_FACE('',(#26894),#12245,.T.); #314410=ADVANCED_FACE('',(#26895),#12246,.T.); #314411=ADVANCED_FACE('',(#26896),#305890,.T.); #314412=ADVANCED_FACE('',(#26897),#12247,.T.); #314413=ADVANCED_FACE('',(#26898),#305891,.T.); #314414=ADVANCED_FACE('',(#26899),#12248,.T.); #314415=ADVANCED_FACE('',(#26900),#12249,.T.); #314416=ADVANCED_FACE('',(#26901),#12250,.T.); #314417=ADVANCED_FACE('',(#26902),#12251,.T.); #314418=ADVANCED_FACE('',(#26903),#12252,.T.); #314419=ADVANCED_FACE('',(#26904),#12253,.T.); #314420=ADVANCED_FACE('',(#26905),#12254,.T.); #314421=ADVANCED_FACE('',(#26906),#12255,.T.); #314422=ADVANCED_FACE('',(#26907),#12256,.T.); #314423=ADVANCED_FACE('',(#26908),#12257,.T.); #314424=ADVANCED_FACE('',(#26909),#12258,.T.); #314425=ADVANCED_FACE('',(#26910),#12259,.T.); #314426=ADVANCED_FACE('',(#26911),#12260,.T.); #314427=ADVANCED_FACE('',(#26912),#12261,.T.); #314428=ADVANCED_FACE('',(#26913),#12262,.T.); #314429=ADVANCED_FACE('',(#26914),#305892,.T.); #314430=ADVANCED_FACE('',(#26915),#12263,.T.); #314431=ADVANCED_FACE('',(#26916),#305893,.T.); #314432=ADVANCED_FACE('',(#26917),#12264,.T.); #314433=ADVANCED_FACE('',(#26918),#12265,.T.); #314434=ADVANCED_FACE('',(#26919),#12266,.T.); #314435=ADVANCED_FACE('',(#26920),#12267,.T.); #314436=ADVANCED_FACE('',(#26921),#12268,.T.); #314437=ADVANCED_FACE('',(#26922),#12269,.T.); #314438=ADVANCED_FACE('',(#26923),#12270,.T.); #314439=ADVANCED_FACE('',(#26924),#12271,.T.); #314440=ADVANCED_FACE('',(#26925),#12272,.T.); #314441=ADVANCED_FACE('',(#26926),#12273,.T.); #314442=ADVANCED_FACE('',(#26927),#12274,.T.); #314443=ADVANCED_FACE('',(#26928),#12275,.T.); #314444=ADVANCED_FACE('',(#26929),#12276,.T.); #314445=ADVANCED_FACE('',(#26930),#12277,.T.); #314446=ADVANCED_FACE('',(#26931),#12278,.T.); #314447=ADVANCED_FACE('',(#26932),#12279,.T.); #314448=ADVANCED_FACE('',(#26933),#12280,.T.); #314449=ADVANCED_FACE('',(#26934),#12281,.T.); #314450=ADVANCED_FACE('',(#26935),#12282,.T.); #314451=ADVANCED_FACE('',(#26936),#12283,.T.); #314452=ADVANCED_FACE('',(#26937),#12284,.T.); #314453=ADVANCED_FACE('',(#26938),#12285,.T.); #314454=ADVANCED_FACE('',(#26939),#12286,.T.); #314455=ADVANCED_FACE('',(#26940),#12287,.T.); #314456=ADVANCED_FACE('',(#26941),#12288,.T.); #314457=ADVANCED_FACE('',(#26942),#12289,.T.); #314458=ADVANCED_FACE('',(#26943),#12290,.T.); #314459=ADVANCED_FACE('',(#26944),#12291,.T.); #314460=ADVANCED_FACE('',(#26945),#12292,.T.); #314461=ADVANCED_FACE('',(#26946),#12293,.T.); #314462=ADVANCED_FACE('',(#26947),#12294,.T.); #314463=ADVANCED_FACE('',(#26948),#12295,.T.); #314464=ADVANCED_FACE('',(#26949),#12296,.T.); #314465=ADVANCED_FACE('',(#26950),#12297,.T.); #314466=ADVANCED_FACE('',(#26951),#12298,.T.); #314467=ADVANCED_FACE('',(#26952),#12299,.T.); #314468=ADVANCED_FACE('',(#26953),#12300,.T.); #314469=ADVANCED_FACE('',(#26954),#12301,.T.); #314470=ADVANCED_FACE('',(#26955),#12302,.T.); #314471=ADVANCED_FACE('',(#26956),#12303,.T.); #314472=ADVANCED_FACE('',(#26957),#12304,.T.); #314473=ADVANCED_FACE('',(#26958),#12305,.T.); #314474=ADVANCED_FACE('',(#26959),#12306,.T.); #314475=ADVANCED_FACE('',(#26960),#12307,.T.); #314476=ADVANCED_FACE('',(#26961),#12308,.T.); #314477=ADVANCED_FACE('',(#26962),#12309,.T.); #314478=ADVANCED_FACE('',(#26963),#12310,.T.); #314479=ADVANCED_FACE('',(#26964),#12311,.T.); #314480=ADVANCED_FACE('',(#26965),#12312,.T.); #314481=ADVANCED_FACE('',(#26966),#12313,.T.); #314482=ADVANCED_FACE('',(#26967),#12314,.T.); #314483=ADVANCED_FACE('',(#26968),#12315,.T.); #314484=ADVANCED_FACE('',(#26969),#12316,.T.); #314485=ADVANCED_FACE('',(#26970),#12317,.T.); #314486=ADVANCED_FACE('',(#26971),#12318,.T.); #314487=ADVANCED_FACE('',(#26972),#12319,.T.); #314488=ADVANCED_FACE('',(#26973),#12320,.T.); #314489=ADVANCED_FACE('',(#26974),#12321,.T.); #314490=ADVANCED_FACE('',(#26975),#12322,.T.); #314491=ADVANCED_FACE('',(#26976),#12323,.T.); #314492=ADVANCED_FACE('',(#26977),#12324,.T.); #314493=ADVANCED_FACE('',(#26978),#12325,.T.); #314494=ADVANCED_FACE('',(#26979),#12326,.T.); #314495=ADVANCED_FACE('',(#26980),#12327,.T.); #314496=ADVANCED_FACE('',(#26981),#12328,.T.); #314497=ADVANCED_FACE('',(#26982),#12329,.T.); #314498=ADVANCED_FACE('',(#26983),#12330,.T.); #314499=ADVANCED_FACE('',(#26984,#4963,#4964,#4965,#4966,#4967,#4968,#4969, #4970,#4971,#4972,#4973),#12331,.T.); #314500=ADVANCED_FACE('',(#26985,#4974,#4975,#4976,#4977,#4978,#4979,#4980, #4981,#4982,#4983,#4984),#12332,.F.); #314501=ADVANCED_FACE('',(#26986),#305894,.F.); #314502=ADVANCED_FACE('',(#26987),#305895,.F.); #314503=ADVANCED_FACE('',(#26988),#305896,.F.); #314504=ADVANCED_FACE('',(#26989),#305897,.F.); #314505=ADVANCED_FACE('',(#26990),#305898,.F.); #314506=ADVANCED_FACE('',(#26991),#305899,.F.); #314507=ADVANCED_FACE('',(#26992),#305900,.F.); #314508=ADVANCED_FACE('',(#26993),#305901,.F.); #314509=ADVANCED_FACE('',(#26994),#305902,.F.); #314510=ADVANCED_FACE('',(#26995),#305903,.F.); #314511=ADVANCED_FACE('',(#26996),#305904,.F.); #314512=ADVANCED_FACE('',(#26997),#305905,.F.); #314513=ADVANCED_FACE('',(#26998),#305906,.F.); #314514=ADVANCED_FACE('',(#26999),#305907,.F.); #314515=ADVANCED_FACE('',(#27000),#305908,.F.); #314516=ADVANCED_FACE('',(#27001),#305909,.F.); #314517=ADVANCED_FACE('',(#27002),#305910,.F.); #314518=ADVANCED_FACE('',(#27003),#305911,.F.); #314519=ADVANCED_FACE('',(#27004),#305912,.F.); #314520=ADVANCED_FACE('',(#27005),#305913,.F.); #314521=ADVANCED_FACE('',(#27006),#305914,.F.); #314522=ADVANCED_FACE('',(#27007),#305915,.F.); #314523=ADVANCED_FACE('',(#27008),#305916,.F.); #314524=ADVANCED_FACE('',(#27009),#305917,.F.); #314525=ADVANCED_FACE('',(#27010),#305918,.F.); #314526=ADVANCED_FACE('',(#27011),#305919,.F.); #314527=ADVANCED_FACE('',(#27012),#305920,.F.); #314528=ADVANCED_FACE('',(#27013),#305921,.F.); #314529=ADVANCED_FACE('',(#27014),#305922,.F.); #314530=ADVANCED_FACE('',(#27015),#305923,.F.); #314531=ADVANCED_FACE('',(#27016),#305924,.F.); #314532=ADVANCED_FACE('',(#27017),#12333,.T.); #314533=ADVANCED_FACE('',(#27018),#305925,.T.); #314534=ADVANCED_FACE('',(#27019),#12334,.T.); #314535=ADVANCED_FACE('',(#27020),#305926,.T.); #314536=ADVANCED_FACE('',(#27021),#12335,.T.); #314537=ADVANCED_FACE('',(#27022),#305927,.T.); #314538=ADVANCED_FACE('',(#27023),#12336,.T.); #314539=ADVANCED_FACE('',(#27024),#12337,.T.); #314540=ADVANCED_FACE('',(#27025),#12338,.T.); #314541=ADVANCED_FACE('',(#27026),#12339,.T.); #314542=ADVANCED_FACE('',(#27027),#12340,.T.); #314543=ADVANCED_FACE('',(#27028),#12341,.T.); #314544=ADVANCED_FACE('',(#27029),#12342,.T.); #314545=ADVANCED_FACE('',(#27030),#12343,.T.); #314546=ADVANCED_FACE('',(#27031),#12344,.T.); #314547=ADVANCED_FACE('',(#27032),#12345,.T.); #314548=ADVANCED_FACE('',(#27033),#12346,.T.); #314549=ADVANCED_FACE('',(#27034),#12347,.T.); #314550=ADVANCED_FACE('',(#27035),#12348,.T.); #314551=ADVANCED_FACE('',(#27036),#12349,.T.); #314552=ADVANCED_FACE('',(#27037),#12350,.T.); #314553=ADVANCED_FACE('',(#27038),#12351,.T.); #314554=ADVANCED_FACE('',(#27039),#12352,.T.); #314555=ADVANCED_FACE('',(#27040),#12353,.T.); #314556=ADVANCED_FACE('',(#27041),#12354,.T.); #314557=ADVANCED_FACE('',(#27042),#12355,.T.); #314558=ADVANCED_FACE('',(#27043),#12356,.T.); #314559=ADVANCED_FACE('',(#27044),#12357,.T.); #314560=ADVANCED_FACE('',(#27045),#12358,.T.); #314561=ADVANCED_FACE('',(#27046),#12359,.T.); #314562=ADVANCED_FACE('',(#27047),#12360,.T.); #314563=ADVANCED_FACE('',(#27048),#12361,.T.); #314564=ADVANCED_FACE('',(#27049),#12362,.T.); #314565=ADVANCED_FACE('',(#27050),#12363,.T.); #314566=ADVANCED_FACE('',(#27051),#12364,.T.); #314567=ADVANCED_FACE('',(#27052),#12365,.T.); #314568=ADVANCED_FACE('',(#27053),#12366,.T.); #314569=ADVANCED_FACE('',(#27054),#12367,.T.); #314570=ADVANCED_FACE('',(#27055),#12368,.T.); #314571=ADVANCED_FACE('',(#27056),#12369,.T.); #314572=ADVANCED_FACE('',(#27057),#12370,.T.); #314573=ADVANCED_FACE('',(#27058),#12371,.T.); #314574=ADVANCED_FACE('',(#27059),#12372,.T.); #314575=ADVANCED_FACE('',(#27060),#12373,.T.); #314576=ADVANCED_FACE('',(#27061),#12374,.T.); #314577=ADVANCED_FACE('',(#27062),#12375,.T.); #314578=ADVANCED_FACE('',(#27063),#12376,.T.); #314579=ADVANCED_FACE('',(#27064),#12377,.T.); #314580=ADVANCED_FACE('',(#27065),#12378,.T.); #314581=ADVANCED_FACE('',(#27066),#12379,.T.); #314582=ADVANCED_FACE('',(#27067),#12380,.T.); #314583=ADVANCED_FACE('',(#27068),#12381,.T.); #314584=ADVANCED_FACE('',(#27069),#12382,.T.); #314585=ADVANCED_FACE('',(#27070),#12383,.T.); #314586=ADVANCED_FACE('',(#27071),#12384,.T.); #314587=ADVANCED_FACE('',(#27072),#12385,.T.); #314588=ADVANCED_FACE('',(#27073),#12386,.T.); #314589=ADVANCED_FACE('',(#27074),#12387,.T.); #314590=ADVANCED_FACE('',(#27075),#12388,.T.); #314591=ADVANCED_FACE('',(#27076),#12389,.T.); #314592=ADVANCED_FACE('',(#27077),#12390,.T.); #314593=ADVANCED_FACE('',(#27078),#12391,.T.); #314594=ADVANCED_FACE('',(#27079),#12392,.T.); #314595=ADVANCED_FACE('',(#27080),#12393,.T.); #314596=ADVANCED_FACE('',(#27081),#12394,.T.); #314597=ADVANCED_FACE('',(#27082),#12395,.T.); #314598=ADVANCED_FACE('',(#27083),#12396,.T.); #314599=ADVANCED_FACE('',(#27084),#12397,.T.); #314600=ADVANCED_FACE('',(#27085),#12398,.T.); #314601=ADVANCED_FACE('',(#27086),#12399,.T.); #314602=ADVANCED_FACE('',(#27087),#12400,.T.); #314603=ADVANCED_FACE('',(#27088),#12401,.T.); #314604=ADVANCED_FACE('',(#27089),#12402,.T.); #314605=ADVANCED_FACE('',(#27090),#305928,.T.); #314606=ADVANCED_FACE('',(#27091),#12403,.T.); #314607=ADVANCED_FACE('',(#27092),#305929,.T.); #314608=ADVANCED_FACE('',(#27093),#12404,.T.); #314609=ADVANCED_FACE('',(#27094),#12405,.T.); #314610=ADVANCED_FACE('',(#27095),#12406,.T.); #314611=ADVANCED_FACE('',(#27096),#305930,.T.); #314612=ADVANCED_FACE('',(#27097,#4985,#4986,#4987,#4988,#4989,#4990,#4991, #4992,#4993,#4994,#4995,#4996,#4997,#4998,#4999,#5000,#5001,#5002,#5003, #5004,#5005,#5006,#5007,#5008,#5009,#5010,#5011,#5012,#5013,#5014,#5015), #12407,.T.); #314613=ADVANCED_FACE('',(#27098,#5016,#5017,#5018,#5019,#5020,#5021,#5022, #5023,#5024,#5025,#5026,#5027,#5028,#5029,#5030,#5031,#5032,#5033,#5034, #5035,#5036,#5037,#5038,#5039,#5040,#5041,#5042,#5043,#5044,#5045,#5046), #12408,.F.); #314614=ADVANCED_FACE('',(#27099),#305931,.F.); #314615=ADVANCED_FACE('',(#27100),#12409,.T.); #314616=ADVANCED_FACE('',(#27101),#12410,.T.); #314617=ADVANCED_FACE('',(#27102),#12411,.T.); #314618=ADVANCED_FACE('',(#27103),#12412,.T.); #314619=ADVANCED_FACE('',(#27104),#12413,.T.); #314620=ADVANCED_FACE('',(#27105),#305932,.T.); #314621=ADVANCED_FACE('',(#27106),#12414,.T.); #314622=ADVANCED_FACE('',(#27107),#12415,.T.); #314623=ADVANCED_FACE('',(#27108),#305933,.T.); #314624=ADVANCED_FACE('',(#27109),#12416,.T.); #314625=ADVANCED_FACE('',(#27110),#12417,.T.); #314626=ADVANCED_FACE('',(#27111),#305934,.T.); #314627=ADVANCED_FACE('',(#27112),#305935,.T.); #314628=ADVANCED_FACE('',(#27113),#12418,.T.); #314629=ADVANCED_FACE('',(#27114),#305936,.T.); #314630=ADVANCED_FACE('',(#27115),#12419,.T.); #314631=ADVANCED_FACE('',(#27116),#12420,.T.); #314632=ADVANCED_FACE('',(#27117),#305937,.T.); #314633=ADVANCED_FACE('',(#27118),#12421,.T.); #314634=ADVANCED_FACE('',(#27119),#12422,.T.); #314635=ADVANCED_FACE('',(#27120),#12423,.T.); #314636=ADVANCED_FACE('',(#27121,#5047),#12424,.T.); #314637=ADVANCED_FACE('',(#27122,#5048),#12425,.F.); #314638=ADVANCED_FACE('',(#27123),#305938,.F.); #314639=ADVANCED_FACE('',(#27124),#305939,.F.); #314640=ADVANCED_FACE('',(#27125),#305940,.F.); #314641=ADVANCED_FACE('',(#27126),#305941,.F.); #314642=ADVANCED_FACE('',(#27127),#305942,.F.); #314643=ADVANCED_FACE('',(#27128),#305943,.F.); #314644=ADVANCED_FACE('',(#27129),#305944,.F.); #314645=ADVANCED_FACE('',(#27130),#305945,.F.); #314646=ADVANCED_FACE('',(#27131),#305946,.F.); #314647=ADVANCED_FACE('',(#27132),#305947,.F.); #314648=ADVANCED_FACE('',(#27133),#305948,.F.); #314649=ADVANCED_FACE('',(#27134),#305949,.F.); #314650=ADVANCED_FACE('',(#27135),#305950,.F.); #314651=ADVANCED_FACE('',(#27136),#305951,.F.); #314652=ADVANCED_FACE('',(#27137),#305952,.F.); #314653=ADVANCED_FACE('',(#27138),#305953,.F.); #314654=ADVANCED_FACE('',(#27139),#12426,.T.); #314655=ADVANCED_FACE('',(#27140),#12427,.T.); #314656=ADVANCED_FACE('',(#27141),#12428,.T.); #314657=ADVANCED_FACE('',(#27142),#12429,.T.); #314658=ADVANCED_FACE('',(#27143),#12430,.T.); #314659=ADVANCED_FACE('',(#27144),#12431,.T.); #314660=ADVANCED_FACE('',(#27145),#12432,.T.); #314661=ADVANCED_FACE('',(#27146),#12433,.T.); #314662=ADVANCED_FACE('',(#27147),#12434,.T.); #314663=ADVANCED_FACE('',(#27148),#12435,.T.); #314664=ADVANCED_FACE('',(#27149),#12436,.T.); #314665=ADVANCED_FACE('',(#27150),#12437,.T.); #314666=ADVANCED_FACE('',(#27151),#12438,.T.); #314667=ADVANCED_FACE('',(#27152),#12439,.T.); #314668=ADVANCED_FACE('',(#27153),#12440,.T.); #314669=ADVANCED_FACE('',(#27154),#12441,.T.); #314670=ADVANCED_FACE('',(#27155),#12442,.T.); #314671=ADVANCED_FACE('',(#27156),#12443,.T.); #314672=ADVANCED_FACE('',(#27157),#12444,.T.); #314673=ADVANCED_FACE('',(#27158),#12445,.T.); #314674=ADVANCED_FACE('',(#27159),#12446,.T.); #314675=ADVANCED_FACE('',(#27160),#12447,.T.); #314676=ADVANCED_FACE('',(#27161),#12448,.T.); #314677=ADVANCED_FACE('',(#27162),#12449,.T.); #314678=ADVANCED_FACE('',(#27163),#12450,.T.); #314679=ADVANCED_FACE('',(#27164),#12451,.T.); #314680=ADVANCED_FACE('',(#27165),#12452,.T.); #314681=ADVANCED_FACE('',(#27166),#12453,.T.); #314682=ADVANCED_FACE('',(#27167),#12454,.T.); #314683=ADVANCED_FACE('',(#27168),#12455,.T.); #314684=ADVANCED_FACE('',(#27169),#12456,.T.); #314685=ADVANCED_FACE('',(#27170),#12457,.T.); #314686=ADVANCED_FACE('',(#27171),#12458,.T.); #314687=ADVANCED_FACE('',(#27172),#12459,.T.); #314688=ADVANCED_FACE('',(#27173),#12460,.T.); #314689=ADVANCED_FACE('',(#27174),#12461,.T.); #314690=ADVANCED_FACE('',(#27175),#12462,.T.); #314691=ADVANCED_FACE('',(#27176),#12463,.T.); #314692=ADVANCED_FACE('',(#27177),#12464,.T.); #314693=ADVANCED_FACE('',(#27178),#12465,.T.); #314694=ADVANCED_FACE('',(#27179),#12466,.T.); #314695=ADVANCED_FACE('',(#27180),#12467,.T.); #314696=ADVANCED_FACE('',(#27181),#12468,.T.); #314697=ADVANCED_FACE('',(#27182),#12469,.T.); #314698=ADVANCED_FACE('',(#27183),#12470,.T.); #314699=ADVANCED_FACE('',(#27184),#12471,.T.); #314700=ADVANCED_FACE('',(#27185),#12472,.T.); #314701=ADVANCED_FACE('',(#27186),#12473,.T.); #314702=ADVANCED_FACE('',(#27187),#12474,.T.); #314703=ADVANCED_FACE('',(#27188),#12475,.T.); #314704=ADVANCED_FACE('',(#27189),#12476,.T.); #314705=ADVANCED_FACE('',(#27190),#12477,.T.); #314706=ADVANCED_FACE('',(#27191),#12478,.T.); #314707=ADVANCED_FACE('',(#27192),#12479,.T.); #314708=ADVANCED_FACE('',(#27193),#12480,.T.); #314709=ADVANCED_FACE('',(#27194),#12481,.T.); #314710=ADVANCED_FACE('',(#27195),#12482,.T.); #314711=ADVANCED_FACE('',(#27196),#12483,.T.); #314712=ADVANCED_FACE('',(#27197),#12484,.T.); #314713=ADVANCED_FACE('',(#27198),#12485,.T.); #314714=ADVANCED_FACE('',(#27199),#12486,.T.); #314715=ADVANCED_FACE('',(#27200),#12487,.T.); #314716=ADVANCED_FACE('',(#27201),#12488,.T.); #314717=ADVANCED_FACE('',(#27202),#12489,.T.); #314718=ADVANCED_FACE('',(#27203),#12490,.T.); #314719=ADVANCED_FACE('',(#27204),#12491,.T.); #314720=ADVANCED_FACE('',(#27205),#12492,.T.); #314721=ADVANCED_FACE('',(#27206),#12493,.T.); #314722=ADVANCED_FACE('',(#27207),#12494,.T.); #314723=ADVANCED_FACE('',(#27208),#12495,.T.); #314724=ADVANCED_FACE('',(#27209),#12496,.T.); #314725=ADVANCED_FACE('',(#27210),#12497,.T.); #314726=ADVANCED_FACE('',(#27211),#12498,.T.); #314727=ADVANCED_FACE('',(#27212),#12499,.T.); #314728=ADVANCED_FACE('',(#27213),#12500,.T.); #314729=ADVANCED_FACE('',(#27214),#12501,.T.); #314730=ADVANCED_FACE('',(#27215),#12502,.T.); #314731=ADVANCED_FACE('',(#27216),#12503,.T.); #314732=ADVANCED_FACE('',(#27217),#12504,.T.); #314733=ADVANCED_FACE('',(#27218),#12505,.T.); #314734=ADVANCED_FACE('',(#27219),#12506,.T.); #314735=ADVANCED_FACE('',(#27220),#12507,.T.); #314736=ADVANCED_FACE('',(#27221),#12508,.T.); #314737=ADVANCED_FACE('',(#27222),#12509,.T.); #314738=ADVANCED_FACE('',(#27223),#12510,.T.); #314739=ADVANCED_FACE('',(#27224),#12511,.T.); #314740=ADVANCED_FACE('',(#27225),#12512,.T.); #314741=ADVANCED_FACE('',(#27226),#12513,.T.); #314742=ADVANCED_FACE('',(#27227),#12514,.T.); #314743=ADVANCED_FACE('',(#27228),#12515,.T.); #314744=ADVANCED_FACE('',(#27229),#12516,.T.); #314745=ADVANCED_FACE('',(#27230),#12517,.T.); #314746=ADVANCED_FACE('',(#27231),#12518,.T.); #314747=ADVANCED_FACE('',(#27232),#12519,.T.); #314748=ADVANCED_FACE('',(#27233),#12520,.T.); #314749=ADVANCED_FACE('',(#27234),#12521,.T.); #314750=ADVANCED_FACE('',(#27235),#12522,.T.); #314751=ADVANCED_FACE('',(#27236),#12523,.T.); #314752=ADVANCED_FACE('',(#27237),#12524,.T.); #314753=ADVANCED_FACE('',(#27238),#12525,.T.); #314754=ADVANCED_FACE('',(#27239),#12526,.T.); #314755=ADVANCED_FACE('',(#27240),#12527,.T.); #314756=ADVANCED_FACE('',(#27241),#12528,.T.); #314757=ADVANCED_FACE('',(#27242),#12529,.T.); #314758=ADVANCED_FACE('',(#27243),#12530,.T.); #314759=ADVANCED_FACE('',(#27244),#12531,.T.); #314760=ADVANCED_FACE('',(#27245),#12532,.T.); #314761=ADVANCED_FACE('',(#27246),#12533,.T.); #314762=ADVANCED_FACE('',(#27247),#12534,.T.); #314763=ADVANCED_FACE('',(#27248),#12535,.T.); #314764=ADVANCED_FACE('',(#27249),#12536,.T.); #314765=ADVANCED_FACE('',(#27250),#12537,.T.); #314766=ADVANCED_FACE('',(#27251),#12538,.T.); #314767=ADVANCED_FACE('',(#27252),#12539,.T.); #314768=ADVANCED_FACE('',(#27253),#12540,.T.); #314769=ADVANCED_FACE('',(#27254),#12541,.T.); #314770=ADVANCED_FACE('',(#27255),#12542,.T.); #314771=ADVANCED_FACE('',(#27256),#12543,.T.); #314772=ADVANCED_FACE('',(#27257),#12544,.T.); #314773=ADVANCED_FACE('',(#27258),#12545,.T.); #314774=ADVANCED_FACE('',(#27259),#12546,.T.); #314775=ADVANCED_FACE('',(#27260),#12547,.T.); #314776=ADVANCED_FACE('',(#27261),#12548,.T.); #314777=ADVANCED_FACE('',(#27262),#12549,.T.); #314778=ADVANCED_FACE('',(#27263),#12550,.T.); #314779=ADVANCED_FACE('',(#27264),#12551,.T.); #314780=ADVANCED_FACE('',(#27265),#12552,.T.); #314781=ADVANCED_FACE('',(#27266),#12553,.T.); #314782=ADVANCED_FACE('',(#27267),#12554,.T.); #314783=ADVANCED_FACE('',(#27268),#12555,.T.); #314784=ADVANCED_FACE('',(#27269),#12556,.T.); #314785=ADVANCED_FACE('',(#27270),#12557,.T.); #314786=ADVANCED_FACE('',(#27271),#12558,.T.); #314787=ADVANCED_FACE('',(#27272),#12559,.T.); #314788=ADVANCED_FACE('',(#27273),#12560,.T.); #314789=ADVANCED_FACE('',(#27274),#12561,.T.); #314790=ADVANCED_FACE('',(#27275),#12562,.T.); #314791=ADVANCED_FACE('',(#27276),#12563,.T.); #314792=ADVANCED_FACE('',(#27277),#12564,.T.); #314793=ADVANCED_FACE('',(#27278),#12565,.T.); #314794=ADVANCED_FACE('',(#27279),#12566,.T.); #314795=ADVANCED_FACE('',(#27280),#12567,.T.); #314796=ADVANCED_FACE('',(#27281),#12568,.T.); #314797=ADVANCED_FACE('',(#27282),#12569,.T.); #314798=ADVANCED_FACE('',(#27283),#12570,.T.); #314799=ADVANCED_FACE('',(#27284),#12571,.T.); #314800=ADVANCED_FACE('',(#27285),#12572,.T.); #314801=ADVANCED_FACE('',(#27286),#12573,.T.); #314802=ADVANCED_FACE('',(#27287),#12574,.T.); #314803=ADVANCED_FACE('',(#27288),#12575,.T.); #314804=ADVANCED_FACE('',(#27289),#12576,.T.); #314805=ADVANCED_FACE('',(#27290),#12577,.T.); #314806=ADVANCED_FACE('',(#27291),#12578,.T.); #314807=ADVANCED_FACE('',(#27292),#12579,.T.); #314808=ADVANCED_FACE('',(#27293),#12580,.T.); #314809=ADVANCED_FACE('',(#27294),#12581,.T.); #314810=ADVANCED_FACE('',(#27295),#12582,.T.); #314811=ADVANCED_FACE('',(#27296),#12583,.T.); #314812=ADVANCED_FACE('',(#27297),#12584,.T.); #314813=ADVANCED_FACE('',(#27298),#12585,.T.); #314814=ADVANCED_FACE('',(#27299),#12586,.T.); #314815=ADVANCED_FACE('',(#27300),#12587,.T.); #314816=ADVANCED_FACE('',(#27301),#12588,.T.); #314817=ADVANCED_FACE('',(#27302),#12589,.T.); #314818=ADVANCED_FACE('',(#27303),#12590,.T.); #314819=ADVANCED_FACE('',(#27304),#12591,.T.); #314820=ADVANCED_FACE('',(#27305),#12592,.T.); #314821=ADVANCED_FACE('',(#27306),#12593,.T.); #314822=ADVANCED_FACE('',(#27307),#12594,.T.); #314823=ADVANCED_FACE('',(#27308),#12595,.T.); #314824=ADVANCED_FACE('',(#27309),#12596,.T.); #314825=ADVANCED_FACE('',(#27310),#12597,.T.); #314826=ADVANCED_FACE('',(#27311),#12598,.T.); #314827=ADVANCED_FACE('',(#27312),#12599,.T.); #314828=ADVANCED_FACE('',(#27313),#12600,.T.); #314829=ADVANCED_FACE('',(#27314),#12601,.T.); #314830=ADVANCED_FACE('',(#27315),#12602,.T.); #314831=ADVANCED_FACE('',(#27316),#12603,.T.); #314832=ADVANCED_FACE('',(#27317),#12604,.T.); #314833=ADVANCED_FACE('',(#27318),#12605,.T.); #314834=ADVANCED_FACE('',(#27319),#12606,.T.); #314835=ADVANCED_FACE('',(#27320),#12607,.T.); #314836=ADVANCED_FACE('',(#27321),#12608,.T.); #314837=ADVANCED_FACE('',(#27322),#12609,.T.); #314838=ADVANCED_FACE('',(#27323),#12610,.T.); #314839=ADVANCED_FACE('',(#27324),#12611,.T.); #314840=ADVANCED_FACE('',(#27325),#12612,.T.); #314841=ADVANCED_FACE('',(#27326),#12613,.T.); #314842=ADVANCED_FACE('',(#27327),#12614,.T.); #314843=ADVANCED_FACE('',(#27328),#12615,.T.); #314844=ADVANCED_FACE('',(#27329),#12616,.T.); #314845=ADVANCED_FACE('',(#27330),#12617,.T.); #314846=ADVANCED_FACE('',(#27331),#12618,.T.); #314847=ADVANCED_FACE('',(#27332),#12619,.T.); #314848=ADVANCED_FACE('',(#27333),#12620,.T.); #314849=ADVANCED_FACE('',(#27334),#12621,.T.); #314850=ADVANCED_FACE('',(#27335),#12622,.T.); #314851=ADVANCED_FACE('',(#27336),#12623,.T.); #314852=ADVANCED_FACE('',(#27337),#12624,.T.); #314853=ADVANCED_FACE('',(#27338),#12625,.T.); #314854=ADVANCED_FACE('',(#27339),#12626,.T.); #314855=ADVANCED_FACE('',(#27340),#12627,.T.); #314856=ADVANCED_FACE('',(#27341),#12628,.T.); #314857=ADVANCED_FACE('',(#27342),#12629,.T.); #314858=ADVANCED_FACE('',(#27343),#12630,.T.); #314859=ADVANCED_FACE('',(#27344),#12631,.T.); #314860=ADVANCED_FACE('',(#27345),#12632,.T.); #314861=ADVANCED_FACE('',(#27346),#12633,.T.); #314862=ADVANCED_FACE('',(#27347),#12634,.T.); #314863=ADVANCED_FACE('',(#27348),#12635,.T.); #314864=ADVANCED_FACE('',(#27349),#12636,.T.); #314865=ADVANCED_FACE('',(#27350),#12637,.T.); #314866=ADVANCED_FACE('',(#27351),#12638,.T.); #314867=ADVANCED_FACE('',(#27352),#12639,.T.); #314868=ADVANCED_FACE('',(#27353),#12640,.T.); #314869=ADVANCED_FACE('',(#27354),#12641,.T.); #314870=ADVANCED_FACE('',(#27355),#12642,.T.); #314871=ADVANCED_FACE('',(#27356),#12643,.T.); #314872=ADVANCED_FACE('',(#27357),#12644,.T.); #314873=ADVANCED_FACE('',(#27358),#12645,.T.); #314874=ADVANCED_FACE('',(#27359),#12646,.T.); #314875=ADVANCED_FACE('',(#27360),#12647,.T.); #314876=ADVANCED_FACE('',(#27361),#12648,.T.); #314877=ADVANCED_FACE('',(#27362),#305954,.T.); #314878=ADVANCED_FACE('',(#27363),#12649,.T.); #314879=ADVANCED_FACE('',(#27364),#305955,.T.); #314880=ADVANCED_FACE('',(#27365),#12650,.T.); #314881=ADVANCED_FACE('',(#27366),#12651,.T.); #314882=ADVANCED_FACE('',(#27367),#12652,.T.); #314883=ADVANCED_FACE('',(#27368),#12653,.T.); #314884=ADVANCED_FACE('',(#27369),#12654,.T.); #314885=ADVANCED_FACE('',(#27370),#12655,.T.); #314886=ADVANCED_FACE('',(#27371),#12656,.T.); #314887=ADVANCED_FACE('',(#27372),#12657,.T.); #314888=ADVANCED_FACE('',(#27373),#305956,.T.); #314889=ADVANCED_FACE('',(#27374),#12658,.T.); #314890=ADVANCED_FACE('',(#27375),#12659,.T.); #314891=ADVANCED_FACE('',(#27376),#305957,.T.); #314892=ADVANCED_FACE('',(#27377),#12660,.T.); #314893=ADVANCED_FACE('',(#27378),#12661,.T.); #314894=ADVANCED_FACE('',(#27379),#12662,.T.); #314895=ADVANCED_FACE('',(#27380),#12663,.T.); #314896=ADVANCED_FACE('',(#27381),#12664,.T.); #314897=ADVANCED_FACE('',(#27382),#12665,.T.); #314898=ADVANCED_FACE('',(#27383),#12666,.T.); #314899=ADVANCED_FACE('',(#27384),#12667,.T.); #314900=ADVANCED_FACE('',(#27385),#12668,.T.); #314901=ADVANCED_FACE('',(#27386),#12669,.T.); #314902=ADVANCED_FACE('',(#27387),#12670,.T.); #314903=ADVANCED_FACE('',(#27388),#12671,.T.); #314904=ADVANCED_FACE('',(#27389),#12672,.T.); #314905=ADVANCED_FACE('',(#27390),#12673,.T.); #314906=ADVANCED_FACE('',(#27391),#12674,.T.); #314907=ADVANCED_FACE('',(#27392),#12675,.T.); #314908=ADVANCED_FACE('',(#27393),#12676,.T.); #314909=ADVANCED_FACE('',(#27394),#12677,.T.); #314910=ADVANCED_FACE('',(#27395),#12678,.T.); #314911=ADVANCED_FACE('',(#27396),#12679,.T.); #314912=ADVANCED_FACE('',(#27397),#12680,.T.); #314913=ADVANCED_FACE('',(#27398),#12681,.T.); #314914=ADVANCED_FACE('',(#27399),#12682,.T.); #314915=ADVANCED_FACE('',(#27400),#12683,.T.); #314916=ADVANCED_FACE('',(#27401),#12684,.T.); #314917=ADVANCED_FACE('',(#27402),#12685,.T.); #314918=ADVANCED_FACE('',(#27403),#12686,.T.); #314919=ADVANCED_FACE('',(#27404),#12687,.T.); #314920=ADVANCED_FACE('',(#27405),#12688,.T.); #314921=ADVANCED_FACE('',(#27406),#12689,.T.); #314922=ADVANCED_FACE('',(#27407),#12690,.T.); #314923=ADVANCED_FACE('',(#27408),#12691,.T.); #314924=ADVANCED_FACE('',(#27409),#12692,.T.); #314925=ADVANCED_FACE('',(#27410),#12693,.T.); #314926=ADVANCED_FACE('',(#27411),#12694,.T.); #314927=ADVANCED_FACE('',(#27412),#12695,.T.); #314928=ADVANCED_FACE('',(#27413),#12696,.T.); #314929=ADVANCED_FACE('',(#27414),#12697,.T.); #314930=ADVANCED_FACE('',(#27415),#12698,.T.); #314931=ADVANCED_FACE('',(#27416),#12699,.T.); #314932=ADVANCED_FACE('',(#27417),#12700,.T.); #314933=ADVANCED_FACE('',(#27418),#12701,.T.); #314934=ADVANCED_FACE('',(#27419),#12702,.T.); #314935=ADVANCED_FACE('',(#27420),#12703,.T.); #314936=ADVANCED_FACE('',(#27421),#12704,.T.); #314937=ADVANCED_FACE('',(#27422),#12705,.T.); #314938=ADVANCED_FACE('',(#27423),#12706,.T.); #314939=ADVANCED_FACE('',(#27424),#12707,.T.); #314940=ADVANCED_FACE('',(#27425),#12708,.T.); #314941=ADVANCED_FACE('',(#27426),#12709,.T.); #314942=ADVANCED_FACE('',(#27427),#12710,.T.); #314943=ADVANCED_FACE('',(#27428),#12711,.T.); #314944=ADVANCED_FACE('',(#27429),#12712,.T.); #314945=ADVANCED_FACE('',(#27430),#12713,.T.); #314946=ADVANCED_FACE('',(#27431),#12714,.T.); #314947=ADVANCED_FACE('',(#27432),#12715,.T.); #314948=ADVANCED_FACE('',(#27433),#12716,.T.); #314949=ADVANCED_FACE('',(#27434),#12717,.T.); #314950=ADVANCED_FACE('',(#27435),#12718,.T.); #314951=ADVANCED_FACE('',(#27436),#12719,.T.); #314952=ADVANCED_FACE('',(#27437),#12720,.T.); #314953=ADVANCED_FACE('',(#27438),#12721,.T.); #314954=ADVANCED_FACE('',(#27439),#12722,.T.); #314955=ADVANCED_FACE('',(#27440),#12723,.T.); #314956=ADVANCED_FACE('',(#27441),#12724,.T.); #314957=ADVANCED_FACE('',(#27442),#12725,.T.); #314958=ADVANCED_FACE('',(#27443),#12726,.T.); #314959=ADVANCED_FACE('',(#27444),#12727,.T.); #314960=ADVANCED_FACE('',(#27445),#12728,.T.); #314961=ADVANCED_FACE('',(#27446),#12729,.T.); #314962=ADVANCED_FACE('',(#27447),#12730,.T.); #314963=ADVANCED_FACE('',(#27448),#12731,.T.); #314964=ADVANCED_FACE('',(#27449),#12732,.T.); #314965=ADVANCED_FACE('',(#27450),#12733,.T.); #314966=ADVANCED_FACE('',(#27451),#12734,.T.); #314967=ADVANCED_FACE('',(#27452),#12735,.T.); #314968=ADVANCED_FACE('',(#27453),#12736,.T.); #314969=ADVANCED_FACE('',(#27454),#12737,.T.); #314970=ADVANCED_FACE('',(#27455),#12738,.T.); #314971=ADVANCED_FACE('',(#27456),#12739,.T.); #314972=ADVANCED_FACE('',(#27457),#12740,.T.); #314973=ADVANCED_FACE('',(#27458),#12741,.T.); #314974=ADVANCED_FACE('',(#27459),#12742,.T.); #314975=ADVANCED_FACE('',(#27460),#12743,.T.); #314976=ADVANCED_FACE('',(#27461,#5049,#5050,#5051,#5052,#5053,#5054,#5055, #5056,#5057,#5058,#5059,#5060,#5061,#5062,#5063,#5064,#5065),#12744,.T.); #314977=ADVANCED_FACE('',(#27462,#5066,#5067,#5068,#5069,#5070,#5071,#5072, #5073,#5074,#5075,#5076,#5077,#5078,#5079,#5080,#5081,#5082),#12745,.F.); #314978=ADVANCED_FACE('',(#27463),#12746,.T.); #314979=ADVANCED_FACE('',(#27464),#12747,.T.); #314980=ADVANCED_FACE('',(#27465),#12748,.T.); #314981=ADVANCED_FACE('',(#27466),#12749,.T.); #314982=ADVANCED_FACE('',(#27467),#12750,.T.); #314983=ADVANCED_FACE('',(#27468),#12751,.T.); #314984=ADVANCED_FACE('',(#27469),#12752,.T.); #314985=ADVANCED_FACE('',(#27470),#12753,.T.); #314986=ADVANCED_FACE('',(#27471),#12754,.T.); #314987=ADVANCED_FACE('',(#27472),#12755,.T.); #314988=ADVANCED_FACE('',(#27473),#12756,.T.); #314989=ADVANCED_FACE('',(#27474),#12757,.T.); #314990=ADVANCED_FACE('',(#27475),#12758,.T.); #314991=ADVANCED_FACE('',(#27476),#12759,.T.); #314992=ADVANCED_FACE('',(#27477),#12760,.T.); #314993=ADVANCED_FACE('',(#27478),#12761,.T.); #314994=ADVANCED_FACE('',(#27479),#12762,.T.); #314995=ADVANCED_FACE('',(#27480),#12763,.T.); #314996=ADVANCED_FACE('',(#27481),#12764,.T.); #314997=ADVANCED_FACE('',(#27482),#12765,.T.); #314998=ADVANCED_FACE('',(#27483),#12766,.T.); #314999=ADVANCED_FACE('',(#27484),#12767,.F.); #315000=ADVANCED_FACE('',(#27485),#12768,.T.); #315001=ADVANCED_FACE('',(#27486),#12769,.T.); #315002=ADVANCED_FACE('',(#27487),#12770,.T.); #315003=ADVANCED_FACE('',(#27488),#12771,.T.); #315004=ADVANCED_FACE('',(#27489),#12772,.T.); #315005=ADVANCED_FACE('',(#27490),#12773,.T.); #315006=ADVANCED_FACE('',(#27491),#12774,.T.); #315007=ADVANCED_FACE('',(#27492),#12775,.T.); #315008=ADVANCED_FACE('',(#27493),#12776,.T.); #315009=ADVANCED_FACE('',(#27494),#12777,.T.); #315010=ADVANCED_FACE('',(#27495),#12778,.T.); #315011=ADVANCED_FACE('',(#27496),#12779,.T.); #315012=ADVANCED_FACE('',(#27497),#12780,.T.); #315013=ADVANCED_FACE('',(#27498),#12781,.T.); #315014=ADVANCED_FACE('',(#27499),#12782,.T.); #315015=ADVANCED_FACE('',(#27500),#12783,.T.); #315016=ADVANCED_FACE('',(#27501),#12784,.T.); #315017=ADVANCED_FACE('',(#27502),#12785,.T.); #315018=ADVANCED_FACE('',(#27503),#12786,.T.); #315019=ADVANCED_FACE('',(#27504),#12787,.T.); #315020=ADVANCED_FACE('',(#27505),#12788,.T.); #315021=ADVANCED_FACE('',(#27506),#12789,.F.); #315022=ADVANCED_FACE('',(#27507),#12790,.T.); #315023=ADVANCED_FACE('',(#27508),#12791,.T.); #315024=ADVANCED_FACE('',(#27509),#12792,.T.); #315025=ADVANCED_FACE('',(#27510),#12793,.T.); #315026=ADVANCED_FACE('',(#27511),#12794,.T.); #315027=ADVANCED_FACE('',(#27512),#12795,.T.); #315028=ADVANCED_FACE('',(#27513),#12796,.T.); #315029=ADVANCED_FACE('',(#27514),#12797,.T.); #315030=ADVANCED_FACE('',(#27515),#12798,.T.); #315031=ADVANCED_FACE('',(#27516),#12799,.T.); #315032=ADVANCED_FACE('',(#27517),#12800,.T.); #315033=ADVANCED_FACE('',(#27518),#12801,.T.); #315034=ADVANCED_FACE('',(#27519),#12802,.T.); #315035=ADVANCED_FACE('',(#27520),#12803,.T.); #315036=ADVANCED_FACE('',(#27521),#12804,.T.); #315037=ADVANCED_FACE('',(#27522),#12805,.T.); #315038=ADVANCED_FACE('',(#27523),#12806,.T.); #315039=ADVANCED_FACE('',(#27524),#12807,.T.); #315040=ADVANCED_FACE('',(#27525),#12808,.T.); #315041=ADVANCED_FACE('',(#27526),#12809,.T.); #315042=ADVANCED_FACE('',(#27527),#12810,.T.); #315043=ADVANCED_FACE('',(#27528),#12811,.F.); #315044=ADVANCED_FACE('',(#27529),#12812,.T.); #315045=ADVANCED_FACE('',(#27530),#12813,.T.); #315046=ADVANCED_FACE('',(#27531),#12814,.T.); #315047=ADVANCED_FACE('',(#27532),#12815,.T.); #315048=ADVANCED_FACE('',(#27533),#12816,.T.); #315049=ADVANCED_FACE('',(#27534),#12817,.T.); #315050=ADVANCED_FACE('',(#27535),#12818,.T.); #315051=ADVANCED_FACE('',(#27536),#12819,.T.); #315052=ADVANCED_FACE('',(#27537),#12820,.T.); #315053=ADVANCED_FACE('',(#27538),#12821,.T.); #315054=ADVANCED_FACE('',(#27539),#12822,.T.); #315055=ADVANCED_FACE('',(#27540),#12823,.T.); #315056=ADVANCED_FACE('',(#27541),#12824,.T.); #315057=ADVANCED_FACE('',(#27542),#12825,.T.); #315058=ADVANCED_FACE('',(#27543),#12826,.T.); #315059=ADVANCED_FACE('',(#27544),#12827,.T.); #315060=ADVANCED_FACE('',(#27545),#12828,.T.); #315061=ADVANCED_FACE('',(#27546),#12829,.T.); #315062=ADVANCED_FACE('',(#27547),#12830,.T.); #315063=ADVANCED_FACE('',(#27548),#12831,.T.); #315064=ADVANCED_FACE('',(#27549),#12832,.T.); #315065=ADVANCED_FACE('',(#27550),#12833,.T.); #315066=ADVANCED_FACE('',(#27551),#12834,.T.); #315067=ADVANCED_FACE('',(#27552),#12835,.T.); #315068=ADVANCED_FACE('',(#27553),#12836,.F.); #315069=ADVANCED_FACE('',(#27554),#12837,.T.); #315070=ADVANCED_FACE('',(#27555),#12838,.T.); #315071=ADVANCED_FACE('',(#27556),#12839,.T.); #315072=ADVANCED_FACE('',(#27557),#12840,.T.); #315073=ADVANCED_FACE('',(#27558),#12841,.T.); #315074=ADVANCED_FACE('',(#27559),#12842,.T.); #315075=ADVANCED_FACE('',(#27560),#12843,.T.); #315076=ADVANCED_FACE('',(#27561),#12844,.T.); #315077=ADVANCED_FACE('',(#27562),#12845,.T.); #315078=ADVANCED_FACE('',(#27563),#12846,.T.); #315079=ADVANCED_FACE('',(#27564),#12847,.T.); #315080=ADVANCED_FACE('',(#27565),#12848,.T.); #315081=ADVANCED_FACE('',(#27566),#12849,.T.); #315082=ADVANCED_FACE('',(#27567),#12850,.T.); #315083=ADVANCED_FACE('',(#27568),#12851,.T.); #315084=ADVANCED_FACE('',(#27569),#12852,.T.); #315085=ADVANCED_FACE('',(#27570),#12853,.T.); #315086=ADVANCED_FACE('',(#27571),#12854,.T.); #315087=ADVANCED_FACE('',(#27572),#12855,.T.); #315088=ADVANCED_FACE('',(#27573),#12856,.T.); #315089=ADVANCED_FACE('',(#27574),#12857,.T.); #315090=ADVANCED_FACE('',(#27575),#12858,.F.); #315091=ADVANCED_FACE('',(#27576),#12859,.T.); #315092=ADVANCED_FACE('',(#27577),#12860,.T.); #315093=ADVANCED_FACE('',(#27578),#12861,.T.); #315094=ADVANCED_FACE('',(#27579),#12862,.T.); #315095=ADVANCED_FACE('',(#27580),#12863,.T.); #315096=ADVANCED_FACE('',(#27581),#12864,.T.); #315097=ADVANCED_FACE('',(#27582),#12865,.T.); #315098=ADVANCED_FACE('',(#27583),#12866,.T.); #315099=ADVANCED_FACE('',(#27584),#12867,.T.); #315100=ADVANCED_FACE('',(#27585),#12868,.T.); #315101=ADVANCED_FACE('',(#27586),#12869,.T.); #315102=ADVANCED_FACE('',(#27587),#12870,.T.); #315103=ADVANCED_FACE('',(#27588),#12871,.T.); #315104=ADVANCED_FACE('',(#27589),#12872,.T.); #315105=ADVANCED_FACE('',(#27590),#12873,.T.); #315106=ADVANCED_FACE('',(#27591),#12874,.T.); #315107=ADVANCED_FACE('',(#27592),#12875,.T.); #315108=ADVANCED_FACE('',(#27593),#12876,.T.); #315109=ADVANCED_FACE('',(#27594),#12877,.T.); #315110=ADVANCED_FACE('',(#27595),#12878,.T.); #315111=ADVANCED_FACE('',(#27596),#12879,.T.); #315112=ADVANCED_FACE('',(#27597),#12880,.T.); #315113=ADVANCED_FACE('',(#27598),#12881,.F.); #315114=ADVANCED_FACE('',(#27599),#305958,.F.); #315115=ADVANCED_FACE('',(#27600),#12882,.T.); #315116=ADVANCED_FACE('',(#27601),#305959,.T.); #315117=ADVANCED_FACE('',(#27602),#305960,.T.); #315118=ADVANCED_FACE('',(#27603,#5083),#12883,.T.); #315119=ADVANCED_FACE('',(#27604,#5084),#12884,.F.); #315120=ADVANCED_FACE('',(#27605),#305961,.F.); #315121=ADVANCED_FACE('',(#27606),#12885,.T.); #315122=ADVANCED_FACE('',(#27607),#305962,.T.); #315123=ADVANCED_FACE('',(#27608),#305963,.T.); #315124=ADVANCED_FACE('',(#27609,#5085),#12886,.T.); #315125=ADVANCED_FACE('',(#27610,#5086),#12887,.F.); #315126=ADVANCED_FACE('',(#27611),#305964,.F.); #315127=ADVANCED_FACE('',(#27612),#12888,.T.); #315128=ADVANCED_FACE('',(#27613),#305965,.T.); #315129=ADVANCED_FACE('',(#27614),#305966,.T.); #315130=ADVANCED_FACE('',(#27615,#5087),#12889,.T.); #315131=ADVANCED_FACE('',(#27616,#5088),#12890,.F.); #315132=ADVANCED_FACE('',(#27617),#305967,.F.); #315133=ADVANCED_FACE('',(#27618),#12891,.T.); #315134=ADVANCED_FACE('',(#27619),#305968,.T.); #315135=ADVANCED_FACE('',(#27620),#305969,.T.); #315136=ADVANCED_FACE('',(#27621,#5089),#12892,.T.); #315137=ADVANCED_FACE('',(#27622,#5090),#12893,.F.); #315138=ADVANCED_FACE('',(#27623),#305970,.F.); #315139=ADVANCED_FACE('',(#27624),#12894,.T.); #315140=ADVANCED_FACE('',(#27625),#12895,.T.); #315141=ADVANCED_FACE('',(#27626),#12896,.T.); #315142=ADVANCED_FACE('',(#27627),#12897,.T.); #315143=ADVANCED_FACE('',(#27628,#5091),#12898,.T.); #315144=ADVANCED_FACE('',(#27629,#5092),#12899,.F.); #315145=ADVANCED_FACE('',(#27630),#305971,.F.); #315146=ADVANCED_FACE('',(#27631),#12900,.T.); #315147=ADVANCED_FACE('',(#27632),#305972,.T.); #315148=ADVANCED_FACE('',(#27633),#305973,.T.); #315149=ADVANCED_FACE('',(#27634,#5093),#12901,.T.); #315150=ADVANCED_FACE('',(#27635,#5094),#12902,.F.); #315151=ADVANCED_FACE('',(#27636),#305974,.F.); #315152=ADVANCED_FACE('',(#27637),#12903,.T.); #315153=ADVANCED_FACE('',(#27638),#305975,.T.); #315154=ADVANCED_FACE('',(#27639),#305976,.T.); #315155=ADVANCED_FACE('',(#27640,#5095),#12904,.T.); #315156=ADVANCED_FACE('',(#27641,#5096),#12905,.F.); #315157=ADVANCED_FACE('',(#27642),#305977,.F.); #315158=ADVANCED_FACE('',(#27643),#12906,.T.); #315159=ADVANCED_FACE('',(#27644),#305978,.T.); #315160=ADVANCED_FACE('',(#27645),#305979,.T.); #315161=ADVANCED_FACE('',(#27646,#5097),#12907,.T.); #315162=ADVANCED_FACE('',(#27647,#5098),#12908,.F.); #315163=ADVANCED_FACE('',(#27648),#305980,.F.); #315164=ADVANCED_FACE('',(#27649),#12909,.T.); #315165=ADVANCED_FACE('',(#27650),#305981,.T.); #315166=ADVANCED_FACE('',(#27651),#305982,.T.); #315167=ADVANCED_FACE('',(#27652,#5099),#12910,.T.); #315168=ADVANCED_FACE('',(#27653,#5100),#12911,.F.); #315169=ADVANCED_FACE('',(#27654),#12912,.F.); #315170=ADVANCED_FACE('',(#27655),#305983,.F.); #315171=ADVANCED_FACE('',(#27656),#12913,.F.); #315172=ADVANCED_FACE('',(#27657),#305984,.F.); #315173=ADVANCED_FACE('',(#27658),#12914,.T.); #315174=ADVANCED_FACE('',(#27659),#12915,.T.); #315175=ADVANCED_FACE('',(#27660),#12916,.T.); #315176=ADVANCED_FACE('',(#27661),#12917,.T.); #315177=ADVANCED_FACE('',(#27662),#12918,.T.); #315178=ADVANCED_FACE('',(#27663),#12919,.T.); #315179=ADVANCED_FACE('',(#27664),#12920,.T.); #315180=ADVANCED_FACE('',(#27665),#12921,.T.); #315181=ADVANCED_FACE('',(#27666),#12922,.T.); #315182=ADVANCED_FACE('',(#27667),#12923,.T.); #315183=ADVANCED_FACE('',(#27668),#12924,.T.); #315184=ADVANCED_FACE('',(#27669),#12925,.T.); #315185=ADVANCED_FACE('',(#27670),#12926,.T.); #315186=ADVANCED_FACE('',(#27671),#12927,.T.); #315187=ADVANCED_FACE('',(#27672),#12928,.T.); #315188=ADVANCED_FACE('',(#27673),#12929,.T.); #315189=ADVANCED_FACE('',(#27674),#12930,.T.); #315190=ADVANCED_FACE('',(#27675),#12931,.T.); #315191=ADVANCED_FACE('',(#27676),#12932,.T.); #315192=ADVANCED_FACE('',(#27677),#12933,.T.); #315193=ADVANCED_FACE('',(#27678),#12934,.T.); #315194=ADVANCED_FACE('',(#27679),#12935,.T.); #315195=ADVANCED_FACE('',(#27680),#12936,.T.); #315196=ADVANCED_FACE('',(#27681),#12937,.T.); #315197=ADVANCED_FACE('',(#27682),#12938,.T.); #315198=ADVANCED_FACE('',(#27683),#12939,.T.); #315199=ADVANCED_FACE('',(#27684),#12940,.T.); #315200=ADVANCED_FACE('',(#27685),#12941,.T.); #315201=ADVANCED_FACE('',(#27686),#12942,.T.); #315202=ADVANCED_FACE('',(#27687),#12943,.T.); #315203=ADVANCED_FACE('',(#27688),#12944,.T.); #315204=ADVANCED_FACE('',(#27689),#12945,.T.); #315205=ADVANCED_FACE('',(#27690),#12946,.T.); #315206=ADVANCED_FACE('',(#27691),#12947,.T.); #315207=ADVANCED_FACE('',(#27692),#12948,.T.); #315208=ADVANCED_FACE('',(#27693),#12949,.T.); #315209=ADVANCED_FACE('',(#27694),#12950,.T.); #315210=ADVANCED_FACE('',(#27695),#12951,.T.); #315211=ADVANCED_FACE('',(#27696),#12952,.T.); #315212=ADVANCED_FACE('',(#27697),#12953,.T.); #315213=ADVANCED_FACE('',(#27698),#12954,.T.); #315214=ADVANCED_FACE('',(#27699),#12955,.T.); #315215=ADVANCED_FACE('',(#27700),#12956,.T.); #315216=ADVANCED_FACE('',(#27701),#12957,.T.); #315217=ADVANCED_FACE('',(#27702),#12958,.T.); #315218=ADVANCED_FACE('',(#27703),#12959,.T.); #315219=ADVANCED_FACE('',(#27704),#12960,.T.); #315220=ADVANCED_FACE('',(#27705),#12961,.T.); #315221=ADVANCED_FACE('',(#27706),#12962,.T.); #315222=ADVANCED_FACE('',(#27707),#12963,.T.); #315223=ADVANCED_FACE('',(#27708),#12964,.T.); #315224=ADVANCED_FACE('',(#27709),#12965,.T.); #315225=ADVANCED_FACE('',(#27710),#12966,.T.); #315226=ADVANCED_FACE('',(#27711),#12967,.T.); #315227=ADVANCED_FACE('',(#27712),#12968,.T.); #315228=ADVANCED_FACE('',(#27713),#12969,.T.); #315229=ADVANCED_FACE('',(#27714,#5101),#12970,.T.); #315230=ADVANCED_FACE('',(#27715,#5102),#12971,.F.); #315231=ADVANCED_FACE('',(#27716),#12972,.F.); #315232=ADVANCED_FACE('',(#27717),#305985,.F.); #315233=ADVANCED_FACE('',(#27718),#12973,.F.); #315234=ADVANCED_FACE('',(#27719),#305986,.F.); #315235=ADVANCED_FACE('',(#27720),#12974,.T.); #315236=ADVANCED_FACE('',(#27721),#12975,.T.); #315237=ADVANCED_FACE('',(#27722),#12976,.T.); #315238=ADVANCED_FACE('',(#27723),#12977,.T.); #315239=ADVANCED_FACE('',(#27724),#12978,.T.); #315240=ADVANCED_FACE('',(#27725),#12979,.T.); #315241=ADVANCED_FACE('',(#27726),#12980,.T.); #315242=ADVANCED_FACE('',(#27727),#12981,.T.); #315243=ADVANCED_FACE('',(#27728),#12982,.T.); #315244=ADVANCED_FACE('',(#27729),#12983,.T.); #315245=ADVANCED_FACE('',(#27730),#12984,.T.); #315246=ADVANCED_FACE('',(#27731),#12985,.T.); #315247=ADVANCED_FACE('',(#27732),#12986,.T.); #315248=ADVANCED_FACE('',(#27733),#12987,.T.); #315249=ADVANCED_FACE('',(#27734),#12988,.T.); #315250=ADVANCED_FACE('',(#27735),#12989,.T.); #315251=ADVANCED_FACE('',(#27736),#12990,.T.); #315252=ADVANCED_FACE('',(#27737),#12991,.T.); #315253=ADVANCED_FACE('',(#27738),#12992,.T.); #315254=ADVANCED_FACE('',(#27739),#12993,.T.); #315255=ADVANCED_FACE('',(#27740),#12994,.T.); #315256=ADVANCED_FACE('',(#27741),#12995,.T.); #315257=ADVANCED_FACE('',(#27742),#12996,.T.); #315258=ADVANCED_FACE('',(#27743),#12997,.T.); #315259=ADVANCED_FACE('',(#27744),#12998,.T.); #315260=ADVANCED_FACE('',(#27745),#12999,.T.); #315261=ADVANCED_FACE('',(#27746),#13000,.T.); #315262=ADVANCED_FACE('',(#27747),#13001,.T.); #315263=ADVANCED_FACE('',(#27748),#13002,.T.); #315264=ADVANCED_FACE('',(#27749),#13003,.T.); #315265=ADVANCED_FACE('',(#27750),#13004,.T.); #315266=ADVANCED_FACE('',(#27751),#13005,.T.); #315267=ADVANCED_FACE('',(#27752),#13006,.T.); #315268=ADVANCED_FACE('',(#27753),#13007,.T.); #315269=ADVANCED_FACE('',(#27754),#13008,.T.); #315270=ADVANCED_FACE('',(#27755),#13009,.T.); #315271=ADVANCED_FACE('',(#27756),#13010,.T.); #315272=ADVANCED_FACE('',(#27757),#13011,.T.); #315273=ADVANCED_FACE('',(#27758),#13012,.T.); #315274=ADVANCED_FACE('',(#27759),#13013,.T.); #315275=ADVANCED_FACE('',(#27760),#13014,.T.); #315276=ADVANCED_FACE('',(#27761),#13015,.T.); #315277=ADVANCED_FACE('',(#27762),#13016,.T.); #315278=ADVANCED_FACE('',(#27763),#13017,.T.); #315279=ADVANCED_FACE('',(#27764),#13018,.T.); #315280=ADVANCED_FACE('',(#27765),#13019,.T.); #315281=ADVANCED_FACE('',(#27766),#13020,.T.); #315282=ADVANCED_FACE('',(#27767),#13021,.T.); #315283=ADVANCED_FACE('',(#27768),#13022,.T.); #315284=ADVANCED_FACE('',(#27769),#13023,.T.); #315285=ADVANCED_FACE('',(#27770),#13024,.T.); #315286=ADVANCED_FACE('',(#27771),#13025,.T.); #315287=ADVANCED_FACE('',(#27772),#13026,.T.); #315288=ADVANCED_FACE('',(#27773),#13027,.T.); #315289=ADVANCED_FACE('',(#27774),#13028,.T.); #315290=ADVANCED_FACE('',(#27775),#13029,.T.); #315291=ADVANCED_FACE('',(#27776),#13030,.T.); #315292=ADVANCED_FACE('',(#27777),#13031,.T.); #315293=ADVANCED_FACE('',(#27778),#13032,.T.); #315294=ADVANCED_FACE('',(#27779),#13033,.T.); #315295=ADVANCED_FACE('',(#27780),#13034,.T.); #315296=ADVANCED_FACE('',(#27781),#13035,.T.); #315297=ADVANCED_FACE('',(#27782),#13036,.T.); #315298=ADVANCED_FACE('',(#27783),#13037,.T.); #315299=ADVANCED_FACE('',(#27784),#13038,.T.); #315300=ADVANCED_FACE('',(#27785),#13039,.T.); #315301=ADVANCED_FACE('',(#27786),#13040,.T.); #315302=ADVANCED_FACE('',(#27787),#13041,.T.); #315303=ADVANCED_FACE('',(#27788),#13042,.T.); #315304=ADVANCED_FACE('',(#27789),#13043,.T.); #315305=ADVANCED_FACE('',(#27790),#13044,.T.); #315306=ADVANCED_FACE('',(#27791),#13045,.T.); #315307=ADVANCED_FACE('',(#27792,#5103),#13046,.T.); #315308=ADVANCED_FACE('',(#27793,#5104),#13047,.F.); #315309=ADVANCED_FACE('',(#27794),#13048,.F.); #315310=ADVANCED_FACE('',(#27795),#305987,.F.); #315311=ADVANCED_FACE('',(#27796),#13049,.F.); #315312=ADVANCED_FACE('',(#27797),#305988,.F.); #315313=ADVANCED_FACE('',(#27798),#13050,.T.); #315314=ADVANCED_FACE('',(#27799),#13051,.T.); #315315=ADVANCED_FACE('',(#27800),#13052,.T.); #315316=ADVANCED_FACE('',(#27801),#13053,.T.); #315317=ADVANCED_FACE('',(#27802),#13054,.T.); #315318=ADVANCED_FACE('',(#27803),#13055,.T.); #315319=ADVANCED_FACE('',(#27804),#13056,.T.); #315320=ADVANCED_FACE('',(#27805),#13057,.T.); #315321=ADVANCED_FACE('',(#27806),#13058,.T.); #315322=ADVANCED_FACE('',(#27807),#13059,.T.); #315323=ADVANCED_FACE('',(#27808),#13060,.T.); #315324=ADVANCED_FACE('',(#27809),#13061,.T.); #315325=ADVANCED_FACE('',(#27810),#13062,.T.); #315326=ADVANCED_FACE('',(#27811),#13063,.T.); #315327=ADVANCED_FACE('',(#27812),#13064,.T.); #315328=ADVANCED_FACE('',(#27813),#13065,.T.); #315329=ADVANCED_FACE('',(#27814),#13066,.T.); #315330=ADVANCED_FACE('',(#27815),#13067,.T.); #315331=ADVANCED_FACE('',(#27816),#13068,.T.); #315332=ADVANCED_FACE('',(#27817),#13069,.T.); #315333=ADVANCED_FACE('',(#27818),#13070,.T.); #315334=ADVANCED_FACE('',(#27819),#13071,.T.); #315335=ADVANCED_FACE('',(#27820),#13072,.T.); #315336=ADVANCED_FACE('',(#27821),#13073,.T.); #315337=ADVANCED_FACE('',(#27822),#13074,.T.); #315338=ADVANCED_FACE('',(#27823),#13075,.T.); #315339=ADVANCED_FACE('',(#27824),#13076,.T.); #315340=ADVANCED_FACE('',(#27825),#13077,.T.); #315341=ADVANCED_FACE('',(#27826),#13078,.T.); #315342=ADVANCED_FACE('',(#27827),#13079,.T.); #315343=ADVANCED_FACE('',(#27828),#13080,.T.); #315344=ADVANCED_FACE('',(#27829),#13081,.T.); #315345=ADVANCED_FACE('',(#27830),#13082,.T.); #315346=ADVANCED_FACE('',(#27831),#13083,.T.); #315347=ADVANCED_FACE('',(#27832),#13084,.T.); #315348=ADVANCED_FACE('',(#27833),#13085,.T.); #315349=ADVANCED_FACE('',(#27834),#13086,.T.); #315350=ADVANCED_FACE('',(#27835),#13087,.T.); #315351=ADVANCED_FACE('',(#27836),#13088,.T.); #315352=ADVANCED_FACE('',(#27837),#13089,.T.); #315353=ADVANCED_FACE('',(#27838),#13090,.T.); #315354=ADVANCED_FACE('',(#27839),#13091,.T.); #315355=ADVANCED_FACE('',(#27840),#13092,.T.); #315356=ADVANCED_FACE('',(#27841),#13093,.T.); #315357=ADVANCED_FACE('',(#27842),#13094,.T.); #315358=ADVANCED_FACE('',(#27843),#13095,.T.); #315359=ADVANCED_FACE('',(#27844),#13096,.T.); #315360=ADVANCED_FACE('',(#27845),#13097,.T.); #315361=ADVANCED_FACE('',(#27846),#13098,.T.); #315362=ADVANCED_FACE('',(#27847),#13099,.T.); #315363=ADVANCED_FACE('',(#27848),#13100,.T.); #315364=ADVANCED_FACE('',(#27849),#13101,.T.); #315365=ADVANCED_FACE('',(#27850),#13102,.T.); #315366=ADVANCED_FACE('',(#27851),#13103,.T.); #315367=ADVANCED_FACE('',(#27852),#13104,.T.); #315368=ADVANCED_FACE('',(#27853),#13105,.T.); #315369=ADVANCED_FACE('',(#27854,#5105),#13106,.T.); #315370=ADVANCED_FACE('',(#27855,#5106),#13107,.F.); #315371=ADVANCED_FACE('',(#27856),#305989,.F.); #315372=ADVANCED_FACE('',(#27857),#13108,.T.); #315373=ADVANCED_FACE('',(#27858),#13109,.T.); #315374=ADVANCED_FACE('',(#27859),#13110,.T.); #315375=ADVANCED_FACE('',(#27860),#13111,.T.); #315376=ADVANCED_FACE('',(#27861,#5107),#13112,.T.); #315377=ADVANCED_FACE('',(#27862,#5108),#13113,.F.); #315378=ADVANCED_FACE('',(#27863),#13114,.F.); #315379=ADVANCED_FACE('',(#27864),#305990,.F.); #315380=ADVANCED_FACE('',(#27865),#13115,.F.); #315381=ADVANCED_FACE('',(#27866),#305991,.F.); #315382=ADVANCED_FACE('',(#27867),#13116,.T.); #315383=ADVANCED_FACE('',(#27868),#13117,.T.); #315384=ADVANCED_FACE('',(#27869),#13118,.T.); #315385=ADVANCED_FACE('',(#27870),#13119,.T.); #315386=ADVANCED_FACE('',(#27871),#13120,.T.); #315387=ADVANCED_FACE('',(#27872),#13121,.T.); #315388=ADVANCED_FACE('',(#27873),#13122,.T.); #315389=ADVANCED_FACE('',(#27874),#13123,.T.); #315390=ADVANCED_FACE('',(#27875),#13124,.T.); #315391=ADVANCED_FACE('',(#27876),#13125,.T.); #315392=ADVANCED_FACE('',(#27877),#13126,.T.); #315393=ADVANCED_FACE('',(#27878),#13127,.T.); #315394=ADVANCED_FACE('',(#27879),#13128,.T.); #315395=ADVANCED_FACE('',(#27880),#13129,.T.); #315396=ADVANCED_FACE('',(#27881),#13130,.T.); #315397=ADVANCED_FACE('',(#27882),#13131,.T.); #315398=ADVANCED_FACE('',(#27883),#13132,.T.); #315399=ADVANCED_FACE('',(#27884),#13133,.T.); #315400=ADVANCED_FACE('',(#27885),#13134,.T.); #315401=ADVANCED_FACE('',(#27886),#13135,.T.); #315402=ADVANCED_FACE('',(#27887),#13136,.T.); #315403=ADVANCED_FACE('',(#27888),#13137,.T.); #315404=ADVANCED_FACE('',(#27889),#13138,.T.); #315405=ADVANCED_FACE('',(#27890),#13139,.T.); #315406=ADVANCED_FACE('',(#27891),#13140,.T.); #315407=ADVANCED_FACE('',(#27892),#13141,.T.); #315408=ADVANCED_FACE('',(#27893),#13142,.T.); #315409=ADVANCED_FACE('',(#27894),#13143,.T.); #315410=ADVANCED_FACE('',(#27895),#13144,.T.); #315411=ADVANCED_FACE('',(#27896),#13145,.T.); #315412=ADVANCED_FACE('',(#27897),#13146,.T.); #315413=ADVANCED_FACE('',(#27898),#13147,.T.); #315414=ADVANCED_FACE('',(#27899),#13148,.T.); #315415=ADVANCED_FACE('',(#27900),#13149,.T.); #315416=ADVANCED_FACE('',(#27901),#13150,.T.); #315417=ADVANCED_FACE('',(#27902),#13151,.T.); #315418=ADVANCED_FACE('',(#27903),#13152,.T.); #315419=ADVANCED_FACE('',(#27904),#13153,.T.); #315420=ADVANCED_FACE('',(#27905),#13154,.T.); #315421=ADVANCED_FACE('',(#27906),#13155,.T.); #315422=ADVANCED_FACE('',(#27907),#13156,.T.); #315423=ADVANCED_FACE('',(#27908),#13157,.T.); #315424=ADVANCED_FACE('',(#27909),#13158,.T.); #315425=ADVANCED_FACE('',(#27910),#13159,.T.); #315426=ADVANCED_FACE('',(#27911),#13160,.T.); #315427=ADVANCED_FACE('',(#27912),#13161,.T.); #315428=ADVANCED_FACE('',(#27913),#13162,.T.); #315429=ADVANCED_FACE('',(#27914),#13163,.T.); #315430=ADVANCED_FACE('',(#27915),#13164,.T.); #315431=ADVANCED_FACE('',(#27916),#13165,.T.); #315432=ADVANCED_FACE('',(#27917),#13166,.T.); #315433=ADVANCED_FACE('',(#27918),#13167,.T.); #315434=ADVANCED_FACE('',(#27919),#13168,.T.); #315435=ADVANCED_FACE('',(#27920),#13169,.T.); #315436=ADVANCED_FACE('',(#27921),#13170,.T.); #315437=ADVANCED_FACE('',(#27922),#13171,.T.); #315438=ADVANCED_FACE('',(#27923,#5109),#13172,.T.); #315439=ADVANCED_FACE('',(#27924,#5110),#13173,.F.); #315440=ADVANCED_FACE('',(#27925),#13174,.F.); #315441=ADVANCED_FACE('',(#27926),#305992,.F.); #315442=ADVANCED_FACE('',(#27927),#13175,.F.); #315443=ADVANCED_FACE('',(#27928),#305993,.F.); #315444=ADVANCED_FACE('',(#27929),#13176,.T.); #315445=ADVANCED_FACE('',(#27930),#13177,.T.); #315446=ADVANCED_FACE('',(#27931),#13178,.T.); #315447=ADVANCED_FACE('',(#27932),#13179,.T.); #315448=ADVANCED_FACE('',(#27933),#13180,.T.); #315449=ADVANCED_FACE('',(#27934),#13181,.T.); #315450=ADVANCED_FACE('',(#27935),#13182,.T.); #315451=ADVANCED_FACE('',(#27936),#13183,.T.); #315452=ADVANCED_FACE('',(#27937),#13184,.T.); #315453=ADVANCED_FACE('',(#27938),#13185,.T.); #315454=ADVANCED_FACE('',(#27939),#13186,.T.); #315455=ADVANCED_FACE('',(#27940),#13187,.T.); #315456=ADVANCED_FACE('',(#27941),#13188,.T.); #315457=ADVANCED_FACE('',(#27942),#13189,.T.); #315458=ADVANCED_FACE('',(#27943),#13190,.T.); #315459=ADVANCED_FACE('',(#27944),#13191,.T.); #315460=ADVANCED_FACE('',(#27945),#13192,.T.); #315461=ADVANCED_FACE('',(#27946),#13193,.T.); #315462=ADVANCED_FACE('',(#27947),#13194,.T.); #315463=ADVANCED_FACE('',(#27948),#13195,.T.); #315464=ADVANCED_FACE('',(#27949),#13196,.T.); #315465=ADVANCED_FACE('',(#27950),#13197,.T.); #315466=ADVANCED_FACE('',(#27951),#13198,.T.); #315467=ADVANCED_FACE('',(#27952),#13199,.T.); #315468=ADVANCED_FACE('',(#27953),#13200,.T.); #315469=ADVANCED_FACE('',(#27954),#13201,.T.); #315470=ADVANCED_FACE('',(#27955),#13202,.T.); #315471=ADVANCED_FACE('',(#27956),#13203,.T.); #315472=ADVANCED_FACE('',(#27957),#13204,.T.); #315473=ADVANCED_FACE('',(#27958),#13205,.T.); #315474=ADVANCED_FACE('',(#27959),#13206,.T.); #315475=ADVANCED_FACE('',(#27960),#13207,.T.); #315476=ADVANCED_FACE('',(#27961),#13208,.T.); #315477=ADVANCED_FACE('',(#27962),#13209,.T.); #315478=ADVANCED_FACE('',(#27963),#13210,.T.); #315479=ADVANCED_FACE('',(#27964),#13211,.T.); #315480=ADVANCED_FACE('',(#27965),#13212,.T.); #315481=ADVANCED_FACE('',(#27966),#13213,.T.); #315482=ADVANCED_FACE('',(#27967),#13214,.T.); #315483=ADVANCED_FACE('',(#27968),#13215,.T.); #315484=ADVANCED_FACE('',(#27969),#13216,.T.); #315485=ADVANCED_FACE('',(#27970),#13217,.T.); #315486=ADVANCED_FACE('',(#27971),#13218,.T.); #315487=ADVANCED_FACE('',(#27972),#13219,.T.); #315488=ADVANCED_FACE('',(#27973),#13220,.T.); #315489=ADVANCED_FACE('',(#27974),#13221,.T.); #315490=ADVANCED_FACE('',(#27975),#13222,.T.); #315491=ADVANCED_FACE('',(#27976),#13223,.T.); #315492=ADVANCED_FACE('',(#27977),#13224,.T.); #315493=ADVANCED_FACE('',(#27978),#13225,.T.); #315494=ADVANCED_FACE('',(#27979),#13226,.T.); #315495=ADVANCED_FACE('',(#27980),#13227,.T.); #315496=ADVANCED_FACE('',(#27981),#13228,.T.); #315497=ADVANCED_FACE('',(#27982),#13229,.T.); #315498=ADVANCED_FACE('',(#27983),#13230,.T.); #315499=ADVANCED_FACE('',(#27984),#13231,.T.); #315500=ADVANCED_FACE('',(#27985),#13232,.T.); #315501=ADVANCED_FACE('',(#27986),#13233,.T.); #315502=ADVANCED_FACE('',(#27987),#13234,.T.); #315503=ADVANCED_FACE('',(#27988),#13235,.T.); #315504=ADVANCED_FACE('',(#27989),#13236,.T.); #315505=ADVANCED_FACE('',(#27990),#13237,.T.); #315506=ADVANCED_FACE('',(#27991),#13238,.T.); #315507=ADVANCED_FACE('',(#27992),#13239,.T.); #315508=ADVANCED_FACE('',(#27993),#13240,.T.); #315509=ADVANCED_FACE('',(#27994),#13241,.T.); #315510=ADVANCED_FACE('',(#27995),#13242,.T.); #315511=ADVANCED_FACE('',(#27996),#13243,.T.); #315512=ADVANCED_FACE('',(#27997),#13244,.T.); #315513=ADVANCED_FACE('',(#27998),#13245,.T.); #315514=ADVANCED_FACE('',(#27999),#13246,.T.); #315515=ADVANCED_FACE('',(#28000),#13247,.T.); #315516=ADVANCED_FACE('',(#28001,#5111),#13248,.T.); #315517=ADVANCED_FACE('',(#28002,#5112),#13249,.F.); #315518=ADVANCED_FACE('',(#28003),#305994,.F.); #315519=ADVANCED_FACE('',(#28004),#13250,.T.); #315520=ADVANCED_FACE('',(#28005),#305995,.T.); #315521=ADVANCED_FACE('',(#28006),#305996,.T.); #315522=ADVANCED_FACE('',(#28007,#5113),#13251,.T.); #315523=ADVANCED_FACE('',(#28008,#5114),#13252,.F.); #315524=ADVANCED_FACE('',(#28009),#305997,.F.); #315525=ADVANCED_FACE('',(#28010),#13253,.T.); #315526=ADVANCED_FACE('',(#28011),#305998,.T.); #315527=ADVANCED_FACE('',(#28012),#305999,.T.); #315528=ADVANCED_FACE('',(#28013,#5115),#13254,.T.); #315529=ADVANCED_FACE('',(#28014,#5116),#13255,.F.); #315530=ADVANCED_FACE('',(#28015),#13256,.F.); #315531=ADVANCED_FACE('',(#28016),#306000,.F.); #315532=ADVANCED_FACE('',(#28017),#13257,.F.); #315533=ADVANCED_FACE('',(#28018),#306001,.F.); #315534=ADVANCED_FACE('',(#28019),#13258,.T.); #315535=ADVANCED_FACE('',(#28020),#13259,.T.); #315536=ADVANCED_FACE('',(#28021),#13260,.T.); #315537=ADVANCED_FACE('',(#28022),#13261,.T.); #315538=ADVANCED_FACE('',(#28023),#13262,.T.); #315539=ADVANCED_FACE('',(#28024),#13263,.T.); #315540=ADVANCED_FACE('',(#28025),#13264,.T.); #315541=ADVANCED_FACE('',(#28026),#13265,.T.); #315542=ADVANCED_FACE('',(#28027),#13266,.T.); #315543=ADVANCED_FACE('',(#28028),#13267,.T.); #315544=ADVANCED_FACE('',(#28029),#13268,.T.); #315545=ADVANCED_FACE('',(#28030),#13269,.T.); #315546=ADVANCED_FACE('',(#28031),#13270,.T.); #315547=ADVANCED_FACE('',(#28032),#13271,.T.); #315548=ADVANCED_FACE('',(#28033),#13272,.T.); #315549=ADVANCED_FACE('',(#28034),#13273,.T.); #315550=ADVANCED_FACE('',(#28035),#13274,.T.); #315551=ADVANCED_FACE('',(#28036),#13275,.T.); #315552=ADVANCED_FACE('',(#28037),#13276,.T.); #315553=ADVANCED_FACE('',(#28038),#13277,.T.); #315554=ADVANCED_FACE('',(#28039),#13278,.T.); #315555=ADVANCED_FACE('',(#28040),#13279,.T.); #315556=ADVANCED_FACE('',(#28041),#13280,.T.); #315557=ADVANCED_FACE('',(#28042),#13281,.T.); #315558=ADVANCED_FACE('',(#28043),#13282,.T.); #315559=ADVANCED_FACE('',(#28044),#13283,.T.); #315560=ADVANCED_FACE('',(#28045),#13284,.T.); #315561=ADVANCED_FACE('',(#28046),#13285,.T.); #315562=ADVANCED_FACE('',(#28047),#13286,.T.); #315563=ADVANCED_FACE('',(#28048),#13287,.T.); #315564=ADVANCED_FACE('',(#28049),#13288,.T.); #315565=ADVANCED_FACE('',(#28050),#13289,.T.); #315566=ADVANCED_FACE('',(#28051),#13290,.T.); #315567=ADVANCED_FACE('',(#28052),#13291,.T.); #315568=ADVANCED_FACE('',(#28053),#13292,.T.); #315569=ADVANCED_FACE('',(#28054),#13293,.T.); #315570=ADVANCED_FACE('',(#28055),#13294,.T.); #315571=ADVANCED_FACE('',(#28056),#13295,.T.); #315572=ADVANCED_FACE('',(#28057),#13296,.T.); #315573=ADVANCED_FACE('',(#28058),#13297,.T.); #315574=ADVANCED_FACE('',(#28059),#13298,.T.); #315575=ADVANCED_FACE('',(#28060),#13299,.T.); #315576=ADVANCED_FACE('',(#28061),#13300,.T.); #315577=ADVANCED_FACE('',(#28062),#13301,.T.); #315578=ADVANCED_FACE('',(#28063),#13302,.T.); #315579=ADVANCED_FACE('',(#28064),#13303,.T.); #315580=ADVANCED_FACE('',(#28065),#13304,.T.); #315581=ADVANCED_FACE('',(#28066),#13305,.T.); #315582=ADVANCED_FACE('',(#28067),#13306,.T.); #315583=ADVANCED_FACE('',(#28068),#13307,.T.); #315584=ADVANCED_FACE('',(#28069),#13308,.T.); #315585=ADVANCED_FACE('',(#28070),#13309,.T.); #315586=ADVANCED_FACE('',(#28071),#13310,.T.); #315587=ADVANCED_FACE('',(#28072),#13311,.T.); #315588=ADVANCED_FACE('',(#28073),#13312,.T.); #315589=ADVANCED_FACE('',(#28074),#13313,.T.); #315590=ADVANCED_FACE('',(#28075,#5117),#13314,.T.); #315591=ADVANCED_FACE('',(#28076,#5118),#13315,.F.); #315592=ADVANCED_FACE('',(#28077),#306002,.F.); #315593=ADVANCED_FACE('',(#28078),#13316,.T.); #315594=ADVANCED_FACE('',(#28079),#306003,.T.); #315595=ADVANCED_FACE('',(#28080),#306004,.T.); #315596=ADVANCED_FACE('',(#28081,#5119),#13317,.T.); #315597=ADVANCED_FACE('',(#28082,#5120),#13318,.F.); #315598=ADVANCED_FACE('',(#28083),#306005,.F.); #315599=ADVANCED_FACE('',(#28084),#13319,.T.); #315600=ADVANCED_FACE('',(#28085),#306006,.T.); #315601=ADVANCED_FACE('',(#28086),#306007,.T.); #315602=ADVANCED_FACE('',(#28087,#5121),#13320,.T.); #315603=ADVANCED_FACE('',(#28088,#5122),#13321,.F.); #315604=ADVANCED_FACE('',(#28089),#306008,.F.); #315605=ADVANCED_FACE('',(#28090),#13322,.T.); #315606=ADVANCED_FACE('',(#28091),#306009,.T.); #315607=ADVANCED_FACE('',(#28092),#306010,.T.); #315608=ADVANCED_FACE('',(#28093,#5123),#13323,.T.); #315609=ADVANCED_FACE('',(#28094,#5124),#13324,.F.); #315610=ADVANCED_FACE('',(#28095),#306011,.F.); #315611=ADVANCED_FACE('',(#28096),#13325,.T.); #315612=ADVANCED_FACE('',(#28097),#13326,.T.); #315613=ADVANCED_FACE('',(#28098),#13327,.T.); #315614=ADVANCED_FACE('',(#28099),#13328,.T.); #315615=ADVANCED_FACE('',(#28100,#5125),#13329,.T.); #315616=ADVANCED_FACE('',(#28101,#5126),#13330,.F.); #315617=ADVANCED_FACE('',(#28102),#306012,.F.); #315618=ADVANCED_FACE('',(#28103),#13331,.T.); #315619=ADVANCED_FACE('',(#28104),#306013,.T.); #315620=ADVANCED_FACE('',(#28105),#306014,.T.); #315621=ADVANCED_FACE('',(#28106,#5127),#13332,.T.); #315622=ADVANCED_FACE('',(#28107,#5128),#13333,.F.); #315623=ADVANCED_FACE('',(#28108),#13334,.T.); #315624=ADVANCED_FACE('',(#28109),#13335,.T.); #315625=ADVANCED_FACE('',(#28110),#13336,.T.); #315626=ADVANCED_FACE('',(#28111),#13337,.T.); #315627=ADVANCED_FACE('',(#28112),#13338,.T.); #315628=ADVANCED_FACE('',(#28113),#13339,.F.); #315629=ADVANCED_FACE('',(#28114),#13340,.T.); #315630=ADVANCED_FACE('',(#28115),#13341,.T.); #315631=ADVANCED_FACE('',(#28116),#13342,.T.); #315632=ADVANCED_FACE('',(#28117),#13343,.T.); #315633=ADVANCED_FACE('',(#28118),#13344,.T.); #315634=ADVANCED_FACE('',(#28119),#13345,.F.); #315635=ADVANCED_FACE('',(#28120),#13346,.T.); #315636=ADVANCED_FACE('',(#28121),#13347,.T.); #315637=ADVANCED_FACE('',(#28122),#13348,.T.); #315638=ADVANCED_FACE('',(#28123),#13349,.T.); #315639=ADVANCED_FACE('',(#28124),#13350,.T.); #315640=ADVANCED_FACE('',(#28125),#13351,.F.); #315641=ADVANCED_FACE('',(#28126),#13352,.T.); #315642=ADVANCED_FACE('',(#28127),#13353,.T.); #315643=ADVANCED_FACE('',(#28128),#13354,.T.); #315644=ADVANCED_FACE('',(#28129),#13355,.T.); #315645=ADVANCED_FACE('',(#28130),#13356,.T.); #315646=ADVANCED_FACE('',(#28131),#13357,.F.); #315647=ADVANCED_FACE('',(#28132),#13358,.T.); #315648=ADVANCED_FACE('',(#28133),#13359,.T.); #315649=ADVANCED_FACE('',(#28134),#13360,.T.); #315650=ADVANCED_FACE('',(#28135),#13361,.T.); #315651=ADVANCED_FACE('',(#28136),#13362,.T.); #315652=ADVANCED_FACE('',(#28137),#13363,.F.); #315653=ADVANCED_FACE('',(#28138),#13364,.T.); #315654=ADVANCED_FACE('',(#28139),#13365,.T.); #315655=ADVANCED_FACE('',(#28140),#13366,.T.); #315656=ADVANCED_FACE('',(#28141),#13367,.T.); #315657=ADVANCED_FACE('',(#28142),#13368,.T.); #315658=ADVANCED_FACE('',(#28143),#13369,.F.); #315659=ADVANCED_FACE('',(#28144),#13370,.T.); #315660=ADVANCED_FACE('',(#28145),#13371,.T.); #315661=ADVANCED_FACE('',(#28146),#13372,.T.); #315662=ADVANCED_FACE('',(#28147),#13373,.T.); #315663=ADVANCED_FACE('',(#28148),#13374,.T.); #315664=ADVANCED_FACE('',(#28149),#13375,.F.); #315665=ADVANCED_FACE('',(#28150),#13376,.T.); #315666=ADVANCED_FACE('',(#28151),#13377,.T.); #315667=ADVANCED_FACE('',(#28152),#13378,.T.); #315668=ADVANCED_FACE('',(#28153),#13379,.T.); #315669=ADVANCED_FACE('',(#28154),#13380,.T.); #315670=ADVANCED_FACE('',(#28155),#13381,.F.); #315671=ADVANCED_FACE('',(#28156),#13382,.T.); #315672=ADVANCED_FACE('',(#28157),#13383,.T.); #315673=ADVANCED_FACE('',(#28158),#13384,.T.); #315674=ADVANCED_FACE('',(#28159),#13385,.T.); #315675=ADVANCED_FACE('',(#28160),#13386,.T.); #315676=ADVANCED_FACE('',(#28161),#13387,.F.); #315677=ADVANCED_FACE('',(#28162),#13388,.T.); #315678=ADVANCED_FACE('',(#28163),#13389,.T.); #315679=ADVANCED_FACE('',(#28164),#13390,.T.); #315680=ADVANCED_FACE('',(#28165),#13391,.T.); #315681=ADVANCED_FACE('',(#28166),#13392,.T.); #315682=ADVANCED_FACE('',(#28167),#13393,.F.); #315683=ADVANCED_FACE('',(#28168),#13394,.T.); #315684=ADVANCED_FACE('',(#28169),#13395,.T.); #315685=ADVANCED_FACE('',(#28170),#13396,.T.); #315686=ADVANCED_FACE('',(#28171),#13397,.T.); #315687=ADVANCED_FACE('',(#28172),#13398,.T.); #315688=ADVANCED_FACE('',(#28173),#13399,.F.); #315689=ADVANCED_FACE('',(#28174),#13400,.T.); #315690=ADVANCED_FACE('',(#28175),#13401,.T.); #315691=ADVANCED_FACE('',(#28176),#13402,.T.); #315692=ADVANCED_FACE('',(#28177),#13403,.T.); #315693=ADVANCED_FACE('',(#28178),#13404,.T.); #315694=ADVANCED_FACE('',(#28179),#13405,.F.); #315695=ADVANCED_FACE('',(#28180),#13406,.T.); #315696=ADVANCED_FACE('',(#28181),#13407,.T.); #315697=ADVANCED_FACE('',(#28182),#13408,.T.); #315698=ADVANCED_FACE('',(#28183),#13409,.T.); #315699=ADVANCED_FACE('',(#28184),#13410,.T.); #315700=ADVANCED_FACE('',(#28185),#13411,.F.); #315701=ADVANCED_FACE('',(#28186),#306015,.F.); #315702=ADVANCED_FACE('',(#28187),#306016,.F.); #315703=ADVANCED_FACE('',(#28188),#306017,.F.); #315704=ADVANCED_FACE('',(#28189),#306018,.F.); #315705=ADVANCED_FACE('',(#28190),#306019,.F.); #315706=ADVANCED_FACE('',(#28191),#306020,.F.); #315707=ADVANCED_FACE('',(#28192),#13412,.T.); #315708=ADVANCED_FACE('',(#28193),#13413,.T.); #315709=ADVANCED_FACE('',(#28194),#13414,.T.); #315710=ADVANCED_FACE('',(#28195),#13415,.T.); #315711=ADVANCED_FACE('',(#28196,#5129,#5130,#5131,#5132,#5133,#5134),#13416, .T.); #315712=ADVANCED_FACE('',(#28197,#5135,#5136,#5137,#5138,#5139,#5140),#13417, .F.); #315713=ADVANCED_FACE('',(#28198),#13418,.T.); #315714=ADVANCED_FACE('',(#28199),#13419,.T.); #315715=ADVANCED_FACE('',(#28200),#13420,.T.); #315716=ADVANCED_FACE('',(#28201),#13421,.T.); #315717=ADVANCED_FACE('',(#28202),#13422,.T.); #315718=ADVANCED_FACE('',(#28203),#13423,.F.); #315719=ADVANCED_FACE('',(#28204),#13424,.T.); #315720=ADVANCED_FACE('',(#28205),#13425,.T.); #315721=ADVANCED_FACE('',(#28206),#13426,.T.); #315722=ADVANCED_FACE('',(#28207),#13427,.T.); #315723=ADVANCED_FACE('',(#28208),#13428,.T.); #315724=ADVANCED_FACE('',(#28209),#13429,.F.); #315725=ADVANCED_FACE('',(#28210),#13430,.T.); #315726=ADVANCED_FACE('',(#28211),#13431,.T.); #315727=ADVANCED_FACE('',(#28212),#13432,.T.); #315728=ADVANCED_FACE('',(#28213),#13433,.T.); #315729=ADVANCED_FACE('',(#28214),#13434,.T.); #315730=ADVANCED_FACE('',(#28215),#13435,.F.); #315731=ADVANCED_FACE('',(#28216),#13436,.T.); #315732=ADVANCED_FACE('',(#28217),#13437,.T.); #315733=ADVANCED_FACE('',(#28218),#13438,.T.); #315734=ADVANCED_FACE('',(#28219),#13439,.T.); #315735=ADVANCED_FACE('',(#28220),#13440,.T.); #315736=ADVANCED_FACE('',(#28221),#13441,.F.); #315737=ADVANCED_FACE('',(#28222),#13442,.T.); #315738=ADVANCED_FACE('',(#28223),#13443,.T.); #315739=ADVANCED_FACE('',(#28224),#13444,.T.); #315740=ADVANCED_FACE('',(#28225),#13445,.T.); #315741=ADVANCED_FACE('',(#28226),#13446,.T.); #315742=ADVANCED_FACE('',(#28227),#13447,.F.); #315743=ADVANCED_FACE('',(#28228),#13448,.T.); #315744=ADVANCED_FACE('',(#28229),#13449,.T.); #315745=ADVANCED_FACE('',(#28230),#13450,.T.); #315746=ADVANCED_FACE('',(#28231),#13451,.T.); #315747=ADVANCED_FACE('',(#28232),#13452,.T.); #315748=ADVANCED_FACE('',(#28233),#13453,.F.); #315749=ADVANCED_FACE('',(#28234),#13454,.T.); #315750=ADVANCED_FACE('',(#28235),#13455,.T.); #315751=ADVANCED_FACE('',(#28236),#13456,.T.); #315752=ADVANCED_FACE('',(#28237),#13457,.T.); #315753=ADVANCED_FACE('',(#28238),#13458,.T.); #315754=ADVANCED_FACE('',(#28239),#13459,.F.); #315755=ADVANCED_FACE('',(#28240),#13460,.T.); #315756=ADVANCED_FACE('',(#28241),#13461,.T.); #315757=ADVANCED_FACE('',(#28242),#13462,.T.); #315758=ADVANCED_FACE('',(#28243),#13463,.T.); #315759=ADVANCED_FACE('',(#28244),#13464,.T.); #315760=ADVANCED_FACE('',(#28245),#13465,.F.); #315761=ADVANCED_FACE('',(#28246),#13466,.T.); #315762=ADVANCED_FACE('',(#28247),#13467,.T.); #315763=ADVANCED_FACE('',(#28248),#13468,.T.); #315764=ADVANCED_FACE('',(#28249),#13469,.T.); #315765=ADVANCED_FACE('',(#28250),#13470,.T.); #315766=ADVANCED_FACE('',(#28251),#13471,.F.); #315767=ADVANCED_FACE('',(#28252),#13472,.T.); #315768=ADVANCED_FACE('',(#28253),#13473,.T.); #315769=ADVANCED_FACE('',(#28254),#13474,.T.); #315770=ADVANCED_FACE('',(#28255),#13475,.T.); #315771=ADVANCED_FACE('',(#28256),#13476,.T.); #315772=ADVANCED_FACE('',(#28257),#13477,.F.); #315773=ADVANCED_FACE('',(#28258),#13478,.T.); #315774=ADVANCED_FACE('',(#28259),#13479,.T.); #315775=ADVANCED_FACE('',(#28260),#13480,.T.); #315776=ADVANCED_FACE('',(#28261),#13481,.T.); #315777=ADVANCED_FACE('',(#28262),#13482,.T.); #315778=ADVANCED_FACE('',(#28263),#13483,.F.); #315779=ADVANCED_FACE('',(#28264),#13484,.T.); #315780=ADVANCED_FACE('',(#28265),#13485,.T.); #315781=ADVANCED_FACE('',(#28266),#13486,.T.); #315782=ADVANCED_FACE('',(#28267),#13487,.T.); #315783=ADVANCED_FACE('',(#28268),#13488,.T.); #315784=ADVANCED_FACE('',(#28269),#13489,.F.); #315785=ADVANCED_FACE('',(#28270),#13490,.T.); #315786=ADVANCED_FACE('',(#28271),#13491,.T.); #315787=ADVANCED_FACE('',(#28272),#13492,.T.); #315788=ADVANCED_FACE('',(#28273),#13493,.T.); #315789=ADVANCED_FACE('',(#28274),#13494,.T.); #315790=ADVANCED_FACE('',(#28275),#13495,.F.); #315791=ADVANCED_FACE('',(#28276),#13496,.T.); #315792=ADVANCED_FACE('',(#28277),#13497,.T.); #315793=ADVANCED_FACE('',(#28278),#13498,.T.); #315794=ADVANCED_FACE('',(#28279),#13499,.T.); #315795=ADVANCED_FACE('',(#28280),#13500,.T.); #315796=ADVANCED_FACE('',(#28281),#13501,.F.); #315797=ADVANCED_FACE('',(#28282),#13502,.T.); #315798=ADVANCED_FACE('',(#28283),#13503,.T.); #315799=ADVANCED_FACE('',(#28284),#13504,.T.); #315800=ADVANCED_FACE('',(#28285),#13505,.T.); #315801=ADVANCED_FACE('',(#28286),#13506,.T.); #315802=ADVANCED_FACE('',(#28287),#13507,.F.); #315803=ADVANCED_FACE('',(#28288),#13508,.T.); #315804=ADVANCED_FACE('',(#28289),#13509,.T.); #315805=ADVANCED_FACE('',(#28290),#13510,.T.); #315806=ADVANCED_FACE('',(#28291),#13511,.T.); #315807=ADVANCED_FACE('',(#28292),#13512,.T.); #315808=ADVANCED_FACE('',(#28293),#13513,.F.); #315809=ADVANCED_FACE('',(#28294),#13514,.T.); #315810=ADVANCED_FACE('',(#28295),#13515,.T.); #315811=ADVANCED_FACE('',(#28296),#13516,.T.); #315812=ADVANCED_FACE('',(#28297),#13517,.T.); #315813=ADVANCED_FACE('',(#28298),#13518,.T.); #315814=ADVANCED_FACE('',(#28299),#13519,.F.); #315815=ADVANCED_FACE('',(#28300),#13520,.T.); #315816=ADVANCED_FACE('',(#28301),#13521,.T.); #315817=ADVANCED_FACE('',(#28302),#13522,.T.); #315818=ADVANCED_FACE('',(#28303),#13523,.T.); #315819=ADVANCED_FACE('',(#28304),#13524,.T.); #315820=ADVANCED_FACE('',(#28305),#13525,.F.); #315821=ADVANCED_FACE('',(#28306),#13526,.T.); #315822=ADVANCED_FACE('',(#28307),#13527,.T.); #315823=ADVANCED_FACE('',(#28308),#13528,.T.); #315824=ADVANCED_FACE('',(#28309),#13529,.T.); #315825=ADVANCED_FACE('',(#28310),#13530,.T.); #315826=ADVANCED_FACE('',(#28311),#13531,.F.); #315827=ADVANCED_FACE('',(#28312),#13532,.T.); #315828=ADVANCED_FACE('',(#28313),#13533,.T.); #315829=ADVANCED_FACE('',(#28314),#13534,.T.); #315830=ADVANCED_FACE('',(#28315),#13535,.T.); #315831=ADVANCED_FACE('',(#28316),#13536,.T.); #315832=ADVANCED_FACE('',(#28317),#13537,.F.); #315833=ADVANCED_FACE('',(#28318),#13538,.T.); #315834=ADVANCED_FACE('',(#28319),#13539,.T.); #315835=ADVANCED_FACE('',(#28320),#13540,.T.); #315836=ADVANCED_FACE('',(#28321),#13541,.T.); #315837=ADVANCED_FACE('',(#28322),#13542,.T.); #315838=ADVANCED_FACE('',(#28323),#13543,.F.); #315839=ADVANCED_FACE('',(#28324),#13544,.T.); #315840=ADVANCED_FACE('',(#28325),#13545,.T.); #315841=ADVANCED_FACE('',(#28326),#13546,.T.); #315842=ADVANCED_FACE('',(#28327),#13547,.T.); #315843=ADVANCED_FACE('',(#28328),#13548,.T.); #315844=ADVANCED_FACE('',(#28329),#13549,.F.); #315845=ADVANCED_FACE('',(#28330),#13550,.T.); #315846=ADVANCED_FACE('',(#28331),#13551,.T.); #315847=ADVANCED_FACE('',(#28332),#13552,.T.); #315848=ADVANCED_FACE('',(#28333),#13553,.T.); #315849=ADVANCED_FACE('',(#28334),#13554,.T.); #315850=ADVANCED_FACE('',(#28335),#13555,.F.); #315851=ADVANCED_FACE('',(#28336),#13556,.T.); #315852=ADVANCED_FACE('',(#28337),#13557,.T.); #315853=ADVANCED_FACE('',(#28338),#13558,.T.); #315854=ADVANCED_FACE('',(#28339),#13559,.T.); #315855=ADVANCED_FACE('',(#28340),#13560,.T.); #315856=ADVANCED_FACE('',(#28341),#13561,.F.); #315857=ADVANCED_FACE('',(#28342),#13562,.T.); #315858=ADVANCED_FACE('',(#28343),#13563,.T.); #315859=ADVANCED_FACE('',(#28344),#13564,.T.); #315860=ADVANCED_FACE('',(#28345),#13565,.T.); #315861=ADVANCED_FACE('',(#28346),#13566,.T.); #315862=ADVANCED_FACE('',(#28347),#13567,.F.); #315863=ADVANCED_FACE('',(#28348),#13568,.T.); #315864=ADVANCED_FACE('',(#28349),#13569,.T.); #315865=ADVANCED_FACE('',(#28350),#13570,.T.); #315866=ADVANCED_FACE('',(#28351),#13571,.T.); #315867=ADVANCED_FACE('',(#28352),#13572,.T.); #315868=ADVANCED_FACE('',(#28353),#13573,.F.); #315869=ADVANCED_FACE('',(#28354),#306021,.F.); #315870=ADVANCED_FACE('',(#28355),#306022,.F.); #315871=ADVANCED_FACE('',(#28356),#306023,.F.); #315872=ADVANCED_FACE('',(#28357),#306024,.F.); #315873=ADVANCED_FACE('',(#28358),#306025,.F.); #315874=ADVANCED_FACE('',(#28359),#306026,.F.); #315875=ADVANCED_FACE('',(#28360),#13574,.T.); #315876=ADVANCED_FACE('',(#28361),#13575,.T.); #315877=ADVANCED_FACE('',(#28362),#13576,.T.); #315878=ADVANCED_FACE('',(#28363),#13577,.T.); #315879=ADVANCED_FACE('',(#28364,#5141,#5142,#5143,#5144,#5145,#5146),#13578, .T.); #315880=ADVANCED_FACE('',(#28365,#5147,#5148,#5149,#5150,#5151,#5152),#13579, .F.); #315881=ADVANCED_FACE('',(#28366),#13580,.T.); #315882=ADVANCED_FACE('',(#28367),#13581,.T.); #315883=ADVANCED_FACE('',(#28368),#13582,.T.); #315884=ADVANCED_FACE('',(#28369),#13583,.T.); #315885=ADVANCED_FACE('',(#28370),#13584,.T.); #315886=ADVANCED_FACE('',(#28371),#13585,.F.); #315887=ADVANCED_FACE('',(#28372),#13586,.T.); #315888=ADVANCED_FACE('',(#28373),#13587,.T.); #315889=ADVANCED_FACE('',(#28374),#13588,.T.); #315890=ADVANCED_FACE('',(#28375),#13589,.T.); #315891=ADVANCED_FACE('',(#28376),#13590,.T.); #315892=ADVANCED_FACE('',(#28377),#13591,.F.); #315893=ADVANCED_FACE('',(#28378),#13592,.T.); #315894=ADVANCED_FACE('',(#28379),#13593,.T.); #315895=ADVANCED_FACE('',(#28380),#13594,.T.); #315896=ADVANCED_FACE('',(#28381),#13595,.T.); #315897=ADVANCED_FACE('',(#28382),#13596,.T.); #315898=ADVANCED_FACE('',(#28383),#13597,.F.); #315899=ADVANCED_FACE('',(#28384),#13598,.T.); #315900=ADVANCED_FACE('',(#28385),#13599,.T.); #315901=ADVANCED_FACE('',(#28386),#13600,.T.); #315902=ADVANCED_FACE('',(#28387),#13601,.T.); #315903=ADVANCED_FACE('',(#28388),#13602,.T.); #315904=ADVANCED_FACE('',(#28389),#13603,.F.); #315905=ADVANCED_FACE('',(#28390),#13604,.T.); #315906=ADVANCED_FACE('',(#28391),#13605,.T.); #315907=ADVANCED_FACE('',(#28392),#13606,.T.); #315908=ADVANCED_FACE('',(#28393),#13607,.T.); #315909=ADVANCED_FACE('',(#28394),#13608,.T.); #315910=ADVANCED_FACE('',(#28395),#13609,.F.); #315911=ADVANCED_FACE('',(#28396),#13610,.T.); #315912=ADVANCED_FACE('',(#28397),#13611,.T.); #315913=ADVANCED_FACE('',(#28398),#13612,.T.); #315914=ADVANCED_FACE('',(#28399),#13613,.T.); #315915=ADVANCED_FACE('',(#28400),#13614,.T.); #315916=ADVANCED_FACE('',(#28401),#13615,.F.); #315917=ADVANCED_FACE('',(#28402),#306027,.F.); #315918=ADVANCED_FACE('',(#28403),#306028,.F.); #315919=ADVANCED_FACE('',(#28404),#306029,.F.); #315920=ADVANCED_FACE('',(#28405),#306030,.F.); #315921=ADVANCED_FACE('',(#28406),#306031,.F.); #315922=ADVANCED_FACE('',(#28407),#306032,.F.); #315923=ADVANCED_FACE('',(#28408),#13616,.T.); #315924=ADVANCED_FACE('',(#28409),#13617,.T.); #315925=ADVANCED_FACE('',(#28410),#13618,.T.); #315926=ADVANCED_FACE('',(#28411),#13619,.T.); #315927=ADVANCED_FACE('',(#28412,#5153,#5154,#5155,#5156,#5157,#5158),#13620, .T.); #315928=ADVANCED_FACE('',(#28413,#5159,#5160,#5161,#5162,#5163,#5164),#13621, .F.); #315929=ADVANCED_FACE('',(#28414),#13622,.T.); #315930=ADVANCED_FACE('',(#28415),#13623,.T.); #315931=ADVANCED_FACE('',(#28416),#13624,.T.); #315932=ADVANCED_FACE('',(#28417),#13625,.T.); #315933=ADVANCED_FACE('',(#28418),#13626,.T.); #315934=ADVANCED_FACE('',(#28419),#13627,.F.); #315935=ADVANCED_FACE('',(#28420),#13628,.T.); #315936=ADVANCED_FACE('',(#28421),#13629,.T.); #315937=ADVANCED_FACE('',(#28422),#13630,.T.); #315938=ADVANCED_FACE('',(#28423),#13631,.T.); #315939=ADVANCED_FACE('',(#28424),#13632,.T.); #315940=ADVANCED_FACE('',(#28425),#13633,.F.); #315941=ADVANCED_FACE('',(#28426),#306033,.F.); #315942=ADVANCED_FACE('',(#28427),#306034,.F.); #315943=ADVANCED_FACE('',(#28428),#306035,.F.); #315944=ADVANCED_FACE('',(#28429),#306036,.F.); #315945=ADVANCED_FACE('',(#28430),#306037,.F.); #315946=ADVANCED_FACE('',(#28431),#306038,.F.); #315947=ADVANCED_FACE('',(#28432),#306039,.F.); #315948=ADVANCED_FACE('',(#28433),#306040,.F.); #315949=ADVANCED_FACE('',(#28434),#306041,.F.); #315950=ADVANCED_FACE('',(#28435),#306042,.F.); #315951=ADVANCED_FACE('',(#28436),#306043,.F.); #315952=ADVANCED_FACE('',(#28437),#306044,.F.); #315953=ADVANCED_FACE('',(#28438),#306045,.F.); #315954=ADVANCED_FACE('',(#28439),#306046,.F.); #315955=ADVANCED_FACE('',(#28440),#306047,.F.); #315956=ADVANCED_FACE('',(#28441),#306048,.F.); #315957=ADVANCED_FACE('',(#28442),#306049,.F.); #315958=ADVANCED_FACE('',(#28443),#306050,.F.); #315959=ADVANCED_FACE('',(#28444),#306051,.F.); #315960=ADVANCED_FACE('',(#28445),#306052,.F.); #315961=ADVANCED_FACE('',(#28446),#306053,.F.); #315962=ADVANCED_FACE('',(#28447),#306054,.F.); #315963=ADVANCED_FACE('',(#28448),#306055,.F.); #315964=ADVANCED_FACE('',(#28449),#306056,.F.); #315965=ADVANCED_FACE('',(#28450),#306057,.F.); #315966=ADVANCED_FACE('',(#28451),#306058,.F.); #315967=ADVANCED_FACE('',(#28452),#306059,.F.); #315968=ADVANCED_FACE('',(#28453),#306060,.F.); #315969=ADVANCED_FACE('',(#28454),#306061,.F.); #315970=ADVANCED_FACE('',(#28455),#306062,.F.); #315971=ADVANCED_FACE('',(#28456),#306063,.F.); #315972=ADVANCED_FACE('',(#28457),#306064,.F.); #315973=ADVANCED_FACE('',(#28458),#306065,.F.); #315974=ADVANCED_FACE('',(#28459),#306066,.F.); #315975=ADVANCED_FACE('',(#28460),#306067,.F.); #315976=ADVANCED_FACE('',(#28461),#306068,.F.); #315977=ADVANCED_FACE('',(#28462),#306069,.F.); #315978=ADVANCED_FACE('',(#28463),#306070,.F.); #315979=ADVANCED_FACE('',(#28464),#306071,.F.); #315980=ADVANCED_FACE('',(#28465),#306072,.F.); #315981=ADVANCED_FACE('',(#28466),#306073,.F.); #315982=ADVANCED_FACE('',(#28467),#306074,.F.); #315983=ADVANCED_FACE('',(#28468),#306075,.F.); #315984=ADVANCED_FACE('',(#28469),#306076,.F.); #315985=ADVANCED_FACE('',(#28470),#306077,.F.); #315986=ADVANCED_FACE('',(#28471),#306078,.F.); #315987=ADVANCED_FACE('',(#28472),#306079,.F.); #315988=ADVANCED_FACE('',(#28473),#306080,.F.); #315989=ADVANCED_FACE('',(#28474),#306081,.F.); #315990=ADVANCED_FACE('',(#28475),#13634,.T.); #315991=ADVANCED_FACE('',(#28476),#13635,.T.); #315992=ADVANCED_FACE('',(#28477),#13636,.T.); #315993=ADVANCED_FACE('',(#28478),#13637,.T.); #315994=ADVANCED_FACE('',(#28479,#5165,#5166,#5167,#5168,#5169,#5170,#5171, #5172,#5173,#5174,#5175,#5176,#5177,#5178,#5179,#5180,#5181,#5182,#5183, #5184,#5185,#5186,#5187,#5188,#5189,#5190,#5191,#5192,#5193,#5194,#5195, #5196,#5197,#5198,#5199,#5200,#5201,#5202,#5203,#5204,#5205,#5206,#5207, #5208,#5209,#5210,#5211,#5212,#5213),#13638,.T.); #315995=ADVANCED_FACE('',(#28480,#5214,#5215,#5216,#5217,#5218,#5219,#5220, #5221,#5222,#5223,#5224,#5225,#5226,#5227,#5228,#5229,#5230,#5231,#5232, #5233,#5234,#5235,#5236,#5237,#5238,#5239,#5240,#5241,#5242,#5243,#5244, #5245,#5246,#5247,#5248,#5249,#5250,#5251,#5252,#5253,#5254,#5255,#5256, #5257,#5258,#5259,#5260,#5261,#5262),#13639,.F.); #315996=ADVANCED_FACE('',(#28481),#13640,.T.); #315997=ADVANCED_FACE('',(#28482),#13641,.T.); #315998=ADVANCED_FACE('',(#28483),#13642,.T.); #315999=ADVANCED_FACE('',(#28484),#13643,.T.); #316000=ADVANCED_FACE('',(#28485),#13644,.T.); #316001=ADVANCED_FACE('',(#28486),#13645,.F.); #316002=ADVANCED_FACE('',(#28487),#13646,.T.); #316003=ADVANCED_FACE('',(#28488),#13647,.T.); #316004=ADVANCED_FACE('',(#28489),#13648,.T.); #316005=ADVANCED_FACE('',(#28490),#13649,.T.); #316006=ADVANCED_FACE('',(#28491),#13650,.T.); #316007=ADVANCED_FACE('',(#28492),#13651,.F.); #316008=ADVANCED_FACE('',(#28493),#13652,.T.); #316009=ADVANCED_FACE('',(#28494),#13653,.T.); #316010=ADVANCED_FACE('',(#28495),#13654,.T.); #316011=ADVANCED_FACE('',(#28496),#13655,.T.); #316012=ADVANCED_FACE('',(#28497),#13656,.T.); #316013=ADVANCED_FACE('',(#28498),#13657,.F.); #316014=ADVANCED_FACE('',(#28499),#13658,.T.); #316015=ADVANCED_FACE('',(#28500),#13659,.T.); #316016=ADVANCED_FACE('',(#28501),#13660,.T.); #316017=ADVANCED_FACE('',(#28502),#13661,.T.); #316018=ADVANCED_FACE('',(#28503),#13662,.T.); #316019=ADVANCED_FACE('',(#28504),#13663,.F.); #316020=ADVANCED_FACE('',(#28505),#13664,.T.); #316021=ADVANCED_FACE('',(#28506),#13665,.T.); #316022=ADVANCED_FACE('',(#28507),#13666,.T.); #316023=ADVANCED_FACE('',(#28508),#13667,.T.); #316024=ADVANCED_FACE('',(#28509),#13668,.T.); #316025=ADVANCED_FACE('',(#28510),#13669,.F.); #316026=ADVANCED_FACE('',(#28511),#13670,.T.); #316027=ADVANCED_FACE('',(#28512),#13671,.T.); #316028=ADVANCED_FACE('',(#28513),#13672,.T.); #316029=ADVANCED_FACE('',(#28514),#13673,.T.); #316030=ADVANCED_FACE('',(#28515),#13674,.T.); #316031=ADVANCED_FACE('',(#28516),#13675,.F.); #316032=ADVANCED_FACE('',(#28517),#13676,.T.); #316033=ADVANCED_FACE('',(#28518),#13677,.T.); #316034=ADVANCED_FACE('',(#28519),#13678,.T.); #316035=ADVANCED_FACE('',(#28520),#13679,.T.); #316036=ADVANCED_FACE('',(#28521),#13680,.T.); #316037=ADVANCED_FACE('',(#28522),#13681,.F.); #316038=ADVANCED_FACE('',(#28523),#13682,.T.); #316039=ADVANCED_FACE('',(#28524),#13683,.T.); #316040=ADVANCED_FACE('',(#28525),#13684,.T.); #316041=ADVANCED_FACE('',(#28526),#13685,.T.); #316042=ADVANCED_FACE('',(#28527),#13686,.T.); #316043=ADVANCED_FACE('',(#28528),#13687,.F.); #316044=ADVANCED_FACE('',(#28529),#13688,.T.); #316045=ADVANCED_FACE('',(#28530),#13689,.T.); #316046=ADVANCED_FACE('',(#28531),#13690,.T.); #316047=ADVANCED_FACE('',(#28532),#13691,.T.); #316048=ADVANCED_FACE('',(#28533),#13692,.T.); #316049=ADVANCED_FACE('',(#28534),#13693,.F.); #316050=ADVANCED_FACE('',(#28535),#13694,.T.); #316051=ADVANCED_FACE('',(#28536),#13695,.T.); #316052=ADVANCED_FACE('',(#28537),#13696,.T.); #316053=ADVANCED_FACE('',(#28538),#13697,.T.); #316054=ADVANCED_FACE('',(#28539),#13698,.T.); #316055=ADVANCED_FACE('',(#28540),#13699,.F.); #316056=ADVANCED_FACE('',(#28541),#13700,.T.); #316057=ADVANCED_FACE('',(#28542),#13701,.T.); #316058=ADVANCED_FACE('',(#28543),#13702,.T.); #316059=ADVANCED_FACE('',(#28544),#13703,.T.); #316060=ADVANCED_FACE('',(#28545),#13704,.T.); #316061=ADVANCED_FACE('',(#28546),#13705,.F.); #316062=ADVANCED_FACE('',(#28547),#13706,.T.); #316063=ADVANCED_FACE('',(#28548),#13707,.T.); #316064=ADVANCED_FACE('',(#28549),#13708,.T.); #316065=ADVANCED_FACE('',(#28550),#13709,.T.); #316066=ADVANCED_FACE('',(#28551),#13710,.T.); #316067=ADVANCED_FACE('',(#28552),#13711,.F.); #316068=ADVANCED_FACE('',(#28553),#13712,.T.); #316069=ADVANCED_FACE('',(#28554),#13713,.T.); #316070=ADVANCED_FACE('',(#28555),#13714,.T.); #316071=ADVANCED_FACE('',(#28556),#13715,.T.); #316072=ADVANCED_FACE('',(#28557),#13716,.T.); #316073=ADVANCED_FACE('',(#28558),#13717,.F.); #316074=ADVANCED_FACE('',(#28559),#13718,.T.); #316075=ADVANCED_FACE('',(#28560),#13719,.T.); #316076=ADVANCED_FACE('',(#28561),#13720,.T.); #316077=ADVANCED_FACE('',(#28562),#13721,.T.); #316078=ADVANCED_FACE('',(#28563),#13722,.T.); #316079=ADVANCED_FACE('',(#28564),#13723,.F.); #316080=ADVANCED_FACE('',(#28565),#13724,.T.); #316081=ADVANCED_FACE('',(#28566),#13725,.T.); #316082=ADVANCED_FACE('',(#28567),#13726,.T.); #316083=ADVANCED_FACE('',(#28568),#13727,.T.); #316084=ADVANCED_FACE('',(#28569),#13728,.T.); #316085=ADVANCED_FACE('',(#28570),#13729,.F.); #316086=ADVANCED_FACE('',(#28571),#13730,.T.); #316087=ADVANCED_FACE('',(#28572),#13731,.T.); #316088=ADVANCED_FACE('',(#28573),#13732,.T.); #316089=ADVANCED_FACE('',(#28574),#13733,.T.); #316090=ADVANCED_FACE('',(#28575),#13734,.T.); #316091=ADVANCED_FACE('',(#28576),#13735,.F.); #316092=ADVANCED_FACE('',(#28577),#13736,.T.); #316093=ADVANCED_FACE('',(#28578),#13737,.T.); #316094=ADVANCED_FACE('',(#28579),#13738,.T.); #316095=ADVANCED_FACE('',(#28580),#13739,.T.); #316096=ADVANCED_FACE('',(#28581),#13740,.T.); #316097=ADVANCED_FACE('',(#28582),#13741,.F.); #316098=ADVANCED_FACE('',(#28583),#13742,.T.); #316099=ADVANCED_FACE('',(#28584),#13743,.T.); #316100=ADVANCED_FACE('',(#28585),#13744,.T.); #316101=ADVANCED_FACE('',(#28586),#13745,.T.); #316102=ADVANCED_FACE('',(#28587),#13746,.T.); #316103=ADVANCED_FACE('',(#28588),#13747,.F.); #316104=ADVANCED_FACE('',(#28589),#306082,.F.); #316105=ADVANCED_FACE('',(#28590),#13748,.T.); #316106=ADVANCED_FACE('',(#28591),#13749,.T.); #316107=ADVANCED_FACE('',(#28592),#13750,.T.); #316108=ADVANCED_FACE('',(#28593),#13751,.T.); #316109=ADVANCED_FACE('',(#28594,#5263),#13752,.T.); #316110=ADVANCED_FACE('',(#28595,#5264),#13753,.F.); #316111=ADVANCED_FACE('',(#28596),#13754,.T.); #316112=ADVANCED_FACE('',(#28597),#13755,.T.); #316113=ADVANCED_FACE('',(#28598),#13756,.T.); #316114=ADVANCED_FACE('',(#28599),#13757,.T.); #316115=ADVANCED_FACE('',(#28600),#13758,.T.); #316116=ADVANCED_FACE('',(#28601),#13759,.F.); #316117=ADVANCED_FACE('',(#28602),#13760,.T.); #316118=ADVANCED_FACE('',(#28603),#13761,.T.); #316119=ADVANCED_FACE('',(#28604),#13762,.T.); #316120=ADVANCED_FACE('',(#28605),#13763,.T.); #316121=ADVANCED_FACE('',(#28606),#13764,.T.); #316122=ADVANCED_FACE('',(#28607),#13765,.F.); #316123=ADVANCED_FACE('',(#28608),#306083,.F.); #316124=ADVANCED_FACE('',(#28609),#13766,.T.); #316125=ADVANCED_FACE('',(#28610),#13767,.T.); #316126=ADVANCED_FACE('',(#28611),#13768,.T.); #316127=ADVANCED_FACE('',(#28612),#13769,.T.); #316128=ADVANCED_FACE('',(#28613,#5265),#13770,.T.); #316129=ADVANCED_FACE('',(#28614,#5266),#13771,.F.); #316130=ADVANCED_FACE('',(#28615),#13772,.T.); #316131=ADVANCED_FACE('',(#28616),#13773,.T.); #316132=ADVANCED_FACE('',(#28617),#13774,.T.); #316133=ADVANCED_FACE('',(#28618),#13775,.T.); #316134=ADVANCED_FACE('',(#28619),#13776,.T.); #316135=ADVANCED_FACE('',(#28620),#13777,.F.); #316136=ADVANCED_FACE('',(#28621),#13778,.T.); #316137=ADVANCED_FACE('',(#28622),#13779,.T.); #316138=ADVANCED_FACE('',(#28623),#13780,.T.); #316139=ADVANCED_FACE('',(#28624),#13781,.T.); #316140=ADVANCED_FACE('',(#28625),#13782,.T.); #316141=ADVANCED_FACE('',(#28626),#13783,.F.); #316142=ADVANCED_FACE('',(#28627),#13784,.T.); #316143=ADVANCED_FACE('',(#28628),#13785,.T.); #316144=ADVANCED_FACE('',(#28629),#13786,.T.); #316145=ADVANCED_FACE('',(#28630),#13787,.T.); #316146=ADVANCED_FACE('',(#28631),#13788,.T.); #316147=ADVANCED_FACE('',(#28632),#13789,.F.); #316148=ADVANCED_FACE('',(#28633),#13790,.T.); #316149=ADVANCED_FACE('',(#28634),#13791,.T.); #316150=ADVANCED_FACE('',(#28635),#13792,.T.); #316151=ADVANCED_FACE('',(#28636),#13793,.T.); #316152=ADVANCED_FACE('',(#28637),#13794,.T.); #316153=ADVANCED_FACE('',(#28638),#13795,.F.); #316154=ADVANCED_FACE('',(#28639),#13796,.T.); #316155=ADVANCED_FACE('',(#28640),#13797,.T.); #316156=ADVANCED_FACE('',(#28641),#13798,.T.); #316157=ADVANCED_FACE('',(#28642),#13799,.T.); #316158=ADVANCED_FACE('',(#28643),#13800,.T.); #316159=ADVANCED_FACE('',(#28644),#13801,.F.); #316160=ADVANCED_FACE('',(#28645),#13802,.T.); #316161=ADVANCED_FACE('',(#28646),#13803,.T.); #316162=ADVANCED_FACE('',(#28647),#13804,.T.); #316163=ADVANCED_FACE('',(#28648),#13805,.T.); #316164=ADVANCED_FACE('',(#28649),#13806,.T.); #316165=ADVANCED_FACE('',(#28650),#13807,.F.); #316166=ADVANCED_FACE('',(#28651),#13808,.T.); #316167=ADVANCED_FACE('',(#28652),#13809,.T.); #316168=ADVANCED_FACE('',(#28653),#13810,.T.); #316169=ADVANCED_FACE('',(#28654),#13811,.T.); #316170=ADVANCED_FACE('',(#28655),#13812,.T.); #316171=ADVANCED_FACE('',(#28656),#13813,.F.); #316172=ADVANCED_FACE('',(#28657),#13814,.T.); #316173=ADVANCED_FACE('',(#28658),#13815,.T.); #316174=ADVANCED_FACE('',(#28659),#13816,.T.); #316175=ADVANCED_FACE('',(#28660),#13817,.T.); #316176=ADVANCED_FACE('',(#28661),#13818,.T.); #316177=ADVANCED_FACE('',(#28662),#13819,.F.); #316178=ADVANCED_FACE('',(#28663),#13820,.T.); #316179=ADVANCED_FACE('',(#28664),#13821,.T.); #316180=ADVANCED_FACE('',(#28665),#13822,.T.); #316181=ADVANCED_FACE('',(#28666),#13823,.T.); #316182=ADVANCED_FACE('',(#28667),#13824,.T.); #316183=ADVANCED_FACE('',(#28668),#13825,.F.); #316184=ADVANCED_FACE('',(#28669),#13826,.T.); #316185=ADVANCED_FACE('',(#28670),#13827,.T.); #316186=ADVANCED_FACE('',(#28671),#13828,.T.); #316187=ADVANCED_FACE('',(#28672),#13829,.T.); #316188=ADVANCED_FACE('',(#28673),#13830,.T.); #316189=ADVANCED_FACE('',(#28674),#13831,.F.); #316190=ADVANCED_FACE('',(#28675),#13832,.T.); #316191=ADVANCED_FACE('',(#28676),#13833,.T.); #316192=ADVANCED_FACE('',(#28677),#13834,.T.); #316193=ADVANCED_FACE('',(#28678),#13835,.T.); #316194=ADVANCED_FACE('',(#28679),#13836,.T.); #316195=ADVANCED_FACE('',(#28680),#13837,.F.); #316196=ADVANCED_FACE('',(#28681),#306084,.F.); #316197=ADVANCED_FACE('',(#28682),#306085,.F.); #316198=ADVANCED_FACE('',(#28683),#306086,.F.); #316199=ADVANCED_FACE('',(#28684),#306087,.F.); #316200=ADVANCED_FACE('',(#28685),#306088,.F.); #316201=ADVANCED_FACE('',(#28686),#306089,.F.); #316202=ADVANCED_FACE('',(#28687),#306090,.F.); #316203=ADVANCED_FACE('',(#28688),#306091,.F.); #316204=ADVANCED_FACE('',(#28689),#306092,.F.); #316205=ADVANCED_FACE('',(#28690),#13838,.T.); #316206=ADVANCED_FACE('',(#28691),#13839,.T.); #316207=ADVANCED_FACE('',(#28692),#13840,.T.); #316208=ADVANCED_FACE('',(#28693),#13841,.T.); #316209=ADVANCED_FACE('',(#28694),#13842,.T.); #316210=ADVANCED_FACE('',(#28695),#13843,.T.); #316211=ADVANCED_FACE('',(#28696),#13844,.T.); #316212=ADVANCED_FACE('',(#28697),#13845,.T.); #316213=ADVANCED_FACE('',(#28698),#13846,.T.); #316214=ADVANCED_FACE('',(#28699),#13847,.T.); #316215=ADVANCED_FACE('',(#28700,#5267),#13848,.F.); #316216=ADVANCED_FACE('',(#28701,#5268),#13849,.T.); #316217=ADVANCED_FACE('',(#28702),#306093,.F.); #316218=ADVANCED_FACE('',(#28703),#13850,.T.); #316219=ADVANCED_FACE('',(#28704),#13851,.T.); #316220=ADVANCED_FACE('',(#28705),#13852,.T.); #316221=ADVANCED_FACE('',(#28706),#13853,.T.); #316222=ADVANCED_FACE('',(#28707,#5269),#13854,.T.); #316223=ADVANCED_FACE('',(#28708,#5270),#13855,.F.); #316224=ADVANCED_FACE('',(#28709),#13856,.T.); #316225=ADVANCED_FACE('',(#28710),#13857,.T.); #316226=ADVANCED_FACE('',(#28711),#13858,.T.); #316227=ADVANCED_FACE('',(#28712),#13859,.T.); #316228=ADVANCED_FACE('',(#28713),#13860,.T.); #316229=ADVANCED_FACE('',(#28714),#13861,.F.); #316230=ADVANCED_FACE('',(#28715),#13862,.T.); #316231=ADVANCED_FACE('',(#28716),#13863,.T.); #316232=ADVANCED_FACE('',(#28717),#13864,.T.); #316233=ADVANCED_FACE('',(#28718),#13865,.T.); #316234=ADVANCED_FACE('',(#28719),#13866,.T.); #316235=ADVANCED_FACE('',(#28720),#13867,.F.); #316236=ADVANCED_FACE('',(#28721),#13868,.T.); #316237=ADVANCED_FACE('',(#28722),#13869,.T.); #316238=ADVANCED_FACE('',(#28723),#13870,.T.); #316239=ADVANCED_FACE('',(#28724),#13871,.T.); #316240=ADVANCED_FACE('',(#28725),#13872,.T.); #316241=ADVANCED_FACE('',(#28726),#13873,.F.); #316242=ADVANCED_FACE('',(#28727),#13874,.T.); #316243=ADVANCED_FACE('',(#28728),#13875,.T.); #316244=ADVANCED_FACE('',(#28729),#13876,.T.); #316245=ADVANCED_FACE('',(#28730),#13877,.T.); #316246=ADVANCED_FACE('',(#28731),#13878,.T.); #316247=ADVANCED_FACE('',(#28732),#13879,.F.); #316248=ADVANCED_FACE('',(#28733),#13880,.T.); #316249=ADVANCED_FACE('',(#28734),#13881,.T.); #316250=ADVANCED_FACE('',(#28735),#13882,.T.); #316251=ADVANCED_FACE('',(#28736),#13883,.T.); #316252=ADVANCED_FACE('',(#28737),#13884,.T.); #316253=ADVANCED_FACE('',(#28738),#13885,.F.); #316254=ADVANCED_FACE('',(#28739),#306094,.F.); #316255=ADVANCED_FACE('',(#28740),#13886,.T.); #316256=ADVANCED_FACE('',(#28741),#13887,.T.); #316257=ADVANCED_FACE('',(#28742),#13888,.T.); #316258=ADVANCED_FACE('',(#28743),#13889,.T.); #316259=ADVANCED_FACE('',(#28744,#5271),#13890,.T.); #316260=ADVANCED_FACE('',(#28745,#5272),#13891,.F.); #316261=ADVANCED_FACE('',(#28746),#306095,.F.); #316262=ADVANCED_FACE('',(#28747),#13892,.T.); #316263=ADVANCED_FACE('',(#28748),#13893,.T.); #316264=ADVANCED_FACE('',(#28749),#13894,.T.); #316265=ADVANCED_FACE('',(#28750),#13895,.T.); #316266=ADVANCED_FACE('',(#28751,#5273),#13896,.T.); #316267=ADVANCED_FACE('',(#28752,#5274),#13897,.F.); #316268=ADVANCED_FACE('',(#28753),#13898,.T.); #316269=ADVANCED_FACE('',(#28754),#13899,.T.); #316270=ADVANCED_FACE('',(#28755),#13900,.T.); #316271=ADVANCED_FACE('',(#28756),#13901,.T.); #316272=ADVANCED_FACE('',(#28757),#13902,.T.); #316273=ADVANCED_FACE('',(#28758),#13903,.F.); #316274=ADVANCED_FACE('',(#28759),#13904,.T.); #316275=ADVANCED_FACE('',(#28760),#13905,.T.); #316276=ADVANCED_FACE('',(#28761),#13906,.T.); #316277=ADVANCED_FACE('',(#28762),#13907,.T.); #316278=ADVANCED_FACE('',(#28763),#13908,.T.); #316279=ADVANCED_FACE('',(#28764),#13909,.F.); #316280=ADVANCED_FACE('',(#28765),#13910,.T.); #316281=ADVANCED_FACE('',(#28766),#13911,.T.); #316282=ADVANCED_FACE('',(#28767),#13912,.T.); #316283=ADVANCED_FACE('',(#28768),#13913,.T.); #316284=ADVANCED_FACE('',(#28769),#13914,.T.); #316285=ADVANCED_FACE('',(#28770),#13915,.F.); #316286=ADVANCED_FACE('',(#28771),#13916,.T.); #316287=ADVANCED_FACE('',(#28772),#13917,.T.); #316288=ADVANCED_FACE('',(#28773),#13918,.T.); #316289=ADVANCED_FACE('',(#28774),#13919,.T.); #316290=ADVANCED_FACE('',(#28775),#13920,.T.); #316291=ADVANCED_FACE('',(#28776),#13921,.F.); #316292=ADVANCED_FACE('',(#28777),#13922,.T.); #316293=ADVANCED_FACE('',(#28778),#13923,.T.); #316294=ADVANCED_FACE('',(#28779),#13924,.T.); #316295=ADVANCED_FACE('',(#28780),#13925,.T.); #316296=ADVANCED_FACE('',(#28781),#13926,.T.); #316297=ADVANCED_FACE('',(#28782),#13927,.F.); #316298=ADVANCED_FACE('',(#28783),#13928,.T.); #316299=ADVANCED_FACE('',(#28784),#13929,.T.); #316300=ADVANCED_FACE('',(#28785),#13930,.T.); #316301=ADVANCED_FACE('',(#28786),#13931,.T.); #316302=ADVANCED_FACE('',(#28787),#13932,.T.); #316303=ADVANCED_FACE('',(#28788),#13933,.F.); #316304=ADVANCED_FACE('',(#28789),#13934,.T.); #316305=ADVANCED_FACE('',(#28790),#13935,.T.); #316306=ADVANCED_FACE('',(#28791),#13936,.T.); #316307=ADVANCED_FACE('',(#28792),#13937,.T.); #316308=ADVANCED_FACE('',(#28793),#13938,.T.); #316309=ADVANCED_FACE('',(#28794),#13939,.F.); #316310=ADVANCED_FACE('',(#28795),#13940,.T.); #316311=ADVANCED_FACE('',(#28796),#13941,.T.); #316312=ADVANCED_FACE('',(#28797),#13942,.T.); #316313=ADVANCED_FACE('',(#28798),#13943,.T.); #316314=ADVANCED_FACE('',(#28799),#13944,.T.); #316315=ADVANCED_FACE('',(#28800),#13945,.F.); #316316=ADVANCED_FACE('',(#28801),#13946,.T.); #316317=ADVANCED_FACE('',(#28802),#13947,.T.); #316318=ADVANCED_FACE('',(#28803),#13948,.T.); #316319=ADVANCED_FACE('',(#28804),#13949,.T.); #316320=ADVANCED_FACE('',(#28805),#13950,.T.); #316321=ADVANCED_FACE('',(#28806),#13951,.F.); #316322=ADVANCED_FACE('',(#28807),#13952,.T.); #316323=ADVANCED_FACE('',(#28808),#13953,.T.); #316324=ADVANCED_FACE('',(#28809),#13954,.T.); #316325=ADVANCED_FACE('',(#28810),#13955,.T.); #316326=ADVANCED_FACE('',(#28811),#13956,.T.); #316327=ADVANCED_FACE('',(#28812),#13957,.F.); #316328=ADVANCED_FACE('',(#28813),#13958,.T.); #316329=ADVANCED_FACE('',(#28814),#13959,.T.); #316330=ADVANCED_FACE('',(#28815),#13960,.T.); #316331=ADVANCED_FACE('',(#28816),#13961,.T.); #316332=ADVANCED_FACE('',(#28817),#13962,.T.); #316333=ADVANCED_FACE('',(#28818),#13963,.F.); #316334=ADVANCED_FACE('',(#28819),#306096,.F.); #316335=ADVANCED_FACE('',(#28820),#306097,.F.); #316336=ADVANCED_FACE('',(#28821),#306098,.F.); #316337=ADVANCED_FACE('',(#28822),#306099,.F.); #316338=ADVANCED_FACE('',(#28823),#306100,.F.); #316339=ADVANCED_FACE('',(#28824),#306101,.F.); #316340=ADVANCED_FACE('',(#28825),#13964,.T.); #316341=ADVANCED_FACE('',(#28826),#13965,.T.); #316342=ADVANCED_FACE('',(#28827),#13966,.T.); #316343=ADVANCED_FACE('',(#28828),#13967,.T.); #316344=ADVANCED_FACE('',(#28829,#5275,#5276,#5277,#5278,#5279,#5280),#13968, .T.); #316345=ADVANCED_FACE('',(#28830,#5281,#5282,#5283,#5284,#5285,#5286),#13969, .F.); #316346=ADVANCED_FACE('',(#28831),#13970,.T.); #316347=ADVANCED_FACE('',(#28832),#13971,.T.); #316348=ADVANCED_FACE('',(#28833),#13972,.T.); #316349=ADVANCED_FACE('',(#28834),#13973,.T.); #316350=ADVANCED_FACE('',(#28835),#13974,.T.); #316351=ADVANCED_FACE('',(#28836),#13975,.F.); #316352=ADVANCED_FACE('',(#28837),#13976,.T.); #316353=ADVANCED_FACE('',(#28838),#13977,.T.); #316354=ADVANCED_FACE('',(#28839),#13978,.T.); #316355=ADVANCED_FACE('',(#28840),#13979,.T.); #316356=ADVANCED_FACE('',(#28841),#13980,.T.); #316357=ADVANCED_FACE('',(#28842),#13981,.F.); #316358=ADVANCED_FACE('',(#28843),#13982,.T.); #316359=ADVANCED_FACE('',(#28844),#13983,.T.); #316360=ADVANCED_FACE('',(#28845),#13984,.T.); #316361=ADVANCED_FACE('',(#28846),#13985,.T.); #316362=ADVANCED_FACE('',(#28847),#13986,.T.); #316363=ADVANCED_FACE('',(#28848),#13987,.F.); #316364=ADVANCED_FACE('',(#28849),#306102,.F.); #316365=ADVANCED_FACE('',(#28850),#306103,.F.); #316366=ADVANCED_FACE('',(#28851),#306104,.F.); #316367=ADVANCED_FACE('',(#28852),#306105,.F.); #316368=ADVANCED_FACE('',(#28853),#306106,.F.); #316369=ADVANCED_FACE('',(#28854),#306107,.F.); #316370=ADVANCED_FACE('',(#28855),#13988,.T.); #316371=ADVANCED_FACE('',(#28856),#13989,.T.); #316372=ADVANCED_FACE('',(#28857),#13990,.T.); #316373=ADVANCED_FACE('',(#28858),#13991,.T.); #316374=ADVANCED_FACE('',(#28859),#13992,.T.); #316375=ADVANCED_FACE('',(#28860),#13993,.T.); #316376=ADVANCED_FACE('',(#28861,#5287,#5288),#13994,.F.); #316377=ADVANCED_FACE('',(#28862),#13995,.T.); #316378=ADVANCED_FACE('',(#28863),#13996,.T.); #316379=ADVANCED_FACE('',(#28864,#5289,#5290),#13997,.T.); #316380=ADVANCED_FACE('',(#28865),#13998,.T.); #316381=ADVANCED_FACE('',(#28866),#13999,.T.); #316382=ADVANCED_FACE('',(#28867),#14000,.T.); #316383=ADVANCED_FACE('',(#28868),#14001,.T.); #316384=ADVANCED_FACE('',(#28869),#14002,.T.); #316385=ADVANCED_FACE('',(#28870),#14003,.F.); #316386=ADVANCED_FACE('',(#28871),#14004,.T.); #316387=ADVANCED_FACE('',(#28872),#14005,.T.); #316388=ADVANCED_FACE('',(#28873),#14006,.T.); #316389=ADVANCED_FACE('',(#28874),#14007,.T.); #316390=ADVANCED_FACE('',(#28875),#14008,.T.); #316391=ADVANCED_FACE('',(#28876),#14009,.F.); #316392=ADVANCED_FACE('',(#28877),#14010,.T.); #316393=ADVANCED_FACE('',(#28878),#14011,.T.); #316394=ADVANCED_FACE('',(#28879),#14012,.T.); #316395=ADVANCED_FACE('',(#28880),#14013,.T.); #316396=ADVANCED_FACE('',(#28881),#14014,.T.); #316397=ADVANCED_FACE('',(#28882),#14015,.F.); #316398=ADVANCED_FACE('',(#28883),#14016,.T.); #316399=ADVANCED_FACE('',(#28884),#14017,.T.); #316400=ADVANCED_FACE('',(#28885),#14018,.T.); #316401=ADVANCED_FACE('',(#28886),#14019,.T.); #316402=ADVANCED_FACE('',(#28887),#14020,.T.); #316403=ADVANCED_FACE('',(#28888),#14021,.F.); #316404=ADVANCED_FACE('',(#28889),#14022,.T.); #316405=ADVANCED_FACE('',(#28890),#14023,.T.); #316406=ADVANCED_FACE('',(#28891),#14024,.T.); #316407=ADVANCED_FACE('',(#28892),#14025,.T.); #316408=ADVANCED_FACE('',(#28893),#14026,.T.); #316409=ADVANCED_FACE('',(#28894),#14027,.F.); #316410=ADVANCED_FACE('',(#28895),#14028,.T.); #316411=ADVANCED_FACE('',(#28896),#14029,.T.); #316412=ADVANCED_FACE('',(#28897),#14030,.T.); #316413=ADVANCED_FACE('',(#28898),#14031,.T.); #316414=ADVANCED_FACE('',(#28899),#14032,.T.); #316415=ADVANCED_FACE('',(#28900),#14033,.F.); #316416=ADVANCED_FACE('',(#28901),#14034,.T.); #316417=ADVANCED_FACE('',(#28902),#14035,.T.); #316418=ADVANCED_FACE('',(#28903),#14036,.T.); #316419=ADVANCED_FACE('',(#28904),#14037,.T.); #316420=ADVANCED_FACE('',(#28905),#14038,.T.); #316421=ADVANCED_FACE('',(#28906),#14039,.F.); #316422=ADVANCED_FACE('',(#28907),#14040,.T.); #316423=ADVANCED_FACE('',(#28908),#14041,.T.); #316424=ADVANCED_FACE('',(#28909),#14042,.T.); #316425=ADVANCED_FACE('',(#28910),#14043,.T.); #316426=ADVANCED_FACE('',(#28911),#14044,.T.); #316427=ADVANCED_FACE('',(#28912),#14045,.F.); #316428=ADVANCED_FACE('',(#28913),#14046,.T.); #316429=ADVANCED_FACE('',(#28914),#14047,.T.); #316430=ADVANCED_FACE('',(#28915),#14048,.T.); #316431=ADVANCED_FACE('',(#28916),#14049,.T.); #316432=ADVANCED_FACE('',(#28917),#14050,.T.); #316433=ADVANCED_FACE('',(#28918),#14051,.F.); #316434=ADVANCED_FACE('',(#28919),#14052,.T.); #316435=ADVANCED_FACE('',(#28920),#14053,.T.); #316436=ADVANCED_FACE('',(#28921),#14054,.T.); #316437=ADVANCED_FACE('',(#28922),#14055,.T.); #316438=ADVANCED_FACE('',(#28923),#14056,.T.); #316439=ADVANCED_FACE('',(#28924),#14057,.F.); #316440=ADVANCED_FACE('',(#28925),#14058,.T.); #316441=ADVANCED_FACE('',(#28926),#14059,.T.); #316442=ADVANCED_FACE('',(#28927),#14060,.T.); #316443=ADVANCED_FACE('',(#28928),#14061,.T.); #316444=ADVANCED_FACE('',(#28929),#14062,.T.); #316445=ADVANCED_FACE('',(#28930),#14063,.F.); #316446=ADVANCED_FACE('',(#28931),#14064,.T.); #316447=ADVANCED_FACE('',(#28932),#14065,.T.); #316448=ADVANCED_FACE('',(#28933),#14066,.T.); #316449=ADVANCED_FACE('',(#28934),#14067,.T.); #316450=ADVANCED_FACE('',(#28935),#14068,.T.); #316451=ADVANCED_FACE('',(#28936),#14069,.F.); #316452=ADVANCED_FACE('',(#28937),#14070,.T.); #316453=ADVANCED_FACE('',(#28938),#14071,.T.); #316454=ADVANCED_FACE('',(#28939),#14072,.T.); #316455=ADVANCED_FACE('',(#28940),#14073,.T.); #316456=ADVANCED_FACE('',(#28941),#14074,.T.); #316457=ADVANCED_FACE('',(#28942),#14075,.F.); #316458=ADVANCED_FACE('',(#28943),#14076,.T.); #316459=ADVANCED_FACE('',(#28944),#14077,.T.); #316460=ADVANCED_FACE('',(#28945),#14078,.T.); #316461=ADVANCED_FACE('',(#28946),#14079,.T.); #316462=ADVANCED_FACE('',(#28947),#14080,.T.); #316463=ADVANCED_FACE('',(#28948),#14081,.F.); #316464=ADVANCED_FACE('',(#28949),#14082,.T.); #316465=ADVANCED_FACE('',(#28950),#14083,.T.); #316466=ADVANCED_FACE('',(#28951),#14084,.T.); #316467=ADVANCED_FACE('',(#28952),#14085,.T.); #316468=ADVANCED_FACE('',(#28953),#14086,.T.); #316469=ADVANCED_FACE('',(#28954),#14087,.F.); #316470=ADVANCED_FACE('',(#28955),#14088,.T.); #316471=ADVANCED_FACE('',(#28956),#14089,.T.); #316472=ADVANCED_FACE('',(#28957),#14090,.T.); #316473=ADVANCED_FACE('',(#28958),#14091,.T.); #316474=ADVANCED_FACE('',(#28959),#14092,.T.); #316475=ADVANCED_FACE('',(#28960),#14093,.F.); #316476=ADVANCED_FACE('',(#28961),#14094,.T.); #316477=ADVANCED_FACE('',(#28962),#14095,.T.); #316478=ADVANCED_FACE('',(#28963),#14096,.T.); #316479=ADVANCED_FACE('',(#28964),#14097,.T.); #316480=ADVANCED_FACE('',(#28965),#14098,.T.); #316481=ADVANCED_FACE('',(#28966),#14099,.F.); #316482=ADVANCED_FACE('',(#28967),#14100,.T.); #316483=ADVANCED_FACE('',(#28968),#14101,.T.); #316484=ADVANCED_FACE('',(#28969),#14102,.T.); #316485=ADVANCED_FACE('',(#28970),#14103,.T.); #316486=ADVANCED_FACE('',(#28971),#14104,.T.); #316487=ADVANCED_FACE('',(#28972),#14105,.F.); #316488=ADVANCED_FACE('',(#28973),#14106,.T.); #316489=ADVANCED_FACE('',(#28974),#14107,.T.); #316490=ADVANCED_FACE('',(#28975),#14108,.T.); #316491=ADVANCED_FACE('',(#28976),#14109,.T.); #316492=ADVANCED_FACE('',(#28977),#14110,.T.); #316493=ADVANCED_FACE('',(#28978),#14111,.F.); #316494=ADVANCED_FACE('',(#28979),#14112,.T.); #316495=ADVANCED_FACE('',(#28980),#14113,.T.); #316496=ADVANCED_FACE('',(#28981),#14114,.T.); #316497=ADVANCED_FACE('',(#28982),#14115,.T.); #316498=ADVANCED_FACE('',(#28983),#14116,.T.); #316499=ADVANCED_FACE('',(#28984),#14117,.F.); #316500=ADVANCED_FACE('',(#28985),#14118,.T.); #316501=ADVANCED_FACE('',(#28986),#14119,.T.); #316502=ADVANCED_FACE('',(#28987),#14120,.T.); #316503=ADVANCED_FACE('',(#28988),#14121,.T.); #316504=ADVANCED_FACE('',(#28989),#14122,.T.); #316505=ADVANCED_FACE('',(#28990),#14123,.F.); #316506=ADVANCED_FACE('',(#28991),#306108,.F.); #316507=ADVANCED_FACE('',(#28992),#14124,.T.); #316508=ADVANCED_FACE('',(#28993),#306109,.T.); #316509=ADVANCED_FACE('',(#28994),#306110,.T.); #316510=ADVANCED_FACE('',(#28995,#5291),#14125,.T.); #316511=ADVANCED_FACE('',(#28996,#5292),#14126,.F.); #316512=ADVANCED_FACE('',(#28997),#14127,.T.); #316513=ADVANCED_FACE('',(#28998),#14128,.T.); #316514=ADVANCED_FACE('',(#28999),#14129,.T.); #316515=ADVANCED_FACE('',(#29000),#14130,.T.); #316516=ADVANCED_FACE('',(#29001),#14131,.T.); #316517=ADVANCED_FACE('',(#29002),#14132,.F.); #316518=ADVANCED_FACE('',(#29003),#14133,.T.); #316519=ADVANCED_FACE('',(#29004),#14134,.T.); #316520=ADVANCED_FACE('',(#29005),#14135,.T.); #316521=ADVANCED_FACE('',(#29006),#14136,.T.); #316522=ADVANCED_FACE('',(#29007),#14137,.T.); #316523=ADVANCED_FACE('',(#29008),#14138,.F.); #316524=ADVANCED_FACE('',(#29009),#306111,.F.); #316525=ADVANCED_FACE('',(#29010),#306112,.F.); #316526=ADVANCED_FACE('',(#29011),#14139,.T.); #316527=ADVANCED_FACE('',(#29012),#14140,.T.); #316528=ADVANCED_FACE('',(#29013),#14141,.T.); #316529=ADVANCED_FACE('',(#29014),#14142,.T.); #316530=ADVANCED_FACE('',(#29015,#5293,#5294),#14143,.T.); #316531=ADVANCED_FACE('',(#29016,#5295,#5296),#14144,.F.); #316532=ADVANCED_FACE('',(#29017),#14145,.T.); #316533=ADVANCED_FACE('',(#29018),#14146,.T.); #316534=ADVANCED_FACE('',(#29019),#14147,.T.); #316535=ADVANCED_FACE('',(#29020),#14148,.T.); #316536=ADVANCED_FACE('',(#29021),#14149,.T.); #316537=ADVANCED_FACE('',(#29022),#14150,.F.); #316538=ADVANCED_FACE('',(#29023),#306113,.F.); #316539=ADVANCED_FACE('',(#29024),#306114,.F.); #316540=ADVANCED_FACE('',(#29025),#14151,.T.); #316541=ADVANCED_FACE('',(#29026),#14152,.T.); #316542=ADVANCED_FACE('',(#29027),#14153,.T.); #316543=ADVANCED_FACE('',(#29028),#14154,.T.); #316544=ADVANCED_FACE('',(#29029,#5297,#5298),#14155,.T.); #316545=ADVANCED_FACE('',(#29030,#5299,#5300),#14156,.F.); #316546=ADVANCED_FACE('',(#29031),#14157,.T.); #316547=ADVANCED_FACE('',(#29032),#14158,.T.); #316548=ADVANCED_FACE('',(#29033),#14159,.T.); #316549=ADVANCED_FACE('',(#29034),#14160,.T.); #316550=ADVANCED_FACE('',(#29035),#14161,.T.); #316551=ADVANCED_FACE('',(#29036),#14162,.F.); #316552=ADVANCED_FACE('',(#29037),#306115,.F.); #316553=ADVANCED_FACE('',(#29038),#306116,.F.); #316554=ADVANCED_FACE('',(#29039),#14163,.T.); #316555=ADVANCED_FACE('',(#29040),#14164,.T.); #316556=ADVANCED_FACE('',(#29041),#14165,.T.); #316557=ADVANCED_FACE('',(#29042),#14166,.T.); #316558=ADVANCED_FACE('',(#29043,#5301,#5302),#14167,.T.); #316559=ADVANCED_FACE('',(#29044,#5303,#5304),#14168,.F.); #316560=ADVANCED_FACE('',(#29045),#14169,.T.); #316561=ADVANCED_FACE('',(#29046),#14170,.T.); #316562=ADVANCED_FACE('',(#29047),#14171,.T.); #316563=ADVANCED_FACE('',(#29048),#14172,.T.); #316564=ADVANCED_FACE('',(#29049),#14173,.T.); #316565=ADVANCED_FACE('',(#29050),#14174,.F.); #316566=ADVANCED_FACE('',(#29051),#306117,.F.); #316567=ADVANCED_FACE('',(#29052),#306118,.F.); #316568=ADVANCED_FACE('',(#29053),#14175,.T.); #316569=ADVANCED_FACE('',(#29054),#14176,.T.); #316570=ADVANCED_FACE('',(#29055),#14177,.T.); #316571=ADVANCED_FACE('',(#29056),#14178,.T.); #316572=ADVANCED_FACE('',(#29057,#5305,#5306),#14179,.T.); #316573=ADVANCED_FACE('',(#29058,#5307,#5308),#14180,.F.); #316574=ADVANCED_FACE('',(#29059),#14181,.T.); #316575=ADVANCED_FACE('',(#29060),#14182,.T.); #316576=ADVANCED_FACE('',(#29061),#14183,.T.); #316577=ADVANCED_FACE('',(#29062),#14184,.T.); #316578=ADVANCED_FACE('',(#29063),#14185,.T.); #316579=ADVANCED_FACE('',(#29064),#14186,.F.); #316580=ADVANCED_FACE('',(#29065),#14187,.T.); #316581=ADVANCED_FACE('',(#29066),#14188,.T.); #316582=ADVANCED_FACE('',(#29067),#14189,.T.); #316583=ADVANCED_FACE('',(#29068),#14190,.T.); #316584=ADVANCED_FACE('',(#29069),#14191,.T.); #316585=ADVANCED_FACE('',(#29070),#14192,.T.); #316586=ADVANCED_FACE('',(#29071),#306119,.T.); #316587=ADVANCED_FACE('',(#29072),#14193,.T.); #316588=ADVANCED_FACE('',(#29073),#14194,.T.); #316589=ADVANCED_FACE('',(#29074),#14195,.T.); #316590=ADVANCED_FACE('',(#29075),#14196,.T.); #316591=ADVANCED_FACE('',(#29076),#14197,.T.); #316592=ADVANCED_FACE('',(#29077),#306120,.T.); #316593=ADVANCED_FACE('',(#29078),#14198,.T.); #316594=ADVANCED_FACE('',(#29079),#14199,.T.); #316595=ADVANCED_FACE('',(#29080),#306121,.T.); #316596=ADVANCED_FACE('',(#29081),#14200,.T.); #316597=ADVANCED_FACE('',(#29082),#14201,.T.); #316598=ADVANCED_FACE('',(#29083),#14202,.T.); #316599=ADVANCED_FACE('',(#29084),#14203,.T.); #316600=ADVANCED_FACE('',(#29085),#14204,.T.); #316601=ADVANCED_FACE('',(#29086),#14205,.T.); #316602=ADVANCED_FACE('',(#29087),#14206,.T.); #316603=ADVANCED_FACE('',(#29088),#306122,.T.); #316604=ADVANCED_FACE('',(#29089),#14207,.T.); #316605=ADVANCED_FACE('',(#29090),#306123,.T.); #316606=ADVANCED_FACE('',(#29091),#14208,.T.); #316607=ADVANCED_FACE('',(#29092),#14209,.T.); #316608=ADVANCED_FACE('',(#29093),#14210,.T.); #316609=ADVANCED_FACE('',(#29094),#14211,.T.); #316610=ADVANCED_FACE('',(#29095),#14212,.T.); #316611=ADVANCED_FACE('',(#29096),#14213,.T.); #316612=ADVANCED_FACE('',(#29097),#14214,.T.); #316613=ADVANCED_FACE('',(#29098),#14215,.T.); #316614=ADVANCED_FACE('',(#29099),#306124,.T.); #316615=ADVANCED_FACE('',(#29100),#14216,.T.); #316616=ADVANCED_FACE('',(#29101),#306125,.T.); #316617=ADVANCED_FACE('',(#29102),#14217,.T.); #316618=ADVANCED_FACE('',(#29103),#14218,.T.); #316619=ADVANCED_FACE('',(#29104),#14219,.T.); #316620=ADVANCED_FACE('',(#29105),#306126,.T.); #316621=ADVANCED_FACE('',(#29106),#14220,.T.); #316622=ADVANCED_FACE('',(#29107),#306127,.T.); #316623=ADVANCED_FACE('',(#29108),#14221,.T.); #316624=ADVANCED_FACE('',(#29109),#14222,.T.); #316625=ADVANCED_FACE('',(#29110),#14223,.T.); #316626=ADVANCED_FACE('',(#29111),#14224,.T.); #316627=ADVANCED_FACE('',(#29112),#14225,.T.); #316628=ADVANCED_FACE('',(#29113),#306128,.T.); #316629=ADVANCED_FACE('',(#29114),#14226,.T.); #316630=ADVANCED_FACE('',(#29115),#14227,.T.); #316631=ADVANCED_FACE('',(#29116),#14228,.T.); #316632=ADVANCED_FACE('',(#29117),#14229,.T.); #316633=ADVANCED_FACE('',(#29118),#14230,.T.); #316634=ADVANCED_FACE('',(#29119),#14231,.T.); #316635=ADVANCED_FACE('',(#29120),#306129,.T.); #316636=ADVANCED_FACE('',(#29121),#14232,.T.); #316637=ADVANCED_FACE('',(#29122),#14233,.T.); #316638=ADVANCED_FACE('',(#29123),#14234,.T.); #316639=ADVANCED_FACE('',(#29124),#14235,.F.); #316640=ADVANCED_FACE('',(#29125),#306130,.F.); #316641=ADVANCED_FACE('',(#29126),#306131,.F.); #316642=ADVANCED_FACE('',(#29127),#14236,.T.); #316643=ADVANCED_FACE('',(#29128),#306132,.T.); #316644=ADVANCED_FACE('',(#29129),#306133,.T.); #316645=ADVANCED_FACE('',(#29130),#14237,.T.); #316646=ADVANCED_FACE('',(#29131),#306134,.T.); #316647=ADVANCED_FACE('',(#29132),#306135,.T.); #316648=ADVANCED_FACE('',(#29133),#14238,.T.); #316649=ADVANCED_FACE('',(#29134),#306136,.T.); #316650=ADVANCED_FACE('',(#29135,#5309,#5310),#14239,.T.); #316651=ADVANCED_FACE('',(#29136,#5311,#5312),#14240,.F.); #316652=ADVANCED_FACE('',(#29137),#306137,.F.); #316653=ADVANCED_FACE('',(#29138),#14241,.T.); #316654=ADVANCED_FACE('',(#29139),#306138,.T.); #316655=ADVANCED_FACE('',(#29140),#14242,.T.); #316656=ADVANCED_FACE('',(#29141),#14243,.T.); #316657=ADVANCED_FACE('',(#29142),#14244,.T.); #316658=ADVANCED_FACE('',(#29143),#14245,.T.); #316659=ADVANCED_FACE('',(#29144),#14246,.T.); #316660=ADVANCED_FACE('',(#29145),#14247,.T.); #316661=ADVANCED_FACE('',(#29146),#14248,.T.); #316662=ADVANCED_FACE('',(#29147),#306139,.T.); #316663=ADVANCED_FACE('',(#29148,#5313),#14249,.T.); #316664=ADVANCED_FACE('',(#29149,#5314),#14250,.F.); #316665=ADVANCED_FACE('',(#29150),#306140,.F.); #316666=ADVANCED_FACE('',(#29151),#14251,.T.); #316667=ADVANCED_FACE('',(#29152),#14252,.T.); #316668=ADVANCED_FACE('',(#29153),#14253,.T.); #316669=ADVANCED_FACE('',(#29154),#14254,.T.); #316670=ADVANCED_FACE('',(#29155),#14255,.T.); #316671=ADVANCED_FACE('',(#29156),#306141,.T.); #316672=ADVANCED_FACE('',(#29157),#14256,.T.); #316673=ADVANCED_FACE('',(#29158),#306142,.T.); #316674=ADVANCED_FACE('',(#29159),#306143,.T.); #316675=ADVANCED_FACE('',(#29160),#306144,.T.); #316676=ADVANCED_FACE('',(#29161),#14257,.T.); #316677=ADVANCED_FACE('',(#29162),#14258,.T.); #316678=ADVANCED_FACE('',(#29163),#14259,.T.); #316679=ADVANCED_FACE('',(#29164,#5315),#14260,.T.); #316680=ADVANCED_FACE('',(#29165,#5316),#14261,.F.); #316681=ADVANCED_FACE('',(#29166),#306145,.F.); #316682=ADVANCED_FACE('',(#29167),#306146,.F.); #316683=ADVANCED_FACE('',(#29168),#14262,.T.); #316684=ADVANCED_FACE('',(#29169),#306147,.T.); #316685=ADVANCED_FACE('',(#29170),#306148,.T.); #316686=ADVANCED_FACE('',(#29171),#14263,.T.); #316687=ADVANCED_FACE('',(#29172),#14264,.T.); #316688=ADVANCED_FACE('',(#29173),#14265,.T.); #316689=ADVANCED_FACE('',(#29174),#14266,.T.); #316690=ADVANCED_FACE('',(#29175),#14267,.T.); #316691=ADVANCED_FACE('',(#29176),#306149,.T.); #316692=ADVANCED_FACE('',(#29177),#14268,.T.); #316693=ADVANCED_FACE('',(#29178),#14269,.T.); #316694=ADVANCED_FACE('',(#29179),#306150,.T.); #316695=ADVANCED_FACE('',(#29180),#14270,.T.); #316696=ADVANCED_FACE('',(#29181),#306151,.T.); #316697=ADVANCED_FACE('',(#29182),#14271,.T.); #316698=ADVANCED_FACE('',(#29183),#14272,.T.); #316699=ADVANCED_FACE('',(#29184),#14273,.T.); #316700=ADVANCED_FACE('',(#29185),#306152,.T.); #316701=ADVANCED_FACE('',(#29186),#14274,.T.); #316702=ADVANCED_FACE('',(#29187),#306153,.T.); #316703=ADVANCED_FACE('',(#29188),#14275,.T.); #316704=ADVANCED_FACE('',(#29189),#306154,.T.); #316705=ADVANCED_FACE('',(#29190),#306155,.T.); #316706=ADVANCED_FACE('',(#29191),#14276,.T.); #316707=ADVANCED_FACE('',(#29192),#14277,.T.); #316708=ADVANCED_FACE('',(#29193),#14278,.T.); #316709=ADVANCED_FACE('',(#29194),#306156,.T.); #316710=ADVANCED_FACE('',(#29195),#14279,.T.); #316711=ADVANCED_FACE('',(#29196),#306157,.T.); #316712=ADVANCED_FACE('',(#29197),#14280,.T.); #316713=ADVANCED_FACE('',(#29198),#14281,.T.); #316714=ADVANCED_FACE('',(#29199),#14282,.T.); #316715=ADVANCED_FACE('',(#29200),#306158,.T.); #316716=ADVANCED_FACE('',(#29201),#14283,.T.); #316717=ADVANCED_FACE('',(#29202),#14284,.T.); #316718=ADVANCED_FACE('',(#29203),#306159,.T.); #316719=ADVANCED_FACE('',(#29204),#14285,.T.); #316720=ADVANCED_FACE('',(#29205),#306160,.T.); #316721=ADVANCED_FACE('',(#29206),#14286,.T.); #316722=ADVANCED_FACE('',(#29207),#306161,.T.); #316723=ADVANCED_FACE('',(#29208),#14287,.T.); #316724=ADVANCED_FACE('',(#29209),#306162,.T.); #316725=ADVANCED_FACE('',(#29210),#14288,.T.); #316726=ADVANCED_FACE('',(#29211),#306163,.T.); #316727=ADVANCED_FACE('',(#29212,#5317,#5318),#14289,.T.); #316728=ADVANCED_FACE('',(#29213,#5319,#5320),#14290,.F.); #316729=ADVANCED_FACE('',(#29214),#306164,.F.); #316730=ADVANCED_FACE('',(#29215),#306165,.F.); #316731=ADVANCED_FACE('',(#29216),#14291,.T.); #316732=ADVANCED_FACE('',(#29217),#306166,.T.); #316733=ADVANCED_FACE('',(#29218),#306167,.T.); #316734=ADVANCED_FACE('',(#29219),#14292,.T.); #316735=ADVANCED_FACE('',(#29220),#14293,.T.); #316736=ADVANCED_FACE('',(#29221),#306168,.T.); #316737=ADVANCED_FACE('',(#29222),#306169,.T.); #316738=ADVANCED_FACE('',(#29223),#14294,.T.); #316739=ADVANCED_FACE('',(#29224),#306170,.T.); #316740=ADVANCED_FACE('',(#29225),#14295,.T.); #316741=ADVANCED_FACE('',(#29226),#306171,.T.); #316742=ADVANCED_FACE('',(#29227,#5321,#5322),#14296,.T.); #316743=ADVANCED_FACE('',(#29228,#5323,#5324),#14297,.F.); #316744=ADVANCED_FACE('',(#29229),#306172,.F.); #316745=ADVANCED_FACE('',(#29230),#14298,.T.); #316746=ADVANCED_FACE('',(#29231),#14299,.T.); #316747=ADVANCED_FACE('',(#29232),#14300,.T.); #316748=ADVANCED_FACE('',(#29233),#14301,.T.); #316749=ADVANCED_FACE('',(#29234),#14302,.T.); #316750=ADVANCED_FACE('',(#29235),#306173,.T.); #316751=ADVANCED_FACE('',(#29236),#14303,.T.); #316752=ADVANCED_FACE('',(#29237),#306174,.T.); #316753=ADVANCED_FACE('',(#29238),#306175,.T.); #316754=ADVANCED_FACE('',(#29239),#306176,.T.); #316755=ADVANCED_FACE('',(#29240),#14304,.T.); #316756=ADVANCED_FACE('',(#29241),#306177,.T.); #316757=ADVANCED_FACE('',(#29242),#14305,.T.); #316758=ADVANCED_FACE('',(#29243),#14306,.T.); #316759=ADVANCED_FACE('',(#29244),#14307,.T.); #316760=ADVANCED_FACE('',(#29245),#14308,.T.); #316761=ADVANCED_FACE('',(#29246),#14309,.T.); #316762=ADVANCED_FACE('',(#29247),#14310,.T.); #316763=ADVANCED_FACE('',(#29248),#14311,.T.); #316764=ADVANCED_FACE('',(#29249),#14312,.T.); #316765=ADVANCED_FACE('',(#29250),#306178,.T.); #316766=ADVANCED_FACE('',(#29251),#14313,.T.); #316767=ADVANCED_FACE('',(#29252),#14314,.T.); #316768=ADVANCED_FACE('',(#29253),#14315,.T.); #316769=ADVANCED_FACE('',(#29254,#5325),#14316,.T.); #316770=ADVANCED_FACE('',(#29255,#5326),#14317,.F.); #316771=ADVANCED_FACE('',(#29256),#306179,.F.); #316772=ADVANCED_FACE('',(#29257),#14318,.T.); #316773=ADVANCED_FACE('',(#29258),#306180,.T.); #316774=ADVANCED_FACE('',(#29259),#306181,.T.); #316775=ADVANCED_FACE('',(#29260),#14319,.T.); #316776=ADVANCED_FACE('',(#29261),#306182,.T.); #316777=ADVANCED_FACE('',(#29262),#14320,.T.); #316778=ADVANCED_FACE('',(#29263),#14321,.T.); #316779=ADVANCED_FACE('',(#29264),#14322,.T.); #316780=ADVANCED_FACE('',(#29265),#14323,.T.); #316781=ADVANCED_FACE('',(#29266),#14324,.T.); #316782=ADVANCED_FACE('',(#29267),#14325,.T.); #316783=ADVANCED_FACE('',(#29268),#14326,.T.); #316784=ADVANCED_FACE('',(#29269),#14327,.T.); #316785=ADVANCED_FACE('',(#29270),#306183,.T.); #316786=ADVANCED_FACE('',(#29271),#14328,.T.); #316787=ADVANCED_FACE('',(#29272),#14329,.T.); #316788=ADVANCED_FACE('',(#29273),#14330,.T.); #316789=ADVANCED_FACE('',(#29274),#14331,.T.); #316790=ADVANCED_FACE('',(#29275),#14332,.T.); #316791=ADVANCED_FACE('',(#29276),#14333,.T.); #316792=ADVANCED_FACE('',(#29277),#14334,.T.); #316793=ADVANCED_FACE('',(#29278),#14335,.T.); #316794=ADVANCED_FACE('',(#29279),#306184,.T.); #316795=ADVANCED_FACE('',(#29280),#14336,.T.); #316796=ADVANCED_FACE('',(#29281),#306185,.T.); #316797=ADVANCED_FACE('',(#29282),#306186,.T.); #316798=ADVANCED_FACE('',(#29283,#5327),#14337,.T.); #316799=ADVANCED_FACE('',(#29284,#5328),#14338,.F.); #316800=ADVANCED_FACE('',(#29285),#306187,.F.); #316801=ADVANCED_FACE('',(#29286),#306188,.F.); #316802=ADVANCED_FACE('',(#29287),#14339,.T.); #316803=ADVANCED_FACE('',(#29288),#14340,.T.); #316804=ADVANCED_FACE('',(#29289),#306189,.T.); #316805=ADVANCED_FACE('',(#29290),#14341,.T.); #316806=ADVANCED_FACE('',(#29291),#14342,.T.); #316807=ADVANCED_FACE('',(#29292),#306190,.T.); #316808=ADVANCED_FACE('',(#29293),#306191,.T.); #316809=ADVANCED_FACE('',(#29294),#14343,.T.); #316810=ADVANCED_FACE('',(#29295),#306192,.T.); #316811=ADVANCED_FACE('',(#29296),#14344,.T.); #316812=ADVANCED_FACE('',(#29297),#14345,.T.); #316813=ADVANCED_FACE('',(#29298),#14346,.T.); #316814=ADVANCED_FACE('',(#29299),#306193,.T.); #316815=ADVANCED_FACE('',(#29300),#14347,.T.); #316816=ADVANCED_FACE('',(#29301),#14348,.T.); #316817=ADVANCED_FACE('',(#29302),#14349,.T.); #316818=ADVANCED_FACE('',(#29303),#14350,.T.); #316819=ADVANCED_FACE('',(#29304),#14351,.T.); #316820=ADVANCED_FACE('',(#29305),#306194,.T.); #316821=ADVANCED_FACE('',(#29306),#14352,.T.); #316822=ADVANCED_FACE('',(#29307),#14353,.T.); #316823=ADVANCED_FACE('',(#29308),#306195,.T.); #316824=ADVANCED_FACE('',(#29309),#14354,.T.); #316825=ADVANCED_FACE('',(#29310),#306196,.T.); #316826=ADVANCED_FACE('',(#29311),#14355,.T.); #316827=ADVANCED_FACE('',(#29312),#14356,.T.); #316828=ADVANCED_FACE('',(#29313),#14357,.T.); #316829=ADVANCED_FACE('',(#29314),#306197,.T.); #316830=ADVANCED_FACE('',(#29315),#14358,.T.); #316831=ADVANCED_FACE('',(#29316),#306198,.T.); #316832=ADVANCED_FACE('',(#29317),#14359,.T.); #316833=ADVANCED_FACE('',(#29318),#306199,.T.); #316834=ADVANCED_FACE('',(#29319),#14360,.T.); #316835=ADVANCED_FACE('',(#29320),#14361,.T.); #316836=ADVANCED_FACE('',(#29321),#306200,.T.); #316837=ADVANCED_FACE('',(#29322),#306201,.T.); #316838=ADVANCED_FACE('',(#29323),#14362,.T.); #316839=ADVANCED_FACE('',(#29324),#306202,.T.); #316840=ADVANCED_FACE('',(#29325),#14363,.T.); #316841=ADVANCED_FACE('',(#29326),#14364,.T.); #316842=ADVANCED_FACE('',(#29327),#14365,.T.); #316843=ADVANCED_FACE('',(#29328),#14366,.T.); #316844=ADVANCED_FACE('',(#29329),#306203,.T.); #316845=ADVANCED_FACE('',(#29330),#14367,.T.); #316846=ADVANCED_FACE('',(#29331),#306204,.T.); #316847=ADVANCED_FACE('',(#29332),#14368,.T.); #316848=ADVANCED_FACE('',(#29333),#14369,.T.); #316849=ADVANCED_FACE('',(#29334),#14370,.T.); #316850=ADVANCED_FACE('',(#29335),#306205,.T.); #316851=ADVANCED_FACE('',(#29336),#14371,.T.); #316852=ADVANCED_FACE('',(#29337),#14372,.T.); #316853=ADVANCED_FACE('',(#29338),#306206,.T.); #316854=ADVANCED_FACE('',(#29339),#14373,.T.); #316855=ADVANCED_FACE('',(#29340),#306207,.T.); #316856=ADVANCED_FACE('',(#29341),#14374,.T.); #316857=ADVANCED_FACE('',(#29342),#306208,.T.); #316858=ADVANCED_FACE('',(#29343),#14375,.T.); #316859=ADVANCED_FACE('',(#29344),#306209,.T.); #316860=ADVANCED_FACE('',(#29345),#14376,.T.); #316861=ADVANCED_FACE('',(#29346),#14377,.T.); #316862=ADVANCED_FACE('',(#29347),#306210,.T.); #316863=ADVANCED_FACE('',(#29348,#5329,#5330),#14378,.T.); #316864=ADVANCED_FACE('',(#29349,#5331,#5332),#14379,.F.); #316865=ADVANCED_FACE('',(#29350),#306211,.F.); #316866=ADVANCED_FACE('',(#29351),#306212,.F.); #316867=ADVANCED_FACE('',(#29352),#14380,.T.); #316868=ADVANCED_FACE('',(#29353),#306213,.T.); #316869=ADVANCED_FACE('',(#29354),#306214,.T.); #316870=ADVANCED_FACE('',(#29355),#14381,.T.); #316871=ADVANCED_FACE('',(#29356),#306215,.T.); #316872=ADVANCED_FACE('',(#29357),#306216,.T.); #316873=ADVANCED_FACE('',(#29358),#14382,.T.); #316874=ADVANCED_FACE('',(#29359),#306217,.T.); #316875=ADVANCED_FACE('',(#29360,#5333,#5334),#14383,.T.); #316876=ADVANCED_FACE('',(#29361,#5335,#5336),#14384,.F.); #316877=ADVANCED_FACE('',(#29362),#306218,.F.); #316878=ADVANCED_FACE('',(#29363),#306219,.F.); #316879=ADVANCED_FACE('',(#29364),#14385,.T.); #316880=ADVANCED_FACE('',(#29365),#306220,.T.); #316881=ADVANCED_FACE('',(#29366),#306221,.T.); #316882=ADVANCED_FACE('',(#29367),#14386,.T.); #316883=ADVANCED_FACE('',(#29368),#306222,.T.); #316884=ADVANCED_FACE('',(#29369),#306223,.T.); #316885=ADVANCED_FACE('',(#29370),#14387,.T.); #316886=ADVANCED_FACE('',(#29371),#306224,.T.); #316887=ADVANCED_FACE('',(#29372,#5337,#5338),#14388,.T.); #316888=ADVANCED_FACE('',(#29373,#5339,#5340),#14389,.F.); #316889=ADVANCED_FACE('',(#29374),#306225,.F.); #316890=ADVANCED_FACE('',(#29375),#306226,.F.); #316891=ADVANCED_FACE('',(#29376),#14390,.T.); #316892=ADVANCED_FACE('',(#29377),#306227,.T.); #316893=ADVANCED_FACE('',(#29378),#306228,.T.); #316894=ADVANCED_FACE('',(#29379),#14391,.T.); #316895=ADVANCED_FACE('',(#29380),#306229,.T.); #316896=ADVANCED_FACE('',(#29381),#306230,.T.); #316897=ADVANCED_FACE('',(#29382),#14392,.T.); #316898=ADVANCED_FACE('',(#29383),#306231,.T.); #316899=ADVANCED_FACE('',(#29384,#5341,#5342),#14393,.T.); #316900=ADVANCED_FACE('',(#29385,#5343,#5344),#14394,.F.); #316901=ADVANCED_FACE('',(#29386),#306232,.F.); #316902=ADVANCED_FACE('',(#29387),#306233,.F.); #316903=ADVANCED_FACE('',(#29388),#14395,.T.); #316904=ADVANCED_FACE('',(#29389),#306234,.T.); #316905=ADVANCED_FACE('',(#29390),#14396,.T.); #316906=ADVANCED_FACE('',(#29391),#14397,.T.); #316907=ADVANCED_FACE('',(#29392),#14398,.T.); #316908=ADVANCED_FACE('',(#29393),#306235,.T.); #316909=ADVANCED_FACE('',(#29394),#306236,.T.); #316910=ADVANCED_FACE('',(#29395),#14399,.T.); #316911=ADVANCED_FACE('',(#29396),#306237,.T.); #316912=ADVANCED_FACE('',(#29397),#14400,.T.); #316913=ADVANCED_FACE('',(#29398),#306238,.T.); #316914=ADVANCED_FACE('',(#29399),#14401,.T.); #316915=ADVANCED_FACE('',(#29400),#306239,.T.); #316916=ADVANCED_FACE('',(#29401,#5345,#5346),#14402,.T.); #316917=ADVANCED_FACE('',(#29402,#5347,#5348),#14403,.F.); #316918=ADVANCED_FACE('',(#29403),#306240,.F.); #316919=ADVANCED_FACE('',(#29404),#14404,.T.); #316920=ADVANCED_FACE('',(#29405),#14405,.T.); #316921=ADVANCED_FACE('',(#29406),#14406,.T.); #316922=ADVANCED_FACE('',(#29407),#14407,.T.); #316923=ADVANCED_FACE('',(#29408,#5349),#14408,.T.); #316924=ADVANCED_FACE('',(#29409,#5350),#14409,.F.); #316925=ADVANCED_FACE('',(#29410),#306241,.F.); #316926=ADVANCED_FACE('',(#29411),#14410,.T.); #316927=ADVANCED_FACE('',(#29412),#14411,.T.); #316928=ADVANCED_FACE('',(#29413),#14412,.T.); #316929=ADVANCED_FACE('',(#29414),#14413,.T.); #316930=ADVANCED_FACE('',(#29415,#5351),#14414,.T.); #316931=ADVANCED_FACE('',(#29416,#5352),#14415,.F.); #316932=ADVANCED_FACE('',(#29417),#306242,.F.); #316933=ADVANCED_FACE('',(#29418),#14416,.T.); #316934=ADVANCED_FACE('',(#29419),#14417,.T.); #316935=ADVANCED_FACE('',(#29420),#14418,.T.); #316936=ADVANCED_FACE('',(#29421),#14419,.T.); #316937=ADVANCED_FACE('',(#29422,#5353),#14420,.T.); #316938=ADVANCED_FACE('',(#29423,#5354),#14421,.F.); #316939=ADVANCED_FACE('',(#29424),#306243,.F.); #316940=ADVANCED_FACE('',(#29425),#14422,.T.); #316941=ADVANCED_FACE('',(#29426),#306244,.T.); #316942=ADVANCED_FACE('',(#29427),#14423,.T.); #316943=ADVANCED_FACE('',(#29428),#14424,.T.); #316944=ADVANCED_FACE('',(#29429),#14425,.T.); #316945=ADVANCED_FACE('',(#29430),#14426,.T.); #316946=ADVANCED_FACE('',(#29431),#14427,.T.); #316947=ADVANCED_FACE('',(#29432),#14428,.T.); #316948=ADVANCED_FACE('',(#29433),#14429,.T.); #316949=ADVANCED_FACE('',(#29434),#306245,.T.); #316950=ADVANCED_FACE('',(#29435,#5355),#14430,.T.); #316951=ADVANCED_FACE('',(#29436,#5356),#14431,.F.); #316952=ADVANCED_FACE('',(#29437),#306246,.F.); #316953=ADVANCED_FACE('',(#29438),#306247,.F.); #316954=ADVANCED_FACE('',(#29439),#14432,.T.); #316955=ADVANCED_FACE('',(#29440),#14433,.T.); #316956=ADVANCED_FACE('',(#29441),#306248,.T.); #316957=ADVANCED_FACE('',(#29442),#14434,.T.); #316958=ADVANCED_FACE('',(#29443),#14435,.T.); #316959=ADVANCED_FACE('',(#29444),#306249,.T.); #316960=ADVANCED_FACE('',(#29445),#14436,.T.); #316961=ADVANCED_FACE('',(#29446),#14437,.T.); #316962=ADVANCED_FACE('',(#29447),#306250,.T.); #316963=ADVANCED_FACE('',(#29448),#306251,.T.); #316964=ADVANCED_FACE('',(#29449),#14438,.T.); #316965=ADVANCED_FACE('',(#29450),#306252,.T.); #316966=ADVANCED_FACE('',(#29451),#14439,.T.); #316967=ADVANCED_FACE('',(#29452),#14440,.T.); #316968=ADVANCED_FACE('',(#29453),#306253,.T.); #316969=ADVANCED_FACE('',(#29454),#14441,.T.); #316970=ADVANCED_FACE('',(#29455),#14442,.T.); #316971=ADVANCED_FACE('',(#29456),#14443,.T.); #316972=ADVANCED_FACE('',(#29457),#14444,.T.); #316973=ADVANCED_FACE('',(#29458),#14445,.T.); #316974=ADVANCED_FACE('',(#29459),#14446,.T.); #316975=ADVANCED_FACE('',(#29460),#306254,.T.); #316976=ADVANCED_FACE('',(#29461),#14447,.T.); #316977=ADVANCED_FACE('',(#29462),#14448,.T.); #316978=ADVANCED_FACE('',(#29463),#306255,.T.); #316979=ADVANCED_FACE('',(#29464),#14449,.T.); #316980=ADVANCED_FACE('',(#29465),#306256,.T.); #316981=ADVANCED_FACE('',(#29466),#14450,.T.); #316982=ADVANCED_FACE('',(#29467),#14451,.T.); #316983=ADVANCED_FACE('',(#29468),#14452,.T.); #316984=ADVANCED_FACE('',(#29469),#306257,.T.); #316985=ADVANCED_FACE('',(#29470),#14453,.T.); #316986=ADVANCED_FACE('',(#29471),#306258,.T.); #316987=ADVANCED_FACE('',(#29472),#14454,.T.); #316988=ADVANCED_FACE('',(#29473),#14455,.T.); #316989=ADVANCED_FACE('',(#29474),#306259,.T.); #316990=ADVANCED_FACE('',(#29475),#14456,.T.); #316991=ADVANCED_FACE('',(#29476),#306260,.T.); #316992=ADVANCED_FACE('',(#29477),#306261,.T.); #316993=ADVANCED_FACE('',(#29478),#14457,.T.); #316994=ADVANCED_FACE('',(#29479),#14458,.T.); #316995=ADVANCED_FACE('',(#29480),#306262,.T.); #316996=ADVANCED_FACE('',(#29481),#14459,.T.); #316997=ADVANCED_FACE('',(#29482),#14460,.T.); #316998=ADVANCED_FACE('',(#29483),#14461,.T.); #316999=ADVANCED_FACE('',(#29484),#306263,.T.); #317000=ADVANCED_FACE('',(#29485),#14462,.T.); #317001=ADVANCED_FACE('',(#29486),#306264,.T.); #317002=ADVANCED_FACE('',(#29487),#14463,.T.); #317003=ADVANCED_FACE('',(#29488),#14464,.T.); #317004=ADVANCED_FACE('',(#29489),#14465,.T.); #317005=ADVANCED_FACE('',(#29490),#306265,.T.); #317006=ADVANCED_FACE('',(#29491),#14466,.T.); #317007=ADVANCED_FACE('',(#29492),#14467,.T.); #317008=ADVANCED_FACE('',(#29493),#306266,.T.); #317009=ADVANCED_FACE('',(#29494),#14468,.T.); #317010=ADVANCED_FACE('',(#29495),#306267,.T.); #317011=ADVANCED_FACE('',(#29496),#14469,.T.); #317012=ADVANCED_FACE('',(#29497),#306268,.T.); #317013=ADVANCED_FACE('',(#29498),#14470,.T.); #317014=ADVANCED_FACE('',(#29499),#306269,.T.); #317015=ADVANCED_FACE('',(#29500,#5357,#5358),#14471,.T.); #317016=ADVANCED_FACE('',(#29501,#5359,#5360),#14472,.F.); #317017=ADVANCED_FACE('',(#29502),#306270,.F.); #317018=ADVANCED_FACE('',(#29503),#306271,.F.); #317019=ADVANCED_FACE('',(#29504),#14473,.T.); #317020=ADVANCED_FACE('',(#29505),#306272,.T.); #317021=ADVANCED_FACE('',(#29506),#14474,.T.); #317022=ADVANCED_FACE('',(#29507),#306273,.T.); #317023=ADVANCED_FACE('',(#29508),#306274,.T.); #317024=ADVANCED_FACE('',(#29509),#14475,.T.); #317025=ADVANCED_FACE('',(#29510),#306275,.T.); #317026=ADVANCED_FACE('',(#29511,#5361,#5362),#14476,.T.); #317027=ADVANCED_FACE('',(#29512,#5363,#5364),#14477,.F.); #317028=ADVANCED_FACE('',(#29513),#306276,.F.); #317029=ADVANCED_FACE('',(#29514),#14478,.T.); #317030=ADVANCED_FACE('',(#29515),#306277,.T.); #317031=ADVANCED_FACE('',(#29516),#14479,.T.); #317032=ADVANCED_FACE('',(#29517),#14480,.T.); #317033=ADVANCED_FACE('',(#29518),#14481,.T.); #317034=ADVANCED_FACE('',(#29519),#14482,.T.); #317035=ADVANCED_FACE('',(#29520),#14483,.T.); #317036=ADVANCED_FACE('',(#29521),#14484,.T.); #317037=ADVANCED_FACE('',(#29522),#14485,.T.); #317038=ADVANCED_FACE('',(#29523),#306278,.T.); #317039=ADVANCED_FACE('',(#29524,#5365),#14486,.T.); #317040=ADVANCED_FACE('',(#29525,#5366),#14487,.F.); #317041=ADVANCED_FACE('',(#29526),#306279,.F.); #317042=ADVANCED_FACE('',(#29527),#14488,.T.); #317043=ADVANCED_FACE('',(#29528),#306280,.T.); #317044=ADVANCED_FACE('',(#29529),#14489,.T.); #317045=ADVANCED_FACE('',(#29530),#14490,.T.); #317046=ADVANCED_FACE('',(#29531),#14491,.T.); #317047=ADVANCED_FACE('',(#29532),#14492,.T.); #317048=ADVANCED_FACE('',(#29533),#14493,.T.); #317049=ADVANCED_FACE('',(#29534),#14494,.T.); #317050=ADVANCED_FACE('',(#29535),#14495,.T.); #317051=ADVANCED_FACE('',(#29536),#306281,.T.); #317052=ADVANCED_FACE('',(#29537,#5367),#14496,.T.); #317053=ADVANCED_FACE('',(#29538,#5368),#14497,.F.); #317054=ADVANCED_FACE('',(#29539),#306282,.F.); #317055=ADVANCED_FACE('',(#29540),#14498,.T.); #317056=ADVANCED_FACE('',(#29541),#306283,.T.); #317057=ADVANCED_FACE('',(#29542),#14499,.T.); #317058=ADVANCED_FACE('',(#29543),#306284,.T.); #317059=ADVANCED_FACE('',(#29544),#14500,.T.); #317060=ADVANCED_FACE('',(#29545),#306285,.T.); #317061=ADVANCED_FACE('',(#29546),#14501,.T.); #317062=ADVANCED_FACE('',(#29547),#14502,.T.); #317063=ADVANCED_FACE('',(#29548),#14503,.T.); #317064=ADVANCED_FACE('',(#29549),#14504,.T.); #317065=ADVANCED_FACE('',(#29550),#14505,.T.); #317066=ADVANCED_FACE('',(#29551),#14506,.T.); #317067=ADVANCED_FACE('',(#29552),#14507,.T.); #317068=ADVANCED_FACE('',(#29553),#14508,.T.); #317069=ADVANCED_FACE('',(#29554),#14509,.T.); #317070=ADVANCED_FACE('',(#29555),#306286,.T.); #317071=ADVANCED_FACE('',(#29556),#306287,.T.); #317072=ADVANCED_FACE('',(#29557,#5369),#14510,.T.); #317073=ADVANCED_FACE('',(#29558,#5370),#14511,.F.); #317074=ADVANCED_FACE('',(#29559),#306288,.F.); #317075=ADVANCED_FACE('',(#29560),#14512,.T.); #317076=ADVANCED_FACE('',(#29561),#14513,.T.); #317077=ADVANCED_FACE('',(#29562),#14514,.T.); #317078=ADVANCED_FACE('',(#29563),#14515,.T.); #317079=ADVANCED_FACE('',(#29564),#14516,.T.); #317080=ADVANCED_FACE('',(#29565),#14517,.T.); #317081=ADVANCED_FACE('',(#29566),#14518,.T.); #317082=ADVANCED_FACE('',(#29567),#14519,.T.); #317083=ADVANCED_FACE('',(#29568),#306289,.T.); #317084=ADVANCED_FACE('',(#29569),#306290,.T.); #317085=ADVANCED_FACE('',(#29570),#14520,.T.); #317086=ADVANCED_FACE('',(#29571),#306291,.T.); #317087=ADVANCED_FACE('',(#29572),#14521,.T.); #317088=ADVANCED_FACE('',(#29573),#306292,.T.); #317089=ADVANCED_FACE('',(#29574),#14522,.T.); #317090=ADVANCED_FACE('',(#29575,#5371),#14523,.T.); #317091=ADVANCED_FACE('',(#29576,#5372),#14524,.F.); #317092=ADVANCED_FACE('',(#29577),#306293,.F.); #317093=ADVANCED_FACE('',(#29578),#14525,.T.); #317094=ADVANCED_FACE('',(#29579),#306294,.T.); #317095=ADVANCED_FACE('',(#29580),#306295,.T.); #317096=ADVANCED_FACE('',(#29581,#5373),#14526,.T.); #317097=ADVANCED_FACE('',(#29582,#5374),#14527,.F.); #317098=ADVANCED_FACE('',(#29583),#306296,.F.); #317099=ADVANCED_FACE('',(#29584),#14528,.T.); #317100=ADVANCED_FACE('',(#29585),#14529,.T.); #317101=ADVANCED_FACE('',(#29586),#14530,.T.); #317102=ADVANCED_FACE('',(#29587),#14531,.T.); #317103=ADVANCED_FACE('',(#29588),#14532,.T.); #317104=ADVANCED_FACE('',(#29589),#14533,.T.); #317105=ADVANCED_FACE('',(#29590),#14534,.T.); #317106=ADVANCED_FACE('',(#29591),#306297,.T.); #317107=ADVANCED_FACE('',(#29592),#14535,.T.); #317108=ADVANCED_FACE('',(#29593),#14536,.T.); #317109=ADVANCED_FACE('',(#29594),#306298,.T.); #317110=ADVANCED_FACE('',(#29595),#306299,.T.); #317111=ADVANCED_FACE('',(#29596),#14537,.T.); #317112=ADVANCED_FACE('',(#29597),#306300,.T.); #317113=ADVANCED_FACE('',(#29598),#14538,.T.); #317114=ADVANCED_FACE('',(#29599),#14539,.T.); #317115=ADVANCED_FACE('',(#29600),#306301,.T.); #317116=ADVANCED_FACE('',(#29601),#14540,.T.); #317117=ADVANCED_FACE('',(#29602),#306302,.T.); #317118=ADVANCED_FACE('',(#29603),#14541,.T.); #317119=ADVANCED_FACE('',(#29604),#14542,.T.); #317120=ADVANCED_FACE('',(#29605,#5375),#14543,.T.); #317121=ADVANCED_FACE('',(#29606,#5376),#14544,.F.); #317122=ADVANCED_FACE('',(#29607),#306303,.F.); #317123=ADVANCED_FACE('',(#29608),#14545,.T.); #317124=ADVANCED_FACE('',(#29609),#14546,.T.); #317125=ADVANCED_FACE('',(#29610),#14547,.T.); #317126=ADVANCED_FACE('',(#29611),#14548,.T.); #317127=ADVANCED_FACE('',(#29612),#306304,.T.); #317128=ADVANCED_FACE('',(#29613),#14549,.T.); #317129=ADVANCED_FACE('',(#29614),#306305,.T.); #317130=ADVANCED_FACE('',(#29615),#14550,.T.); #317131=ADVANCED_FACE('',(#29616),#306306,.T.); #317132=ADVANCED_FACE('',(#29617),#306307,.T.); #317133=ADVANCED_FACE('',(#29618),#14551,.T.); #317134=ADVANCED_FACE('',(#29619),#14552,.T.); #317135=ADVANCED_FACE('',(#29620),#14553,.T.); #317136=ADVANCED_FACE('',(#29621),#14554,.T.); #317137=ADVANCED_FACE('',(#29622),#14555,.T.); #317138=ADVANCED_FACE('',(#29623,#5377),#14556,.T.); #317139=ADVANCED_FACE('',(#29624,#5378),#14557,.F.); #317140=ADVANCED_FACE('',(#29625),#14558,.T.); #317141=ADVANCED_FACE('',(#29626),#14559,.T.); #317142=ADVANCED_FACE('',(#29627),#14560,.T.); #317143=ADVANCED_FACE('',(#29628),#14561,.T.); #317144=ADVANCED_FACE('',(#29629),#14562,.T.); #317145=ADVANCED_FACE('',(#29630),#306308,.T.); #317146=ADVANCED_FACE('',(#29631),#14563,.T.); #317147=ADVANCED_FACE('',(#29632),#14564,.T.); #317148=ADVANCED_FACE('',(#29633),#14565,.T.); #317149=ADVANCED_FACE('',(#29634),#14566,.T.); #317150=ADVANCED_FACE('',(#29635),#14567,.T.); #317151=ADVANCED_FACE('',(#29636),#14568,.T.); #317152=ADVANCED_FACE('',(#29637),#14569,.T.); #317153=ADVANCED_FACE('',(#29638),#14570,.T.); #317154=ADVANCED_FACE('',(#29639),#14571,.T.); #317155=ADVANCED_FACE('',(#29640),#14572,.F.); #317156=ADVANCED_FACE('',(#29641),#14573,.T.); #317157=ADVANCED_FACE('',(#29642),#14574,.T.); #317158=ADVANCED_FACE('',(#29643),#14575,.T.); #317159=ADVANCED_FACE('',(#29644),#14576,.T.); #317160=ADVANCED_FACE('',(#29645),#14577,.T.); #317161=ADVANCED_FACE('',(#29646),#14578,.T.); #317162=ADVANCED_FACE('',(#29647),#14579,.T.); #317163=ADVANCED_FACE('',(#29648),#14580,.T.); #317164=ADVANCED_FACE('',(#29649),#14581,.T.); #317165=ADVANCED_FACE('',(#29650),#14582,.T.); #317166=ADVANCED_FACE('',(#29651),#14583,.T.); #317167=ADVANCED_FACE('',(#29652),#306309,.T.); #317168=ADVANCED_FACE('',(#29653),#14584,.T.); #317169=ADVANCED_FACE('',(#29654),#14585,.T.); #317170=ADVANCED_FACE('',(#29655),#14586,.T.); #317171=ADVANCED_FACE('',(#29656),#14587,.F.); #317172=ADVANCED_FACE('',(#29657),#306310,.F.); #317173=ADVANCED_FACE('',(#29658),#14588,.T.); #317174=ADVANCED_FACE('',(#29659),#14589,.T.); #317175=ADVANCED_FACE('',(#29660),#306311,.T.); #317176=ADVANCED_FACE('',(#29661),#14590,.T.); #317177=ADVANCED_FACE('',(#29662),#306312,.T.); #317178=ADVANCED_FACE('',(#29663),#14591,.T.); #317179=ADVANCED_FACE('',(#29664),#14592,.T.); #317180=ADVANCED_FACE('',(#29665),#306313,.T.); #317181=ADVANCED_FACE('',(#29666),#14593,.T.); #317182=ADVANCED_FACE('',(#29667),#14594,.T.); #317183=ADVANCED_FACE('',(#29668),#14595,.T.); #317184=ADVANCED_FACE('',(#29669),#14596,.T.); #317185=ADVANCED_FACE('',(#29670),#14597,.T.); #317186=ADVANCED_FACE('',(#29671),#14598,.T.); #317187=ADVANCED_FACE('',(#29672),#14599,.T.); #317188=ADVANCED_FACE('',(#29673),#14600,.T.); #317189=ADVANCED_FACE('',(#29674),#306314,.T.); #317190=ADVANCED_FACE('',(#29675),#14601,.T.); #317191=ADVANCED_FACE('',(#29676),#14602,.T.); #317192=ADVANCED_FACE('',(#29677),#14603,.T.); #317193=ADVANCED_FACE('',(#29678),#14604,.T.); #317194=ADVANCED_FACE('',(#29679),#306315,.T.); #317195=ADVANCED_FACE('',(#29680),#14605,.T.); #317196=ADVANCED_FACE('',(#29681),#14606,.T.); #317197=ADVANCED_FACE('',(#29682),#14607,.T.); #317198=ADVANCED_FACE('',(#29683),#14608,.T.); #317199=ADVANCED_FACE('',(#29684),#14609,.T.); #317200=ADVANCED_FACE('',(#29685),#14610,.T.); #317201=ADVANCED_FACE('',(#29686),#14611,.T.); #317202=ADVANCED_FACE('',(#29687),#14612,.T.); #317203=ADVANCED_FACE('',(#29688),#14613,.T.); #317204=ADVANCED_FACE('',(#29689),#14614,.T.); #317205=ADVANCED_FACE('',(#29690),#14615,.T.); #317206=ADVANCED_FACE('',(#29691),#14616,.T.); #317207=ADVANCED_FACE('',(#29692),#14617,.T.); #317208=ADVANCED_FACE('',(#29693),#14618,.T.); #317209=ADVANCED_FACE('',(#29694),#14619,.T.); #317210=ADVANCED_FACE('',(#29695),#14620,.T.); #317211=ADVANCED_FACE('',(#29696),#306316,.T.); #317212=ADVANCED_FACE('',(#29697,#5379),#14621,.T.); #317213=ADVANCED_FACE('',(#29698,#5380),#14622,.F.); #317214=ADVANCED_FACE('',(#29699),#306317,.F.); #317215=ADVANCED_FACE('',(#29700),#306318,.F.); #317216=ADVANCED_FACE('',(#29701),#14623,.T.); #317217=ADVANCED_FACE('',(#29702),#306319,.T.); #317218=ADVANCED_FACE('',(#29703),#14624,.T.); #317219=ADVANCED_FACE('',(#29704),#306320,.T.); #317220=ADVANCED_FACE('',(#29705),#306321,.T.); #317221=ADVANCED_FACE('',(#29706),#14625,.T.); #317222=ADVANCED_FACE('',(#29707),#306322,.T.); #317223=ADVANCED_FACE('',(#29708,#5381,#5382),#14626,.T.); #317224=ADVANCED_FACE('',(#29709,#5383,#5384),#14627,.F.); #317225=ADVANCED_FACE('',(#29710),#306323,.F.); #317226=ADVANCED_FACE('',(#29711),#14628,.T.); #317227=ADVANCED_FACE('',(#29712),#14629,.T.); #317228=ADVANCED_FACE('',(#29713),#14630,.T.); #317229=ADVANCED_FACE('',(#29714),#14631,.T.); #317230=ADVANCED_FACE('',(#29715,#5385),#14632,.T.); #317231=ADVANCED_FACE('',(#29716,#5386),#14633,.F.); #317232=ADVANCED_FACE('',(#29717),#306324,.F.); #317233=ADVANCED_FACE('',(#29718),#306325,.F.); #317234=ADVANCED_FACE('',(#29719),#14634,.T.); #317235=ADVANCED_FACE('',(#29720),#306326,.T.); #317236=ADVANCED_FACE('',(#29721),#14635,.T.); #317237=ADVANCED_FACE('',(#29722),#306327,.T.); #317238=ADVANCED_FACE('',(#29723),#14636,.T.); #317239=ADVANCED_FACE('',(#29724),#306328,.T.); #317240=ADVANCED_FACE('',(#29725),#14637,.T.); #317241=ADVANCED_FACE('',(#29726),#306329,.T.); #317242=ADVANCED_FACE('',(#29727),#14638,.T.); #317243=ADVANCED_FACE('',(#29728),#306330,.T.); #317244=ADVANCED_FACE('',(#29729),#14639,.T.); #317245=ADVANCED_FACE('',(#29730),#306331,.T.); #317246=ADVANCED_FACE('',(#29731),#14640,.T.); #317247=ADVANCED_FACE('',(#29732),#306332,.T.); #317248=ADVANCED_FACE('',(#29733),#306333,.T.); #317249=ADVANCED_FACE('',(#29734),#14641,.T.); #317250=ADVANCED_FACE('',(#29735),#14642,.T.); #317251=ADVANCED_FACE('',(#29736),#14643,.T.); #317252=ADVANCED_FACE('',(#29737),#14644,.T.); #317253=ADVANCED_FACE('',(#29738),#14645,.T.); #317254=ADVANCED_FACE('',(#29739),#14646,.T.); #317255=ADVANCED_FACE('',(#29740),#306334,.T.); #317256=ADVANCED_FACE('',(#29741),#306335,.T.); #317257=ADVANCED_FACE('',(#29742,#5387,#5388),#14647,.T.); #317258=ADVANCED_FACE('',(#29743,#5389,#5390),#14648,.F.); #317259=ADVANCED_FACE('',(#29744),#306336,.F.); #317260=ADVANCED_FACE('',(#29745),#306337,.F.); #317261=ADVANCED_FACE('',(#29746),#14649,.T.); #317262=ADVANCED_FACE('',(#29747),#306338,.T.); #317263=ADVANCED_FACE('',(#29748),#14650,.T.); #317264=ADVANCED_FACE('',(#29749),#306339,.T.); #317265=ADVANCED_FACE('',(#29750),#306340,.T.); #317266=ADVANCED_FACE('',(#29751),#14651,.T.); #317267=ADVANCED_FACE('',(#29752),#14652,.T.); #317268=ADVANCED_FACE('',(#29753),#306341,.T.); #317269=ADVANCED_FACE('',(#29754,#5391,#5392),#14653,.T.); #317270=ADVANCED_FACE('',(#29755,#5393,#5394),#14654,.F.); #317271=ADVANCED_FACE('',(#29756),#306342,.F.); #317272=ADVANCED_FACE('',(#29757),#14655,.T.); #317273=ADVANCED_FACE('',(#29758),#14656,.T.); #317274=ADVANCED_FACE('',(#29759),#14657,.T.); #317275=ADVANCED_FACE('',(#29760),#14658,.T.); #317276=ADVANCED_FACE('',(#29761,#5395),#14659,.T.); #317277=ADVANCED_FACE('',(#29762,#5396),#14660,.F.); #317278=ADVANCED_FACE('',(#29763),#306343,.F.); #317279=ADVANCED_FACE('',(#29764),#306344,.F.); #317280=ADVANCED_FACE('',(#29765),#306345,.F.); #317281=ADVANCED_FACE('',(#29766),#306346,.F.); #317282=ADVANCED_FACE('',(#29767),#14661,.T.); #317283=ADVANCED_FACE('',(#29768),#14662,.T.); #317284=ADVANCED_FACE('',(#29769),#14663,.T.); #317285=ADVANCED_FACE('',(#29770),#14664,.T.); #317286=ADVANCED_FACE('',(#29771),#14665,.T.); #317287=ADVANCED_FACE('',(#29772),#14666,.T.); #317288=ADVANCED_FACE('',(#29773),#14667,.T.); #317289=ADVANCED_FACE('',(#29774),#14668,.T.); #317290=ADVANCED_FACE('',(#29775),#14669,.T.); #317291=ADVANCED_FACE('',(#29776),#14670,.T.); #317292=ADVANCED_FACE('',(#29777),#14671,.T.); #317293=ADVANCED_FACE('',(#29778),#14672,.T.); #317294=ADVANCED_FACE('',(#29779),#14673,.T.); #317295=ADVANCED_FACE('',(#29780),#14674,.T.); #317296=ADVANCED_FACE('',(#29781),#14675,.T.); #317297=ADVANCED_FACE('',(#29782),#14676,.T.); #317298=ADVANCED_FACE('',(#29783),#14677,.T.); #317299=ADVANCED_FACE('',(#29784),#14678,.T.); #317300=ADVANCED_FACE('',(#29785),#14679,.T.); #317301=ADVANCED_FACE('',(#29786),#14680,.T.); #317302=ADVANCED_FACE('',(#29787),#14681,.T.); #317303=ADVANCED_FACE('',(#29788),#14682,.T.); #317304=ADVANCED_FACE('',(#29789),#14683,.T.); #317305=ADVANCED_FACE('',(#29790),#14684,.T.); #317306=ADVANCED_FACE('',(#29791),#14685,.T.); #317307=ADVANCED_FACE('',(#29792),#14686,.T.); #317308=ADVANCED_FACE('',(#29793),#14687,.T.); #317309=ADVANCED_FACE('',(#29794),#14688,.T.); #317310=ADVANCED_FACE('',(#29795),#14689,.T.); #317311=ADVANCED_FACE('',(#29796),#14690,.T.); #317312=ADVANCED_FACE('',(#29797),#14691,.T.); #317313=ADVANCED_FACE('',(#29798),#14692,.T.); #317314=ADVANCED_FACE('',(#29799),#14693,.T.); #317315=ADVANCED_FACE('',(#29800),#14694,.T.); #317316=ADVANCED_FACE('',(#29801),#14695,.T.); #317317=ADVANCED_FACE('',(#29802),#14696,.T.); #317318=ADVANCED_FACE('',(#29803),#14697,.T.); #317319=ADVANCED_FACE('',(#29804),#14698,.T.); #317320=ADVANCED_FACE('',(#29805),#14699,.T.); #317321=ADVANCED_FACE('',(#29806),#14700,.T.); #317322=ADVANCED_FACE('',(#29807),#14701,.T.); #317323=ADVANCED_FACE('',(#29808),#14702,.T.); #317324=ADVANCED_FACE('',(#29809),#14703,.T.); #317325=ADVANCED_FACE('',(#29810),#14704,.T.); #317326=ADVANCED_FACE('',(#29811),#14705,.T.); #317327=ADVANCED_FACE('',(#29812),#14706,.T.); #317328=ADVANCED_FACE('',(#29813),#14707,.T.); #317329=ADVANCED_FACE('',(#29814),#14708,.T.); #317330=ADVANCED_FACE('',(#29815),#14709,.T.); #317331=ADVANCED_FACE('',(#29816),#14710,.T.); #317332=ADVANCED_FACE('',(#29817),#14711,.T.); #317333=ADVANCED_FACE('',(#29818),#14712,.T.); #317334=ADVANCED_FACE('',(#29819),#14713,.T.); #317335=ADVANCED_FACE('',(#29820),#14714,.T.); #317336=ADVANCED_FACE('',(#29821),#14715,.T.); #317337=ADVANCED_FACE('',(#29822),#14716,.T.); #317338=ADVANCED_FACE('',(#29823),#14717,.T.); #317339=ADVANCED_FACE('',(#29824),#14718,.T.); #317340=ADVANCED_FACE('',(#29825),#14719,.T.); #317341=ADVANCED_FACE('',(#29826),#14720,.T.); #317342=ADVANCED_FACE('',(#29827),#14721,.T.); #317343=ADVANCED_FACE('',(#29828),#14722,.T.); #317344=ADVANCED_FACE('',(#29829),#14723,.T.); #317345=ADVANCED_FACE('',(#29830),#14724,.T.); #317346=ADVANCED_FACE('',(#29831),#14725,.T.); #317347=ADVANCED_FACE('',(#29832),#14726,.T.); #317348=ADVANCED_FACE('',(#29833),#14727,.T.); #317349=ADVANCED_FACE('',(#29834),#14728,.T.); #317350=ADVANCED_FACE('',(#29835),#14729,.T.); #317351=ADVANCED_FACE('',(#29836),#14730,.T.); #317352=ADVANCED_FACE('',(#29837),#306347,.T.); #317353=ADVANCED_FACE('',(#29838),#14731,.T.); #317354=ADVANCED_FACE('',(#29839),#14732,.T.); #317355=ADVANCED_FACE('',(#29840),#14733,.T.); #317356=ADVANCED_FACE('',(#29841),#306348,.T.); #317357=ADVANCED_FACE('',(#29842),#306349,.T.); #317358=ADVANCED_FACE('',(#29843),#14734,.T.); #317359=ADVANCED_FACE('',(#29844),#306350,.T.); #317360=ADVANCED_FACE('',(#29845),#14735,.T.); #317361=ADVANCED_FACE('',(#29846),#306351,.T.); #317362=ADVANCED_FACE('',(#29847),#14736,.T.); #317363=ADVANCED_FACE('',(#29848),#306352,.T.); #317364=ADVANCED_FACE('',(#29849),#14737,.T.); #317365=ADVANCED_FACE('',(#29850),#14738,.T.); #317366=ADVANCED_FACE('',(#29851),#14739,.T.); #317367=ADVANCED_FACE('',(#29852),#14740,.T.); #317368=ADVANCED_FACE('',(#29853),#14741,.T.); #317369=ADVANCED_FACE('',(#29854),#14742,.T.); #317370=ADVANCED_FACE('',(#29855),#14743,.T.); #317371=ADVANCED_FACE('',(#29856),#14744,.T.); #317372=ADVANCED_FACE('',(#29857,#5397,#5398,#5399,#5400,#5401),#14745, .T.); #317373=ADVANCED_FACE('',(#29858,#5402,#5403,#5404,#5405,#5406),#14746, .F.); #317374=ADVANCED_FACE('',(#29859),#306353,.F.); #317375=ADVANCED_FACE('',(#29860),#14747,.T.); #317376=ADVANCED_FACE('',(#29861),#14748,.T.); #317377=ADVANCED_FACE('',(#29862),#306354,.T.); #317378=ADVANCED_FACE('',(#29863),#14749,.T.); #317379=ADVANCED_FACE('',(#29864),#14750,.T.); #317380=ADVANCED_FACE('',(#29865),#14751,.T.); #317381=ADVANCED_FACE('',(#29866),#14752,.T.); #317382=ADVANCED_FACE('',(#29867),#14753,.T.); #317383=ADVANCED_FACE('',(#29868),#14754,.T.); #317384=ADVANCED_FACE('',(#29869),#14755,.T.); #317385=ADVANCED_FACE('',(#29870),#14756,.T.); #317386=ADVANCED_FACE('',(#29871),#14757,.T.); #317387=ADVANCED_FACE('',(#29872),#14758,.T.); #317388=ADVANCED_FACE('',(#29873),#14759,.T.); #317389=ADVANCED_FACE('',(#29874),#14760,.T.); #317390=ADVANCED_FACE('',(#29875),#14761,.T.); #317391=ADVANCED_FACE('',(#29876),#14762,.T.); #317392=ADVANCED_FACE('',(#29877),#14763,.T.); #317393=ADVANCED_FACE('',(#29878),#14764,.T.); #317394=ADVANCED_FACE('',(#29879),#14765,.T.); #317395=ADVANCED_FACE('',(#29880),#14766,.T.); #317396=ADVANCED_FACE('',(#29881),#14767,.T.); #317397=ADVANCED_FACE('',(#29882),#14768,.T.); #317398=ADVANCED_FACE('',(#29883),#306355,.T.); #317399=ADVANCED_FACE('',(#29884),#14769,.T.); #317400=ADVANCED_FACE('',(#29885),#14770,.T.); #317401=ADVANCED_FACE('',(#29886),#14771,.T.); #317402=ADVANCED_FACE('',(#29887),#306356,.T.); #317403=ADVANCED_FACE('',(#29888),#14772,.T.); #317404=ADVANCED_FACE('',(#29889),#14773,.T.); #317405=ADVANCED_FACE('',(#29890),#306357,.T.); #317406=ADVANCED_FACE('',(#29891),#14774,.T.); #317407=ADVANCED_FACE('',(#29892),#306358,.T.); #317408=ADVANCED_FACE('',(#29893),#306359,.T.); #317409=ADVANCED_FACE('',(#29894),#14775,.T.); #317410=ADVANCED_FACE('',(#29895),#14776,.T.); #317411=ADVANCED_FACE('',(#29896),#306360,.T.); #317412=ADVANCED_FACE('',(#29897),#14777,.T.); #317413=ADVANCED_FACE('',(#29898),#14778,.T.); #317414=ADVANCED_FACE('',(#29899),#306361,.T.); #317415=ADVANCED_FACE('',(#29900),#14779,.T.); #317416=ADVANCED_FACE('',(#29901),#306362,.T.); #317417=ADVANCED_FACE('',(#29902),#14780,.T.); #317418=ADVANCED_FACE('',(#29903),#14781,.T.); #317419=ADVANCED_FACE('',(#29904),#14782,.T.); #317420=ADVANCED_FACE('',(#29905),#14783,.T.); #317421=ADVANCED_FACE('',(#29906),#14784,.T.); #317422=ADVANCED_FACE('',(#29907),#306363,.T.); #317423=ADVANCED_FACE('',(#29908,#5407),#14785,.T.); #317424=ADVANCED_FACE('',(#29909,#5408),#14786,.F.); #317425=ADVANCED_FACE('',(#29910),#306364,.F.); #317426=ADVANCED_FACE('',(#29911),#306365,.F.); #317427=ADVANCED_FACE('',(#29912),#306366,.F.); #317428=ADVANCED_FACE('',(#29913),#306367,.F.); #317429=ADVANCED_FACE('',(#29914),#306368,.F.); #317430=ADVANCED_FACE('',(#29915),#306369,.F.); #317431=ADVANCED_FACE('',(#29916),#306370,.F.); #317432=ADVANCED_FACE('',(#29917),#306371,.F.); #317433=ADVANCED_FACE('',(#29918),#306372,.F.); #317434=ADVANCED_FACE('',(#29919),#306373,.F.); #317435=ADVANCED_FACE('',(#29920),#306374,.F.); #317436=ADVANCED_FACE('',(#29921),#306375,.F.); #317437=ADVANCED_FACE('',(#29922),#14787,.T.); #317438=ADVANCED_FACE('',(#29923),#14788,.T.); #317439=ADVANCED_FACE('',(#29924),#14789,.T.); #317440=ADVANCED_FACE('',(#29925),#14790,.T.); #317441=ADVANCED_FACE('',(#29926),#14791,.T.); #317442=ADVANCED_FACE('',(#29927),#14792,.T.); #317443=ADVANCED_FACE('',(#29928),#14793,.T.); #317444=ADVANCED_FACE('',(#29929),#14794,.T.); #317445=ADVANCED_FACE('',(#29930),#14795,.T.); #317446=ADVANCED_FACE('',(#29931),#14796,.T.); #317447=ADVANCED_FACE('',(#29932),#14797,.T.); #317448=ADVANCED_FACE('',(#29933),#14798,.T.); #317449=ADVANCED_FACE('',(#29934),#14799,.T.); #317450=ADVANCED_FACE('',(#29935),#14800,.T.); #317451=ADVANCED_FACE('',(#29936),#14801,.T.); #317452=ADVANCED_FACE('',(#29937),#14802,.T.); #317453=ADVANCED_FACE('',(#29938),#14803,.T.); #317454=ADVANCED_FACE('',(#29939),#14804,.T.); #317455=ADVANCED_FACE('',(#29940),#14805,.T.); #317456=ADVANCED_FACE('',(#29941),#14806,.T.); #317457=ADVANCED_FACE('',(#29942),#14807,.T.); #317458=ADVANCED_FACE('',(#29943),#14808,.T.); #317459=ADVANCED_FACE('',(#29944),#14809,.T.); #317460=ADVANCED_FACE('',(#29945),#14810,.T.); #317461=ADVANCED_FACE('',(#29946),#14811,.T.); #317462=ADVANCED_FACE('',(#29947),#14812,.T.); #317463=ADVANCED_FACE('',(#29948),#14813,.T.); #317464=ADVANCED_FACE('',(#29949),#14814,.T.); #317465=ADVANCED_FACE('',(#29950),#14815,.T.); #317466=ADVANCED_FACE('',(#29951),#14816,.T.); #317467=ADVANCED_FACE('',(#29952),#14817,.T.); #317468=ADVANCED_FACE('',(#29953),#14818,.T.); #317469=ADVANCED_FACE('',(#29954),#14819,.T.); #317470=ADVANCED_FACE('',(#29955),#14820,.T.); #317471=ADVANCED_FACE('',(#29956),#14821,.T.); #317472=ADVANCED_FACE('',(#29957),#14822,.T.); #317473=ADVANCED_FACE('',(#29958),#14823,.T.); #317474=ADVANCED_FACE('',(#29959),#14824,.T.); #317475=ADVANCED_FACE('',(#29960),#14825,.T.); #317476=ADVANCED_FACE('',(#29961),#14826,.T.); #317477=ADVANCED_FACE('',(#29962),#14827,.T.); #317478=ADVANCED_FACE('',(#29963),#14828,.T.); #317479=ADVANCED_FACE('',(#29964),#14829,.T.); #317480=ADVANCED_FACE('',(#29965),#14830,.T.); #317481=ADVANCED_FACE('',(#29966),#14831,.T.); #317482=ADVANCED_FACE('',(#29967),#14832,.T.); #317483=ADVANCED_FACE('',(#29968),#14833,.T.); #317484=ADVANCED_FACE('',(#29969),#14834,.T.); #317485=ADVANCED_FACE('',(#29970),#14835,.T.); #317486=ADVANCED_FACE('',(#29971),#14836,.T.); #317487=ADVANCED_FACE('',(#29972),#14837,.T.); #317488=ADVANCED_FACE('',(#29973),#14838,.T.); #317489=ADVANCED_FACE('',(#29974),#14839,.T.); #317490=ADVANCED_FACE('',(#29975),#14840,.T.); #317491=ADVANCED_FACE('',(#29976),#14841,.T.); #317492=ADVANCED_FACE('',(#29977),#14842,.T.); #317493=ADVANCED_FACE('',(#29978),#14843,.T.); #317494=ADVANCED_FACE('',(#29979),#14844,.T.); #317495=ADVANCED_FACE('',(#29980),#14845,.T.); #317496=ADVANCED_FACE('',(#29981),#14846,.T.); #317497=ADVANCED_FACE('',(#29982),#14847,.T.); #317498=ADVANCED_FACE('',(#29983),#14848,.T.); #317499=ADVANCED_FACE('',(#29984),#14849,.T.); #317500=ADVANCED_FACE('',(#29985),#14850,.T.); #317501=ADVANCED_FACE('',(#29986),#14851,.T.); #317502=ADVANCED_FACE('',(#29987),#14852,.T.); #317503=ADVANCED_FACE('',(#29988),#14853,.T.); #317504=ADVANCED_FACE('',(#29989),#14854,.T.); #317505=ADVANCED_FACE('',(#29990),#14855,.T.); #317506=ADVANCED_FACE('',(#29991),#14856,.T.); #317507=ADVANCED_FACE('',(#29992),#14857,.T.); #317508=ADVANCED_FACE('',(#29993),#14858,.T.); #317509=ADVANCED_FACE('',(#29994),#14859,.T.); #317510=ADVANCED_FACE('',(#29995),#14860,.T.); #317511=ADVANCED_FACE('',(#29996),#14861,.T.); #317512=ADVANCED_FACE('',(#29997),#14862,.T.); #317513=ADVANCED_FACE('',(#29998),#14863,.T.); #317514=ADVANCED_FACE('',(#29999),#14864,.T.); #317515=ADVANCED_FACE('',(#30000),#14865,.T.); #317516=ADVANCED_FACE('',(#30001),#14866,.T.); #317517=ADVANCED_FACE('',(#30002),#14867,.T.); #317518=ADVANCED_FACE('',(#30003),#14868,.T.); #317519=ADVANCED_FACE('',(#30004),#14869,.T.); #317520=ADVANCED_FACE('',(#30005),#14870,.T.); #317521=ADVANCED_FACE('',(#30006),#14871,.T.); #317522=ADVANCED_FACE('',(#30007),#14872,.T.); #317523=ADVANCED_FACE('',(#30008),#14873,.T.); #317524=ADVANCED_FACE('',(#30009),#14874,.T.); #317525=ADVANCED_FACE('',(#30010),#14875,.T.); #317526=ADVANCED_FACE('',(#30011),#14876,.T.); #317527=ADVANCED_FACE('',(#30012),#14877,.T.); #317528=ADVANCED_FACE('',(#30013),#14878,.T.); #317529=ADVANCED_FACE('',(#30014),#14879,.T.); #317530=ADVANCED_FACE('',(#30015),#14880,.T.); #317531=ADVANCED_FACE('',(#30016),#14881,.T.); #317532=ADVANCED_FACE('',(#30017),#14882,.T.); #317533=ADVANCED_FACE('',(#30018),#14883,.T.); #317534=ADVANCED_FACE('',(#30019,#5409,#5410,#5411,#5412,#5413,#5414,#5415, #5416,#5417,#5418,#5419,#5420),#14884,.T.); #317535=ADVANCED_FACE('',(#30020,#5421,#5422,#5423,#5424,#5425,#5426,#5427, #5428,#5429,#5430,#5431,#5432),#14885,.F.); #317536=ADVANCED_FACE('',(#30021),#14886,.T.); #317537=ADVANCED_FACE('',(#30022),#14887,.T.); #317538=ADVANCED_FACE('',(#30023),#14888,.T.); #317539=ADVANCED_FACE('',(#30024),#14889,.T.); #317540=ADVANCED_FACE('',(#30025),#14890,.T.); #317541=ADVANCED_FACE('',(#30026),#14891,.T.); #317542=ADVANCED_FACE('',(#30027),#14892,.T.); #317543=ADVANCED_FACE('',(#30028),#14893,.T.); #317544=ADVANCED_FACE('',(#30029),#14894,.T.); #317545=ADVANCED_FACE('',(#30030),#14895,.T.); #317546=ADVANCED_FACE('',(#30031),#14896,.T.); #317547=ADVANCED_FACE('',(#30032),#14897,.T.); #317548=ADVANCED_FACE('',(#30033),#14898,.T.); #317549=ADVANCED_FACE('',(#30034),#14899,.T.); #317550=ADVANCED_FACE('',(#30035),#14900,.T.); #317551=ADVANCED_FACE('',(#30036),#14901,.T.); #317552=ADVANCED_FACE('',(#30037),#14902,.T.); #317553=ADVANCED_FACE('',(#30038),#14903,.T.); #317554=ADVANCED_FACE('',(#30039),#14904,.T.); #317555=ADVANCED_FACE('',(#30040),#14905,.T.); #317556=ADVANCED_FACE('',(#30041),#14906,.T.); #317557=ADVANCED_FACE('',(#30042),#14907,.T.); #317558=ADVANCED_FACE('',(#30043),#14908,.F.); #317559=ADVANCED_FACE('',(#30044),#14909,.T.); #317560=ADVANCED_FACE('',(#30045),#14910,.T.); #317561=ADVANCED_FACE('',(#30046),#14911,.T.); #317562=ADVANCED_FACE('',(#30047),#14912,.T.); #317563=ADVANCED_FACE('',(#30048),#14913,.T.); #317564=ADVANCED_FACE('',(#30049),#14914,.T.); #317565=ADVANCED_FACE('',(#30050),#14915,.T.); #317566=ADVANCED_FACE('',(#30051),#14916,.T.); #317567=ADVANCED_FACE('',(#30052),#14917,.T.); #317568=ADVANCED_FACE('',(#30053),#14918,.T.); #317569=ADVANCED_FACE('',(#30054),#14919,.T.); #317570=ADVANCED_FACE('',(#30055),#14920,.T.); #317571=ADVANCED_FACE('',(#30056),#14921,.T.); #317572=ADVANCED_FACE('',(#30057),#14922,.T.); #317573=ADVANCED_FACE('',(#30058),#14923,.T.); #317574=ADVANCED_FACE('',(#30059),#14924,.T.); #317575=ADVANCED_FACE('',(#30060),#14925,.T.); #317576=ADVANCED_FACE('',(#30061),#14926,.T.); #317577=ADVANCED_FACE('',(#30062),#14927,.T.); #317578=ADVANCED_FACE('',(#30063),#14928,.T.); #317579=ADVANCED_FACE('',(#30064),#14929,.T.); #317580=ADVANCED_FACE('',(#30065),#14930,.F.); #317581=ADVANCED_FACE('',(#30066),#14931,.T.); #317582=ADVANCED_FACE('',(#30067),#14932,.T.); #317583=ADVANCED_FACE('',(#30068),#14933,.T.); #317584=ADVANCED_FACE('',(#30069),#14934,.T.); #317585=ADVANCED_FACE('',(#30070),#14935,.T.); #317586=ADVANCED_FACE('',(#30071),#14936,.T.); #317587=ADVANCED_FACE('',(#30072),#14937,.T.); #317588=ADVANCED_FACE('',(#30073),#14938,.T.); #317589=ADVANCED_FACE('',(#30074),#14939,.T.); #317590=ADVANCED_FACE('',(#30075),#14940,.T.); #317591=ADVANCED_FACE('',(#30076),#14941,.T.); #317592=ADVANCED_FACE('',(#30077),#14942,.T.); #317593=ADVANCED_FACE('',(#30078),#14943,.T.); #317594=ADVANCED_FACE('',(#30079),#14944,.T.); #317595=ADVANCED_FACE('',(#30080),#14945,.T.); #317596=ADVANCED_FACE('',(#30081),#14946,.T.); #317597=ADVANCED_FACE('',(#30082),#14947,.T.); #317598=ADVANCED_FACE('',(#30083),#14948,.T.); #317599=ADVANCED_FACE('',(#30084),#14949,.T.); #317600=ADVANCED_FACE('',(#30085),#14950,.T.); #317601=ADVANCED_FACE('',(#30086),#14951,.T.); #317602=ADVANCED_FACE('',(#30087),#14952,.T.); #317603=ADVANCED_FACE('',(#30088),#14953,.T.); #317604=ADVANCED_FACE('',(#30089),#14954,.T.); #317605=ADVANCED_FACE('',(#30090),#14955,.T.); #317606=ADVANCED_FACE('',(#30091),#14956,.F.); #317607=ADVANCED_FACE('',(#30092),#14957,.T.); #317608=ADVANCED_FACE('',(#30093),#14958,.T.); #317609=ADVANCED_FACE('',(#30094),#14959,.T.); #317610=ADVANCED_FACE('',(#30095),#14960,.T.); #317611=ADVANCED_FACE('',(#30096),#14961,.T.); #317612=ADVANCED_FACE('',(#30097),#14962,.T.); #317613=ADVANCED_FACE('',(#30098),#14963,.T.); #317614=ADVANCED_FACE('',(#30099),#14964,.T.); #317615=ADVANCED_FACE('',(#30100),#14965,.T.); #317616=ADVANCED_FACE('',(#30101),#14966,.T.); #317617=ADVANCED_FACE('',(#30102),#14967,.T.); #317618=ADVANCED_FACE('',(#30103),#14968,.T.); #317619=ADVANCED_FACE('',(#30104),#14969,.T.); #317620=ADVANCED_FACE('',(#30105),#14970,.T.); #317621=ADVANCED_FACE('',(#30106),#14971,.T.); #317622=ADVANCED_FACE('',(#30107),#14972,.T.); #317623=ADVANCED_FACE('',(#30108),#14973,.T.); #317624=ADVANCED_FACE('',(#30109),#14974,.T.); #317625=ADVANCED_FACE('',(#30110),#14975,.T.); #317626=ADVANCED_FACE('',(#30111),#14976,.T.); #317627=ADVANCED_FACE('',(#30112),#14977,.T.); #317628=ADVANCED_FACE('',(#30113),#14978,.T.); #317629=ADVANCED_FACE('',(#30114),#14979,.F.); #317630=ADVANCED_FACE('',(#30115),#14980,.T.); #317631=ADVANCED_FACE('',(#30116),#14981,.T.); #317632=ADVANCED_FACE('',(#30117),#14982,.T.); #317633=ADVANCED_FACE('',(#30118),#14983,.T.); #317634=ADVANCED_FACE('',(#30119),#14984,.T.); #317635=ADVANCED_FACE('',(#30120),#14985,.T.); #317636=ADVANCED_FACE('',(#30121),#14986,.T.); #317637=ADVANCED_FACE('',(#30122),#14987,.T.); #317638=ADVANCED_FACE('',(#30123),#14988,.T.); #317639=ADVANCED_FACE('',(#30124),#14989,.T.); #317640=ADVANCED_FACE('',(#30125),#14990,.T.); #317641=ADVANCED_FACE('',(#30126),#14991,.T.); #317642=ADVANCED_FACE('',(#30127),#14992,.T.); #317643=ADVANCED_FACE('',(#30128),#14993,.T.); #317644=ADVANCED_FACE('',(#30129),#14994,.T.); #317645=ADVANCED_FACE('',(#30130),#14995,.T.); #317646=ADVANCED_FACE('',(#30131),#14996,.T.); #317647=ADVANCED_FACE('',(#30132),#14997,.T.); #317648=ADVANCED_FACE('',(#30133),#14998,.T.); #317649=ADVANCED_FACE('',(#30134),#14999,.T.); #317650=ADVANCED_FACE('',(#30135),#15000,.T.); #317651=ADVANCED_FACE('',(#30136),#15001,.T.); #317652=ADVANCED_FACE('',(#30137),#15002,.T.); #317653=ADVANCED_FACE('',(#30138),#15003,.T.); #317654=ADVANCED_FACE('',(#30139),#15004,.T.); #317655=ADVANCED_FACE('',(#30140),#15005,.T.); #317656=ADVANCED_FACE('',(#30141),#15006,.T.); #317657=ADVANCED_FACE('',(#30142),#15007,.T.); #317658=ADVANCED_FACE('',(#30143),#15008,.T.); #317659=ADVANCED_FACE('',(#30144),#15009,.T.); #317660=ADVANCED_FACE('',(#30145),#15010,.T.); #317661=ADVANCED_FACE('',(#30146),#15011,.T.); #317662=ADVANCED_FACE('',(#30147),#15012,.T.); #317663=ADVANCED_FACE('',(#30148),#15013,.T.); #317664=ADVANCED_FACE('',(#30149),#15014,.T.); #317665=ADVANCED_FACE('',(#30150),#15015,.T.); #317666=ADVANCED_FACE('',(#30151),#15016,.F.); #317667=ADVANCED_FACE('',(#30152),#15017,.T.); #317668=ADVANCED_FACE('',(#30153),#15018,.T.); #317669=ADVANCED_FACE('',(#30154),#15019,.T.); #317670=ADVANCED_FACE('',(#30155),#15020,.T.); #317671=ADVANCED_FACE('',(#30156),#15021,.T.); #317672=ADVANCED_FACE('',(#30157),#15022,.T.); #317673=ADVANCED_FACE('',(#30158),#15023,.T.); #317674=ADVANCED_FACE('',(#30159),#15024,.T.); #317675=ADVANCED_FACE('',(#30160),#15025,.T.); #317676=ADVANCED_FACE('',(#30161),#15026,.T.); #317677=ADVANCED_FACE('',(#30162),#15027,.T.); #317678=ADVANCED_FACE('',(#30163),#15028,.T.); #317679=ADVANCED_FACE('',(#30164),#15029,.T.); #317680=ADVANCED_FACE('',(#30165),#15030,.T.); #317681=ADVANCED_FACE('',(#30166),#15031,.T.); #317682=ADVANCED_FACE('',(#30167),#15032,.T.); #317683=ADVANCED_FACE('',(#30168),#15033,.T.); #317684=ADVANCED_FACE('',(#30169),#15034,.T.); #317685=ADVANCED_FACE('',(#30170),#15035,.T.); #317686=ADVANCED_FACE('',(#30171),#15036,.T.); #317687=ADVANCED_FACE('',(#30172),#15037,.T.); #317688=ADVANCED_FACE('',(#30173),#15038,.T.); #317689=ADVANCED_FACE('',(#30174),#15039,.F.); #317690=ADVANCED_FACE('',(#30175),#15040,.T.); #317691=ADVANCED_FACE('',(#30176),#15041,.T.); #317692=ADVANCED_FACE('',(#30177),#15042,.T.); #317693=ADVANCED_FACE('',(#30178),#15043,.T.); #317694=ADVANCED_FACE('',(#30179),#15044,.T.); #317695=ADVANCED_FACE('',(#30180),#15045,.T.); #317696=ADVANCED_FACE('',(#30181),#15046,.T.); #317697=ADVANCED_FACE('',(#30182),#15047,.T.); #317698=ADVANCED_FACE('',(#30183),#15048,.T.); #317699=ADVANCED_FACE('',(#30184),#15049,.T.); #317700=ADVANCED_FACE('',(#30185),#15050,.T.); #317701=ADVANCED_FACE('',(#30186),#15051,.T.); #317702=ADVANCED_FACE('',(#30187),#15052,.T.); #317703=ADVANCED_FACE('',(#30188),#15053,.T.); #317704=ADVANCED_FACE('',(#30189),#15054,.T.); #317705=ADVANCED_FACE('',(#30190),#15055,.T.); #317706=ADVANCED_FACE('',(#30191),#15056,.T.); #317707=ADVANCED_FACE('',(#30192),#15057,.T.); #317708=ADVANCED_FACE('',(#30193),#15058,.T.); #317709=ADVANCED_FACE('',(#30194),#15059,.T.); #317710=ADVANCED_FACE('',(#30195),#15060,.T.); #317711=ADVANCED_FACE('',(#30196),#15061,.T.); #317712=ADVANCED_FACE('',(#30197),#15062,.T.); #317713=ADVANCED_FACE('',(#30198),#15063,.T.); #317714=ADVANCED_FACE('',(#30199),#15064,.T.); #317715=ADVANCED_FACE('',(#30200),#15065,.T.); #317716=ADVANCED_FACE('',(#30201),#15066,.T.); #317717=ADVANCED_FACE('',(#30202),#15067,.T.); #317718=ADVANCED_FACE('',(#30203),#15068,.T.); #317719=ADVANCED_FACE('',(#30204),#15069,.T.); #317720=ADVANCED_FACE('',(#30205),#15070,.T.); #317721=ADVANCED_FACE('',(#30206),#15071,.T.); #317722=ADVANCED_FACE('',(#30207),#15072,.F.); #317723=ADVANCED_FACE('',(#30208),#15073,.T.); #317724=ADVANCED_FACE('',(#30209),#15074,.T.); #317725=ADVANCED_FACE('',(#30210),#15075,.T.); #317726=ADVANCED_FACE('',(#30211),#15076,.T.); #317727=ADVANCED_FACE('',(#30212),#15077,.T.); #317728=ADVANCED_FACE('',(#30213),#15078,.T.); #317729=ADVANCED_FACE('',(#30214),#15079,.T.); #317730=ADVANCED_FACE('',(#30215),#15080,.T.); #317731=ADVANCED_FACE('',(#30216),#15081,.T.); #317732=ADVANCED_FACE('',(#30217),#15082,.T.); #317733=ADVANCED_FACE('',(#30218),#15083,.T.); #317734=ADVANCED_FACE('',(#30219),#15084,.T.); #317735=ADVANCED_FACE('',(#30220),#15085,.T.); #317736=ADVANCED_FACE('',(#30221),#15086,.T.); #317737=ADVANCED_FACE('',(#30222),#15087,.T.); #317738=ADVANCED_FACE('',(#30223),#15088,.T.); #317739=ADVANCED_FACE('',(#30224),#15089,.T.); #317740=ADVANCED_FACE('',(#30225),#15090,.T.); #317741=ADVANCED_FACE('',(#30226),#15091,.T.); #317742=ADVANCED_FACE('',(#30227),#15092,.T.); #317743=ADVANCED_FACE('',(#30228),#15093,.T.); #317744=ADVANCED_FACE('',(#30229),#15094,.T.); #317745=ADVANCED_FACE('',(#30230),#15095,.T.); #317746=ADVANCED_FACE('',(#30231),#15096,.F.); #317747=ADVANCED_FACE('',(#30232),#15097,.T.); #317748=ADVANCED_FACE('',(#30233),#15098,.T.); #317749=ADVANCED_FACE('',(#30234),#15099,.T.); #317750=ADVANCED_FACE('',(#30235),#15100,.T.); #317751=ADVANCED_FACE('',(#30236),#15101,.T.); #317752=ADVANCED_FACE('',(#30237),#15102,.T.); #317753=ADVANCED_FACE('',(#30238),#15103,.T.); #317754=ADVANCED_FACE('',(#30239),#15104,.T.); #317755=ADVANCED_FACE('',(#30240),#15105,.T.); #317756=ADVANCED_FACE('',(#30241),#15106,.T.); #317757=ADVANCED_FACE('',(#30242),#15107,.T.); #317758=ADVANCED_FACE('',(#30243),#15108,.T.); #317759=ADVANCED_FACE('',(#30244),#15109,.T.); #317760=ADVANCED_FACE('',(#30245),#15110,.T.); #317761=ADVANCED_FACE('',(#30246),#15111,.T.); #317762=ADVANCED_FACE('',(#30247),#15112,.T.); #317763=ADVANCED_FACE('',(#30248),#15113,.T.); #317764=ADVANCED_FACE('',(#30249),#15114,.T.); #317765=ADVANCED_FACE('',(#30250),#15115,.T.); #317766=ADVANCED_FACE('',(#30251),#15116,.T.); #317767=ADVANCED_FACE('',(#30252),#15117,.T.); #317768=ADVANCED_FACE('',(#30253),#15118,.F.); #317769=ADVANCED_FACE('',(#30254),#306376,.F.); #317770=ADVANCED_FACE('',(#30255),#306377,.F.); #317771=ADVANCED_FACE('',(#30256),#306378,.F.); #317772=ADVANCED_FACE('',(#30257),#306379,.F.); #317773=ADVANCED_FACE('',(#30258),#306380,.F.); #317774=ADVANCED_FACE('',(#30259),#306381,.F.); #317775=ADVANCED_FACE('',(#30260),#306382,.F.); #317776=ADVANCED_FACE('',(#30261),#306383,.F.); #317777=ADVANCED_FACE('',(#30262),#306384,.F.); #317778=ADVANCED_FACE('',(#30263),#306385,.F.); #317779=ADVANCED_FACE('',(#30264),#306386,.F.); #317780=ADVANCED_FACE('',(#30265),#306387,.F.); #317781=ADVANCED_FACE('',(#30266),#306388,.F.); #317782=ADVANCED_FACE('',(#30267),#306389,.F.); #317783=ADVANCED_FACE('',(#30268),#306390,.F.); #317784=ADVANCED_FACE('',(#30269),#306391,.F.); #317785=ADVANCED_FACE('',(#30270),#306392,.F.); #317786=ADVANCED_FACE('',(#30271),#306393,.F.); #317787=ADVANCED_FACE('',(#30272),#306394,.F.); #317788=ADVANCED_FACE('',(#30273),#306395,.F.); #317789=ADVANCED_FACE('',(#30274),#306396,.F.); #317790=ADVANCED_FACE('',(#30275),#306397,.F.); #317791=ADVANCED_FACE('',(#30276),#306398,.F.); #317792=ADVANCED_FACE('',(#30277),#306399,.F.); #317793=ADVANCED_FACE('',(#30278),#306400,.F.); #317794=ADVANCED_FACE('',(#30279),#306401,.F.); #317795=ADVANCED_FACE('',(#30280),#306402,.F.); #317796=ADVANCED_FACE('',(#30281),#306403,.F.); #317797=ADVANCED_FACE('',(#30282),#306404,.F.); #317798=ADVANCED_FACE('',(#30283),#306405,.F.); #317799=ADVANCED_FACE('',(#30284),#306406,.F.); #317800=ADVANCED_FACE('',(#30285),#306407,.F.); #317801=ADVANCED_FACE('',(#30286),#306408,.F.); #317802=ADVANCED_FACE('',(#30287),#306409,.F.); #317803=ADVANCED_FACE('',(#30288),#306410,.F.); #317804=ADVANCED_FACE('',(#30289),#306411,.F.); #317805=ADVANCED_FACE('',(#30290),#306412,.F.); #317806=ADVANCED_FACE('',(#30291),#306413,.F.); #317807=ADVANCED_FACE('',(#30292),#306414,.F.); #317808=ADVANCED_FACE('',(#30293),#306415,.F.); #317809=ADVANCED_FACE('',(#30294),#306416,.F.); #317810=ADVANCED_FACE('',(#30295),#306417,.F.); #317811=ADVANCED_FACE('',(#30296),#306418,.F.); #317812=ADVANCED_FACE('',(#30297),#306419,.F.); #317813=ADVANCED_FACE('',(#30298),#306420,.F.); #317814=ADVANCED_FACE('',(#30299),#306421,.F.); #317815=ADVANCED_FACE('',(#30300),#306422,.F.); #317816=ADVANCED_FACE('',(#30301),#306423,.F.); #317817=ADVANCED_FACE('',(#30302),#306424,.F.); #317818=ADVANCED_FACE('',(#30303),#306425,.F.); #317819=ADVANCED_FACE('',(#30304),#306426,.F.); #317820=ADVANCED_FACE('',(#30305),#306427,.F.); #317821=ADVANCED_FACE('',(#30306),#306428,.F.); #317822=ADVANCED_FACE('',(#30307),#306429,.F.); #317823=ADVANCED_FACE('',(#30308),#306430,.F.); #317824=ADVANCED_FACE('',(#30309),#306431,.F.); #317825=ADVANCED_FACE('',(#30310),#306432,.F.); #317826=ADVANCED_FACE('',(#30311),#306433,.F.); #317827=ADVANCED_FACE('',(#30312),#306434,.F.); #317828=ADVANCED_FACE('',(#30313),#306435,.F.); #317829=ADVANCED_FACE('',(#30314),#306436,.F.); #317830=ADVANCED_FACE('',(#30315),#306437,.F.); #317831=ADVANCED_FACE('',(#30316),#306438,.F.); #317832=ADVANCED_FACE('',(#30317),#306439,.F.); #317833=ADVANCED_FACE('',(#30318),#15119,.F.); #317834=ADVANCED_FACE('',(#30319),#306440,.F.); #317835=ADVANCED_FACE('',(#30320),#15120,.F.); #317836=ADVANCED_FACE('',(#30321),#306441,.F.); #317837=ADVANCED_FACE('',(#30322),#15121,.F.); #317838=ADVANCED_FACE('',(#30323),#306442,.F.); #317839=ADVANCED_FACE('',(#30324),#15122,.F.); #317840=ADVANCED_FACE('',(#30325),#306443,.F.); #317841=ADVANCED_FACE('',(#30326),#306444,.F.); #317842=ADVANCED_FACE('',(#30327),#306445,.F.); #317843=ADVANCED_FACE('',(#30328),#15123,.F.); #317844=ADVANCED_FACE('',(#30329),#306446,.F.); #317845=ADVANCED_FACE('',(#30330),#15124,.F.); #317846=ADVANCED_FACE('',(#30331),#306447,.F.); #317847=ADVANCED_FACE('',(#30332),#306448,.F.); #317848=ADVANCED_FACE('',(#30333),#306449,.F.); #317849=ADVANCED_FACE('',(#30334),#306450,.F.); #317850=ADVANCED_FACE('',(#30335),#306451,.F.); #317851=ADVANCED_FACE('',(#30336),#306452,.F.); #317852=ADVANCED_FACE('',(#30337),#15125,.F.); #317853=ADVANCED_FACE('',(#30338),#306453,.F.); #317854=ADVANCED_FACE('',(#30339),#15126,.F.); #317855=ADVANCED_FACE('',(#30340),#306454,.F.); #317856=ADVANCED_FACE('',(#30341),#306455,.F.); #317857=ADVANCED_FACE('',(#30342),#15127,.F.); #317858=ADVANCED_FACE('',(#30343),#306456,.F.); #317859=ADVANCED_FACE('',(#30344),#15128,.F.); #317860=ADVANCED_FACE('',(#30345),#306457,.F.); #317861=ADVANCED_FACE('',(#30346),#15129,.F.); #317862=ADVANCED_FACE('',(#30347),#306458,.F.); #317863=ADVANCED_FACE('',(#30348),#15130,.F.); #317864=ADVANCED_FACE('',(#30349),#306459,.F.); #317865=ADVANCED_FACE('',(#30350),#306460,.F.); #317866=ADVANCED_FACE('',(#30351),#306461,.F.); #317867=ADVANCED_FACE('',(#30352),#306462,.F.); #317868=ADVANCED_FACE('',(#30353),#306463,.F.); #317869=ADVANCED_FACE('',(#30354),#306464,.F.); #317870=ADVANCED_FACE('',(#30355),#306465,.F.); #317871=ADVANCED_FACE('',(#30356),#306466,.F.); #317872=ADVANCED_FACE('',(#30357),#306467,.F.); #317873=ADVANCED_FACE('',(#30358),#306468,.F.); #317874=ADVANCED_FACE('',(#30359),#306469,.F.); #317875=ADVANCED_FACE('',(#30360),#306470,.F.); #317876=ADVANCED_FACE('',(#30361),#306471,.F.); #317877=ADVANCED_FACE('',(#30362),#306472,.F.); #317878=ADVANCED_FACE('',(#30363),#306473,.F.); #317879=ADVANCED_FACE('',(#30364),#306474,.F.); #317880=ADVANCED_FACE('',(#30365),#306475,.F.); #317881=ADVANCED_FACE('',(#30366),#306476,.F.); #317882=ADVANCED_FACE('',(#30367),#306477,.F.); #317883=ADVANCED_FACE('',(#30368),#306478,.F.); #317884=ADVANCED_FACE('',(#30369),#306479,.F.); #317885=ADVANCED_FACE('',(#30370),#306480,.F.); #317886=ADVANCED_FACE('',(#30371),#306481,.F.); #317887=ADVANCED_FACE('',(#30372),#306482,.F.); #317888=ADVANCED_FACE('',(#30373),#306483,.F.); #317889=ADVANCED_FACE('',(#30374),#306484,.F.); #317890=ADVANCED_FACE('',(#30375),#306485,.F.); #317891=ADVANCED_FACE('',(#30376),#306486,.F.); #317892=ADVANCED_FACE('',(#30377),#306487,.F.); #317893=ADVANCED_FACE('',(#30378),#306488,.F.); #317894=ADVANCED_FACE('',(#30379),#306489,.F.); #317895=ADVANCED_FACE('',(#30380),#306490,.F.); #317896=ADVANCED_FACE('',(#30381),#306491,.F.); #317897=ADVANCED_FACE('',(#30382),#306492,.F.); #317898=ADVANCED_FACE('',(#30383),#306493,.F.); #317899=ADVANCED_FACE('',(#30384),#306494,.F.); #317900=ADVANCED_FACE('',(#30385),#306495,.F.); #317901=ADVANCED_FACE('',(#30386),#306496,.F.); #317902=ADVANCED_FACE('',(#30387),#306497,.F.); #317903=ADVANCED_FACE('',(#30388),#306498,.F.); #317904=ADVANCED_FACE('',(#30389),#306499,.F.); #317905=ADVANCED_FACE('',(#30390),#306500,.F.); #317906=ADVANCED_FACE('',(#30391),#306501,.F.); #317907=ADVANCED_FACE('',(#30392),#306502,.F.); #317908=ADVANCED_FACE('',(#30393),#306503,.F.); #317909=ADVANCED_FACE('',(#30394),#306504,.F.); #317910=ADVANCED_FACE('',(#30395),#306505,.F.); #317911=ADVANCED_FACE('',(#30396),#306506,.F.); #317912=ADVANCED_FACE('',(#30397),#306507,.F.); #317913=ADVANCED_FACE('',(#30398),#306508,.F.); #317914=ADVANCED_FACE('',(#30399),#306509,.F.); #317915=ADVANCED_FACE('',(#30400),#306510,.F.); #317916=ADVANCED_FACE('',(#30401),#306511,.F.); #317917=ADVANCED_FACE('',(#30402),#15131,.T.); #317918=ADVANCED_FACE('',(#30403),#15132,.T.); #317919=ADVANCED_FACE('',(#30404),#15133,.T.); #317920=ADVANCED_FACE('',(#30405),#15134,.T.); #317921=ADVANCED_FACE('',(#30406),#15135,.T.); #317922=ADVANCED_FACE('',(#30407),#15136,.T.); #317923=ADVANCED_FACE('',(#30408),#15137,.T.); #317924=ADVANCED_FACE('',(#30409),#15138,.T.); #317925=ADVANCED_FACE('',(#30410),#15139,.T.); #317926=ADVANCED_FACE('',(#30411),#15140,.T.); #317927=ADVANCED_FACE('',(#30412),#15141,.T.); #317928=ADVANCED_FACE('',(#30413),#15142,.T.); #317929=ADVANCED_FACE('',(#30414),#15143,.T.); #317930=ADVANCED_FACE('',(#30415),#15144,.T.); #317931=ADVANCED_FACE('',(#30416),#15145,.T.); #317932=ADVANCED_FACE('',(#30417),#15146,.T.); #317933=ADVANCED_FACE('',(#30418),#15147,.T.); #317934=ADVANCED_FACE('',(#30419),#15148,.T.); #317935=ADVANCED_FACE('',(#30420),#15149,.T.); #317936=ADVANCED_FACE('',(#30421),#15150,.T.); #317937=ADVANCED_FACE('',(#30422),#15151,.T.); #317938=ADVANCED_FACE('',(#30423),#15152,.T.); #317939=ADVANCED_FACE('',(#30424),#15153,.T.); #317940=ADVANCED_FACE('',(#30425),#15154,.T.); #317941=ADVANCED_FACE('',(#30426),#15155,.T.); #317942=ADVANCED_FACE('',(#30427),#15156,.T.); #317943=ADVANCED_FACE('',(#30428),#15157,.T.); #317944=ADVANCED_FACE('',(#30429),#15158,.T.); #317945=ADVANCED_FACE('',(#30430),#15159,.T.); #317946=ADVANCED_FACE('',(#30431),#15160,.T.); #317947=ADVANCED_FACE('',(#30432),#15161,.T.); #317948=ADVANCED_FACE('',(#30433),#15162,.T.); #317949=ADVANCED_FACE('',(#30434),#15163,.T.); #317950=ADVANCED_FACE('',(#30435),#15164,.T.); #317951=ADVANCED_FACE('',(#30436),#15165,.T.); #317952=ADVANCED_FACE('',(#30437),#15166,.T.); #317953=ADVANCED_FACE('',(#30438),#15167,.T.); #317954=ADVANCED_FACE('',(#30439),#15168,.T.); #317955=ADVANCED_FACE('',(#30440),#15169,.T.); #317956=ADVANCED_FACE('',(#30441),#15170,.T.); #317957=ADVANCED_FACE('',(#30442),#15171,.T.); #317958=ADVANCED_FACE('',(#30443),#15172,.T.); #317959=ADVANCED_FACE('',(#30444),#15173,.T.); #317960=ADVANCED_FACE('',(#30445),#15174,.T.); #317961=ADVANCED_FACE('',(#30446),#15175,.T.); #317962=ADVANCED_FACE('',(#30447),#15176,.T.); #317963=ADVANCED_FACE('',(#30448),#15177,.T.); #317964=ADVANCED_FACE('',(#30449),#15178,.T.); #317965=ADVANCED_FACE('',(#30450),#15179,.T.); #317966=ADVANCED_FACE('',(#30451),#15180,.T.); #317967=ADVANCED_FACE('',(#30452),#15181,.T.); #317968=ADVANCED_FACE('',(#30453),#15182,.T.); #317969=ADVANCED_FACE('',(#30454),#15183,.T.); #317970=ADVANCED_FACE('',(#30455),#15184,.T.); #317971=ADVANCED_FACE('',(#30456),#15185,.T.); #317972=ADVANCED_FACE('',(#30457),#15186,.T.); #317973=ADVANCED_FACE('',(#30458),#15187,.T.); #317974=ADVANCED_FACE('',(#30459),#15188,.T.); #317975=ADVANCED_FACE('',(#30460),#15189,.T.); #317976=ADVANCED_FACE('',(#30461),#15190,.T.); #317977=ADVANCED_FACE('',(#30462),#15191,.T.); #317978=ADVANCED_FACE('',(#30463),#15192,.T.); #317979=ADVANCED_FACE('',(#30464),#15193,.T.); #317980=ADVANCED_FACE('',(#30465),#15194,.T.); #317981=ADVANCED_FACE('',(#30466),#15195,.T.); #317982=ADVANCED_FACE('',(#30467),#15196,.T.); #317983=ADVANCED_FACE('',(#30468),#15197,.T.); #317984=ADVANCED_FACE('',(#30469),#15198,.T.); #317985=ADVANCED_FACE('',(#30470),#15199,.T.); #317986=ADVANCED_FACE('',(#30471),#15200,.T.); #317987=ADVANCED_FACE('',(#30472),#15201,.T.); #317988=ADVANCED_FACE('',(#30473),#15202,.T.); #317989=ADVANCED_FACE('',(#30474),#15203,.T.); #317990=ADVANCED_FACE('',(#30475),#15204,.T.); #317991=ADVANCED_FACE('',(#30476),#15205,.T.); #317992=ADVANCED_FACE('',(#30477),#15206,.T.); #317993=ADVANCED_FACE('',(#30478),#15207,.T.); #317994=ADVANCED_FACE('',(#30479),#15208,.T.); #317995=ADVANCED_FACE('',(#30480),#15209,.T.); #317996=ADVANCED_FACE('',(#30481),#15210,.T.); #317997=ADVANCED_FACE('',(#30482),#15211,.T.); #317998=ADVANCED_FACE('',(#30483),#15212,.T.); #317999=ADVANCED_FACE('',(#30484),#15213,.T.); #318000=ADVANCED_FACE('',(#30485),#15214,.T.); #318001=ADVANCED_FACE('',(#30486),#15215,.T.); #318002=ADVANCED_FACE('',(#30487),#15216,.T.); #318003=ADVANCED_FACE('',(#30488),#15217,.T.); #318004=ADVANCED_FACE('',(#30489),#15218,.T.); #318005=ADVANCED_FACE('',(#30490),#15219,.T.); #318006=ADVANCED_FACE('',(#30491),#15220,.T.); #318007=ADVANCED_FACE('',(#30492),#15221,.T.); #318008=ADVANCED_FACE('',(#30493),#15222,.T.); #318009=ADVANCED_FACE('',(#30494),#15223,.T.); #318010=ADVANCED_FACE('',(#30495),#15224,.T.); #318011=ADVANCED_FACE('',(#30496),#15225,.T.); #318012=ADVANCED_FACE('',(#30497),#15226,.T.); #318013=ADVANCED_FACE('',(#30498),#15227,.T.); #318014=ADVANCED_FACE('',(#30499),#15228,.T.); #318015=ADVANCED_FACE('',(#30500),#15229,.T.); #318016=ADVANCED_FACE('',(#30501),#15230,.T.); #318017=ADVANCED_FACE('',(#30502),#15231,.T.); #318018=ADVANCED_FACE('',(#30503),#15232,.T.); #318019=ADVANCED_FACE('',(#30504),#15233,.T.); #318020=ADVANCED_FACE('',(#30505),#15234,.T.); #318021=ADVANCED_FACE('',(#30506),#15235,.T.); #318022=ADVANCED_FACE('',(#30507),#15236,.T.); #318023=ADVANCED_FACE('',(#30508),#15237,.T.); #318024=ADVANCED_FACE('',(#30509),#15238,.T.); #318025=ADVANCED_FACE('',(#30510),#15239,.T.); #318026=ADVANCED_FACE('',(#30511),#15240,.T.); #318027=ADVANCED_FACE('',(#30512),#15241,.T.); #318028=ADVANCED_FACE('',(#30513),#15242,.T.); #318029=ADVANCED_FACE('',(#30514),#15243,.T.); #318030=ADVANCED_FACE('',(#30515),#15244,.T.); #318031=ADVANCED_FACE('',(#30516),#15245,.T.); #318032=ADVANCED_FACE('',(#30517),#15246,.T.); #318033=ADVANCED_FACE('',(#30518),#15247,.T.); #318034=ADVANCED_FACE('',(#30519),#15248,.T.); #318035=ADVANCED_FACE('',(#30520),#15249,.T.); #318036=ADVANCED_FACE('',(#30521),#15250,.T.); #318037=ADVANCED_FACE('',(#30522),#15251,.T.); #318038=ADVANCED_FACE('',(#30523),#15252,.T.); #318039=ADVANCED_FACE('',(#30524),#15253,.T.); #318040=ADVANCED_FACE('',(#30525),#15254,.T.); #318041=ADVANCED_FACE('',(#30526),#15255,.T.); #318042=ADVANCED_FACE('',(#30527),#15256,.T.); #318043=ADVANCED_FACE('',(#30528),#15257,.T.); #318044=ADVANCED_FACE('',(#30529),#15258,.T.); #318045=ADVANCED_FACE('',(#30530),#15259,.T.); #318046=ADVANCED_FACE('',(#30531),#15260,.T.); #318047=ADVANCED_FACE('',(#30532),#15261,.T.); #318048=ADVANCED_FACE('',(#30533),#15262,.T.); #318049=ADVANCED_FACE('',(#30534),#15263,.T.); #318050=ADVANCED_FACE('',(#30535),#15264,.T.); #318051=ADVANCED_FACE('',(#30536),#15265,.T.); #318052=ADVANCED_FACE('',(#30537),#15266,.T.); #318053=ADVANCED_FACE('',(#30538),#15267,.T.); #318054=ADVANCED_FACE('',(#30539),#15268,.T.); #318055=ADVANCED_FACE('',(#30540),#15269,.T.); #318056=ADVANCED_FACE('',(#30541),#15270,.T.); #318057=ADVANCED_FACE('',(#30542),#15271,.T.); #318058=ADVANCED_FACE('',(#30543),#15272,.T.); #318059=ADVANCED_FACE('',(#30544),#15273,.T.); #318060=ADVANCED_FACE('',(#30545),#15274,.T.); #318061=ADVANCED_FACE('',(#30546),#15275,.T.); #318062=ADVANCED_FACE('',(#30547),#15276,.T.); #318063=ADVANCED_FACE('',(#30548),#15277,.T.); #318064=ADVANCED_FACE('',(#30549),#15278,.T.); #318065=ADVANCED_FACE('',(#30550),#15279,.T.); #318066=ADVANCED_FACE('',(#30551),#15280,.T.); #318067=ADVANCED_FACE('',(#30552),#15281,.T.); #318068=ADVANCED_FACE('',(#30553),#15282,.T.); #318069=ADVANCED_FACE('',(#30554),#15283,.T.); #318070=ADVANCED_FACE('',(#30555),#15284,.T.); #318071=ADVANCED_FACE('',(#30556),#15285,.T.); #318072=ADVANCED_FACE('',(#30557),#15286,.T.); #318073=ADVANCED_FACE('',(#30558),#15287,.T.); #318074=ADVANCED_FACE('',(#30559),#15288,.T.); #318075=ADVANCED_FACE('',(#30560),#15289,.T.); #318076=ADVANCED_FACE('',(#30561),#15290,.T.); #318077=ADVANCED_FACE('',(#30562),#15291,.T.); #318078=ADVANCED_FACE('',(#30563),#15292,.T.); #318079=ADVANCED_FACE('',(#30564),#15293,.T.); #318080=ADVANCED_FACE('',(#30565),#15294,.T.); #318081=ADVANCED_FACE('',(#30566),#15295,.T.); #318082=ADVANCED_FACE('',(#30567),#15296,.T.); #318083=ADVANCED_FACE('',(#30568),#15297,.T.); #318084=ADVANCED_FACE('',(#30569),#15298,.T.); #318085=ADVANCED_FACE('',(#30570),#15299,.T.); #318086=ADVANCED_FACE('',(#30571),#15300,.T.); #318087=ADVANCED_FACE('',(#30572),#15301,.T.); #318088=ADVANCED_FACE('',(#30573),#15302,.T.); #318089=ADVANCED_FACE('',(#30574),#15303,.T.); #318090=ADVANCED_FACE('',(#30575),#15304,.T.); #318091=ADVANCED_FACE('',(#30576),#15305,.T.); #318092=ADVANCED_FACE('',(#30577),#15306,.T.); #318093=ADVANCED_FACE('',(#30578),#15307,.T.); #318094=ADVANCED_FACE('',(#30579),#15308,.T.); #318095=ADVANCED_FACE('',(#30580),#15309,.T.); #318096=ADVANCED_FACE('',(#30581),#15310,.T.); #318097=ADVANCED_FACE('',(#30582),#15311,.T.); #318098=ADVANCED_FACE('',(#30583),#15312,.T.); #318099=ADVANCED_FACE('',(#30584),#15313,.T.); #318100=ADVANCED_FACE('',(#30585),#15314,.T.); #318101=ADVANCED_FACE('',(#30586),#15315,.T.); #318102=ADVANCED_FACE('',(#30587),#15316,.T.); #318103=ADVANCED_FACE('',(#30588),#15317,.T.); #318104=ADVANCED_FACE('',(#30589),#15318,.T.); #318105=ADVANCED_FACE('',(#30590),#15319,.T.); #318106=ADVANCED_FACE('',(#30591),#15320,.T.); #318107=ADVANCED_FACE('',(#30592),#15321,.T.); #318108=ADVANCED_FACE('',(#30593),#15322,.T.); #318109=ADVANCED_FACE('',(#30594),#15323,.T.); #318110=ADVANCED_FACE('',(#30595),#15324,.T.); #318111=ADVANCED_FACE('',(#30596),#15325,.T.); #318112=ADVANCED_FACE('',(#30597),#15326,.T.); #318113=ADVANCED_FACE('',(#30598),#15327,.T.); #318114=ADVANCED_FACE('',(#30599),#15328,.T.); #318115=ADVANCED_FACE('',(#30600),#15329,.T.); #318116=ADVANCED_FACE('',(#30601),#15330,.T.); #318117=ADVANCED_FACE('',(#30602),#15331,.T.); #318118=ADVANCED_FACE('',(#30603),#15332,.T.); #318119=ADVANCED_FACE('',(#30604),#15333,.T.); #318120=ADVANCED_FACE('',(#30605),#15334,.T.); #318121=ADVANCED_FACE('',(#30606),#15335,.T.); #318122=ADVANCED_FACE('',(#30607),#15336,.T.); #318123=ADVANCED_FACE('',(#30608),#15337,.T.); #318124=ADVANCED_FACE('',(#30609),#15338,.T.); #318125=ADVANCED_FACE('',(#30610),#15339,.T.); #318126=ADVANCED_FACE('',(#30611),#15340,.T.); #318127=ADVANCED_FACE('',(#30612),#15341,.T.); #318128=ADVANCED_FACE('',(#30613),#15342,.T.); #318129=ADVANCED_FACE('',(#30614),#15343,.T.); #318130=ADVANCED_FACE('',(#30615),#15344,.T.); #318131=ADVANCED_FACE('',(#30616),#15345,.T.); #318132=ADVANCED_FACE('',(#30617),#15346,.T.); #318133=ADVANCED_FACE('',(#30618),#15347,.T.); #318134=ADVANCED_FACE('',(#30619),#15348,.T.); #318135=ADVANCED_FACE('',(#30620),#15349,.T.); #318136=ADVANCED_FACE('',(#30621),#15350,.T.); #318137=ADVANCED_FACE('',(#30622),#15351,.T.); #318138=ADVANCED_FACE('',(#30623),#15352,.T.); #318139=ADVANCED_FACE('',(#30624),#15353,.T.); #318140=ADVANCED_FACE('',(#30625),#15354,.T.); #318141=ADVANCED_FACE('',(#30626),#15355,.T.); #318142=ADVANCED_FACE('',(#30627),#15356,.T.); #318143=ADVANCED_FACE('',(#30628),#15357,.T.); #318144=ADVANCED_FACE('',(#30629),#15358,.T.); #318145=ADVANCED_FACE('',(#30630),#15359,.T.); #318146=ADVANCED_FACE('',(#30631),#15360,.T.); #318147=ADVANCED_FACE('',(#30632),#15361,.T.); #318148=ADVANCED_FACE('',(#30633),#15362,.T.); #318149=ADVANCED_FACE('',(#30634),#15363,.T.); #318150=ADVANCED_FACE('',(#30635),#15364,.T.); #318151=ADVANCED_FACE('',(#30636),#15365,.T.); #318152=ADVANCED_FACE('',(#30637),#15366,.T.); #318153=ADVANCED_FACE('',(#30638),#15367,.T.); #318154=ADVANCED_FACE('',(#30639),#15368,.T.); #318155=ADVANCED_FACE('',(#30640),#15369,.T.); #318156=ADVANCED_FACE('',(#30641),#15370,.T.); #318157=ADVANCED_FACE('',(#30642),#15371,.T.); #318158=ADVANCED_FACE('',(#30643),#15372,.T.); #318159=ADVANCED_FACE('',(#30644),#15373,.T.); #318160=ADVANCED_FACE('',(#30645),#15374,.T.); #318161=ADVANCED_FACE('',(#30646),#15375,.T.); #318162=ADVANCED_FACE('',(#30647),#15376,.T.); #318163=ADVANCED_FACE('',(#30648),#15377,.T.); #318164=ADVANCED_FACE('',(#30649),#15378,.T.); #318165=ADVANCED_FACE('',(#30650),#15379,.T.); #318166=ADVANCED_FACE('',(#30651),#15380,.T.); #318167=ADVANCED_FACE('',(#30652),#15381,.T.); #318168=ADVANCED_FACE('',(#30653),#15382,.T.); #318169=ADVANCED_FACE('',(#30654),#15383,.T.); #318170=ADVANCED_FACE('',(#30655),#15384,.T.); #318171=ADVANCED_FACE('',(#30656),#15385,.T.); #318172=ADVANCED_FACE('',(#30657),#15386,.T.); #318173=ADVANCED_FACE('',(#30658),#15387,.T.); #318174=ADVANCED_FACE('',(#30659),#15388,.T.); #318175=ADVANCED_FACE('',(#30660),#15389,.T.); #318176=ADVANCED_FACE('',(#30661),#15390,.T.); #318177=ADVANCED_FACE('',(#30662),#15391,.T.); #318178=ADVANCED_FACE('',(#30663),#15392,.T.); #318179=ADVANCED_FACE('',(#30664),#15393,.T.); #318180=ADVANCED_FACE('',(#30665),#15394,.T.); #318181=ADVANCED_FACE('',(#30666),#15395,.T.); #318182=ADVANCED_FACE('',(#30667),#15396,.T.); #318183=ADVANCED_FACE('',(#30668),#15397,.T.); #318184=ADVANCED_FACE('',(#30669),#15398,.T.); #318185=ADVANCED_FACE('',(#30670),#15399,.T.); #318186=ADVANCED_FACE('',(#30671),#15400,.T.); #318187=ADVANCED_FACE('',(#30672),#15401,.T.); #318188=ADVANCED_FACE('',(#30673),#15402,.T.); #318189=ADVANCED_FACE('',(#30674),#15403,.T.); #318190=ADVANCED_FACE('',(#30675),#15404,.T.); #318191=ADVANCED_FACE('',(#30676),#15405,.T.); #318192=ADVANCED_FACE('',(#30677),#15406,.T.); #318193=ADVANCED_FACE('',(#30678),#15407,.T.); #318194=ADVANCED_FACE('',(#30679),#15408,.T.); #318195=ADVANCED_FACE('',(#30680),#15409,.T.); #318196=ADVANCED_FACE('',(#30681),#15410,.T.); #318197=ADVANCED_FACE('',(#30682),#15411,.T.); #318198=ADVANCED_FACE('',(#30683),#15412,.T.); #318199=ADVANCED_FACE('',(#30684),#15413,.T.); #318200=ADVANCED_FACE('',(#30685),#15414,.T.); #318201=ADVANCED_FACE('',(#30686),#15415,.T.); #318202=ADVANCED_FACE('',(#30687),#15416,.T.); #318203=ADVANCED_FACE('',(#30688),#15417,.T.); #318204=ADVANCED_FACE('',(#30689),#15418,.T.); #318205=ADVANCED_FACE('',(#30690),#15419,.T.); #318206=ADVANCED_FACE('',(#30691),#15420,.T.); #318207=ADVANCED_FACE('',(#30692),#15421,.T.); #318208=ADVANCED_FACE('',(#30693),#15422,.T.); #318209=ADVANCED_FACE('',(#30694),#15423,.T.); #318210=ADVANCED_FACE('',(#30695),#15424,.T.); #318211=ADVANCED_FACE('',(#30696),#15425,.T.); #318212=ADVANCED_FACE('',(#30697),#15426,.T.); #318213=ADVANCED_FACE('',(#30698),#15427,.T.); #318214=ADVANCED_FACE('',(#30699),#15428,.T.); #318215=ADVANCED_FACE('',(#30700),#15429,.T.); #318216=ADVANCED_FACE('',(#30701),#15430,.T.); #318217=ADVANCED_FACE('',(#30702),#15431,.T.); #318218=ADVANCED_FACE('',(#30703),#15432,.T.); #318219=ADVANCED_FACE('',(#30704),#15433,.T.); #318220=ADVANCED_FACE('',(#30705),#15434,.T.); #318221=ADVANCED_FACE('',(#30706),#15435,.T.); #318222=ADVANCED_FACE('',(#30707),#15436,.T.); #318223=ADVANCED_FACE('',(#30708),#15437,.T.); #318224=ADVANCED_FACE('',(#30709),#15438,.T.); #318225=ADVANCED_FACE('',(#30710),#15439,.T.); #318226=ADVANCED_FACE('',(#30711),#15440,.T.); #318227=ADVANCED_FACE('',(#30712),#15441,.T.); #318228=ADVANCED_FACE('',(#30713),#15442,.T.); #318229=ADVANCED_FACE('',(#30714),#15443,.T.); #318230=ADVANCED_FACE('',(#30715),#15444,.T.); #318231=ADVANCED_FACE('',(#30716),#15445,.T.); #318232=ADVANCED_FACE('',(#30717),#15446,.T.); #318233=ADVANCED_FACE('',(#30718),#15447,.T.); #318234=ADVANCED_FACE('',(#30719),#15448,.T.); #318235=ADVANCED_FACE('',(#30720),#15449,.T.); #318236=ADVANCED_FACE('',(#30721),#15450,.T.); #318237=ADVANCED_FACE('',(#30722),#15451,.T.); #318238=ADVANCED_FACE('',(#30723),#15452,.T.); #318239=ADVANCED_FACE('',(#30724),#15453,.T.); #318240=ADVANCED_FACE('',(#30725),#15454,.T.); #318241=ADVANCED_FACE('',(#30726),#15455,.T.); #318242=ADVANCED_FACE('',(#30727),#15456,.T.); #318243=ADVANCED_FACE('',(#30728),#15457,.T.); #318244=ADVANCED_FACE('',(#30729),#15458,.T.); #318245=ADVANCED_FACE('',(#30730),#15459,.T.); #318246=ADVANCED_FACE('',(#30731),#15460,.T.); #318247=ADVANCED_FACE('',(#30732),#15461,.T.); #318248=ADVANCED_FACE('',(#30733),#15462,.T.); #318249=ADVANCED_FACE('',(#30734),#15463,.T.); #318250=ADVANCED_FACE('',(#30735),#15464,.T.); #318251=ADVANCED_FACE('',(#30736),#15465,.T.); #318252=ADVANCED_FACE('',(#30737),#15466,.T.); #318253=ADVANCED_FACE('',(#30738),#15467,.T.); #318254=ADVANCED_FACE('',(#30739),#15468,.T.); #318255=ADVANCED_FACE('',(#30740),#15469,.T.); #318256=ADVANCED_FACE('',(#30741),#15470,.T.); #318257=ADVANCED_FACE('',(#30742),#15471,.T.); #318258=ADVANCED_FACE('',(#30743),#15472,.T.); #318259=ADVANCED_FACE('',(#30744),#15473,.T.); #318260=ADVANCED_FACE('',(#30745),#15474,.T.); #318261=ADVANCED_FACE('',(#30746),#15475,.T.); #318262=ADVANCED_FACE('',(#30747),#15476,.T.); #318263=ADVANCED_FACE('',(#30748),#15477,.T.); #318264=ADVANCED_FACE('',(#30749),#15478,.T.); #318265=ADVANCED_FACE('',(#30750),#15479,.T.); #318266=ADVANCED_FACE('',(#30751),#15480,.T.); #318267=ADVANCED_FACE('',(#30752),#15481,.T.); #318268=ADVANCED_FACE('',(#30753),#15482,.T.); #318269=ADVANCED_FACE('',(#30754),#15483,.T.); #318270=ADVANCED_FACE('',(#30755),#15484,.T.); #318271=ADVANCED_FACE('',(#30756),#15485,.T.); #318272=ADVANCED_FACE('',(#30757),#15486,.T.); #318273=ADVANCED_FACE('',(#30758),#15487,.T.); #318274=ADVANCED_FACE('',(#30759),#15488,.T.); #318275=ADVANCED_FACE('',(#30760),#15489,.T.); #318276=ADVANCED_FACE('',(#30761),#15490,.T.); #318277=ADVANCED_FACE('',(#30762),#15491,.T.); #318278=ADVANCED_FACE('',(#30763),#15492,.T.); #318279=ADVANCED_FACE('',(#30764),#15493,.T.); #318280=ADVANCED_FACE('',(#30765),#15494,.T.); #318281=ADVANCED_FACE('',(#30766),#15495,.T.); #318282=ADVANCED_FACE('',(#30767),#15496,.T.); #318283=ADVANCED_FACE('',(#30768),#15497,.T.); #318284=ADVANCED_FACE('',(#30769),#15498,.T.); #318285=ADVANCED_FACE('',(#30770),#15499,.T.); #318286=ADVANCED_FACE('',(#30771),#15500,.T.); #318287=ADVANCED_FACE('',(#30772),#15501,.T.); #318288=ADVANCED_FACE('',(#30773),#15502,.T.); #318289=ADVANCED_FACE('',(#30774),#15503,.T.); #318290=ADVANCED_FACE('',(#30775),#15504,.T.); #318291=ADVANCED_FACE('',(#30776),#15505,.T.); #318292=ADVANCED_FACE('',(#30777),#15506,.T.); #318293=ADVANCED_FACE('',(#30778),#15507,.T.); #318294=ADVANCED_FACE('',(#30779),#15508,.T.); #318295=ADVANCED_FACE('',(#30780),#15509,.T.); #318296=ADVANCED_FACE('',(#30781),#15510,.T.); #318297=ADVANCED_FACE('',(#30782),#15511,.T.); #318298=ADVANCED_FACE('',(#30783),#15512,.T.); #318299=ADVANCED_FACE('',(#30784),#15513,.T.); #318300=ADVANCED_FACE('',(#30785),#15514,.T.); #318301=ADVANCED_FACE('',(#30786),#15515,.T.); #318302=ADVANCED_FACE('',(#30787),#15516,.T.); #318303=ADVANCED_FACE('',(#30788),#15517,.T.); #318304=ADVANCED_FACE('',(#30789),#15518,.T.); #318305=ADVANCED_FACE('',(#30790),#15519,.T.); #318306=ADVANCED_FACE('',(#30791),#15520,.T.); #318307=ADVANCED_FACE('',(#30792),#15521,.T.); #318308=ADVANCED_FACE('',(#30793),#15522,.T.); #318309=ADVANCED_FACE('',(#30794),#15523,.T.); #318310=ADVANCED_FACE('',(#30795),#15524,.T.); #318311=ADVANCED_FACE('',(#30796),#15525,.T.); #318312=ADVANCED_FACE('',(#30797),#15526,.T.); #318313=ADVANCED_FACE('',(#30798),#15527,.T.); #318314=ADVANCED_FACE('',(#30799),#15528,.T.); #318315=ADVANCED_FACE('',(#30800),#15529,.T.); #318316=ADVANCED_FACE('',(#30801),#15530,.T.); #318317=ADVANCED_FACE('',(#30802),#15531,.T.); #318318=ADVANCED_FACE('',(#30803),#15532,.T.); #318319=ADVANCED_FACE('',(#30804),#15533,.T.); #318320=ADVANCED_FACE('',(#30805),#15534,.T.); #318321=ADVANCED_FACE('',(#30806),#15535,.T.); #318322=ADVANCED_FACE('',(#30807),#15536,.T.); #318323=ADVANCED_FACE('',(#30808),#15537,.T.); #318324=ADVANCED_FACE('',(#30809),#15538,.T.); #318325=ADVANCED_FACE('',(#30810),#15539,.T.); #318326=ADVANCED_FACE('',(#30811),#15540,.T.); #318327=ADVANCED_FACE('',(#30812),#15541,.T.); #318328=ADVANCED_FACE('',(#30813),#15542,.T.); #318329=ADVANCED_FACE('',(#30814),#15543,.T.); #318330=ADVANCED_FACE('',(#30815),#15544,.T.); #318331=ADVANCED_FACE('',(#30816),#15545,.T.); #318332=ADVANCED_FACE('',(#30817),#15546,.T.); #318333=ADVANCED_FACE('',(#30818),#15547,.T.); #318334=ADVANCED_FACE('',(#30819),#15548,.T.); #318335=ADVANCED_FACE('',(#30820),#15549,.T.); #318336=ADVANCED_FACE('',(#30821),#15550,.T.); #318337=ADVANCED_FACE('',(#30822),#15551,.T.); #318338=ADVANCED_FACE('',(#30823),#15552,.T.); #318339=ADVANCED_FACE('',(#30824),#15553,.T.); #318340=ADVANCED_FACE('',(#30825),#15554,.T.); #318341=ADVANCED_FACE('',(#30826),#15555,.T.); #318342=ADVANCED_FACE('',(#30827),#15556,.T.); #318343=ADVANCED_FACE('',(#30828),#15557,.T.); #318344=ADVANCED_FACE('',(#30829),#15558,.T.); #318345=ADVANCED_FACE('',(#30830),#15559,.T.); #318346=ADVANCED_FACE('',(#30831),#15560,.T.); #318347=ADVANCED_FACE('',(#30832),#15561,.T.); #318348=ADVANCED_FACE('',(#30833),#15562,.T.); #318349=ADVANCED_FACE('',(#30834),#15563,.T.); #318350=ADVANCED_FACE('',(#30835),#15564,.T.); #318351=ADVANCED_FACE('',(#30836),#15565,.T.); #318352=ADVANCED_FACE('',(#30837),#15566,.T.); #318353=ADVANCED_FACE('',(#30838),#15567,.T.); #318354=ADVANCED_FACE('',(#30839),#15568,.T.); #318355=ADVANCED_FACE('',(#30840),#15569,.T.); #318356=ADVANCED_FACE('',(#30841),#15570,.T.); #318357=ADVANCED_FACE('',(#30842),#15571,.T.); #318358=ADVANCED_FACE('',(#30843),#15572,.T.); #318359=ADVANCED_FACE('',(#30844),#15573,.T.); #318360=ADVANCED_FACE('',(#30845),#15574,.T.); #318361=ADVANCED_FACE('',(#30846),#15575,.T.); #318362=ADVANCED_FACE('',(#30847),#15576,.T.); #318363=ADVANCED_FACE('',(#30848),#15577,.T.); #318364=ADVANCED_FACE('',(#30849),#15578,.T.); #318365=ADVANCED_FACE('',(#30850),#15579,.T.); #318366=ADVANCED_FACE('',(#30851),#15580,.T.); #318367=ADVANCED_FACE('',(#30852),#15581,.T.); #318368=ADVANCED_FACE('',(#30853),#15582,.T.); #318369=ADVANCED_FACE('',(#30854),#15583,.T.); #318370=ADVANCED_FACE('',(#30855),#15584,.T.); #318371=ADVANCED_FACE('',(#30856),#15585,.T.); #318372=ADVANCED_FACE('',(#30857),#15586,.T.); #318373=ADVANCED_FACE('',(#30858),#15587,.T.); #318374=ADVANCED_FACE('',(#30859),#15588,.T.); #318375=ADVANCED_FACE('',(#30860),#15589,.T.); #318376=ADVANCED_FACE('',(#30861),#15590,.T.); #318377=ADVANCED_FACE('',(#30862),#15591,.T.); #318378=ADVANCED_FACE('',(#30863),#15592,.T.); #318379=ADVANCED_FACE('',(#30864),#15593,.T.); #318380=ADVANCED_FACE('',(#30865),#15594,.T.); #318381=ADVANCED_FACE('',(#30866),#15595,.T.); #318382=ADVANCED_FACE('',(#30867),#15596,.T.); #318383=ADVANCED_FACE('',(#30868),#15597,.T.); #318384=ADVANCED_FACE('',(#30869),#15598,.T.); #318385=ADVANCED_FACE('',(#30870),#15599,.T.); #318386=ADVANCED_FACE('',(#30871),#15600,.T.); #318387=ADVANCED_FACE('',(#30872),#15601,.T.); #318388=ADVANCED_FACE('',(#30873),#15602,.T.); #318389=ADVANCED_FACE('',(#30874),#15603,.T.); #318390=ADVANCED_FACE('',(#30875),#15604,.T.); #318391=ADVANCED_FACE('',(#30876),#15605,.T.); #318392=ADVANCED_FACE('',(#30877),#15606,.T.); #318393=ADVANCED_FACE('',(#30878),#15607,.T.); #318394=ADVANCED_FACE('',(#30879),#15608,.T.); #318395=ADVANCED_FACE('',(#30880),#15609,.T.); #318396=ADVANCED_FACE('',(#30881),#15610,.T.); #318397=ADVANCED_FACE('',(#30882),#15611,.T.); #318398=ADVANCED_FACE('',(#30883),#15612,.T.); #318399=ADVANCED_FACE('',(#30884),#15613,.T.); #318400=ADVANCED_FACE('',(#30885),#15614,.T.); #318401=ADVANCED_FACE('',(#30886),#15615,.T.); #318402=ADVANCED_FACE('',(#30887),#15616,.T.); #318403=ADVANCED_FACE('',(#30888),#15617,.T.); #318404=ADVANCED_FACE('',(#30889),#15618,.T.); #318405=ADVANCED_FACE('',(#30890),#15619,.T.); #318406=ADVANCED_FACE('',(#30891),#15620,.T.); #318407=ADVANCED_FACE('',(#30892),#15621,.T.); #318408=ADVANCED_FACE('',(#30893),#15622,.T.); #318409=ADVANCED_FACE('',(#30894),#15623,.T.); #318410=ADVANCED_FACE('',(#30895),#15624,.T.); #318411=ADVANCED_FACE('',(#30896),#15625,.T.); #318412=ADVANCED_FACE('',(#30897),#15626,.T.); #318413=ADVANCED_FACE('',(#30898),#15627,.T.); #318414=ADVANCED_FACE('',(#30899),#15628,.T.); #318415=ADVANCED_FACE('',(#30900),#15629,.T.); #318416=ADVANCED_FACE('',(#30901),#15630,.T.); #318417=ADVANCED_FACE('',(#30902),#15631,.T.); #318418=ADVANCED_FACE('',(#30903),#15632,.T.); #318419=ADVANCED_FACE('',(#30904),#15633,.T.); #318420=ADVANCED_FACE('',(#30905),#15634,.T.); #318421=ADVANCED_FACE('',(#30906),#15635,.T.); #318422=ADVANCED_FACE('',(#30907),#15636,.T.); #318423=ADVANCED_FACE('',(#30908),#15637,.T.); #318424=ADVANCED_FACE('',(#30909),#15638,.T.); #318425=ADVANCED_FACE('',(#30910),#15639,.T.); #318426=ADVANCED_FACE('',(#30911),#15640,.T.); #318427=ADVANCED_FACE('',(#30912),#15641,.T.); #318428=ADVANCED_FACE('',(#30913),#15642,.T.); #318429=ADVANCED_FACE('',(#30914),#15643,.T.); #318430=ADVANCED_FACE('',(#30915),#15644,.T.); #318431=ADVANCED_FACE('',(#30916),#15645,.T.); #318432=ADVANCED_FACE('',(#30917),#15646,.T.); #318433=ADVANCED_FACE('',(#30918),#15647,.T.); #318434=ADVANCED_FACE('',(#30919),#15648,.T.); #318435=ADVANCED_FACE('',(#30920),#15649,.T.); #318436=ADVANCED_FACE('',(#30921),#15650,.T.); #318437=ADVANCED_FACE('',(#30922),#15651,.T.); #318438=ADVANCED_FACE('',(#30923),#15652,.T.); #318439=ADVANCED_FACE('',(#30924),#15653,.T.); #318440=ADVANCED_FACE('',(#30925),#15654,.T.); #318441=ADVANCED_FACE('',(#30926),#15655,.T.); #318442=ADVANCED_FACE('',(#30927),#15656,.T.); #318443=ADVANCED_FACE('',(#30928),#15657,.T.); #318444=ADVANCED_FACE('',(#30929),#15658,.T.); #318445=ADVANCED_FACE('',(#30930),#15659,.T.); #318446=ADVANCED_FACE('',(#30931),#15660,.T.); #318447=ADVANCED_FACE('',(#30932),#15661,.T.); #318448=ADVANCED_FACE('',(#30933),#15662,.T.); #318449=ADVANCED_FACE('',(#30934),#15663,.T.); #318450=ADVANCED_FACE('',(#30935),#15664,.T.); #318451=ADVANCED_FACE('',(#30936),#15665,.T.); #318452=ADVANCED_FACE('',(#30937),#15666,.T.); #318453=ADVANCED_FACE('',(#30938),#15667,.T.); #318454=ADVANCED_FACE('',(#30939),#15668,.T.); #318455=ADVANCED_FACE('',(#30940),#15669,.T.); #318456=ADVANCED_FACE('',(#30941),#15670,.T.); #318457=ADVANCED_FACE('',(#30942),#15671,.T.); #318458=ADVANCED_FACE('',(#30943),#15672,.T.); #318459=ADVANCED_FACE('',(#30944),#15673,.T.); #318460=ADVANCED_FACE('',(#30945),#15674,.T.); #318461=ADVANCED_FACE('',(#30946),#15675,.T.); #318462=ADVANCED_FACE('',(#30947),#15676,.T.); #318463=ADVANCED_FACE('',(#30948),#15677,.T.); #318464=ADVANCED_FACE('',(#30949),#15678,.T.); #318465=ADVANCED_FACE('',(#30950),#15679,.T.); #318466=ADVANCED_FACE('',(#30951),#15680,.T.); #318467=ADVANCED_FACE('',(#30952),#15681,.T.); #318468=ADVANCED_FACE('',(#30953),#15682,.T.); #318469=ADVANCED_FACE('',(#30954),#15683,.T.); #318470=ADVANCED_FACE('',(#30955),#15684,.T.); #318471=ADVANCED_FACE('',(#30956),#15685,.T.); #318472=ADVANCED_FACE('',(#30957),#15686,.T.); #318473=ADVANCED_FACE('',(#30958),#15687,.T.); #318474=ADVANCED_FACE('',(#30959),#15688,.T.); #318475=ADVANCED_FACE('',(#30960),#15689,.T.); #318476=ADVANCED_FACE('',(#30961),#15690,.T.); #318477=ADVANCED_FACE('',(#30962),#15691,.T.); #318478=ADVANCED_FACE('',(#30963),#15692,.T.); #318479=ADVANCED_FACE('',(#30964),#15693,.T.); #318480=ADVANCED_FACE('',(#30965),#15694,.T.); #318481=ADVANCED_FACE('',(#30966),#15695,.T.); #318482=ADVANCED_FACE('',(#30967),#15696,.T.); #318483=ADVANCED_FACE('',(#30968),#15697,.T.); #318484=ADVANCED_FACE('',(#30969),#15698,.T.); #318485=ADVANCED_FACE('',(#30970),#15699,.T.); #318486=ADVANCED_FACE('',(#30971),#15700,.T.); #318487=ADVANCED_FACE('',(#30972),#15701,.T.); #318488=ADVANCED_FACE('',(#30973),#15702,.T.); #318489=ADVANCED_FACE('',(#30974),#15703,.T.); #318490=ADVANCED_FACE('',(#30975),#15704,.T.); #318491=ADVANCED_FACE('',(#30976),#15705,.T.); #318492=ADVANCED_FACE('',(#30977),#15706,.T.); #318493=ADVANCED_FACE('',(#30978),#15707,.T.); #318494=ADVANCED_FACE('',(#30979),#15708,.T.); #318495=ADVANCED_FACE('',(#30980),#15709,.T.); #318496=ADVANCED_FACE('',(#30981),#15710,.T.); #318497=ADVANCED_FACE('',(#30982),#15711,.T.); #318498=ADVANCED_FACE('',(#30983),#15712,.T.); #318499=ADVANCED_FACE('',(#30984),#15713,.T.); #318500=ADVANCED_FACE('',(#30985),#15714,.T.); #318501=ADVANCED_FACE('',(#30986),#15715,.T.); #318502=ADVANCED_FACE('',(#30987),#15716,.T.); #318503=ADVANCED_FACE('',(#30988),#15717,.T.); #318504=ADVANCED_FACE('',(#30989),#15718,.T.); #318505=ADVANCED_FACE('',(#30990),#15719,.T.); #318506=ADVANCED_FACE('',(#30991),#15720,.T.); #318507=ADVANCED_FACE('',(#30992),#15721,.T.); #318508=ADVANCED_FACE('',(#30993),#15722,.T.); #318509=ADVANCED_FACE('',(#30994),#15723,.T.); #318510=ADVANCED_FACE('',(#30995),#15724,.T.); #318511=ADVANCED_FACE('',(#30996),#15725,.T.); #318512=ADVANCED_FACE('',(#30997),#15726,.T.); #318513=ADVANCED_FACE('',(#30998),#15727,.T.); #318514=ADVANCED_FACE('',(#30999),#15728,.T.); #318515=ADVANCED_FACE('',(#31000),#15729,.T.); #318516=ADVANCED_FACE('',(#31001),#15730,.T.); #318517=ADVANCED_FACE('',(#31002),#15731,.T.); #318518=ADVANCED_FACE('',(#31003),#15732,.T.); #318519=ADVANCED_FACE('',(#31004),#15733,.T.); #318520=ADVANCED_FACE('',(#31005),#15734,.T.); #318521=ADVANCED_FACE('',(#31006),#15735,.T.); #318522=ADVANCED_FACE('',(#31007),#15736,.T.); #318523=ADVANCED_FACE('',(#31008),#15737,.T.); #318524=ADVANCED_FACE('',(#31009),#15738,.T.); #318525=ADVANCED_FACE('',(#31010),#15739,.T.); #318526=ADVANCED_FACE('',(#31011),#15740,.T.); #318527=ADVANCED_FACE('',(#31012),#15741,.T.); #318528=ADVANCED_FACE('',(#31013),#15742,.T.); #318529=ADVANCED_FACE('',(#31014),#15743,.T.); #318530=ADVANCED_FACE('',(#31015),#15744,.T.); #318531=ADVANCED_FACE('',(#31016),#15745,.T.); #318532=ADVANCED_FACE('',(#31017),#15746,.T.); #318533=ADVANCED_FACE('',(#31018),#15747,.T.); #318534=ADVANCED_FACE('',(#31019),#15748,.T.); #318535=ADVANCED_FACE('',(#31020),#15749,.T.); #318536=ADVANCED_FACE('',(#31021),#15750,.T.); #318537=ADVANCED_FACE('',(#31022),#15751,.T.); #318538=ADVANCED_FACE('',(#31023),#15752,.T.); #318539=ADVANCED_FACE('',(#31024),#15753,.T.); #318540=ADVANCED_FACE('',(#31025),#15754,.T.); #318541=ADVANCED_FACE('',(#31026),#15755,.T.); #318542=ADVANCED_FACE('',(#31027),#15756,.T.); #318543=ADVANCED_FACE('',(#31028),#15757,.T.); #318544=ADVANCED_FACE('',(#31029),#15758,.T.); #318545=ADVANCED_FACE('',(#31030),#15759,.T.); #318546=ADVANCED_FACE('',(#31031),#15760,.T.); #318547=ADVANCED_FACE('',(#31032),#15761,.T.); #318548=ADVANCED_FACE('',(#31033),#15762,.T.); #318549=ADVANCED_FACE('',(#31034),#15763,.T.); #318550=ADVANCED_FACE('',(#31035),#15764,.T.); #318551=ADVANCED_FACE('',(#31036),#15765,.T.); #318552=ADVANCED_FACE('',(#31037),#15766,.T.); #318553=ADVANCED_FACE('',(#31038),#15767,.T.); #318554=ADVANCED_FACE('',(#31039),#15768,.T.); #318555=ADVANCED_FACE('',(#31040),#15769,.T.); #318556=ADVANCED_FACE('',(#31041),#15770,.T.); #318557=ADVANCED_FACE('',(#31042),#15771,.T.); #318558=ADVANCED_FACE('',(#31043),#15772,.T.); #318559=ADVANCED_FACE('',(#31044),#15773,.T.); #318560=ADVANCED_FACE('',(#31045),#15774,.T.); #318561=ADVANCED_FACE('',(#31046),#15775,.T.); #318562=ADVANCED_FACE('',(#31047),#15776,.T.); #318563=ADVANCED_FACE('',(#31048),#15777,.T.); #318564=ADVANCED_FACE('',(#31049),#15778,.T.); #318565=ADVANCED_FACE('',(#31050),#15779,.T.); #318566=ADVANCED_FACE('',(#31051),#15780,.T.); #318567=ADVANCED_FACE('',(#31052),#15781,.T.); #318568=ADVANCED_FACE('',(#31053),#15782,.T.); #318569=ADVANCED_FACE('',(#31054),#15783,.T.); #318570=ADVANCED_FACE('',(#31055),#15784,.T.); #318571=ADVANCED_FACE('',(#31056),#15785,.T.); #318572=ADVANCED_FACE('',(#31057),#15786,.T.); #318573=ADVANCED_FACE('',(#31058),#15787,.T.); #318574=ADVANCED_FACE('',(#31059),#15788,.T.); #318575=ADVANCED_FACE('',(#31060),#15789,.T.); #318576=ADVANCED_FACE('',(#31061),#15790,.T.); #318577=ADVANCED_FACE('',(#31062),#15791,.T.); #318578=ADVANCED_FACE('',(#31063),#15792,.T.); #318579=ADVANCED_FACE('',(#31064),#15793,.T.); #318580=ADVANCED_FACE('',(#31065),#15794,.T.); #318581=ADVANCED_FACE('',(#31066),#15795,.T.); #318582=ADVANCED_FACE('',(#31067),#15796,.T.); #318583=ADVANCED_FACE('',(#31068),#15797,.T.); #318584=ADVANCED_FACE('',(#31069),#15798,.T.); #318585=ADVANCED_FACE('',(#31070),#15799,.T.); #318586=ADVANCED_FACE('',(#31071),#15800,.T.); #318587=ADVANCED_FACE('',(#31072),#15801,.T.); #318588=ADVANCED_FACE('',(#31073),#15802,.T.); #318589=ADVANCED_FACE('',(#31074),#15803,.T.); #318590=ADVANCED_FACE('',(#31075),#15804,.T.); #318591=ADVANCED_FACE('',(#31076),#15805,.T.); #318592=ADVANCED_FACE('',(#31077),#15806,.T.); #318593=ADVANCED_FACE('',(#31078),#15807,.T.); #318594=ADVANCED_FACE('',(#31079),#15808,.T.); #318595=ADVANCED_FACE('',(#31080),#15809,.T.); #318596=ADVANCED_FACE('',(#31081),#15810,.T.); #318597=ADVANCED_FACE('',(#31082),#15811,.T.); #318598=ADVANCED_FACE('',(#31083),#15812,.T.); #318599=ADVANCED_FACE('',(#31084),#15813,.T.); #318600=ADVANCED_FACE('',(#31085),#15814,.T.); #318601=ADVANCED_FACE('',(#31086),#15815,.T.); #318602=ADVANCED_FACE('',(#31087),#15816,.T.); #318603=ADVANCED_FACE('',(#31088),#15817,.T.); #318604=ADVANCED_FACE('',(#31089),#15818,.T.); #318605=ADVANCED_FACE('',(#31090),#15819,.T.); #318606=ADVANCED_FACE('',(#31091),#15820,.T.); #318607=ADVANCED_FACE('',(#31092),#15821,.T.); #318608=ADVANCED_FACE('',(#31093),#15822,.T.); #318609=ADVANCED_FACE('',(#31094),#15823,.T.); #318610=ADVANCED_FACE('',(#31095),#15824,.T.); #318611=ADVANCED_FACE('',(#31096),#15825,.T.); #318612=ADVANCED_FACE('',(#31097),#15826,.T.); #318613=ADVANCED_FACE('',(#31098),#15827,.T.); #318614=ADVANCED_FACE('',(#31099),#15828,.T.); #318615=ADVANCED_FACE('',(#31100),#15829,.T.); #318616=ADVANCED_FACE('',(#31101),#15830,.T.); #318617=ADVANCED_FACE('',(#31102),#15831,.T.); #318618=ADVANCED_FACE('',(#31103),#15832,.T.); #318619=ADVANCED_FACE('',(#31104),#15833,.T.); #318620=ADVANCED_FACE('',(#31105),#15834,.T.); #318621=ADVANCED_FACE('',(#31106),#15835,.T.); #318622=ADVANCED_FACE('',(#31107),#15836,.T.); #318623=ADVANCED_FACE('',(#31108),#15837,.T.); #318624=ADVANCED_FACE('',(#31109),#15838,.T.); #318625=ADVANCED_FACE('',(#31110),#15839,.T.); #318626=ADVANCED_FACE('',(#31111),#15840,.T.); #318627=ADVANCED_FACE('',(#31112),#15841,.T.); #318628=ADVANCED_FACE('',(#31113),#15842,.T.); #318629=ADVANCED_FACE('',(#31114),#15843,.T.); #318630=ADVANCED_FACE('',(#31115),#15844,.T.); #318631=ADVANCED_FACE('',(#31116),#15845,.T.); #318632=ADVANCED_FACE('',(#31117),#15846,.T.); #318633=ADVANCED_FACE('',(#31118),#15847,.T.); #318634=ADVANCED_FACE('',(#31119),#15848,.T.); #318635=ADVANCED_FACE('',(#31120),#15849,.T.); #318636=ADVANCED_FACE('',(#31121),#15850,.T.); #318637=ADVANCED_FACE('',(#31122),#15851,.T.); #318638=ADVANCED_FACE('',(#31123),#15852,.T.); #318639=ADVANCED_FACE('',(#31124),#15853,.T.); #318640=ADVANCED_FACE('',(#31125),#15854,.T.); #318641=ADVANCED_FACE('',(#31126),#15855,.T.); #318642=ADVANCED_FACE('',(#31127),#15856,.T.); #318643=ADVANCED_FACE('',(#31128),#15857,.T.); #318644=ADVANCED_FACE('',(#31129),#15858,.T.); #318645=ADVANCED_FACE('',(#31130),#15859,.T.); #318646=ADVANCED_FACE('',(#31131),#15860,.T.); #318647=ADVANCED_FACE('',(#31132),#15861,.T.); #318648=ADVANCED_FACE('',(#31133),#15862,.T.); #318649=ADVANCED_FACE('',(#31134),#15863,.T.); #318650=ADVANCED_FACE('',(#31135),#15864,.T.); #318651=ADVANCED_FACE('',(#31136),#15865,.T.); #318652=ADVANCED_FACE('',(#31137),#15866,.T.); #318653=ADVANCED_FACE('',(#31138),#15867,.T.); #318654=ADVANCED_FACE('',(#31139),#15868,.T.); #318655=ADVANCED_FACE('',(#31140),#15869,.T.); #318656=ADVANCED_FACE('',(#31141),#15870,.T.); #318657=ADVANCED_FACE('',(#31142),#15871,.T.); #318658=ADVANCED_FACE('',(#31143),#15872,.T.); #318659=ADVANCED_FACE('',(#31144),#15873,.T.); #318660=ADVANCED_FACE('',(#31145),#15874,.T.); #318661=ADVANCED_FACE('',(#31146),#15875,.T.); #318662=ADVANCED_FACE('',(#31147),#15876,.T.); #318663=ADVANCED_FACE('',(#31148),#15877,.T.); #318664=ADVANCED_FACE('',(#31149),#15878,.T.); #318665=ADVANCED_FACE('',(#31150),#15879,.T.); #318666=ADVANCED_FACE('',(#31151),#15880,.T.); #318667=ADVANCED_FACE('',(#31152),#15881,.T.); #318668=ADVANCED_FACE('',(#31153),#15882,.T.); #318669=ADVANCED_FACE('',(#31154),#15883,.T.); #318670=ADVANCED_FACE('',(#31155),#15884,.T.); #318671=ADVANCED_FACE('',(#31156),#15885,.T.); #318672=ADVANCED_FACE('',(#31157),#15886,.T.); #318673=ADVANCED_FACE('',(#31158),#15887,.T.); #318674=ADVANCED_FACE('',(#31159),#15888,.T.); #318675=ADVANCED_FACE('',(#31160),#15889,.T.); #318676=ADVANCED_FACE('',(#31161),#15890,.T.); #318677=ADVANCED_FACE('',(#31162),#15891,.T.); #318678=ADVANCED_FACE('',(#31163),#15892,.T.); #318679=ADVANCED_FACE('',(#31164),#15893,.T.); #318680=ADVANCED_FACE('',(#31165),#15894,.T.); #318681=ADVANCED_FACE('',(#31166),#15895,.T.); #318682=ADVANCED_FACE('',(#31167),#15896,.T.); #318683=ADVANCED_FACE('',(#31168),#15897,.T.); #318684=ADVANCED_FACE('',(#31169),#15898,.T.); #318685=ADVANCED_FACE('',(#31170),#15899,.T.); #318686=ADVANCED_FACE('',(#31171),#15900,.T.); #318687=ADVANCED_FACE('',(#31172),#15901,.T.); #318688=ADVANCED_FACE('',(#31173),#15902,.T.); #318689=ADVANCED_FACE('',(#31174),#15903,.T.); #318690=ADVANCED_FACE('',(#31175),#15904,.T.); #318691=ADVANCED_FACE('',(#31176),#15905,.T.); #318692=ADVANCED_FACE('',(#31177),#15906,.T.); #318693=ADVANCED_FACE('',(#31178),#15907,.T.); #318694=ADVANCED_FACE('',(#31179),#15908,.T.); #318695=ADVANCED_FACE('',(#31180),#15909,.T.); #318696=ADVANCED_FACE('',(#31181),#15910,.T.); #318697=ADVANCED_FACE('',(#31182),#15911,.T.); #318698=ADVANCED_FACE('',(#31183),#15912,.T.); #318699=ADVANCED_FACE('',(#31184),#15913,.T.); #318700=ADVANCED_FACE('',(#31185),#15914,.T.); #318701=ADVANCED_FACE('',(#31186),#15915,.T.); #318702=ADVANCED_FACE('',(#31187),#15916,.T.); #318703=ADVANCED_FACE('',(#31188),#15917,.T.); #318704=ADVANCED_FACE('',(#31189),#15918,.T.); #318705=ADVANCED_FACE('',(#31190),#15919,.T.); #318706=ADVANCED_FACE('',(#31191),#15920,.T.); #318707=ADVANCED_FACE('',(#31192),#15921,.T.); #318708=ADVANCED_FACE('',(#31193),#15922,.T.); #318709=ADVANCED_FACE('',(#31194),#15923,.T.); #318710=ADVANCED_FACE('',(#31195),#15924,.T.); #318711=ADVANCED_FACE('',(#31196),#15925,.T.); #318712=ADVANCED_FACE('',(#31197),#15926,.T.); #318713=ADVANCED_FACE('',(#31198),#15927,.T.); #318714=ADVANCED_FACE('',(#31199),#15928,.T.); #318715=ADVANCED_FACE('',(#31200),#15929,.T.); #318716=ADVANCED_FACE('',(#31201),#15930,.T.); #318717=ADVANCED_FACE('',(#31202),#15931,.T.); #318718=ADVANCED_FACE('',(#31203),#15932,.T.); #318719=ADVANCED_FACE('',(#31204),#15933,.T.); #318720=ADVANCED_FACE('',(#31205),#15934,.T.); #318721=ADVANCED_FACE('',(#31206),#15935,.T.); #318722=ADVANCED_FACE('',(#31207),#15936,.T.); #318723=ADVANCED_FACE('',(#31208),#15937,.T.); #318724=ADVANCED_FACE('',(#31209),#15938,.T.); #318725=ADVANCED_FACE('',(#31210),#15939,.T.); #318726=ADVANCED_FACE('',(#31211),#15940,.T.); #318727=ADVANCED_FACE('',(#31212),#15941,.T.); #318728=ADVANCED_FACE('',(#31213),#15942,.T.); #318729=ADVANCED_FACE('',(#31214),#15943,.T.); #318730=ADVANCED_FACE('',(#31215),#15944,.T.); #318731=ADVANCED_FACE('',(#31216),#15945,.T.); #318732=ADVANCED_FACE('',(#31217),#15946,.T.); #318733=ADVANCED_FACE('',(#31218),#15947,.T.); #318734=ADVANCED_FACE('',(#31219),#15948,.T.); #318735=ADVANCED_FACE('',(#31220),#15949,.T.); #318736=ADVANCED_FACE('',(#31221),#15950,.T.); #318737=ADVANCED_FACE('',(#31222),#15951,.T.); #318738=ADVANCED_FACE('',(#31223),#15952,.T.); #318739=ADVANCED_FACE('',(#31224),#15953,.T.); #318740=ADVANCED_FACE('',(#31225),#15954,.T.); #318741=ADVANCED_FACE('',(#31226),#15955,.T.); #318742=ADVANCED_FACE('',(#31227),#15956,.T.); #318743=ADVANCED_FACE('',(#31228),#15957,.T.); #318744=ADVANCED_FACE('',(#31229),#15958,.T.); #318745=ADVANCED_FACE('',(#31230),#15959,.T.); #318746=ADVANCED_FACE('',(#31231),#15960,.T.); #318747=ADVANCED_FACE('',(#31232),#15961,.T.); #318748=ADVANCED_FACE('',(#31233),#15962,.T.); #318749=ADVANCED_FACE('',(#31234),#15963,.T.); #318750=ADVANCED_FACE('',(#31235),#15964,.T.); #318751=ADVANCED_FACE('',(#31236),#15965,.T.); #318752=ADVANCED_FACE('',(#31237),#15966,.T.); #318753=ADVANCED_FACE('',(#31238),#15967,.T.); #318754=ADVANCED_FACE('',(#31239),#15968,.T.); #318755=ADVANCED_FACE('',(#31240),#15969,.T.); #318756=ADVANCED_FACE('',(#31241),#15970,.T.); #318757=ADVANCED_FACE('',(#31242),#15971,.T.); #318758=ADVANCED_FACE('',(#31243),#15972,.T.); #318759=ADVANCED_FACE('',(#31244),#15973,.T.); #318760=ADVANCED_FACE('',(#31245),#15974,.T.); #318761=ADVANCED_FACE('',(#31246),#15975,.T.); #318762=ADVANCED_FACE('',(#31247),#15976,.T.); #318763=ADVANCED_FACE('',(#31248),#15977,.T.); #318764=ADVANCED_FACE('',(#31249),#15978,.T.); #318765=ADVANCED_FACE('',(#31250),#15979,.T.); #318766=ADVANCED_FACE('',(#31251),#15980,.T.); #318767=ADVANCED_FACE('',(#31252),#15981,.T.); #318768=ADVANCED_FACE('',(#31253),#15982,.T.); #318769=ADVANCED_FACE('',(#31254),#15983,.T.); #318770=ADVANCED_FACE('',(#31255),#15984,.T.); #318771=ADVANCED_FACE('',(#31256),#15985,.T.); #318772=ADVANCED_FACE('',(#31257),#15986,.T.); #318773=ADVANCED_FACE('',(#31258),#15987,.T.); #318774=ADVANCED_FACE('',(#31259),#15988,.T.); #318775=ADVANCED_FACE('',(#31260),#15989,.T.); #318776=ADVANCED_FACE('',(#31261),#15990,.T.); #318777=ADVANCED_FACE('',(#31262),#15991,.T.); #318778=ADVANCED_FACE('',(#31263),#15992,.T.); #318779=ADVANCED_FACE('',(#31264),#15993,.T.); #318780=ADVANCED_FACE('',(#31265),#15994,.T.); #318781=ADVANCED_FACE('',(#31266),#15995,.T.); #318782=ADVANCED_FACE('',(#31267),#15996,.T.); #318783=ADVANCED_FACE('',(#31268),#15997,.T.); #318784=ADVANCED_FACE('',(#31269),#15998,.T.); #318785=ADVANCED_FACE('',(#31270),#15999,.T.); #318786=ADVANCED_FACE('',(#31271),#16000,.T.); #318787=ADVANCED_FACE('',(#31272),#16001,.T.); #318788=ADVANCED_FACE('',(#31273),#16002,.T.); #318789=ADVANCED_FACE('',(#31274),#16003,.T.); #318790=ADVANCED_FACE('',(#31275),#16004,.T.); #318791=ADVANCED_FACE('',(#31276),#16005,.T.); #318792=ADVANCED_FACE('',(#31277),#16006,.T.); #318793=ADVANCED_FACE('',(#31278),#16007,.T.); #318794=ADVANCED_FACE('',(#31279),#16008,.T.); #318795=ADVANCED_FACE('',(#31280),#16009,.T.); #318796=ADVANCED_FACE('',(#31281),#16010,.T.); #318797=ADVANCED_FACE('',(#31282),#16011,.T.); #318798=ADVANCED_FACE('',(#31283),#16012,.T.); #318799=ADVANCED_FACE('',(#31284),#16013,.T.); #318800=ADVANCED_FACE('',(#31285),#16014,.T.); #318801=ADVANCED_FACE('',(#31286),#16015,.T.); #318802=ADVANCED_FACE('',(#31287),#16016,.T.); #318803=ADVANCED_FACE('',(#31288),#16017,.T.); #318804=ADVANCED_FACE('',(#31289),#16018,.T.); #318805=ADVANCED_FACE('',(#31290),#16019,.T.); #318806=ADVANCED_FACE('',(#31291),#16020,.T.); #318807=ADVANCED_FACE('',(#31292),#16021,.T.); #318808=ADVANCED_FACE('',(#31293),#16022,.T.); #318809=ADVANCED_FACE('',(#31294),#16023,.T.); #318810=ADVANCED_FACE('',(#31295),#16024,.T.); #318811=ADVANCED_FACE('',(#31296),#16025,.T.); #318812=ADVANCED_FACE('',(#31297),#16026,.T.); #318813=ADVANCED_FACE('',(#31298),#16027,.T.); #318814=ADVANCED_FACE('',(#31299),#16028,.T.); #318815=ADVANCED_FACE('',(#31300),#16029,.T.); #318816=ADVANCED_FACE('',(#31301),#16030,.T.); #318817=ADVANCED_FACE('',(#31302),#16031,.T.); #318818=ADVANCED_FACE('',(#31303),#16032,.T.); #318819=ADVANCED_FACE('',(#31304),#16033,.T.); #318820=ADVANCED_FACE('',(#31305),#16034,.T.); #318821=ADVANCED_FACE('',(#31306),#16035,.T.); #318822=ADVANCED_FACE('',(#31307),#16036,.T.); #318823=ADVANCED_FACE('',(#31308),#16037,.T.); #318824=ADVANCED_FACE('',(#31309),#16038,.T.); #318825=ADVANCED_FACE('',(#31310),#16039,.T.); #318826=ADVANCED_FACE('',(#31311),#16040,.T.); #318827=ADVANCED_FACE('',(#31312),#16041,.T.); #318828=ADVANCED_FACE('',(#31313),#16042,.T.); #318829=ADVANCED_FACE('',(#31314),#16043,.T.); #318830=ADVANCED_FACE('',(#31315),#16044,.T.); #318831=ADVANCED_FACE('',(#31316),#16045,.T.); #318832=ADVANCED_FACE('',(#31317),#16046,.T.); #318833=ADVANCED_FACE('',(#31318),#16047,.T.); #318834=ADVANCED_FACE('',(#31319),#16048,.T.); #318835=ADVANCED_FACE('',(#31320),#16049,.T.); #318836=ADVANCED_FACE('',(#31321),#16050,.T.); #318837=ADVANCED_FACE('',(#31322),#16051,.T.); #318838=ADVANCED_FACE('',(#31323),#16052,.T.); #318839=ADVANCED_FACE('',(#31324),#16053,.T.); #318840=ADVANCED_FACE('',(#31325),#16054,.T.); #318841=ADVANCED_FACE('',(#31326),#16055,.T.); #318842=ADVANCED_FACE('',(#31327),#16056,.T.); #318843=ADVANCED_FACE('',(#31328),#16057,.T.); #318844=ADVANCED_FACE('',(#31329),#16058,.T.); #318845=ADVANCED_FACE('',(#31330),#16059,.T.); #318846=ADVANCED_FACE('',(#31331),#16060,.T.); #318847=ADVANCED_FACE('',(#31332),#16061,.T.); #318848=ADVANCED_FACE('',(#31333),#16062,.T.); #318849=ADVANCED_FACE('',(#31334),#16063,.T.); #318850=ADVANCED_FACE('',(#31335),#16064,.T.); #318851=ADVANCED_FACE('',(#31336),#16065,.T.); #318852=ADVANCED_FACE('',(#31337),#16066,.T.); #318853=ADVANCED_FACE('',(#31338),#16067,.T.); #318854=ADVANCED_FACE('',(#31339),#16068,.T.); #318855=ADVANCED_FACE('',(#31340),#16069,.T.); #318856=ADVANCED_FACE('',(#31341),#16070,.T.); #318857=ADVANCED_FACE('',(#31342),#16071,.T.); #318858=ADVANCED_FACE('',(#31343),#16072,.T.); #318859=ADVANCED_FACE('',(#31344),#16073,.T.); #318860=ADVANCED_FACE('',(#31345),#16074,.T.); #318861=ADVANCED_FACE('',(#31346),#16075,.T.); #318862=ADVANCED_FACE('',(#31347),#16076,.T.); #318863=ADVANCED_FACE('',(#31348),#16077,.T.); #318864=ADVANCED_FACE('',(#31349),#16078,.T.); #318865=ADVANCED_FACE('',(#31350),#16079,.T.); #318866=ADVANCED_FACE('',(#31351),#16080,.T.); #318867=ADVANCED_FACE('',(#31352),#16081,.T.); #318868=ADVANCED_FACE('',(#31353),#16082,.T.); #318869=ADVANCED_FACE('',(#31354),#16083,.T.); #318870=ADVANCED_FACE('',(#31355),#16084,.T.); #318871=ADVANCED_FACE('',(#31356),#16085,.T.); #318872=ADVANCED_FACE('',(#31357),#16086,.T.); #318873=ADVANCED_FACE('',(#31358),#16087,.T.); #318874=ADVANCED_FACE('',(#31359),#16088,.T.); #318875=ADVANCED_FACE('',(#31360),#16089,.T.); #318876=ADVANCED_FACE('',(#31361),#16090,.T.); #318877=ADVANCED_FACE('',(#31362),#16091,.T.); #318878=ADVANCED_FACE('',(#31363),#16092,.T.); #318879=ADVANCED_FACE('',(#31364),#16093,.T.); #318880=ADVANCED_FACE('',(#31365),#16094,.T.); #318881=ADVANCED_FACE('',(#31366),#16095,.T.); #318882=ADVANCED_FACE('',(#31367),#16096,.T.); #318883=ADVANCED_FACE('',(#31368),#16097,.T.); #318884=ADVANCED_FACE('',(#31369),#16098,.T.); #318885=ADVANCED_FACE('',(#31370),#16099,.T.); #318886=ADVANCED_FACE('',(#31371),#16100,.T.); #318887=ADVANCED_FACE('',(#31372),#16101,.T.); #318888=ADVANCED_FACE('',(#31373),#16102,.T.); #318889=ADVANCED_FACE('',(#31374),#16103,.T.); #318890=ADVANCED_FACE('',(#31375),#16104,.T.); #318891=ADVANCED_FACE('',(#31376),#16105,.T.); #318892=ADVANCED_FACE('',(#31377),#16106,.T.); #318893=ADVANCED_FACE('',(#31378),#16107,.T.); #318894=ADVANCED_FACE('',(#31379),#16108,.T.); #318895=ADVANCED_FACE('',(#31380),#16109,.T.); #318896=ADVANCED_FACE('',(#31381),#16110,.T.); #318897=ADVANCED_FACE('',(#31382),#16111,.T.); #318898=ADVANCED_FACE('',(#31383),#16112,.T.); #318899=ADVANCED_FACE('',(#31384),#16113,.T.); #318900=ADVANCED_FACE('',(#31385),#16114,.T.); #318901=ADVANCED_FACE('',(#31386),#16115,.T.); #318902=ADVANCED_FACE('',(#31387),#16116,.T.); #318903=ADVANCED_FACE('',(#31388),#16117,.T.); #318904=ADVANCED_FACE('',(#31389),#16118,.T.); #318905=ADVANCED_FACE('',(#31390),#16119,.T.); #318906=ADVANCED_FACE('',(#31391),#16120,.T.); #318907=ADVANCED_FACE('',(#31392),#16121,.T.); #318908=ADVANCED_FACE('',(#31393),#16122,.T.); #318909=ADVANCED_FACE('',(#31394),#16123,.T.); #318910=ADVANCED_FACE('',(#31395),#16124,.T.); #318911=ADVANCED_FACE('',(#31396),#16125,.T.); #318912=ADVANCED_FACE('',(#31397),#16126,.T.); #318913=ADVANCED_FACE('',(#31398),#16127,.T.); #318914=ADVANCED_FACE('',(#31399),#16128,.T.); #318915=ADVANCED_FACE('',(#31400),#16129,.T.); #318916=ADVANCED_FACE('',(#31401),#16130,.T.); #318917=ADVANCED_FACE('',(#31402),#16131,.T.); #318918=ADVANCED_FACE('',(#31403),#16132,.T.); #318919=ADVANCED_FACE('',(#31404),#16133,.T.); #318920=ADVANCED_FACE('',(#31405),#16134,.T.); #318921=ADVANCED_FACE('',(#31406),#16135,.T.); #318922=ADVANCED_FACE('',(#31407),#16136,.T.); #318923=ADVANCED_FACE('',(#31408),#16137,.T.); #318924=ADVANCED_FACE('',(#31409),#16138,.T.); #318925=ADVANCED_FACE('',(#31410),#16139,.T.); #318926=ADVANCED_FACE('',(#31411),#16140,.T.); #318927=ADVANCED_FACE('',(#31412),#16141,.T.); #318928=ADVANCED_FACE('',(#31413),#16142,.T.); #318929=ADVANCED_FACE('',(#31414),#16143,.T.); #318930=ADVANCED_FACE('',(#31415),#16144,.T.); #318931=ADVANCED_FACE('',(#31416),#16145,.T.); #318932=ADVANCED_FACE('',(#31417),#16146,.T.); #318933=ADVANCED_FACE('',(#31418),#16147,.T.); #318934=ADVANCED_FACE('',(#31419),#16148,.T.); #318935=ADVANCED_FACE('',(#31420),#16149,.T.); #318936=ADVANCED_FACE('',(#31421),#16150,.T.); #318937=ADVANCED_FACE('',(#31422),#16151,.T.); #318938=ADVANCED_FACE('',(#31423),#16152,.T.); #318939=ADVANCED_FACE('',(#31424),#16153,.T.); #318940=ADVANCED_FACE('',(#31425),#16154,.T.); #318941=ADVANCED_FACE('',(#31426),#16155,.T.); #318942=ADVANCED_FACE('',(#31427),#16156,.T.); #318943=ADVANCED_FACE('',(#31428),#16157,.T.); #318944=ADVANCED_FACE('',(#31429),#16158,.T.); #318945=ADVANCED_FACE('',(#31430),#16159,.T.); #318946=ADVANCED_FACE('',(#31431),#16160,.T.); #318947=ADVANCED_FACE('',(#31432),#16161,.T.); #318948=ADVANCED_FACE('',(#31433),#16162,.T.); #318949=ADVANCED_FACE('',(#31434),#16163,.T.); #318950=ADVANCED_FACE('',(#31435),#16164,.T.); #318951=ADVANCED_FACE('',(#31436),#16165,.T.); #318952=ADVANCED_FACE('',(#31437),#16166,.T.); #318953=ADVANCED_FACE('',(#31438),#16167,.T.); #318954=ADVANCED_FACE('',(#31439),#16168,.T.); #318955=ADVANCED_FACE('',(#31440),#16169,.T.); #318956=ADVANCED_FACE('',(#31441),#16170,.T.); #318957=ADVANCED_FACE('',(#31442),#16171,.T.); #318958=ADVANCED_FACE('',(#31443),#16172,.T.); #318959=ADVANCED_FACE('',(#31444),#16173,.T.); #318960=ADVANCED_FACE('',(#31445),#16174,.T.); #318961=ADVANCED_FACE('',(#31446),#16175,.T.); #318962=ADVANCED_FACE('',(#31447),#16176,.T.); #318963=ADVANCED_FACE('',(#31448),#16177,.T.); #318964=ADVANCED_FACE('',(#31449),#16178,.T.); #318965=ADVANCED_FACE('',(#31450),#16179,.T.); #318966=ADVANCED_FACE('',(#31451),#16180,.T.); #318967=ADVANCED_FACE('',(#31452),#16181,.T.); #318968=ADVANCED_FACE('',(#31453),#16182,.T.); #318969=ADVANCED_FACE('',(#31454),#16183,.T.); #318970=ADVANCED_FACE('',(#31455),#16184,.T.); #318971=ADVANCED_FACE('',(#31456),#16185,.T.); #318972=ADVANCED_FACE('',(#31457),#16186,.T.); #318973=ADVANCED_FACE('',(#31458),#16187,.T.); #318974=ADVANCED_FACE('',(#31459),#16188,.T.); #318975=ADVANCED_FACE('',(#31460),#16189,.T.); #318976=ADVANCED_FACE('',(#31461),#16190,.T.); #318977=ADVANCED_FACE('',(#31462),#16191,.T.); #318978=ADVANCED_FACE('',(#31463),#16192,.T.); #318979=ADVANCED_FACE('',(#31464),#16193,.T.); #318980=ADVANCED_FACE('',(#31465),#16194,.T.); #318981=ADVANCED_FACE('',(#31466),#16195,.T.); #318982=ADVANCED_FACE('',(#31467),#16196,.T.); #318983=ADVANCED_FACE('',(#31468),#16197,.T.); #318984=ADVANCED_FACE('',(#31469),#16198,.T.); #318985=ADVANCED_FACE('',(#31470),#16199,.T.); #318986=ADVANCED_FACE('',(#31471),#16200,.T.); #318987=ADVANCED_FACE('',(#31472),#16201,.T.); #318988=ADVANCED_FACE('',(#31473),#16202,.T.); #318989=ADVANCED_FACE('',(#31474),#16203,.T.); #318990=ADVANCED_FACE('',(#31475),#16204,.T.); #318991=ADVANCED_FACE('',(#31476),#16205,.T.); #318992=ADVANCED_FACE('',(#31477),#16206,.T.); #318993=ADVANCED_FACE('',(#31478),#16207,.T.); #318994=ADVANCED_FACE('',(#31479),#16208,.T.); #318995=ADVANCED_FACE('',(#31480),#16209,.T.); #318996=ADVANCED_FACE('',(#31481),#16210,.T.); #318997=ADVANCED_FACE('',(#31482),#16211,.T.); #318998=ADVANCED_FACE('',(#31483),#16212,.T.); #318999=ADVANCED_FACE('',(#31484),#16213,.T.); #319000=ADVANCED_FACE('',(#31485),#16214,.T.); #319001=ADVANCED_FACE('',(#31486),#16215,.T.); #319002=ADVANCED_FACE('',(#31487),#16216,.T.); #319003=ADVANCED_FACE('',(#31488),#16217,.T.); #319004=ADVANCED_FACE('',(#31489),#16218,.T.); #319005=ADVANCED_FACE('',(#31490),#16219,.T.); #319006=ADVANCED_FACE('',(#31491),#16220,.T.); #319007=ADVANCED_FACE('',(#31492),#16221,.T.); #319008=ADVANCED_FACE('',(#31493),#16222,.T.); #319009=ADVANCED_FACE('',(#31494),#16223,.T.); #319010=ADVANCED_FACE('',(#31495),#16224,.T.); #319011=ADVANCED_FACE('',(#31496),#16225,.T.); #319012=ADVANCED_FACE('',(#31497),#16226,.T.); #319013=ADVANCED_FACE('',(#31498),#16227,.T.); #319014=ADVANCED_FACE('',(#31499),#16228,.T.); #319015=ADVANCED_FACE('',(#31500),#16229,.T.); #319016=ADVANCED_FACE('',(#31501),#16230,.T.); #319017=ADVANCED_FACE('',(#31502),#16231,.T.); #319018=ADVANCED_FACE('',(#31503),#16232,.T.); #319019=ADVANCED_FACE('',(#31504),#16233,.T.); #319020=ADVANCED_FACE('',(#31505),#16234,.T.); #319021=ADVANCED_FACE('',(#31506),#16235,.T.); #319022=ADVANCED_FACE('',(#31507),#16236,.T.); #319023=ADVANCED_FACE('',(#31508),#16237,.T.); #319024=ADVANCED_FACE('',(#31509),#16238,.T.); #319025=ADVANCED_FACE('',(#31510),#16239,.T.); #319026=ADVANCED_FACE('',(#31511),#16240,.T.); #319027=ADVANCED_FACE('',(#31512),#16241,.T.); #319028=ADVANCED_FACE('',(#31513),#16242,.T.); #319029=ADVANCED_FACE('',(#31514),#16243,.T.); #319030=ADVANCED_FACE('',(#31515),#16244,.T.); #319031=ADVANCED_FACE('',(#31516),#16245,.T.); #319032=ADVANCED_FACE('',(#31517),#16246,.T.); #319033=ADVANCED_FACE('',(#31518),#16247,.T.); #319034=ADVANCED_FACE('',(#31519),#16248,.T.); #319035=ADVANCED_FACE('',(#31520),#16249,.T.); #319036=ADVANCED_FACE('',(#31521),#16250,.T.); #319037=ADVANCED_FACE('',(#31522),#16251,.T.); #319038=ADVANCED_FACE('',(#31523),#16252,.T.); #319039=ADVANCED_FACE('',(#31524),#16253,.T.); #319040=ADVANCED_FACE('',(#31525),#16254,.T.); #319041=ADVANCED_FACE('',(#31526),#16255,.T.); #319042=ADVANCED_FACE('',(#31527),#16256,.T.); #319043=ADVANCED_FACE('',(#31528),#16257,.T.); #319044=ADVANCED_FACE('',(#31529),#16258,.T.); #319045=ADVANCED_FACE('',(#31530),#16259,.T.); #319046=ADVANCED_FACE('',(#31531),#16260,.T.); #319047=ADVANCED_FACE('',(#31532),#16261,.T.); #319048=ADVANCED_FACE('',(#31533),#16262,.T.); #319049=ADVANCED_FACE('',(#31534),#16263,.T.); #319050=ADVANCED_FACE('',(#31535),#16264,.T.); #319051=ADVANCED_FACE('',(#31536),#16265,.T.); #319052=ADVANCED_FACE('',(#31537),#16266,.T.); #319053=ADVANCED_FACE('',(#31538),#16267,.T.); #319054=ADVANCED_FACE('',(#31539),#16268,.T.); #319055=ADVANCED_FACE('',(#31540),#16269,.T.); #319056=ADVANCED_FACE('',(#31541),#16270,.T.); #319057=ADVANCED_FACE('',(#31542),#16271,.T.); #319058=ADVANCED_FACE('',(#31543),#16272,.T.); #319059=ADVANCED_FACE('',(#31544),#16273,.T.); #319060=ADVANCED_FACE('',(#31545),#16274,.T.); #319061=ADVANCED_FACE('',(#31546),#16275,.T.); #319062=ADVANCED_FACE('',(#31547),#16276,.T.); #319063=ADVANCED_FACE('',(#31548),#16277,.T.); #319064=ADVANCED_FACE('',(#31549),#16278,.T.); #319065=ADVANCED_FACE('',(#31550),#16279,.T.); #319066=ADVANCED_FACE('',(#31551),#16280,.T.); #319067=ADVANCED_FACE('',(#31552),#16281,.T.); #319068=ADVANCED_FACE('',(#31553),#16282,.T.); #319069=ADVANCED_FACE('',(#31554),#16283,.T.); #319070=ADVANCED_FACE('',(#31555),#16284,.T.); #319071=ADVANCED_FACE('',(#31556),#16285,.T.); #319072=ADVANCED_FACE('',(#31557),#16286,.T.); #319073=ADVANCED_FACE('',(#31558),#16287,.T.); #319074=ADVANCED_FACE('',(#31559),#16288,.T.); #319075=ADVANCED_FACE('',(#31560),#16289,.T.); #319076=ADVANCED_FACE('',(#31561),#16290,.T.); #319077=ADVANCED_FACE('',(#31562),#16291,.T.); #319078=ADVANCED_FACE('',(#31563),#16292,.T.); #319079=ADVANCED_FACE('',(#31564),#16293,.T.); #319080=ADVANCED_FACE('',(#31565),#16294,.T.); #319081=ADVANCED_FACE('',(#31566),#16295,.T.); #319082=ADVANCED_FACE('',(#31567),#16296,.T.); #319083=ADVANCED_FACE('',(#31568),#16297,.T.); #319084=ADVANCED_FACE('',(#31569),#16298,.T.); #319085=ADVANCED_FACE('',(#31570),#16299,.T.); #319086=ADVANCED_FACE('',(#31571),#16300,.T.); #319087=ADVANCED_FACE('',(#31572),#16301,.T.); #319088=ADVANCED_FACE('',(#31573),#16302,.T.); #319089=ADVANCED_FACE('',(#31574),#16303,.T.); #319090=ADVANCED_FACE('',(#31575),#16304,.T.); #319091=ADVANCED_FACE('',(#31576),#16305,.T.); #319092=ADVANCED_FACE('',(#31577),#16306,.T.); #319093=ADVANCED_FACE('',(#31578),#16307,.T.); #319094=ADVANCED_FACE('',(#31579),#16308,.T.); #319095=ADVANCED_FACE('',(#31580),#16309,.T.); #319096=ADVANCED_FACE('',(#31581),#16310,.T.); #319097=ADVANCED_FACE('',(#31582),#16311,.T.); #319098=ADVANCED_FACE('',(#31583),#16312,.T.); #319099=ADVANCED_FACE('',(#31584),#16313,.T.); #319100=ADVANCED_FACE('',(#31585),#16314,.T.); #319101=ADVANCED_FACE('',(#31586),#16315,.T.); #319102=ADVANCED_FACE('',(#31587),#16316,.T.); #319103=ADVANCED_FACE('',(#31588),#16317,.T.); #319104=ADVANCED_FACE('',(#31589),#16318,.T.); #319105=ADVANCED_FACE('',(#31590),#16319,.T.); #319106=ADVANCED_FACE('',(#31591),#16320,.T.); #319107=ADVANCED_FACE('',(#31592),#16321,.T.); #319108=ADVANCED_FACE('',(#31593),#16322,.T.); #319109=ADVANCED_FACE('',(#31594),#16323,.T.); #319110=ADVANCED_FACE('',(#31595),#16324,.T.); #319111=ADVANCED_FACE('',(#31596),#16325,.T.); #319112=ADVANCED_FACE('',(#31597),#16326,.T.); #319113=ADVANCED_FACE('',(#31598),#16327,.T.); #319114=ADVANCED_FACE('',(#31599),#16328,.T.); #319115=ADVANCED_FACE('',(#31600),#16329,.T.); #319116=ADVANCED_FACE('',(#31601),#16330,.T.); #319117=ADVANCED_FACE('',(#31602),#16331,.T.); #319118=ADVANCED_FACE('',(#31603),#16332,.T.); #319119=ADVANCED_FACE('',(#31604),#16333,.T.); #319120=ADVANCED_FACE('',(#31605),#16334,.T.); #319121=ADVANCED_FACE('',(#31606),#16335,.T.); #319122=ADVANCED_FACE('',(#31607),#16336,.T.); #319123=ADVANCED_FACE('',(#31608),#16337,.T.); #319124=ADVANCED_FACE('',(#31609),#16338,.T.); #319125=ADVANCED_FACE('',(#31610),#16339,.T.); #319126=ADVANCED_FACE('',(#31611),#16340,.T.); #319127=ADVANCED_FACE('',(#31612),#16341,.T.); #319128=ADVANCED_FACE('',(#31613),#16342,.T.); #319129=ADVANCED_FACE('',(#31614),#16343,.T.); #319130=ADVANCED_FACE('',(#31615),#16344,.T.); #319131=ADVANCED_FACE('',(#31616),#16345,.T.); #319132=ADVANCED_FACE('',(#31617),#16346,.T.); #319133=ADVANCED_FACE('',(#31618),#16347,.T.); #319134=ADVANCED_FACE('',(#31619),#16348,.T.); #319135=ADVANCED_FACE('',(#31620),#16349,.T.); #319136=ADVANCED_FACE('',(#31621),#16350,.T.); #319137=ADVANCED_FACE('',(#31622),#16351,.T.); #319138=ADVANCED_FACE('',(#31623),#16352,.T.); #319139=ADVANCED_FACE('',(#31624),#16353,.T.); #319140=ADVANCED_FACE('',(#31625),#16354,.T.); #319141=ADVANCED_FACE('',(#31626),#16355,.T.); #319142=ADVANCED_FACE('',(#31627),#16356,.T.); #319143=ADVANCED_FACE('',(#31628),#16357,.T.); #319144=ADVANCED_FACE('',(#31629),#16358,.T.); #319145=ADVANCED_FACE('',(#31630),#16359,.T.); #319146=ADVANCED_FACE('',(#31631),#16360,.T.); #319147=ADVANCED_FACE('',(#31632),#16361,.T.); #319148=ADVANCED_FACE('',(#31633),#16362,.T.); #319149=ADVANCED_FACE('',(#31634),#16363,.T.); #319150=ADVANCED_FACE('',(#31635),#16364,.T.); #319151=ADVANCED_FACE('',(#31636),#16365,.T.); #319152=ADVANCED_FACE('',(#31637),#16366,.T.); #319153=ADVANCED_FACE('',(#31638),#16367,.T.); #319154=ADVANCED_FACE('',(#31639),#16368,.T.); #319155=ADVANCED_FACE('',(#31640),#16369,.T.); #319156=ADVANCED_FACE('',(#31641),#16370,.T.); #319157=ADVANCED_FACE('',(#31642),#16371,.T.); #319158=ADVANCED_FACE('',(#31643),#16372,.T.); #319159=ADVANCED_FACE('',(#31644),#16373,.T.); #319160=ADVANCED_FACE('',(#31645),#16374,.T.); #319161=ADVANCED_FACE('',(#31646),#16375,.T.); #319162=ADVANCED_FACE('',(#31647),#16376,.T.); #319163=ADVANCED_FACE('',(#31648),#16377,.T.); #319164=ADVANCED_FACE('',(#31649),#16378,.T.); #319165=ADVANCED_FACE('',(#31650),#16379,.T.); #319166=ADVANCED_FACE('',(#31651),#16380,.T.); #319167=ADVANCED_FACE('',(#31652),#16381,.T.); #319168=ADVANCED_FACE('',(#31653),#16382,.T.); #319169=ADVANCED_FACE('',(#31654),#16383,.T.); #319170=ADVANCED_FACE('',(#31655),#16384,.T.); #319171=ADVANCED_FACE('',(#31656),#16385,.T.); #319172=ADVANCED_FACE('',(#31657),#16386,.T.); #319173=ADVANCED_FACE('',(#31658),#16387,.T.); #319174=ADVANCED_FACE('',(#31659),#16388,.T.); #319175=ADVANCED_FACE('',(#31660),#16389,.T.); #319176=ADVANCED_FACE('',(#31661),#16390,.T.); #319177=ADVANCED_FACE('',(#31662),#16391,.T.); #319178=ADVANCED_FACE('',(#31663),#16392,.T.); #319179=ADVANCED_FACE('',(#31664),#16393,.T.); #319180=ADVANCED_FACE('',(#31665),#16394,.T.); #319181=ADVANCED_FACE('',(#31666),#16395,.T.); #319182=ADVANCED_FACE('',(#31667),#16396,.T.); #319183=ADVANCED_FACE('',(#31668),#16397,.T.); #319184=ADVANCED_FACE('',(#31669),#16398,.T.); #319185=ADVANCED_FACE('',(#31670),#16399,.T.); #319186=ADVANCED_FACE('',(#31671),#16400,.T.); #319187=ADVANCED_FACE('',(#31672),#16401,.T.); #319188=ADVANCED_FACE('',(#31673),#16402,.T.); #319189=ADVANCED_FACE('',(#31674),#16403,.T.); #319190=ADVANCED_FACE('',(#31675),#16404,.T.); #319191=ADVANCED_FACE('',(#31676),#16405,.T.); #319192=ADVANCED_FACE('',(#31677),#16406,.T.); #319193=ADVANCED_FACE('',(#31678),#16407,.T.); #319194=ADVANCED_FACE('',(#31679),#16408,.T.); #319195=ADVANCED_FACE('',(#31680),#16409,.T.); #319196=ADVANCED_FACE('',(#31681),#16410,.T.); #319197=ADVANCED_FACE('',(#31682),#16411,.T.); #319198=ADVANCED_FACE('',(#31683),#16412,.T.); #319199=ADVANCED_FACE('',(#31684),#16413,.T.); #319200=ADVANCED_FACE('',(#31685),#16414,.T.); #319201=ADVANCED_FACE('',(#31686),#16415,.T.); #319202=ADVANCED_FACE('',(#31687),#16416,.T.); #319203=ADVANCED_FACE('',(#31688),#16417,.T.); #319204=ADVANCED_FACE('',(#31689),#16418,.T.); #319205=ADVANCED_FACE('',(#31690),#16419,.T.); #319206=ADVANCED_FACE('',(#31691),#16420,.T.); #319207=ADVANCED_FACE('',(#31692),#16421,.T.); #319208=ADVANCED_FACE('',(#31693),#16422,.T.); #319209=ADVANCED_FACE('',(#31694),#16423,.T.); #319210=ADVANCED_FACE('',(#31695),#16424,.T.); #319211=ADVANCED_FACE('',(#31696),#16425,.T.); #319212=ADVANCED_FACE('',(#31697),#16426,.T.); #319213=ADVANCED_FACE('',(#31698),#16427,.T.); #319214=ADVANCED_FACE('',(#31699),#16428,.T.); #319215=ADVANCED_FACE('',(#31700),#16429,.T.); #319216=ADVANCED_FACE('',(#31701),#16430,.T.); #319217=ADVANCED_FACE('',(#31702),#16431,.T.); #319218=ADVANCED_FACE('',(#31703),#16432,.T.); #319219=ADVANCED_FACE('',(#31704),#16433,.T.); #319220=ADVANCED_FACE('',(#31705),#16434,.T.); #319221=ADVANCED_FACE('',(#31706),#16435,.T.); #319222=ADVANCED_FACE('',(#31707),#16436,.T.); #319223=ADVANCED_FACE('',(#31708),#16437,.T.); #319224=ADVANCED_FACE('',(#31709),#16438,.T.); #319225=ADVANCED_FACE('',(#31710),#16439,.T.); #319226=ADVANCED_FACE('',(#31711),#16440,.T.); #319227=ADVANCED_FACE('',(#31712),#16441,.T.); #319228=ADVANCED_FACE('',(#31713),#16442,.T.); #319229=ADVANCED_FACE('',(#31714),#16443,.T.); #319230=ADVANCED_FACE('',(#31715),#16444,.T.); #319231=ADVANCED_FACE('',(#31716),#16445,.T.); #319232=ADVANCED_FACE('',(#31717),#16446,.T.); #319233=ADVANCED_FACE('',(#31718),#16447,.T.); #319234=ADVANCED_FACE('',(#31719),#16448,.T.); #319235=ADVANCED_FACE('',(#31720),#16449,.T.); #319236=ADVANCED_FACE('',(#31721),#16450,.T.); #319237=ADVANCED_FACE('',(#31722),#16451,.T.); #319238=ADVANCED_FACE('',(#31723),#16452,.T.); #319239=ADVANCED_FACE('',(#31724),#16453,.T.); #319240=ADVANCED_FACE('',(#31725),#16454,.T.); #319241=ADVANCED_FACE('',(#31726),#16455,.T.); #319242=ADVANCED_FACE('',(#31727),#16456,.T.); #319243=ADVANCED_FACE('',(#31728),#16457,.T.); #319244=ADVANCED_FACE('',(#31729),#16458,.T.); #319245=ADVANCED_FACE('',(#31730),#16459,.T.); #319246=ADVANCED_FACE('',(#31731),#16460,.T.); #319247=ADVANCED_FACE('',(#31732),#16461,.T.); #319248=ADVANCED_FACE('',(#31733),#16462,.T.); #319249=ADVANCED_FACE('',(#31734),#16463,.T.); #319250=ADVANCED_FACE('',(#31735),#16464,.T.); #319251=ADVANCED_FACE('',(#31736),#16465,.T.); #319252=ADVANCED_FACE('',(#31737),#16466,.T.); #319253=ADVANCED_FACE('',(#31738),#16467,.T.); #319254=ADVANCED_FACE('',(#31739),#16468,.T.); #319255=ADVANCED_FACE('',(#31740),#16469,.T.); #319256=ADVANCED_FACE('',(#31741),#16470,.T.); #319257=ADVANCED_FACE('',(#31742),#16471,.T.); #319258=ADVANCED_FACE('',(#31743),#16472,.T.); #319259=ADVANCED_FACE('',(#31744),#16473,.T.); #319260=ADVANCED_FACE('',(#31745),#16474,.T.); #319261=ADVANCED_FACE('',(#31746),#16475,.T.); #319262=ADVANCED_FACE('',(#31747),#16476,.T.); #319263=ADVANCED_FACE('',(#31748),#16477,.T.); #319264=ADVANCED_FACE('',(#31749),#16478,.T.); #319265=ADVANCED_FACE('',(#31750),#16479,.T.); #319266=ADVANCED_FACE('',(#31751),#16480,.T.); #319267=ADVANCED_FACE('',(#31752),#16481,.T.); #319268=ADVANCED_FACE('',(#31753),#16482,.T.); #319269=ADVANCED_FACE('',(#31754),#16483,.T.); #319270=ADVANCED_FACE('',(#31755),#16484,.T.); #319271=ADVANCED_FACE('',(#31756),#16485,.T.); #319272=ADVANCED_FACE('',(#31757),#16486,.T.); #319273=ADVANCED_FACE('',(#31758),#16487,.T.); #319274=ADVANCED_FACE('',(#31759),#16488,.T.); #319275=ADVANCED_FACE('',(#31760),#16489,.T.); #319276=ADVANCED_FACE('',(#31761),#16490,.T.); #319277=ADVANCED_FACE('',(#31762),#16491,.T.); #319278=ADVANCED_FACE('',(#31763),#16492,.T.); #319279=ADVANCED_FACE('',(#31764),#16493,.T.); #319280=ADVANCED_FACE('',(#31765),#16494,.T.); #319281=ADVANCED_FACE('',(#31766),#16495,.T.); #319282=ADVANCED_FACE('',(#31767),#16496,.T.); #319283=ADVANCED_FACE('',(#31768),#16497,.T.); #319284=ADVANCED_FACE('',(#31769),#16498,.T.); #319285=ADVANCED_FACE('',(#31770),#16499,.T.); #319286=ADVANCED_FACE('',(#31771),#16500,.T.); #319287=ADVANCED_FACE('',(#31772),#16501,.T.); #319288=ADVANCED_FACE('',(#31773),#16502,.T.); #319289=ADVANCED_FACE('',(#31774),#16503,.T.); #319290=ADVANCED_FACE('',(#31775),#16504,.T.); #319291=ADVANCED_FACE('',(#31776),#16505,.T.); #319292=ADVANCED_FACE('',(#31777),#16506,.T.); #319293=ADVANCED_FACE('',(#31778),#16507,.T.); #319294=ADVANCED_FACE('',(#31779),#16508,.T.); #319295=ADVANCED_FACE('',(#31780),#16509,.T.); #319296=ADVANCED_FACE('',(#31781),#16510,.T.); #319297=ADVANCED_FACE('',(#31782),#16511,.T.); #319298=ADVANCED_FACE('',(#31783),#16512,.T.); #319299=ADVANCED_FACE('',(#31784),#16513,.T.); #319300=ADVANCED_FACE('',(#31785),#16514,.T.); #319301=ADVANCED_FACE('',(#31786),#16515,.T.); #319302=ADVANCED_FACE('',(#31787),#16516,.T.); #319303=ADVANCED_FACE('',(#31788),#16517,.T.); #319304=ADVANCED_FACE('',(#31789),#16518,.T.); #319305=ADVANCED_FACE('',(#31790),#16519,.T.); #319306=ADVANCED_FACE('',(#31791),#16520,.T.); #319307=ADVANCED_FACE('',(#31792),#16521,.T.); #319308=ADVANCED_FACE('',(#31793),#16522,.T.); #319309=ADVANCED_FACE('',(#31794),#16523,.T.); #319310=ADVANCED_FACE('',(#31795),#16524,.T.); #319311=ADVANCED_FACE('',(#31796),#16525,.T.); #319312=ADVANCED_FACE('',(#31797),#16526,.T.); #319313=ADVANCED_FACE('',(#31798),#16527,.T.); #319314=ADVANCED_FACE('',(#31799),#16528,.T.); #319315=ADVANCED_FACE('',(#31800),#16529,.T.); #319316=ADVANCED_FACE('',(#31801),#16530,.T.); #319317=ADVANCED_FACE('',(#31802),#16531,.T.); #319318=ADVANCED_FACE('',(#31803),#16532,.T.); #319319=ADVANCED_FACE('',(#31804),#16533,.T.); #319320=ADVANCED_FACE('',(#31805),#16534,.T.); #319321=ADVANCED_FACE('',(#31806),#16535,.T.); #319322=ADVANCED_FACE('',(#31807),#16536,.T.); #319323=ADVANCED_FACE('',(#31808),#16537,.T.); #319324=ADVANCED_FACE('',(#31809),#16538,.T.); #319325=ADVANCED_FACE('',(#31810),#16539,.T.); #319326=ADVANCED_FACE('',(#31811),#16540,.T.); #319327=ADVANCED_FACE('',(#31812),#16541,.T.); #319328=ADVANCED_FACE('',(#31813),#16542,.T.); #319329=ADVANCED_FACE('',(#31814),#16543,.T.); #319330=ADVANCED_FACE('',(#31815),#16544,.T.); #319331=ADVANCED_FACE('',(#31816),#16545,.T.); #319332=ADVANCED_FACE('',(#31817),#16546,.T.); #319333=ADVANCED_FACE('',(#31818),#16547,.T.); #319334=ADVANCED_FACE('',(#31819),#16548,.T.); #319335=ADVANCED_FACE('',(#31820),#16549,.T.); #319336=ADVANCED_FACE('',(#31821),#16550,.T.); #319337=ADVANCED_FACE('',(#31822),#16551,.T.); #319338=ADVANCED_FACE('',(#31823),#16552,.T.); #319339=ADVANCED_FACE('',(#31824),#16553,.T.); #319340=ADVANCED_FACE('',(#31825),#16554,.T.); #319341=ADVANCED_FACE('',(#31826),#16555,.T.); #319342=ADVANCED_FACE('',(#31827),#16556,.T.); #319343=ADVANCED_FACE('',(#31828),#16557,.T.); #319344=ADVANCED_FACE('',(#31829),#16558,.T.); #319345=ADVANCED_FACE('',(#31830),#16559,.T.); #319346=ADVANCED_FACE('',(#31831),#16560,.T.); #319347=ADVANCED_FACE('',(#31832),#16561,.T.); #319348=ADVANCED_FACE('',(#31833),#16562,.T.); #319349=ADVANCED_FACE('',(#31834),#16563,.T.); #319350=ADVANCED_FACE('',(#31835),#16564,.T.); #319351=ADVANCED_FACE('',(#31836),#16565,.T.); #319352=ADVANCED_FACE('',(#31837),#16566,.T.); #319353=ADVANCED_FACE('',(#31838),#16567,.T.); #319354=ADVANCED_FACE('',(#31839),#16568,.T.); #319355=ADVANCED_FACE('',(#31840),#16569,.T.); #319356=ADVANCED_FACE('',(#31841),#16570,.T.); #319357=ADVANCED_FACE('',(#31842),#16571,.T.); #319358=ADVANCED_FACE('',(#31843),#16572,.T.); #319359=ADVANCED_FACE('',(#31844),#16573,.T.); #319360=ADVANCED_FACE('',(#31845),#16574,.T.); #319361=ADVANCED_FACE('',(#31846),#16575,.T.); #319362=ADVANCED_FACE('',(#31847),#16576,.T.); #319363=ADVANCED_FACE('',(#31848),#16577,.T.); #319364=ADVANCED_FACE('',(#31849),#16578,.T.); #319365=ADVANCED_FACE('',(#31850),#16579,.T.); #319366=ADVANCED_FACE('',(#31851),#16580,.T.); #319367=ADVANCED_FACE('',(#31852),#16581,.T.); #319368=ADVANCED_FACE('',(#31853),#16582,.T.); #319369=ADVANCED_FACE('',(#31854),#16583,.T.); #319370=ADVANCED_FACE('',(#31855),#16584,.T.); #319371=ADVANCED_FACE('',(#31856),#16585,.T.); #319372=ADVANCED_FACE('',(#31857),#16586,.T.); #319373=ADVANCED_FACE('',(#31858),#16587,.T.); #319374=ADVANCED_FACE('',(#31859),#16588,.T.); #319375=ADVANCED_FACE('',(#31860),#16589,.T.); #319376=ADVANCED_FACE('',(#31861),#16590,.T.); #319377=ADVANCED_FACE('',(#31862),#16591,.T.); #319378=ADVANCED_FACE('',(#31863),#16592,.T.); #319379=ADVANCED_FACE('',(#31864),#16593,.T.); #319380=ADVANCED_FACE('',(#31865),#16594,.T.); #319381=ADVANCED_FACE('',(#31866),#16595,.T.); #319382=ADVANCED_FACE('',(#31867),#16596,.T.); #319383=ADVANCED_FACE('',(#31868),#16597,.T.); #319384=ADVANCED_FACE('',(#31869),#16598,.T.); #319385=ADVANCED_FACE('',(#31870),#16599,.T.); #319386=ADVANCED_FACE('',(#31871),#16600,.T.); #319387=ADVANCED_FACE('',(#31872),#16601,.T.); #319388=ADVANCED_FACE('',(#31873),#16602,.T.); #319389=ADVANCED_FACE('',(#31874),#16603,.T.); #319390=ADVANCED_FACE('',(#31875),#16604,.T.); #319391=ADVANCED_FACE('',(#31876),#16605,.T.); #319392=ADVANCED_FACE('',(#31877),#16606,.T.); #319393=ADVANCED_FACE('',(#31878),#16607,.T.); #319394=ADVANCED_FACE('',(#31879),#16608,.T.); #319395=ADVANCED_FACE('',(#31880),#16609,.T.); #319396=ADVANCED_FACE('',(#31881),#16610,.T.); #319397=ADVANCED_FACE('',(#31882),#16611,.T.); #319398=ADVANCED_FACE('',(#31883),#16612,.T.); #319399=ADVANCED_FACE('',(#31884),#16613,.T.); #319400=ADVANCED_FACE('',(#31885),#16614,.T.); #319401=ADVANCED_FACE('',(#31886),#16615,.T.); #319402=ADVANCED_FACE('',(#31887),#16616,.T.); #319403=ADVANCED_FACE('',(#31888),#16617,.T.); #319404=ADVANCED_FACE('',(#31889),#16618,.T.); #319405=ADVANCED_FACE('',(#31890),#16619,.T.); #319406=ADVANCED_FACE('',(#31891),#16620,.T.); #319407=ADVANCED_FACE('',(#31892),#16621,.T.); #319408=ADVANCED_FACE('',(#31893),#16622,.T.); #319409=ADVANCED_FACE('',(#31894),#16623,.T.); #319410=ADVANCED_FACE('',(#31895),#16624,.T.); #319411=ADVANCED_FACE('',(#31896),#16625,.T.); #319412=ADVANCED_FACE('',(#31897),#16626,.T.); #319413=ADVANCED_FACE('',(#31898),#16627,.T.); #319414=ADVANCED_FACE('',(#31899),#16628,.T.); #319415=ADVANCED_FACE('',(#31900),#16629,.T.); #319416=ADVANCED_FACE('',(#31901),#16630,.T.); #319417=ADVANCED_FACE('',(#31902),#16631,.T.); #319418=ADVANCED_FACE('',(#31903),#16632,.T.); #319419=ADVANCED_FACE('',(#31904),#16633,.T.); #319420=ADVANCED_FACE('',(#31905),#16634,.T.); #319421=ADVANCED_FACE('',(#31906),#16635,.T.); #319422=ADVANCED_FACE('',(#31907),#16636,.T.); #319423=ADVANCED_FACE('',(#31908),#16637,.T.); #319424=ADVANCED_FACE('',(#31909),#16638,.T.); #319425=ADVANCED_FACE('',(#31910),#16639,.T.); #319426=ADVANCED_FACE('',(#31911),#16640,.T.); #319427=ADVANCED_FACE('',(#31912),#16641,.T.); #319428=ADVANCED_FACE('',(#31913),#16642,.T.); #319429=ADVANCED_FACE('',(#31914),#16643,.T.); #319430=ADVANCED_FACE('',(#31915),#16644,.T.); #319431=ADVANCED_FACE('',(#31916),#16645,.T.); #319432=ADVANCED_FACE('',(#31917),#16646,.T.); #319433=ADVANCED_FACE('',(#31918),#16647,.T.); #319434=ADVANCED_FACE('',(#31919),#16648,.T.); #319435=ADVANCED_FACE('',(#31920),#16649,.T.); #319436=ADVANCED_FACE('',(#31921),#16650,.T.); #319437=ADVANCED_FACE('',(#31922),#16651,.T.); #319438=ADVANCED_FACE('',(#31923),#16652,.T.); #319439=ADVANCED_FACE('',(#31924),#16653,.T.); #319440=ADVANCED_FACE('',(#31925),#16654,.T.); #319441=ADVANCED_FACE('',(#31926),#16655,.T.); #319442=ADVANCED_FACE('',(#31927),#16656,.T.); #319443=ADVANCED_FACE('',(#31928),#16657,.T.); #319444=ADVANCED_FACE('',(#31929),#16658,.T.); #319445=ADVANCED_FACE('',(#31930),#16659,.T.); #319446=ADVANCED_FACE('',(#31931),#16660,.T.); #319447=ADVANCED_FACE('',(#31932),#16661,.T.); #319448=ADVANCED_FACE('',(#31933),#16662,.T.); #319449=ADVANCED_FACE('',(#31934),#16663,.T.); #319450=ADVANCED_FACE('',(#31935,#5433,#5434,#5435,#5436,#5437,#5438,#5439, #5440,#5441,#5442,#5443,#5444,#5445,#5446,#5447,#5448,#5449,#5450,#5451, #5452,#5453,#5454,#5455,#5456,#5457,#5458,#5459,#5460,#5461,#5462,#5463, #5464,#5465,#5466,#5467,#5468,#5469,#5470,#5471,#5472,#5473,#5474,#5475, #5476,#5477,#5478,#5479,#5480,#5481,#5482,#5483,#5484,#5485,#5486,#5487, #5488,#5489,#5490,#5491,#5492,#5493,#5494,#5495,#5496,#5497,#5498,#5499, #5500,#5501,#5502,#5503,#5504,#5505,#5506,#5507,#5508,#5509,#5510,#5511, #5512,#5513,#5514,#5515,#5516,#5517,#5518,#5519,#5520,#5521,#5522,#5523, #5524,#5525,#5526,#5527,#5528,#5529,#5530,#5531,#5532,#5533,#5534,#5535, #5536,#5537,#5538,#5539,#5540,#5541,#5542,#5543,#5544,#5545,#5546,#5547, #5548,#5549,#5550,#5551,#5552,#5553,#5554,#5555,#5556,#5557,#5558,#5559, #5560,#5561,#5562,#5563,#5564,#5565,#5566,#5567,#5568,#5569,#5570,#5571, #5572,#5573,#5574,#5575,#5576,#5577),#16664,.T.); #319451=ADVANCED_FACE('',(#31936,#5578,#5579,#5580,#5581,#5582,#5583,#5584, #5585,#5586,#5587,#5588,#5589,#5590,#5591,#5592,#5593,#5594,#5595,#5596, #5597,#5598,#5599,#5600,#5601,#5602,#5603,#5604,#5605,#5606,#5607,#5608, #5609,#5610,#5611,#5612,#5613,#5614,#5615,#5616,#5617,#5618,#5619,#5620, #5621,#5622,#5623,#5624,#5625,#5626,#5627,#5628,#5629,#5630,#5631,#5632, #5633,#5634,#5635,#5636,#5637,#5638,#5639,#5640,#5641,#5642,#5643,#5644, #5645,#5646,#5647,#5648,#5649,#5650,#5651,#5652,#5653,#5654,#5655,#5656, #5657,#5658,#5659,#5660,#5661,#5662,#5663,#5664,#5665,#5666,#5667,#5668, #5669,#5670,#5671,#5672,#5673,#5674,#5675,#5676,#5677,#5678,#5679,#5680, #5681,#5682,#5683,#5684,#5685,#5686,#5687,#5688,#5689,#5690,#5691,#5692, #5693,#5694,#5695,#5696,#5697,#5698,#5699,#5700,#5701,#5702,#5703,#5704, #5705,#5706,#5707,#5708,#5709,#5710,#5711,#5712,#5713,#5714,#5715,#5716, #5717,#5718,#5719,#5720,#5721,#5722),#16665,.F.); #319452=ADVANCED_FACE('',(#31937),#16666,.T.); #319453=ADVANCED_FACE('',(#31938),#16667,.T.); #319454=ADVANCED_FACE('',(#31939),#16668,.T.); #319455=ADVANCED_FACE('',(#31940),#16669,.T.); #319456=ADVANCED_FACE('',(#31941),#16670,.T.); #319457=ADVANCED_FACE('',(#31942),#16671,.T.); #319458=ADVANCED_FACE('',(#31943),#16672,.T.); #319459=ADVANCED_FACE('',(#31944),#16673,.T.); #319460=ADVANCED_FACE('',(#31945),#16674,.T.); #319461=ADVANCED_FACE('',(#31946),#16675,.T.); #319462=ADVANCED_FACE('',(#31947),#16676,.T.); #319463=ADVANCED_FACE('',(#31948),#16677,.T.); #319464=ADVANCED_FACE('',(#31949),#16678,.T.); #319465=ADVANCED_FACE('',(#31950),#16679,.T.); #319466=ADVANCED_FACE('',(#31951),#16680,.T.); #319467=ADVANCED_FACE('',(#31952),#16681,.T.); #319468=ADVANCED_FACE('',(#31953),#16682,.T.); #319469=ADVANCED_FACE('',(#31954),#16683,.T.); #319470=ADVANCED_FACE('',(#31955),#16684,.T.); #319471=ADVANCED_FACE('',(#31956),#16685,.T.); #319472=ADVANCED_FACE('',(#31957),#16686,.T.); #319473=ADVANCED_FACE('',(#31958),#16687,.T.); #319474=ADVANCED_FACE('',(#31959),#16688,.T.); #319475=ADVANCED_FACE('',(#31960),#16689,.T.); #319476=ADVANCED_FACE('',(#31961),#16690,.T.); #319477=ADVANCED_FACE('',(#31962),#16691,.T.); #319478=ADVANCED_FACE('',(#31963),#16692,.T.); #319479=ADVANCED_FACE('',(#31964),#16693,.T.); #319480=ADVANCED_FACE('',(#31965),#16694,.F.); #319481=ADVANCED_FACE('',(#31966),#16695,.T.); #319482=ADVANCED_FACE('',(#31967),#16696,.T.); #319483=ADVANCED_FACE('',(#31968),#16697,.T.); #319484=ADVANCED_FACE('',(#31969),#16698,.T.); #319485=ADVANCED_FACE('',(#31970),#16699,.T.); #319486=ADVANCED_FACE('',(#31971),#16700,.T.); #319487=ADVANCED_FACE('',(#31972),#16701,.T.); #319488=ADVANCED_FACE('',(#31973),#16702,.T.); #319489=ADVANCED_FACE('',(#31974),#16703,.T.); #319490=ADVANCED_FACE('',(#31975),#16704,.T.); #319491=ADVANCED_FACE('',(#31976),#16705,.T.); #319492=ADVANCED_FACE('',(#31977),#16706,.T.); #319493=ADVANCED_FACE('',(#31978),#16707,.T.); #319494=ADVANCED_FACE('',(#31979),#16708,.T.); #319495=ADVANCED_FACE('',(#31980),#16709,.T.); #319496=ADVANCED_FACE('',(#31981),#16710,.T.); #319497=ADVANCED_FACE('',(#31982),#16711,.T.); #319498=ADVANCED_FACE('',(#31983),#16712,.T.); #319499=ADVANCED_FACE('',(#31984),#16713,.T.); #319500=ADVANCED_FACE('',(#31985),#16714,.T.); #319501=ADVANCED_FACE('',(#31986),#16715,.T.); #319502=ADVANCED_FACE('',(#31987),#16716,.T.); #319503=ADVANCED_FACE('',(#31988),#16717,.F.); #319504=ADVANCED_FACE('',(#31989),#16718,.T.); #319505=ADVANCED_FACE('',(#31990),#16719,.T.); #319506=ADVANCED_FACE('',(#31991),#16720,.T.); #319507=ADVANCED_FACE('',(#31992),#16721,.T.); #319508=ADVANCED_FACE('',(#31993),#16722,.T.); #319509=ADVANCED_FACE('',(#31994),#16723,.T.); #319510=ADVANCED_FACE('',(#31995),#16724,.T.); #319511=ADVANCED_FACE('',(#31996),#16725,.T.); #319512=ADVANCED_FACE('',(#31997),#16726,.T.); #319513=ADVANCED_FACE('',(#31998),#16727,.T.); #319514=ADVANCED_FACE('',(#31999),#16728,.T.); #319515=ADVANCED_FACE('',(#32000),#16729,.T.); #319516=ADVANCED_FACE('',(#32001),#16730,.T.); #319517=ADVANCED_FACE('',(#32002),#16731,.T.); #319518=ADVANCED_FACE('',(#32003),#16732,.T.); #319519=ADVANCED_FACE('',(#32004),#16733,.T.); #319520=ADVANCED_FACE('',(#32005),#16734,.T.); #319521=ADVANCED_FACE('',(#32006),#16735,.T.); #319522=ADVANCED_FACE('',(#32007),#16736,.T.); #319523=ADVANCED_FACE('',(#32008),#16737,.T.); #319524=ADVANCED_FACE('',(#32009),#16738,.T.); #319525=ADVANCED_FACE('',(#32010),#16739,.F.); #319526=ADVANCED_FACE('',(#32011),#16740,.T.); #319527=ADVANCED_FACE('',(#32012),#16741,.T.); #319528=ADVANCED_FACE('',(#32013),#16742,.T.); #319529=ADVANCED_FACE('',(#32014),#16743,.T.); #319530=ADVANCED_FACE('',(#32015),#16744,.T.); #319531=ADVANCED_FACE('',(#32016),#16745,.T.); #319532=ADVANCED_FACE('',(#32017),#16746,.T.); #319533=ADVANCED_FACE('',(#32018),#16747,.T.); #319534=ADVANCED_FACE('',(#32019),#16748,.T.); #319535=ADVANCED_FACE('',(#32020),#16749,.T.); #319536=ADVANCED_FACE('',(#32021),#16750,.T.); #319537=ADVANCED_FACE('',(#32022),#16751,.T.); #319538=ADVANCED_FACE('',(#32023),#16752,.T.); #319539=ADVANCED_FACE('',(#32024),#16753,.T.); #319540=ADVANCED_FACE('',(#32025),#16754,.T.); #319541=ADVANCED_FACE('',(#32026),#16755,.T.); #319542=ADVANCED_FACE('',(#32027),#16756,.T.); #319543=ADVANCED_FACE('',(#32028),#16757,.T.); #319544=ADVANCED_FACE('',(#32029),#16758,.T.); #319545=ADVANCED_FACE('',(#32030),#16759,.T.); #319546=ADVANCED_FACE('',(#32031),#16760,.T.); #319547=ADVANCED_FACE('',(#32032),#16761,.T.); #319548=ADVANCED_FACE('',(#32033),#16762,.T.); #319549=ADVANCED_FACE('',(#32034),#16763,.T.); #319550=ADVANCED_FACE('',(#32035),#16764,.T.); #319551=ADVANCED_FACE('',(#32036),#16765,.T.); #319552=ADVANCED_FACE('',(#32037),#16766,.T.); #319553=ADVANCED_FACE('',(#32038),#16767,.T.); #319554=ADVANCED_FACE('',(#32039),#16768,.T.); #319555=ADVANCED_FACE('',(#32040),#16769,.T.); #319556=ADVANCED_FACE('',(#32041),#16770,.T.); #319557=ADVANCED_FACE('',(#32042),#16771,.T.); #319558=ADVANCED_FACE('',(#32043),#16772,.T.); #319559=ADVANCED_FACE('',(#32044),#16773,.T.); #319560=ADVANCED_FACE('',(#32045),#16774,.T.); #319561=ADVANCED_FACE('',(#32046),#16775,.T.); #319562=ADVANCED_FACE('',(#32047),#16776,.T.); #319563=ADVANCED_FACE('',(#32048),#16777,.T.); #319564=ADVANCED_FACE('',(#32049),#16778,.T.); #319565=ADVANCED_FACE('',(#32050),#16779,.T.); #319566=ADVANCED_FACE('',(#32051),#16780,.T.); #319567=ADVANCED_FACE('',(#32052),#16781,.T.); #319568=ADVANCED_FACE('',(#32053),#16782,.T.); #319569=ADVANCED_FACE('',(#32054),#16783,.T.); #319570=ADVANCED_FACE('',(#32055),#16784,.T.); #319571=ADVANCED_FACE('',(#32056),#16785,.T.); #319572=ADVANCED_FACE('',(#32057),#16786,.T.); #319573=ADVANCED_FACE('',(#32058),#16787,.T.); #319574=ADVANCED_FACE('',(#32059),#16788,.F.); #319575=ADVANCED_FACE('',(#32060),#16789,.T.); #319576=ADVANCED_FACE('',(#32061),#16790,.T.); #319577=ADVANCED_FACE('',(#32062),#16791,.T.); #319578=ADVANCED_FACE('',(#32063),#16792,.T.); #319579=ADVANCED_FACE('',(#32064),#16793,.T.); #319580=ADVANCED_FACE('',(#32065),#16794,.T.); #319581=ADVANCED_FACE('',(#32066),#16795,.T.); #319582=ADVANCED_FACE('',(#32067),#16796,.T.); #319583=ADVANCED_FACE('',(#32068),#16797,.T.); #319584=ADVANCED_FACE('',(#32069),#16798,.T.); #319585=ADVANCED_FACE('',(#32070),#16799,.T.); #319586=ADVANCED_FACE('',(#32071),#16800,.T.); #319587=ADVANCED_FACE('',(#32072),#16801,.T.); #319588=ADVANCED_FACE('',(#32073),#16802,.T.); #319589=ADVANCED_FACE('',(#32074),#16803,.T.); #319590=ADVANCED_FACE('',(#32075),#16804,.T.); #319591=ADVANCED_FACE('',(#32076),#16805,.T.); #319592=ADVANCED_FACE('',(#32077),#16806,.T.); #319593=ADVANCED_FACE('',(#32078),#16807,.T.); #319594=ADVANCED_FACE('',(#32079),#16808,.T.); #319595=ADVANCED_FACE('',(#32080),#16809,.T.); #319596=ADVANCED_FACE('',(#32081),#16810,.T.); #319597=ADVANCED_FACE('',(#32082),#16811,.T.); #319598=ADVANCED_FACE('',(#32083),#16812,.T.); #319599=ADVANCED_FACE('',(#32084),#16813,.T.); #319600=ADVANCED_FACE('',(#32085),#16814,.T.); #319601=ADVANCED_FACE('',(#32086),#16815,.T.); #319602=ADVANCED_FACE('',(#32087),#16816,.T.); #319603=ADVANCED_FACE('',(#32088),#16817,.T.); #319604=ADVANCED_FACE('',(#32089),#16818,.T.); #319605=ADVANCED_FACE('',(#32090),#16819,.T.); #319606=ADVANCED_FACE('',(#32091),#16820,.T.); #319607=ADVANCED_FACE('',(#32092),#16821,.T.); #319608=ADVANCED_FACE('',(#32093),#16822,.T.); #319609=ADVANCED_FACE('',(#32094),#16823,.T.); #319610=ADVANCED_FACE('',(#32095),#16824,.F.); #319611=ADVANCED_FACE('',(#32096),#16825,.T.); #319612=ADVANCED_FACE('',(#32097),#16826,.T.); #319613=ADVANCED_FACE('',(#32098),#16827,.T.); #319614=ADVANCED_FACE('',(#32099),#16828,.T.); #319615=ADVANCED_FACE('',(#32100),#16829,.T.); #319616=ADVANCED_FACE('',(#32101),#16830,.T.); #319617=ADVANCED_FACE('',(#32102),#16831,.T.); #319618=ADVANCED_FACE('',(#32103),#16832,.T.); #319619=ADVANCED_FACE('',(#32104),#16833,.T.); #319620=ADVANCED_FACE('',(#32105),#16834,.T.); #319621=ADVANCED_FACE('',(#32106),#16835,.T.); #319622=ADVANCED_FACE('',(#32107),#16836,.T.); #319623=ADVANCED_FACE('',(#32108),#16837,.T.); #319624=ADVANCED_FACE('',(#32109),#16838,.T.); #319625=ADVANCED_FACE('',(#32110),#16839,.T.); #319626=ADVANCED_FACE('',(#32111),#16840,.T.); #319627=ADVANCED_FACE('',(#32112),#16841,.T.); #319628=ADVANCED_FACE('',(#32113),#16842,.T.); #319629=ADVANCED_FACE('',(#32114),#16843,.T.); #319630=ADVANCED_FACE('',(#32115),#16844,.T.); #319631=ADVANCED_FACE('',(#32116),#16845,.T.); #319632=ADVANCED_FACE('',(#32117),#16846,.T.); #319633=ADVANCED_FACE('',(#32118),#16847,.T.); #319634=ADVANCED_FACE('',(#32119),#16848,.F.); #319635=ADVANCED_FACE('',(#32120),#16849,.T.); #319636=ADVANCED_FACE('',(#32121),#16850,.T.); #319637=ADVANCED_FACE('',(#32122),#16851,.T.); #319638=ADVANCED_FACE('',(#32123),#16852,.T.); #319639=ADVANCED_FACE('',(#32124),#16853,.T.); #319640=ADVANCED_FACE('',(#32125),#16854,.T.); #319641=ADVANCED_FACE('',(#32126),#16855,.T.); #319642=ADVANCED_FACE('',(#32127),#16856,.T.); #319643=ADVANCED_FACE('',(#32128),#16857,.T.); #319644=ADVANCED_FACE('',(#32129),#16858,.T.); #319645=ADVANCED_FACE('',(#32130),#16859,.T.); #319646=ADVANCED_FACE('',(#32131),#16860,.T.); #319647=ADVANCED_FACE('',(#32132),#16861,.T.); #319648=ADVANCED_FACE('',(#32133),#16862,.T.); #319649=ADVANCED_FACE('',(#32134),#16863,.T.); #319650=ADVANCED_FACE('',(#32135),#16864,.T.); #319651=ADVANCED_FACE('',(#32136),#16865,.T.); #319652=ADVANCED_FACE('',(#32137),#16866,.T.); #319653=ADVANCED_FACE('',(#32138),#16867,.T.); #319654=ADVANCED_FACE('',(#32139),#16868,.T.); #319655=ADVANCED_FACE('',(#32140),#16869,.T.); #319656=ADVANCED_FACE('',(#32141),#16870,.F.); #319657=ADVANCED_FACE('',(#32142),#16871,.T.); #319658=ADVANCED_FACE('',(#32143),#16872,.T.); #319659=ADVANCED_FACE('',(#32144),#16873,.T.); #319660=ADVANCED_FACE('',(#32145),#16874,.T.); #319661=ADVANCED_FACE('',(#32146),#16875,.T.); #319662=ADVANCED_FACE('',(#32147),#16876,.T.); #319663=ADVANCED_FACE('',(#32148),#16877,.T.); #319664=ADVANCED_FACE('',(#32149),#16878,.T.); #319665=ADVANCED_FACE('',(#32150),#16879,.T.); #319666=ADVANCED_FACE('',(#32151),#16880,.T.); #319667=ADVANCED_FACE('',(#32152),#16881,.T.); #319668=ADVANCED_FACE('',(#32153),#16882,.T.); #319669=ADVANCED_FACE('',(#32154),#16883,.T.); #319670=ADVANCED_FACE('',(#32155),#16884,.T.); #319671=ADVANCED_FACE('',(#32156),#16885,.T.); #319672=ADVANCED_FACE('',(#32157),#16886,.T.); #319673=ADVANCED_FACE('',(#32158),#16887,.T.); #319674=ADVANCED_FACE('',(#32159),#16888,.T.); #319675=ADVANCED_FACE('',(#32160),#16889,.T.); #319676=ADVANCED_FACE('',(#32161),#16890,.T.); #319677=ADVANCED_FACE('',(#32162),#16891,.T.); #319678=ADVANCED_FACE('',(#32163),#16892,.T.); #319679=ADVANCED_FACE('',(#32164),#16893,.T.); #319680=ADVANCED_FACE('',(#32165),#16894,.T.); #319681=ADVANCED_FACE('',(#32166),#16895,.T.); #319682=ADVANCED_FACE('',(#32167),#16896,.T.); #319683=ADVANCED_FACE('',(#32168),#16897,.T.); #319684=ADVANCED_FACE('',(#32169),#16898,.T.); #319685=ADVANCED_FACE('',(#32170),#16899,.T.); #319686=ADVANCED_FACE('',(#32171),#16900,.T.); #319687=ADVANCED_FACE('',(#32172),#16901,.T.); #319688=ADVANCED_FACE('',(#32173),#16902,.T.); #319689=ADVANCED_FACE('',(#32174),#16903,.T.); #319690=ADVANCED_FACE('',(#32175),#16904,.T.); #319691=ADVANCED_FACE('',(#32176),#16905,.T.); #319692=ADVANCED_FACE('',(#32177),#16906,.T.); #319693=ADVANCED_FACE('',(#32178),#16907,.T.); #319694=ADVANCED_FACE('',(#32179),#16908,.T.); #319695=ADVANCED_FACE('',(#32180),#16909,.T.); #319696=ADVANCED_FACE('',(#32181),#16910,.T.); #319697=ADVANCED_FACE('',(#32182),#16911,.T.); #319698=ADVANCED_FACE('',(#32183),#16912,.T.); #319699=ADVANCED_FACE('',(#32184),#16913,.T.); #319700=ADVANCED_FACE('',(#32185),#16914,.T.); #319701=ADVANCED_FACE('',(#32186),#16915,.T.); #319702=ADVANCED_FACE('',(#32187),#16916,.T.); #319703=ADVANCED_FACE('',(#32188),#16917,.T.); #319704=ADVANCED_FACE('',(#32189),#16918,.T.); #319705=ADVANCED_FACE('',(#32190),#16919,.T.); #319706=ADVANCED_FACE('',(#32191),#16920,.T.); #319707=ADVANCED_FACE('',(#32192),#16921,.T.); #319708=ADVANCED_FACE('',(#32193),#16922,.T.); #319709=ADVANCED_FACE('',(#32194),#16923,.T.); #319710=ADVANCED_FACE('',(#32195),#16924,.T.); #319711=ADVANCED_FACE('',(#32196),#16925,.T.); #319712=ADVANCED_FACE('',(#32197),#16926,.T.); #319713=ADVANCED_FACE('',(#32198),#16927,.T.); #319714=ADVANCED_FACE('',(#32199),#16928,.T.); #319715=ADVANCED_FACE('',(#32200),#16929,.T.); #319716=ADVANCED_FACE('',(#32201),#16930,.T.); #319717=ADVANCED_FACE('',(#32202),#16931,.T.); #319718=ADVANCED_FACE('',(#32203),#16932,.T.); #319719=ADVANCED_FACE('',(#32204),#16933,.T.); #319720=ADVANCED_FACE('',(#32205),#16934,.T.); #319721=ADVANCED_FACE('',(#32206),#16935,.T.); #319722=ADVANCED_FACE('',(#32207),#16936,.T.); #319723=ADVANCED_FACE('',(#32208),#16937,.T.); #319724=ADVANCED_FACE('',(#32209),#16938,.T.); #319725=ADVANCED_FACE('',(#32210),#16939,.T.); #319726=ADVANCED_FACE('',(#32211),#16940,.T.); #319727=ADVANCED_FACE('',(#32212),#16941,.T.); #319728=ADVANCED_FACE('',(#32213),#16942,.T.); #319729=ADVANCED_FACE('',(#32214),#16943,.T.); #319730=ADVANCED_FACE('',(#32215),#16944,.F.); #319731=ADVANCED_FACE('',(#32216),#16945,.T.); #319732=ADVANCED_FACE('',(#32217),#16946,.T.); #319733=ADVANCED_FACE('',(#32218),#16947,.T.); #319734=ADVANCED_FACE('',(#32219),#16948,.T.); #319735=ADVANCED_FACE('',(#32220),#16949,.T.); #319736=ADVANCED_FACE('',(#32221),#16950,.T.); #319737=ADVANCED_FACE('',(#32222),#16951,.T.); #319738=ADVANCED_FACE('',(#32223),#16952,.T.); #319739=ADVANCED_FACE('',(#32224),#16953,.T.); #319740=ADVANCED_FACE('',(#32225),#16954,.T.); #319741=ADVANCED_FACE('',(#32226),#16955,.T.); #319742=ADVANCED_FACE('',(#32227),#16956,.T.); #319743=ADVANCED_FACE('',(#32228),#16957,.T.); #319744=ADVANCED_FACE('',(#32229),#16958,.T.); #319745=ADVANCED_FACE('',(#32230),#16959,.T.); #319746=ADVANCED_FACE('',(#32231),#16960,.T.); #319747=ADVANCED_FACE('',(#32232),#16961,.T.); #319748=ADVANCED_FACE('',(#32233),#16962,.T.); #319749=ADVANCED_FACE('',(#32234),#16963,.T.); #319750=ADVANCED_FACE('',(#32235),#16964,.T.); #319751=ADVANCED_FACE('',(#32236),#16965,.T.); #319752=ADVANCED_FACE('',(#32237),#16966,.T.); #319753=ADVANCED_FACE('',(#32238),#16967,.T.); #319754=ADVANCED_FACE('',(#32239),#16968,.T.); #319755=ADVANCED_FACE('',(#32240),#16969,.T.); #319756=ADVANCED_FACE('',(#32241),#16970,.T.); #319757=ADVANCED_FACE('',(#32242),#16971,.T.); #319758=ADVANCED_FACE('',(#32243),#16972,.T.); #319759=ADVANCED_FACE('',(#32244),#16973,.T.); #319760=ADVANCED_FACE('',(#32245),#16974,.F.); #319761=ADVANCED_FACE('',(#32246),#306512,.F.); #319762=ADVANCED_FACE('',(#32247),#306513,.F.); #319763=ADVANCED_FACE('',(#32248),#306514,.F.); #319764=ADVANCED_FACE('',(#32249),#306515,.F.); #319765=ADVANCED_FACE('',(#32250),#306516,.F.); #319766=ADVANCED_FACE('',(#32251),#306517,.F.); #319767=ADVANCED_FACE('',(#32252),#306518,.F.); #319768=ADVANCED_FACE('',(#32253),#306519,.F.); #319769=ADVANCED_FACE('',(#32254),#306520,.F.); #319770=ADVANCED_FACE('',(#32255),#306521,.F.); #319771=ADVANCED_FACE('',(#32256),#306522,.F.); #319772=ADVANCED_FACE('',(#32257),#306523,.F.); #319773=ADVANCED_FACE('',(#32258),#306524,.F.); #319774=ADVANCED_FACE('',(#32259),#306525,.F.); #319775=ADVANCED_FACE('',(#32260),#306526,.F.); #319776=ADVANCED_FACE('',(#32261),#306527,.F.); #319777=ADVANCED_FACE('',(#32262),#16975,.T.); #319778=ADVANCED_FACE('',(#32263),#16976,.T.); #319779=ADVANCED_FACE('',(#32264),#16977,.T.); #319780=ADVANCED_FACE('',(#32265),#16978,.T.); #319781=ADVANCED_FACE('',(#32266),#16979,.T.); #319782=ADVANCED_FACE('',(#32267),#16980,.T.); #319783=ADVANCED_FACE('',(#32268),#16981,.T.); #319784=ADVANCED_FACE('',(#32269),#16982,.T.); #319785=ADVANCED_FACE('',(#32270),#16983,.T.); #319786=ADVANCED_FACE('',(#32271),#16984,.T.); #319787=ADVANCED_FACE('',(#32272),#16985,.T.); #319788=ADVANCED_FACE('',(#32273),#16986,.T.); #319789=ADVANCED_FACE('',(#32274),#16987,.T.); #319790=ADVANCED_FACE('',(#32275),#16988,.T.); #319791=ADVANCED_FACE('',(#32276),#16989,.T.); #319792=ADVANCED_FACE('',(#32277),#16990,.T.); #319793=ADVANCED_FACE('',(#32278),#16991,.T.); #319794=ADVANCED_FACE('',(#32279),#16992,.T.); #319795=ADVANCED_FACE('',(#32280),#16993,.T.); #319796=ADVANCED_FACE('',(#32281),#16994,.T.); #319797=ADVANCED_FACE('',(#32282),#16995,.T.); #319798=ADVANCED_FACE('',(#32283),#16996,.T.); #319799=ADVANCED_FACE('',(#32284),#16997,.T.); #319800=ADVANCED_FACE('',(#32285),#16998,.T.); #319801=ADVANCED_FACE('',(#32286),#16999,.T.); #319802=ADVANCED_FACE('',(#32287),#17000,.T.); #319803=ADVANCED_FACE('',(#32288),#17001,.T.); #319804=ADVANCED_FACE('',(#32289),#17002,.T.); #319805=ADVANCED_FACE('',(#32290),#17003,.T.); #319806=ADVANCED_FACE('',(#32291),#17004,.T.); #319807=ADVANCED_FACE('',(#32292),#17005,.T.); #319808=ADVANCED_FACE('',(#32293),#17006,.T.); #319809=ADVANCED_FACE('',(#32294),#17007,.T.); #319810=ADVANCED_FACE('',(#32295),#17008,.T.); #319811=ADVANCED_FACE('',(#32296),#17009,.T.); #319812=ADVANCED_FACE('',(#32297),#17010,.T.); #319813=ADVANCED_FACE('',(#32298),#17011,.T.); #319814=ADVANCED_FACE('',(#32299),#17012,.T.); #319815=ADVANCED_FACE('',(#32300),#17013,.T.); #319816=ADVANCED_FACE('',(#32301),#17014,.T.); #319817=ADVANCED_FACE('',(#32302),#17015,.T.); #319818=ADVANCED_FACE('',(#32303),#17016,.T.); #319819=ADVANCED_FACE('',(#32304),#17017,.T.); #319820=ADVANCED_FACE('',(#32305),#17018,.T.); #319821=ADVANCED_FACE('',(#32306),#17019,.T.); #319822=ADVANCED_FACE('',(#32307),#17020,.T.); #319823=ADVANCED_FACE('',(#32308),#17021,.T.); #319824=ADVANCED_FACE('',(#32309),#17022,.T.); #319825=ADVANCED_FACE('',(#32310),#17023,.T.); #319826=ADVANCED_FACE('',(#32311),#17024,.T.); #319827=ADVANCED_FACE('',(#32312),#17025,.T.); #319828=ADVANCED_FACE('',(#32313),#17026,.T.); #319829=ADVANCED_FACE('',(#32314),#17027,.T.); #319830=ADVANCED_FACE('',(#32315),#17028,.T.); #319831=ADVANCED_FACE('',(#32316),#17029,.T.); #319832=ADVANCED_FACE('',(#32317),#17030,.T.); #319833=ADVANCED_FACE('',(#32318),#17031,.T.); #319834=ADVANCED_FACE('',(#32319),#17032,.T.); #319835=ADVANCED_FACE('',(#32320),#17033,.T.); #319836=ADVANCED_FACE('',(#32321),#17034,.T.); #319837=ADVANCED_FACE('',(#32322),#17035,.T.); #319838=ADVANCED_FACE('',(#32323),#17036,.T.); #319839=ADVANCED_FACE('',(#32324),#17037,.T.); #319840=ADVANCED_FACE('',(#32325),#17038,.T.); #319841=ADVANCED_FACE('',(#32326),#17039,.T.); #319842=ADVANCED_FACE('',(#32327),#17040,.T.); #319843=ADVANCED_FACE('',(#32328),#17041,.T.); #319844=ADVANCED_FACE('',(#32329),#17042,.T.); #319845=ADVANCED_FACE('',(#32330),#17043,.T.); #319846=ADVANCED_FACE('',(#32331),#17044,.T.); #319847=ADVANCED_FACE('',(#32332),#17045,.T.); #319848=ADVANCED_FACE('',(#32333),#17046,.T.); #319849=ADVANCED_FACE('',(#32334),#17047,.T.); #319850=ADVANCED_FACE('',(#32335),#17048,.T.); #319851=ADVANCED_FACE('',(#32336,#5723,#5724,#5725,#5726,#5727,#5728,#5729, #5730,#5731,#5732,#5733,#5734,#5735,#5736,#5737,#5738),#17049,.T.); #319852=ADVANCED_FACE('',(#32337,#5739,#5740,#5741,#5742,#5743,#5744,#5745, #5746,#5747,#5748,#5749,#5750,#5751,#5752,#5753,#5754),#17050,.F.); #319853=ADVANCED_FACE('',(#32338),#306528,.F.); #319854=ADVANCED_FACE('',(#32339),#306529,.F.); #319855=ADVANCED_FACE('',(#32340),#306530,.F.); #319856=ADVANCED_FACE('',(#32341),#306531,.F.); #319857=ADVANCED_FACE('',(#32342),#306532,.F.); #319858=ADVANCED_FACE('',(#32343),#306533,.F.); #319859=ADVANCED_FACE('',(#32344),#306534,.F.); #319860=ADVANCED_FACE('',(#32345),#306535,.F.); #319861=ADVANCED_FACE('',(#32346),#306536,.F.); #319862=ADVANCED_FACE('',(#32347),#306537,.F.); #319863=ADVANCED_FACE('',(#32348),#306538,.F.); #319864=ADVANCED_FACE('',(#32349),#306539,.F.); #319865=ADVANCED_FACE('',(#32350),#306540,.F.); #319866=ADVANCED_FACE('',(#32351),#306541,.F.); #319867=ADVANCED_FACE('',(#32352),#306542,.F.); #319868=ADVANCED_FACE('',(#32353),#306543,.F.); #319869=ADVANCED_FACE('',(#32354),#17051,.T.); #319870=ADVANCED_FACE('',(#32355),#17052,.T.); #319871=ADVANCED_FACE('',(#32356),#17053,.T.); #319872=ADVANCED_FACE('',(#32357),#17054,.T.); #319873=ADVANCED_FACE('',(#32358),#17055,.T.); #319874=ADVANCED_FACE('',(#32359),#17056,.T.); #319875=ADVANCED_FACE('',(#32360),#17057,.T.); #319876=ADVANCED_FACE('',(#32361),#17058,.T.); #319877=ADVANCED_FACE('',(#32362),#17059,.T.); #319878=ADVANCED_FACE('',(#32363),#17060,.T.); #319879=ADVANCED_FACE('',(#32364),#17061,.T.); #319880=ADVANCED_FACE('',(#32365),#17062,.T.); #319881=ADVANCED_FACE('',(#32366),#17063,.T.); #319882=ADVANCED_FACE('',(#32367),#17064,.T.); #319883=ADVANCED_FACE('',(#32368),#17065,.T.); #319884=ADVANCED_FACE('',(#32369),#17066,.T.); #319885=ADVANCED_FACE('',(#32370),#17067,.T.); #319886=ADVANCED_FACE('',(#32371),#17068,.T.); #319887=ADVANCED_FACE('',(#32372),#17069,.T.); #319888=ADVANCED_FACE('',(#32373),#17070,.T.); #319889=ADVANCED_FACE('',(#32374),#17071,.T.); #319890=ADVANCED_FACE('',(#32375),#17072,.T.); #319891=ADVANCED_FACE('',(#32376),#17073,.T.); #319892=ADVANCED_FACE('',(#32377),#17074,.T.); #319893=ADVANCED_FACE('',(#32378),#17075,.T.); #319894=ADVANCED_FACE('',(#32379),#17076,.T.); #319895=ADVANCED_FACE('',(#32380),#17077,.T.); #319896=ADVANCED_FACE('',(#32381),#17078,.T.); #319897=ADVANCED_FACE('',(#32382),#17079,.T.); #319898=ADVANCED_FACE('',(#32383),#17080,.T.); #319899=ADVANCED_FACE('',(#32384),#17081,.T.); #319900=ADVANCED_FACE('',(#32385),#17082,.T.); #319901=ADVANCED_FACE('',(#32386),#17083,.T.); #319902=ADVANCED_FACE('',(#32387),#17084,.T.); #319903=ADVANCED_FACE('',(#32388),#17085,.T.); #319904=ADVANCED_FACE('',(#32389),#17086,.T.); #319905=ADVANCED_FACE('',(#32390),#17087,.T.); #319906=ADVANCED_FACE('',(#32391),#17088,.T.); #319907=ADVANCED_FACE('',(#32392),#17089,.T.); #319908=ADVANCED_FACE('',(#32393),#17090,.T.); #319909=ADVANCED_FACE('',(#32394),#17091,.T.); #319910=ADVANCED_FACE('',(#32395),#17092,.T.); #319911=ADVANCED_FACE('',(#32396),#17093,.T.); #319912=ADVANCED_FACE('',(#32397),#17094,.T.); #319913=ADVANCED_FACE('',(#32398),#17095,.T.); #319914=ADVANCED_FACE('',(#32399),#17096,.T.); #319915=ADVANCED_FACE('',(#32400),#17097,.T.); #319916=ADVANCED_FACE('',(#32401),#17098,.T.); #319917=ADVANCED_FACE('',(#32402),#17099,.T.); #319918=ADVANCED_FACE('',(#32403),#17100,.T.); #319919=ADVANCED_FACE('',(#32404),#17101,.T.); #319920=ADVANCED_FACE('',(#32405),#17102,.T.); #319921=ADVANCED_FACE('',(#32406),#17103,.T.); #319922=ADVANCED_FACE('',(#32407),#17104,.T.); #319923=ADVANCED_FACE('',(#32408),#17105,.T.); #319924=ADVANCED_FACE('',(#32409),#17106,.T.); #319925=ADVANCED_FACE('',(#32410),#17107,.T.); #319926=ADVANCED_FACE('',(#32411),#17108,.T.); #319927=ADVANCED_FACE('',(#32412),#17109,.T.); #319928=ADVANCED_FACE('',(#32413),#17110,.T.); #319929=ADVANCED_FACE('',(#32414),#17111,.T.); #319930=ADVANCED_FACE('',(#32415),#17112,.T.); #319931=ADVANCED_FACE('',(#32416),#17113,.T.); #319932=ADVANCED_FACE('',(#32417),#17114,.T.); #319933=ADVANCED_FACE('',(#32418),#17115,.T.); #319934=ADVANCED_FACE('',(#32419),#17116,.T.); #319935=ADVANCED_FACE('',(#32420),#17117,.T.); #319936=ADVANCED_FACE('',(#32421),#17118,.T.); #319937=ADVANCED_FACE('',(#32422),#17119,.T.); #319938=ADVANCED_FACE('',(#32423),#17120,.T.); #319939=ADVANCED_FACE('',(#32424,#5755,#5756,#5757,#5758,#5759,#5760,#5761, #5762,#5763,#5764,#5765,#5766,#5767,#5768,#5769,#5770),#17121,.T.); #319940=ADVANCED_FACE('',(#32425,#5771,#5772,#5773,#5774,#5775,#5776,#5777, #5778,#5779,#5780,#5781,#5782,#5783,#5784,#5785,#5786),#17122,.F.); #319941=ADVANCED_FACE('',(#32426),#306544,.F.); #319942=ADVANCED_FACE('',(#32427),#306545,.F.); #319943=ADVANCED_FACE('',(#32428),#306546,.F.); #319944=ADVANCED_FACE('',(#32429),#306547,.F.); #319945=ADVANCED_FACE('',(#32430),#306548,.F.); #319946=ADVANCED_FACE('',(#32431),#306549,.F.); #319947=ADVANCED_FACE('',(#32432),#306550,.F.); #319948=ADVANCED_FACE('',(#32433),#306551,.F.); #319949=ADVANCED_FACE('',(#32434),#306552,.F.); #319950=ADVANCED_FACE('',(#32435),#306553,.F.); #319951=ADVANCED_FACE('',(#32436),#306554,.F.); #319952=ADVANCED_FACE('',(#32437),#306555,.F.); #319953=ADVANCED_FACE('',(#32438),#306556,.F.); #319954=ADVANCED_FACE('',(#32439),#306557,.F.); #319955=ADVANCED_FACE('',(#32440),#306558,.F.); #319956=ADVANCED_FACE('',(#32441),#306559,.F.); #319957=ADVANCED_FACE('',(#32442),#306560,.F.); #319958=ADVANCED_FACE('',(#32443),#306561,.F.); #319959=ADVANCED_FACE('',(#32444),#306562,.F.); #319960=ADVANCED_FACE('',(#32445),#306563,.F.); #319961=ADVANCED_FACE('',(#32446),#306564,.F.); #319962=ADVANCED_FACE('',(#32447),#306565,.F.); #319963=ADVANCED_FACE('',(#32448),#306566,.F.); #319964=ADVANCED_FACE('',(#32449),#306567,.F.); #319965=ADVANCED_FACE('',(#32450),#306568,.F.); #319966=ADVANCED_FACE('',(#32451),#306569,.F.); #319967=ADVANCED_FACE('',(#32452),#306570,.F.); #319968=ADVANCED_FACE('',(#32453),#306571,.F.); #319969=ADVANCED_FACE('',(#32454),#306572,.F.); #319970=ADVANCED_FACE('',(#32455),#306573,.F.); #319971=ADVANCED_FACE('',(#32456),#17123,.T.); #319972=ADVANCED_FACE('',(#32457),#17124,.T.); #319973=ADVANCED_FACE('',(#32458),#17125,.T.); #319974=ADVANCED_FACE('',(#32459),#17126,.T.); #319975=ADVANCED_FACE('',(#32460),#17127,.T.); #319976=ADVANCED_FACE('',(#32461),#17128,.T.); #319977=ADVANCED_FACE('',(#32462),#17129,.T.); #319978=ADVANCED_FACE('',(#32463),#17130,.T.); #319979=ADVANCED_FACE('',(#32464),#17131,.T.); #319980=ADVANCED_FACE('',(#32465),#17132,.T.); #319981=ADVANCED_FACE('',(#32466),#17133,.T.); #319982=ADVANCED_FACE('',(#32467),#17134,.T.); #319983=ADVANCED_FACE('',(#32468),#17135,.T.); #319984=ADVANCED_FACE('',(#32469),#17136,.T.); #319985=ADVANCED_FACE('',(#32470),#17137,.T.); #319986=ADVANCED_FACE('',(#32471),#17138,.T.); #319987=ADVANCED_FACE('',(#32472),#17139,.T.); #319988=ADVANCED_FACE('',(#32473),#17140,.T.); #319989=ADVANCED_FACE('',(#32474),#17141,.T.); #319990=ADVANCED_FACE('',(#32475),#17142,.T.); #319991=ADVANCED_FACE('',(#32476),#17143,.T.); #319992=ADVANCED_FACE('',(#32477),#17144,.T.); #319993=ADVANCED_FACE('',(#32478),#17145,.T.); #319994=ADVANCED_FACE('',(#32479),#17146,.T.); #319995=ADVANCED_FACE('',(#32480),#17147,.T.); #319996=ADVANCED_FACE('',(#32481),#17148,.T.); #319997=ADVANCED_FACE('',(#32482),#17149,.T.); #319998=ADVANCED_FACE('',(#32483),#17150,.T.); #319999=ADVANCED_FACE('',(#32484),#17151,.T.); #320000=ADVANCED_FACE('',(#32485),#17152,.T.); #320001=ADVANCED_FACE('',(#32486),#17153,.T.); #320002=ADVANCED_FACE('',(#32487),#17154,.T.); #320003=ADVANCED_FACE('',(#32488),#17155,.T.); #320004=ADVANCED_FACE('',(#32489),#17156,.T.); #320005=ADVANCED_FACE('',(#32490),#17157,.T.); #320006=ADVANCED_FACE('',(#32491),#17158,.T.); #320007=ADVANCED_FACE('',(#32492),#17159,.T.); #320008=ADVANCED_FACE('',(#32493),#17160,.T.); #320009=ADVANCED_FACE('',(#32494),#17161,.T.); #320010=ADVANCED_FACE('',(#32495),#17162,.T.); #320011=ADVANCED_FACE('',(#32496),#17163,.T.); #320012=ADVANCED_FACE('',(#32497),#17164,.T.); #320013=ADVANCED_FACE('',(#32498),#17165,.T.); #320014=ADVANCED_FACE('',(#32499),#17166,.T.); #320015=ADVANCED_FACE('',(#32500),#17167,.T.); #320016=ADVANCED_FACE('',(#32501,#5787,#5788,#5789,#5790,#5791,#5792,#5793, #5794,#5795,#5796,#5797,#5798,#5799,#5800,#5801,#5802,#5803,#5804,#5805, #5806,#5807,#5808,#5809,#5810,#5811,#5812,#5813,#5814,#5815,#5816),#17168, .T.); #320017=ADVANCED_FACE('',(#32502,#5817,#5818,#5819,#5820,#5821,#5822,#5823, #5824,#5825,#5826,#5827,#5828,#5829,#5830,#5831,#5832,#5833,#5834,#5835, #5836,#5837,#5838,#5839,#5840,#5841,#5842,#5843,#5844,#5845,#5846),#17169, .F.); #320018=ADVANCED_FACE('',(#32503),#306574,.F.); #320019=ADVANCED_FACE('',(#32504),#306575,.F.); #320020=ADVANCED_FACE('',(#32505),#17170,.T.); #320021=ADVANCED_FACE('',(#32506),#306576,.T.); #320022=ADVANCED_FACE('',(#32507),#17171,.T.); #320023=ADVANCED_FACE('',(#32508),#306577,.T.); #320024=ADVANCED_FACE('',(#32509),#306578,.T.); #320025=ADVANCED_FACE('',(#32510),#17172,.T.); #320026=ADVANCED_FACE('',(#32511),#306579,.T.); #320027=ADVANCED_FACE('',(#32512,#5847,#5848),#17173,.T.); #320028=ADVANCED_FACE('',(#32513,#5849,#5850),#17174,.F.); #320029=ADVANCED_FACE('',(#32514),#306580,.F.); #320030=ADVANCED_FACE('',(#32515),#306581,.F.); #320031=ADVANCED_FACE('',(#32516),#306582,.F.); #320032=ADVANCED_FACE('',(#32517),#306583,.F.); #320033=ADVANCED_FACE('',(#32518),#306584,.F.); #320034=ADVANCED_FACE('',(#32519),#306585,.F.); #320035=ADVANCED_FACE('',(#32520),#306586,.F.); #320036=ADVANCED_FACE('',(#32521),#306587,.F.); #320037=ADVANCED_FACE('',(#32522),#306588,.F.); #320038=ADVANCED_FACE('',(#32523),#306589,.F.); #320039=ADVANCED_FACE('',(#32524),#17175,.T.); #320040=ADVANCED_FACE('',(#32525),#17176,.T.); #320041=ADVANCED_FACE('',(#32526),#17177,.T.); #320042=ADVANCED_FACE('',(#32527),#17178,.T.); #320043=ADVANCED_FACE('',(#32528),#17179,.T.); #320044=ADVANCED_FACE('',(#32529),#17180,.T.); #320045=ADVANCED_FACE('',(#32530),#17181,.T.); #320046=ADVANCED_FACE('',(#32531),#17182,.T.); #320047=ADVANCED_FACE('',(#32532),#17183,.T.); #320048=ADVANCED_FACE('',(#32533),#17184,.T.); #320049=ADVANCED_FACE('',(#32534),#17185,.T.); #320050=ADVANCED_FACE('',(#32535),#17186,.T.); #320051=ADVANCED_FACE('',(#32536),#17187,.T.); #320052=ADVANCED_FACE('',(#32537),#17188,.T.); #320053=ADVANCED_FACE('',(#32538),#17189,.T.); #320054=ADVANCED_FACE('',(#32539),#17190,.T.); #320055=ADVANCED_FACE('',(#32540),#17191,.T.); #320056=ADVANCED_FACE('',(#32541),#17192,.T.); #320057=ADVANCED_FACE('',(#32542),#17193,.T.); #320058=ADVANCED_FACE('',(#32543),#17194,.T.); #320059=ADVANCED_FACE('',(#32544),#17195,.T.); #320060=ADVANCED_FACE('',(#32545),#17196,.T.); #320061=ADVANCED_FACE('',(#32546),#17197,.T.); #320062=ADVANCED_FACE('',(#32547),#17198,.T.); #320063=ADVANCED_FACE('',(#32548,#5851,#5852,#5853,#5854,#5855,#5856,#5857, #5858,#5859,#5860),#17199,.T.); #320064=ADVANCED_FACE('',(#32549,#5861,#5862,#5863,#5864,#5865,#5866,#5867, #5868,#5869,#5870),#17200,.F.); #320065=ADVANCED_FACE('',(#32550),#306590,.F.); #320066=ADVANCED_FACE('',(#32551),#17201,.T.); #320067=ADVANCED_FACE('',(#32552),#306591,.T.); #320068=ADVANCED_FACE('',(#32553),#306592,.T.); #320069=ADVANCED_FACE('',(#32554,#5871),#17202,.T.); #320070=ADVANCED_FACE('',(#32555,#5872),#17203,.F.); #320071=ADVANCED_FACE('',(#32556),#306593,.F.); #320072=ADVANCED_FACE('',(#32557),#306594,.F.); #320073=ADVANCED_FACE('',(#32558),#306595,.F.); #320074=ADVANCED_FACE('',(#32559),#306596,.F.); #320075=ADVANCED_FACE('',(#32560),#306597,.F.); #320076=ADVANCED_FACE('',(#32561),#306598,.F.); #320077=ADVANCED_FACE('',(#32562),#306599,.F.); #320078=ADVANCED_FACE('',(#32563),#306600,.F.); #320079=ADVANCED_FACE('',(#32564),#306601,.F.); #320080=ADVANCED_FACE('',(#32565),#306602,.F.); #320081=ADVANCED_FACE('',(#32566),#306603,.F.); #320082=ADVANCED_FACE('',(#32567),#306604,.F.); #320083=ADVANCED_FACE('',(#32568),#306605,.F.); #320084=ADVANCED_FACE('',(#32569),#306606,.F.); #320085=ADVANCED_FACE('',(#32570),#306607,.F.); #320086=ADVANCED_FACE('',(#32571),#306608,.F.); #320087=ADVANCED_FACE('',(#32572),#306609,.F.); #320088=ADVANCED_FACE('',(#32573),#306610,.F.); #320089=ADVANCED_FACE('',(#32574),#306611,.F.); #320090=ADVANCED_FACE('',(#32575),#306612,.F.); #320091=ADVANCED_FACE('',(#32576),#306613,.F.); #320092=ADVANCED_FACE('',(#32577),#306614,.F.); #320093=ADVANCED_FACE('',(#32578),#306615,.F.); #320094=ADVANCED_FACE('',(#32579),#306616,.F.); #320095=ADVANCED_FACE('',(#32580),#306617,.F.); #320096=ADVANCED_FACE('',(#32581),#306618,.F.); #320097=ADVANCED_FACE('',(#32582),#306619,.F.); #320098=ADVANCED_FACE('',(#32583),#306620,.F.); #320099=ADVANCED_FACE('',(#32584),#306621,.F.); #320100=ADVANCED_FACE('',(#32585),#306622,.F.); #320101=ADVANCED_FACE('',(#32586),#17204,.T.); #320102=ADVANCED_FACE('',(#32587),#17205,.T.); #320103=ADVANCED_FACE('',(#32588),#17206,.T.); #320104=ADVANCED_FACE('',(#32589),#17207,.T.); #320105=ADVANCED_FACE('',(#32590),#17208,.T.); #320106=ADVANCED_FACE('',(#32591),#17209,.T.); #320107=ADVANCED_FACE('',(#32592),#17210,.T.); #320108=ADVANCED_FACE('',(#32593),#17211,.T.); #320109=ADVANCED_FACE('',(#32594),#17212,.T.); #320110=ADVANCED_FACE('',(#32595),#17213,.T.); #320111=ADVANCED_FACE('',(#32596),#17214,.T.); #320112=ADVANCED_FACE('',(#32597),#17215,.T.); #320113=ADVANCED_FACE('',(#32598),#17216,.T.); #320114=ADVANCED_FACE('',(#32599),#17217,.T.); #320115=ADVANCED_FACE('',(#32600),#17218,.T.); #320116=ADVANCED_FACE('',(#32601),#17219,.T.); #320117=ADVANCED_FACE('',(#32602),#17220,.T.); #320118=ADVANCED_FACE('',(#32603),#17221,.T.); #320119=ADVANCED_FACE('',(#32604),#17222,.T.); #320120=ADVANCED_FACE('',(#32605),#17223,.T.); #320121=ADVANCED_FACE('',(#32606),#17224,.T.); #320122=ADVANCED_FACE('',(#32607),#17225,.T.); #320123=ADVANCED_FACE('',(#32608),#17226,.T.); #320124=ADVANCED_FACE('',(#32609),#17227,.T.); #320125=ADVANCED_FACE('',(#32610),#17228,.T.); #320126=ADVANCED_FACE('',(#32611),#17229,.T.); #320127=ADVANCED_FACE('',(#32612),#17230,.T.); #320128=ADVANCED_FACE('',(#32613),#17231,.T.); #320129=ADVANCED_FACE('',(#32614),#17232,.T.); #320130=ADVANCED_FACE('',(#32615),#17233,.T.); #320131=ADVANCED_FACE('',(#32616),#17234,.T.); #320132=ADVANCED_FACE('',(#32617),#17235,.T.); #320133=ADVANCED_FACE('',(#32618),#17236,.T.); #320134=ADVANCED_FACE('',(#32619),#17237,.T.); #320135=ADVANCED_FACE('',(#32620),#17238,.T.); #320136=ADVANCED_FACE('',(#32621),#17239,.T.); #320137=ADVANCED_FACE('',(#32622),#17240,.T.); #320138=ADVANCED_FACE('',(#32623),#17241,.T.); #320139=ADVANCED_FACE('',(#32624),#17242,.T.); #320140=ADVANCED_FACE('',(#32625),#17243,.T.); #320141=ADVANCED_FACE('',(#32626),#17244,.T.); #320142=ADVANCED_FACE('',(#32627),#17245,.T.); #320143=ADVANCED_FACE('',(#32628),#17246,.T.); #320144=ADVANCED_FACE('',(#32629),#17247,.T.); #320145=ADVANCED_FACE('',(#32630),#17248,.T.); #320146=ADVANCED_FACE('',(#32631,#5873,#5874,#5875,#5876,#5877,#5878,#5879, #5880,#5881,#5882,#5883,#5884,#5885,#5886,#5887,#5888,#5889,#5890,#5891, #5892,#5893,#5894,#5895,#5896,#5897,#5898,#5899,#5900,#5901,#5902),#17249, .T.); #320147=ADVANCED_FACE('',(#32632,#5903,#5904,#5905,#5906,#5907,#5908,#5909, #5910,#5911,#5912,#5913,#5914,#5915,#5916,#5917,#5918,#5919,#5920,#5921, #5922,#5923,#5924,#5925,#5926,#5927,#5928,#5929,#5930,#5931,#5932),#17250, .F.); #320148=ADVANCED_FACE('',(#32633),#306623,.F.); #320149=ADVANCED_FACE('',(#32634),#306624,.F.); #320150=ADVANCED_FACE('',(#32635),#17251,.T.); #320151=ADVANCED_FACE('',(#32636),#306625,.T.); #320152=ADVANCED_FACE('',(#32637),#17252,.T.); #320153=ADVANCED_FACE('',(#32638),#306626,.T.); #320154=ADVANCED_FACE('',(#32639),#306627,.T.); #320155=ADVANCED_FACE('',(#32640),#17253,.T.); #320156=ADVANCED_FACE('',(#32641),#306628,.T.); #320157=ADVANCED_FACE('',(#32642,#5933,#5934),#17254,.T.); #320158=ADVANCED_FACE('',(#32643,#5935,#5936),#17255,.F.); #320159=ADVANCED_FACE('',(#32644),#306629,.F.); #320160=ADVANCED_FACE('',(#32645),#17256,.T.); #320161=ADVANCED_FACE('',(#32646),#306630,.T.); #320162=ADVANCED_FACE('',(#32647),#306631,.T.); #320163=ADVANCED_FACE('',(#32648,#5937),#17257,.T.); #320164=ADVANCED_FACE('',(#32649,#5938),#17258,.F.); #320165=ADVANCED_FACE('',(#32650),#306632,.F.); #320166=ADVANCED_FACE('',(#32651),#306633,.F.); #320167=ADVANCED_FACE('',(#32652),#306634,.F.); #320168=ADVANCED_FACE('',(#32653),#306635,.F.); #320169=ADVANCED_FACE('',(#32654),#306636,.F.); #320170=ADVANCED_FACE('',(#32655),#306637,.F.); #320171=ADVANCED_FACE('',(#32656),#306638,.F.); #320172=ADVANCED_FACE('',(#32657),#306639,.F.); #320173=ADVANCED_FACE('',(#32658),#306640,.F.); #320174=ADVANCED_FACE('',(#32659),#306641,.F.); #320175=ADVANCED_FACE('',(#32660),#306642,.F.); #320176=ADVANCED_FACE('',(#32661),#306643,.F.); #320177=ADVANCED_FACE('',(#32662),#306644,.F.); #320178=ADVANCED_FACE('',(#32663),#306645,.F.); #320179=ADVANCED_FACE('',(#32664),#306646,.F.); #320180=ADVANCED_FACE('',(#32665),#17259,.T.); #320181=ADVANCED_FACE('',(#32666),#17260,.T.); #320182=ADVANCED_FACE('',(#32667),#17261,.T.); #320183=ADVANCED_FACE('',(#32668),#17262,.T.); #320184=ADVANCED_FACE('',(#32669),#17263,.T.); #320185=ADVANCED_FACE('',(#32670),#17264,.T.); #320186=ADVANCED_FACE('',(#32671),#17265,.T.); #320187=ADVANCED_FACE('',(#32672),#17266,.T.); #320188=ADVANCED_FACE('',(#32673),#17267,.T.); #320189=ADVANCED_FACE('',(#32674),#17268,.T.); #320190=ADVANCED_FACE('',(#32675),#17269,.T.); #320191=ADVANCED_FACE('',(#32676),#17270,.T.); #320192=ADVANCED_FACE('',(#32677),#17271,.T.); #320193=ADVANCED_FACE('',(#32678),#17272,.T.); #320194=ADVANCED_FACE('',(#32679),#17273,.T.); #320195=ADVANCED_FACE('',(#32680),#17274,.T.); #320196=ADVANCED_FACE('',(#32681),#17275,.T.); #320197=ADVANCED_FACE('',(#32682),#17276,.T.); #320198=ADVANCED_FACE('',(#32683),#17277,.T.); #320199=ADVANCED_FACE('',(#32684),#17278,.T.); #320200=ADVANCED_FACE('',(#32685),#17279,.T.); #320201=ADVANCED_FACE('',(#32686),#17280,.T.); #320202=ADVANCED_FACE('',(#32687),#17281,.T.); #320203=ADVANCED_FACE('',(#32688),#17282,.T.); #320204=ADVANCED_FACE('',(#32689),#17283,.T.); #320205=ADVANCED_FACE('',(#32690),#17284,.T.); #320206=ADVANCED_FACE('',(#32691),#17285,.T.); #320207=ADVANCED_FACE('',(#32692),#17286,.T.); #320208=ADVANCED_FACE('',(#32693),#17287,.T.); #320209=ADVANCED_FACE('',(#32694),#17288,.T.); #320210=ADVANCED_FACE('',(#32695),#17289,.T.); #320211=ADVANCED_FACE('',(#32696),#17290,.T.); #320212=ADVANCED_FACE('',(#32697),#17291,.T.); #320213=ADVANCED_FACE('',(#32698),#17292,.T.); #320214=ADVANCED_FACE('',(#32699),#17293,.T.); #320215=ADVANCED_FACE('',(#32700),#17294,.T.); #320216=ADVANCED_FACE('',(#32701),#17295,.T.); #320217=ADVANCED_FACE('',(#32702),#17296,.T.); #320218=ADVANCED_FACE('',(#32703),#17297,.T.); #320219=ADVANCED_FACE('',(#32704),#17298,.T.); #320220=ADVANCED_FACE('',(#32705),#17299,.T.); #320221=ADVANCED_FACE('',(#32706),#17300,.T.); #320222=ADVANCED_FACE('',(#32707),#17301,.T.); #320223=ADVANCED_FACE('',(#32708),#17302,.T.); #320224=ADVANCED_FACE('',(#32709),#17303,.T.); #320225=ADVANCED_FACE('',(#32710),#17304,.T.); #320226=ADVANCED_FACE('',(#32711),#17305,.T.); #320227=ADVANCED_FACE('',(#32712),#17306,.T.); #320228=ADVANCED_FACE('',(#32713),#17307,.T.); #320229=ADVANCED_FACE('',(#32714),#17308,.T.); #320230=ADVANCED_FACE('',(#32715),#17309,.T.); #320231=ADVANCED_FACE('',(#32716),#17310,.T.); #320232=ADVANCED_FACE('',(#32717),#17311,.T.); #320233=ADVANCED_FACE('',(#32718),#17312,.T.); #320234=ADVANCED_FACE('',(#32719),#17313,.T.); #320235=ADVANCED_FACE('',(#32720),#17314,.T.); #320236=ADVANCED_FACE('',(#32721),#17315,.T.); #320237=ADVANCED_FACE('',(#32722),#17316,.T.); #320238=ADVANCED_FACE('',(#32723),#17317,.T.); #320239=ADVANCED_FACE('',(#32724),#17318,.T.); #320240=ADVANCED_FACE('',(#32725),#17319,.T.); #320241=ADVANCED_FACE('',(#32726),#17320,.T.); #320242=ADVANCED_FACE('',(#32727),#17321,.T.); #320243=ADVANCED_FACE('',(#32728),#17322,.T.); #320244=ADVANCED_FACE('',(#32729),#17323,.T.); #320245=ADVANCED_FACE('',(#32730),#17324,.T.); #320246=ADVANCED_FACE('',(#32731),#17325,.T.); #320247=ADVANCED_FACE('',(#32732),#17326,.T.); #320248=ADVANCED_FACE('',(#32733),#17327,.T.); #320249=ADVANCED_FACE('',(#32734),#17328,.T.); #320250=ADVANCED_FACE('',(#32735),#17329,.T.); #320251=ADVANCED_FACE('',(#32736),#17330,.T.); #320252=ADVANCED_FACE('',(#32737),#17331,.T.); #320253=ADVANCED_FACE('',(#32738),#17332,.T.); #320254=ADVANCED_FACE('',(#32739),#17333,.T.); #320255=ADVANCED_FACE('',(#32740),#17334,.T.); #320256=ADVANCED_FACE('',(#32741),#17335,.T.); #320257=ADVANCED_FACE('',(#32742),#17336,.T.); #320258=ADVANCED_FACE('',(#32743),#17337,.T.); #320259=ADVANCED_FACE('',(#32744),#17338,.T.); #320260=ADVANCED_FACE('',(#32745),#17339,.T.); #320261=ADVANCED_FACE('',(#32746),#17340,.T.); #320262=ADVANCED_FACE('',(#32747),#17341,.T.); #320263=ADVANCED_FACE('',(#32748),#17342,.T.); #320264=ADVANCED_FACE('',(#32749),#17343,.T.); #320265=ADVANCED_FACE('',(#32750),#17344,.T.); #320266=ADVANCED_FACE('',(#32751),#17345,.T.); #320267=ADVANCED_FACE('',(#32752),#17346,.T.); #320268=ADVANCED_FACE('',(#32753),#17347,.T.); #320269=ADVANCED_FACE('',(#32754),#17348,.T.); #320270=ADVANCED_FACE('',(#32755),#17349,.T.); #320271=ADVANCED_FACE('',(#32756),#17350,.T.); #320272=ADVANCED_FACE('',(#32757),#17351,.T.); #320273=ADVANCED_FACE('',(#32758),#17352,.T.); #320274=ADVANCED_FACE('',(#32759),#17353,.T.); #320275=ADVANCED_FACE('',(#32760),#17354,.T.); #320276=ADVANCED_FACE('',(#32761),#17355,.T.); #320277=ADVANCED_FACE('',(#32762),#17356,.T.); #320278=ADVANCED_FACE('',(#32763),#17357,.T.); #320279=ADVANCED_FACE('',(#32764),#17358,.T.); #320280=ADVANCED_FACE('',(#32765),#17359,.T.); #320281=ADVANCED_FACE('',(#32766),#17360,.T.); #320282=ADVANCED_FACE('',(#32767),#17361,.T.); #320283=ADVANCED_FACE('',(#32768),#17362,.T.); #320284=ADVANCED_FACE('',(#32769),#17363,.T.); #320285=ADVANCED_FACE('',(#32770),#17364,.T.); #320286=ADVANCED_FACE('',(#32771),#17365,.T.); #320287=ADVANCED_FACE('',(#32772),#17366,.T.); #320288=ADVANCED_FACE('',(#32773),#17367,.T.); #320289=ADVANCED_FACE('',(#32774),#17368,.T.); #320290=ADVANCED_FACE('',(#32775),#17369,.T.); #320291=ADVANCED_FACE('',(#32776),#17370,.T.); #320292=ADVANCED_FACE('',(#32777),#17371,.T.); #320293=ADVANCED_FACE('',(#32778),#17372,.T.); #320294=ADVANCED_FACE('',(#32779),#17373,.T.); #320295=ADVANCED_FACE('',(#32780),#17374,.T.); #320296=ADVANCED_FACE('',(#32781),#17375,.T.); #320297=ADVANCED_FACE('',(#32782),#17376,.T.); #320298=ADVANCED_FACE('',(#32783),#17377,.T.); #320299=ADVANCED_FACE('',(#32784),#17378,.T.); #320300=ADVANCED_FACE('',(#32785),#17379,.T.); #320301=ADVANCED_FACE('',(#32786),#17380,.T.); #320302=ADVANCED_FACE('',(#32787),#17381,.T.); #320303=ADVANCED_FACE('',(#32788),#17382,.T.); #320304=ADVANCED_FACE('',(#32789),#17383,.T.); #320305=ADVANCED_FACE('',(#32790),#17384,.T.); #320306=ADVANCED_FACE('',(#32791),#17385,.T.); #320307=ADVANCED_FACE('',(#32792),#17386,.T.); #320308=ADVANCED_FACE('',(#32793),#17387,.T.); #320309=ADVANCED_FACE('',(#32794),#17388,.T.); #320310=ADVANCED_FACE('',(#32795),#17389,.T.); #320311=ADVANCED_FACE('',(#32796),#17390,.T.); #320312=ADVANCED_FACE('',(#32797),#17391,.T.); #320313=ADVANCED_FACE('',(#32798),#17392,.T.); #320314=ADVANCED_FACE('',(#32799),#17393,.T.); #320315=ADVANCED_FACE('',(#32800),#17394,.T.); #320316=ADVANCED_FACE('',(#32801),#17395,.T.); #320317=ADVANCED_FACE('',(#32802),#17396,.T.); #320318=ADVANCED_FACE('',(#32803),#17397,.T.); #320319=ADVANCED_FACE('',(#32804),#17398,.T.); #320320=ADVANCED_FACE('',(#32805),#17399,.T.); #320321=ADVANCED_FACE('',(#32806),#17400,.T.); #320322=ADVANCED_FACE('',(#32807),#17401,.T.); #320323=ADVANCED_FACE('',(#32808),#17402,.T.); #320324=ADVANCED_FACE('',(#32809),#17403,.T.); #320325=ADVANCED_FACE('',(#32810),#17404,.T.); #320326=ADVANCED_FACE('',(#32811),#17405,.T.); #320327=ADVANCED_FACE('',(#32812),#17406,.T.); #320328=ADVANCED_FACE('',(#32813),#17407,.T.); #320329=ADVANCED_FACE('',(#32814),#17408,.T.); #320330=ADVANCED_FACE('',(#32815),#17409,.T.); #320331=ADVANCED_FACE('',(#32816),#17410,.T.); #320332=ADVANCED_FACE('',(#32817),#17411,.T.); #320333=ADVANCED_FACE('',(#32818),#17412,.T.); #320334=ADVANCED_FACE('',(#32819),#17413,.T.); #320335=ADVANCED_FACE('',(#32820),#17414,.T.); #320336=ADVANCED_FACE('',(#32821),#17415,.T.); #320337=ADVANCED_FACE('',(#32822),#17416,.T.); #320338=ADVANCED_FACE('',(#32823),#17417,.T.); #320339=ADVANCED_FACE('',(#32824),#17418,.T.); #320340=ADVANCED_FACE('',(#32825),#17419,.T.); #320341=ADVANCED_FACE('',(#32826),#17420,.T.); #320342=ADVANCED_FACE('',(#32827),#17421,.T.); #320343=ADVANCED_FACE('',(#32828),#17422,.T.); #320344=ADVANCED_FACE('',(#32829),#17423,.T.); #320345=ADVANCED_FACE('',(#32830),#17424,.T.); #320346=ADVANCED_FACE('',(#32831),#17425,.T.); #320347=ADVANCED_FACE('',(#32832,#5939,#5940,#5941,#5942,#5943,#5944,#5945, #5946,#5947,#5948,#5949,#5950,#5951,#5952,#5953,#5954),#17426,.T.); #320348=ADVANCED_FACE('',(#32833,#5955,#5956,#5957,#5958,#5959,#5960,#5961, #5962,#5963,#5964,#5965,#5966,#5967,#5968,#5969,#5970),#17427,.F.); #320349=ADVANCED_FACE('',(#32834),#306647,.F.); #320350=ADVANCED_FACE('',(#32835),#17428,.T.); #320351=ADVANCED_FACE('',(#32836),#306648,.T.); #320352=ADVANCED_FACE('',(#32837),#306649,.T.); #320353=ADVANCED_FACE('',(#32838,#5971),#17429,.T.); #320354=ADVANCED_FACE('',(#32839,#5972),#17430,.F.); #320355=ADVANCED_FACE('',(#32840),#306650,.F.); #320356=ADVANCED_FACE('',(#32841),#17431,.T.); #320357=ADVANCED_FACE('',(#32842),#306651,.T.); #320358=ADVANCED_FACE('',(#32843),#306652,.T.); #320359=ADVANCED_FACE('',(#32844,#5973),#17432,.T.); #320360=ADVANCED_FACE('',(#32845,#5974),#17433,.F.); #320361=ADVANCED_FACE('',(#32846),#306653,.F.); #320362=ADVANCED_FACE('',(#32847),#17434,.T.); #320363=ADVANCED_FACE('',(#32848),#306654,.T.); #320364=ADVANCED_FACE('',(#32849),#306655,.T.); #320365=ADVANCED_FACE('',(#32850,#5975),#17435,.T.); #320366=ADVANCED_FACE('',(#32851,#5976),#17436,.F.); #320367=ADVANCED_FACE('',(#32852),#306656,.F.); #320368=ADVANCED_FACE('',(#32853),#17437,.T.); #320369=ADVANCED_FACE('',(#32854),#306657,.T.); #320370=ADVANCED_FACE('',(#32855),#306658,.T.); #320371=ADVANCED_FACE('',(#32856,#5977),#17438,.T.); #320372=ADVANCED_FACE('',(#32857,#5978),#17439,.F.); #320373=ADVANCED_FACE('',(#32858),#306659,.F.); #320374=ADVANCED_FACE('',(#32859),#17440,.T.); #320375=ADVANCED_FACE('',(#32860),#17441,.T.); #320376=ADVANCED_FACE('',(#32861),#17442,.T.); #320377=ADVANCED_FACE('',(#32862),#17443,.T.); #320378=ADVANCED_FACE('',(#32863,#5979),#17444,.T.); #320379=ADVANCED_FACE('',(#32864,#5980),#17445,.F.); #320380=ADVANCED_FACE('',(#32865),#306660,.F.); #320381=ADVANCED_FACE('',(#32866),#17446,.T.); #320382=ADVANCED_FACE('',(#32867),#306661,.T.); #320383=ADVANCED_FACE('',(#32868),#306662,.T.); #320384=ADVANCED_FACE('',(#32869,#5981),#17447,.T.); #320385=ADVANCED_FACE('',(#32870,#5982),#17448,.F.); #320386=ADVANCED_FACE('',(#32871),#306663,.F.); #320387=ADVANCED_FACE('',(#32872),#17449,.T.); #320388=ADVANCED_FACE('',(#32873),#306664,.T.); #320389=ADVANCED_FACE('',(#32874),#306665,.T.); #320390=ADVANCED_FACE('',(#32875,#5983),#17450,.T.); #320391=ADVANCED_FACE('',(#32876,#5984),#17451,.F.); #320392=ADVANCED_FACE('',(#32877),#306666,.F.); #320393=ADVANCED_FACE('',(#32878),#17452,.T.); #320394=ADVANCED_FACE('',(#32879),#306667,.T.); #320395=ADVANCED_FACE('',(#32880),#306668,.T.); #320396=ADVANCED_FACE('',(#32881,#5985),#17453,.T.); #320397=ADVANCED_FACE('',(#32882,#5986),#17454,.F.); #320398=ADVANCED_FACE('',(#32883),#306669,.F.); #320399=ADVANCED_FACE('',(#32884),#17455,.T.); #320400=ADVANCED_FACE('',(#32885),#306670,.T.); #320401=ADVANCED_FACE('',(#32886),#306671,.T.); #320402=ADVANCED_FACE('',(#32887,#5987),#17456,.T.); #320403=ADVANCED_FACE('',(#32888,#5988),#17457,.F.); #320404=ADVANCED_FACE('',(#32889),#17458,.F.); #320405=ADVANCED_FACE('',(#32890),#306672,.F.); #320406=ADVANCED_FACE('',(#32891),#17459,.F.); #320407=ADVANCED_FACE('',(#32892),#306673,.F.); #320408=ADVANCED_FACE('',(#32893),#17460,.T.); #320409=ADVANCED_FACE('',(#32894),#17461,.T.); #320410=ADVANCED_FACE('',(#32895),#17462,.T.); #320411=ADVANCED_FACE('',(#32896),#17463,.T.); #320412=ADVANCED_FACE('',(#32897),#17464,.T.); #320413=ADVANCED_FACE('',(#32898),#17465,.T.); #320414=ADVANCED_FACE('',(#32899),#17466,.T.); #320415=ADVANCED_FACE('',(#32900),#17467,.T.); #320416=ADVANCED_FACE('',(#32901),#17468,.T.); #320417=ADVANCED_FACE('',(#32902),#17469,.T.); #320418=ADVANCED_FACE('',(#32903),#17470,.T.); #320419=ADVANCED_FACE('',(#32904),#17471,.T.); #320420=ADVANCED_FACE('',(#32905),#17472,.T.); #320421=ADVANCED_FACE('',(#32906),#17473,.T.); #320422=ADVANCED_FACE('',(#32907),#17474,.T.); #320423=ADVANCED_FACE('',(#32908),#17475,.T.); #320424=ADVANCED_FACE('',(#32909),#17476,.T.); #320425=ADVANCED_FACE('',(#32910),#17477,.T.); #320426=ADVANCED_FACE('',(#32911),#17478,.T.); #320427=ADVANCED_FACE('',(#32912),#17479,.T.); #320428=ADVANCED_FACE('',(#32913),#17480,.T.); #320429=ADVANCED_FACE('',(#32914),#17481,.T.); #320430=ADVANCED_FACE('',(#32915),#17482,.T.); #320431=ADVANCED_FACE('',(#32916),#17483,.T.); #320432=ADVANCED_FACE('',(#32917),#17484,.T.); #320433=ADVANCED_FACE('',(#32918),#17485,.T.); #320434=ADVANCED_FACE('',(#32919),#17486,.T.); #320435=ADVANCED_FACE('',(#32920),#17487,.T.); #320436=ADVANCED_FACE('',(#32921),#17488,.T.); #320437=ADVANCED_FACE('',(#32922),#17489,.T.); #320438=ADVANCED_FACE('',(#32923),#17490,.T.); #320439=ADVANCED_FACE('',(#32924),#17491,.T.); #320440=ADVANCED_FACE('',(#32925),#17492,.T.); #320441=ADVANCED_FACE('',(#32926),#17493,.T.); #320442=ADVANCED_FACE('',(#32927),#17494,.T.); #320443=ADVANCED_FACE('',(#32928),#17495,.T.); #320444=ADVANCED_FACE('',(#32929),#17496,.T.); #320445=ADVANCED_FACE('',(#32930),#17497,.T.); #320446=ADVANCED_FACE('',(#32931),#17498,.T.); #320447=ADVANCED_FACE('',(#32932),#17499,.T.); #320448=ADVANCED_FACE('',(#32933),#17500,.T.); #320449=ADVANCED_FACE('',(#32934),#17501,.T.); #320450=ADVANCED_FACE('',(#32935),#17502,.T.); #320451=ADVANCED_FACE('',(#32936),#17503,.T.); #320452=ADVANCED_FACE('',(#32937),#17504,.T.); #320453=ADVANCED_FACE('',(#32938),#17505,.T.); #320454=ADVANCED_FACE('',(#32939),#17506,.T.); #320455=ADVANCED_FACE('',(#32940),#17507,.T.); #320456=ADVANCED_FACE('',(#32941),#17508,.T.); #320457=ADVANCED_FACE('',(#32942),#17509,.T.); #320458=ADVANCED_FACE('',(#32943),#17510,.T.); #320459=ADVANCED_FACE('',(#32944),#17511,.T.); #320460=ADVANCED_FACE('',(#32945),#17512,.T.); #320461=ADVANCED_FACE('',(#32946),#17513,.T.); #320462=ADVANCED_FACE('',(#32947),#17514,.T.); #320463=ADVANCED_FACE('',(#32948),#17515,.T.); #320464=ADVANCED_FACE('',(#32949,#5989),#17516,.T.); #320465=ADVANCED_FACE('',(#32950,#5990),#17517,.F.); #320466=ADVANCED_FACE('',(#32951),#17518,.F.); #320467=ADVANCED_FACE('',(#32952),#306674,.F.); #320468=ADVANCED_FACE('',(#32953),#17519,.F.); #320469=ADVANCED_FACE('',(#32954),#306675,.F.); #320470=ADVANCED_FACE('',(#32955),#17520,.T.); #320471=ADVANCED_FACE('',(#32956),#17521,.T.); #320472=ADVANCED_FACE('',(#32957),#17522,.T.); #320473=ADVANCED_FACE('',(#32958),#17523,.T.); #320474=ADVANCED_FACE('',(#32959),#17524,.T.); #320475=ADVANCED_FACE('',(#32960),#17525,.T.); #320476=ADVANCED_FACE('',(#32961),#17526,.T.); #320477=ADVANCED_FACE('',(#32962),#17527,.T.); #320478=ADVANCED_FACE('',(#32963),#17528,.T.); #320479=ADVANCED_FACE('',(#32964),#17529,.T.); #320480=ADVANCED_FACE('',(#32965),#17530,.T.); #320481=ADVANCED_FACE('',(#32966),#17531,.T.); #320482=ADVANCED_FACE('',(#32967),#17532,.T.); #320483=ADVANCED_FACE('',(#32968),#17533,.T.); #320484=ADVANCED_FACE('',(#32969),#17534,.T.); #320485=ADVANCED_FACE('',(#32970),#17535,.T.); #320486=ADVANCED_FACE('',(#32971),#17536,.T.); #320487=ADVANCED_FACE('',(#32972),#17537,.T.); #320488=ADVANCED_FACE('',(#32973),#17538,.T.); #320489=ADVANCED_FACE('',(#32974),#17539,.T.); #320490=ADVANCED_FACE('',(#32975),#17540,.T.); #320491=ADVANCED_FACE('',(#32976),#17541,.T.); #320492=ADVANCED_FACE('',(#32977),#17542,.T.); #320493=ADVANCED_FACE('',(#32978),#17543,.T.); #320494=ADVANCED_FACE('',(#32979),#17544,.T.); #320495=ADVANCED_FACE('',(#32980),#17545,.T.); #320496=ADVANCED_FACE('',(#32981),#17546,.T.); #320497=ADVANCED_FACE('',(#32982),#17547,.T.); #320498=ADVANCED_FACE('',(#32983),#17548,.T.); #320499=ADVANCED_FACE('',(#32984),#17549,.T.); #320500=ADVANCED_FACE('',(#32985),#17550,.T.); #320501=ADVANCED_FACE('',(#32986),#17551,.T.); #320502=ADVANCED_FACE('',(#32987),#17552,.T.); #320503=ADVANCED_FACE('',(#32988),#17553,.T.); #320504=ADVANCED_FACE('',(#32989),#17554,.T.); #320505=ADVANCED_FACE('',(#32990),#17555,.T.); #320506=ADVANCED_FACE('',(#32991),#17556,.T.); #320507=ADVANCED_FACE('',(#32992),#17557,.T.); #320508=ADVANCED_FACE('',(#32993),#17558,.T.); #320509=ADVANCED_FACE('',(#32994),#17559,.T.); #320510=ADVANCED_FACE('',(#32995),#17560,.T.); #320511=ADVANCED_FACE('',(#32996),#17561,.T.); #320512=ADVANCED_FACE('',(#32997),#17562,.T.); #320513=ADVANCED_FACE('',(#32998),#17563,.T.); #320514=ADVANCED_FACE('',(#32999),#17564,.T.); #320515=ADVANCED_FACE('',(#33000),#17565,.T.); #320516=ADVANCED_FACE('',(#33001),#17566,.T.); #320517=ADVANCED_FACE('',(#33002),#17567,.T.); #320518=ADVANCED_FACE('',(#33003),#17568,.T.); #320519=ADVANCED_FACE('',(#33004),#17569,.T.); #320520=ADVANCED_FACE('',(#33005),#17570,.T.); #320521=ADVANCED_FACE('',(#33006),#17571,.T.); #320522=ADVANCED_FACE('',(#33007),#17572,.T.); #320523=ADVANCED_FACE('',(#33008),#17573,.T.); #320524=ADVANCED_FACE('',(#33009),#17574,.T.); #320525=ADVANCED_FACE('',(#33010),#17575,.T.); #320526=ADVANCED_FACE('',(#33011),#17576,.T.); #320527=ADVANCED_FACE('',(#33012),#17577,.T.); #320528=ADVANCED_FACE('',(#33013),#17578,.T.); #320529=ADVANCED_FACE('',(#33014),#17579,.T.); #320530=ADVANCED_FACE('',(#33015),#17580,.T.); #320531=ADVANCED_FACE('',(#33016),#17581,.T.); #320532=ADVANCED_FACE('',(#33017),#17582,.T.); #320533=ADVANCED_FACE('',(#33018),#17583,.T.); #320534=ADVANCED_FACE('',(#33019),#17584,.T.); #320535=ADVANCED_FACE('',(#33020),#17585,.T.); #320536=ADVANCED_FACE('',(#33021),#17586,.T.); #320537=ADVANCED_FACE('',(#33022),#17587,.T.); #320538=ADVANCED_FACE('',(#33023),#17588,.T.); #320539=ADVANCED_FACE('',(#33024),#17589,.T.); #320540=ADVANCED_FACE('',(#33025),#17590,.T.); #320541=ADVANCED_FACE('',(#33026),#17591,.T.); #320542=ADVANCED_FACE('',(#33027,#5991),#17592,.T.); #320543=ADVANCED_FACE('',(#33028,#5992),#17593,.F.); #320544=ADVANCED_FACE('',(#33029),#17594,.F.); #320545=ADVANCED_FACE('',(#33030),#306676,.F.); #320546=ADVANCED_FACE('',(#33031),#17595,.F.); #320547=ADVANCED_FACE('',(#33032),#306677,.F.); #320548=ADVANCED_FACE('',(#33033),#17596,.T.); #320549=ADVANCED_FACE('',(#33034),#17597,.T.); #320550=ADVANCED_FACE('',(#33035),#17598,.T.); #320551=ADVANCED_FACE('',(#33036),#17599,.T.); #320552=ADVANCED_FACE('',(#33037),#17600,.T.); #320553=ADVANCED_FACE('',(#33038),#17601,.T.); #320554=ADVANCED_FACE('',(#33039),#17602,.T.); #320555=ADVANCED_FACE('',(#33040),#17603,.T.); #320556=ADVANCED_FACE('',(#33041),#17604,.T.); #320557=ADVANCED_FACE('',(#33042),#17605,.T.); #320558=ADVANCED_FACE('',(#33043),#17606,.T.); #320559=ADVANCED_FACE('',(#33044),#17607,.T.); #320560=ADVANCED_FACE('',(#33045),#17608,.T.); #320561=ADVANCED_FACE('',(#33046),#17609,.T.); #320562=ADVANCED_FACE('',(#33047),#17610,.T.); #320563=ADVANCED_FACE('',(#33048),#17611,.T.); #320564=ADVANCED_FACE('',(#33049),#17612,.T.); #320565=ADVANCED_FACE('',(#33050),#17613,.T.); #320566=ADVANCED_FACE('',(#33051),#17614,.T.); #320567=ADVANCED_FACE('',(#33052),#17615,.T.); #320568=ADVANCED_FACE('',(#33053),#17616,.T.); #320569=ADVANCED_FACE('',(#33054),#17617,.T.); #320570=ADVANCED_FACE('',(#33055),#17618,.T.); #320571=ADVANCED_FACE('',(#33056),#17619,.T.); #320572=ADVANCED_FACE('',(#33057),#17620,.T.); #320573=ADVANCED_FACE('',(#33058),#17621,.T.); #320574=ADVANCED_FACE('',(#33059),#17622,.T.); #320575=ADVANCED_FACE('',(#33060),#17623,.T.); #320576=ADVANCED_FACE('',(#33061),#17624,.T.); #320577=ADVANCED_FACE('',(#33062),#17625,.T.); #320578=ADVANCED_FACE('',(#33063),#17626,.T.); #320579=ADVANCED_FACE('',(#33064),#17627,.T.); #320580=ADVANCED_FACE('',(#33065),#17628,.T.); #320581=ADVANCED_FACE('',(#33066),#17629,.T.); #320582=ADVANCED_FACE('',(#33067),#17630,.T.); #320583=ADVANCED_FACE('',(#33068),#17631,.T.); #320584=ADVANCED_FACE('',(#33069),#17632,.T.); #320585=ADVANCED_FACE('',(#33070),#17633,.T.); #320586=ADVANCED_FACE('',(#33071),#17634,.T.); #320587=ADVANCED_FACE('',(#33072),#17635,.T.); #320588=ADVANCED_FACE('',(#33073),#17636,.T.); #320589=ADVANCED_FACE('',(#33074),#17637,.T.); #320590=ADVANCED_FACE('',(#33075),#17638,.T.); #320591=ADVANCED_FACE('',(#33076),#17639,.T.); #320592=ADVANCED_FACE('',(#33077),#17640,.T.); #320593=ADVANCED_FACE('',(#33078),#17641,.T.); #320594=ADVANCED_FACE('',(#33079),#17642,.T.); #320595=ADVANCED_FACE('',(#33080),#17643,.T.); #320596=ADVANCED_FACE('',(#33081),#17644,.T.); #320597=ADVANCED_FACE('',(#33082),#17645,.T.); #320598=ADVANCED_FACE('',(#33083),#17646,.T.); #320599=ADVANCED_FACE('',(#33084),#17647,.T.); #320600=ADVANCED_FACE('',(#33085),#17648,.T.); #320601=ADVANCED_FACE('',(#33086),#17649,.T.); #320602=ADVANCED_FACE('',(#33087),#17650,.T.); #320603=ADVANCED_FACE('',(#33088),#17651,.T.); #320604=ADVANCED_FACE('',(#33089,#5993),#17652,.T.); #320605=ADVANCED_FACE('',(#33090,#5994),#17653,.F.); #320606=ADVANCED_FACE('',(#33091),#306678,.F.); #320607=ADVANCED_FACE('',(#33092),#17654,.T.); #320608=ADVANCED_FACE('',(#33093),#17655,.T.); #320609=ADVANCED_FACE('',(#33094),#17656,.T.); #320610=ADVANCED_FACE('',(#33095),#17657,.T.); #320611=ADVANCED_FACE('',(#33096,#5995),#17658,.T.); #320612=ADVANCED_FACE('',(#33097,#5996),#17659,.F.); #320613=ADVANCED_FACE('',(#33098),#17660,.F.); #320614=ADVANCED_FACE('',(#33099),#306679,.F.); #320615=ADVANCED_FACE('',(#33100),#17661,.F.); #320616=ADVANCED_FACE('',(#33101),#306680,.F.); #320617=ADVANCED_FACE('',(#33102),#17662,.T.); #320618=ADVANCED_FACE('',(#33103),#17663,.T.); #320619=ADVANCED_FACE('',(#33104),#17664,.T.); #320620=ADVANCED_FACE('',(#33105),#17665,.T.); #320621=ADVANCED_FACE('',(#33106),#17666,.T.); #320622=ADVANCED_FACE('',(#33107),#17667,.T.); #320623=ADVANCED_FACE('',(#33108),#17668,.T.); #320624=ADVANCED_FACE('',(#33109),#17669,.T.); #320625=ADVANCED_FACE('',(#33110),#17670,.T.); #320626=ADVANCED_FACE('',(#33111),#17671,.T.); #320627=ADVANCED_FACE('',(#33112),#17672,.T.); #320628=ADVANCED_FACE('',(#33113),#17673,.T.); #320629=ADVANCED_FACE('',(#33114),#17674,.T.); #320630=ADVANCED_FACE('',(#33115),#17675,.T.); #320631=ADVANCED_FACE('',(#33116),#17676,.T.); #320632=ADVANCED_FACE('',(#33117),#17677,.T.); #320633=ADVANCED_FACE('',(#33118),#17678,.T.); #320634=ADVANCED_FACE('',(#33119),#17679,.T.); #320635=ADVANCED_FACE('',(#33120),#17680,.T.); #320636=ADVANCED_FACE('',(#33121),#17681,.T.); #320637=ADVANCED_FACE('',(#33122),#17682,.T.); #320638=ADVANCED_FACE('',(#33123),#17683,.T.); #320639=ADVANCED_FACE('',(#33124),#17684,.T.); #320640=ADVANCED_FACE('',(#33125),#17685,.T.); #320641=ADVANCED_FACE('',(#33126),#17686,.T.); #320642=ADVANCED_FACE('',(#33127),#17687,.T.); #320643=ADVANCED_FACE('',(#33128),#17688,.T.); #320644=ADVANCED_FACE('',(#33129),#17689,.T.); #320645=ADVANCED_FACE('',(#33130),#17690,.T.); #320646=ADVANCED_FACE('',(#33131),#17691,.T.); #320647=ADVANCED_FACE('',(#33132),#17692,.T.); #320648=ADVANCED_FACE('',(#33133),#17693,.T.); #320649=ADVANCED_FACE('',(#33134),#17694,.T.); #320650=ADVANCED_FACE('',(#33135),#17695,.T.); #320651=ADVANCED_FACE('',(#33136),#17696,.T.); #320652=ADVANCED_FACE('',(#33137),#17697,.T.); #320653=ADVANCED_FACE('',(#33138),#17698,.T.); #320654=ADVANCED_FACE('',(#33139),#17699,.T.); #320655=ADVANCED_FACE('',(#33140),#17700,.T.); #320656=ADVANCED_FACE('',(#33141),#17701,.T.); #320657=ADVANCED_FACE('',(#33142),#17702,.T.); #320658=ADVANCED_FACE('',(#33143),#17703,.T.); #320659=ADVANCED_FACE('',(#33144),#17704,.T.); #320660=ADVANCED_FACE('',(#33145),#17705,.T.); #320661=ADVANCED_FACE('',(#33146),#17706,.T.); #320662=ADVANCED_FACE('',(#33147),#17707,.T.); #320663=ADVANCED_FACE('',(#33148),#17708,.T.); #320664=ADVANCED_FACE('',(#33149),#17709,.T.); #320665=ADVANCED_FACE('',(#33150),#17710,.T.); #320666=ADVANCED_FACE('',(#33151),#17711,.T.); #320667=ADVANCED_FACE('',(#33152),#17712,.T.); #320668=ADVANCED_FACE('',(#33153),#17713,.T.); #320669=ADVANCED_FACE('',(#33154),#17714,.T.); #320670=ADVANCED_FACE('',(#33155),#17715,.T.); #320671=ADVANCED_FACE('',(#33156),#17716,.T.); #320672=ADVANCED_FACE('',(#33157),#17717,.T.); #320673=ADVANCED_FACE('',(#33158,#5997),#17718,.T.); #320674=ADVANCED_FACE('',(#33159,#5998),#17719,.F.); #320675=ADVANCED_FACE('',(#33160),#17720,.F.); #320676=ADVANCED_FACE('',(#33161),#306681,.F.); #320677=ADVANCED_FACE('',(#33162),#17721,.F.); #320678=ADVANCED_FACE('',(#33163),#306682,.F.); #320679=ADVANCED_FACE('',(#33164),#17722,.T.); #320680=ADVANCED_FACE('',(#33165),#17723,.T.); #320681=ADVANCED_FACE('',(#33166),#17724,.T.); #320682=ADVANCED_FACE('',(#33167),#17725,.T.); #320683=ADVANCED_FACE('',(#33168),#17726,.T.); #320684=ADVANCED_FACE('',(#33169),#17727,.T.); #320685=ADVANCED_FACE('',(#33170),#17728,.T.); #320686=ADVANCED_FACE('',(#33171),#17729,.T.); #320687=ADVANCED_FACE('',(#33172),#17730,.T.); #320688=ADVANCED_FACE('',(#33173),#17731,.T.); #320689=ADVANCED_FACE('',(#33174),#17732,.T.); #320690=ADVANCED_FACE('',(#33175),#17733,.T.); #320691=ADVANCED_FACE('',(#33176),#17734,.T.); #320692=ADVANCED_FACE('',(#33177),#17735,.T.); #320693=ADVANCED_FACE('',(#33178),#17736,.T.); #320694=ADVANCED_FACE('',(#33179),#17737,.T.); #320695=ADVANCED_FACE('',(#33180),#17738,.T.); #320696=ADVANCED_FACE('',(#33181),#17739,.T.); #320697=ADVANCED_FACE('',(#33182),#17740,.T.); #320698=ADVANCED_FACE('',(#33183),#17741,.T.); #320699=ADVANCED_FACE('',(#33184),#17742,.T.); #320700=ADVANCED_FACE('',(#33185),#17743,.T.); #320701=ADVANCED_FACE('',(#33186),#17744,.T.); #320702=ADVANCED_FACE('',(#33187),#17745,.T.); #320703=ADVANCED_FACE('',(#33188),#17746,.T.); #320704=ADVANCED_FACE('',(#33189),#17747,.T.); #320705=ADVANCED_FACE('',(#33190),#17748,.T.); #320706=ADVANCED_FACE('',(#33191),#17749,.T.); #320707=ADVANCED_FACE('',(#33192),#17750,.T.); #320708=ADVANCED_FACE('',(#33193),#17751,.T.); #320709=ADVANCED_FACE('',(#33194),#17752,.T.); #320710=ADVANCED_FACE('',(#33195),#17753,.T.); #320711=ADVANCED_FACE('',(#33196),#17754,.T.); #320712=ADVANCED_FACE('',(#33197),#17755,.T.); #320713=ADVANCED_FACE('',(#33198),#17756,.T.); #320714=ADVANCED_FACE('',(#33199),#17757,.T.); #320715=ADVANCED_FACE('',(#33200),#17758,.T.); #320716=ADVANCED_FACE('',(#33201),#17759,.T.); #320717=ADVANCED_FACE('',(#33202),#17760,.T.); #320718=ADVANCED_FACE('',(#33203),#17761,.T.); #320719=ADVANCED_FACE('',(#33204),#17762,.T.); #320720=ADVANCED_FACE('',(#33205),#17763,.T.); #320721=ADVANCED_FACE('',(#33206),#17764,.T.); #320722=ADVANCED_FACE('',(#33207),#17765,.T.); #320723=ADVANCED_FACE('',(#33208),#17766,.T.); #320724=ADVANCED_FACE('',(#33209),#17767,.T.); #320725=ADVANCED_FACE('',(#33210),#17768,.T.); #320726=ADVANCED_FACE('',(#33211),#17769,.T.); #320727=ADVANCED_FACE('',(#33212),#17770,.T.); #320728=ADVANCED_FACE('',(#33213),#17771,.T.); #320729=ADVANCED_FACE('',(#33214),#17772,.T.); #320730=ADVANCED_FACE('',(#33215),#17773,.T.); #320731=ADVANCED_FACE('',(#33216),#17774,.T.); #320732=ADVANCED_FACE('',(#33217),#17775,.T.); #320733=ADVANCED_FACE('',(#33218),#17776,.T.); #320734=ADVANCED_FACE('',(#33219),#17777,.T.); #320735=ADVANCED_FACE('',(#33220),#17778,.T.); #320736=ADVANCED_FACE('',(#33221),#17779,.T.); #320737=ADVANCED_FACE('',(#33222),#17780,.T.); #320738=ADVANCED_FACE('',(#33223),#17781,.T.); #320739=ADVANCED_FACE('',(#33224),#17782,.T.); #320740=ADVANCED_FACE('',(#33225),#17783,.T.); #320741=ADVANCED_FACE('',(#33226),#17784,.T.); #320742=ADVANCED_FACE('',(#33227),#17785,.T.); #320743=ADVANCED_FACE('',(#33228),#17786,.T.); #320744=ADVANCED_FACE('',(#33229),#17787,.T.); #320745=ADVANCED_FACE('',(#33230),#17788,.T.); #320746=ADVANCED_FACE('',(#33231),#17789,.T.); #320747=ADVANCED_FACE('',(#33232),#17790,.T.); #320748=ADVANCED_FACE('',(#33233),#17791,.T.); #320749=ADVANCED_FACE('',(#33234),#17792,.T.); #320750=ADVANCED_FACE('',(#33235),#17793,.T.); #320751=ADVANCED_FACE('',(#33236,#5999),#17794,.T.); #320752=ADVANCED_FACE('',(#33237,#6000),#17795,.F.); #320753=ADVANCED_FACE('',(#33238),#306683,.F.); #320754=ADVANCED_FACE('',(#33239),#17796,.T.); #320755=ADVANCED_FACE('',(#33240),#306684,.T.); #320756=ADVANCED_FACE('',(#33241),#306685,.T.); #320757=ADVANCED_FACE('',(#33242,#6001),#17797,.T.); #320758=ADVANCED_FACE('',(#33243,#6002),#17798,.F.); #320759=ADVANCED_FACE('',(#33244),#306686,.F.); #320760=ADVANCED_FACE('',(#33245),#17799,.T.); #320761=ADVANCED_FACE('',(#33246),#306687,.T.); #320762=ADVANCED_FACE('',(#33247),#306688,.T.); #320763=ADVANCED_FACE('',(#33248,#6003),#17800,.T.); #320764=ADVANCED_FACE('',(#33249,#6004),#17801,.F.); #320765=ADVANCED_FACE('',(#33250),#17802,.F.); #320766=ADVANCED_FACE('',(#33251),#306689,.F.); #320767=ADVANCED_FACE('',(#33252),#17803,.F.); #320768=ADVANCED_FACE('',(#33253),#306690,.F.); #320769=ADVANCED_FACE('',(#33254),#17804,.T.); #320770=ADVANCED_FACE('',(#33255),#17805,.T.); #320771=ADVANCED_FACE('',(#33256),#17806,.T.); #320772=ADVANCED_FACE('',(#33257),#17807,.T.); #320773=ADVANCED_FACE('',(#33258),#17808,.T.); #320774=ADVANCED_FACE('',(#33259),#17809,.T.); #320775=ADVANCED_FACE('',(#33260),#17810,.T.); #320776=ADVANCED_FACE('',(#33261),#17811,.T.); #320777=ADVANCED_FACE('',(#33262),#17812,.T.); #320778=ADVANCED_FACE('',(#33263),#17813,.T.); #320779=ADVANCED_FACE('',(#33264),#17814,.T.); #320780=ADVANCED_FACE('',(#33265),#17815,.T.); #320781=ADVANCED_FACE('',(#33266),#17816,.T.); #320782=ADVANCED_FACE('',(#33267),#17817,.T.); #320783=ADVANCED_FACE('',(#33268),#17818,.T.); #320784=ADVANCED_FACE('',(#33269),#17819,.T.); #320785=ADVANCED_FACE('',(#33270),#17820,.T.); #320786=ADVANCED_FACE('',(#33271),#17821,.T.); #320787=ADVANCED_FACE('',(#33272),#17822,.T.); #320788=ADVANCED_FACE('',(#33273),#17823,.T.); #320789=ADVANCED_FACE('',(#33274),#17824,.T.); #320790=ADVANCED_FACE('',(#33275),#17825,.T.); #320791=ADVANCED_FACE('',(#33276),#17826,.T.); #320792=ADVANCED_FACE('',(#33277),#17827,.T.); #320793=ADVANCED_FACE('',(#33278),#17828,.T.); #320794=ADVANCED_FACE('',(#33279),#17829,.T.); #320795=ADVANCED_FACE('',(#33280),#17830,.T.); #320796=ADVANCED_FACE('',(#33281),#17831,.T.); #320797=ADVANCED_FACE('',(#33282),#17832,.T.); #320798=ADVANCED_FACE('',(#33283),#17833,.T.); #320799=ADVANCED_FACE('',(#33284),#17834,.T.); #320800=ADVANCED_FACE('',(#33285),#17835,.T.); #320801=ADVANCED_FACE('',(#33286),#17836,.T.); #320802=ADVANCED_FACE('',(#33287),#17837,.T.); #320803=ADVANCED_FACE('',(#33288),#17838,.T.); #320804=ADVANCED_FACE('',(#33289),#17839,.T.); #320805=ADVANCED_FACE('',(#33290),#17840,.T.); #320806=ADVANCED_FACE('',(#33291),#17841,.T.); #320807=ADVANCED_FACE('',(#33292),#17842,.T.); #320808=ADVANCED_FACE('',(#33293),#17843,.T.); #320809=ADVANCED_FACE('',(#33294),#17844,.T.); #320810=ADVANCED_FACE('',(#33295),#17845,.T.); #320811=ADVANCED_FACE('',(#33296),#17846,.T.); #320812=ADVANCED_FACE('',(#33297),#17847,.T.); #320813=ADVANCED_FACE('',(#33298),#17848,.T.); #320814=ADVANCED_FACE('',(#33299),#17849,.T.); #320815=ADVANCED_FACE('',(#33300),#17850,.T.); #320816=ADVANCED_FACE('',(#33301),#17851,.T.); #320817=ADVANCED_FACE('',(#33302),#17852,.T.); #320818=ADVANCED_FACE('',(#33303),#17853,.T.); #320819=ADVANCED_FACE('',(#33304),#17854,.T.); #320820=ADVANCED_FACE('',(#33305),#17855,.T.); #320821=ADVANCED_FACE('',(#33306),#17856,.T.); #320822=ADVANCED_FACE('',(#33307),#17857,.T.); #320823=ADVANCED_FACE('',(#33308),#17858,.T.); #320824=ADVANCED_FACE('',(#33309),#17859,.T.); #320825=ADVANCED_FACE('',(#33310,#6005),#17860,.T.); #320826=ADVANCED_FACE('',(#33311,#6006),#17861,.F.); #320827=ADVANCED_FACE('',(#33312),#306691,.F.); #320828=ADVANCED_FACE('',(#33313),#17862,.T.); #320829=ADVANCED_FACE('',(#33314),#306692,.T.); #320830=ADVANCED_FACE('',(#33315),#306693,.T.); #320831=ADVANCED_FACE('',(#33316,#6007),#17863,.T.); #320832=ADVANCED_FACE('',(#33317,#6008),#17864,.F.); #320833=ADVANCED_FACE('',(#33318),#306694,.F.); #320834=ADVANCED_FACE('',(#33319),#17865,.T.); #320835=ADVANCED_FACE('',(#33320),#306695,.T.); #320836=ADVANCED_FACE('',(#33321),#306696,.T.); #320837=ADVANCED_FACE('',(#33322,#6009),#17866,.T.); #320838=ADVANCED_FACE('',(#33323,#6010),#17867,.F.); #320839=ADVANCED_FACE('',(#33324),#306697,.F.); #320840=ADVANCED_FACE('',(#33325),#17868,.T.); #320841=ADVANCED_FACE('',(#33326),#306698,.T.); #320842=ADVANCED_FACE('',(#33327),#306699,.T.); #320843=ADVANCED_FACE('',(#33328,#6011),#17869,.T.); #320844=ADVANCED_FACE('',(#33329,#6012),#17870,.F.); #320845=ADVANCED_FACE('',(#33330),#306700,.F.); #320846=ADVANCED_FACE('',(#33331),#17871,.T.); #320847=ADVANCED_FACE('',(#33332),#17872,.T.); #320848=ADVANCED_FACE('',(#33333),#17873,.T.); #320849=ADVANCED_FACE('',(#33334),#17874,.T.); #320850=ADVANCED_FACE('',(#33335,#6013),#17875,.T.); #320851=ADVANCED_FACE('',(#33336,#6014),#17876,.F.); #320852=ADVANCED_FACE('',(#33337),#306701,.F.); #320853=ADVANCED_FACE('',(#33338),#17877,.T.); #320854=ADVANCED_FACE('',(#33339),#306702,.T.); #320855=ADVANCED_FACE('',(#33340),#306703,.T.); #320856=ADVANCED_FACE('',(#33341,#6015),#17878,.T.); #320857=ADVANCED_FACE('',(#33342,#6016),#17879,.F.); #320858=ADVANCED_FACE('',(#33343),#17880,.T.); #320859=ADVANCED_FACE('',(#33344),#17881,.T.); #320860=ADVANCED_FACE('',(#33345),#17882,.T.); #320861=ADVANCED_FACE('',(#33346),#17883,.T.); #320862=ADVANCED_FACE('',(#33347),#17884,.T.); #320863=ADVANCED_FACE('',(#33348),#17885,.F.); #320864=ADVANCED_FACE('',(#33349),#17886,.T.); #320865=ADVANCED_FACE('',(#33350),#17887,.T.); #320866=ADVANCED_FACE('',(#33351),#17888,.T.); #320867=ADVANCED_FACE('',(#33352),#17889,.T.); #320868=ADVANCED_FACE('',(#33353),#17890,.T.); #320869=ADVANCED_FACE('',(#33354),#17891,.F.); #320870=ADVANCED_FACE('',(#33355),#17892,.T.); #320871=ADVANCED_FACE('',(#33356),#17893,.T.); #320872=ADVANCED_FACE('',(#33357),#17894,.T.); #320873=ADVANCED_FACE('',(#33358),#17895,.T.); #320874=ADVANCED_FACE('',(#33359),#17896,.T.); #320875=ADVANCED_FACE('',(#33360),#17897,.F.); #320876=ADVANCED_FACE('',(#33361),#17898,.T.); #320877=ADVANCED_FACE('',(#33362),#17899,.T.); #320878=ADVANCED_FACE('',(#33363),#17900,.T.); #320879=ADVANCED_FACE('',(#33364),#17901,.T.); #320880=ADVANCED_FACE('',(#33365),#17902,.T.); #320881=ADVANCED_FACE('',(#33366),#17903,.F.); #320882=ADVANCED_FACE('',(#33367),#17904,.T.); #320883=ADVANCED_FACE('',(#33368),#17905,.T.); #320884=ADVANCED_FACE('',(#33369),#17906,.T.); #320885=ADVANCED_FACE('',(#33370),#17907,.T.); #320886=ADVANCED_FACE('',(#33371),#17908,.T.); #320887=ADVANCED_FACE('',(#33372),#17909,.F.); #320888=ADVANCED_FACE('',(#33373),#17910,.T.); #320889=ADVANCED_FACE('',(#33374),#17911,.T.); #320890=ADVANCED_FACE('',(#33375),#17912,.T.); #320891=ADVANCED_FACE('',(#33376),#17913,.T.); #320892=ADVANCED_FACE('',(#33377),#17914,.T.); #320893=ADVANCED_FACE('',(#33378),#17915,.F.); #320894=ADVANCED_FACE('',(#33379),#17916,.T.); #320895=ADVANCED_FACE('',(#33380),#17917,.T.); #320896=ADVANCED_FACE('',(#33381),#17918,.T.); #320897=ADVANCED_FACE('',(#33382),#17919,.T.); #320898=ADVANCED_FACE('',(#33383),#17920,.T.); #320899=ADVANCED_FACE('',(#33384),#17921,.F.); #320900=ADVANCED_FACE('',(#33385),#17922,.T.); #320901=ADVANCED_FACE('',(#33386),#17923,.T.); #320902=ADVANCED_FACE('',(#33387),#17924,.T.); #320903=ADVANCED_FACE('',(#33388),#17925,.T.); #320904=ADVANCED_FACE('',(#33389),#17926,.T.); #320905=ADVANCED_FACE('',(#33390),#17927,.F.); #320906=ADVANCED_FACE('',(#33391),#17928,.T.); #320907=ADVANCED_FACE('',(#33392),#17929,.T.); #320908=ADVANCED_FACE('',(#33393),#17930,.T.); #320909=ADVANCED_FACE('',(#33394),#17931,.T.); #320910=ADVANCED_FACE('',(#33395),#17932,.T.); #320911=ADVANCED_FACE('',(#33396),#17933,.F.); #320912=ADVANCED_FACE('',(#33397),#17934,.T.); #320913=ADVANCED_FACE('',(#33398),#17935,.T.); #320914=ADVANCED_FACE('',(#33399),#17936,.T.); #320915=ADVANCED_FACE('',(#33400),#17937,.T.); #320916=ADVANCED_FACE('',(#33401),#17938,.T.); #320917=ADVANCED_FACE('',(#33402),#17939,.F.); #320918=ADVANCED_FACE('',(#33403),#17940,.T.); #320919=ADVANCED_FACE('',(#33404),#17941,.T.); #320920=ADVANCED_FACE('',(#33405),#17942,.T.); #320921=ADVANCED_FACE('',(#33406),#17943,.T.); #320922=ADVANCED_FACE('',(#33407),#17944,.T.); #320923=ADVANCED_FACE('',(#33408),#17945,.F.); #320924=ADVANCED_FACE('',(#33409),#17946,.T.); #320925=ADVANCED_FACE('',(#33410),#17947,.T.); #320926=ADVANCED_FACE('',(#33411),#17948,.T.); #320927=ADVANCED_FACE('',(#33412),#17949,.T.); #320928=ADVANCED_FACE('',(#33413),#17950,.T.); #320929=ADVANCED_FACE('',(#33414),#17951,.F.); #320930=ADVANCED_FACE('',(#33415),#17952,.T.); #320931=ADVANCED_FACE('',(#33416),#17953,.T.); #320932=ADVANCED_FACE('',(#33417),#17954,.T.); #320933=ADVANCED_FACE('',(#33418),#17955,.T.); #320934=ADVANCED_FACE('',(#33419),#17956,.T.); #320935=ADVANCED_FACE('',(#33420),#17957,.F.); #320936=ADVANCED_FACE('',(#33421),#17958,.T.); #320937=ADVANCED_FACE('',(#33422),#17959,.T.); #320938=ADVANCED_FACE('',(#33423),#17960,.T.); #320939=ADVANCED_FACE('',(#33424),#17961,.T.); #320940=ADVANCED_FACE('',(#33425),#17962,.T.); #320941=ADVANCED_FACE('',(#33426),#17963,.F.); #320942=ADVANCED_FACE('',(#33427),#17964,.T.); #320943=ADVANCED_FACE('',(#33428),#17965,.T.); #320944=ADVANCED_FACE('',(#33429),#17966,.T.); #320945=ADVANCED_FACE('',(#33430),#17967,.T.); #320946=ADVANCED_FACE('',(#33431),#17968,.T.); #320947=ADVANCED_FACE('',(#33432),#17969,.F.); #320948=ADVANCED_FACE('',(#33433),#17970,.T.); #320949=ADVANCED_FACE('',(#33434),#17971,.T.); #320950=ADVANCED_FACE('',(#33435),#17972,.T.); #320951=ADVANCED_FACE('',(#33436),#17973,.T.); #320952=ADVANCED_FACE('',(#33437),#17974,.T.); #320953=ADVANCED_FACE('',(#33438),#17975,.F.); #320954=ADVANCED_FACE('',(#33439),#17976,.T.); #320955=ADVANCED_FACE('',(#33440),#17977,.T.); #320956=ADVANCED_FACE('',(#33441),#17978,.T.); #320957=ADVANCED_FACE('',(#33442),#17979,.T.); #320958=ADVANCED_FACE('',(#33443),#17980,.T.); #320959=ADVANCED_FACE('',(#33444),#17981,.F.); #320960=ADVANCED_FACE('',(#33445),#17982,.T.); #320961=ADVANCED_FACE('',(#33446),#17983,.T.); #320962=ADVANCED_FACE('',(#33447),#17984,.T.); #320963=ADVANCED_FACE('',(#33448),#17985,.T.); #320964=ADVANCED_FACE('',(#33449),#17986,.T.); #320965=ADVANCED_FACE('',(#33450),#17987,.F.); #320966=ADVANCED_FACE('',(#33451),#17988,.T.); #320967=ADVANCED_FACE('',(#33452),#17989,.T.); #320968=ADVANCED_FACE('',(#33453),#17990,.T.); #320969=ADVANCED_FACE('',(#33454),#17991,.T.); #320970=ADVANCED_FACE('',(#33455),#17992,.T.); #320971=ADVANCED_FACE('',(#33456),#17993,.F.); #320972=ADVANCED_FACE('',(#33457),#17994,.T.); #320973=ADVANCED_FACE('',(#33458),#17995,.T.); #320974=ADVANCED_FACE('',(#33459),#17996,.T.); #320975=ADVANCED_FACE('',(#33460),#17997,.T.); #320976=ADVANCED_FACE('',(#33461),#17998,.T.); #320977=ADVANCED_FACE('',(#33462),#17999,.F.); #320978=ADVANCED_FACE('',(#33463),#18000,.T.); #320979=ADVANCED_FACE('',(#33464),#18001,.T.); #320980=ADVANCED_FACE('',(#33465),#18002,.T.); #320981=ADVANCED_FACE('',(#33466),#18003,.T.); #320982=ADVANCED_FACE('',(#33467),#18004,.T.); #320983=ADVANCED_FACE('',(#33468),#18005,.F.); #320984=ADVANCED_FACE('',(#33469),#18006,.T.); #320985=ADVANCED_FACE('',(#33470),#18007,.T.); #320986=ADVANCED_FACE('',(#33471),#18008,.T.); #320987=ADVANCED_FACE('',(#33472),#18009,.T.); #320988=ADVANCED_FACE('',(#33473),#18010,.T.); #320989=ADVANCED_FACE('',(#33474),#18011,.F.); #320990=ADVANCED_FACE('',(#33475),#18012,.T.); #320991=ADVANCED_FACE('',(#33476),#18013,.T.); #320992=ADVANCED_FACE('',(#33477),#18014,.T.); #320993=ADVANCED_FACE('',(#33478),#18015,.T.); #320994=ADVANCED_FACE('',(#33479),#18016,.T.); #320995=ADVANCED_FACE('',(#33480),#18017,.F.); #320996=ADVANCED_FACE('',(#33481),#306704,.F.); #320997=ADVANCED_FACE('',(#33482),#306705,.F.); #320998=ADVANCED_FACE('',(#33483),#18018,.T.); #320999=ADVANCED_FACE('',(#33484),#18019,.T.); #321000=ADVANCED_FACE('',(#33485),#18020,.T.); #321001=ADVANCED_FACE('',(#33486),#18021,.T.); #321002=ADVANCED_FACE('',(#33487,#6017,#6018),#18022,.T.); #321003=ADVANCED_FACE('',(#33488,#6019,#6020),#18023,.F.); #321004=ADVANCED_FACE('',(#33489),#18024,.T.); #321005=ADVANCED_FACE('',(#33490),#18025,.T.); #321006=ADVANCED_FACE('',(#33491),#18026,.T.); #321007=ADVANCED_FACE('',(#33492),#18027,.T.); #321008=ADVANCED_FACE('',(#33493),#18028,.T.); #321009=ADVANCED_FACE('',(#33494),#18029,.F.); #321010=ADVANCED_FACE('',(#33495),#306706,.F.); #321011=ADVANCED_FACE('',(#33496),#306707,.F.); #321012=ADVANCED_FACE('',(#33497),#18030,.T.); #321013=ADVANCED_FACE('',(#33498),#18031,.T.); #321014=ADVANCED_FACE('',(#33499),#18032,.T.); #321015=ADVANCED_FACE('',(#33500),#18033,.T.); #321016=ADVANCED_FACE('',(#33501,#6021,#6022),#18034,.T.); #321017=ADVANCED_FACE('',(#33502,#6023,#6024),#18035,.F.); #321018=ADVANCED_FACE('',(#33503),#18036,.T.); #321019=ADVANCED_FACE('',(#33504),#18037,.T.); #321020=ADVANCED_FACE('',(#33505),#18038,.T.); #321021=ADVANCED_FACE('',(#33506),#18039,.T.); #321022=ADVANCED_FACE('',(#33507),#18040,.T.); #321023=ADVANCED_FACE('',(#33508),#18041,.F.); #321024=ADVANCED_FACE('',(#33509),#18042,.T.); #321025=ADVANCED_FACE('',(#33510),#18043,.T.); #321026=ADVANCED_FACE('',(#33511),#18044,.T.); #321027=ADVANCED_FACE('',(#33512),#18045,.T.); #321028=ADVANCED_FACE('',(#33513),#18046,.T.); #321029=ADVANCED_FACE('',(#33514),#18047,.F.); #321030=ADVANCED_FACE('',(#33515),#18048,.T.); #321031=ADVANCED_FACE('',(#33516),#18049,.T.); #321032=ADVANCED_FACE('',(#33517),#18050,.T.); #321033=ADVANCED_FACE('',(#33518),#18051,.T.); #321034=ADVANCED_FACE('',(#33519),#18052,.T.); #321035=ADVANCED_FACE('',(#33520),#18053,.F.); #321036=ADVANCED_FACE('',(#33521),#18054,.T.); #321037=ADVANCED_FACE('',(#33522),#18055,.T.); #321038=ADVANCED_FACE('',(#33523),#18056,.T.); #321039=ADVANCED_FACE('',(#33524),#18057,.T.); #321040=ADVANCED_FACE('',(#33525),#18058,.T.); #321041=ADVANCED_FACE('',(#33526),#18059,.F.); #321042=ADVANCED_FACE('',(#33527),#18060,.T.); #321043=ADVANCED_FACE('',(#33528),#18061,.T.); #321044=ADVANCED_FACE('',(#33529),#18062,.T.); #321045=ADVANCED_FACE('',(#33530),#18063,.T.); #321046=ADVANCED_FACE('',(#33531),#18064,.T.); #321047=ADVANCED_FACE('',(#33532),#18065,.F.); #321048=ADVANCED_FACE('',(#33533),#18066,.T.); #321049=ADVANCED_FACE('',(#33534),#18067,.T.); #321050=ADVANCED_FACE('',(#33535),#18068,.T.); #321051=ADVANCED_FACE('',(#33536),#18069,.T.); #321052=ADVANCED_FACE('',(#33537),#18070,.T.); #321053=ADVANCED_FACE('',(#33538),#18071,.F.); #321054=ADVANCED_FACE('',(#33539),#18072,.T.); #321055=ADVANCED_FACE('',(#33540),#18073,.T.); #321056=ADVANCED_FACE('',(#33541),#18074,.T.); #321057=ADVANCED_FACE('',(#33542),#18075,.T.); #321058=ADVANCED_FACE('',(#33543),#18076,.T.); #321059=ADVANCED_FACE('',(#33544),#18077,.F.); #321060=ADVANCED_FACE('',(#33545),#18078,.T.); #321061=ADVANCED_FACE('',(#33546),#18079,.T.); #321062=ADVANCED_FACE('',(#33547),#18080,.T.); #321063=ADVANCED_FACE('',(#33548),#18081,.T.); #321064=ADVANCED_FACE('',(#33549),#18082,.T.); #321065=ADVANCED_FACE('',(#33550),#18083,.F.); #321066=ADVANCED_FACE('',(#33551),#18084,.T.); #321067=ADVANCED_FACE('',(#33552),#18085,.T.); #321068=ADVANCED_FACE('',(#33553),#18086,.T.); #321069=ADVANCED_FACE('',(#33554),#18087,.T.); #321070=ADVANCED_FACE('',(#33555),#18088,.T.); #321071=ADVANCED_FACE('',(#33556),#18089,.F.); #321072=ADVANCED_FACE('',(#33557),#18090,.T.); #321073=ADVANCED_FACE('',(#33558),#18091,.T.); #321074=ADVANCED_FACE('',(#33559),#18092,.T.); #321075=ADVANCED_FACE('',(#33560),#18093,.T.); #321076=ADVANCED_FACE('',(#33561),#18094,.T.); #321077=ADVANCED_FACE('',(#33562),#18095,.F.); #321078=ADVANCED_FACE('',(#33563),#18096,.T.); #321079=ADVANCED_FACE('',(#33564),#18097,.T.); #321080=ADVANCED_FACE('',(#33565),#18098,.T.); #321081=ADVANCED_FACE('',(#33566),#18099,.T.); #321082=ADVANCED_FACE('',(#33567),#18100,.T.); #321083=ADVANCED_FACE('',(#33568),#18101,.F.); #321084=ADVANCED_FACE('',(#33569),#18102,.T.); #321085=ADVANCED_FACE('',(#33570),#18103,.T.); #321086=ADVANCED_FACE('',(#33571),#18104,.T.); #321087=ADVANCED_FACE('',(#33572),#18105,.T.); #321088=ADVANCED_FACE('',(#33573),#18106,.T.); #321089=ADVANCED_FACE('',(#33574),#18107,.F.); #321090=ADVANCED_FACE('',(#33575),#18108,.T.); #321091=ADVANCED_FACE('',(#33576),#18109,.T.); #321092=ADVANCED_FACE('',(#33577),#18110,.T.); #321093=ADVANCED_FACE('',(#33578),#18111,.T.); #321094=ADVANCED_FACE('',(#33579),#18112,.T.); #321095=ADVANCED_FACE('',(#33580),#18113,.F.); #321096=ADVANCED_FACE('',(#33581),#18114,.T.); #321097=ADVANCED_FACE('',(#33582),#18115,.T.); #321098=ADVANCED_FACE('',(#33583),#18116,.T.); #321099=ADVANCED_FACE('',(#33584),#18117,.T.); #321100=ADVANCED_FACE('',(#33585),#18118,.T.); #321101=ADVANCED_FACE('',(#33586),#18119,.F.); #321102=ADVANCED_FACE('',(#33587),#18120,.T.); #321103=ADVANCED_FACE('',(#33588),#18121,.T.); #321104=ADVANCED_FACE('',(#33589),#18122,.T.); #321105=ADVANCED_FACE('',(#33590),#18123,.T.); #321106=ADVANCED_FACE('',(#33591),#18124,.T.); #321107=ADVANCED_FACE('',(#33592),#18125,.F.); #321108=ADVANCED_FACE('',(#33593),#18126,.T.); #321109=ADVANCED_FACE('',(#33594),#18127,.T.); #321110=ADVANCED_FACE('',(#33595),#18128,.T.); #321111=ADVANCED_FACE('',(#33596),#18129,.T.); #321112=ADVANCED_FACE('',(#33597),#18130,.T.); #321113=ADVANCED_FACE('',(#33598),#18131,.F.); #321114=ADVANCED_FACE('',(#33599),#306708,.F.); #321115=ADVANCED_FACE('',(#33600),#18132,.T.); #321116=ADVANCED_FACE('',(#33601),#18133,.T.); #321117=ADVANCED_FACE('',(#33602),#18134,.T.); #321118=ADVANCED_FACE('',(#33603),#18135,.T.); #321119=ADVANCED_FACE('',(#33604,#6025),#18136,.T.); #321120=ADVANCED_FACE('',(#33605,#6026),#18137,.F.); #321121=ADVANCED_FACE('',(#33606),#18138,.T.); #321122=ADVANCED_FACE('',(#33607),#18139,.T.); #321123=ADVANCED_FACE('',(#33608),#18140,.T.); #321124=ADVANCED_FACE('',(#33609),#18141,.T.); #321125=ADVANCED_FACE('',(#33610),#18142,.T.); #321126=ADVANCED_FACE('',(#33611),#18143,.F.); #321127=ADVANCED_FACE('',(#33612),#18144,.T.); #321128=ADVANCED_FACE('',(#33613),#18145,.T.); #321129=ADVANCED_FACE('',(#33614),#18146,.T.); #321130=ADVANCED_FACE('',(#33615),#18147,.T.); #321131=ADVANCED_FACE('',(#33616),#18148,.T.); #321132=ADVANCED_FACE('',(#33617),#18149,.F.); #321133=ADVANCED_FACE('',(#33618),#18150,.T.); #321134=ADVANCED_FACE('',(#33619),#18151,.T.); #321135=ADVANCED_FACE('',(#33620),#18152,.T.); #321136=ADVANCED_FACE('',(#33621),#18153,.T.); #321137=ADVANCED_FACE('',(#33622),#18154,.T.); #321138=ADVANCED_FACE('',(#33623),#18155,.F.); #321139=ADVANCED_FACE('',(#33624),#18156,.T.); #321140=ADVANCED_FACE('',(#33625),#18157,.T.); #321141=ADVANCED_FACE('',(#33626),#18158,.T.); #321142=ADVANCED_FACE('',(#33627),#18159,.T.); #321143=ADVANCED_FACE('',(#33628),#18160,.T.); #321144=ADVANCED_FACE('',(#33629),#18161,.F.); #321145=ADVANCED_FACE('',(#33630),#306709,.F.); #321146=ADVANCED_FACE('',(#33631),#306710,.F.); #321147=ADVANCED_FACE('',(#33632),#306711,.F.); #321148=ADVANCED_FACE('',(#33633),#306712,.F.); #321149=ADVANCED_FACE('',(#33634),#306713,.F.); #321150=ADVANCED_FACE('',(#33635),#306714,.F.); #321151=ADVANCED_FACE('',(#33636),#306715,.F.); #321152=ADVANCED_FACE('',(#33637),#306716,.F.); #321153=ADVANCED_FACE('',(#33638),#306717,.F.); #321154=ADVANCED_FACE('',(#33639),#306718,.F.); #321155=ADVANCED_FACE('',(#33640),#306719,.F.); #321156=ADVANCED_FACE('',(#33641),#306720,.F.); #321157=ADVANCED_FACE('',(#33642),#306721,.F.); #321158=ADVANCED_FACE('',(#33643),#306722,.F.); #321159=ADVANCED_FACE('',(#33644),#306723,.F.); #321160=ADVANCED_FACE('',(#33645),#18162,.T.); #321161=ADVANCED_FACE('',(#33646),#18163,.T.); #321162=ADVANCED_FACE('',(#33647),#18164,.T.); #321163=ADVANCED_FACE('',(#33648),#18165,.T.); #321164=ADVANCED_FACE('',(#33649,#6027,#6028,#6029,#6030,#6031,#6032,#6033, #6034,#6035,#6036,#6037,#6038,#6039,#6040,#6041),#18166,.T.); #321165=ADVANCED_FACE('',(#33650,#6042,#6043,#6044,#6045,#6046,#6047,#6048, #6049,#6050,#6051,#6052,#6053,#6054,#6055,#6056),#18167,.F.); #321166=ADVANCED_FACE('',(#33651),#18168,.T.); #321167=ADVANCED_FACE('',(#33652),#18169,.T.); #321168=ADVANCED_FACE('',(#33653),#18170,.T.); #321169=ADVANCED_FACE('',(#33654),#18171,.T.); #321170=ADVANCED_FACE('',(#33655),#18172,.T.); #321171=ADVANCED_FACE('',(#33656),#18173,.F.); #321172=ADVANCED_FACE('',(#33657),#18174,.T.); #321173=ADVANCED_FACE('',(#33658),#18175,.T.); #321174=ADVANCED_FACE('',(#33659),#18176,.T.); #321175=ADVANCED_FACE('',(#33660),#18177,.T.); #321176=ADVANCED_FACE('',(#33661),#18178,.T.); #321177=ADVANCED_FACE('',(#33662),#18179,.F.); #321178=ADVANCED_FACE('',(#33663),#18180,.T.); #321179=ADVANCED_FACE('',(#33664),#18181,.T.); #321180=ADVANCED_FACE('',(#33665),#18182,.T.); #321181=ADVANCED_FACE('',(#33666),#18183,.T.); #321182=ADVANCED_FACE('',(#33667),#18184,.T.); #321183=ADVANCED_FACE('',(#33668),#18185,.F.); #321184=ADVANCED_FACE('',(#33669),#306724,.F.); #321185=ADVANCED_FACE('',(#33670),#18186,.T.); #321186=ADVANCED_FACE('',(#33671),#18187,.T.); #321187=ADVANCED_FACE('',(#33672),#18188,.T.); #321188=ADVANCED_FACE('',(#33673),#18189,.T.); #321189=ADVANCED_FACE('',(#33674,#6057),#18190,.T.); #321190=ADVANCED_FACE('',(#33675,#6058),#18191,.F.); #321191=ADVANCED_FACE('',(#33676),#18192,.T.); #321192=ADVANCED_FACE('',(#33677),#18193,.T.); #321193=ADVANCED_FACE('',(#33678),#18194,.T.); #321194=ADVANCED_FACE('',(#33679),#18195,.T.); #321195=ADVANCED_FACE('',(#33680),#18196,.T.); #321196=ADVANCED_FACE('',(#33681),#18197,.F.); #321197=ADVANCED_FACE('',(#33682),#18198,.T.); #321198=ADVANCED_FACE('',(#33683),#18199,.T.); #321199=ADVANCED_FACE('',(#33684),#18200,.T.); #321200=ADVANCED_FACE('',(#33685),#18201,.T.); #321201=ADVANCED_FACE('',(#33686),#18202,.T.); #321202=ADVANCED_FACE('',(#33687),#18203,.F.); #321203=ADVANCED_FACE('',(#33688),#18204,.T.); #321204=ADVANCED_FACE('',(#33689),#18205,.T.); #321205=ADVANCED_FACE('',(#33690),#18206,.T.); #321206=ADVANCED_FACE('',(#33691),#18207,.T.); #321207=ADVANCED_FACE('',(#33692),#18208,.T.); #321208=ADVANCED_FACE('',(#33693),#18209,.F.); #321209=ADVANCED_FACE('',(#33694),#18210,.T.); #321210=ADVANCED_FACE('',(#33695),#18211,.T.); #321211=ADVANCED_FACE('',(#33696),#18212,.T.); #321212=ADVANCED_FACE('',(#33697),#18213,.T.); #321213=ADVANCED_FACE('',(#33698),#18214,.T.); #321214=ADVANCED_FACE('',(#33699),#18215,.F.); #321215=ADVANCED_FACE('',(#33700),#306725,.F.); #321216=ADVANCED_FACE('',(#33701),#306726,.F.); #321217=ADVANCED_FACE('',(#33702),#306727,.F.); #321218=ADVANCED_FACE('',(#33703),#306728,.F.); #321219=ADVANCED_FACE('',(#33704),#306729,.F.); #321220=ADVANCED_FACE('',(#33705),#306730,.F.); #321221=ADVANCED_FACE('',(#33706),#306731,.F.); #321222=ADVANCED_FACE('',(#33707),#306732,.F.); #321223=ADVANCED_FACE('',(#33708),#306733,.F.); #321224=ADVANCED_FACE('',(#33709),#306734,.F.); #321225=ADVANCED_FACE('',(#33710),#306735,.F.); #321226=ADVANCED_FACE('',(#33711),#306736,.F.); #321227=ADVANCED_FACE('',(#33712),#306737,.F.); #321228=ADVANCED_FACE('',(#33713),#306738,.F.); #321229=ADVANCED_FACE('',(#33714),#306739,.F.); #321230=ADVANCED_FACE('',(#33715),#18216,.T.); #321231=ADVANCED_FACE('',(#33716),#18217,.T.); #321232=ADVANCED_FACE('',(#33717),#18218,.T.); #321233=ADVANCED_FACE('',(#33718),#18219,.T.); #321234=ADVANCED_FACE('',(#33719,#6059,#6060,#6061,#6062,#6063,#6064,#6065, #6066,#6067,#6068,#6069,#6070,#6071,#6072,#6073),#18220,.T.); #321235=ADVANCED_FACE('',(#33720,#6074,#6075,#6076,#6077,#6078,#6079,#6080, #6081,#6082,#6083,#6084,#6085,#6086,#6087,#6088),#18221,.F.); #321236=ADVANCED_FACE('',(#33721),#18222,.T.); #321237=ADVANCED_FACE('',(#33722),#18223,.T.); #321238=ADVANCED_FACE('',(#33723),#18224,.T.); #321239=ADVANCED_FACE('',(#33724),#18225,.T.); #321240=ADVANCED_FACE('',(#33725),#18226,.T.); #321241=ADVANCED_FACE('',(#33726),#18227,.F.); #321242=ADVANCED_FACE('',(#33727),#18228,.T.); #321243=ADVANCED_FACE('',(#33728),#18229,.T.); #321244=ADVANCED_FACE('',(#33729),#18230,.T.); #321245=ADVANCED_FACE('',(#33730),#18231,.T.); #321246=ADVANCED_FACE('',(#33731),#18232,.T.); #321247=ADVANCED_FACE('',(#33732),#18233,.F.); #321248=ADVANCED_FACE('',(#33733),#18234,.T.); #321249=ADVANCED_FACE('',(#33734),#18235,.T.); #321250=ADVANCED_FACE('',(#33735),#18236,.T.); #321251=ADVANCED_FACE('',(#33736),#18237,.T.); #321252=ADVANCED_FACE('',(#33737),#18238,.T.); #321253=ADVANCED_FACE('',(#33738),#18239,.F.); #321254=ADVANCED_FACE('',(#33739),#306740,.F.); #321255=ADVANCED_FACE('',(#33740),#18240,.T.); #321256=ADVANCED_FACE('',(#33741),#18241,.T.); #321257=ADVANCED_FACE('',(#33742),#18242,.T.); #321258=ADVANCED_FACE('',(#33743),#18243,.T.); #321259=ADVANCED_FACE('',(#33744,#6089),#18244,.T.); #321260=ADVANCED_FACE('',(#33745,#6090),#18245,.F.); #321261=ADVANCED_FACE('',(#33746),#306741,.F.); #321262=ADVANCED_FACE('',(#33747),#18246,.T.); #321263=ADVANCED_FACE('',(#33748),#18247,.T.); #321264=ADVANCED_FACE('',(#33749),#18248,.T.); #321265=ADVANCED_FACE('',(#33750),#18249,.T.); #321266=ADVANCED_FACE('',(#33751,#6091),#18250,.T.); #321267=ADVANCED_FACE('',(#33752,#6092),#18251,.F.); #321268=ADVANCED_FACE('',(#33753),#306742,.F.); #321269=ADVANCED_FACE('',(#33754),#18252,.T.); #321270=ADVANCED_FACE('',(#33755),#18253,.T.); #321271=ADVANCED_FACE('',(#33756),#18254,.T.); #321272=ADVANCED_FACE('',(#33757),#18255,.T.); #321273=ADVANCED_FACE('',(#33758,#6093),#18256,.T.); #321274=ADVANCED_FACE('',(#33759,#6094),#18257,.F.); #321275=ADVANCED_FACE('',(#33760),#306743,.F.); #321276=ADVANCED_FACE('',(#33761),#306744,.F.); #321277=ADVANCED_FACE('',(#33762),#306745,.F.); #321278=ADVANCED_FACE('',(#33763),#306746,.F.); #321279=ADVANCED_FACE('',(#33764),#306747,.F.); #321280=ADVANCED_FACE('',(#33765),#306748,.F.); #321281=ADVANCED_FACE('',(#33766),#306749,.F.); #321282=ADVANCED_FACE('',(#33767),#306750,.F.); #321283=ADVANCED_FACE('',(#33768),#306751,.F.); #321284=ADVANCED_FACE('',(#33769),#306752,.F.); #321285=ADVANCED_FACE('',(#33770),#306753,.F.); #321286=ADVANCED_FACE('',(#33771),#306754,.F.); #321287=ADVANCED_FACE('',(#33772),#306755,.F.); #321288=ADVANCED_FACE('',(#33773),#306756,.F.); #321289=ADVANCED_FACE('',(#33774),#306757,.F.); #321290=ADVANCED_FACE('',(#33775),#18258,.T.); #321291=ADVANCED_FACE('',(#33776),#18259,.T.); #321292=ADVANCED_FACE('',(#33777),#18260,.T.); #321293=ADVANCED_FACE('',(#33778),#18261,.T.); #321294=ADVANCED_FACE('',(#33779,#6095,#6096,#6097,#6098,#6099,#6100,#6101, #6102,#6103,#6104,#6105,#6106,#6107,#6108,#6109),#18262,.T.); #321295=ADVANCED_FACE('',(#33780,#6110,#6111,#6112,#6113,#6114,#6115,#6116, #6117,#6118,#6119,#6120,#6121,#6122,#6123,#6124),#18263,.F.); #321296=ADVANCED_FACE('',(#33781),#18264,.T.); #321297=ADVANCED_FACE('',(#33782),#18265,.T.); #321298=ADVANCED_FACE('',(#33783),#18266,.T.); #321299=ADVANCED_FACE('',(#33784),#18267,.T.); #321300=ADVANCED_FACE('',(#33785),#18268,.T.); #321301=ADVANCED_FACE('',(#33786),#18269,.F.); #321302=ADVANCED_FACE('',(#33787),#18270,.T.); #321303=ADVANCED_FACE('',(#33788),#18271,.T.); #321304=ADVANCED_FACE('',(#33789),#18272,.T.); #321305=ADVANCED_FACE('',(#33790),#18273,.T.); #321306=ADVANCED_FACE('',(#33791),#18274,.T.); #321307=ADVANCED_FACE('',(#33792),#18275,.F.); #321308=ADVANCED_FACE('',(#33793),#18276,.T.); #321309=ADVANCED_FACE('',(#33794),#18277,.T.); #321310=ADVANCED_FACE('',(#33795),#18278,.T.); #321311=ADVANCED_FACE('',(#33796),#18279,.T.); #321312=ADVANCED_FACE('',(#33797),#18280,.T.); #321313=ADVANCED_FACE('',(#33798),#18281,.F.); #321314=ADVANCED_FACE('',(#33799),#18282,.T.); #321315=ADVANCED_FACE('',(#33800),#18283,.T.); #321316=ADVANCED_FACE('',(#33801),#18284,.T.); #321317=ADVANCED_FACE('',(#33802),#18285,.T.); #321318=ADVANCED_FACE('',(#33803),#18286,.T.); #321319=ADVANCED_FACE('',(#33804),#18287,.F.); #321320=ADVANCED_FACE('',(#33805),#18288,.T.); #321321=ADVANCED_FACE('',(#33806),#18289,.T.); #321322=ADVANCED_FACE('',(#33807),#18290,.T.); #321323=ADVANCED_FACE('',(#33808),#18291,.T.); #321324=ADVANCED_FACE('',(#33809),#18292,.T.); #321325=ADVANCED_FACE('',(#33810),#18293,.F.); #321326=ADVANCED_FACE('',(#33811),#18294,.T.); #321327=ADVANCED_FACE('',(#33812),#18295,.T.); #321328=ADVANCED_FACE('',(#33813),#18296,.T.); #321329=ADVANCED_FACE('',(#33814),#18297,.T.); #321330=ADVANCED_FACE('',(#33815),#18298,.T.); #321331=ADVANCED_FACE('',(#33816),#18299,.F.); #321332=ADVANCED_FACE('',(#33817),#18300,.T.); #321333=ADVANCED_FACE('',(#33818),#18301,.T.); #321334=ADVANCED_FACE('',(#33819),#18302,.T.); #321335=ADVANCED_FACE('',(#33820),#18303,.T.); #321336=ADVANCED_FACE('',(#33821),#18304,.T.); #321337=ADVANCED_FACE('',(#33822),#18305,.F.); #321338=ADVANCED_FACE('',(#33823),#18306,.T.); #321339=ADVANCED_FACE('',(#33824),#18307,.T.); #321340=ADVANCED_FACE('',(#33825),#18308,.T.); #321341=ADVANCED_FACE('',(#33826),#18309,.T.); #321342=ADVANCED_FACE('',(#33827),#18310,.T.); #321343=ADVANCED_FACE('',(#33828),#18311,.F.); #321344=ADVANCED_FACE('',(#33829),#18312,.T.); #321345=ADVANCED_FACE('',(#33830),#18313,.T.); #321346=ADVANCED_FACE('',(#33831),#18314,.T.); #321347=ADVANCED_FACE('',(#33832),#18315,.T.); #321348=ADVANCED_FACE('',(#33833),#18316,.T.); #321349=ADVANCED_FACE('',(#33834),#18317,.F.); #321350=ADVANCED_FACE('',(#33835),#18318,.T.); #321351=ADVANCED_FACE('',(#33836),#18319,.T.); #321352=ADVANCED_FACE('',(#33837),#18320,.T.); #321353=ADVANCED_FACE('',(#33838),#18321,.T.); #321354=ADVANCED_FACE('',(#33839),#18322,.T.); #321355=ADVANCED_FACE('',(#33840),#18323,.F.); #321356=ADVANCED_FACE('',(#33841),#18324,.T.); #321357=ADVANCED_FACE('',(#33842),#18325,.T.); #321358=ADVANCED_FACE('',(#33843),#18326,.T.); #321359=ADVANCED_FACE('',(#33844),#18327,.T.); #321360=ADVANCED_FACE('',(#33845),#18328,.T.); #321361=ADVANCED_FACE('',(#33846),#18329,.F.); #321362=ADVANCED_FACE('',(#33847),#18330,.T.); #321363=ADVANCED_FACE('',(#33848),#18331,.T.); #321364=ADVANCED_FACE('',(#33849),#18332,.T.); #321365=ADVANCED_FACE('',(#33850),#18333,.T.); #321366=ADVANCED_FACE('',(#33851),#18334,.T.); #321367=ADVANCED_FACE('',(#33852),#18335,.F.); #321368=ADVANCED_FACE('',(#33853),#18336,.T.); #321369=ADVANCED_FACE('',(#33854),#18337,.T.); #321370=ADVANCED_FACE('',(#33855),#18338,.T.); #321371=ADVANCED_FACE('',(#33856),#18339,.T.); #321372=ADVANCED_FACE('',(#33857),#18340,.T.); #321373=ADVANCED_FACE('',(#33858),#18341,.F.); #321374=ADVANCED_FACE('',(#33859),#18342,.T.); #321375=ADVANCED_FACE('',(#33860),#18343,.T.); #321376=ADVANCED_FACE('',(#33861),#18344,.T.); #321377=ADVANCED_FACE('',(#33862),#18345,.T.); #321378=ADVANCED_FACE('',(#33863),#18346,.T.); #321379=ADVANCED_FACE('',(#33864),#18347,.F.); #321380=ADVANCED_FACE('',(#33865),#18348,.T.); #321381=ADVANCED_FACE('',(#33866),#18349,.T.); #321382=ADVANCED_FACE('',(#33867),#18350,.T.); #321383=ADVANCED_FACE('',(#33868),#18351,.T.); #321384=ADVANCED_FACE('',(#33869),#18352,.T.); #321385=ADVANCED_FACE('',(#33870),#18353,.F.); #321386=ADVANCED_FACE('',(#33871),#18354,.T.); #321387=ADVANCED_FACE('',(#33872),#18355,.T.); #321388=ADVANCED_FACE('',(#33873),#18356,.T.); #321389=ADVANCED_FACE('',(#33874),#18357,.T.); #321390=ADVANCED_FACE('',(#33875),#18358,.T.); #321391=ADVANCED_FACE('',(#33876),#18359,.F.); #321392=ADVANCED_FACE('',(#33877),#18360,.T.); #321393=ADVANCED_FACE('',(#33878),#18361,.T.); #321394=ADVANCED_FACE('',(#33879),#18362,.T.); #321395=ADVANCED_FACE('',(#33880),#18363,.T.); #321396=ADVANCED_FACE('',(#33881),#18364,.T.); #321397=ADVANCED_FACE('',(#33882),#18365,.F.); #321398=ADVANCED_FACE('',(#33883),#18366,.T.); #321399=ADVANCED_FACE('',(#33884),#18367,.T.); #321400=ADVANCED_FACE('',(#33885),#18368,.T.); #321401=ADVANCED_FACE('',(#33886),#18369,.T.); #321402=ADVANCED_FACE('',(#33887),#18370,.T.); #321403=ADVANCED_FACE('',(#33888),#18371,.F.); #321404=ADVANCED_FACE('',(#33889),#18372,.T.); #321405=ADVANCED_FACE('',(#33890),#18373,.T.); #321406=ADVANCED_FACE('',(#33891),#18374,.T.); #321407=ADVANCED_FACE('',(#33892),#18375,.T.); #321408=ADVANCED_FACE('',(#33893),#18376,.T.); #321409=ADVANCED_FACE('',(#33894),#18377,.F.); #321410=ADVANCED_FACE('',(#33895),#18378,.T.); #321411=ADVANCED_FACE('',(#33896),#18379,.T.); #321412=ADVANCED_FACE('',(#33897),#18380,.T.); #321413=ADVANCED_FACE('',(#33898),#18381,.T.); #321414=ADVANCED_FACE('',(#33899),#18382,.T.); #321415=ADVANCED_FACE('',(#33900),#18383,.F.); #321416=ADVANCED_FACE('',(#33901),#306758,.F.); #321417=ADVANCED_FACE('',(#33902),#306759,.F.); #321418=ADVANCED_FACE('',(#33903),#306760,.F.); #321419=ADVANCED_FACE('',(#33904),#306761,.F.); #321420=ADVANCED_FACE('',(#33905),#306762,.F.); #321421=ADVANCED_FACE('',(#33906),#306763,.F.); #321422=ADVANCED_FACE('',(#33907),#306764,.F.); #321423=ADVANCED_FACE('',(#33908),#306765,.F.); #321424=ADVANCED_FACE('',(#33909),#306766,.F.); #321425=ADVANCED_FACE('',(#33910),#306767,.F.); #321426=ADVANCED_FACE('',(#33911),#306768,.F.); #321427=ADVANCED_FACE('',(#33912),#306769,.F.); #321428=ADVANCED_FACE('',(#33913),#306770,.F.); #321429=ADVANCED_FACE('',(#33914),#306771,.F.); #321430=ADVANCED_FACE('',(#33915),#306772,.F.); #321431=ADVANCED_FACE('',(#33916),#18384,.T.); #321432=ADVANCED_FACE('',(#33917),#18385,.T.); #321433=ADVANCED_FACE('',(#33918),#18386,.T.); #321434=ADVANCED_FACE('',(#33919),#18387,.T.); #321435=ADVANCED_FACE('',(#33920,#6125,#6126,#6127,#6128,#6129,#6130,#6131, #6132,#6133,#6134,#6135,#6136,#6137,#6138,#6139),#18388,.T.); #321436=ADVANCED_FACE('',(#33921,#6140,#6141,#6142,#6143,#6144,#6145,#6146, #6147,#6148,#6149,#6150,#6151,#6152,#6153,#6154),#18389,.F.); #321437=ADVANCED_FACE('',(#33922),#18390,.T.); #321438=ADVANCED_FACE('',(#33923),#18391,.T.); #321439=ADVANCED_FACE('',(#33924),#18392,.T.); #321440=ADVANCED_FACE('',(#33925),#18393,.T.); #321441=ADVANCED_FACE('',(#33926),#18394,.T.); #321442=ADVANCED_FACE('',(#33927),#18395,.F.); #321443=ADVANCED_FACE('',(#33928),#18396,.T.); #321444=ADVANCED_FACE('',(#33929),#18397,.T.); #321445=ADVANCED_FACE('',(#33930),#18398,.T.); #321446=ADVANCED_FACE('',(#33931),#18399,.T.); #321447=ADVANCED_FACE('',(#33932),#18400,.T.); #321448=ADVANCED_FACE('',(#33933),#18401,.F.); #321449=ADVANCED_FACE('',(#33934),#18402,.T.); #321450=ADVANCED_FACE('',(#33935),#18403,.T.); #321451=ADVANCED_FACE('',(#33936),#18404,.T.); #321452=ADVANCED_FACE('',(#33937),#18405,.T.); #321453=ADVANCED_FACE('',(#33938),#18406,.T.); #321454=ADVANCED_FACE('',(#33939),#18407,.F.); #321455=ADVANCED_FACE('',(#33940),#18408,.T.); #321456=ADVANCED_FACE('',(#33941),#18409,.T.); #321457=ADVANCED_FACE('',(#33942),#18410,.T.); #321458=ADVANCED_FACE('',(#33943),#18411,.T.); #321459=ADVANCED_FACE('',(#33944),#18412,.T.); #321460=ADVANCED_FACE('',(#33945),#18413,.F.); #321461=ADVANCED_FACE('',(#33946),#18414,.T.); #321462=ADVANCED_FACE('',(#33947),#18415,.T.); #321463=ADVANCED_FACE('',(#33948),#18416,.T.); #321464=ADVANCED_FACE('',(#33949),#18417,.T.); #321465=ADVANCED_FACE('',(#33950),#18418,.T.); #321466=ADVANCED_FACE('',(#33951),#18419,.F.); #321467=ADVANCED_FACE('',(#33952),#18420,.T.); #321468=ADVANCED_FACE('',(#33953),#18421,.T.); #321469=ADVANCED_FACE('',(#33954),#18422,.T.); #321470=ADVANCED_FACE('',(#33955),#18423,.T.); #321471=ADVANCED_FACE('',(#33956),#18424,.T.); #321472=ADVANCED_FACE('',(#33957),#18425,.F.); #321473=ADVANCED_FACE('',(#33958),#306773,.F.); #321474=ADVANCED_FACE('',(#33959),#18426,.T.); #321475=ADVANCED_FACE('',(#33960),#18427,.T.); #321476=ADVANCED_FACE('',(#33961),#18428,.T.); #321477=ADVANCED_FACE('',(#33962),#18429,.T.); #321478=ADVANCED_FACE('',(#33963,#6155),#18430,.T.); #321479=ADVANCED_FACE('',(#33964,#6156),#18431,.F.); #321480=ADVANCED_FACE('',(#33965),#306774,.F.); #321481=ADVANCED_FACE('',(#33966),#306775,.F.); #321482=ADVANCED_FACE('',(#33967),#18432,.T.); #321483=ADVANCED_FACE('',(#33968),#18433,.T.); #321484=ADVANCED_FACE('',(#33969),#18434,.T.); #321485=ADVANCED_FACE('',(#33970),#18435,.T.); #321486=ADVANCED_FACE('',(#33971,#6157,#6158),#18436,.T.); #321487=ADVANCED_FACE('',(#33972,#6159,#6160),#18437,.F.); #321488=ADVANCED_FACE('',(#33973),#306776,.F.); #321489=ADVANCED_FACE('',(#33974),#306777,.F.); #321490=ADVANCED_FACE('',(#33975),#18438,.T.); #321491=ADVANCED_FACE('',(#33976),#18439,.T.); #321492=ADVANCED_FACE('',(#33977),#18440,.T.); #321493=ADVANCED_FACE('',(#33978),#18441,.T.); #321494=ADVANCED_FACE('',(#33979,#6161,#6162),#18442,.T.); #321495=ADVANCED_FACE('',(#33980,#6163,#6164),#18443,.F.); #321496=ADVANCED_FACE('',(#33981),#306778,.F.); #321497=ADVANCED_FACE('',(#33982),#18444,.T.); #321498=ADVANCED_FACE('',(#33983),#18445,.T.); #321499=ADVANCED_FACE('',(#33984),#18446,.T.); #321500=ADVANCED_FACE('',(#33985),#18447,.T.); #321501=ADVANCED_FACE('',(#33986,#6165),#18448,.T.); #321502=ADVANCED_FACE('',(#33987,#6166),#18449,.F.); #321503=ADVANCED_FACE('',(#33988),#306779,.F.); #321504=ADVANCED_FACE('',(#33989),#306780,.F.); #321505=ADVANCED_FACE('',(#33990),#306781,.F.); #321506=ADVANCED_FACE('',(#33991),#306782,.F.); #321507=ADVANCED_FACE('',(#33992),#306783,.F.); #321508=ADVANCED_FACE('',(#33993),#306784,.F.); #321509=ADVANCED_FACE('',(#33994),#306785,.F.); #321510=ADVANCED_FACE('',(#33995),#306786,.F.); #321511=ADVANCED_FACE('',(#33996),#306787,.F.); #321512=ADVANCED_FACE('',(#33997),#306788,.F.); #321513=ADVANCED_FACE('',(#33998),#306789,.F.); #321514=ADVANCED_FACE('',(#33999),#306790,.F.); #321515=ADVANCED_FACE('',(#34000),#306791,.F.); #321516=ADVANCED_FACE('',(#34001),#306792,.F.); #321517=ADVANCED_FACE('',(#34002),#306793,.F.); #321518=ADVANCED_FACE('',(#34003),#306794,.F.); #321519=ADVANCED_FACE('',(#34004),#306795,.F.); #321520=ADVANCED_FACE('',(#34005),#306796,.F.); #321521=ADVANCED_FACE('',(#34006),#306797,.F.); #321522=ADVANCED_FACE('',(#34007),#306798,.F.); #321523=ADVANCED_FACE('',(#34008),#306799,.F.); #321524=ADVANCED_FACE('',(#34009),#306800,.F.); #321525=ADVANCED_FACE('',(#34010),#306801,.F.); #321526=ADVANCED_FACE('',(#34011),#306802,.F.); #321527=ADVANCED_FACE('',(#34012),#306803,.F.); #321528=ADVANCED_FACE('',(#34013),#306804,.F.); #321529=ADVANCED_FACE('',(#34014),#306805,.F.); #321530=ADVANCED_FACE('',(#34015),#306806,.F.); #321531=ADVANCED_FACE('',(#34016),#306807,.F.); #321532=ADVANCED_FACE('',(#34017),#306808,.F.); #321533=ADVANCED_FACE('',(#34018),#306809,.F.); #321534=ADVANCED_FACE('',(#34019),#306810,.F.); #321535=ADVANCED_FACE('',(#34020),#306811,.F.); #321536=ADVANCED_FACE('',(#34021),#306812,.F.); #321537=ADVANCED_FACE('',(#34022),#306813,.F.); #321538=ADVANCED_FACE('',(#34023),#306814,.F.); #321539=ADVANCED_FACE('',(#34024),#306815,.F.); #321540=ADVANCED_FACE('',(#34025),#306816,.F.); #321541=ADVANCED_FACE('',(#34026),#306817,.F.); #321542=ADVANCED_FACE('',(#34027),#306818,.F.); #321543=ADVANCED_FACE('',(#34028),#306819,.F.); #321544=ADVANCED_FACE('',(#34029),#306820,.F.); #321545=ADVANCED_FACE('',(#34030),#306821,.F.); #321546=ADVANCED_FACE('',(#34031),#306822,.F.); #321547=ADVANCED_FACE('',(#34032),#306823,.F.); #321548=ADVANCED_FACE('',(#34033),#306824,.F.); #321549=ADVANCED_FACE('',(#34034),#306825,.F.); #321550=ADVANCED_FACE('',(#34035),#306826,.F.); #321551=ADVANCED_FACE('',(#34036),#306827,.F.); #321552=ADVANCED_FACE('',(#34037),#306828,.F.); #321553=ADVANCED_FACE('',(#34038),#306829,.F.); #321554=ADVANCED_FACE('',(#34039),#306830,.F.); #321555=ADVANCED_FACE('',(#34040),#306831,.F.); #321556=ADVANCED_FACE('',(#34041),#306832,.F.); #321557=ADVANCED_FACE('',(#34042),#306833,.F.); #321558=ADVANCED_FACE('',(#34043),#306834,.F.); #321559=ADVANCED_FACE('',(#34044),#306835,.F.); #321560=ADVANCED_FACE('',(#34045),#306836,.F.); #321561=ADVANCED_FACE('',(#34046),#306837,.F.); #321562=ADVANCED_FACE('',(#34047),#306838,.F.); #321563=ADVANCED_FACE('',(#34048),#306839,.F.); #321564=ADVANCED_FACE('',(#34049),#306840,.F.); #321565=ADVANCED_FACE('',(#34050),#306841,.F.); #321566=ADVANCED_FACE('',(#34051),#306842,.F.); #321567=ADVANCED_FACE('',(#34052),#306843,.F.); #321568=ADVANCED_FACE('',(#34053),#306844,.F.); #321569=ADVANCED_FACE('',(#34054),#306845,.F.); #321570=ADVANCED_FACE('',(#34055),#306846,.F.); #321571=ADVANCED_FACE('',(#34056),#306847,.F.); #321572=ADVANCED_FACE('',(#34057),#306848,.F.); #321573=ADVANCED_FACE('',(#34058),#306849,.F.); #321574=ADVANCED_FACE('',(#34059),#306850,.F.); #321575=ADVANCED_FACE('',(#34060),#306851,.F.); #321576=ADVANCED_FACE('',(#34061),#306852,.F.); #321577=ADVANCED_FACE('',(#34062),#306853,.F.); #321578=ADVANCED_FACE('',(#34063),#306854,.F.); #321579=ADVANCED_FACE('',(#34064),#306855,.F.); #321580=ADVANCED_FACE('',(#34065),#306856,.F.); #321581=ADVANCED_FACE('',(#34066),#306857,.F.); #321582=ADVANCED_FACE('',(#34067),#306858,.F.); #321583=ADVANCED_FACE('',(#34068),#306859,.F.); #321584=ADVANCED_FACE('',(#34069),#306860,.F.); #321585=ADVANCED_FACE('',(#34070),#306861,.F.); #321586=ADVANCED_FACE('',(#34071),#306862,.F.); #321587=ADVANCED_FACE('',(#34072),#306863,.F.); #321588=ADVANCED_FACE('',(#34073),#306864,.F.); #321589=ADVANCED_FACE('',(#34074),#306865,.F.); #321590=ADVANCED_FACE('',(#34075),#306866,.F.); #321591=ADVANCED_FACE('',(#34076),#306867,.F.); #321592=ADVANCED_FACE('',(#34077),#306868,.F.); #321593=ADVANCED_FACE('',(#34078),#306869,.F.); #321594=ADVANCED_FACE('',(#34079),#306870,.F.); #321595=ADVANCED_FACE('',(#34080),#306871,.F.); #321596=ADVANCED_FACE('',(#34081),#306872,.F.); #321597=ADVANCED_FACE('',(#34082),#306873,.F.); #321598=ADVANCED_FACE('',(#34083),#306874,.F.); #321599=ADVANCED_FACE('',(#34084),#306875,.F.); #321600=ADVANCED_FACE('',(#34085),#306876,.F.); #321601=ADVANCED_FACE('',(#34086),#306877,.F.); #321602=ADVANCED_FACE('',(#34087),#306878,.F.); #321603=ADVANCED_FACE('',(#34088),#306879,.F.); #321604=ADVANCED_FACE('',(#34089),#306880,.F.); #321605=ADVANCED_FACE('',(#34090),#306881,.F.); #321606=ADVANCED_FACE('',(#34091),#306882,.F.); #321607=ADVANCED_FACE('',(#34092),#306883,.F.); #321608=ADVANCED_FACE('',(#34093),#306884,.F.); #321609=ADVANCED_FACE('',(#34094),#306885,.F.); #321610=ADVANCED_FACE('',(#34095),#306886,.F.); #321611=ADVANCED_FACE('',(#34096),#306887,.F.); #321612=ADVANCED_FACE('',(#34097),#306888,.F.); #321613=ADVANCED_FACE('',(#34098),#306889,.F.); #321614=ADVANCED_FACE('',(#34099),#306890,.F.); #321615=ADVANCED_FACE('',(#34100),#306891,.F.); #321616=ADVANCED_FACE('',(#34101),#306892,.F.); #321617=ADVANCED_FACE('',(#34102),#306893,.F.); #321618=ADVANCED_FACE('',(#34103),#306894,.F.); #321619=ADVANCED_FACE('',(#34104),#306895,.F.); #321620=ADVANCED_FACE('',(#34105),#306896,.F.); #321621=ADVANCED_FACE('',(#34106),#306897,.F.); #321622=ADVANCED_FACE('',(#34107),#306898,.F.); #321623=ADVANCED_FACE('',(#34108),#306899,.F.); #321624=ADVANCED_FACE('',(#34109),#306900,.F.); #321625=ADVANCED_FACE('',(#34110),#306901,.F.); #321626=ADVANCED_FACE('',(#34111),#306902,.F.); #321627=ADVANCED_FACE('',(#34112),#306903,.F.); #321628=ADVANCED_FACE('',(#34113),#306904,.F.); #321629=ADVANCED_FACE('',(#34114),#306905,.F.); #321630=ADVANCED_FACE('',(#34115),#306906,.F.); #321631=ADVANCED_FACE('',(#34116),#306907,.F.); #321632=ADVANCED_FACE('',(#34117),#306908,.F.); #321633=ADVANCED_FACE('',(#34118),#306909,.F.); #321634=ADVANCED_FACE('',(#34119),#306910,.F.); #321635=ADVANCED_FACE('',(#34120),#306911,.F.); #321636=ADVANCED_FACE('',(#34121),#306912,.F.); #321637=ADVANCED_FACE('',(#34122),#306913,.F.); #321638=ADVANCED_FACE('',(#34123),#306914,.F.); #321639=ADVANCED_FACE('',(#34124),#306915,.F.); #321640=ADVANCED_FACE('',(#34125),#306916,.F.); #321641=ADVANCED_FACE('',(#34126),#306917,.F.); #321642=ADVANCED_FACE('',(#34127),#306918,.F.); #321643=ADVANCED_FACE('',(#34128),#306919,.F.); #321644=ADVANCED_FACE('',(#34129),#306920,.F.); #321645=ADVANCED_FACE('',(#34130),#306921,.F.); #321646=ADVANCED_FACE('',(#34131),#306922,.F.); #321647=ADVANCED_FACE('',(#34132),#306923,.F.); #321648=ADVANCED_FACE('',(#34133),#306924,.F.); #321649=ADVANCED_FACE('',(#34134),#306925,.F.); #321650=ADVANCED_FACE('',(#34135),#306926,.F.); #321651=ADVANCED_FACE('',(#34136),#306927,.F.); #321652=ADVANCED_FACE('',(#34137),#306928,.F.); #321653=ADVANCED_FACE('',(#34138),#306929,.F.); #321654=ADVANCED_FACE('',(#34139),#306930,.F.); #321655=ADVANCED_FACE('',(#34140),#306931,.F.); #321656=ADVANCED_FACE('',(#34141),#306932,.F.); #321657=ADVANCED_FACE('',(#34142),#306933,.F.); #321658=ADVANCED_FACE('',(#34143),#306934,.F.); #321659=ADVANCED_FACE('',(#34144),#306935,.F.); #321660=ADVANCED_FACE('',(#34145),#306936,.F.); #321661=ADVANCED_FACE('',(#34146),#306937,.F.); #321662=ADVANCED_FACE('',(#34147),#306938,.F.); #321663=ADVANCED_FACE('',(#34148),#306939,.F.); #321664=ADVANCED_FACE('',(#34149),#306940,.F.); #321665=ADVANCED_FACE('',(#34150),#306941,.F.); #321666=ADVANCED_FACE('',(#34151),#18450,.F.); #321667=ADVANCED_FACE('',(#34152),#306942,.F.); #321668=ADVANCED_FACE('',(#34153),#18451,.F.); #321669=ADVANCED_FACE('',(#34154),#306943,.F.); #321670=ADVANCED_FACE('',(#34155),#306944,.F.); #321671=ADVANCED_FACE('',(#34156),#18452,.F.); #321672=ADVANCED_FACE('',(#34157),#306945,.F.); #321673=ADVANCED_FACE('',(#34158),#18453,.F.); #321674=ADVANCED_FACE('',(#34159),#306946,.F.); #321675=ADVANCED_FACE('',(#34160),#306947,.F.); #321676=ADVANCED_FACE('',(#34161),#306948,.F.); #321677=ADVANCED_FACE('',(#34162),#306949,.F.); #321678=ADVANCED_FACE('',(#34163),#18454,.F.); #321679=ADVANCED_FACE('',(#34164),#306950,.F.); #321680=ADVANCED_FACE('',(#34165),#18455,.F.); #321681=ADVANCED_FACE('',(#34166),#306951,.F.); #321682=ADVANCED_FACE('',(#34167),#306952,.F.); #321683=ADVANCED_FACE('',(#34168),#306953,.F.); #321684=ADVANCED_FACE('',(#34169),#306954,.F.); #321685=ADVANCED_FACE('',(#34170),#306955,.F.); #321686=ADVANCED_FACE('',(#34171),#306956,.F.); #321687=ADVANCED_FACE('',(#34172),#306957,.F.); #321688=ADVANCED_FACE('',(#34173),#306958,.F.); #321689=ADVANCED_FACE('',(#34174),#306959,.F.); #321690=ADVANCED_FACE('',(#34175),#306960,.F.); #321691=ADVANCED_FACE('',(#34176),#306961,.F.); #321692=ADVANCED_FACE('',(#34177),#18456,.F.); #321693=ADVANCED_FACE('',(#34178),#306962,.F.); #321694=ADVANCED_FACE('',(#34179),#18457,.F.); #321695=ADVANCED_FACE('',(#34180),#306963,.F.); #321696=ADVANCED_FACE('',(#34181),#306964,.F.); #321697=ADVANCED_FACE('',(#34182),#18458,.F.); #321698=ADVANCED_FACE('',(#34183),#306965,.F.); #321699=ADVANCED_FACE('',(#34184),#18459,.F.); #321700=ADVANCED_FACE('',(#34185),#306966,.F.); #321701=ADVANCED_FACE('',(#34186),#306967,.F.); #321702=ADVANCED_FACE('',(#34187),#18460,.F.); #321703=ADVANCED_FACE('',(#34188),#306968,.F.); #321704=ADVANCED_FACE('',(#34189),#18461,.F.); #321705=ADVANCED_FACE('',(#34190),#306969,.F.); #321706=ADVANCED_FACE('',(#34191),#306970,.F.); #321707=ADVANCED_FACE('',(#34192),#306971,.F.); #321708=ADVANCED_FACE('',(#34193),#306972,.F.); #321709=ADVANCED_FACE('',(#34194),#306973,.F.); #321710=ADVANCED_FACE('',(#34195),#306974,.F.); #321711=ADVANCED_FACE('',(#34196),#306975,.F.); #321712=ADVANCED_FACE('',(#34197),#306976,.F.); #321713=ADVANCED_FACE('',(#34198),#306977,.F.); #321714=ADVANCED_FACE('',(#34199),#306978,.F.); #321715=ADVANCED_FACE('',(#34200),#306979,.F.); #321716=ADVANCED_FACE('',(#34201),#306980,.F.); #321717=ADVANCED_FACE('',(#34202),#306981,.F.); #321718=ADVANCED_FACE('',(#34203),#306982,.F.); #321719=ADVANCED_FACE('',(#34204),#306983,.F.); #321720=ADVANCED_FACE('',(#34205),#306984,.F.); #321721=ADVANCED_FACE('',(#34206),#306985,.F.); #321722=ADVANCED_FACE('',(#34207),#306986,.F.); #321723=ADVANCED_FACE('',(#34208),#306987,.F.); #321724=ADVANCED_FACE('',(#34209),#306988,.F.); #321725=ADVANCED_FACE('',(#34210),#306989,.F.); #321726=ADVANCED_FACE('',(#34211),#306990,.F.); #321727=ADVANCED_FACE('',(#34212),#306991,.F.); #321728=ADVANCED_FACE('',(#34213),#306992,.F.); #321729=ADVANCED_FACE('',(#34214),#306993,.F.); #321730=ADVANCED_FACE('',(#34215),#306994,.F.); #321731=ADVANCED_FACE('',(#34216),#306995,.F.); #321732=ADVANCED_FACE('',(#34217),#306996,.F.); #321733=ADVANCED_FACE('',(#34218),#306997,.F.); #321734=ADVANCED_FACE('',(#34219),#306998,.F.); #321735=ADVANCED_FACE('',(#34220),#306999,.F.); #321736=ADVANCED_FACE('',(#34221),#307000,.F.); #321737=ADVANCED_FACE('',(#34222),#307001,.F.); #321738=ADVANCED_FACE('',(#34223),#307002,.F.); #321739=ADVANCED_FACE('',(#34224),#307003,.F.); #321740=ADVANCED_FACE('',(#34225),#307004,.F.); #321741=ADVANCED_FACE('',(#34226),#307005,.F.); #321742=ADVANCED_FACE('',(#34227),#307006,.F.); #321743=ADVANCED_FACE('',(#34228),#307007,.F.); #321744=ADVANCED_FACE('',(#34229),#307008,.F.); #321745=ADVANCED_FACE('',(#34230),#307009,.F.); #321746=ADVANCED_FACE('',(#34231),#307010,.F.); #321747=ADVANCED_FACE('',(#34232),#307011,.F.); #321748=ADVANCED_FACE('',(#34233),#307012,.F.); #321749=ADVANCED_FACE('',(#34234),#307013,.F.); #321750=ADVANCED_FACE('',(#34235),#307014,.F.); #321751=ADVANCED_FACE('',(#34236),#307015,.F.); #321752=ADVANCED_FACE('',(#34237),#307016,.F.); #321753=ADVANCED_FACE('',(#34238),#307017,.F.); #321754=ADVANCED_FACE('',(#34239),#307018,.F.); #321755=ADVANCED_FACE('',(#34240),#307019,.F.); #321756=ADVANCED_FACE('',(#34241),#307020,.F.); #321757=ADVANCED_FACE('',(#34242),#307021,.F.); #321758=ADVANCED_FACE('',(#34243),#307022,.F.); #321759=ADVANCED_FACE('',(#34244),#307023,.F.); #321760=ADVANCED_FACE('',(#34245),#307024,.F.); #321761=ADVANCED_FACE('',(#34246),#307025,.F.); #321762=ADVANCED_FACE('',(#34247),#307026,.F.); #321763=ADVANCED_FACE('',(#34248),#307027,.F.); #321764=ADVANCED_FACE('',(#34249),#307028,.F.); #321765=ADVANCED_FACE('',(#34250),#307029,.F.); #321766=ADVANCED_FACE('',(#34251),#307030,.F.); #321767=ADVANCED_FACE('',(#34252),#307031,.F.); #321768=ADVANCED_FACE('',(#34253),#307032,.F.); #321769=ADVANCED_FACE('',(#34254),#307033,.F.); #321770=ADVANCED_FACE('',(#34255),#307034,.F.); #321771=ADVANCED_FACE('',(#34256),#307035,.F.); #321772=ADVANCED_FACE('',(#34257),#307036,.F.); #321773=ADVANCED_FACE('',(#34258),#307037,.F.); #321774=ADVANCED_FACE('',(#34259),#307038,.F.); #321775=ADVANCED_FACE('',(#34260),#307039,.F.); #321776=ADVANCED_FACE('',(#34261),#307040,.F.); #321777=ADVANCED_FACE('',(#34262),#307041,.F.); #321778=ADVANCED_FACE('',(#34263),#307042,.F.); #321779=ADVANCED_FACE('',(#34264),#307043,.F.); #321780=ADVANCED_FACE('',(#34265),#307044,.F.); #321781=ADVANCED_FACE('',(#34266),#307045,.F.); #321782=ADVANCED_FACE('',(#34267),#307046,.F.); #321783=ADVANCED_FACE('',(#34268),#307047,.F.); #321784=ADVANCED_FACE('',(#34269),#307048,.F.); #321785=ADVANCED_FACE('',(#34270),#307049,.F.); #321786=ADVANCED_FACE('',(#34271),#307050,.F.); #321787=ADVANCED_FACE('',(#34272),#307051,.F.); #321788=ADVANCED_FACE('',(#34273),#307052,.F.); #321789=ADVANCED_FACE('',(#34274),#307053,.F.); #321790=ADVANCED_FACE('',(#34275),#307054,.F.); #321791=ADVANCED_FACE('',(#34276),#307055,.F.); #321792=ADVANCED_FACE('',(#34277),#307056,.F.); #321793=ADVANCED_FACE('',(#34278),#307057,.F.); #321794=ADVANCED_FACE('',(#34279),#307058,.F.); #321795=ADVANCED_FACE('',(#34280),#307059,.F.); #321796=ADVANCED_FACE('',(#34281),#307060,.F.); #321797=ADVANCED_FACE('',(#34282),#307061,.F.); #321798=ADVANCED_FACE('',(#34283),#307062,.F.); #321799=ADVANCED_FACE('',(#34284),#307063,.F.); #321800=ADVANCED_FACE('',(#34285),#307064,.F.); #321801=ADVANCED_FACE('',(#34286),#307065,.F.); #321802=ADVANCED_FACE('',(#34287),#307066,.F.); #321803=ADVANCED_FACE('',(#34288),#307067,.F.); #321804=ADVANCED_FACE('',(#34289),#307068,.F.); #321805=ADVANCED_FACE('',(#34290),#307069,.F.); #321806=ADVANCED_FACE('',(#34291),#307070,.F.); #321807=ADVANCED_FACE('',(#34292),#307071,.F.); #321808=ADVANCED_FACE('',(#34293),#307072,.F.); #321809=ADVANCED_FACE('',(#34294),#307073,.F.); #321810=ADVANCED_FACE('',(#34295),#307074,.F.); #321811=ADVANCED_FACE('',(#34296),#307075,.F.); #321812=ADVANCED_FACE('',(#34297),#307076,.F.); #321813=ADVANCED_FACE('',(#34298),#307077,.F.); #321814=ADVANCED_FACE('',(#34299),#307078,.F.); #321815=ADVANCED_FACE('',(#34300),#307079,.F.); #321816=ADVANCED_FACE('',(#34301),#307080,.F.); #321817=ADVANCED_FACE('',(#34302),#307081,.F.); #321818=ADVANCED_FACE('',(#34303),#307082,.F.); #321819=ADVANCED_FACE('',(#34304),#307083,.F.); #321820=ADVANCED_FACE('',(#34305),#307084,.F.); #321821=ADVANCED_FACE('',(#34306),#307085,.F.); #321822=ADVANCED_FACE('',(#34307),#307086,.F.); #321823=ADVANCED_FACE('',(#34308),#307087,.F.); #321824=ADVANCED_FACE('',(#34309),#307088,.F.); #321825=ADVANCED_FACE('',(#34310),#307089,.F.); #321826=ADVANCED_FACE('',(#34311),#307090,.F.); #321827=ADVANCED_FACE('',(#34312),#307091,.F.); #321828=ADVANCED_FACE('',(#34313),#307092,.F.); #321829=ADVANCED_FACE('',(#34314),#307093,.F.); #321830=ADVANCED_FACE('',(#34315),#307094,.F.); #321831=ADVANCED_FACE('',(#34316),#307095,.F.); #321832=ADVANCED_FACE('',(#34317),#307096,.F.); #321833=ADVANCED_FACE('',(#34318),#307097,.F.); #321834=ADVANCED_FACE('',(#34319),#307098,.F.); #321835=ADVANCED_FACE('',(#34320),#307099,.F.); #321836=ADVANCED_FACE('',(#34321),#307100,.F.); #321837=ADVANCED_FACE('',(#34322),#307101,.F.); #321838=ADVANCED_FACE('',(#34323),#307102,.T.); #321839=ADVANCED_FACE('',(#34324,#6167,#6168,#6169,#6170,#6171,#6172,#6173, #6174,#6175,#6176,#6177,#6178,#6179,#6180,#6181,#6182,#6183,#6184,#6185, #6186,#6187,#6188,#6189,#6190,#6191,#6192,#6193,#6194,#6195,#6196,#6197, #6198,#6199,#6200,#6201,#6202,#6203,#6204,#6205,#6206,#6207,#6208,#6209, #6210,#6211,#6212,#6213,#6214,#6215,#6216,#6217,#6218,#6219,#6220,#6221, #6222,#6223,#6224,#6225,#6226,#6227,#6228,#6229,#6230,#6231,#6232,#6233, #6234,#6235,#6236,#6237,#6238,#6239,#6240,#6241,#6242,#6243,#6244,#6245, #6246,#6247,#6248,#6249,#6250,#6251,#6252,#6253,#6254,#6255,#6256,#6257, #6258,#6259,#6260,#6261,#6262,#6263,#6264,#6265,#6266,#6267,#6268,#6269, #6270,#6271,#6272,#6273,#6274,#6275,#6276,#6277,#6278,#6279,#6280,#6281, #6282,#6283,#6284,#6285,#6286,#6287,#6288,#6289,#6290,#6291,#6292,#6293, #6294,#6295,#6296,#6297,#6298,#6299,#6300,#6301,#6302,#6303,#6304,#6305, #6306,#6307,#6308,#6309,#6310,#6311,#6312,#6313,#6314,#6315,#6316,#6317, #6318,#6319,#6320,#6321,#6322,#6323,#6324,#6325,#6326,#6327,#6328,#6329, #6330,#6331,#6332,#6333,#6334,#6335,#6336,#6337,#6338,#6339,#6340,#6341, #6342,#6343,#6344,#6345,#6346,#6347,#6348,#6349,#6350,#6351,#6352,#6353, #6354,#6355,#6356,#6357,#6358,#6359,#6360,#6361,#6362,#6363,#6364,#6365, #6366,#6367,#6368,#6369,#6370,#6371,#6372,#6373,#6374,#6375,#6376,#6377, #6378,#6379,#6380,#6381,#6382,#6383,#6384,#6385,#6386,#6387,#6388,#6389, #6390,#6391,#6392,#6393,#6394,#6395,#6396,#6397,#6398,#6399,#6400,#6401, #6402,#6403,#6404,#6405,#6406,#6407,#6408,#6409,#6410,#6411,#6412,#6413, #6414,#6415,#6416,#6417,#6418,#6419,#6420,#6421,#6422,#6423,#6424,#6425, #6426,#6427,#6428,#6429,#6430,#6431,#6432,#6433,#6434,#6435,#6436,#6437, #6438,#6439,#6440,#6441,#6442,#6443,#6444,#6445,#6446,#6447,#6448,#6449, #6450,#6451,#6452,#6453,#6454,#6455,#6456,#6457,#6458,#6459,#6460,#6461, #6462,#6463,#6464,#6465,#6466,#6467,#6468,#6469,#6470,#6471,#6472,#6473, #6474,#6475,#6476,#6477,#6478,#6479,#6480,#6481,#6482,#6483),#18462,.T.); #321840=ADVANCED_FACE('',(#34325,#6484,#6485,#6486,#6487,#6488,#6489,#6490, #6491,#6492,#6493,#6494,#6495,#6496,#6497,#6498,#6499,#6500,#6501,#6502, #6503,#6504,#6505,#6506,#6507,#6508,#6509,#6510,#6511,#6512,#6513,#6514, #6515,#6516,#6517,#6518,#6519,#6520,#6521,#6522,#6523,#6524,#6525,#6526, #6527,#6528,#6529,#6530,#6531,#6532,#6533,#6534,#6535,#6536,#6537,#6538, #6539,#6540,#6541,#6542,#6543,#6544,#6545,#6546,#6547,#6548,#6549,#6550, #6551,#6552,#6553,#6554,#6555,#6556,#6557,#6558,#6559,#6560,#6561,#6562, #6563,#6564,#6565,#6566,#6567,#6568,#6569,#6570,#6571,#6572,#6573,#6574, #6575,#6576,#6577,#6578,#6579,#6580,#6581,#6582,#6583,#6584,#6585,#6586, #6587,#6588,#6589,#6590,#6591,#6592,#6593,#6594,#6595,#6596,#6597,#6598, #6599,#6600,#6601,#6602,#6603,#6604,#6605,#6606,#6607,#6608,#6609,#6610, #6611,#6612,#6613,#6614,#6615,#6616,#6617,#6618,#6619,#6620,#6621,#6622, #6623,#6624,#6625,#6626,#6627,#6628,#6629,#6630,#6631,#6632,#6633,#6634, #6635,#6636,#6637,#6638,#6639,#6640,#6641,#6642,#6643,#6644,#6645,#6646, #6647,#6648,#6649,#6650,#6651,#6652,#6653,#6654,#6655,#6656,#6657,#6658, #6659,#6660,#6661,#6662,#6663,#6664,#6665,#6666,#6667,#6668,#6669,#6670, #6671,#6672,#6673,#6674,#6675,#6676,#6677,#6678,#6679,#6680,#6681,#6682, #6683,#6684,#6685,#6686,#6687,#6688,#6689,#6690,#6691,#6692,#6693,#6694, #6695,#6696,#6697,#6698,#6699,#6700,#6701,#6702,#6703,#6704,#6705,#6706, #6707,#6708,#6709,#6710,#6711,#6712,#6713,#6714,#6715,#6716,#6717,#6718, #6719,#6720,#6721,#6722,#6723,#6724,#6725,#6726,#6727,#6728,#6729,#6730, #6731,#6732,#6733,#6734,#6735,#6736,#6737,#6738,#6739,#6740,#6741,#6742, #6743,#6744,#6745,#6746,#6747,#6748,#6749,#6750,#6751,#6752,#6753,#6754, #6755,#6756,#6757,#6758,#6759,#6760,#6761,#6762,#6763,#6764,#6765,#6766, #6767,#6768,#6769,#6770,#6771,#6772,#6773,#6774,#6775,#6776,#6777,#6778, #6779,#6780,#6781,#6782,#6783,#6784,#6785,#6786,#6787,#6788,#6789,#6790, #6791,#6792,#6793,#6794,#6795,#6796,#6797,#6798,#6799,#6800),#18463,.F.); #321841=ADVANCED_FACE('',(#34326),#307103,.F.); #321842=ADVANCED_FACE('',(#34327),#307104,.F.); #321843=ADVANCED_FACE('',(#34328),#307105,.F.); #321844=ADVANCED_FACE('',(#34329),#307106,.F.); #321845=ADVANCED_FACE('',(#34330),#307107,.F.); #321846=ADVANCED_FACE('',(#34331),#307108,.F.); #321847=ADVANCED_FACE('',(#34332),#307109,.F.); #321848=ADVANCED_FACE('',(#34333),#307110,.F.); #321849=ADVANCED_FACE('',(#34334),#307111,.F.); #321850=ADVANCED_FACE('',(#34335),#307112,.F.); #321851=ADVANCED_FACE('',(#34336),#307113,.F.); #321852=ADVANCED_FACE('',(#34337),#307114,.F.); #321853=ADVANCED_FACE('',(#34338),#307115,.F.); #321854=ADVANCED_FACE('',(#34339),#307116,.F.); #321855=ADVANCED_FACE('',(#34340),#307117,.F.); #321856=ADVANCED_FACE('',(#34341),#307118,.F.); #321857=ADVANCED_FACE('',(#34342),#307119,.F.); #321858=ADVANCED_FACE('',(#34343),#307120,.F.); #321859=ADVANCED_FACE('',(#34344),#307121,.F.); #321860=ADVANCED_FACE('',(#34345),#307122,.F.); #321861=ADVANCED_FACE('',(#34346),#307123,.F.); #321862=ADVANCED_FACE('',(#34347),#307124,.F.); #321863=ADVANCED_FACE('',(#34348),#307125,.F.); #321864=ADVANCED_FACE('',(#34349),#307126,.F.); #321865=ADVANCED_FACE('',(#34350),#307127,.F.); #321866=ADVANCED_FACE('',(#34351),#307128,.F.); #321867=ADVANCED_FACE('',(#34352),#307129,.F.); #321868=ADVANCED_FACE('',(#34353),#307130,.F.); #321869=ADVANCED_FACE('',(#34354),#307131,.F.); #321870=ADVANCED_FACE('',(#34355),#307132,.F.); #321871=ADVANCED_FACE('',(#34356),#307133,.F.); #321872=ADVANCED_FACE('',(#34357),#307134,.F.); #321873=ADVANCED_FACE('',(#34358),#307135,.F.); #321874=ADVANCED_FACE('',(#34359),#307136,.F.); #321875=ADVANCED_FACE('',(#34360),#307137,.F.); #321876=ADVANCED_FACE('',(#34361),#307138,.F.); #321877=ADVANCED_FACE('',(#34362),#307139,.F.); #321878=ADVANCED_FACE('',(#34363),#307140,.F.); #321879=ADVANCED_FACE('',(#34364),#307141,.F.); #321880=ADVANCED_FACE('',(#34365),#307142,.F.); #321881=ADVANCED_FACE('',(#34366),#307143,.F.); #321882=ADVANCED_FACE('',(#34367),#307144,.F.); #321883=ADVANCED_FACE('',(#34368),#307145,.F.); #321884=ADVANCED_FACE('',(#34369),#307146,.F.); #321885=ADVANCED_FACE('',(#34370),#307147,.F.); #321886=ADVANCED_FACE('',(#34371),#307148,.F.); #321887=ADVANCED_FACE('',(#34372),#307149,.F.); #321888=ADVANCED_FACE('',(#34373),#307150,.F.); #321889=ADVANCED_FACE('',(#34374),#307151,.F.); #321890=ADVANCED_FACE('',(#34375),#307152,.F.); #321891=ADVANCED_FACE('',(#34376),#307153,.F.); #321892=ADVANCED_FACE('',(#34377),#307154,.F.); #321893=ADVANCED_FACE('',(#34378),#307155,.F.); #321894=ADVANCED_FACE('',(#34379),#307156,.F.); #321895=ADVANCED_FACE('',(#34380),#307157,.F.); #321896=ADVANCED_FACE('',(#34381),#307158,.F.); #321897=ADVANCED_FACE('',(#34382),#307159,.F.); #321898=ADVANCED_FACE('',(#34383),#307160,.F.); #321899=ADVANCED_FACE('',(#34384),#307161,.F.); #321900=ADVANCED_FACE('',(#34385),#307162,.F.); #321901=ADVANCED_FACE('',(#34386),#307163,.F.); #321902=ADVANCED_FACE('',(#34387),#307164,.F.); #321903=ADVANCED_FACE('',(#34388),#307165,.F.); #321904=ADVANCED_FACE('',(#34389),#307166,.F.); #321905=ADVANCED_FACE('',(#34390),#307167,.F.); #321906=ADVANCED_FACE('',(#34391),#307168,.F.); #321907=ADVANCED_FACE('',(#34392),#307169,.F.); #321908=ADVANCED_FACE('',(#34393),#307170,.F.); #321909=ADVANCED_FACE('',(#34394),#307171,.F.); #321910=ADVANCED_FACE('',(#34395),#307172,.F.); #321911=ADVANCED_FACE('',(#34396),#307173,.F.); #321912=ADVANCED_FACE('',(#34397),#307174,.F.); #321913=ADVANCED_FACE('',(#34398),#307175,.F.); #321914=ADVANCED_FACE('',(#34399),#307176,.F.); #321915=ADVANCED_FACE('',(#34400),#307177,.F.); #321916=ADVANCED_FACE('',(#34401),#307178,.F.); #321917=ADVANCED_FACE('',(#34402),#307179,.F.); #321918=ADVANCED_FACE('',(#34403),#307180,.F.); #321919=ADVANCED_FACE('',(#34404),#307181,.F.); #321920=ADVANCED_FACE('',(#34405),#307182,.F.); #321921=ADVANCED_FACE('',(#34406),#307183,.F.); #321922=ADVANCED_FACE('',(#34407),#307184,.F.); #321923=ADVANCED_FACE('',(#34408),#307185,.F.); #321924=ADVANCED_FACE('',(#34409),#307186,.F.); #321925=ADVANCED_FACE('',(#34410),#307187,.F.); #321926=ADVANCED_FACE('',(#34411),#307188,.F.); #321927=ADVANCED_FACE('',(#34412),#307189,.F.); #321928=ADVANCED_FACE('',(#34413),#307190,.F.); #321929=ADVANCED_FACE('',(#34414),#307191,.F.); #321930=ADVANCED_FACE('',(#34415),#307192,.F.); #321931=ADVANCED_FACE('',(#34416),#307193,.F.); #321932=ADVANCED_FACE('',(#34417),#307194,.F.); #321933=ADVANCED_FACE('',(#34418),#307195,.F.); #321934=ADVANCED_FACE('',(#34419),#307196,.F.); #321935=ADVANCED_FACE('',(#34420),#307197,.F.); #321936=ADVANCED_FACE('',(#34421),#307198,.F.); #321937=ADVANCED_FACE('',(#34422),#307199,.F.); #321938=ADVANCED_FACE('',(#34423),#307200,.F.); #321939=ADVANCED_FACE('',(#34424),#307201,.F.); #321940=ADVANCED_FACE('',(#34425),#307202,.F.); #321941=ADVANCED_FACE('',(#34426),#307203,.F.); #321942=ADVANCED_FACE('',(#34427),#307204,.F.); #321943=ADVANCED_FACE('',(#34428),#307205,.F.); #321944=ADVANCED_FACE('',(#34429),#307206,.F.); #321945=ADVANCED_FACE('',(#34430),#307207,.F.); #321946=ADVANCED_FACE('',(#34431),#307208,.F.); #321947=ADVANCED_FACE('',(#34432),#307209,.F.); #321948=ADVANCED_FACE('',(#34433),#307210,.F.); #321949=ADVANCED_FACE('',(#34434),#307211,.F.); #321950=ADVANCED_FACE('',(#34435),#307212,.F.); #321951=ADVANCED_FACE('',(#34436),#307213,.F.); #321952=ADVANCED_FACE('',(#34437),#307214,.F.); #321953=ADVANCED_FACE('',(#34438),#307215,.F.); #321954=ADVANCED_FACE('',(#34439),#307216,.F.); #321955=ADVANCED_FACE('',(#34440),#307217,.F.); #321956=ADVANCED_FACE('',(#34441),#307218,.F.); #321957=ADVANCED_FACE('',(#34442),#307219,.F.); #321958=ADVANCED_FACE('',(#34443),#307220,.F.); #321959=ADVANCED_FACE('',(#34444),#307221,.F.); #321960=ADVANCED_FACE('',(#34445),#307222,.F.); #321961=ADVANCED_FACE('',(#34446),#307223,.F.); #321962=ADVANCED_FACE('',(#34447),#307224,.F.); #321963=ADVANCED_FACE('',(#34448),#307225,.F.); #321964=ADVANCED_FACE('',(#34449),#307226,.F.); #321965=ADVANCED_FACE('',(#34450),#307227,.F.); #321966=ADVANCED_FACE('',(#34451),#307228,.F.); #321967=ADVANCED_FACE('',(#34452),#307229,.F.); #321968=ADVANCED_FACE('',(#34453),#307230,.F.); #321969=ADVANCED_FACE('',(#34454),#307231,.F.); #321970=ADVANCED_FACE('',(#34455),#307232,.F.); #321971=ADVANCED_FACE('',(#34456),#307233,.F.); #321972=ADVANCED_FACE('',(#34457),#307234,.F.); #321973=ADVANCED_FACE('',(#34458),#307235,.F.); #321974=ADVANCED_FACE('',(#34459),#307236,.F.); #321975=ADVANCED_FACE('',(#34460),#307237,.F.); #321976=ADVANCED_FACE('',(#34461),#307238,.F.); #321977=ADVANCED_FACE('',(#34462),#307239,.F.); #321978=ADVANCED_FACE('',(#34463),#307240,.F.); #321979=ADVANCED_FACE('',(#34464),#307241,.F.); #321980=ADVANCED_FACE('',(#34465),#307242,.F.); #321981=ADVANCED_FACE('',(#34466),#307243,.F.); #321982=ADVANCED_FACE('',(#34467),#307244,.F.); #321983=ADVANCED_FACE('',(#34468),#307245,.F.); #321984=ADVANCED_FACE('',(#34469),#307246,.F.); #321985=ADVANCED_FACE('',(#34470),#307247,.F.); #321986=ADVANCED_FACE('',(#34471),#307248,.F.); #321987=ADVANCED_FACE('',(#34472),#307249,.F.); #321988=ADVANCED_FACE('',(#34473),#307250,.F.); #321989=ADVANCED_FACE('',(#34474),#307251,.F.); #321990=ADVANCED_FACE('',(#34475),#307252,.F.); #321991=ADVANCED_FACE('',(#34476),#307253,.F.); #321992=ADVANCED_FACE('',(#34477),#307254,.F.); #321993=ADVANCED_FACE('',(#34478),#307255,.F.); #321994=ADVANCED_FACE('',(#34479),#307256,.F.); #321995=ADVANCED_FACE('',(#34480),#307257,.F.); #321996=ADVANCED_FACE('',(#34481),#307258,.F.); #321997=ADVANCED_FACE('',(#34482),#307259,.F.); #321998=ADVANCED_FACE('',(#34483),#307260,.F.); #321999=ADVANCED_FACE('',(#34484),#307261,.F.); #322000=ADVANCED_FACE('',(#34485),#307262,.F.); #322001=ADVANCED_FACE('',(#34486),#307263,.F.); #322002=ADVANCED_FACE('',(#34487),#307264,.F.); #322003=ADVANCED_FACE('',(#34488),#307265,.F.); #322004=ADVANCED_FACE('',(#34489),#18464,.F.); #322005=ADVANCED_FACE('',(#34490),#307266,.F.); #322006=ADVANCED_FACE('',(#34491),#18465,.F.); #322007=ADVANCED_FACE('',(#34492),#307267,.F.); #322008=ADVANCED_FACE('',(#34493),#307268,.F.); #322009=ADVANCED_FACE('',(#34494),#18466,.F.); #322010=ADVANCED_FACE('',(#34495),#307269,.F.); #322011=ADVANCED_FACE('',(#34496),#18467,.F.); #322012=ADVANCED_FACE('',(#34497),#307270,.F.); #322013=ADVANCED_FACE('',(#34498),#307271,.F.); #322014=ADVANCED_FACE('',(#34499),#307272,.F.); #322015=ADVANCED_FACE('',(#34500),#307273,.F.); #322016=ADVANCED_FACE('',(#34501),#18468,.F.); #322017=ADVANCED_FACE('',(#34502),#307274,.F.); #322018=ADVANCED_FACE('',(#34503),#18469,.F.); #322019=ADVANCED_FACE('',(#34504),#307275,.F.); #322020=ADVANCED_FACE('',(#34505),#307276,.F.); #322021=ADVANCED_FACE('',(#34506),#307277,.F.); #322022=ADVANCED_FACE('',(#34507),#307278,.F.); #322023=ADVANCED_FACE('',(#34508),#307279,.F.); #322024=ADVANCED_FACE('',(#34509),#307280,.F.); #322025=ADVANCED_FACE('',(#34510),#307281,.F.); #322026=ADVANCED_FACE('',(#34511),#307282,.F.); #322027=ADVANCED_FACE('',(#34512),#307283,.F.); #322028=ADVANCED_FACE('',(#34513),#307284,.F.); #322029=ADVANCED_FACE('',(#34514),#307285,.F.); #322030=ADVANCED_FACE('',(#34515),#18470,.F.); #322031=ADVANCED_FACE('',(#34516),#307286,.F.); #322032=ADVANCED_FACE('',(#34517),#18471,.F.); #322033=ADVANCED_FACE('',(#34518),#307287,.F.); #322034=ADVANCED_FACE('',(#34519),#307288,.F.); #322035=ADVANCED_FACE('',(#34520),#18472,.F.); #322036=ADVANCED_FACE('',(#34521),#307289,.F.); #322037=ADVANCED_FACE('',(#34522),#18473,.F.); #322038=ADVANCED_FACE('',(#34523),#307290,.F.); #322039=ADVANCED_FACE('',(#34524),#307291,.F.); #322040=ADVANCED_FACE('',(#34525),#18474,.F.); #322041=ADVANCED_FACE('',(#34526),#307292,.F.); #322042=ADVANCED_FACE('',(#34527),#18475,.F.); #322043=ADVANCED_FACE('',(#34528),#307293,.F.); #322044=ADVANCED_FACE('',(#34529),#307294,.F.); #322045=ADVANCED_FACE('',(#34530),#307295,.F.); #322046=ADVANCED_FACE('',(#34531),#307296,.F.); #322047=ADVANCED_FACE('',(#34532),#307297,.F.); #322048=ADVANCED_FACE('',(#34533),#307298,.F.); #322049=ADVANCED_FACE('',(#34534),#307299,.F.); #322050=ADVANCED_FACE('',(#34535),#307300,.F.); #322051=ADVANCED_FACE('',(#34536),#307301,.F.); #322052=ADVANCED_FACE('',(#34537),#307302,.F.); #322053=ADVANCED_FACE('',(#34538),#307303,.F.); #322054=ADVANCED_FACE('',(#34539),#307304,.F.); #322055=ADVANCED_FACE('',(#34540),#307305,.F.); #322056=ADVANCED_FACE('',(#34541),#307306,.F.); #322057=ADVANCED_FACE('',(#34542),#307307,.F.); #322058=ADVANCED_FACE('',(#34543),#307308,.F.); #322059=ADVANCED_FACE('',(#34544),#307309,.F.); #322060=ADVANCED_FACE('',(#34545),#307310,.F.); #322061=ADVANCED_FACE('',(#34546),#307311,.F.); #322062=ADVANCED_FACE('',(#34547),#307312,.F.); #322063=ADVANCED_FACE('',(#34548),#307313,.F.); #322064=ADVANCED_FACE('',(#34549),#307314,.F.); #322065=ADVANCED_FACE('',(#34550),#307315,.F.); #322066=ADVANCED_FACE('',(#34551),#307316,.F.); #322067=ADVANCED_FACE('',(#34552),#307317,.F.); #322068=ADVANCED_FACE('',(#34553),#307318,.F.); #322069=ADVANCED_FACE('',(#34554),#307319,.F.); #322070=ADVANCED_FACE('',(#34555),#307320,.F.); #322071=ADVANCED_FACE('',(#34556),#307321,.F.); #322072=ADVANCED_FACE('',(#34557),#307322,.F.); #322073=ADVANCED_FACE('',(#34558),#307323,.F.); #322074=ADVANCED_FACE('',(#34559),#307324,.F.); #322075=ADVANCED_FACE('',(#34560),#307325,.F.); #322076=ADVANCED_FACE('',(#34561),#307326,.F.); #322077=ADVANCED_FACE('',(#34562),#307327,.F.); #322078=ADVANCED_FACE('',(#34563),#307328,.F.); #322079=ADVANCED_FACE('',(#34564),#307329,.F.); #322080=ADVANCED_FACE('',(#34565),#307330,.F.); #322081=ADVANCED_FACE('',(#34566),#307331,.F.); #322082=ADVANCED_FACE('',(#34567),#307332,.F.); #322083=ADVANCED_FACE('',(#34568),#307333,.F.); #322084=ADVANCED_FACE('',(#34569),#307334,.F.); #322085=ADVANCED_FACE('',(#34570),#307335,.F.); #322086=ADVANCED_FACE('',(#34571),#307336,.F.); #322087=ADVANCED_FACE('',(#34572),#307337,.F.); #322088=ADVANCED_FACE('',(#34573),#307338,.F.); #322089=ADVANCED_FACE('',(#34574),#307339,.F.); #322090=ADVANCED_FACE('',(#34575),#307340,.F.); #322091=ADVANCED_FACE('',(#34576),#307341,.F.); #322092=ADVANCED_FACE('',(#34577),#307342,.F.); #322093=ADVANCED_FACE('',(#34578),#307343,.F.); #322094=ADVANCED_FACE('',(#34579),#307344,.F.); #322095=ADVANCED_FACE('',(#34580),#307345,.F.); #322096=ADVANCED_FACE('',(#34581),#307346,.F.); #322097=ADVANCED_FACE('',(#34582),#307347,.F.); #322098=ADVANCED_FACE('',(#34583),#307348,.F.); #322099=ADVANCED_FACE('',(#34584),#307349,.F.); #322100=ADVANCED_FACE('',(#34585),#307350,.F.); #322101=ADVANCED_FACE('',(#34586),#307351,.F.); #322102=ADVANCED_FACE('',(#34587),#307352,.F.); #322103=ADVANCED_FACE('',(#34588),#307353,.F.); #322104=ADVANCED_FACE('',(#34589),#307354,.F.); #322105=ADVANCED_FACE('',(#34590),#307355,.F.); #322106=ADVANCED_FACE('',(#34591),#307356,.F.); #322107=ADVANCED_FACE('',(#34592),#307357,.F.); #322108=ADVANCED_FACE('',(#34593),#307358,.F.); #322109=ADVANCED_FACE('',(#34594),#307359,.F.); #322110=ADVANCED_FACE('',(#34595),#307360,.F.); #322111=ADVANCED_FACE('',(#34596),#307361,.F.); #322112=ADVANCED_FACE('',(#34597),#307362,.F.); #322113=ADVANCED_FACE('',(#34598),#307363,.F.); #322114=ADVANCED_FACE('',(#34599),#307364,.F.); #322115=ADVANCED_FACE('',(#34600),#307365,.F.); #322116=ADVANCED_FACE('',(#34601),#307366,.F.); #322117=ADVANCED_FACE('',(#34602),#307367,.F.); #322118=ADVANCED_FACE('',(#34603),#307368,.F.); #322119=ADVANCED_FACE('',(#34604),#307369,.F.); #322120=ADVANCED_FACE('',(#34605),#307370,.F.); #322121=ADVANCED_FACE('',(#34606),#307371,.F.); #322122=ADVANCED_FACE('',(#34607),#307372,.F.); #322123=ADVANCED_FACE('',(#34608),#307373,.F.); #322124=ADVANCED_FACE('',(#34609),#307374,.F.); #322125=ADVANCED_FACE('',(#34610),#307375,.F.); #322126=ADVANCED_FACE('',(#34611),#307376,.F.); #322127=ADVANCED_FACE('',(#34612),#307377,.F.); #322128=ADVANCED_FACE('',(#34613),#307378,.F.); #322129=ADVANCED_FACE('',(#34614),#307379,.F.); #322130=ADVANCED_FACE('',(#34615),#307380,.F.); #322131=ADVANCED_FACE('',(#34616),#307381,.F.); #322132=ADVANCED_FACE('',(#34617),#307382,.F.); #322133=ADVANCED_FACE('',(#34618),#307383,.F.); #322134=ADVANCED_FACE('',(#34619),#307384,.F.); #322135=ADVANCED_FACE('',(#34620),#307385,.F.); #322136=ADVANCED_FACE('',(#34621),#307386,.F.); #322137=ADVANCED_FACE('',(#34622),#307387,.F.); #322138=ADVANCED_FACE('',(#34623),#307388,.F.); #322139=ADVANCED_FACE('',(#34624),#307389,.F.); #322140=ADVANCED_FACE('',(#34625),#307390,.F.); #322141=ADVANCED_FACE('',(#34626),#307391,.F.); #322142=ADVANCED_FACE('',(#34627),#307392,.F.); #322143=ADVANCED_FACE('',(#34628),#307393,.F.); #322144=ADVANCED_FACE('',(#34629),#307394,.F.); #322145=ADVANCED_FACE('',(#34630),#307395,.F.); #322146=ADVANCED_FACE('',(#34631),#307396,.F.); #322147=ADVANCED_FACE('',(#34632),#307397,.F.); #322148=ADVANCED_FACE('',(#34633),#307398,.F.); #322149=ADVANCED_FACE('',(#34634),#307399,.F.); #322150=ADVANCED_FACE('',(#34635),#307400,.F.); #322151=ADVANCED_FACE('',(#34636),#307401,.F.); #322152=ADVANCED_FACE('',(#34637),#307402,.F.); #322153=ADVANCED_FACE('',(#34638),#307403,.F.); #322154=ADVANCED_FACE('',(#34639),#307404,.F.); #322155=ADVANCED_FACE('',(#34640),#307405,.F.); #322156=ADVANCED_FACE('',(#34641),#307406,.F.); #322157=ADVANCED_FACE('',(#34642),#307407,.F.); #322158=ADVANCED_FACE('',(#34643),#307408,.F.); #322159=ADVANCED_FACE('',(#34644),#307409,.F.); #322160=ADVANCED_FACE('',(#34645),#307410,.F.); #322161=ADVANCED_FACE('',(#34646),#307411,.F.); #322162=ADVANCED_FACE('',(#34647),#307412,.F.); #322163=ADVANCED_FACE('',(#34648),#307413,.F.); #322164=ADVANCED_FACE('',(#34649),#307414,.F.); #322165=ADVANCED_FACE('',(#34650),#307415,.F.); #322166=ADVANCED_FACE('',(#34651),#307416,.F.); #322167=ADVANCED_FACE('',(#34652),#307417,.F.); #322168=ADVANCED_FACE('',(#34653),#307418,.F.); #322169=ADVANCED_FACE('',(#34654),#307419,.F.); #322170=ADVANCED_FACE('',(#34655),#307420,.F.); #322171=ADVANCED_FACE('',(#34656),#307421,.F.); #322172=ADVANCED_FACE('',(#34657),#307422,.F.); #322173=ADVANCED_FACE('',(#34658),#307423,.F.); #322174=ADVANCED_FACE('',(#34659),#307424,.F.); #322175=ADVANCED_FACE('',(#34660),#307425,.F.); #322176=ADVANCED_FACE('',(#34661),#307426,.T.); #322177=ADVANCED_FACE('',(#34662,#6801,#6802,#6803,#6804,#6805,#6806,#6807, #6808,#6809,#6810,#6811,#6812,#6813,#6814,#6815,#6816,#6817,#6818,#6819, #6820,#6821,#6822,#6823,#6824,#6825,#6826,#6827,#6828,#6829,#6830,#6831, #6832,#6833,#6834,#6835,#6836,#6837,#6838,#6839,#6840,#6841,#6842,#6843, #6844,#6845,#6846,#6847,#6848,#6849,#6850,#6851,#6852,#6853,#6854,#6855, #6856,#6857,#6858,#6859,#6860,#6861,#6862,#6863,#6864,#6865,#6866,#6867, #6868,#6869,#6870,#6871,#6872,#6873,#6874,#6875,#6876,#6877,#6878,#6879, #6880,#6881,#6882,#6883,#6884,#6885,#6886,#6887,#6888,#6889,#6890,#6891, #6892,#6893,#6894,#6895,#6896,#6897,#6898,#6899,#6900,#6901,#6902,#6903, #6904,#6905,#6906,#6907,#6908,#6909,#6910,#6911,#6912,#6913,#6914,#6915, #6916,#6917,#6918,#6919,#6920,#6921,#6922,#6923,#6924,#6925,#6926,#6927, #6928,#6929,#6930,#6931,#6932,#6933,#6934,#6935,#6936,#6937,#6938,#6939, #6940,#6941,#6942,#6943,#6944,#6945,#6946,#6947,#6948,#6949,#6950,#6951, #6952,#6953,#6954,#6955,#6956,#6957,#6958,#6959,#6960,#6961,#6962,#6963, #6964,#6965,#6966,#6967,#6968,#6969,#6970,#6971,#6972,#6973,#6974,#6975, #6976,#6977,#6978,#6979,#6980,#6981,#6982,#6983,#6984,#6985,#6986,#6987, #6988,#6989,#6990,#6991,#6992,#6993,#6994,#6995,#6996,#6997,#6998,#6999, #7000,#7001,#7002,#7003,#7004,#7005,#7006,#7007,#7008,#7009,#7010,#7011, #7012,#7013,#7014,#7015,#7016,#7017,#7018,#7019,#7020,#7021,#7022,#7023, #7024,#7025,#7026,#7027,#7028,#7029,#7030,#7031,#7032,#7033,#7034,#7035, #7036,#7037,#7038,#7039,#7040,#7041,#7042,#7043,#7044,#7045,#7046,#7047, #7048,#7049,#7050,#7051,#7052,#7053,#7054,#7055,#7056,#7057,#7058,#7059, #7060,#7061,#7062,#7063,#7064,#7065,#7066,#7067,#7068,#7069,#7070,#7071, #7072,#7073,#7074,#7075,#7076,#7077,#7078,#7079,#7080,#7081,#7082,#7083, #7084,#7085,#7086,#7087,#7088,#7089,#7090,#7091,#7092,#7093,#7094,#7095, #7096,#7097,#7098,#7099,#7100,#7101,#7102,#7103,#7104,#7105,#7106,#7107, #7108,#7109,#7110,#7111,#7112,#7113,#7114,#7115,#7116,#7117),#18476,.T.); #322178=ADVANCED_FACE('',(#34663,#7118,#7119,#7120,#7121,#7122,#7123,#7124, #7125,#7126,#7127,#7128,#7129,#7130,#7131,#7132,#7133,#7134,#7135,#7136, #7137,#7138,#7139,#7140,#7141,#7142,#7143,#7144,#7145,#7146,#7147,#7148, #7149,#7150,#7151,#7152,#7153,#7154,#7155,#7156,#7157,#7158,#7159,#7160, #7161,#7162,#7163,#7164,#7165,#7166,#7167,#7168,#7169,#7170,#7171,#7172, #7173,#7174,#7175,#7176,#7177,#7178,#7179,#7180,#7181,#7182,#7183,#7184, #7185,#7186,#7187,#7188,#7189,#7190,#7191,#7192,#7193,#7194,#7195,#7196, #7197,#7198,#7199,#7200,#7201,#7202,#7203,#7204,#7205,#7206,#7207,#7208, #7209,#7210,#7211,#7212,#7213,#7214,#7215,#7216,#7217,#7218,#7219,#7220, #7221,#7222,#7223,#7224,#7225,#7226,#7227,#7228,#7229,#7230,#7231,#7232, #7233,#7234,#7235,#7236,#7237,#7238,#7239,#7240,#7241,#7242,#7243,#7244, #7245,#7246,#7247,#7248,#7249,#7250,#7251,#7252,#7253,#7254,#7255,#7256, #7257,#7258,#7259,#7260,#7261,#7262,#7263,#7264,#7265,#7266,#7267,#7268, #7269,#7270,#7271,#7272,#7273,#7274,#7275,#7276,#7277,#7278,#7279,#7280, #7281,#7282,#7283,#7284,#7285,#7286,#7287,#7288,#7289,#7290,#7291,#7292, #7293,#7294,#7295,#7296,#7297,#7298,#7299,#7300,#7301,#7302,#7303,#7304, #7305,#7306,#7307,#7308,#7309,#7310,#7311,#7312,#7313,#7314,#7315,#7316, #7317,#7318,#7319,#7320,#7321,#7322,#7323,#7324,#7325,#7326,#7327,#7328, #7329,#7330,#7331,#7332,#7333,#7334,#7335,#7336,#7337,#7338,#7339,#7340, #7341,#7342,#7343,#7344,#7345,#7346,#7347,#7348,#7349,#7350,#7351,#7352, #7353,#7354,#7355,#7356,#7357,#7358,#7359,#7360,#7361,#7362,#7363,#7364, #7365,#7366,#7367,#7368,#7369,#7370,#7371,#7372,#7373,#7374,#7375,#7376, #7377,#7378,#7379,#7380,#7381,#7382,#7383,#7384,#7385,#7386,#7387,#7388, #7389,#7390,#7391,#7392,#7393,#7394,#7395,#7396,#7397,#7398,#7399,#7400, #7401,#7402,#7403,#7404,#7405,#7406,#7407,#7408,#7409,#7410,#7411,#7412, #7413,#7414,#7415,#7416,#7417,#7418,#7419,#7420,#7421,#7422,#7423,#7424, #7425,#7426,#7427,#7428,#7429,#7430,#7431,#7432,#7433,#7434),#18477,.F.); #322179=ADVANCED_FACE('',(#34664),#18478,.T.); #322180=ADVANCED_FACE('',(#34665),#18479,.F.); #322181=ADVANCED_FACE('',(#34666),#18480,.F.); #322182=ADVANCED_FACE('',(#34667),#18481,.T.); #322183=ADVANCED_FACE('',(#34668),#18482,.T.); #322184=ADVANCED_FACE('',(#34669),#18483,.F.); #322185=ADVANCED_FACE('',(#34670,#7435,#7436,#7437,#7438),#18484,.F.); #322186=ADVANCED_FACE('',(#34671,#7439),#18485,.F.); #322187=ADVANCED_FACE('',(#34672,#7440),#18486,.F.); #322188=ADVANCED_FACE('',(#34673,#7441),#18487,.F.); #322189=ADVANCED_FACE('',(#34674),#18488,.F.); #322190=ADVANCED_FACE('',(#34675),#18489,.F.); #322191=ADVANCED_FACE('',(#34676,#7442),#18490,.F.); #322192=ADVANCED_FACE('',(#34677),#18491,.F.); #322193=ADVANCED_FACE('',(#34678),#18492,.F.); #322194=ADVANCED_FACE('',(#34679),#18493,.F.); #322195=ADVANCED_FACE('',(#34680),#18494,.F.); #322196=ADVANCED_FACE('',(#34681),#18495,.T.); #322197=ADVANCED_FACE('',(#34682),#18496,.T.); #322198=ADVANCED_FACE('',(#34683),#18497,.T.); #322199=ADVANCED_FACE('',(#34684),#18498,.F.); #322200=ADVANCED_FACE('',(#34685),#18499,.F.); #322201=ADVANCED_FACE('',(#34686),#18500,.F.); #322202=ADVANCED_FACE('',(#34687),#18501,.F.); #322203=ADVANCED_FACE('',(#34688),#18502,.F.); #322204=ADVANCED_FACE('',(#34689),#18503,.T.); #322205=ADVANCED_FACE('',(#34690),#18504,.T.); #322206=ADVANCED_FACE('',(#34691),#18505,.F.); #322207=ADVANCED_FACE('',(#34692),#18506,.T.); #322208=ADVANCED_FACE('',(#34693),#18507,.F.); #322209=ADVANCED_FACE('',(#34694),#18508,.F.); #322210=ADVANCED_FACE('',(#34695),#18509,.T.); #322211=ADVANCED_FACE('',(#34696),#18510,.F.); #322212=ADVANCED_FACE('',(#34697),#18511,.T.); #322213=ADVANCED_FACE('',(#34698),#18512,.T.); #322214=ADVANCED_FACE('',(#34699),#18513,.F.); #322215=ADVANCED_FACE('',(#34700),#18514,.T.); #322216=ADVANCED_FACE('',(#34701),#18515,.F.); #322217=ADVANCED_FACE('',(#34702),#18516,.T.); #322218=ADVANCED_FACE('',(#34703),#18517,.T.); #322219=ADVANCED_FACE('',(#34704),#18518,.T.); #322220=ADVANCED_FACE('',(#34705),#18519,.F.); #322221=ADVANCED_FACE('',(#34706),#18520,.F.); #322222=ADVANCED_FACE('',(#34707),#18521,.F.); #322223=ADVANCED_FACE('',(#34708),#18522,.F.); #322224=ADVANCED_FACE('',(#34709),#18523,.F.); #322225=ADVANCED_FACE('',(#34710),#18524,.F.); #322226=ADVANCED_FACE('',(#34711),#18525,.F.); #322227=ADVANCED_FACE('',(#34712),#18526,.F.); #322228=ADVANCED_FACE('',(#34713),#18527,.T.); #322229=ADVANCED_FACE('',(#34714),#18528,.T.); #322230=ADVANCED_FACE('',(#34715),#18529,.F.); #322231=ADVANCED_FACE('',(#34716),#18530,.T.); #322232=ADVANCED_FACE('',(#34717),#18531,.F.); #322233=ADVANCED_FACE('',(#34718),#18532,.F.); #322234=ADVANCED_FACE('',(#34719),#18533,.T.); #322235=ADVANCED_FACE('',(#34720),#18534,.F.); #322236=ADVANCED_FACE('',(#34721),#18535,.T.); #322237=ADVANCED_FACE('',(#34722),#18536,.T.); #322238=ADVANCED_FACE('',(#34723),#18537,.T.); #322239=ADVANCED_FACE('',(#34724),#18538,.T.); #322240=ADVANCED_FACE('',(#34725),#18539,.F.); #322241=ADVANCED_FACE('',(#34726),#18540,.T.); #322242=ADVANCED_FACE('',(#34727),#18541,.F.); #322243=ADVANCED_FACE('',(#34728),#18542,.F.); #322244=ADVANCED_FACE('',(#34729),#18543,.T.); #322245=ADVANCED_FACE('',(#34730),#18544,.F.); #322246=ADVANCED_FACE('',(#34731),#18545,.T.); #322247=ADVANCED_FACE('',(#34732),#18546,.T.); #322248=ADVANCED_FACE('',(#34733),#18547,.T.); #322249=ADVANCED_FACE('',(#34734),#18548,.T.); #322250=ADVANCED_FACE('',(#34735),#18549,.F.); #322251=ADVANCED_FACE('',(#34736),#18550,.T.); #322252=ADVANCED_FACE('',(#34737),#18551,.F.); #322253=ADVANCED_FACE('',(#34738),#18552,.F.); #322254=ADVANCED_FACE('',(#34739),#18553,.T.); #322255=ADVANCED_FACE('',(#34740),#18554,.F.); #322256=ADVANCED_FACE('',(#34741),#18555,.T.); #322257=ADVANCED_FACE('',(#34742),#18556,.T.); #322258=ADVANCED_FACE('',(#34743),#18557,.F.); #322259=ADVANCED_FACE('',(#34744),#18558,.T.); #322260=ADVANCED_FACE('',(#34745),#18559,.F.); #322261=ADVANCED_FACE('',(#34746),#18560,.T.); #322262=ADVANCED_FACE('',(#34747),#18561,.T.); #322263=ADVANCED_FACE('',(#34748),#18562,.T.); #322264=ADVANCED_FACE('',(#34749),#18563,.F.); #322265=ADVANCED_FACE('',(#34750),#18564,.F.); #322266=ADVANCED_FACE('',(#34751),#18565,.F.); #322267=ADVANCED_FACE('',(#34752),#18566,.T.); #322268=ADVANCED_FACE('',(#34753),#18567,.F.); #322269=ADVANCED_FACE('',(#34754),#18568,.T.); #322270=ADVANCED_FACE('',(#34755),#18569,.T.); #322271=ADVANCED_FACE('',(#34756),#18570,.T.); #322272=ADVANCED_FACE('',(#34757),#18571,.F.); #322273=ADVANCED_FACE('',(#34758),#18572,.F.); #322274=ADVANCED_FACE('',(#34759),#18573,.F.); #322275=ADVANCED_FACE('',(#34760),#18574,.T.); #322276=ADVANCED_FACE('',(#34761),#18575,.F.); #322277=ADVANCED_FACE('',(#34762),#18576,.T.); #322278=ADVANCED_FACE('',(#34763),#18577,.T.); #322279=ADVANCED_FACE('',(#34764),#18578,.T.); #322280=ADVANCED_FACE('',(#34765),#18579,.F.); #322281=ADVANCED_FACE('',(#34766),#18580,.F.); #322282=ADVANCED_FACE('',(#34767),#18581,.F.); #322283=ADVANCED_FACE('',(#34768),#256,.T.); #322284=ADVANCED_FACE('',(#34769),#257,.T.); #322285=ADVANCED_FACE('',(#34770),#258,.T.); #322286=ADVANCED_FACE('',(#34771),#259,.T.); #322287=ADVANCED_FACE('',(#34772),#260,.T.); #322288=ADVANCED_FACE('',(#34773),#307427,.T.); #322289=ADVANCED_FACE('',(#34774),#261,.T.); #322290=ADVANCED_FACE('',(#34775),#262,.T.); #322291=ADVANCED_FACE('',(#34776),#307428,.T.); #322292=ADVANCED_FACE('',(#34777),#307429,.T.); #322293=ADVANCED_FACE('',(#34778),#263,.T.); #322294=ADVANCED_FACE('',(#34779),#307430,.T.); #322295=ADVANCED_FACE('',(#34780),#307431,.T.); #322296=ADVANCED_FACE('',(#34781),#307432,.T.); #322297=ADVANCED_FACE('',(#34782),#307433,.T.); #322298=ADVANCED_FACE('',(#34783),#307434,.T.); #322299=ADVANCED_FACE('',(#34784),#307435,.T.); #322300=ADVANCED_FACE('',(#34785),#307436,.T.); #322301=ADVANCED_FACE('',(#34786),#307437,.T.); #322302=ADVANCED_FACE('',(#34787),#307438,.T.); #322303=ADVANCED_FACE('',(#34788),#18582,.T.); #322304=ADVANCED_FACE('',(#34789),#18583,.F.); #322305=ADVANCED_FACE('',(#34790),#18584,.T.); #322306=ADVANCED_FACE('',(#34791),#18585,.T.); #322307=ADVANCED_FACE('',(#34792),#18586,.T.); #322308=ADVANCED_FACE('',(#34793),#18587,.T.); #322309=ADVANCED_FACE('',(#34794),#264,.T.); #322310=ADVANCED_FACE('',(#34795),#265,.T.); #322311=ADVANCED_FACE('',(#34796),#266,.T.); #322312=ADVANCED_FACE('',(#34797),#267,.T.); #322313=ADVANCED_FACE('',(#34798),#268,.T.); #322314=ADVANCED_FACE('',(#34799),#307439,.T.); #322315=ADVANCED_FACE('',(#34800),#269,.T.); #322316=ADVANCED_FACE('',(#34801),#270,.T.); #322317=ADVANCED_FACE('',(#34802),#307440,.T.); #322318=ADVANCED_FACE('',(#34803),#307441,.T.); #322319=ADVANCED_FACE('',(#34804),#271,.T.); #322320=ADVANCED_FACE('',(#34805),#307442,.T.); #322321=ADVANCED_FACE('',(#34806),#307443,.T.); #322322=ADVANCED_FACE('',(#34807),#307444,.T.); #322323=ADVANCED_FACE('',(#34808),#307445,.T.); #322324=ADVANCED_FACE('',(#34809),#307446,.T.); #322325=ADVANCED_FACE('',(#34810),#307447,.T.); #322326=ADVANCED_FACE('',(#34811),#307448,.T.); #322327=ADVANCED_FACE('',(#34812),#307449,.T.); #322328=ADVANCED_FACE('',(#34813),#307450,.T.); #322329=ADVANCED_FACE('',(#34814),#18588,.T.); #322330=ADVANCED_FACE('',(#34815),#18589,.F.); #322331=ADVANCED_FACE('',(#34816),#18590,.T.); #322332=ADVANCED_FACE('',(#34817),#18591,.T.); #322333=ADVANCED_FACE('',(#34818),#18592,.T.); #322334=ADVANCED_FACE('',(#34819),#18593,.T.); #322335=ADVANCED_FACE('',(#34820),#18594,.T.); #322336=ADVANCED_FACE('',(#34821),#18595,.T.); #322337=ADVANCED_FACE('',(#34822),#18596,.T.); #322338=ADVANCED_FACE('',(#34823),#18597,.T.); #322339=ADVANCED_FACE('',(#34824),#18598,.T.); #322340=ADVANCED_FACE('',(#34825),#18599,.F.); #322341=ADVANCED_FACE('',(#34826),#18600,.T.); #322342=ADVANCED_FACE('',(#34827),#18601,.F.); #322343=ADVANCED_FACE('',(#34828),#18602,.F.); #322344=ADVANCED_FACE('',(#34829),#18603,.F.); #322345=ADVANCED_FACE('',(#34830),#18604,.F.); #322346=ADVANCED_FACE('',(#34831),#18605,.F.); #322347=ADVANCED_FACE('',(#34832),#18606,.T.); #322348=ADVANCED_FACE('',(#34833),#18607,.T.); #322349=ADVANCED_FACE('',(#34834),#18608,.T.); #322350=ADVANCED_FACE('',(#34835),#18609,.T.); #322351=ADVANCED_FACE('',(#34836),#18610,.T.); #322352=ADVANCED_FACE('',(#34837),#18611,.F.); #322353=ADVANCED_FACE('',(#34838),#18612,.T.); #322354=ADVANCED_FACE('',(#34839),#18613,.F.); #322355=ADVANCED_FACE('',(#34840),#307451,.T.); #322356=ADVANCED_FACE('',(#34841),#307452,.T.); #322357=ADVANCED_FACE('',(#34842),#18614,.F.); #322358=ADVANCED_FACE('',(#34843),#18615,.F.); #322359=ADVANCED_FACE('',(#34844),#18616,.T.); #322360=ADVANCED_FACE('',(#34845),#18617,.T.); #322361=ADVANCED_FACE('',(#34846),#18618,.T.); #322362=ADVANCED_FACE('',(#34847),#18619,.F.); #322363=ADVANCED_FACE('',(#34848),#18620,.F.); #322364=ADVANCED_FACE('',(#34849),#224,.T.); #322365=ADVANCED_FACE('',(#34850),#18621,.T.); #322366=ADVANCED_FACE('',(#34851),#18622,.T.); #322367=ADVANCED_FACE('',(#34852),#18623,.T.); #322368=ADVANCED_FACE('',(#34853),#18624,.T.); #322369=ADVANCED_FACE('',(#34854),#18625,.T.); #322370=ADVANCED_FACE('',(#34855),#18626,.T.); #322371=ADVANCED_FACE('',(#34856),#18627,.T.); #322372=ADVANCED_FACE('',(#34857),#18628,.T.); #322373=ADVANCED_FACE('',(#34858),#18629,.T.); #322374=ADVANCED_FACE('',(#34859),#18630,.T.); #322375=ADVANCED_FACE('',(#34860),#18631,.T.); #322376=ADVANCED_FACE('',(#34861),#18632,.T.); #322377=ADVANCED_FACE('',(#34862),#18633,.T.); #322378=ADVANCED_FACE('',(#34863),#18634,.T.); #322379=ADVANCED_FACE('',(#34864),#18635,.T.); #322380=ADVANCED_FACE('',(#34865),#18636,.T.); #322381=ADVANCED_FACE('',(#34866),#18637,.T.); #322382=ADVANCED_FACE('',(#34867),#18638,.T.); #322383=ADVANCED_FACE('',(#34868),#18639,.T.); #322384=ADVANCED_FACE('',(#34869),#18640,.T.); #322385=ADVANCED_FACE('',(#34870),#18641,.T.); #322386=ADVANCED_FACE('',(#34871),#18642,.T.); #322387=ADVANCED_FACE('',(#34872),#18643,.T.); #322388=ADVANCED_FACE('',(#34873),#18644,.T.); #322389=ADVANCED_FACE('',(#34874),#18645,.T.); #322390=ADVANCED_FACE('',(#34875),#18646,.T.); #322391=ADVANCED_FACE('',(#34876),#18647,.T.); #322392=ADVANCED_FACE('',(#34877),#18648,.T.); #322393=ADVANCED_FACE('',(#34878),#18649,.T.); #322394=ADVANCED_FACE('',(#34879),#18650,.T.); #322395=ADVANCED_FACE('',(#34880),#18651,.T.); #322396=ADVANCED_FACE('',(#34881),#18652,.T.); #322397=ADVANCED_FACE('',(#34882),#18653,.T.); #322398=ADVANCED_FACE('',(#34883),#18654,.T.); #322399=ADVANCED_FACE('',(#34884),#18655,.T.); #322400=ADVANCED_FACE('',(#34885),#18656,.T.); #322401=ADVANCED_FACE('',(#34886),#18657,.T.); #322402=ADVANCED_FACE('',(#34887),#18658,.T.); #322403=ADVANCED_FACE('',(#34888),#18659,.F.); #322404=ADVANCED_FACE('',(#34889),#18660,.F.); #322405=ADVANCED_FACE('',(#34890),#18661,.F.); #322406=ADVANCED_FACE('',(#34891),#18662,.F.); #322407=ADVANCED_FACE('',(#34892),#18663,.F.); #322408=ADVANCED_FACE('',(#34893),#18664,.F.); #322409=ADVANCED_FACE('',(#34894),#18665,.F.); #322410=ADVANCED_FACE('',(#34895),#18666,.F.); #322411=ADVANCED_FACE('',(#34896),#18667,.F.); #322412=ADVANCED_FACE('',(#34897),#18668,.F.); #322413=ADVANCED_FACE('',(#34898),#18669,.F.); #322414=ADVANCED_FACE('',(#34899),#18670,.F.); #322415=ADVANCED_FACE('',(#34900),#18671,.F.); #322416=ADVANCED_FACE('',(#34901),#18672,.F.); #322417=ADVANCED_FACE('',(#34902),#18673,.F.); #322418=ADVANCED_FACE('',(#34903),#18674,.F.); #322419=ADVANCED_FACE('',(#34904),#18675,.F.); #322420=ADVANCED_FACE('',(#34905),#18676,.F.); #322421=ADVANCED_FACE('',(#34906),#18677,.F.); #322422=ADVANCED_FACE('',(#34907),#18678,.F.); #322423=ADVANCED_FACE('',(#34908),#18679,.F.); #322424=ADVANCED_FACE('',(#34909),#18680,.F.); #322425=ADVANCED_FACE('',(#34910),#18681,.T.); #322426=ADVANCED_FACE('',(#34911),#18682,.T.); #322427=ADVANCED_FACE('',(#34912),#18683,.F.); #322428=ADVANCED_FACE('',(#34913),#18684,.T.); #322429=ADVANCED_FACE('',(#34914),#18685,.T.); #322430=ADVANCED_FACE('',(#34915),#18686,.T.); #322431=ADVANCED_FACE('',(#34916),#307453,.T.); #322432=ADVANCED_FACE('',(#34917),#18687,.T.); #322433=ADVANCED_FACE('',(#34918),#18688,.T.); #322434=ADVANCED_FACE('',(#34919),#18689,.T.); #322435=ADVANCED_FACE('',(#34920),#18690,.T.); #322436=ADVANCED_FACE('',(#34921),#18691,.F.); #322437=ADVANCED_FACE('',(#34922),#18692,.T.); #322438=ADVANCED_FACE('',(#34923),#18693,.F.); #322439=ADVANCED_FACE('',(#34924),#18694,.F.); #322440=ADVANCED_FACE('',(#34925),#18695,.T.); #322441=ADVANCED_FACE('',(#34926),#18696,.T.); #322442=ADVANCED_FACE('',(#34927),#18697,.F.); #322443=ADVANCED_FACE('',(#34928),#18698,.F.); #322444=ADVANCED_FACE('',(#34929),#18699,.F.); #322445=ADVANCED_FACE('',(#34930),#307454,.T.); #322446=ADVANCED_FACE('',(#34931),#18700,.T.); #322447=ADVANCED_FACE('',(#34932),#307455,.T.); #322448=ADVANCED_FACE('',(#34933),#307456,.T.); #322449=ADVANCED_FACE('',(#34934),#18701,.T.); #322450=ADVANCED_FACE('',(#34935),#18702,.F.); #322451=ADVANCED_FACE('',(#34936),#307457,.T.); #322452=ADVANCED_FACE('',(#34937),#18703,.F.); #322453=ADVANCED_FACE('',(#34938),#307458,.T.); #322454=ADVANCED_FACE('',(#34939),#18704,.F.); #322455=ADVANCED_FACE('',(#34940,#7443),#18705,.T.); #322456=ADVANCED_FACE('',(#34941),#18706,.T.); #322457=ADVANCED_FACE('',(#34942),#18707,.T.); #322458=ADVANCED_FACE('',(#34943),#307459,.F.); #322459=ADVANCED_FACE('',(#34944),#18708,.T.); #322460=ADVANCED_FACE('',(#34945),#18709,.T.); #322461=ADVANCED_FACE('',(#34946),#18710,.T.); #322462=ADVANCED_FACE('',(#34947),#18711,.T.); #322463=ADVANCED_FACE('',(#34948),#18712,.T.); #322464=ADVANCED_FACE('',(#34949),#307460,.F.); #322465=ADVANCED_FACE('',(#34950),#18713,.T.); #322466=ADVANCED_FACE('',(#34951),#18714,.F.); #322467=ADVANCED_FACE('',(#34952),#225,.T.); #322468=ADVANCED_FACE('',(#34953),#18715,.T.); #322469=ADVANCED_FACE('',(#34954),#18716,.T.); #322470=ADVANCED_FACE('',(#34955),#226,.T.); #322471=ADVANCED_FACE('',(#34956),#227,.T.); #322472=ADVANCED_FACE('',(#34957),#18717,.T.); #322473=ADVANCED_FACE('',(#34958),#18718,.T.); #322474=ADVANCED_FACE('',(#34959),#228,.T.); #322475=ADVANCED_FACE('',(#34960),#229,.T.); #322476=ADVANCED_FACE('',(#34961),#18719,.F.); #322477=ADVANCED_FACE('',(#34962),#230,.T.); #322478=ADVANCED_FACE('',(#34963),#231,.T.); #322479=ADVANCED_FACE('',(#34964),#18720,.F.); #322480=ADVANCED_FACE('',(#34965),#232,.T.); #322481=ADVANCED_FACE('',(#34966),#18721,.T.); #322482=ADVANCED_FACE('',(#34967),#18722,.F.); #322483=ADVANCED_FACE('',(#34968),#307461,.T.); #322484=ADVANCED_FACE('',(#34969),#307462,.T.); #322485=ADVANCED_FACE('',(#34970),#18723,.F.); #322486=ADVANCED_FACE('',(#34971),#18724,.F.); #322487=ADVANCED_FACE('',(#34972),#18725,.T.); #322488=ADVANCED_FACE('',(#34973),#18726,.T.); #322489=ADVANCED_FACE('',(#34974),#18727,.T.); #322490=ADVANCED_FACE('',(#34975),#18728,.F.); #322491=ADVANCED_FACE('',(#34976),#18729,.T.); #322492=ADVANCED_FACE('',(#34977),#18730,.T.); #322493=ADVANCED_FACE('',(#34978),#18731,.T.); #322494=ADVANCED_FACE('',(#34979),#18732,.T.); #322495=ADVANCED_FACE('',(#34980),#18733,.F.); #322496=ADVANCED_FACE('',(#34981),#18734,.F.); #322497=ADVANCED_FACE('',(#34982),#18735,.T.); #322498=ADVANCED_FACE('',(#34983),#18736,.F.); #322499=ADVANCED_FACE('',(#34984),#18737,.F.); #322500=ADVANCED_FACE('',(#34985),#18738,.F.); #322501=ADVANCED_FACE('',(#34986),#18739,.F.); #322502=ADVANCED_FACE('',(#34987),#18740,.T.); #322503=ADVANCED_FACE('',(#34988),#18741,.T.); #322504=ADVANCED_FACE('',(#34989),#18742,.T.); #322505=ADVANCED_FACE('',(#34990),#18743,.F.); #322506=ADVANCED_FACE('',(#34991),#18744,.F.); #322507=ADVANCED_FACE('',(#34992),#18745,.F.); #322508=ADVANCED_FACE('',(#34993),#18746,.F.); #322509=ADVANCED_FACE('',(#34994),#18747,.F.); #322510=ADVANCED_FACE('',(#34995,#7444,#7445),#18748,.T.); #322511=ADVANCED_FACE('',(#34996),#307463,.T.); #322512=ADVANCED_FACE('',(#34997),#18749,.F.); #322513=ADVANCED_FACE('',(#34998),#307464,.T.); #322514=ADVANCED_FACE('',(#34999),#18750,.T.); #322515=ADVANCED_FACE('',(#35000),#233,.T.); #322516=ADVANCED_FACE('',(#35001),#234,.T.); #322517=ADVANCED_FACE('',(#35002),#18751,.T.); #322518=ADVANCED_FACE('',(#35003),#18752,.T.); #322519=ADVANCED_FACE('',(#35004),#235,.T.); #322520=ADVANCED_FACE('',(#35005),#18753,.T.); #322521=ADVANCED_FACE('',(#35006),#18754,.T.); #322522=ADVANCED_FACE('',(#35007),#18755,.T.); #322523=ADVANCED_FACE('',(#35008),#18756,.F.); #322524=ADVANCED_FACE('',(#35009),#18757,.T.); #322525=ADVANCED_FACE('',(#35010),#18758,.T.); #322526=ADVANCED_FACE('',(#35011),#18759,.T.); #322527=ADVANCED_FACE('',(#35012),#18760,.T.); #322528=ADVANCED_FACE('',(#35013),#18761,.T.); #322529=ADVANCED_FACE('',(#35014),#18762,.T.); #322530=ADVANCED_FACE('',(#35015),#18763,.T.); #322531=ADVANCED_FACE('',(#35016),#18764,.T.); #322532=ADVANCED_FACE('',(#35017),#18765,.T.); #322533=ADVANCED_FACE('',(#35018),#18766,.T.); #322534=ADVANCED_FACE('',(#35019),#18767,.T.); #322535=ADVANCED_FACE('',(#35020),#18768,.T.); #322536=ADVANCED_FACE('',(#35021),#18769,.T.); #322537=ADVANCED_FACE('',(#35022),#18770,.T.); #322538=ADVANCED_FACE('',(#35023),#18771,.T.); #322539=ADVANCED_FACE('',(#35024),#18772,.T.); #322540=ADVANCED_FACE('',(#35025),#18773,.T.); #322541=ADVANCED_FACE('',(#35026),#18774,.T.); #322542=ADVANCED_FACE('',(#35027),#18775,.T.); #322543=ADVANCED_FACE('',(#35028),#18776,.T.); #322544=ADVANCED_FACE('',(#35029),#18777,.T.); #322545=ADVANCED_FACE('',(#35030),#18778,.T.); #322546=ADVANCED_FACE('',(#35031),#18779,.T.); #322547=ADVANCED_FACE('',(#35032),#18780,.T.); #322548=ADVANCED_FACE('',(#35033),#18781,.T.); #322549=ADVANCED_FACE('',(#35034),#18782,.F.); #322550=ADVANCED_FACE('',(#35035),#18783,.F.); #322551=ADVANCED_FACE('',(#35036),#18784,.F.); #322552=ADVANCED_FACE('',(#35037),#18785,.F.); #322553=ADVANCED_FACE('',(#35038),#18786,.F.); #322554=ADVANCED_FACE('',(#35039),#18787,.F.); #322555=ADVANCED_FACE('',(#35040),#18788,.F.); #322556=ADVANCED_FACE('',(#35041),#18789,.T.); #322557=ADVANCED_FACE('',(#35042),#18790,.T.); #322558=ADVANCED_FACE('',(#35043),#18791,.T.); #322559=ADVANCED_FACE('',(#35044),#18792,.T.); #322560=ADVANCED_FACE('',(#35045),#18793,.F.); #322561=ADVANCED_FACE('',(#35046),#18794,.F.); #322562=ADVANCED_FACE('',(#35047),#18795,.F.); #322563=ADVANCED_FACE('',(#35048),#18796,.F.); #322564=ADVANCED_FACE('',(#35049),#18797,.T.); #322565=ADVANCED_FACE('',(#35050),#18798,.F.); #322566=ADVANCED_FACE('',(#35051),#18799,.F.); #322567=ADVANCED_FACE('',(#35052),#18800,.F.); #322568=ADVANCED_FACE('',(#35053),#18801,.F.); #322569=ADVANCED_FACE('',(#35054),#18802,.F.); #322570=ADVANCED_FACE('',(#35055),#18803,.F.); #322571=ADVANCED_FACE('',(#35056),#18804,.F.); #322572=ADVANCED_FACE('',(#35057),#18805,.F.); #322573=ADVANCED_FACE('',(#35058),#18806,.F.); #322574=ADVANCED_FACE('',(#35059),#18807,.F.); #322575=ADVANCED_FACE('',(#35060),#18808,.F.); #322576=ADVANCED_FACE('',(#35061),#18809,.F.); #322577=ADVANCED_FACE('',(#35062),#18810,.F.); #322578=ADVANCED_FACE('',(#35063),#18811,.F.); #322579=ADVANCED_FACE('',(#35064),#18812,.F.); #322580=ADVANCED_FACE('',(#35065),#18813,.F.); #322581=ADVANCED_FACE('',(#35066),#18814,.F.); #322582=ADVANCED_FACE('',(#35067),#18815,.F.); #322583=ADVANCED_FACE('',(#35068),#18816,.F.); #322584=ADVANCED_FACE('',(#35069),#18817,.F.); #322585=ADVANCED_FACE('',(#35070),#18818,.F.); #322586=ADVANCED_FACE('',(#35071),#18819,.F.); #322587=ADVANCED_FACE('',(#35072),#18820,.F.); #322588=ADVANCED_FACE('',(#35073),#18821,.F.); #322589=ADVANCED_FACE('',(#35074),#18822,.F.); #322590=ADVANCED_FACE('',(#35075),#18823,.F.); #322591=ADVANCED_FACE('',(#35076),#18824,.F.); #322592=ADVANCED_FACE('',(#35077),#18825,.F.); #322593=ADVANCED_FACE('',(#35078),#18826,.F.); #322594=ADVANCED_FACE('',(#35079),#18827,.F.); #322595=ADVANCED_FACE('',(#35080),#18828,.T.); #322596=ADVANCED_FACE('',(#35081),#18829,.T.); #322597=ADVANCED_FACE('',(#35082),#18830,.T.); #322598=ADVANCED_FACE('',(#35083),#18831,.T.); #322599=ADVANCED_FACE('',(#35084),#18832,.F.); #322600=ADVANCED_FACE('',(#35085),#18833,.F.); #322601=ADVANCED_FACE('',(#35086),#18834,.F.); #322602=ADVANCED_FACE('',(#35087),#18835,.T.); #322603=ADVANCED_FACE('',(#35088),#18836,.F.); #322604=ADVANCED_FACE('',(#35089),#18837,.F.); #322605=ADVANCED_FACE('',(#35090),#18838,.F.); #322606=ADVANCED_FACE('',(#35091),#18839,.F.); #322607=ADVANCED_FACE('',(#35092),#18840,.F.); #322608=ADVANCED_FACE('',(#35093),#18841,.F.); #322609=ADVANCED_FACE('',(#35094),#18842,.F.); #322610=ADVANCED_FACE('',(#35095),#18843,.F.); #322611=ADVANCED_FACE('',(#35096),#18844,.F.); #322612=ADVANCED_FACE('',(#35097),#18845,.F.); #322613=ADVANCED_FACE('',(#35098),#18846,.T.); #322614=ADVANCED_FACE('',(#35099),#18847,.F.); #322615=ADVANCED_FACE('',(#35100),#18848,.T.); #322616=ADVANCED_FACE('',(#35101),#307465,.F.); #322617=ADVANCED_FACE('',(#35102),#18849,.F.); #322618=ADVANCED_FACE('',(#35103),#307466,.T.); #322619=ADVANCED_FACE('',(#35104),#18850,.F.); #322620=ADVANCED_FACE('',(#35105),#307467,.T.); #322621=ADVANCED_FACE('',(#35106),#18851,.T.); #322622=ADVANCED_FACE('',(#35107),#307468,.F.); #322623=ADVANCED_FACE('',(#35108),#18852,.T.); #322624=ADVANCED_FACE('',(#35109),#18853,.T.); #322625=ADVANCED_FACE('',(#35110),#18854,.F.); #322626=ADVANCED_FACE('',(#35111),#18855,.F.); #322627=ADVANCED_FACE('',(#35112),#18856,.F.); #322628=ADVANCED_FACE('',(#35113),#18857,.F.); #322629=ADVANCED_FACE('',(#35114),#18858,.F.); #322630=ADVANCED_FACE('',(#35115),#18859,.F.); #322631=ADVANCED_FACE('',(#35116),#18860,.F.); #322632=ADVANCED_FACE('',(#35117),#18861,.F.); #322633=ADVANCED_FACE('',(#35118),#18862,.T.); #322634=ADVANCED_FACE('',(#35119),#18863,.F.); #322635=ADVANCED_FACE('',(#35120),#18864,.T.); #322636=ADVANCED_FACE('',(#35121),#307469,.F.); #322637=ADVANCED_FACE('',(#35122),#18865,.F.); #322638=ADVANCED_FACE('',(#35123),#307470,.T.); #322639=ADVANCED_FACE('',(#35124),#18866,.F.); #322640=ADVANCED_FACE('',(#35125),#307471,.T.); #322641=ADVANCED_FACE('',(#35126),#18867,.T.); #322642=ADVANCED_FACE('',(#35127),#307472,.F.); #322643=ADVANCED_FACE('',(#35128),#18868,.T.); #322644=ADVANCED_FACE('',(#35129),#18869,.T.); #322645=ADVANCED_FACE('',(#35130),#18870,.F.); #322646=ADVANCED_FACE('',(#35131),#18871,.F.); #322647=ADVANCED_FACE('',(#35132),#18872,.F.); #322648=ADVANCED_FACE('',(#35133),#18873,.F.); #322649=ADVANCED_FACE('',(#35134),#18874,.F.); #322650=ADVANCED_FACE('',(#35135),#18875,.F.); #322651=ADVANCED_FACE('',(#35136),#18876,.F.); #322652=ADVANCED_FACE('',(#35137),#18877,.F.); #322653=ADVANCED_FACE('',(#35138),#18878,.T.); #322654=ADVANCED_FACE('',(#35139),#18879,.F.); #322655=ADVANCED_FACE('',(#35140),#18880,.T.); #322656=ADVANCED_FACE('',(#35141),#307473,.F.); #322657=ADVANCED_FACE('',(#35142),#18881,.F.); #322658=ADVANCED_FACE('',(#35143),#307474,.T.); #322659=ADVANCED_FACE('',(#35144),#18882,.F.); #322660=ADVANCED_FACE('',(#35145),#307475,.T.); #322661=ADVANCED_FACE('',(#35146),#18883,.T.); #322662=ADVANCED_FACE('',(#35147),#307476,.F.); #322663=ADVANCED_FACE('',(#35148),#18884,.T.); #322664=ADVANCED_FACE('',(#35149),#18885,.T.); #322665=ADVANCED_FACE('',(#35150),#18886,.F.); #322666=ADVANCED_FACE('',(#35151),#18887,.F.); #322667=ADVANCED_FACE('',(#35152),#18888,.F.); #322668=ADVANCED_FACE('',(#35153),#18889,.F.); #322669=ADVANCED_FACE('',(#35154),#18890,.F.); #322670=ADVANCED_FACE('',(#35155),#18891,.F.); #322671=ADVANCED_FACE('',(#35156),#18892,.F.); #322672=ADVANCED_FACE('',(#35157),#18893,.F.); #322673=ADVANCED_FACE('',(#35158),#18894,.T.); #322674=ADVANCED_FACE('',(#35159),#18895,.F.); #322675=ADVANCED_FACE('',(#35160),#18896,.T.); #322676=ADVANCED_FACE('',(#35161),#307477,.F.); #322677=ADVANCED_FACE('',(#35162),#18897,.F.); #322678=ADVANCED_FACE('',(#35163),#307478,.T.); #322679=ADVANCED_FACE('',(#35164),#18898,.F.); #322680=ADVANCED_FACE('',(#35165),#307479,.T.); #322681=ADVANCED_FACE('',(#35166),#18899,.T.); #322682=ADVANCED_FACE('',(#35167),#307480,.F.); #322683=ADVANCED_FACE('',(#35168),#18900,.T.); #322684=ADVANCED_FACE('',(#35169),#18901,.T.); #322685=ADVANCED_FACE('',(#35170),#18902,.F.); #322686=ADVANCED_FACE('',(#35171),#18903,.F.); #322687=ADVANCED_FACE('',(#35172),#18904,.F.); #322688=ADVANCED_FACE('',(#35173),#18905,.F.); #322689=ADVANCED_FACE('',(#35174),#18906,.F.); #322690=ADVANCED_FACE('',(#35175),#18907,.F.); #322691=ADVANCED_FACE('',(#35176),#18908,.F.); #322692=ADVANCED_FACE('',(#35177),#18909,.F.); #322693=ADVANCED_FACE('',(#35178),#18910,.T.); #322694=ADVANCED_FACE('',(#35179),#18911,.F.); #322695=ADVANCED_FACE('',(#35180),#18912,.T.); #322696=ADVANCED_FACE('',(#35181),#307481,.F.); #322697=ADVANCED_FACE('',(#35182),#18913,.F.); #322698=ADVANCED_FACE('',(#35183),#307482,.T.); #322699=ADVANCED_FACE('',(#35184),#18914,.F.); #322700=ADVANCED_FACE('',(#35185),#307483,.T.); #322701=ADVANCED_FACE('',(#35186),#18915,.T.); #322702=ADVANCED_FACE('',(#35187),#307484,.F.); #322703=ADVANCED_FACE('',(#35188),#18916,.T.); #322704=ADVANCED_FACE('',(#35189),#18917,.T.); #322705=ADVANCED_FACE('',(#35190),#18918,.F.); #322706=ADVANCED_FACE('',(#35191),#18919,.F.); #322707=ADVANCED_FACE('',(#35192),#18920,.F.); #322708=ADVANCED_FACE('',(#35193),#18921,.F.); #322709=ADVANCED_FACE('',(#35194),#18922,.F.); #322710=ADVANCED_FACE('',(#35195),#18923,.F.); #322711=ADVANCED_FACE('',(#35196),#18924,.F.); #322712=ADVANCED_FACE('',(#35197),#18925,.F.); #322713=ADVANCED_FACE('',(#35198),#18926,.T.); #322714=ADVANCED_FACE('',(#35199),#18927,.F.); #322715=ADVANCED_FACE('',(#35200),#18928,.T.); #322716=ADVANCED_FACE('',(#35201),#307485,.F.); #322717=ADVANCED_FACE('',(#35202),#18929,.F.); #322718=ADVANCED_FACE('',(#35203),#307486,.T.); #322719=ADVANCED_FACE('',(#35204),#18930,.F.); #322720=ADVANCED_FACE('',(#35205),#307487,.T.); #322721=ADVANCED_FACE('',(#35206),#18931,.T.); #322722=ADVANCED_FACE('',(#35207),#307488,.F.); #322723=ADVANCED_FACE('',(#35208),#18932,.T.); #322724=ADVANCED_FACE('',(#35209),#18933,.T.); #322725=ADVANCED_FACE('',(#35210),#18934,.F.); #322726=ADVANCED_FACE('',(#35211),#18935,.F.); #322727=ADVANCED_FACE('',(#35212),#18936,.F.); #322728=ADVANCED_FACE('',(#35213),#18937,.F.); #322729=ADVANCED_FACE('',(#35214),#18938,.F.); #322730=ADVANCED_FACE('',(#35215),#18939,.F.); #322731=ADVANCED_FACE('',(#35216),#18940,.F.); #322732=ADVANCED_FACE('',(#35217),#18941,.F.); #322733=ADVANCED_FACE('',(#35218),#18942,.T.); #322734=ADVANCED_FACE('',(#35219),#18943,.F.); #322735=ADVANCED_FACE('',(#35220),#18944,.T.); #322736=ADVANCED_FACE('',(#35221),#307489,.F.); #322737=ADVANCED_FACE('',(#35222),#18945,.F.); #322738=ADVANCED_FACE('',(#35223),#307490,.T.); #322739=ADVANCED_FACE('',(#35224),#18946,.F.); #322740=ADVANCED_FACE('',(#35225),#307491,.T.); #322741=ADVANCED_FACE('',(#35226),#18947,.T.); #322742=ADVANCED_FACE('',(#35227),#307492,.F.); #322743=ADVANCED_FACE('',(#35228),#18948,.T.); #322744=ADVANCED_FACE('',(#35229),#18949,.T.); #322745=ADVANCED_FACE('',(#35230),#18950,.F.); #322746=ADVANCED_FACE('',(#35231),#18951,.F.); #322747=ADVANCED_FACE('',(#35232),#18952,.F.); #322748=ADVANCED_FACE('',(#35233),#18953,.F.); #322749=ADVANCED_FACE('',(#35234),#18954,.F.); #322750=ADVANCED_FACE('',(#35235),#18955,.F.); #322751=ADVANCED_FACE('',(#35236),#18956,.F.); #322752=ADVANCED_FACE('',(#35237),#18957,.F.); #322753=ADVANCED_FACE('',(#35238),#18958,.T.); #322754=ADVANCED_FACE('',(#35239),#18959,.F.); #322755=ADVANCED_FACE('',(#35240),#18960,.T.); #322756=ADVANCED_FACE('',(#35241),#307493,.F.); #322757=ADVANCED_FACE('',(#35242),#18961,.F.); #322758=ADVANCED_FACE('',(#35243),#307494,.T.); #322759=ADVANCED_FACE('',(#35244),#18962,.F.); #322760=ADVANCED_FACE('',(#35245),#307495,.T.); #322761=ADVANCED_FACE('',(#35246),#18963,.T.); #322762=ADVANCED_FACE('',(#35247),#307496,.F.); #322763=ADVANCED_FACE('',(#35248),#18964,.T.); #322764=ADVANCED_FACE('',(#35249),#18965,.T.); #322765=ADVANCED_FACE('',(#35250),#18966,.F.); #322766=ADVANCED_FACE('',(#35251),#18967,.F.); #322767=ADVANCED_FACE('',(#35252),#18968,.F.); #322768=ADVANCED_FACE('',(#35253),#18969,.F.); #322769=ADVANCED_FACE('',(#35254),#18970,.F.); #322770=ADVANCED_FACE('',(#35255),#18971,.F.); #322771=ADVANCED_FACE('',(#35256),#18972,.F.); #322772=ADVANCED_FACE('',(#35257),#18973,.F.); #322773=ADVANCED_FACE('',(#35258),#18974,.T.); #322774=ADVANCED_FACE('',(#35259),#18975,.F.); #322775=ADVANCED_FACE('',(#35260),#18976,.T.); #322776=ADVANCED_FACE('',(#35261),#307497,.F.); #322777=ADVANCED_FACE('',(#35262),#18977,.F.); #322778=ADVANCED_FACE('',(#35263),#307498,.T.); #322779=ADVANCED_FACE('',(#35264),#18978,.F.); #322780=ADVANCED_FACE('',(#35265),#307499,.T.); #322781=ADVANCED_FACE('',(#35266),#18979,.T.); #322782=ADVANCED_FACE('',(#35267),#307500,.F.); #322783=ADVANCED_FACE('',(#35268),#18980,.T.); #322784=ADVANCED_FACE('',(#35269),#18981,.T.); #322785=ADVANCED_FACE('',(#35270),#18982,.F.); #322786=ADVANCED_FACE('',(#35271),#18983,.F.); #322787=ADVANCED_FACE('',(#35272),#18984,.F.); #322788=ADVANCED_FACE('',(#35273),#18985,.F.); #322789=ADVANCED_FACE('',(#35274),#18986,.F.); #322790=ADVANCED_FACE('',(#35275),#18987,.F.); #322791=ADVANCED_FACE('',(#35276),#18988,.F.); #322792=ADVANCED_FACE('',(#35277),#18989,.F.); #322793=ADVANCED_FACE('',(#35278),#18990,.T.); #322794=ADVANCED_FACE('',(#35279),#18991,.F.); #322795=ADVANCED_FACE('',(#35280),#18992,.T.); #322796=ADVANCED_FACE('',(#35281),#307501,.F.); #322797=ADVANCED_FACE('',(#35282),#18993,.F.); #322798=ADVANCED_FACE('',(#35283),#307502,.T.); #322799=ADVANCED_FACE('',(#35284),#18994,.F.); #322800=ADVANCED_FACE('',(#35285),#307503,.T.); #322801=ADVANCED_FACE('',(#35286),#18995,.T.); #322802=ADVANCED_FACE('',(#35287),#307504,.F.); #322803=ADVANCED_FACE('',(#35288),#18996,.T.); #322804=ADVANCED_FACE('',(#35289),#18997,.T.); #322805=ADVANCED_FACE('',(#35290),#18998,.F.); #322806=ADVANCED_FACE('',(#35291),#18999,.F.); #322807=ADVANCED_FACE('',(#35292),#19000,.F.); #322808=ADVANCED_FACE('',(#35293),#19001,.F.); #322809=ADVANCED_FACE('',(#35294),#19002,.F.); #322810=ADVANCED_FACE('',(#35295),#19003,.F.); #322811=ADVANCED_FACE('',(#35296),#19004,.F.); #322812=ADVANCED_FACE('',(#35297),#19005,.F.); #322813=ADVANCED_FACE('',(#35298),#19006,.T.); #322814=ADVANCED_FACE('',(#35299),#19007,.F.); #322815=ADVANCED_FACE('',(#35300),#19008,.T.); #322816=ADVANCED_FACE('',(#35301),#307505,.F.); #322817=ADVANCED_FACE('',(#35302),#19009,.F.); #322818=ADVANCED_FACE('',(#35303),#307506,.T.); #322819=ADVANCED_FACE('',(#35304),#19010,.F.); #322820=ADVANCED_FACE('',(#35305),#307507,.T.); #322821=ADVANCED_FACE('',(#35306),#19011,.T.); #322822=ADVANCED_FACE('',(#35307),#307508,.F.); #322823=ADVANCED_FACE('',(#35308),#19012,.T.); #322824=ADVANCED_FACE('',(#35309),#19013,.T.); #322825=ADVANCED_FACE('',(#35310),#19014,.F.); #322826=ADVANCED_FACE('',(#35311),#19015,.F.); #322827=ADVANCED_FACE('',(#35312),#19016,.F.); #322828=ADVANCED_FACE('',(#35313),#19017,.F.); #322829=ADVANCED_FACE('',(#35314),#19018,.F.); #322830=ADVANCED_FACE('',(#35315),#19019,.F.); #322831=ADVANCED_FACE('',(#35316),#19020,.F.); #322832=ADVANCED_FACE('',(#35317),#19021,.F.); #322833=ADVANCED_FACE('',(#35318),#19022,.T.); #322834=ADVANCED_FACE('',(#35319),#19023,.F.); #322835=ADVANCED_FACE('',(#35320),#19024,.T.); #322836=ADVANCED_FACE('',(#35321),#307509,.F.); #322837=ADVANCED_FACE('',(#35322),#19025,.F.); #322838=ADVANCED_FACE('',(#35323),#307510,.T.); #322839=ADVANCED_FACE('',(#35324),#19026,.F.); #322840=ADVANCED_FACE('',(#35325),#307511,.T.); #322841=ADVANCED_FACE('',(#35326),#19027,.T.); #322842=ADVANCED_FACE('',(#35327),#307512,.F.); #322843=ADVANCED_FACE('',(#35328),#19028,.T.); #322844=ADVANCED_FACE('',(#35329),#19029,.T.); #322845=ADVANCED_FACE('',(#35330),#19030,.F.); #322846=ADVANCED_FACE('',(#35331),#19031,.F.); #322847=ADVANCED_FACE('',(#35332),#19032,.F.); #322848=ADVANCED_FACE('',(#35333),#19033,.F.); #322849=ADVANCED_FACE('',(#35334),#19034,.F.); #322850=ADVANCED_FACE('',(#35335),#19035,.F.); #322851=ADVANCED_FACE('',(#35336),#19036,.F.); #322852=ADVANCED_FACE('',(#35337),#19037,.F.); #322853=ADVANCED_FACE('',(#35338),#19038,.T.); #322854=ADVANCED_FACE('',(#35339),#19039,.F.); #322855=ADVANCED_FACE('',(#35340),#19040,.T.); #322856=ADVANCED_FACE('',(#35341),#307513,.F.); #322857=ADVANCED_FACE('',(#35342),#19041,.F.); #322858=ADVANCED_FACE('',(#35343),#307514,.T.); #322859=ADVANCED_FACE('',(#35344),#19042,.F.); #322860=ADVANCED_FACE('',(#35345),#307515,.T.); #322861=ADVANCED_FACE('',(#35346),#19043,.T.); #322862=ADVANCED_FACE('',(#35347),#307516,.F.); #322863=ADVANCED_FACE('',(#35348),#19044,.T.); #322864=ADVANCED_FACE('',(#35349),#19045,.T.); #322865=ADVANCED_FACE('',(#35350),#19046,.F.); #322866=ADVANCED_FACE('',(#35351),#19047,.F.); #322867=ADVANCED_FACE('',(#35352),#19048,.F.); #322868=ADVANCED_FACE('',(#35353),#19049,.F.); #322869=ADVANCED_FACE('',(#35354),#19050,.F.); #322870=ADVANCED_FACE('',(#35355),#19051,.F.); #322871=ADVANCED_FACE('',(#35356),#19052,.F.); #322872=ADVANCED_FACE('',(#35357),#19053,.F.); #322873=ADVANCED_FACE('',(#35358),#19054,.T.); #322874=ADVANCED_FACE('',(#35359),#19055,.F.); #322875=ADVANCED_FACE('',(#35360),#19056,.T.); #322876=ADVANCED_FACE('',(#35361),#307517,.F.); #322877=ADVANCED_FACE('',(#35362),#19057,.F.); #322878=ADVANCED_FACE('',(#35363),#307518,.T.); #322879=ADVANCED_FACE('',(#35364),#19058,.F.); #322880=ADVANCED_FACE('',(#35365),#307519,.T.); #322881=ADVANCED_FACE('',(#35366),#19059,.T.); #322882=ADVANCED_FACE('',(#35367),#307520,.F.); #322883=ADVANCED_FACE('',(#35368),#19060,.T.); #322884=ADVANCED_FACE('',(#35369),#19061,.T.); #322885=ADVANCED_FACE('',(#35370),#19062,.F.); #322886=ADVANCED_FACE('',(#35371),#19063,.F.); #322887=ADVANCED_FACE('',(#35372),#19064,.F.); #322888=ADVANCED_FACE('',(#35373),#19065,.F.); #322889=ADVANCED_FACE('',(#35374),#19066,.F.); #322890=ADVANCED_FACE('',(#35375),#307521,.T.); #322891=ADVANCED_FACE('',(#35376),#19067,.F.); #322892=ADVANCED_FACE('',(#35377),#19068,.F.); #322893=ADVANCED_FACE('',(#35378),#19069,.F.); #322894=ADVANCED_FACE('',(#35379),#307522,.F.); #322895=ADVANCED_FACE('',(#35380),#19070,.F.); #322896=ADVANCED_FACE('',(#35381),#307523,.F.); #322897=ADVANCED_FACE('',(#35382),#307524,.T.); #322898=ADVANCED_FACE('',(#35383),#19071,.F.); #322899=ADVANCED_FACE('',(#35384),#307525,.F.); #322900=ADVANCED_FACE('',(#35385),#19072,.F.); #322901=ADVANCED_FACE('',(#35386),#307526,.F.); #322902=ADVANCED_FACE('',(#35387),#19073,.F.); #322903=ADVANCED_FACE('',(#35388),#19074,.F.); #322904=ADVANCED_FACE('',(#35389),#19075,.F.); #322905=ADVANCED_FACE('',(#35390),#19076,.F.); #322906=ADVANCED_FACE('',(#35391),#19077,.F.); #322907=ADVANCED_FACE('',(#35392),#19078,.F.); #322908=ADVANCED_FACE('',(#35393),#19079,.F.); #322909=ADVANCED_FACE('',(#35394),#307527,.F.); #322910=ADVANCED_FACE('',(#35395),#19080,.F.); #322911=ADVANCED_FACE('',(#35396),#307528,.F.); #322912=ADVANCED_FACE('',(#35397),#19081,.F.); #322913=ADVANCED_FACE('',(#35398),#307529,.T.); #322914=ADVANCED_FACE('',(#35399),#307530,.F.); #322915=ADVANCED_FACE('',(#35400),#19082,.F.); #322916=ADVANCED_FACE('',(#35401),#307531,.F.); #322917=ADVANCED_FACE('',(#35402),#19083,.F.); #322918=ADVANCED_FACE('',(#35403),#19084,.F.); #322919=ADVANCED_FACE('',(#35404),#19085,.F.); #322920=ADVANCED_FACE('',(#35405),#307532,.T.); #322921=ADVANCED_FACE('',(#35406),#19086,.F.); #322922=ADVANCED_FACE('',(#35407),#19087,.T.); #322923=ADVANCED_FACE('',(#35408),#19088,.F.); #322924=ADVANCED_FACE('',(#35409),#19089,.F.); #322925=ADVANCED_FACE('',(#35410),#19090,.F.); #322926=ADVANCED_FACE('',(#35411,#7446),#19091,.T.); #322927=ADVANCED_FACE('',(#35412,#7447),#19092,.F.); #322928=ADVANCED_FACE('',(#35413),#19093,.T.); #322929=ADVANCED_FACE('',(#35414),#19094,.T.); #322930=ADVANCED_FACE('',(#35415),#307533,.F.); #322931=ADVANCED_FACE('',(#35416),#307534,.F.); #322932=ADVANCED_FACE('',(#35417,#7448,#7449),#19095,.T.); #322933=ADVANCED_FACE('',(#35418,#7450),#19096,.T.); #322934=ADVANCED_FACE('',(#35419),#19097,.F.); #322935=ADVANCED_FACE('',(#35420,#7451),#19098,.T.); #322936=ADVANCED_FACE('',(#35421),#19099,.F.); #322937=ADVANCED_FACE('',(#35422),#19100,.F.); #322938=ADVANCED_FACE('',(#35423),#19101,.F.); #322939=ADVANCED_FACE('',(#35424),#19102,.F.); #322940=ADVANCED_FACE('',(#35425),#19103,.F.); #322941=ADVANCED_FACE('',(#35426),#19104,.T.); #322942=ADVANCED_FACE('',(#35427,#7452),#19105,.T.); #322943=ADVANCED_FACE('',(#35428),#307535,.F.); #322944=ADVANCED_FACE('',(#35429),#19106,.F.); #322945=ADVANCED_FACE('',(#35430),#19107,.F.); #322946=ADVANCED_FACE('',(#35431),#19108,.F.); #322947=ADVANCED_FACE('',(#35432),#19109,.F.); #322948=ADVANCED_FACE('',(#35433,#7453),#19110,.F.); #322949=ADVANCED_FACE('',(#35434),#19111,.F.); #322950=ADVANCED_FACE('',(#35435,#7454),#19112,.F.); #322951=ADVANCED_FACE('',(#35436),#307536,.F.); #322952=ADVANCED_FACE('',(#35437),#307537,.F.); #322953=ADVANCED_FACE('',(#35438),#307538,.T.); #322954=ADVANCED_FACE('',(#35439),#307539,.T.); #322955=ADVANCED_FACE('',(#35440),#307540,.F.); #322956=ADVANCED_FACE('',(#35441),#307541,.T.); #322957=ADVANCED_FACE('',(#35442),#19113,.T.); #322958=ADVANCED_FACE('',(#35443),#19114,.F.); #322959=ADVANCED_FACE('',(#35444),#19115,.T.); #322960=ADVANCED_FACE('',(#35445),#19116,.T.); #322961=ADVANCED_FACE('',(#35446),#19117,.F.); #322962=ADVANCED_FACE('',(#35447),#307542,.F.); #322963=ADVANCED_FACE('',(#35448),#307543,.F.); #322964=ADVANCED_FACE('',(#35449),#307544,.F.); #322965=ADVANCED_FACE('',(#35450,#7455),#19118,.F.); #322966=ADVANCED_FACE('',(#35451),#307545,.T.); #322967=ADVANCED_FACE('',(#35452,#7456,#7457),#19119,.F.); #322968=ADVANCED_FACE('',(#35453),#307546,.T.); #322969=ADVANCED_FACE('',(#35454),#307547,.T.); #322970=ADVANCED_FACE('',(#35455),#307548,.F.); #322971=ADVANCED_FACE('',(#35456),#307549,.F.); #322972=ADVANCED_FACE('',(#35457),#307550,.F.); #322973=ADVANCED_FACE('',(#35458),#19120,.F.); #322974=ADVANCED_FACE('',(#35459),#19121,.F.); #322975=ADVANCED_FACE('',(#35460),#307551,.T.); #322976=ADVANCED_FACE('',(#35461),#19122,.F.); #322977=ADVANCED_FACE('',(#35462),#307552,.F.); #322978=ADVANCED_FACE('',(#35463),#19123,.F.); #322979=ADVANCED_FACE('',(#35464),#19124,.F.); #322980=ADVANCED_FACE('',(#35465),#307553,.T.); #322981=ADVANCED_FACE('',(#35466),#19125,.F.); #322982=ADVANCED_FACE('',(#35467),#307554,.F.); #322983=ADVANCED_FACE('',(#35468),#19126,.F.); #322984=ADVANCED_FACE('',(#35469),#307555,.T.); #322985=ADVANCED_FACE('',(#35470),#19127,.F.); #322986=ADVANCED_FACE('',(#35471),#307556,.F.); #322987=ADVANCED_FACE('',(#35472),#307557,.T.); #322988=ADVANCED_FACE('',(#35473),#19128,.F.); #322989=ADVANCED_FACE('',(#35474),#307558,.F.); #322990=ADVANCED_FACE('',(#35475),#19129,.F.); #322991=ADVANCED_FACE('',(#35476),#307559,.F.); #322992=ADVANCED_FACE('',(#35477),#19130,.F.); #322993=ADVANCED_FACE('',(#35478),#307560,.F.); #322994=ADVANCED_FACE('',(#35479),#19131,.F.); #322995=ADVANCED_FACE('',(#35480),#19132,.F.); #322996=ADVANCED_FACE('',(#35481),#307561,.F.); #322997=ADVANCED_FACE('',(#35482),#19133,.F.); #322998=ADVANCED_FACE('',(#35483),#307562,.F.); #322999=ADVANCED_FACE('',(#35484),#19134,.F.); #323000=ADVANCED_FACE('',(#35485),#307563,.T.); #323001=ADVANCED_FACE('',(#35486),#19135,.T.); #323002=ADVANCED_FACE('',(#35487),#307564,.F.); #323003=ADVANCED_FACE('',(#35488),#19136,.T.); #323004=ADVANCED_FACE('',(#35489),#307565,.F.); #323005=ADVANCED_FACE('',(#35490),#19137,.T.); #323006=ADVANCED_FACE('',(#35491),#19138,.T.); #323007=ADVANCED_FACE('',(#35492),#307566,.F.); #323008=ADVANCED_FACE('',(#35493),#19139,.T.); #323009=ADVANCED_FACE('',(#35494),#307567,.F.); #323010=ADVANCED_FACE('',(#35495),#19140,.T.); #323011=ADVANCED_FACE('',(#35496),#307568,.T.); #323012=ADVANCED_FACE('',(#35497),#19141,.T.); #323013=ADVANCED_FACE('',(#35498),#307569,.T.); #323014=ADVANCED_FACE('',(#35499),#19142,.T.); #323015=ADVANCED_FACE('',(#35500),#307570,.T.); #323016=ADVANCED_FACE('',(#35501),#19143,.T.); #323017=ADVANCED_FACE('',(#35502),#307571,.T.); #323018=ADVANCED_FACE('',(#35503),#19144,.T.); #323019=ADVANCED_FACE('',(#35504),#307572,.T.); #323020=ADVANCED_FACE('',(#35505),#19145,.T.); #323021=ADVANCED_FACE('',(#35506),#307573,.T.); #323022=ADVANCED_FACE('',(#35507),#307574,.F.); #323023=ADVANCED_FACE('',(#35508),#307575,.T.); #323024=ADVANCED_FACE('',(#35509),#19146,.T.); #323025=ADVANCED_FACE('',(#35510),#307576,.T.); #323026=ADVANCED_FACE('',(#35511),#19147,.T.); #323027=ADVANCED_FACE('',(#35512),#307577,.F.); #323028=ADVANCED_FACE('',(#35513),#307578,.T.); #323029=ADVANCED_FACE('',(#35514),#19148,.F.); #323030=ADVANCED_FACE('',(#35515),#19149,.F.); #323031=ADVANCED_FACE('',(#35516),#19150,.F.); #323032=ADVANCED_FACE('',(#35517),#307579,.T.); #323033=ADVANCED_FACE('',(#35518),#19151,.F.); #323034=ADVANCED_FACE('',(#35519),#307580,.T.); #323035=ADVANCED_FACE('',(#35520),#19152,.F.); #323036=ADVANCED_FACE('',(#35521),#307581,.T.); #323037=ADVANCED_FACE('',(#35522),#19153,.F.); #323038=ADVANCED_FACE('',(#35523),#307582,.T.); #323039=ADVANCED_FACE('',(#35524),#19154,.F.); #323040=ADVANCED_FACE('',(#35525),#307583,.F.); #323041=ADVANCED_FACE('',(#35526),#307584,.T.); #323042=ADVANCED_FACE('',(#35527),#19155,.F.); #323043=ADVANCED_FACE('',(#35528),#19156,.F.); #323044=ADVANCED_FACE('',(#35529),#307585,.F.); #323045=ADVANCED_FACE('',(#35530),#307586,.F.); #323046=ADVANCED_FACE('',(#35531),#307587,.F.); #323047=ADVANCED_FACE('',(#35532),#19157,.T.); #323048=ADVANCED_FACE('',(#35533),#236,.F.); #323049=ADVANCED_FACE('',(#35534),#19158,.T.); #323050=ADVANCED_FACE('',(#35535),#237,.F.); #323051=ADVANCED_FACE('',(#35536),#19159,.T.); #323052=ADVANCED_FACE('',(#35537),#238,.F.); #323053=ADVANCED_FACE('',(#35538),#19160,.T.); #323054=ADVANCED_FACE('',(#35539),#239,.F.); #323055=ADVANCED_FACE('',(#35540),#19161,.T.); #323056=ADVANCED_FACE('',(#35541),#19162,.F.); #323057=ADVANCED_FACE('',(#35542),#19163,.F.); #323058=ADVANCED_FACE('',(#35543),#19164,.F.); #323059=ADVANCED_FACE('',(#35544),#19165,.F.); #323060=ADVANCED_FACE('',(#35545),#19166,.F.); #323061=ADVANCED_FACE('',(#35546),#19167,.F.); #323062=ADVANCED_FACE('',(#35547),#307588,.T.); #323063=ADVANCED_FACE('',(#35548),#19168,.F.); #323064=ADVANCED_FACE('',(#35549),#307589,.F.); #323065=ADVANCED_FACE('',(#35550),#19169,.F.); #323066=ADVANCED_FACE('',(#35551),#307590,.F.); #323067=ADVANCED_FACE('',(#35552),#19170,.F.); #323068=ADVANCED_FACE('',(#35553),#307591,.T.); #323069=ADVANCED_FACE('',(#35554),#307592,.F.); #323070=ADVANCED_FACE('',(#35555),#19171,.T.); #323071=ADVANCED_FACE('',(#35556),#307593,.T.); #323072=ADVANCED_FACE('',(#35557),#19172,.T.); #323073=ADVANCED_FACE('',(#35558),#307594,.T.); #323074=ADVANCED_FACE('',(#35559),#19173,.T.); #323075=ADVANCED_FACE('',(#35560),#307595,.F.); #323076=ADVANCED_FACE('',(#35561),#19174,.F.); #323077=ADVANCED_FACE('',(#35562),#19175,.F.); #323078=ADVANCED_FACE('',(#35563),#19176,.F.); #323079=ADVANCED_FACE('',(#35564),#19177,.F.); #323080=ADVANCED_FACE('',(#35565),#19178,.F.); #323081=ADVANCED_FACE('',(#35566),#19179,.F.); #323082=ADVANCED_FACE('',(#35567),#307596,.T.); #323083=ADVANCED_FACE('',(#35568),#19180,.F.); #323084=ADVANCED_FACE('',(#35569),#307597,.F.); #323085=ADVANCED_FACE('',(#35570),#19181,.F.); #323086=ADVANCED_FACE('',(#35571),#307598,.F.); #323087=ADVANCED_FACE('',(#35572),#19182,.F.); #323088=ADVANCED_FACE('',(#35573),#307599,.T.); #323089=ADVANCED_FACE('',(#35574),#307600,.F.); #323090=ADVANCED_FACE('',(#35575),#19183,.T.); #323091=ADVANCED_FACE('',(#35576),#307601,.T.); #323092=ADVANCED_FACE('',(#35577),#19184,.T.); #323093=ADVANCED_FACE('',(#35578),#307602,.T.); #323094=ADVANCED_FACE('',(#35579),#19185,.T.); #323095=ADVANCED_FACE('',(#35580),#307603,.F.); #323096=ADVANCED_FACE('',(#35581),#19186,.F.); #323097=ADVANCED_FACE('',(#35582),#307604,.T.); #323098=ADVANCED_FACE('',(#35583),#19187,.F.); #323099=ADVANCED_FACE('',(#35584),#307605,.T.); #323100=ADVANCED_FACE('',(#35585),#19188,.F.); #323101=ADVANCED_FACE('',(#35586),#307606,.F.); #323102=ADVANCED_FACE('',(#35587),#19189,.T.); #323103=ADVANCED_FACE('',(#35588),#68,.F.); #323104=ADVANCED_FACE('',(#35589),#307607,.T.); #323105=ADVANCED_FACE('',(#35590),#307608,.F.); #323106=ADVANCED_FACE('',(#35591),#307609,.T.); #323107=ADVANCED_FACE('',(#35592),#19190,.T.); #323108=ADVANCED_FACE('',(#35593),#307610,.T.); #323109=ADVANCED_FACE('',(#35594),#19191,.F.); #323110=ADVANCED_FACE('',(#35595),#272,.T.); #323111=ADVANCED_FACE('',(#35596),#307611,.T.); #323112=ADVANCED_FACE('',(#35597),#273,.T.); #323113=ADVANCED_FACE('',(#35598),#19192,.T.); #323114=ADVANCED_FACE('',(#35599),#19193,.T.); #323115=ADVANCED_FACE('',(#35600),#19194,.T.); #323116=ADVANCED_FACE('',(#35601),#19195,.F.); #323117=ADVANCED_FACE('',(#35602),#19196,.T.); #323118=ADVANCED_FACE('',(#35603),#19197,.T.); #323119=ADVANCED_FACE('',(#35604),#19198,.T.); #323120=ADVANCED_FACE('',(#35605),#307612,.T.); #323121=ADVANCED_FACE('',(#35606),#19199,.T.); #323122=ADVANCED_FACE('',(#35607),#307613,.T.); #323123=ADVANCED_FACE('',(#35608),#307614,.F.); #323124=ADVANCED_FACE('',(#35609),#307615,.T.); #323125=ADVANCED_FACE('',(#35610),#19200,.T.); #323126=ADVANCED_FACE('',(#35611),#307616,.T.); #323127=ADVANCED_FACE('',(#35612),#19201,.T.); #323128=ADVANCED_FACE('',(#35613),#307617,.T.); #323129=ADVANCED_FACE('',(#35614),#19202,.T.); #323130=ADVANCED_FACE('',(#35615),#307618,.T.); #323131=ADVANCED_FACE('',(#35616),#19203,.T.); #323132=ADVANCED_FACE('',(#35617),#307619,.T.); #323133=ADVANCED_FACE('',(#35618),#19204,.F.); #323134=ADVANCED_FACE('',(#35619),#307620,.T.); #323135=ADVANCED_FACE('',(#35620),#307621,.F.); #323136=ADVANCED_FACE('',(#35621),#19205,.F.); #323137=ADVANCED_FACE('',(#35622),#307622,.T.); #323138=ADVANCED_FACE('',(#35623),#19206,.F.); #323139=ADVANCED_FACE('',(#35624),#307623,.T.); #323140=ADVANCED_FACE('',(#35625),#19207,.F.); #323141=ADVANCED_FACE('',(#35626),#307624,.T.); #323142=ADVANCED_FACE('',(#35627),#19208,.F.); #323143=ADVANCED_FACE('',(#35628),#307625,.T.); #323144=ADVANCED_FACE('',(#35629),#19209,.F.); #323145=ADVANCED_FACE('',(#35630),#19210,.F.); #323146=ADVANCED_FACE('',(#35631),#19211,.F.); #323147=ADVANCED_FACE('',(#35632),#307626,.T.); #323148=ADVANCED_FACE('',(#35633),#307627,.F.); #323149=ADVANCED_FACE('',(#35634),#19212,.F.); #323150=ADVANCED_FACE('',(#35635),#307628,.F.); #323151=ADVANCED_FACE('',(#35636),#307629,.F.); #323152=ADVANCED_FACE('',(#35637),#307630,.F.); #323153=ADVANCED_FACE('',(#35638),#19213,.F.); #323154=ADVANCED_FACE('',(#35639),#19214,.F.); #323155=ADVANCED_FACE('',(#35640),#19215,.F.); #323156=ADVANCED_FACE('',(#35641),#19216,.F.); #323157=ADVANCED_FACE('',(#35642),#19217,.F.); #323158=ADVANCED_FACE('',(#35643),#19218,.F.); #323159=ADVANCED_FACE('',(#35644),#307631,.T.); #323160=ADVANCED_FACE('',(#35645),#19219,.F.); #323161=ADVANCED_FACE('',(#35646),#307632,.F.); #323162=ADVANCED_FACE('',(#35647),#19220,.F.); #323163=ADVANCED_FACE('',(#35648),#307633,.F.); #323164=ADVANCED_FACE('',(#35649),#19221,.F.); #323165=ADVANCED_FACE('',(#35650),#307634,.T.); #323166=ADVANCED_FACE('',(#35651),#307635,.F.); #323167=ADVANCED_FACE('',(#35652),#19222,.T.); #323168=ADVANCED_FACE('',(#35653),#307636,.T.); #323169=ADVANCED_FACE('',(#35654),#19223,.T.); #323170=ADVANCED_FACE('',(#35655),#307637,.T.); #323171=ADVANCED_FACE('',(#35656),#19224,.T.); #323172=ADVANCED_FACE('',(#35657),#307638,.F.); #323173=ADVANCED_FACE('',(#35658),#19225,.F.); #323174=ADVANCED_FACE('',(#35659),#19226,.F.); #323175=ADVANCED_FACE('',(#35660),#19227,.F.); #323176=ADVANCED_FACE('',(#35661),#19228,.F.); #323177=ADVANCED_FACE('',(#35662),#19229,.F.); #323178=ADVANCED_FACE('',(#35663),#19230,.F.); #323179=ADVANCED_FACE('',(#35664),#307639,.T.); #323180=ADVANCED_FACE('',(#35665),#19231,.F.); #323181=ADVANCED_FACE('',(#35666),#307640,.F.); #323182=ADVANCED_FACE('',(#35667),#19232,.F.); #323183=ADVANCED_FACE('',(#35668),#307641,.F.); #323184=ADVANCED_FACE('',(#35669),#19233,.F.); #323185=ADVANCED_FACE('',(#35670),#307642,.T.); #323186=ADVANCED_FACE('',(#35671),#307643,.F.); #323187=ADVANCED_FACE('',(#35672),#19234,.T.); #323188=ADVANCED_FACE('',(#35673),#307644,.T.); #323189=ADVANCED_FACE('',(#35674),#19235,.T.); #323190=ADVANCED_FACE('',(#35675),#307645,.T.); #323191=ADVANCED_FACE('',(#35676),#19236,.T.); #323192=ADVANCED_FACE('',(#35677),#307646,.F.); #323193=ADVANCED_FACE('',(#35678),#307647,.T.); #323194=ADVANCED_FACE('',(#35679),#19237,.F.); #323195=ADVANCED_FACE('',(#35680),#307648,.T.); #323196=ADVANCED_FACE('',(#35681),#19238,.F.); #323197=ADVANCED_FACE('',(#35682),#307649,.F.); #323198=ADVANCED_FACE('',(#35683),#19239,.F.); #323199=ADVANCED_FACE('',(#35684),#19240,.T.); #323200=ADVANCED_FACE('',(#35685),#69,.F.); #323201=ADVANCED_FACE('',(#35686),#307650,.T.); #323202=ADVANCED_FACE('',(#35687),#307651,.F.); #323203=ADVANCED_FACE('',(#35688),#307652,.T.); #323204=ADVANCED_FACE('',(#35689),#307653,.T.); #323205=ADVANCED_FACE('',(#35690),#19241,.T.); #323206=ADVANCED_FACE('',(#35691),#19242,.F.); #323207=ADVANCED_FACE('',(#35692),#274,.T.); #323208=ADVANCED_FACE('',(#35693),#307654,.T.); #323209=ADVANCED_FACE('',(#35694),#275,.T.); #323210=ADVANCED_FACE('',(#35695),#19243,.T.); #323211=ADVANCED_FACE('',(#35696),#19244,.T.); #323212=ADVANCED_FACE('',(#35697),#19245,.T.); #323213=ADVANCED_FACE('',(#35698),#19246,.F.); #323214=ADVANCED_FACE('',(#35699),#19247,.T.); #323215=ADVANCED_FACE('',(#35700),#19248,.T.); #323216=ADVANCED_FACE('',(#35701),#307655,.T.); #323217=ADVANCED_FACE('',(#35702),#19249,.T.); #323218=ADVANCED_FACE('',(#35703),#307656,.T.); #323219=ADVANCED_FACE('',(#35704),#307657,.F.); #323220=ADVANCED_FACE('',(#35705),#307658,.T.); #323221=ADVANCED_FACE('',(#35706),#19250,.T.); #323222=ADVANCED_FACE('',(#35707),#307659,.T.); #323223=ADVANCED_FACE('',(#35708),#307660,.F.); #323224=ADVANCED_FACE('',(#35709),#307661,.T.); #323225=ADVANCED_FACE('',(#35710),#19251,.T.); #323226=ADVANCED_FACE('',(#35711),#307662,.F.); #323227=ADVANCED_FACE('',(#35712),#19252,.T.); #323228=ADVANCED_FACE('',(#35713),#307663,.F.); #323229=ADVANCED_FACE('',(#35714),#19253,.T.); #323230=ADVANCED_FACE('',(#35715),#307664,.T.); #323231=ADVANCED_FACE('',(#35716),#307665,.F.); #323232=ADVANCED_FACE('',(#35717),#307666,.T.); #323233=ADVANCED_FACE('',(#35718),#19254,.T.); #323234=ADVANCED_FACE('',(#35719),#307667,.T.); #323235=ADVANCED_FACE('',(#35720),#307668,.F.); #323236=ADVANCED_FACE('',(#35721),#307669,.T.); #323237=ADVANCED_FACE('',(#35722),#19255,.T.); #323238=ADVANCED_FACE('',(#35723),#307670,.F.); #323239=ADVANCED_FACE('',(#35724),#19256,.T.); #323240=ADVANCED_FACE('',(#35725),#307671,.F.); #323241=ADVANCED_FACE('',(#35726),#19257,.T.); #323242=ADVANCED_FACE('',(#35727),#307672,.T.); #323243=ADVANCED_FACE('',(#35728),#70,.T.); #323244=ADVANCED_FACE('',(#35729),#71,.T.); #323245=ADVANCED_FACE('',(#35730),#19258,.T.); #323246=ADVANCED_FACE('',(#35731,#7458),#19259,.F.); #323247=ADVANCED_FACE('',(#35732),#307673,.T.); #323248=ADVANCED_FACE('',(#35733),#19260,.F.); #323249=ADVANCED_FACE('',(#35734,#7459),#19261,.T.); #323250=ADVANCED_FACE('',(#35735,#7460),#19262,.T.); #323251=ADVANCED_FACE('',(#35736),#19263,.F.); #323252=ADVANCED_FACE('',(#35737),#307674,.T.); #323253=ADVANCED_FACE('',(#35738),#72,.T.); #323254=ADVANCED_FACE('',(#35739,#7461),#19264,.F.); #323255=ADVANCED_FACE('',(#35740),#19265,.T.); #323256=ADVANCED_FACE('',(#35741),#73,.T.); #323257=ADVANCED_FACE('',(#35742),#307675,.F.); #323258=ADVANCED_FACE('',(#35743),#19266,.T.); #323259=ADVANCED_FACE('',(#35744),#19267,.T.); #323260=ADVANCED_FACE('',(#35745),#307676,.F.); #323261=ADVANCED_FACE('',(#35746),#19268,.T.); #323262=ADVANCED_FACE('',(#35747),#307677,.F.); #323263=ADVANCED_FACE('',(#35748),#307678,.T.); #323264=ADVANCED_FACE('',(#35749),#307679,.T.); #323265=ADVANCED_FACE('',(#35750),#307680,.T.); #323266=ADVANCED_FACE('',(#35751),#19269,.T.); #323267=ADVANCED_FACE('',(#35752),#307681,.T.); #323268=ADVANCED_FACE('',(#35753),#19270,.T.); #323269=ADVANCED_FACE('',(#35754),#307682,.F.); #323270=ADVANCED_FACE('',(#35755),#19271,.T.); #323271=ADVANCED_FACE('',(#35756),#307683,.T.); #323272=ADVANCED_FACE('',(#35757),#307684,.F.); #323273=ADVANCED_FACE('',(#35758),#19272,.T.); #323274=ADVANCED_FACE('',(#35759),#307685,.F.); #323275=ADVANCED_FACE('',(#35760),#307686,.T.); #323276=ADVANCED_FACE('',(#35761),#19273,.T.); #323277=ADVANCED_FACE('',(#35762),#307687,.T.); #323278=ADVANCED_FACE('',(#35763),#307688,.F.); #323279=ADVANCED_FACE('',(#35764),#19274,.T.); #323280=ADVANCED_FACE('',(#35765),#307689,.F.); #323281=ADVANCED_FACE('',(#35766),#307690,.T.); #323282=ADVANCED_FACE('',(#35767),#19275,.T.); #323283=ADVANCED_FACE('',(#35768),#307691,.F.); #323284=ADVANCED_FACE('',(#35769),#19276,.T.); #323285=ADVANCED_FACE('',(#35770),#307692,.T.); #323286=ADVANCED_FACE('',(#35771),#19277,.T.); #323287=ADVANCED_FACE('',(#35772),#307693,.T.); #323288=ADVANCED_FACE('',(#35773),#307694,.T.); #323289=ADVANCED_FACE('',(#35774),#307695,.T.); #323290=ADVANCED_FACE('',(#35775),#307696,.F.); #323291=ADVANCED_FACE('',(#35776),#19278,.T.); #323292=ADVANCED_FACE('',(#35777),#19279,.T.); #323293=ADVANCED_FACE('',(#35778),#307697,.F.); #323294=ADVANCED_FACE('',(#35779),#307698,.F.); #323295=ADVANCED_FACE('',(#35780),#19280,.T.); #323296=ADVANCED_FACE('',(#35781),#19281,.F.); #323297=ADVANCED_FACE('',(#35782),#307699,.F.); #323298=ADVANCED_FACE('',(#35783),#19282,.T.); #323299=ADVANCED_FACE('',(#35784),#307700,.F.); #323300=ADVANCED_FACE('',(#35785),#19283,.T.); #323301=ADVANCED_FACE('',(#35786),#74,.T.); #323302=ADVANCED_FACE('',(#35787),#19284,.T.); #323303=ADVANCED_FACE('',(#35788),#75,.T.); #323304=ADVANCED_FACE('',(#35789),#76,.T.); #323305=ADVANCED_FACE('',(#35790),#307701,.T.); #323306=ADVANCED_FACE('',(#35791),#307702,.F.); #323307=ADVANCED_FACE('',(#35792),#77,.T.); #323308=ADVANCED_FACE('',(#35793),#78,.T.); #323309=ADVANCED_FACE('',(#35794),#19285,.T.); #323310=ADVANCED_FACE('',(#35795),#79,.T.); #323311=ADVANCED_FACE('',(#35796),#307703,.T.); #323312=ADVANCED_FACE('',(#35797),#307704,.F.); #323313=ADVANCED_FACE('',(#35798),#307705,.T.); #323314=ADVANCED_FACE('',(#35799),#19286,.T.); #323315=ADVANCED_FACE('',(#35800),#307706,.F.); #323316=ADVANCED_FACE('',(#35801),#19287,.T.); #323317=ADVANCED_FACE('',(#35802),#307707,.F.); #323318=ADVANCED_FACE('',(#35803),#19288,.T.); #323319=ADVANCED_FACE('',(#35804),#307708,.T.); #323320=ADVANCED_FACE('',(#35805),#307709,.T.); #323321=ADVANCED_FACE('',(#35806),#19289,.F.); #323322=ADVANCED_FACE('',(#35807),#307710,.F.); #323323=ADVANCED_FACE('',(#35808),#19290,.F.); #323324=ADVANCED_FACE('',(#35809),#307711,.F.); #323325=ADVANCED_FACE('',(#35810),#19291,.F.); #323326=ADVANCED_FACE('',(#35811),#307712,.T.); #323327=ADVANCED_FACE('',(#35812),#307713,.F.); #323328=ADVANCED_FACE('',(#35813),#19292,.T.); #323329=ADVANCED_FACE('',(#35814),#307714,.T.); #323330=ADVANCED_FACE('',(#35815),#19293,.T.); #323331=ADVANCED_FACE('',(#35816),#307715,.T.); #323332=ADVANCED_FACE('',(#35817),#19294,.T.); #323333=ADVANCED_FACE('',(#35818),#307716,.F.); #323334=ADVANCED_FACE('',(#35819),#307717,.F.); #323335=ADVANCED_FACE('',(#35820),#19295,.F.); #323336=ADVANCED_FACE('',(#35821),#307718,.T.); #323337=ADVANCED_FACE('',(#35822),#19296,.F.); #323338=ADVANCED_FACE('',(#35823),#307719,.T.); #323339=ADVANCED_FACE('',(#35824),#19297,.F.); #323340=ADVANCED_FACE('',(#35825),#307720,.F.); #323341=ADVANCED_FACE('',(#35826),#19298,.F.); #323342=ADVANCED_FACE('',(#35827),#19299,.F.); #323343=ADVANCED_FACE('',(#35828),#19300,.F.); #323344=ADVANCED_FACE('',(#35829),#19301,.F.); #323345=ADVANCED_FACE('',(#35830),#19302,.F.); #323346=ADVANCED_FACE('',(#35831),#19303,.F.); #323347=ADVANCED_FACE('',(#35832),#19304,.F.); #323348=ADVANCED_FACE('',(#35833),#19305,.T.); #323349=ADVANCED_FACE('',(#35834),#19306,.F.); #323350=ADVANCED_FACE('',(#35835),#19307,.T.); #323351=ADVANCED_FACE('',(#35836),#307721,.F.); #323352=ADVANCED_FACE('',(#35837),#19308,.F.); #323353=ADVANCED_FACE('',(#35838),#307722,.T.); #323354=ADVANCED_FACE('',(#35839),#19309,.F.); #323355=ADVANCED_FACE('',(#35840),#307723,.T.); #323356=ADVANCED_FACE('',(#35841),#19310,.T.); #323357=ADVANCED_FACE('',(#35842),#307724,.F.); #323358=ADVANCED_FACE('',(#35843),#19311,.T.); #323359=ADVANCED_FACE('',(#35844),#19312,.T.); #323360=ADVANCED_FACE('',(#35845),#19313,.F.); #323361=ADVANCED_FACE('',(#35846),#19314,.F.); #323362=ADVANCED_FACE('',(#35847),#19315,.T.); #323363=ADVANCED_FACE('',(#35848),#19316,.T.); #323364=ADVANCED_FACE('',(#35849,#7462),#19317,.T.); #323365=ADVANCED_FACE('',(#35850,#7463),#19318,.T.); #323366=ADVANCED_FACE('',(#35851,#7464,#7465),#19319,.T.); #323367=ADVANCED_FACE('',(#35852),#19320,.F.); #323368=ADVANCED_FACE('',(#35853),#19321,.F.); #323369=ADVANCED_FACE('',(#35854),#19322,.F.); #323370=ADVANCED_FACE('',(#35855),#19323,.F.); #323371=ADVANCED_FACE('',(#35856),#19324,.F.); #323372=ADVANCED_FACE('',(#35857),#19325,.F.); #323373=ADVANCED_FACE('',(#35858),#19326,.T.); #323374=ADVANCED_FACE('',(#35859),#19327,.F.); #323375=ADVANCED_FACE('',(#35860),#19328,.F.); #323376=ADVANCED_FACE('',(#35861),#19329,.F.); #323377=ADVANCED_FACE('',(#35862),#19330,.F.); #323378=ADVANCED_FACE('',(#35863),#19331,.F.); #323379=ADVANCED_FACE('',(#35864),#19332,.F.); #323380=ADVANCED_FACE('',(#35865),#19333,.F.); #323381=ADVANCED_FACE('',(#35866),#19334,.F.); #323382=ADVANCED_FACE('',(#35867),#19335,.F.); #323383=ADVANCED_FACE('',(#35868),#19336,.F.); #323384=ADVANCED_FACE('',(#35869),#19337,.F.); #323385=ADVANCED_FACE('',(#35870),#19338,.F.); #323386=ADVANCED_FACE('',(#35871),#307725,.F.); #323387=ADVANCED_FACE('',(#35872),#19339,.F.); #323388=ADVANCED_FACE('',(#35873),#19340,.F.); #323389=ADVANCED_FACE('',(#35874),#307726,.F.); #323390=ADVANCED_FACE('',(#35875),#19341,.F.); #323391=ADVANCED_FACE('',(#35876),#19342,.F.); #323392=ADVANCED_FACE('',(#35877),#19343,.F.); #323393=ADVANCED_FACE('',(#35878),#19344,.F.); #323394=ADVANCED_FACE('',(#35879),#19345,.F.); #323395=ADVANCED_FACE('',(#35880),#19346,.F.); #323396=ADVANCED_FACE('',(#35881),#19347,.F.); #323397=ADVANCED_FACE('',(#35882),#19348,.F.); #323398=ADVANCED_FACE('',(#35883),#19349,.F.); #323399=ADVANCED_FACE('',(#35884),#19350,.F.); #323400=ADVANCED_FACE('',(#35885),#19351,.F.); #323401=ADVANCED_FACE('',(#35886),#19352,.T.); #323402=ADVANCED_FACE('',(#35887),#19353,.T.); #323403=ADVANCED_FACE('',(#35888),#19354,.T.); #323404=ADVANCED_FACE('',(#35889),#19355,.T.); #323405=ADVANCED_FACE('',(#35890),#19356,.T.); #323406=ADVANCED_FACE('',(#35891),#19357,.F.); #323407=ADVANCED_FACE('',(#35892),#19358,.F.); #323408=ADVANCED_FACE('',(#35893),#19359,.F.); #323409=ADVANCED_FACE('',(#35894),#19360,.F.); #323410=ADVANCED_FACE('',(#35895),#19361,.T.); #323411=ADVANCED_FACE('',(#35896),#19362,.T.); #323412=ADVANCED_FACE('',(#35897),#19363,.T.); #323413=ADVANCED_FACE('',(#35898),#19364,.T.); #323414=ADVANCED_FACE('',(#35899),#19365,.T.); #323415=ADVANCED_FACE('',(#35900),#19366,.F.); #323416=ADVANCED_FACE('',(#35901),#19367,.T.); #323417=ADVANCED_FACE('',(#35902),#19368,.T.); #323418=ADVANCED_FACE('',(#35903),#19369,.F.); #323419=ADVANCED_FACE('',(#35904),#19370,.T.); #323420=ADVANCED_FACE('',(#35905),#19371,.F.); #323421=ADVANCED_FACE('',(#35906),#19372,.T.); #323422=ADVANCED_FACE('',(#35907),#19373,.F.); #323423=ADVANCED_FACE('',(#35908),#19374,.T.); #323424=ADVANCED_FACE('',(#35909),#19375,.F.); #323425=ADVANCED_FACE('',(#35910),#19376,.T.); #323426=ADVANCED_FACE('',(#35911),#19377,.T.); #323427=ADVANCED_FACE('',(#35912),#19378,.F.); #323428=ADVANCED_FACE('',(#35913),#19379,.T.); #323429=ADVANCED_FACE('',(#35914),#19380,.F.); #323430=ADVANCED_FACE('',(#35915),#19381,.T.); #323431=ADVANCED_FACE('',(#35916),#19382,.F.); #323432=ADVANCED_FACE('',(#35917),#19383,.T.); #323433=ADVANCED_FACE('',(#35918),#19384,.F.); #323434=ADVANCED_FACE('',(#35919),#19385,.T.); #323435=ADVANCED_FACE('',(#35920),#19386,.F.); #323436=ADVANCED_FACE('',(#35921),#19387,.T.); #323437=ADVANCED_FACE('',(#35922),#307727,.F.); #323438=ADVANCED_FACE('',(#35923),#307728,.F.); #323439=ADVANCED_FACE('',(#35924),#19388,.T.); #323440=ADVANCED_FACE('',(#35925),#19389,.T.); #323441=ADVANCED_FACE('',(#35926),#19390,.T.); #323442=ADVANCED_FACE('',(#35927),#276,.T.); #323443=ADVANCED_FACE('',(#35928),#277,.T.); #323444=ADVANCED_FACE('',(#35929),#278,.T.); #323445=ADVANCED_FACE('',(#35930),#279,.T.); #323446=ADVANCED_FACE('',(#35931),#280,.T.); #323447=ADVANCED_FACE('',(#35932),#307729,.T.); #323448=ADVANCED_FACE('',(#35933),#281,.T.); #323449=ADVANCED_FACE('',(#35934),#282,.T.); #323450=ADVANCED_FACE('',(#35935),#307730,.T.); #323451=ADVANCED_FACE('',(#35936),#307731,.T.); #323452=ADVANCED_FACE('',(#35937),#283,.T.); #323453=ADVANCED_FACE('',(#35938),#307732,.T.); #323454=ADVANCED_FACE('',(#35939),#307733,.T.); #323455=ADVANCED_FACE('',(#35940),#307734,.T.); #323456=ADVANCED_FACE('',(#35941),#307735,.T.); #323457=ADVANCED_FACE('',(#35942),#307736,.T.); #323458=ADVANCED_FACE('',(#35943),#307737,.T.); #323459=ADVANCED_FACE('',(#35944),#307738,.T.); #323460=ADVANCED_FACE('',(#35945),#307739,.T.); #323461=ADVANCED_FACE('',(#35946),#307740,.T.); #323462=ADVANCED_FACE('',(#35947),#19391,.T.); #323463=ADVANCED_FACE('',(#35948),#19392,.F.); #323464=ADVANCED_FACE('',(#35949),#19393,.T.); #323465=ADVANCED_FACE('',(#35950),#19394,.T.); #323466=ADVANCED_FACE('',(#35951),#19395,.T.); #323467=ADVANCED_FACE('',(#35952),#19396,.T.); #323468=ADVANCED_FACE('',(#35953),#284,.T.); #323469=ADVANCED_FACE('',(#35954),#285,.T.); #323470=ADVANCED_FACE('',(#35955),#286,.T.); #323471=ADVANCED_FACE('',(#35956),#287,.T.); #323472=ADVANCED_FACE('',(#35957),#288,.T.); #323473=ADVANCED_FACE('',(#35958),#307741,.T.); #323474=ADVANCED_FACE('',(#35959),#289,.T.); #323475=ADVANCED_FACE('',(#35960),#290,.T.); #323476=ADVANCED_FACE('',(#35961),#307742,.T.); #323477=ADVANCED_FACE('',(#35962),#307743,.T.); #323478=ADVANCED_FACE('',(#35963),#291,.T.); #323479=ADVANCED_FACE('',(#35964),#307744,.T.); #323480=ADVANCED_FACE('',(#35965),#307745,.T.); #323481=ADVANCED_FACE('',(#35966),#307746,.T.); #323482=ADVANCED_FACE('',(#35967),#307747,.T.); #323483=ADVANCED_FACE('',(#35968),#307748,.T.); #323484=ADVANCED_FACE('',(#35969),#307749,.T.); #323485=ADVANCED_FACE('',(#35970),#307750,.T.); #323486=ADVANCED_FACE('',(#35971),#307751,.T.); #323487=ADVANCED_FACE('',(#35972),#307752,.T.); #323488=ADVANCED_FACE('',(#35973),#19397,.T.); #323489=ADVANCED_FACE('',(#35974),#19398,.F.); #323490=ADVANCED_FACE('',(#35975),#19399,.T.); #323491=ADVANCED_FACE('',(#35976),#19400,.T.); #323492=ADVANCED_FACE('',(#35977),#19401,.T.); #323493=ADVANCED_FACE('',(#35978),#19402,.T.); #323494=ADVANCED_FACE('',(#35979),#19403,.T.); #323495=ADVANCED_FACE('',(#35980),#19404,.T.); #323496=ADVANCED_FACE('',(#35981),#19405,.T.); #323497=ADVANCED_FACE('',(#35982),#19406,.T.); #323498=ADVANCED_FACE('',(#35983),#19407,.T.); #323499=ADVANCED_FACE('',(#35984),#19408,.F.); #323500=ADVANCED_FACE('',(#35985),#292,.T.); #323501=ADVANCED_FACE('',(#35986),#293,.T.); #323502=ADVANCED_FACE('',(#35987),#294,.T.); #323503=ADVANCED_FACE('',(#35988),#295,.T.); #323504=ADVANCED_FACE('',(#35989),#296,.T.); #323505=ADVANCED_FACE('',(#35990),#307753,.T.); #323506=ADVANCED_FACE('',(#35991),#297,.T.); #323507=ADVANCED_FACE('',(#35992),#298,.T.); #323508=ADVANCED_FACE('',(#35993),#307754,.T.); #323509=ADVANCED_FACE('',(#35994),#307755,.T.); #323510=ADVANCED_FACE('',(#35995),#299,.T.); #323511=ADVANCED_FACE('',(#35996),#307756,.T.); #323512=ADVANCED_FACE('',(#35997),#307757,.T.); #323513=ADVANCED_FACE('',(#35998),#307758,.T.); #323514=ADVANCED_FACE('',(#35999),#307759,.T.); #323515=ADVANCED_FACE('',(#36000),#307760,.T.); #323516=ADVANCED_FACE('',(#36001),#307761,.T.); #323517=ADVANCED_FACE('',(#36002),#307762,.T.); #323518=ADVANCED_FACE('',(#36003),#307763,.T.); #323519=ADVANCED_FACE('',(#36004),#307764,.T.); #323520=ADVANCED_FACE('',(#36005),#19409,.T.); #323521=ADVANCED_FACE('',(#36006),#19410,.F.); #323522=ADVANCED_FACE('',(#36007),#19411,.T.); #323523=ADVANCED_FACE('',(#36008),#19412,.T.); #323524=ADVANCED_FACE('',(#36009),#19413,.T.); #323525=ADVANCED_FACE('',(#36010),#19414,.T.); #323526=ADVANCED_FACE('',(#36011),#300,.T.); #323527=ADVANCED_FACE('',(#36012),#301,.T.); #323528=ADVANCED_FACE('',(#36013),#302,.T.); #323529=ADVANCED_FACE('',(#36014),#303,.T.); #323530=ADVANCED_FACE('',(#36015),#304,.T.); #323531=ADVANCED_FACE('',(#36016),#307765,.T.); #323532=ADVANCED_FACE('',(#36017),#305,.T.); #323533=ADVANCED_FACE('',(#36018),#306,.T.); #323534=ADVANCED_FACE('',(#36019),#307766,.T.); #323535=ADVANCED_FACE('',(#36020),#307767,.T.); #323536=ADVANCED_FACE('',(#36021),#307,.T.); #323537=ADVANCED_FACE('',(#36022),#307768,.T.); #323538=ADVANCED_FACE('',(#36023),#307769,.T.); #323539=ADVANCED_FACE('',(#36024),#307770,.T.); #323540=ADVANCED_FACE('',(#36025),#307771,.T.); #323541=ADVANCED_FACE('',(#36026),#307772,.T.); #323542=ADVANCED_FACE('',(#36027),#307773,.T.); #323543=ADVANCED_FACE('',(#36028),#307774,.T.); #323544=ADVANCED_FACE('',(#36029),#307775,.T.); #323545=ADVANCED_FACE('',(#36030),#307776,.T.); #323546=ADVANCED_FACE('',(#36031),#19415,.T.); #323547=ADVANCED_FACE('',(#36032),#19416,.F.); #323548=ADVANCED_FACE('',(#36033),#19417,.T.); #323549=ADVANCED_FACE('',(#36034),#19418,.T.); #323550=ADVANCED_FACE('',(#36035),#19419,.T.); #323551=ADVANCED_FACE('',(#36036),#19420,.T.); #323552=ADVANCED_FACE('',(#36037),#19421,.T.); #323553=ADVANCED_FACE('',(#36038),#19422,.T.); #323554=ADVANCED_FACE('',(#36039),#19423,.T.); #323555=ADVANCED_FACE('',(#36040),#19424,.T.); #323556=ADVANCED_FACE('',(#36041),#19425,.T.); #323557=ADVANCED_FACE('',(#36042),#19426,.F.); #323558=ADVANCED_FACE('',(#36043),#308,.T.); #323559=ADVANCED_FACE('',(#36044),#309,.T.); #323560=ADVANCED_FACE('',(#36045),#310,.T.); #323561=ADVANCED_FACE('',(#36046),#311,.T.); #323562=ADVANCED_FACE('',(#36047),#312,.T.); #323563=ADVANCED_FACE('',(#36048),#307777,.T.); #323564=ADVANCED_FACE('',(#36049),#313,.T.); #323565=ADVANCED_FACE('',(#36050),#314,.T.); #323566=ADVANCED_FACE('',(#36051),#307778,.T.); #323567=ADVANCED_FACE('',(#36052),#307779,.T.); #323568=ADVANCED_FACE('',(#36053),#315,.T.); #323569=ADVANCED_FACE('',(#36054),#307780,.T.); #323570=ADVANCED_FACE('',(#36055),#307781,.T.); #323571=ADVANCED_FACE('',(#36056),#307782,.T.); #323572=ADVANCED_FACE('',(#36057),#307783,.T.); #323573=ADVANCED_FACE('',(#36058),#307784,.T.); #323574=ADVANCED_FACE('',(#36059),#307785,.T.); #323575=ADVANCED_FACE('',(#36060),#307786,.T.); #323576=ADVANCED_FACE('',(#36061),#307787,.T.); #323577=ADVANCED_FACE('',(#36062),#307788,.T.); #323578=ADVANCED_FACE('',(#36063),#19427,.T.); #323579=ADVANCED_FACE('',(#36064),#19428,.F.); #323580=ADVANCED_FACE('',(#36065),#19429,.T.); #323581=ADVANCED_FACE('',(#36066),#19430,.T.); #323582=ADVANCED_FACE('',(#36067),#19431,.T.); #323583=ADVANCED_FACE('',(#36068),#19432,.T.); #323584=ADVANCED_FACE('',(#36069),#316,.T.); #323585=ADVANCED_FACE('',(#36070),#317,.T.); #323586=ADVANCED_FACE('',(#36071),#318,.T.); #323587=ADVANCED_FACE('',(#36072),#319,.T.); #323588=ADVANCED_FACE('',(#36073),#320,.T.); #323589=ADVANCED_FACE('',(#36074),#307789,.T.); #323590=ADVANCED_FACE('',(#36075),#321,.T.); #323591=ADVANCED_FACE('',(#36076),#322,.T.); #323592=ADVANCED_FACE('',(#36077),#307790,.T.); #323593=ADVANCED_FACE('',(#36078),#307791,.T.); #323594=ADVANCED_FACE('',(#36079),#323,.T.); #323595=ADVANCED_FACE('',(#36080),#307792,.T.); #323596=ADVANCED_FACE('',(#36081),#307793,.T.); #323597=ADVANCED_FACE('',(#36082),#307794,.T.); #323598=ADVANCED_FACE('',(#36083),#307795,.T.); #323599=ADVANCED_FACE('',(#36084),#307796,.T.); #323600=ADVANCED_FACE('',(#36085),#307797,.T.); #323601=ADVANCED_FACE('',(#36086),#307798,.T.); #323602=ADVANCED_FACE('',(#36087),#307799,.T.); #323603=ADVANCED_FACE('',(#36088),#307800,.T.); #323604=ADVANCED_FACE('',(#36089),#19433,.T.); #323605=ADVANCED_FACE('',(#36090),#19434,.F.); #323606=ADVANCED_FACE('',(#36091),#19435,.T.); #323607=ADVANCED_FACE('',(#36092),#19436,.T.); #323608=ADVANCED_FACE('',(#36093),#19437,.T.); #323609=ADVANCED_FACE('',(#36094),#19438,.T.); #323610=ADVANCED_FACE('',(#36095),#19439,.T.); #323611=ADVANCED_FACE('',(#36096),#19440,.T.); #323612=ADVANCED_FACE('',(#36097),#19441,.T.); #323613=ADVANCED_FACE('',(#36098),#19442,.T.); #323614=ADVANCED_FACE('',(#36099),#19443,.T.); #323615=ADVANCED_FACE('',(#36100),#19444,.F.); #323616=ADVANCED_FACE('',(#36101),#307801,.F.); #323617=ADVANCED_FACE('',(#36102),#19445,.T.); #323618=ADVANCED_FACE('',(#36103),#19446,.T.); #323619=ADVANCED_FACE('',(#36104),#53,.T.); #323620=ADVANCED_FACE('',(#36105),#307802,.T.); #323621=ADVANCED_FACE('',(#36106),#54,.T.); #323622=ADVANCED_FACE('',(#36107),#55,.F.); #323623=ADVANCED_FACE('',(#36108),#56,.T.); #323624=ADVANCED_FACE('',(#36109),#307803,.T.); #323625=ADVANCED_FACE('',(#36110),#57,.T.); #323626=ADVANCED_FACE('',(#36111,#7466),#19447,.T.); #323627=ADVANCED_FACE('',(#36112),#19448,.F.); #323628=ADVANCED_FACE('',(#36113),#58,.F.); #323629=ADVANCED_FACE('',(#36114),#59,.T.); #323630=ADVANCED_FACE('',(#36115),#307804,.T.); #323631=ADVANCED_FACE('',(#36116),#60,.T.); #323632=ADVANCED_FACE('',(#36117),#19449,.T.); #323633=ADVANCED_FACE('',(#36118),#61,.F.); #323634=ADVANCED_FACE('',(#36119),#307805,.F.); #323635=ADVANCED_FACE('',(#36120),#62,.F.); #323636=ADVANCED_FACE('',(#36121),#63,.T.); #323637=ADVANCED_FACE('',(#36122),#64,.F.); #323638=ADVANCED_FACE('',(#36123),#307806,.F.); #323639=ADVANCED_FACE('',(#36124),#65,.F.); #323640=ADVANCED_FACE('',(#36125),#19450,.T.); #323641=ADVANCED_FACE('',(#36126),#66,.T.); #323642=ADVANCED_FACE('',(#36127),#307807,.T.); #323643=ADVANCED_FACE('',(#36128),#67,.T.); #323644=ADVANCED_FACE('',(#36129),#19451,.T.); #323645=ADVANCED_FACE('',(#36130),#307808,.T.); #323646=ADVANCED_FACE('',(#36131),#19452,.T.); #323647=ADVANCED_FACE('',(#36132),#19453,.F.); #323648=ADVANCED_FACE('',(#36133),#307809,.T.); #323649=ADVANCED_FACE('',(#36134),#19454,.F.); #323650=ADVANCED_FACE('',(#36135),#19455,.T.); #323651=ADVANCED_FACE('',(#36136),#19456,.F.); #323652=ADVANCED_FACE('',(#36137),#19457,.T.); #323653=ADVANCED_FACE('',(#36138),#307810,.T.); #323654=ADVANCED_FACE('',(#36139),#19458,.T.); #323655=ADVANCED_FACE('',(#36140),#307811,.F.); #323656=ADVANCED_FACE('',(#36141),#19459,.T.); #323657=ADVANCED_FACE('',(#36142),#19460,.F.); #323658=ADVANCED_FACE('',(#36143),#19461,.T.); #323659=ADVANCED_FACE('',(#36144),#307812,.T.); #323660=ADVANCED_FACE('',(#36145),#19462,.T.); #323661=ADVANCED_FACE('',(#36146),#307813,.F.); #323662=ADVANCED_FACE('',(#36147),#19463,.T.); #323663=ADVANCED_FACE('',(#36148),#19464,.F.); #323664=ADVANCED_FACE('',(#36149),#19465,.T.); #323665=ADVANCED_FACE('',(#36150),#307814,.T.); #323666=ADVANCED_FACE('',(#36151),#19466,.T.); #323667=ADVANCED_FACE('',(#36152),#307815,.F.); #323668=ADVANCED_FACE('',(#36153),#19467,.T.); #323669=ADVANCED_FACE('',(#36154),#19468,.F.); #323670=ADVANCED_FACE('',(#36155),#19469,.T.); #323671=ADVANCED_FACE('',(#36156),#307816,.T.); #323672=ADVANCED_FACE('',(#36157),#19470,.T.); #323673=ADVANCED_FACE('',(#36158),#307817,.F.); #323674=ADVANCED_FACE('',(#36159),#19471,.T.); #323675=ADVANCED_FACE('',(#36160),#19472,.F.); #323676=ADVANCED_FACE('',(#36161),#19473,.T.); #323677=ADVANCED_FACE('',(#36162),#307818,.T.); #323678=ADVANCED_FACE('',(#36163),#19474,.T.); #323679=ADVANCED_FACE('',(#36164),#307819,.F.); #323680=ADVANCED_FACE('',(#36165),#19475,.T.); #323681=ADVANCED_FACE('',(#36166),#19476,.F.); #323682=ADVANCED_FACE('',(#36167),#19477,.T.); #323683=ADVANCED_FACE('',(#36168),#307820,.T.); #323684=ADVANCED_FACE('',(#36169),#19478,.T.); #323685=ADVANCED_FACE('',(#36170),#307821,.F.); #323686=ADVANCED_FACE('',(#36171),#19479,.T.); #323687=ADVANCED_FACE('',(#36172),#19480,.F.); #323688=ADVANCED_FACE('',(#36173),#19481,.T.); #323689=ADVANCED_FACE('',(#36174),#307822,.T.); #323690=ADVANCED_FACE('',(#36175),#19482,.T.); #323691=ADVANCED_FACE('',(#36176),#307823,.F.); #323692=ADVANCED_FACE('',(#36177),#19483,.T.); #323693=ADVANCED_FACE('',(#36178),#19484,.F.); #323694=ADVANCED_FACE('',(#36179),#19485,.T.); #323695=ADVANCED_FACE('',(#36180),#307824,.T.); #323696=ADVANCED_FACE('',(#36181),#19486,.T.); #323697=ADVANCED_FACE('',(#36182),#307825,.F.); #323698=ADVANCED_FACE('',(#36183),#19487,.T.); #323699=ADVANCED_FACE('',(#36184),#19488,.F.); #323700=ADVANCED_FACE('',(#36185),#19489,.T.); #323701=ADVANCED_FACE('',(#36186),#307826,.T.); #323702=ADVANCED_FACE('',(#36187),#19490,.T.); #323703=ADVANCED_FACE('',(#36188),#307827,.F.); #323704=ADVANCED_FACE('',(#36189),#19491,.T.); #323705=ADVANCED_FACE('',(#36190),#307828,.F.); #323706=ADVANCED_FACE('',(#36191),#19492,.T.); #323707=ADVANCED_FACE('',(#36192),#19493,.T.); #323708=ADVANCED_FACE('',(#36193),#19494,.T.); #323709=ADVANCED_FACE('',(#36194),#19495,.T.); #323710=ADVANCED_FACE('',(#36195),#19496,.T.); #323711=ADVANCED_FACE('',(#36196),#19497,.T.); #323712=ADVANCED_FACE('',(#36197),#19498,.T.); #323713=ADVANCED_FACE('',(#36198,#7467),#19499,.T.); #323714=ADVANCED_FACE('',(#36199),#19500,.T.); #323715=ADVANCED_FACE('',(#36200),#19501,.T.); #323716=ADVANCED_FACE('',(#36201),#19502,.T.); #323717=ADVANCED_FACE('',(#36202),#19503,.T.); #323718=ADVANCED_FACE('',(#36203),#19504,.T.); #323719=ADVANCED_FACE('',(#36204),#19505,.T.); #323720=ADVANCED_FACE('',(#36205),#19506,.T.); #323721=ADVANCED_FACE('',(#36206),#19507,.T.); #323722=ADVANCED_FACE('',(#36207),#19508,.F.); #323723=ADVANCED_FACE('',(#36208),#19509,.T.); #323724=ADVANCED_FACE('',(#36209),#19510,.T.); #323725=ADVANCED_FACE('',(#36210),#307829,.T.); #323726=ADVANCED_FACE('',(#36211),#19511,.T.); #323727=ADVANCED_FACE('',(#36212),#307830,.T.); #323728=ADVANCED_FACE('',(#36213),#19512,.T.); #323729=ADVANCED_FACE('',(#36214),#19513,.T.); #323730=ADVANCED_FACE('',(#36215),#19514,.F.); #323731=ADVANCED_FACE('',(#36216),#19515,.F.); #323732=ADVANCED_FACE('',(#36217),#19516,.F.); #323733=ADVANCED_FACE('',(#36218),#307831,.T.); #323734=ADVANCED_FACE('',(#36219),#19517,.F.); #323735=ADVANCED_FACE('',(#36220),#307832,.T.); #323736=ADVANCED_FACE('',(#36221),#19518,.F.); #323737=ADVANCED_FACE('',(#36222),#19519,.F.); #323738=ADVANCED_FACE('',(#36223),#19520,.T.); #323739=ADVANCED_FACE('',(#36224),#19521,.T.); #323740=ADVANCED_FACE('',(#36225),#19522,.T.); #323741=ADVANCED_FACE('',(#36226),#307833,.T.); #323742=ADVANCED_FACE('',(#36227),#19523,.T.); #323743=ADVANCED_FACE('',(#36228),#307834,.T.); #323744=ADVANCED_FACE('',(#36229),#19524,.T.); #323745=ADVANCED_FACE('',(#36230),#19525,.T.); #323746=ADVANCED_FACE('',(#36231),#19526,.F.); #323747=ADVANCED_FACE('',(#36232),#19527,.T.); #323748=ADVANCED_FACE('',(#36233),#19528,.T.); #323749=ADVANCED_FACE('',(#36234),#307835,.T.); #323750=ADVANCED_FACE('',(#36235),#19529,.T.); #323751=ADVANCED_FACE('',(#36236),#307836,.T.); #323752=ADVANCED_FACE('',(#36237),#19530,.T.); #323753=ADVANCED_FACE('',(#36238),#19531,.T.); #323754=ADVANCED_FACE('',(#36239),#19532,.F.); #323755=ADVANCED_FACE('',(#36240),#19533,.T.); #323756=ADVANCED_FACE('',(#36241),#19534,.T.); #323757=ADVANCED_FACE('',(#36242),#307837,.T.); #323758=ADVANCED_FACE('',(#36243),#19535,.T.); #323759=ADVANCED_FACE('',(#36244),#307838,.T.); #323760=ADVANCED_FACE('',(#36245),#19536,.T.); #323761=ADVANCED_FACE('',(#36246),#19537,.T.); #323762=ADVANCED_FACE('',(#36247),#19538,.F.); #323763=ADVANCED_FACE('',(#36248),#19539,.F.); #323764=ADVANCED_FACE('',(#36249),#19540,.F.); #323765=ADVANCED_FACE('',(#36250),#307839,.T.); #323766=ADVANCED_FACE('',(#36251),#19541,.F.); #323767=ADVANCED_FACE('',(#36252),#307840,.T.); #323768=ADVANCED_FACE('',(#36253),#19542,.F.); #323769=ADVANCED_FACE('',(#36254),#19543,.F.); #323770=ADVANCED_FACE('',(#36255),#19544,.T.); #323771=ADVANCED_FACE('',(#36256),#19545,.F.); #323772=ADVANCED_FACE('',(#36257),#19546,.F.); #323773=ADVANCED_FACE('',(#36258),#307841,.T.); #323774=ADVANCED_FACE('',(#36259),#19547,.F.); #323775=ADVANCED_FACE('',(#36260),#307842,.T.); #323776=ADVANCED_FACE('',(#36261),#19548,.F.); #323777=ADVANCED_FACE('',(#36262),#19549,.F.); #323778=ADVANCED_FACE('',(#36263),#19550,.T.); #323779=ADVANCED_FACE('',(#36264),#19551,.F.); #323780=ADVANCED_FACE('',(#36265),#19552,.F.); #323781=ADVANCED_FACE('',(#36266),#307843,.T.); #323782=ADVANCED_FACE('',(#36267),#19553,.F.); #323783=ADVANCED_FACE('',(#36268),#307844,.T.); #323784=ADVANCED_FACE('',(#36269),#19554,.F.); #323785=ADVANCED_FACE('',(#36270),#19555,.F.); #323786=ADVANCED_FACE('',(#36271),#19556,.T.); #323787=ADVANCED_FACE('',(#36272),#19557,.F.); #323788=ADVANCED_FACE('',(#36273),#19558,.F.); #323789=ADVANCED_FACE('',(#36274),#19559,.F.); #323790=ADVANCED_FACE('',(#36275),#19560,.F.); #323791=ADVANCED_FACE('',(#36276),#19561,.F.); #323792=ADVANCED_FACE('',(#36277),#19562,.T.); #323793=ADVANCED_FACE('',(#36278),#19563,.T.); #323794=ADVANCED_FACE('',(#36279),#19564,.T.); #323795=ADVANCED_FACE('',(#36280),#19565,.T.); #323796=ADVANCED_FACE('',(#36281),#19566,.T.); #323797=ADVANCED_FACE('',(#36282),#19567,.T.); #323798=ADVANCED_FACE('',(#36283),#19568,.F.); #323799=ADVANCED_FACE('',(#36284),#19569,.T.); #323800=ADVANCED_FACE('',(#36285),#19570,.T.); #323801=ADVANCED_FACE('',(#36286),#19571,.T.); #323802=ADVANCED_FACE('',(#36287),#19572,.T.); #323803=ADVANCED_FACE('',(#36288),#19573,.T.); #323804=ADVANCED_FACE('',(#36289),#19574,.F.); #323805=ADVANCED_FACE('',(#36290),#19575,.T.); #323806=ADVANCED_FACE('',(#36291),#19576,.T.); #323807=ADVANCED_FACE('',(#36292),#19577,.T.); #323808=ADVANCED_FACE('',(#36293),#19578,.T.); #323809=ADVANCED_FACE('',(#36294),#19579,.T.); #323810=ADVANCED_FACE('',(#36295),#19580,.F.); #323811=ADVANCED_FACE('',(#36296),#19581,.F.); #323812=ADVANCED_FACE('',(#36297),#19582,.F.); #323813=ADVANCED_FACE('',(#36298),#19583,.F.); #323814=ADVANCED_FACE('',(#36299),#19584,.F.); #323815=ADVANCED_FACE('',(#36300),#19585,.F.); #323816=ADVANCED_FACE('',(#36301),#19586,.T.); #323817=ADVANCED_FACE('',(#36302),#19587,.F.); #323818=ADVANCED_FACE('',(#36303),#19588,.F.); #323819=ADVANCED_FACE('',(#36304),#19589,.F.); #323820=ADVANCED_FACE('',(#36305),#19590,.F.); #323821=ADVANCED_FACE('',(#36306),#19591,.F.); #323822=ADVANCED_FACE('',(#36307),#19592,.T.); #323823=ADVANCED_FACE('',(#36308),#19593,.F.); #323824=ADVANCED_FACE('',(#36309),#19594,.F.); #323825=ADVANCED_FACE('',(#36310),#19595,.F.); #323826=ADVANCED_FACE('',(#36311),#19596,.F.); #323827=ADVANCED_FACE('',(#36312),#19597,.F.); #323828=ADVANCED_FACE('',(#36313),#19598,.T.); #323829=ADVANCED_FACE('',(#36314),#19599,.T.); #323830=ADVANCED_FACE('',(#36315),#19600,.T.); #323831=ADVANCED_FACE('',(#36316),#19601,.T.); #323832=ADVANCED_FACE('',(#36317),#19602,.T.); #323833=ADVANCED_FACE('',(#36318),#19603,.T.); #323834=ADVANCED_FACE('',(#36319),#19604,.F.); #323835=ADVANCED_FACE('',(#36320),#307845,.F.); #323836=ADVANCED_FACE('',(#36321),#19605,.T.); #323837=ADVANCED_FACE('',(#36322),#19606,.T.); #323838=ADVANCED_FACE('',(#36323),#19607,.T.); #323839=ADVANCED_FACE('',(#36324),#19608,.T.); #323840=ADVANCED_FACE('',(#36325),#19609,.T.); #323841=ADVANCED_FACE('',(#36326),#19610,.T.); #323842=ADVANCED_FACE('',(#36327),#19611,.T.); #323843=ADVANCED_FACE('',(#36328,#7468),#19612,.T.); #323844=ADVANCED_FACE('',(#36329),#19613,.T.); #323845=ADVANCED_FACE('',(#36330),#19614,.T.); #323846=ADVANCED_FACE('',(#36331),#19615,.T.); #323847=ADVANCED_FACE('',(#36332),#19616,.T.); #323848=ADVANCED_FACE('',(#36333),#19617,.T.); #323849=ADVANCED_FACE('',(#36334),#19618,.T.); #323850=ADVANCED_FACE('',(#36335),#19619,.T.); #323851=ADVANCED_FACE('',(#36336),#19620,.T.); #323852=ADVANCED_FACE('',(#36337),#19621,.F.); #323853=ADVANCED_FACE('',(#36338),#19622,.T.); #323854=ADVANCED_FACE('',(#36339),#19623,.T.); #323855=ADVANCED_FACE('',(#36340),#307846,.T.); #323856=ADVANCED_FACE('',(#36341),#19624,.T.); #323857=ADVANCED_FACE('',(#36342),#307847,.T.); #323858=ADVANCED_FACE('',(#36343),#19625,.T.); #323859=ADVANCED_FACE('',(#36344),#19626,.T.); #323860=ADVANCED_FACE('',(#36345),#19627,.F.); #323861=ADVANCED_FACE('',(#36346),#19628,.F.); #323862=ADVANCED_FACE('',(#36347),#19629,.F.); #323863=ADVANCED_FACE('',(#36348),#307848,.T.); #323864=ADVANCED_FACE('',(#36349),#19630,.F.); #323865=ADVANCED_FACE('',(#36350),#307849,.T.); #323866=ADVANCED_FACE('',(#36351),#19631,.F.); #323867=ADVANCED_FACE('',(#36352),#19632,.F.); #323868=ADVANCED_FACE('',(#36353),#19633,.T.); #323869=ADVANCED_FACE('',(#36354),#19634,.T.); #323870=ADVANCED_FACE('',(#36355),#19635,.T.); #323871=ADVANCED_FACE('',(#36356),#307850,.T.); #323872=ADVANCED_FACE('',(#36357),#19636,.T.); #323873=ADVANCED_FACE('',(#36358),#307851,.T.); #323874=ADVANCED_FACE('',(#36359),#19637,.T.); #323875=ADVANCED_FACE('',(#36360),#19638,.T.); #323876=ADVANCED_FACE('',(#36361),#19639,.F.); #323877=ADVANCED_FACE('',(#36362),#19640,.T.); #323878=ADVANCED_FACE('',(#36363),#19641,.T.); #323879=ADVANCED_FACE('',(#36364),#307852,.T.); #323880=ADVANCED_FACE('',(#36365),#19642,.T.); #323881=ADVANCED_FACE('',(#36366),#307853,.T.); #323882=ADVANCED_FACE('',(#36367),#19643,.T.); #323883=ADVANCED_FACE('',(#36368),#19644,.T.); #323884=ADVANCED_FACE('',(#36369),#19645,.F.); #323885=ADVANCED_FACE('',(#36370),#19646,.T.); #323886=ADVANCED_FACE('',(#36371),#19647,.T.); #323887=ADVANCED_FACE('',(#36372),#307854,.T.); #323888=ADVANCED_FACE('',(#36373),#19648,.T.); #323889=ADVANCED_FACE('',(#36374),#307855,.T.); #323890=ADVANCED_FACE('',(#36375),#19649,.T.); #323891=ADVANCED_FACE('',(#36376),#19650,.T.); #323892=ADVANCED_FACE('',(#36377),#19651,.F.); #323893=ADVANCED_FACE('',(#36378),#19652,.F.); #323894=ADVANCED_FACE('',(#36379),#19653,.F.); #323895=ADVANCED_FACE('',(#36380),#307856,.T.); #323896=ADVANCED_FACE('',(#36381),#19654,.F.); #323897=ADVANCED_FACE('',(#36382),#307857,.T.); #323898=ADVANCED_FACE('',(#36383),#19655,.F.); #323899=ADVANCED_FACE('',(#36384),#19656,.F.); #323900=ADVANCED_FACE('',(#36385),#19657,.T.); #323901=ADVANCED_FACE('',(#36386),#19658,.F.); #323902=ADVANCED_FACE('',(#36387),#19659,.F.); #323903=ADVANCED_FACE('',(#36388),#307858,.T.); #323904=ADVANCED_FACE('',(#36389),#19660,.F.); #323905=ADVANCED_FACE('',(#36390),#307859,.T.); #323906=ADVANCED_FACE('',(#36391),#19661,.F.); #323907=ADVANCED_FACE('',(#36392),#19662,.F.); #323908=ADVANCED_FACE('',(#36393),#19663,.T.); #323909=ADVANCED_FACE('',(#36394),#19664,.F.); #323910=ADVANCED_FACE('',(#36395),#19665,.F.); #323911=ADVANCED_FACE('',(#36396),#307860,.T.); #323912=ADVANCED_FACE('',(#36397),#19666,.F.); #323913=ADVANCED_FACE('',(#36398),#307861,.T.); #323914=ADVANCED_FACE('',(#36399),#19667,.F.); #323915=ADVANCED_FACE('',(#36400),#19668,.F.); #323916=ADVANCED_FACE('',(#36401),#19669,.T.); #323917=ADVANCED_FACE('',(#36402),#19670,.F.); #323918=ADVANCED_FACE('',(#36403),#19671,.F.); #323919=ADVANCED_FACE('',(#36404),#19672,.F.); #323920=ADVANCED_FACE('',(#36405),#19673,.F.); #323921=ADVANCED_FACE('',(#36406),#19674,.F.); #323922=ADVANCED_FACE('',(#36407),#19675,.T.); #323923=ADVANCED_FACE('',(#36408),#19676,.T.); #323924=ADVANCED_FACE('',(#36409),#19677,.T.); #323925=ADVANCED_FACE('',(#36410),#19678,.T.); #323926=ADVANCED_FACE('',(#36411),#19679,.T.); #323927=ADVANCED_FACE('',(#36412),#19680,.T.); #323928=ADVANCED_FACE('',(#36413),#19681,.F.); #323929=ADVANCED_FACE('',(#36414),#19682,.T.); #323930=ADVANCED_FACE('',(#36415),#19683,.T.); #323931=ADVANCED_FACE('',(#36416),#19684,.T.); #323932=ADVANCED_FACE('',(#36417),#19685,.T.); #323933=ADVANCED_FACE('',(#36418),#19686,.T.); #323934=ADVANCED_FACE('',(#36419),#19687,.F.); #323935=ADVANCED_FACE('',(#36420),#19688,.T.); #323936=ADVANCED_FACE('',(#36421),#19689,.T.); #323937=ADVANCED_FACE('',(#36422),#19690,.T.); #323938=ADVANCED_FACE('',(#36423),#19691,.T.); #323939=ADVANCED_FACE('',(#36424),#19692,.T.); #323940=ADVANCED_FACE('',(#36425),#19693,.F.); #323941=ADVANCED_FACE('',(#36426),#19694,.F.); #323942=ADVANCED_FACE('',(#36427),#19695,.F.); #323943=ADVANCED_FACE('',(#36428),#19696,.F.); #323944=ADVANCED_FACE('',(#36429),#19697,.F.); #323945=ADVANCED_FACE('',(#36430),#19698,.F.); #323946=ADVANCED_FACE('',(#36431),#19699,.T.); #323947=ADVANCED_FACE('',(#36432),#19700,.F.); #323948=ADVANCED_FACE('',(#36433),#19701,.F.); #323949=ADVANCED_FACE('',(#36434),#19702,.F.); #323950=ADVANCED_FACE('',(#36435),#19703,.F.); #323951=ADVANCED_FACE('',(#36436),#19704,.F.); #323952=ADVANCED_FACE('',(#36437),#19705,.T.); #323953=ADVANCED_FACE('',(#36438),#19706,.F.); #323954=ADVANCED_FACE('',(#36439),#19707,.F.); #323955=ADVANCED_FACE('',(#36440),#19708,.F.); #323956=ADVANCED_FACE('',(#36441),#19709,.F.); #323957=ADVANCED_FACE('',(#36442),#19710,.F.); #323958=ADVANCED_FACE('',(#36443),#19711,.T.); #323959=ADVANCED_FACE('',(#36444),#19712,.T.); #323960=ADVANCED_FACE('',(#36445),#19713,.T.); #323961=ADVANCED_FACE('',(#36446),#19714,.T.); #323962=ADVANCED_FACE('',(#36447),#19715,.T.); #323963=ADVANCED_FACE('',(#36448),#19716,.T.); #323964=ADVANCED_FACE('',(#36449),#19717,.F.); #323965=ADVANCED_FACE('',(#36450),#19718,.T.); #323966=ADVANCED_FACE('',(#36451),#19719,.T.); #323967=ADVANCED_FACE('',(#36452),#19720,.T.); #323968=ADVANCED_FACE('',(#36453),#19721,.T.); #323969=ADVANCED_FACE('',(#36454),#19722,.T.); #323970=ADVANCED_FACE('',(#36455),#19723,.T.); #323971=ADVANCED_FACE('',(#36456),#19724,.T.); #323972=ADVANCED_FACE('',(#36457),#19725,.T.); #323973=ADVANCED_FACE('',(#36458),#19726,.T.); #323974=ADVANCED_FACE('',(#36459),#19727,.F.); #323975=ADVANCED_FACE('',(#36460),#19728,.T.); #323976=ADVANCED_FACE('',(#36461),#19729,.T.); #323977=ADVANCED_FACE('',(#36462),#19730,.T.); #323978=ADVANCED_FACE('',(#36463),#19731,.T.); #323979=ADVANCED_FACE('',(#36464),#19732,.T.); #323980=ADVANCED_FACE('',(#36465),#19733,.F.); #323981=ADVANCED_FACE('',(#36466),#19734,.T.); #323982=ADVANCED_FACE('',(#36467),#19735,.T.); #323983=ADVANCED_FACE('',(#36468),#19736,.T.); #323984=ADVANCED_FACE('',(#36469),#19737,.T.); #323985=ADVANCED_FACE('',(#36470),#19738,.T.); #323986=ADVANCED_FACE('',(#36471),#19739,.F.); #323987=ADVANCED_FACE('',(#36472),#19740,.T.); #323988=ADVANCED_FACE('',(#36473),#19741,.T.); #323989=ADVANCED_FACE('',(#36474),#19742,.T.); #323990=ADVANCED_FACE('',(#36475),#19743,.T.); #323991=ADVANCED_FACE('',(#36476),#19744,.T.); #323992=ADVANCED_FACE('',(#36477),#19745,.F.); #323993=ADVANCED_FACE('',(#36478),#19746,.T.); #323994=ADVANCED_FACE('',(#36479),#19747,.T.); #323995=ADVANCED_FACE('',(#36480),#19748,.T.); #323996=ADVANCED_FACE('',(#36481),#19749,.T.); #323997=ADVANCED_FACE('',(#36482),#19750,.T.); #323998=ADVANCED_FACE('',(#36483),#19751,.F.); #323999=ADVANCED_FACE('',(#36484),#307862,.F.); #324000=ADVANCED_FACE('',(#36485),#307863,.T.); #324001=ADVANCED_FACE('',(#36486,#7469),#19752,.T.); #324002=ADVANCED_FACE('',(#36487,#7470),#19753,.F.); #324003=ADVANCED_FACE('',(#36488),#307864,.F.); #324004=ADVANCED_FACE('',(#36489),#307865,.F.); #324005=ADVANCED_FACE('',(#36490),#307866,.F.); #324006=ADVANCED_FACE('',(#36491),#307867,.F.); #324007=ADVANCED_FACE('',(#36492),#307868,.F.); #324008=ADVANCED_FACE('',(#36493),#307869,.F.); #324009=ADVANCED_FACE('',(#36494),#80,.F.); #324010=ADVANCED_FACE('',(#36495),#81,.F.); #324011=ADVANCED_FACE('',(#36496),#51,.F.); #324012=ADVANCED_FACE('',(#36497),#52,.F.); #324013=ADVANCED_FACE('',(#36498),#307870,.F.); #324014=ADVANCED_FACE('',(#36499),#307871,.F.); #324015=ADVANCED_FACE('',(#36500,#7471),#19754,.F.); #324016=ADVANCED_FACE('',(#36501),#19755,.F.); #324017=ADVANCED_FACE('',(#36502),#19756,.F.); #324018=ADVANCED_FACE('',(#36503),#19757,.F.); #324019=ADVANCED_FACE('',(#36504),#19758,.F.); #324020=ADVANCED_FACE('',(#36505),#19759,.F.); #324021=ADVANCED_FACE('',(#36506),#19760,.F.); #324022=ADVANCED_FACE('',(#36507),#307872,.T.); #324023=ADVANCED_FACE('',(#36508),#307873,.T.); #324024=ADVANCED_FACE('',(#36509),#307874,.T.); #324025=ADVANCED_FACE('',(#36510),#307875,.T.); #324026=ADVANCED_FACE('',(#36511),#307876,.T.); #324027=ADVANCED_FACE('',(#36512),#307877,.T.); #324028=ADVANCED_FACE('',(#36513),#307878,.T.); #324029=ADVANCED_FACE('',(#36514),#307879,.T.); #324030=ADVANCED_FACE('',(#36515),#307880,.T.); #324031=ADVANCED_FACE('',(#36516),#307881,.T.); #324032=ADVANCED_FACE('',(#36517),#307882,.T.); #324033=ADVANCED_FACE('',(#36518),#307883,.T.); #324034=ADVANCED_FACE('',(#36519),#307884,.T.); #324035=ADVANCED_FACE('',(#36520),#307885,.T.); #324036=ADVANCED_FACE('',(#36521),#19761,.T.); #324037=ADVANCED_FACE('',(#36522),#19762,.T.); #324038=ADVANCED_FACE('',(#36523),#19763,.T.); #324039=ADVANCED_FACE('',(#36524),#19764,.T.); #324040=ADVANCED_FACE('',(#36525),#19765,.T.); #324041=ADVANCED_FACE('',(#36526),#19766,.T.); #324042=ADVANCED_FACE('',(#36527),#19767,.T.); #324043=ADVANCED_FACE('',(#36528),#82,.T.); #324044=ADVANCED_FACE('',(#36529),#83,.T.); #324045=ADVANCED_FACE('',(#36530),#19768,.T.); #324046=ADVANCED_FACE('',(#36531),#19769,.F.); #324047=ADVANCED_FACE('',(#36532),#19770,.F.); #324048=ADVANCED_FACE('',(#36533),#19771,.T.); #324049=ADVANCED_FACE('',(#36534),#84,.T.); #324050=ADVANCED_FACE('',(#36535),#85,.T.); #324051=ADVANCED_FACE('',(#36536),#19772,.T.); #324052=ADVANCED_FACE('',(#36537),#19773,.F.); #324053=ADVANCED_FACE('',(#36538),#19774,.F.); #324054=ADVANCED_FACE('',(#36539),#19775,.F.); #324055=ADVANCED_FACE('',(#36540),#19776,.F.); #324056=ADVANCED_FACE('',(#36541),#19777,.F.); #324057=ADVANCED_FACE('',(#36542),#19778,.F.); #324058=ADVANCED_FACE('',(#36543),#19779,.F.); #324059=ADVANCED_FACE('',(#36544),#19780,.F.); #324060=ADVANCED_FACE('',(#36545),#19781,.F.); #324061=ADVANCED_FACE('',(#36546),#19782,.F.); #324062=ADVANCED_FACE('',(#36547),#19783,.F.); #324063=ADVANCED_FACE('',(#36548),#19784,.F.); #324064=ADVANCED_FACE('',(#36549),#19785,.F.); #324065=ADVANCED_FACE('',(#36550),#19786,.F.); #324066=ADVANCED_FACE('',(#36551),#19787,.F.); #324067=ADVANCED_FACE('',(#36552),#19788,.F.); #324068=ADVANCED_FACE('',(#36553),#19789,.F.); #324069=ADVANCED_FACE('',(#36554),#240,.T.); #324070=ADVANCED_FACE('',(#36555),#19790,.T.); #324071=ADVANCED_FACE('',(#36556),#241,.T.); #324072=ADVANCED_FACE('',(#36557),#242,.T.); #324073=ADVANCED_FACE('',(#36558),#243,.T.); #324074=ADVANCED_FACE('',(#36559),#244,.T.); #324075=ADVANCED_FACE('',(#36560),#245,.T.); #324076=ADVANCED_FACE('',(#36561),#246,.T.); #324077=ADVANCED_FACE('',(#36562),#247,.T.); #324078=ADVANCED_FACE('',(#36563),#19791,.F.); #324079=ADVANCED_FACE('',(#36564),#19792,.F.); #324080=ADVANCED_FACE('',(#36565),#19793,.F.); #324081=ADVANCED_FACE('',(#36566),#19794,.F.); #324082=ADVANCED_FACE('',(#36567),#19795,.F.); #324083=ADVANCED_FACE('',(#36568),#19796,.F.); #324084=ADVANCED_FACE('',(#36569),#19797,.F.); #324085=ADVANCED_FACE('',(#36570),#19798,.F.); #324086=ADVANCED_FACE('',(#36571),#19799,.F.); #324087=ADVANCED_FACE('',(#36572),#19800,.F.); #324088=ADVANCED_FACE('',(#36573),#19801,.F.); #324089=ADVANCED_FACE('',(#36574),#19802,.F.); #324090=ADVANCED_FACE('',(#36575),#19803,.F.); #324091=ADVANCED_FACE('',(#36576),#19804,.F.); #324092=ADVANCED_FACE('',(#36577),#248,.T.); #324093=ADVANCED_FACE('',(#36578),#249,.T.); #324094=ADVANCED_FACE('',(#36579),#250,.T.); #324095=ADVANCED_FACE('',(#36580),#251,.T.); #324096=ADVANCED_FACE('',(#36581),#252,.T.); #324097=ADVANCED_FACE('',(#36582),#253,.T.); #324098=ADVANCED_FACE('',(#36583),#254,.T.); #324099=ADVANCED_FACE('',(#36584),#19805,.T.); #324100=ADVANCED_FACE('',(#36585),#255,.T.); #324101=ADVANCED_FACE('',(#36586),#19806,.F.); #324102=ADVANCED_FACE('',(#36587),#19807,.F.); #324103=ADVANCED_FACE('',(#36588),#19808,.F.); #324104=ADVANCED_FACE('',(#36589),#19809,.F.); #324105=ADVANCED_FACE('',(#36590),#19810,.F.); #324106=ADVANCED_FACE('',(#36591),#19811,.F.); #324107=ADVANCED_FACE('',(#36592),#19812,.F.); #324108=ADVANCED_FACE('',(#36593),#19813,.F.); #324109=ADVANCED_FACE('',(#36594),#19814,.F.); #324110=ADVANCED_FACE('',(#36595),#19815,.F.); #324111=ADVANCED_FACE('',(#36596),#19816,.F.); #324112=ADVANCED_FACE('',(#36597),#19817,.F.); #324113=ADVANCED_FACE('',(#36598),#19818,.F.); #324114=ADVANCED_FACE('',(#36599),#19819,.F.); #324115=ADVANCED_FACE('',(#36600),#19820,.F.); #324116=ADVANCED_FACE('',(#36601),#19821,.F.); #324117=ADVANCED_FACE('',(#36602),#307886,.T.); #324118=ADVANCED_FACE('',(#36603),#19822,.T.); #324119=ADVANCED_FACE('',(#36604),#19823,.T.); #324120=ADVANCED_FACE('',(#36605),#307887,.F.); #324121=ADVANCED_FACE('',(#36606),#307888,.T.); #324122=ADVANCED_FACE('',(#36607),#19824,.F.); #324123=ADVANCED_FACE('',(#36608),#19825,.T.); #324124=ADVANCED_FACE('',(#36609),#19826,.F.); #324125=ADVANCED_FACE('',(#36610),#19827,.F.); #324126=ADVANCED_FACE('',(#36611),#19828,.F.); #324127=ADVANCED_FACE('',(#36612),#307889,.T.); #324128=ADVANCED_FACE('',(#36613),#19829,.F.); #324129=ADVANCED_FACE('',(#36614),#307890,.T.); #324130=ADVANCED_FACE('',(#36615),#307891,.T.); #324131=ADVANCED_FACE('',(#36616),#19830,.F.); #324132=ADVANCED_FACE('',(#36617),#19831,.F.); #324133=ADVANCED_FACE('',(#36618),#307892,.T.); #324134=ADVANCED_FACE('',(#36619),#19832,.T.); #324135=ADVANCED_FACE('',(#36620),#19833,.F.); #324136=ADVANCED_FACE('',(#36621),#19834,.F.); #324137=ADVANCED_FACE('',(#36622),#19835,.F.); #324138=ADVANCED_FACE('',(#36623),#307893,.T.); #324139=ADVANCED_FACE('',(#36624),#19836,.F.); #324140=ADVANCED_FACE('',(#36625),#307894,.T.); #324141=ADVANCED_FACE('',(#36626),#307895,.T.); #324142=ADVANCED_FACE('',(#36627),#19837,.F.); #324143=ADVANCED_FACE('',(#36628),#307896,.T.); #324144=ADVANCED_FACE('',(#36629),#19838,.F.); #324145=ADVANCED_FACE('',(#36630),#19839,.T.); #324146=ADVANCED_FACE('',(#36631),#19840,.F.); #324147=ADVANCED_FACE('',(#36632),#19841,.T.); #324148=ADVANCED_FACE('',(#36633),#19842,.F.); #324149=ADVANCED_FACE('',(#36634),#307897,.T.); #324150=ADVANCED_FACE('',(#36635),#307898,.F.); #324151=ADVANCED_FACE('',(#36636),#19843,.F.); #324152=ADVANCED_FACE('',(#36637),#19844,.F.); #324153=ADVANCED_FACE('',(#36638),#19845,.T.); #324154=ADVANCED_FACE('',(#36639),#307899,.T.); #324155=ADVANCED_FACE('',(#36640),#19846,.F.); #324156=ADVANCED_FACE('',(#36641),#19847,.F.); #324157=ADVANCED_FACE('',(#36642),#307900,.T.); #324158=ADVANCED_FACE('',(#36643),#307901,.T.); #324159=ADVANCED_FACE('',(#36644),#19848,.F.); #324160=ADVANCED_FACE('',(#36645),#307902,.T.); #324161=ADVANCED_FACE('',(#36646),#19849,.F.); #324162=ADVANCED_FACE('',(#36647),#19850,.F.); #324163=ADVANCED_FACE('',(#36648),#19851,.T.); #324164=ADVANCED_FACE('',(#36649),#19852,.F.); #324165=ADVANCED_FACE('',(#36650),#19853,.T.); #324166=ADVANCED_FACE('',(#36651),#19854,.T.); #324167=ADVANCED_FACE('',(#36652),#307903,.F.); #324168=ADVANCED_FACE('',(#36653),#307904,.T.); #324169=ADVANCED_FACE('',(#36654),#19855,.F.); #324170=ADVANCED_FACE('',(#36655),#19856,.T.); #324171=ADVANCED_FACE('',(#36656),#19857,.F.); #324172=ADVANCED_FACE('',(#36657),#19858,.F.); #324173=ADVANCED_FACE('',(#36658),#19859,.F.); #324174=ADVANCED_FACE('',(#36659),#307905,.T.); #324175=ADVANCED_FACE('',(#36660),#19860,.F.); #324176=ADVANCED_FACE('',(#36661),#307906,.T.); #324177=ADVANCED_FACE('',(#36662),#307907,.T.); #324178=ADVANCED_FACE('',(#36663),#19861,.F.); #324179=ADVANCED_FACE('',(#36664),#19862,.F.); #324180=ADVANCED_FACE('',(#36665),#307908,.T.); #324181=ADVANCED_FACE('',(#36666),#19863,.T.); #324182=ADVANCED_FACE('',(#36667),#19864,.F.); #324183=ADVANCED_FACE('',(#36668),#19865,.F.); #324184=ADVANCED_FACE('',(#36669),#19866,.F.); #324185=ADVANCED_FACE('',(#36670),#19867,.T.); #324186=ADVANCED_FACE('',(#36671),#19868,.F.); #324187=ADVANCED_FACE('',(#36672),#19869,.F.); #324188=ADVANCED_FACE('',(#36673),#19870,.F.); #324189=ADVANCED_FACE('',(#36674),#307909,.T.); #324190=ADVANCED_FACE('',(#36675),#19871,.F.); #324191=ADVANCED_FACE('',(#36676),#307910,.T.); #324192=ADVANCED_FACE('',(#36677),#307911,.T.); #324193=ADVANCED_FACE('',(#36678),#19872,.F.); #324194=ADVANCED_FACE('',(#36679),#307912,.T.); #324195=ADVANCED_FACE('',(#36680),#19873,.T.); #324196=ADVANCED_FACE('',(#36681),#19874,.T.); #324197=ADVANCED_FACE('',(#36682),#19875,.T.); #324198=ADVANCED_FACE('',(#36683),#19876,.T.); #324199=ADVANCED_FACE('',(#36684,#7472,#7473),#19877,.T.); #324200=ADVANCED_FACE('',(#36685),#86,.F.); #324201=ADVANCED_FACE('',(#36686),#307913,.T.); #324202=ADVANCED_FACE('',(#36687),#87,.F.); #324203=ADVANCED_FACE('',(#36688),#307914,.T.); #324204=ADVANCED_FACE('',(#36689),#19878,.T.); #324205=ADVANCED_FACE('',(#36690),#19879,.T.); #324206=ADVANCED_FACE('',(#36691),#19880,.T.); #324207=ADVANCED_FACE('',(#36692),#19881,.T.); #324208=ADVANCED_FACE('',(#36693),#19882,.T.); #324209=ADVANCED_FACE('',(#36694),#19883,.T.); #324210=ADVANCED_FACE('',(#36695),#19884,.F.); #324211=ADVANCED_FACE('',(#36696,#7474),#19885,.T.); #324212=ADVANCED_FACE('',(#36697),#19886,.F.); #324213=ADVANCED_FACE('',(#36698),#19887,.F.); #324214=ADVANCED_FACE('',(#36699),#19888,.T.); #324215=ADVANCED_FACE('',(#36700),#19889,.F.); #324216=ADVANCED_FACE('',(#36701),#19890,.F.); #324217=ADVANCED_FACE('',(#36702),#19891,.F.); #324218=ADVANCED_FACE('',(#36703),#307915,.T.); #324219=ADVANCED_FACE('',(#36704),#19892,.F.); #324220=ADVANCED_FACE('',(#36705),#19893,.T.); #324221=ADVANCED_FACE('',(#36706),#19894,.F.); #324222=ADVANCED_FACE('',(#36707),#19895,.F.); #324223=ADVANCED_FACE('',(#36708),#19896,.F.); #324224=ADVANCED_FACE('',(#36709),#307916,.T.); #324225=ADVANCED_FACE('',(#36710),#19897,.F.); #324226=ADVANCED_FACE('',(#36711),#307917,.T.); #324227=ADVANCED_FACE('',(#36712),#19898,.F.); #324228=ADVANCED_FACE('',(#36713),#19899,.F.); #324229=ADVANCED_FACE('',(#36714),#19900,.F.); #324230=ADVANCED_FACE('',(#36715),#19901,.F.); #324231=ADVANCED_FACE('',(#36716),#307918,.T.); #324232=ADVANCED_FACE('',(#36717,#7475),#19902,.F.); #324233=ADVANCED_FACE('',(#36718),#307919,.T.); #324234=ADVANCED_FACE('',(#36719),#19903,.T.); #324235=ADVANCED_FACE('',(#36720),#19904,.T.); #324236=ADVANCED_FACE('',(#36721),#19905,.F.); #324237=ADVANCED_FACE('',(#36722),#19906,.T.); #324238=ADVANCED_FACE('',(#36723),#19907,.F.); #324239=ADVANCED_FACE('',(#36724),#19908,.T.); #324240=ADVANCED_FACE('',(#36725),#19909,.F.); #324241=ADVANCED_FACE('',(#36726),#19910,.T.); #324242=ADVANCED_FACE('',(#36727),#307920,.T.); #324243=ADVANCED_FACE('',(#36728),#19911,.T.); #324244=ADVANCED_FACE('',(#36729),#307921,.T.); #324245=ADVANCED_FACE('',(#36730),#19912,.T.); #324246=ADVANCED_FACE('',(#36731),#19913,.F.); #324247=ADVANCED_FACE('',(#36732),#19914,.F.); #324248=ADVANCED_FACE('',(#36733),#19915,.F.); #324249=ADVANCED_FACE('',(#36734),#19916,.F.); #324250=ADVANCED_FACE('',(#36735),#19917,.T.); #324251=ADVANCED_FACE('',(#36736),#307922,.T.); #324252=ADVANCED_FACE('',(#36737),#19918,.T.); #324253=ADVANCED_FACE('',(#36738),#307923,.T.); #324254=ADVANCED_FACE('',(#36739),#19919,.T.); #324255=ADVANCED_FACE('',(#36740),#19920,.F.); #324256=ADVANCED_FACE('',(#36741),#19921,.T.); #324257=ADVANCED_FACE('',(#36742),#307924,.T.); #324258=ADVANCED_FACE('',(#36743),#19922,.F.); #324259=ADVANCED_FACE('',(#36744),#19923,.T.); #324260=ADVANCED_FACE('',(#36745),#307925,.T.); #324261=ADVANCED_FACE('',(#36746),#19924,.T.); #324262=ADVANCED_FACE('',(#36747),#307926,.T.); #324263=ADVANCED_FACE('',(#36748),#307927,.T.); #324264=ADVANCED_FACE('',(#36749,#7476,#7477,#7478),#19925,.F.); #324265=ADVANCED_FACE('',(#36750),#307928,.T.); #324266=ADVANCED_FACE('',(#36751),#19926,.F.); #324267=ADVANCED_FACE('',(#36752),#19927,.T.); #324268=ADVANCED_FACE('',(#36753),#307929,.T.); #324269=ADVANCED_FACE('',(#36754),#19928,.F.); #324270=ADVANCED_FACE('',(#36755),#19929,.F.); #324271=ADVANCED_FACE('',(#36756),#307930,.T.); #324272=ADVANCED_FACE('',(#36757),#19930,.T.); #324273=ADVANCED_FACE('',(#36758),#307931,.T.); #324274=ADVANCED_FACE('',(#36759),#19931,.F.); #324275=ADVANCED_FACE('',(#36760),#307932,.T.); #324276=ADVANCED_FACE('',(#36761),#19932,.T.); #324277=ADVANCED_FACE('',(#36762),#307933,.T.); #324278=ADVANCED_FACE('',(#36763),#19933,.F.); #324279=ADVANCED_FACE('',(#36764),#307934,.T.); #324280=ADVANCED_FACE('',(#36765),#19934,.F.); #324281=ADVANCED_FACE('',(#36766),#307935,.T.); #324282=ADVANCED_FACE('',(#36767),#19935,.F.); #324283=ADVANCED_FACE('',(#36768),#19936,.T.); #324284=ADVANCED_FACE('',(#36769),#19937,.F.); #324285=ADVANCED_FACE('',(#36770),#19938,.T.); #324286=ADVANCED_FACE('',(#36771),#19939,.T.); #324287=ADVANCED_FACE('',(#36772),#19940,.T.); #324288=ADVANCED_FACE('',(#36773),#19941,.F.); #324289=ADVANCED_FACE('',(#36774),#19942,.F.); #324290=ADVANCED_FACE('',(#36775),#19943,.F.); #324291=ADVANCED_FACE('',(#36776),#19944,.F.); #324292=ADVANCED_FACE('',(#36777),#307936,.F.); #324293=ADVANCED_FACE('',(#36778),#307937,.T.); #324294=ADVANCED_FACE('',(#36779),#19945,.F.); #324295=ADVANCED_FACE('',(#36780),#19946,.F.); #324296=ADVANCED_FACE('',(#36781),#19947,.F.); #324297=ADVANCED_FACE('',(#36782),#19948,.T.); #324298=ADVANCED_FACE('',(#36783),#307938,.T.); #324299=ADVANCED_FACE('',(#36784),#19949,.F.); #324300=ADVANCED_FACE('',(#36785),#19950,.F.); #324301=ADVANCED_FACE('',(#36786),#307939,.T.); #324302=ADVANCED_FACE('',(#36787),#19951,.F.); #324303=ADVANCED_FACE('',(#36788),#19952,.T.); #324304=ADVANCED_FACE('',(#36789),#19953,.T.); #324305=ADVANCED_FACE('',(#36790),#19954,.T.); #324306=ADVANCED_FACE('',(#36791),#307940,.F.); #324307=ADVANCED_FACE('',(#36792),#307941,.T.); #324308=ADVANCED_FACE('',(#36793),#19955,.T.); #324309=ADVANCED_FACE('',(#36794),#19956,.T.); #324310=ADVANCED_FACE('',(#36795),#19957,.T.); #324311=ADVANCED_FACE('',(#36796),#19958,.T.); #324312=ADVANCED_FACE('',(#36797),#307942,.T.); #324313=ADVANCED_FACE('',(#36798),#19959,.F.); #324314=ADVANCED_FACE('',(#36799),#19960,.F.); #324315=ADVANCED_FACE('',(#36800),#307943,.T.); #324316=ADVANCED_FACE('',(#36801),#19961,.F.); #324317=ADVANCED_FACE('',(#36802),#19962,.F.); #324318=ADVANCED_FACE('',(#36803),#19963,.F.); #324319=ADVANCED_FACE('',(#36804),#19964,.F.); #324320=ADVANCED_FACE('',(#36805),#19965,.F.); #324321=ADVANCED_FACE('',(#36806),#307944,.F.); #324322=ADVANCED_FACE('',(#36807),#19966,.F.); #324323=ADVANCED_FACE('',(#36808),#307945,.T.); #324324=ADVANCED_FACE('',(#36809),#19967,.F.); #324325=ADVANCED_FACE('',(#36810),#19968,.F.); #324326=ADVANCED_FACE('',(#36811),#307946,.F.); #324327=ADVANCED_FACE('',(#36812),#19969,.F.); #324328=ADVANCED_FACE('',(#36813),#307947,.T.); #324329=ADVANCED_FACE('',(#36814),#19970,.T.); #324330=ADVANCED_FACE('',(#36815),#307948,.T.); #324331=ADVANCED_FACE('',(#36816),#19971,.T.); #324332=ADVANCED_FACE('',(#36817),#19972,.F.); #324333=ADVANCED_FACE('',(#36818),#307949,.F.); #324334=ADVANCED_FACE('',(#36819),#307950,.T.); #324335=ADVANCED_FACE('',(#36820),#19973,.F.); #324336=ADVANCED_FACE('',(#36821),#19974,.F.); #324337=ADVANCED_FACE('',(#36822),#307951,.F.); #324338=ADVANCED_FACE('',(#36823),#307952,.F.); #324339=ADVANCED_FACE('',(#36824),#19975,.F.); #324340=ADVANCED_FACE('',(#36825),#307953,.T.); #324341=ADVANCED_FACE('',(#36826),#19976,.F.); #324342=ADVANCED_FACE('',(#36827),#19977,.F.); #324343=ADVANCED_FACE('',(#36828),#19978,.F.); #324344=ADVANCED_FACE('',(#36829),#307954,.T.); #324345=ADVANCED_FACE('',(#36830),#19979,.F.); #324346=ADVANCED_FACE('',(#36831),#307955,.F.); #324347=ADVANCED_FACE('',(#36832),#19980,.T.); #324348=ADVANCED_FACE('',(#36833),#19981,.T.); #324349=ADVANCED_FACE('',(#36834),#19982,.F.); #324350=ADVANCED_FACE('',(#36835),#19983,.T.); #324351=ADVANCED_FACE('',(#36836),#19984,.T.); #324352=ADVANCED_FACE('',(#36837),#88,.T.); #324353=ADVANCED_FACE('',(#36838),#307956,.F.); #324354=ADVANCED_FACE('',(#36839),#89,.T.); #324355=ADVANCED_FACE('',(#36840),#307957,.F.); #324356=ADVANCED_FACE('',(#36841),#307958,.F.); #324357=ADVANCED_FACE('',(#36842),#307959,.F.); #324358=ADVANCED_FACE('',(#36843),#307960,.T.); #324359=ADVANCED_FACE('',(#36844),#307961,.T.); #324360=ADVANCED_FACE('',(#36845),#19985,.T.); #324361=ADVANCED_FACE('',(#36846),#19986,.T.); #324362=ADVANCED_FACE('',(#36847),#307962,.T.); #324363=ADVANCED_FACE('',(#36848),#307963,.F.); #324364=ADVANCED_FACE('',(#36849),#19987,.F.); #324365=ADVANCED_FACE('',(#36850),#307964,.T.); #324366=ADVANCED_FACE('',(#36851),#19988,.F.); #324367=ADVANCED_FACE('',(#36852),#307965,.F.); #324368=ADVANCED_FACE('',(#36853),#19989,.T.); #324369=ADVANCED_FACE('',(#36854),#19990,.T.); #324370=ADVANCED_FACE('',(#36855),#19991,.T.); #324371=ADVANCED_FACE('',(#36856),#19992,.T.); #324372=ADVANCED_FACE('',(#36857),#19993,.T.); #324373=ADVANCED_FACE('',(#36858),#307966,.F.); #324374=ADVANCED_FACE('',(#36859),#19994,.T.); #324375=ADVANCED_FACE('',(#36860),#307967,.T.); #324376=ADVANCED_FACE('',(#36861),#19995,.F.); #324377=ADVANCED_FACE('',(#36862),#19996,.T.); #324378=ADVANCED_FACE('',(#36863),#19997,.T.); #324379=ADVANCED_FACE('',(#36864),#19998,.T.); #324380=ADVANCED_FACE('',(#36865),#19999,.T.); #324381=ADVANCED_FACE('',(#36866),#20000,.T.); #324382=ADVANCED_FACE('',(#36867),#20001,.T.); #324383=ADVANCED_FACE('',(#36868),#20002,.T.); #324384=ADVANCED_FACE('',(#36869),#20003,.T.); #324385=ADVANCED_FACE('',(#36870),#307968,.T.); #324386=ADVANCED_FACE('',(#36871),#307969,.T.); #324387=ADVANCED_FACE('',(#36872),#20004,.T.); #324388=ADVANCED_FACE('',(#36873),#20005,.T.); #324389=ADVANCED_FACE('',(#36874),#307970,.T.); #324390=ADVANCED_FACE('',(#36875),#307971,.F.); #324391=ADVANCED_FACE('',(#36876),#20006,.T.); #324392=ADVANCED_FACE('',(#36877),#307972,.T.); #324393=ADVANCED_FACE('',(#36878),#20007,.T.); #324394=ADVANCED_FACE('',(#36879),#20008,.F.); #324395=ADVANCED_FACE('',(#36880),#20009,.T.); #324396=ADVANCED_FACE('',(#36881),#20010,.T.); #324397=ADVANCED_FACE('',(#36882),#20011,.T.); #324398=ADVANCED_FACE('',(#36883),#20012,.F.); #324399=ADVANCED_FACE('',(#36884),#307973,.F.); #324400=ADVANCED_FACE('',(#36885),#20013,.F.); #324401=ADVANCED_FACE('',(#36886),#20014,.T.); #324402=ADVANCED_FACE('',(#36887),#20015,.T.); #324403=ADVANCED_FACE('',(#36888),#20016,.T.); #324404=ADVANCED_FACE('',(#36889),#20017,.T.); #324405=ADVANCED_FACE('',(#36890),#20018,.T.); #324406=ADVANCED_FACE('',(#36891),#20019,.T.); #324407=ADVANCED_FACE('',(#36892),#20020,.T.); #324408=ADVANCED_FACE('',(#36893),#20021,.T.); #324409=ADVANCED_FACE('',(#36894),#307974,.T.); #324410=ADVANCED_FACE('',(#36895),#20022,.T.); #324411=ADVANCED_FACE('',(#36896),#307975,.F.); #324412=ADVANCED_FACE('',(#36897),#307976,.F.); #324413=ADVANCED_FACE('',(#36898),#20023,.T.); #324414=ADVANCED_FACE('',(#36899),#20024,.T.); #324415=ADVANCED_FACE('',(#36900),#20025,.T.); #324416=ADVANCED_FACE('',(#36901),#20026,.T.); #324417=ADVANCED_FACE('',(#36902),#20027,.T.); #324418=ADVANCED_FACE('',(#36903),#20028,.T.); #324419=ADVANCED_FACE('',(#36904),#20029,.T.); #324420=ADVANCED_FACE('',(#36905,#7479),#20030,.T.); #324421=ADVANCED_FACE('',(#36906),#20031,.T.); #324422=ADVANCED_FACE('',(#36907),#20032,.T.); #324423=ADVANCED_FACE('',(#36908),#20033,.T.); #324424=ADVANCED_FACE('',(#36909),#20034,.T.); #324425=ADVANCED_FACE('',(#36910),#307977,.T.); #324426=ADVANCED_FACE('',(#36911),#20035,.T.); #324427=ADVANCED_FACE('',(#36912),#20036,.T.); #324428=ADVANCED_FACE('',(#36913),#20037,.T.); #324429=ADVANCED_FACE('',(#36914),#20038,.T.); #324430=ADVANCED_FACE('',(#36915),#20039,.T.); #324431=ADVANCED_FACE('',(#36916),#20040,.F.); #324432=ADVANCED_FACE('',(#36917),#20041,.F.); #324433=ADVANCED_FACE('',(#36918),#307978,.T.); #324434=ADVANCED_FACE('',(#36919),#20042,.F.); #324435=ADVANCED_FACE('',(#36920),#20043,.F.); #324436=ADVANCED_FACE('',(#36921),#20044,.F.); #324437=ADVANCED_FACE('',(#36922),#20045,.F.); #324438=ADVANCED_FACE('',(#36923),#20046,.F.); #324439=ADVANCED_FACE('',(#36924),#20047,.T.); #324440=ADVANCED_FACE('',(#36925),#20048,.T.); #324441=ADVANCED_FACE('',(#36926),#307979,.T.); #324442=ADVANCED_FACE('',(#36927),#20049,.T.); #324443=ADVANCED_FACE('',(#36928),#20050,.T.); #324444=ADVANCED_FACE('',(#36929),#20051,.T.); #324445=ADVANCED_FACE('',(#36930),#20052,.T.); #324446=ADVANCED_FACE('',(#36931),#20053,.T.); #324447=ADVANCED_FACE('',(#36932),#20054,.F.); #324448=ADVANCED_FACE('',(#36933),#20055,.T.); #324449=ADVANCED_FACE('',(#36934),#307980,.T.); #324450=ADVANCED_FACE('',(#36935),#20056,.T.); #324451=ADVANCED_FACE('',(#36936),#20057,.T.); #324452=ADVANCED_FACE('',(#36937),#20058,.T.); #324453=ADVANCED_FACE('',(#36938),#20059,.T.); #324454=ADVANCED_FACE('',(#36939),#20060,.T.); #324455=ADVANCED_FACE('',(#36940),#20061,.F.); #324456=ADVANCED_FACE('',(#36941),#20062,.T.); #324457=ADVANCED_FACE('',(#36942),#307981,.T.); #324458=ADVANCED_FACE('',(#36943),#20063,.T.); #324459=ADVANCED_FACE('',(#36944),#20064,.T.); #324460=ADVANCED_FACE('',(#36945),#20065,.T.); #324461=ADVANCED_FACE('',(#36946),#20066,.T.); #324462=ADVANCED_FACE('',(#36947),#20067,.T.); #324463=ADVANCED_FACE('',(#36948),#20068,.F.); #324464=ADVANCED_FACE('',(#36949),#20069,.T.); #324465=ADVANCED_FACE('',(#36950),#307982,.T.); #324466=ADVANCED_FACE('',(#36951),#20070,.T.); #324467=ADVANCED_FACE('',(#36952),#20071,.T.); #324468=ADVANCED_FACE('',(#36953),#20072,.T.); #324469=ADVANCED_FACE('',(#36954),#20073,.T.); #324470=ADVANCED_FACE('',(#36955),#20074,.T.); #324471=ADVANCED_FACE('',(#36956),#20075,.F.); #324472=ADVANCED_FACE('',(#36957),#20076,.T.); #324473=ADVANCED_FACE('',(#36958),#307983,.T.); #324474=ADVANCED_FACE('',(#36959),#20077,.T.); #324475=ADVANCED_FACE('',(#36960),#20078,.T.); #324476=ADVANCED_FACE('',(#36961),#20079,.T.); #324477=ADVANCED_FACE('',(#36962),#20080,.T.); #324478=ADVANCED_FACE('',(#36963),#20081,.T.); #324479=ADVANCED_FACE('',(#36964),#20082,.F.); #324480=ADVANCED_FACE('',(#36965),#20083,.T.); #324481=ADVANCED_FACE('',(#36966),#307984,.T.); #324482=ADVANCED_FACE('',(#36967),#20084,.T.); #324483=ADVANCED_FACE('',(#36968),#20085,.T.); #324484=ADVANCED_FACE('',(#36969),#20086,.T.); #324485=ADVANCED_FACE('',(#36970),#20087,.T.); #324486=ADVANCED_FACE('',(#36971),#20088,.T.); #324487=ADVANCED_FACE('',(#36972),#20089,.F.); #324488=ADVANCED_FACE('',(#36973),#20090,.T.); #324489=ADVANCED_FACE('',(#36974),#307985,.T.); #324490=ADVANCED_FACE('',(#36975),#20091,.T.); #324491=ADVANCED_FACE('',(#36976),#20092,.T.); #324492=ADVANCED_FACE('',(#36977),#20093,.T.); #324493=ADVANCED_FACE('',(#36978),#20094,.T.); #324494=ADVANCED_FACE('',(#36979),#20095,.T.); #324495=ADVANCED_FACE('',(#36980),#20096,.F.); #324496=ADVANCED_FACE('',(#36981),#20097,.T.); #324497=ADVANCED_FACE('',(#36982),#307986,.T.); #324498=ADVANCED_FACE('',(#36983),#20098,.T.); #324499=ADVANCED_FACE('',(#36984),#20099,.T.); #324500=ADVANCED_FACE('',(#36985),#20100,.T.); #324501=ADVANCED_FACE('',(#36986),#20101,.T.); #324502=ADVANCED_FACE('',(#36987),#20102,.T.); #324503=ADVANCED_FACE('',(#36988),#20103,.F.); #324504=ADVANCED_FACE('',(#36989),#20104,.T.); #324505=ADVANCED_FACE('',(#36990),#307987,.T.); #324506=ADVANCED_FACE('',(#36991),#20105,.T.); #324507=ADVANCED_FACE('',(#36992),#20106,.T.); #324508=ADVANCED_FACE('',(#36993),#20107,.T.); #324509=ADVANCED_FACE('',(#36994),#20108,.T.); #324510=ADVANCED_FACE('',(#36995),#20109,.T.); #324511=ADVANCED_FACE('',(#36996),#20110,.F.); #324512=ADVANCED_FACE('',(#36997),#20111,.T.); #324513=ADVANCED_FACE('',(#36998),#307988,.T.); #324514=ADVANCED_FACE('',(#36999),#20112,.T.); #324515=ADVANCED_FACE('',(#37000),#20113,.T.); #324516=ADVANCED_FACE('',(#37001),#20114,.T.); #324517=ADVANCED_FACE('',(#37002),#20115,.T.); #324518=ADVANCED_FACE('',(#37003),#20116,.T.); #324519=ADVANCED_FACE('',(#37004),#20117,.F.); #324520=ADVANCED_FACE('',(#37005),#20118,.T.); #324521=ADVANCED_FACE('',(#37006),#307989,.T.); #324522=ADVANCED_FACE('',(#37007),#20119,.T.); #324523=ADVANCED_FACE('',(#37008),#20120,.T.); #324524=ADVANCED_FACE('',(#37009),#20121,.T.); #324525=ADVANCED_FACE('',(#37010),#20122,.T.); #324526=ADVANCED_FACE('',(#37011),#20123,.T.); #324527=ADVANCED_FACE('',(#37012),#20124,.F.); #324528=ADVANCED_FACE('',(#37013),#20125,.F.); #324529=ADVANCED_FACE('',(#37014),#307990,.T.); #324530=ADVANCED_FACE('',(#37015),#20126,.F.); #324531=ADVANCED_FACE('',(#37016),#20127,.F.); #324532=ADVANCED_FACE('',(#37017),#20128,.F.); #324533=ADVANCED_FACE('',(#37018),#20129,.F.); #324534=ADVANCED_FACE('',(#37019),#20130,.F.); #324535=ADVANCED_FACE('',(#37020),#20131,.T.); #324536=ADVANCED_FACE('',(#37021),#20132,.F.); #324537=ADVANCED_FACE('',(#37022),#307991,.T.); #324538=ADVANCED_FACE('',(#37023),#20133,.F.); #324539=ADVANCED_FACE('',(#37024),#20134,.F.); #324540=ADVANCED_FACE('',(#37025),#20135,.F.); #324541=ADVANCED_FACE('',(#37026),#20136,.F.); #324542=ADVANCED_FACE('',(#37027),#20137,.F.); #324543=ADVANCED_FACE('',(#37028),#20138,.T.); #324544=ADVANCED_FACE('',(#37029),#20139,.F.); #324545=ADVANCED_FACE('',(#37030),#307992,.T.); #324546=ADVANCED_FACE('',(#37031),#20140,.F.); #324547=ADVANCED_FACE('',(#37032),#20141,.F.); #324548=ADVANCED_FACE('',(#37033),#20142,.F.); #324549=ADVANCED_FACE('',(#37034),#20143,.F.); #324550=ADVANCED_FACE('',(#37035),#20144,.F.); #324551=ADVANCED_FACE('',(#37036),#20145,.T.); #324552=ADVANCED_FACE('',(#37037),#20146,.F.); #324553=ADVANCED_FACE('',(#37038),#307993,.T.); #324554=ADVANCED_FACE('',(#37039),#20147,.F.); #324555=ADVANCED_FACE('',(#37040),#20148,.F.); #324556=ADVANCED_FACE('',(#37041),#20149,.F.); #324557=ADVANCED_FACE('',(#37042),#20150,.F.); #324558=ADVANCED_FACE('',(#37043),#20151,.F.); #324559=ADVANCED_FACE('',(#37044),#20152,.T.); #324560=ADVANCED_FACE('',(#37045),#20153,.F.); #324561=ADVANCED_FACE('',(#37046),#307994,.T.); #324562=ADVANCED_FACE('',(#37047),#20154,.F.); #324563=ADVANCED_FACE('',(#37048),#20155,.F.); #324564=ADVANCED_FACE('',(#37049),#20156,.F.); #324565=ADVANCED_FACE('',(#37050),#20157,.F.); #324566=ADVANCED_FACE('',(#37051),#20158,.F.); #324567=ADVANCED_FACE('',(#37052),#20159,.T.); #324568=ADVANCED_FACE('',(#37053),#20160,.F.); #324569=ADVANCED_FACE('',(#37054),#307995,.T.); #324570=ADVANCED_FACE('',(#37055),#20161,.F.); #324571=ADVANCED_FACE('',(#37056),#20162,.F.); #324572=ADVANCED_FACE('',(#37057),#20163,.F.); #324573=ADVANCED_FACE('',(#37058),#20164,.F.); #324574=ADVANCED_FACE('',(#37059),#20165,.F.); #324575=ADVANCED_FACE('',(#37060),#20166,.T.); #324576=ADVANCED_FACE('',(#37061),#20167,.F.); #324577=ADVANCED_FACE('',(#37062),#307996,.T.); #324578=ADVANCED_FACE('',(#37063),#20168,.F.); #324579=ADVANCED_FACE('',(#37064),#20169,.F.); #324580=ADVANCED_FACE('',(#37065),#20170,.F.); #324581=ADVANCED_FACE('',(#37066),#20171,.F.); #324582=ADVANCED_FACE('',(#37067),#20172,.F.); #324583=ADVANCED_FACE('',(#37068),#20173,.T.); #324584=ADVANCED_FACE('',(#37069),#20174,.F.); #324585=ADVANCED_FACE('',(#37070),#307997,.T.); #324586=ADVANCED_FACE('',(#37071),#20175,.F.); #324587=ADVANCED_FACE('',(#37072),#20176,.F.); #324588=ADVANCED_FACE('',(#37073),#20177,.F.); #324589=ADVANCED_FACE('',(#37074),#20178,.F.); #324590=ADVANCED_FACE('',(#37075),#20179,.F.); #324591=ADVANCED_FACE('',(#37076),#20180,.T.); #324592=ADVANCED_FACE('',(#37077),#20181,.F.); #324593=ADVANCED_FACE('',(#37078),#307998,.T.); #324594=ADVANCED_FACE('',(#37079),#20182,.F.); #324595=ADVANCED_FACE('',(#37080),#20183,.F.); #324596=ADVANCED_FACE('',(#37081),#20184,.F.); #324597=ADVANCED_FACE('',(#37082),#20185,.F.); #324598=ADVANCED_FACE('',(#37083),#20186,.F.); #324599=ADVANCED_FACE('',(#37084),#20187,.T.); #324600=ADVANCED_FACE('',(#37085),#20188,.F.); #324601=ADVANCED_FACE('',(#37086),#307999,.T.); #324602=ADVANCED_FACE('',(#37087),#20189,.F.); #324603=ADVANCED_FACE('',(#37088),#20190,.F.); #324604=ADVANCED_FACE('',(#37089),#20191,.F.); #324605=ADVANCED_FACE('',(#37090),#20192,.F.); #324606=ADVANCED_FACE('',(#37091),#20193,.F.); #324607=ADVANCED_FACE('',(#37092),#20194,.T.); #324608=ADVANCED_FACE('',(#37093),#20195,.F.); #324609=ADVANCED_FACE('',(#37094),#308000,.T.); #324610=ADVANCED_FACE('',(#37095),#20196,.F.); #324611=ADVANCED_FACE('',(#37096),#20197,.F.); #324612=ADVANCED_FACE('',(#37097),#20198,.F.); #324613=ADVANCED_FACE('',(#37098),#20199,.F.); #324614=ADVANCED_FACE('',(#37099),#20200,.F.); #324615=ADVANCED_FACE('',(#37100),#20201,.T.); #324616=ADVANCED_FACE('',(#37101),#20202,.T.); #324617=ADVANCED_FACE('',(#37102),#308001,.T.); #324618=ADVANCED_FACE('',(#37103),#20203,.T.); #324619=ADVANCED_FACE('',(#37104),#20204,.T.); #324620=ADVANCED_FACE('',(#37105),#20205,.T.); #324621=ADVANCED_FACE('',(#37106),#20206,.T.); #324622=ADVANCED_FACE('',(#37107),#20207,.T.); #324623=ADVANCED_FACE('',(#37108),#20208,.F.); #324624=ADVANCED_FACE('',(#37109),#20209,.F.); #324625=ADVANCED_FACE('',(#37110),#308002,.T.); #324626=ADVANCED_FACE('',(#37111),#20210,.F.); #324627=ADVANCED_FACE('',(#37112),#20211,.F.); #324628=ADVANCED_FACE('',(#37113),#20212,.F.); #324629=ADVANCED_FACE('',(#37114),#20213,.F.); #324630=ADVANCED_FACE('',(#37115),#20214,.F.); #324631=ADVANCED_FACE('',(#37116),#20215,.T.); #324632=ADVANCED_FACE('',(#37117),#20216,.T.); #324633=ADVANCED_FACE('',(#37118),#308003,.T.); #324634=ADVANCED_FACE('',(#37119),#20217,.T.); #324635=ADVANCED_FACE('',(#37120),#20218,.T.); #324636=ADVANCED_FACE('',(#37121),#20219,.T.); #324637=ADVANCED_FACE('',(#37122),#20220,.T.); #324638=ADVANCED_FACE('',(#37123),#20221,.T.); #324639=ADVANCED_FACE('',(#37124),#20222,.F.); #324640=ADVANCED_FACE('',(#37125),#20223,.T.); #324641=ADVANCED_FACE('',(#37126),#308004,.T.); #324642=ADVANCED_FACE('',(#37127),#20224,.T.); #324643=ADVANCED_FACE('',(#37128),#20225,.T.); #324644=ADVANCED_FACE('',(#37129),#20226,.T.); #324645=ADVANCED_FACE('',(#37130),#20227,.T.); #324646=ADVANCED_FACE('',(#37131),#20228,.T.); #324647=ADVANCED_FACE('',(#37132),#20229,.F.); #324648=ADVANCED_FACE('',(#37133),#20230,.T.); #324649=ADVANCED_FACE('',(#37134),#308005,.T.); #324650=ADVANCED_FACE('',(#37135),#20231,.T.); #324651=ADVANCED_FACE('',(#37136),#20232,.T.); #324652=ADVANCED_FACE('',(#37137),#20233,.T.); #324653=ADVANCED_FACE('',(#37138),#20234,.T.); #324654=ADVANCED_FACE('',(#37139),#20235,.T.); #324655=ADVANCED_FACE('',(#37140),#20236,.F.); #324656=ADVANCED_FACE('',(#37141),#20237,.T.); #324657=ADVANCED_FACE('',(#37142),#308006,.T.); #324658=ADVANCED_FACE('',(#37143),#20238,.T.); #324659=ADVANCED_FACE('',(#37144),#20239,.T.); #324660=ADVANCED_FACE('',(#37145),#20240,.T.); #324661=ADVANCED_FACE('',(#37146),#20241,.T.); #324662=ADVANCED_FACE('',(#37147),#20242,.T.); #324663=ADVANCED_FACE('',(#37148),#20243,.F.); #324664=ADVANCED_FACE('',(#37149),#20244,.T.); #324665=ADVANCED_FACE('',(#37150),#308007,.T.); #324666=ADVANCED_FACE('',(#37151),#20245,.T.); #324667=ADVANCED_FACE('',(#37152),#20246,.T.); #324668=ADVANCED_FACE('',(#37153),#20247,.T.); #324669=ADVANCED_FACE('',(#37154),#20248,.T.); #324670=ADVANCED_FACE('',(#37155),#20249,.T.); #324671=ADVANCED_FACE('',(#37156),#20250,.F.); #324672=ADVANCED_FACE('',(#37157),#20251,.T.); #324673=ADVANCED_FACE('',(#37158),#308008,.T.); #324674=ADVANCED_FACE('',(#37159),#20252,.T.); #324675=ADVANCED_FACE('',(#37160),#20253,.T.); #324676=ADVANCED_FACE('',(#37161),#20254,.T.); #324677=ADVANCED_FACE('',(#37162),#20255,.T.); #324678=ADVANCED_FACE('',(#37163),#20256,.T.); #324679=ADVANCED_FACE('',(#37164),#20257,.F.); #324680=ADVANCED_FACE('',(#37165),#20258,.T.); #324681=ADVANCED_FACE('',(#37166),#308009,.T.); #324682=ADVANCED_FACE('',(#37167),#20259,.T.); #324683=ADVANCED_FACE('',(#37168),#20260,.T.); #324684=ADVANCED_FACE('',(#37169),#20261,.T.); #324685=ADVANCED_FACE('',(#37170),#20262,.T.); #324686=ADVANCED_FACE('',(#37171),#20263,.T.); #324687=ADVANCED_FACE('',(#37172),#20264,.F.); #324688=ADVANCED_FACE('',(#37173),#20265,.T.); #324689=ADVANCED_FACE('',(#37174),#308010,.T.); #324690=ADVANCED_FACE('',(#37175),#20266,.T.); #324691=ADVANCED_FACE('',(#37176),#20267,.T.); #324692=ADVANCED_FACE('',(#37177),#20268,.T.); #324693=ADVANCED_FACE('',(#37178),#20269,.T.); #324694=ADVANCED_FACE('',(#37179),#20270,.T.); #324695=ADVANCED_FACE('',(#37180),#20271,.F.); #324696=ADVANCED_FACE('',(#37181),#20272,.T.); #324697=ADVANCED_FACE('',(#37182),#308011,.T.); #324698=ADVANCED_FACE('',(#37183),#20273,.T.); #324699=ADVANCED_FACE('',(#37184),#20274,.T.); #324700=ADVANCED_FACE('',(#37185),#20275,.T.); #324701=ADVANCED_FACE('',(#37186),#20276,.T.); #324702=ADVANCED_FACE('',(#37187),#20277,.T.); #324703=ADVANCED_FACE('',(#37188),#20278,.F.); #324704=ADVANCED_FACE('',(#37189),#20279,.T.); #324705=ADVANCED_FACE('',(#37190),#308012,.T.); #324706=ADVANCED_FACE('',(#37191),#20280,.T.); #324707=ADVANCED_FACE('',(#37192),#20281,.T.); #324708=ADVANCED_FACE('',(#37193),#20282,.T.); #324709=ADVANCED_FACE('',(#37194),#20283,.T.); #324710=ADVANCED_FACE('',(#37195),#20284,.T.); #324711=ADVANCED_FACE('',(#37196),#20285,.F.); #324712=ADVANCED_FACE('',(#37197),#20286,.T.); #324713=ADVANCED_FACE('',(#37198),#308013,.T.); #324714=ADVANCED_FACE('',(#37199),#20287,.T.); #324715=ADVANCED_FACE('',(#37200),#20288,.T.); #324716=ADVANCED_FACE('',(#37201),#20289,.T.); #324717=ADVANCED_FACE('',(#37202),#20290,.T.); #324718=ADVANCED_FACE('',(#37203),#20291,.T.); #324719=ADVANCED_FACE('',(#37204),#20292,.F.); #324720=ADVANCED_FACE('',(#37205),#20293,.F.); #324721=ADVANCED_FACE('',(#37206),#308014,.T.); #324722=ADVANCED_FACE('',(#37207),#20294,.F.); #324723=ADVANCED_FACE('',(#37208),#20295,.F.); #324724=ADVANCED_FACE('',(#37209),#20296,.F.); #324725=ADVANCED_FACE('',(#37210),#20297,.F.); #324726=ADVANCED_FACE('',(#37211),#20298,.F.); #324727=ADVANCED_FACE('',(#37212),#20299,.T.); #324728=ADVANCED_FACE('',(#37213),#20300,.F.); #324729=ADVANCED_FACE('',(#37214),#308015,.T.); #324730=ADVANCED_FACE('',(#37215),#20301,.F.); #324731=ADVANCED_FACE('',(#37216),#20302,.F.); #324732=ADVANCED_FACE('',(#37217),#20303,.F.); #324733=ADVANCED_FACE('',(#37218),#20304,.F.); #324734=ADVANCED_FACE('',(#37219),#20305,.F.); #324735=ADVANCED_FACE('',(#37220),#20306,.T.); #324736=ADVANCED_FACE('',(#37221),#20307,.F.); #324737=ADVANCED_FACE('',(#37222),#308016,.T.); #324738=ADVANCED_FACE('',(#37223),#20308,.F.); #324739=ADVANCED_FACE('',(#37224),#20309,.F.); #324740=ADVANCED_FACE('',(#37225),#20310,.F.); #324741=ADVANCED_FACE('',(#37226),#20311,.F.); #324742=ADVANCED_FACE('',(#37227),#20312,.F.); #324743=ADVANCED_FACE('',(#37228),#20313,.T.); #324744=ADVANCED_FACE('',(#37229),#20314,.F.); #324745=ADVANCED_FACE('',(#37230),#308017,.T.); #324746=ADVANCED_FACE('',(#37231),#20315,.F.); #324747=ADVANCED_FACE('',(#37232),#20316,.F.); #324748=ADVANCED_FACE('',(#37233),#20317,.F.); #324749=ADVANCED_FACE('',(#37234),#20318,.F.); #324750=ADVANCED_FACE('',(#37235),#20319,.F.); #324751=ADVANCED_FACE('',(#37236),#20320,.T.); #324752=ADVANCED_FACE('',(#37237),#20321,.F.); #324753=ADVANCED_FACE('',(#37238),#308018,.T.); #324754=ADVANCED_FACE('',(#37239),#20322,.F.); #324755=ADVANCED_FACE('',(#37240),#20323,.F.); #324756=ADVANCED_FACE('',(#37241),#20324,.F.); #324757=ADVANCED_FACE('',(#37242),#20325,.F.); #324758=ADVANCED_FACE('',(#37243),#20326,.F.); #324759=ADVANCED_FACE('',(#37244),#20327,.T.); #324760=ADVANCED_FACE('',(#37245),#20328,.F.); #324761=ADVANCED_FACE('',(#37246),#308019,.T.); #324762=ADVANCED_FACE('',(#37247),#20329,.F.); #324763=ADVANCED_FACE('',(#37248),#20330,.F.); #324764=ADVANCED_FACE('',(#37249),#20331,.F.); #324765=ADVANCED_FACE('',(#37250),#20332,.F.); #324766=ADVANCED_FACE('',(#37251),#20333,.F.); #324767=ADVANCED_FACE('',(#37252),#20334,.T.); #324768=ADVANCED_FACE('',(#37253),#20335,.F.); #324769=ADVANCED_FACE('',(#37254),#308020,.T.); #324770=ADVANCED_FACE('',(#37255),#20336,.F.); #324771=ADVANCED_FACE('',(#37256),#20337,.F.); #324772=ADVANCED_FACE('',(#37257),#20338,.F.); #324773=ADVANCED_FACE('',(#37258),#20339,.F.); #324774=ADVANCED_FACE('',(#37259),#20340,.F.); #324775=ADVANCED_FACE('',(#37260),#20341,.T.); #324776=ADVANCED_FACE('',(#37261),#20342,.F.); #324777=ADVANCED_FACE('',(#37262),#308021,.T.); #324778=ADVANCED_FACE('',(#37263),#20343,.F.); #324779=ADVANCED_FACE('',(#37264),#20344,.F.); #324780=ADVANCED_FACE('',(#37265),#20345,.F.); #324781=ADVANCED_FACE('',(#37266),#20346,.F.); #324782=ADVANCED_FACE('',(#37267),#20347,.F.); #324783=ADVANCED_FACE('',(#37268),#20348,.T.); #324784=ADVANCED_FACE('',(#37269),#20349,.F.); #324785=ADVANCED_FACE('',(#37270),#308022,.T.); #324786=ADVANCED_FACE('',(#37271),#20350,.F.); #324787=ADVANCED_FACE('',(#37272),#20351,.F.); #324788=ADVANCED_FACE('',(#37273),#20352,.F.); #324789=ADVANCED_FACE('',(#37274),#20353,.F.); #324790=ADVANCED_FACE('',(#37275),#20354,.F.); #324791=ADVANCED_FACE('',(#37276),#20355,.T.); #324792=ADVANCED_FACE('',(#37277),#20356,.F.); #324793=ADVANCED_FACE('',(#37278),#308023,.T.); #324794=ADVANCED_FACE('',(#37279),#20357,.F.); #324795=ADVANCED_FACE('',(#37280),#20358,.F.); #324796=ADVANCED_FACE('',(#37281),#20359,.F.); #324797=ADVANCED_FACE('',(#37282),#20360,.F.); #324798=ADVANCED_FACE('',(#37283),#20361,.F.); #324799=ADVANCED_FACE('',(#37284),#20362,.T.); #324800=ADVANCED_FACE('',(#37285),#20363,.F.); #324801=ADVANCED_FACE('',(#37286),#308024,.T.); #324802=ADVANCED_FACE('',(#37287),#20364,.F.); #324803=ADVANCED_FACE('',(#37288),#20365,.F.); #324804=ADVANCED_FACE('',(#37289),#20366,.F.); #324805=ADVANCED_FACE('',(#37290),#20367,.F.); #324806=ADVANCED_FACE('',(#37291),#20368,.F.); #324807=ADVANCED_FACE('',(#37292),#20369,.T.); #324808=ADVANCED_FACE('',(#37293),#20370,.T.); #324809=ADVANCED_FACE('',(#37294),#20371,.T.); #324810=ADVANCED_FACE('',(#37295),#20372,.T.); #324811=ADVANCED_FACE('',(#37296),#20373,.T.); #324812=ADVANCED_FACE('',(#37297),#20374,.T.); #324813=ADVANCED_FACE('',(#37298),#20375,.F.); #324814=ADVANCED_FACE('',(#37299),#324,.T.); #324815=ADVANCED_FACE('',(#37300),#325,.T.); #324816=ADVANCED_FACE('',(#37301),#326,.T.); #324817=ADVANCED_FACE('',(#37302),#327,.T.); #324818=ADVANCED_FACE('',(#37303),#328,.T.); #324819=ADVANCED_FACE('',(#37304),#308025,.T.); #324820=ADVANCED_FACE('',(#37305),#329,.T.); #324821=ADVANCED_FACE('',(#37306),#330,.T.); #324822=ADVANCED_FACE('',(#37307),#308026,.T.); #324823=ADVANCED_FACE('',(#37308),#308027,.T.); #324824=ADVANCED_FACE('',(#37309),#331,.T.); #324825=ADVANCED_FACE('',(#37310),#308028,.T.); #324826=ADVANCED_FACE('',(#37311),#308029,.T.); #324827=ADVANCED_FACE('',(#37312),#308030,.T.); #324828=ADVANCED_FACE('',(#37313),#308031,.T.); #324829=ADVANCED_FACE('',(#37314),#308032,.T.); #324830=ADVANCED_FACE('',(#37315),#308033,.T.); #324831=ADVANCED_FACE('',(#37316),#308034,.T.); #324832=ADVANCED_FACE('',(#37317),#308035,.T.); #324833=ADVANCED_FACE('',(#37318),#308036,.T.); #324834=ADVANCED_FACE('',(#37319),#20376,.T.); #324835=ADVANCED_FACE('',(#37320),#20377,.F.); #324836=ADVANCED_FACE('',(#37321),#20378,.T.); #324837=ADVANCED_FACE('',(#37322),#20379,.T.); #324838=ADVANCED_FACE('',(#37323),#20380,.T.); #324839=ADVANCED_FACE('',(#37324),#20381,.T.); #324840=ADVANCED_FACE('',(#37325),#332,.T.); #324841=ADVANCED_FACE('',(#37326),#333,.T.); #324842=ADVANCED_FACE('',(#37327),#334,.T.); #324843=ADVANCED_FACE('',(#37328),#335,.T.); #324844=ADVANCED_FACE('',(#37329),#336,.T.); #324845=ADVANCED_FACE('',(#37330),#308037,.T.); #324846=ADVANCED_FACE('',(#37331),#337,.T.); #324847=ADVANCED_FACE('',(#37332),#338,.T.); #324848=ADVANCED_FACE('',(#37333),#308038,.T.); #324849=ADVANCED_FACE('',(#37334),#308039,.T.); #324850=ADVANCED_FACE('',(#37335),#339,.T.); #324851=ADVANCED_FACE('',(#37336),#308040,.T.); #324852=ADVANCED_FACE('',(#37337),#308041,.T.); #324853=ADVANCED_FACE('',(#37338),#308042,.T.); #324854=ADVANCED_FACE('',(#37339),#308043,.T.); #324855=ADVANCED_FACE('',(#37340),#308044,.T.); #324856=ADVANCED_FACE('',(#37341),#308045,.T.); #324857=ADVANCED_FACE('',(#37342),#308046,.T.); #324858=ADVANCED_FACE('',(#37343),#308047,.T.); #324859=ADVANCED_FACE('',(#37344),#308048,.T.); #324860=ADVANCED_FACE('',(#37345),#20382,.T.); #324861=ADVANCED_FACE('',(#37346),#20383,.F.); #324862=ADVANCED_FACE('',(#37347),#20384,.T.); #324863=ADVANCED_FACE('',(#37348),#20385,.T.); #324864=ADVANCED_FACE('',(#37349),#20386,.T.); #324865=ADVANCED_FACE('',(#37350),#20387,.T.); #324866=ADVANCED_FACE('',(#37351),#20388,.T.); #324867=ADVANCED_FACE('',(#37352),#20389,.T.); #324868=ADVANCED_FACE('',(#37353),#20390,.T.); #324869=ADVANCED_FACE('',(#37354),#20391,.T.); #324870=ADVANCED_FACE('',(#37355),#20392,.T.); #324871=ADVANCED_FACE('',(#37356),#20393,.F.); #324872=ADVANCED_FACE('',(#37357),#20394,.T.); #324873=ADVANCED_FACE('',(#37358),#20395,.T.); #324874=ADVANCED_FACE('',(#37359),#20396,.T.); #324875=ADVANCED_FACE('',(#37360),#20397,.T.); #324876=ADVANCED_FACE('',(#37361),#20398,.T.); #324877=ADVANCED_FACE('',(#37362),#20399,.T.); #324878=ADVANCED_FACE('',(#37363),#20400,.T.); #324879=ADVANCED_FACE('',(#37364),#20401,.T.); #324880=ADVANCED_FACE('',(#37365),#20402,.T.); #324881=ADVANCED_FACE('',(#37366),#20403,.F.); #324882=ADVANCED_FACE('',(#37367),#20404,.T.); #324883=ADVANCED_FACE('',(#37368),#20405,.T.); #324884=ADVANCED_FACE('',(#37369),#20406,.T.); #324885=ADVANCED_FACE('',(#37370),#20407,.T.); #324886=ADVANCED_FACE('',(#37371),#20408,.T.); #324887=ADVANCED_FACE('',(#37372),#20409,.F.); #324888=ADVANCED_FACE('',(#37373),#20410,.T.); #324889=ADVANCED_FACE('',(#37374),#20411,.T.); #324890=ADVANCED_FACE('',(#37375),#20412,.T.); #324891=ADVANCED_FACE('',(#37376),#20413,.T.); #324892=ADVANCED_FACE('',(#37377),#20414,.T.); #324893=ADVANCED_FACE('',(#37378),#20415,.F.); #324894=ADVANCED_FACE('',(#37379),#20416,.T.); #324895=ADVANCED_FACE('',(#37380),#20417,.T.); #324896=ADVANCED_FACE('',(#37381),#20418,.T.); #324897=ADVANCED_FACE('',(#37382),#20419,.T.); #324898=ADVANCED_FACE('',(#37383),#20420,.T.); #324899=ADVANCED_FACE('',(#37384),#20421,.F.); #324900=ADVANCED_FACE('',(#37385),#20422,.T.); #324901=ADVANCED_FACE('',(#37386),#20423,.T.); #324902=ADVANCED_FACE('',(#37387),#20424,.T.); #324903=ADVANCED_FACE('',(#37388),#20425,.T.); #324904=ADVANCED_FACE('',(#37389),#20426,.T.); #324905=ADVANCED_FACE('',(#37390),#20427,.F.); #324906=ADVANCED_FACE('',(#37391),#20428,.T.); #324907=ADVANCED_FACE('',(#37392),#20429,.T.); #324908=ADVANCED_FACE('',(#37393),#20430,.T.); #324909=ADVANCED_FACE('',(#37394),#20431,.T.); #324910=ADVANCED_FACE('',(#37395),#20432,.T.); #324911=ADVANCED_FACE('',(#37396),#20433,.F.); #324912=ADVANCED_FACE('',(#37397),#308049,.F.); #324913=ADVANCED_FACE('',(#37398),#20434,.T.); #324914=ADVANCED_FACE('',(#37399),#20435,.T.); #324915=ADVANCED_FACE('',(#37400),#20436,.T.); #324916=ADVANCED_FACE('',(#37401),#20437,.T.); #324917=ADVANCED_FACE('',(#37402),#20438,.T.); #324918=ADVANCED_FACE('',(#37403),#20439,.T.); #324919=ADVANCED_FACE('',(#37404),#20440,.T.); #324920=ADVANCED_FACE('',(#37405,#7480),#20441,.T.); #324921=ADVANCED_FACE('',(#37406),#20442,.T.); #324922=ADVANCED_FACE('',(#37407),#20443,.T.); #324923=ADVANCED_FACE('',(#37408),#20444,.T.); #324924=ADVANCED_FACE('',(#37409),#20445,.T.); #324925=ADVANCED_FACE('',(#37410),#20446,.T.); #324926=ADVANCED_FACE('',(#37411),#20447,.F.); #324927=ADVANCED_FACE('',(#37412),#20448,.T.); #324928=ADVANCED_FACE('',(#37413),#20449,.F.); #324929=ADVANCED_FACE('',(#37414),#20450,.T.); #324930=ADVANCED_FACE('',(#37415),#308050,.F.); #324931=ADVANCED_FACE('',(#37416),#20451,.T.); #324932=ADVANCED_FACE('',(#37417),#20452,.T.); #324933=ADVANCED_FACE('',(#37418),#20453,.T.); #324934=ADVANCED_FACE('',(#37419),#308051,.T.); #324935=ADVANCED_FACE('',(#37420),#20454,.T.); #324936=ADVANCED_FACE('',(#37421),#308052,.F.); #324937=ADVANCED_FACE('',(#37422),#20455,.T.); #324938=ADVANCED_FACE('',(#37423),#20456,.T.); #324939=ADVANCED_FACE('',(#37424),#20457,.T.); #324940=ADVANCED_FACE('',(#37425),#308053,.T.); #324941=ADVANCED_FACE('',(#37426),#20458,.T.); #324942=ADVANCED_FACE('',(#37427),#20459,.T.); #324943=ADVANCED_FACE('',(#37428),#20460,.F.); #324944=ADVANCED_FACE('',(#37429),#308054,.F.); #324945=ADVANCED_FACE('',(#37430),#20461,.F.); #324946=ADVANCED_FACE('',(#37431),#20462,.F.); #324947=ADVANCED_FACE('',(#37432),#20463,.F.); #324948=ADVANCED_FACE('',(#37433),#308055,.T.); #324949=ADVANCED_FACE('',(#37434),#20464,.F.); #324950=ADVANCED_FACE('',(#37435),#308056,.F.); #324951=ADVANCED_FACE('',(#37436),#20465,.F.); #324952=ADVANCED_FACE('',(#37437),#20466,.F.); #324953=ADVANCED_FACE('',(#37438),#20467,.F.); #324954=ADVANCED_FACE('',(#37439),#308057,.T.); #324955=ADVANCED_FACE('',(#37440),#20468,.F.); #324956=ADVANCED_FACE('',(#37441),#20469,.F.); #324957=ADVANCED_FACE('',(#37442),#20470,.T.); #324958=ADVANCED_FACE('',(#37443),#308058,.F.); #324959=ADVANCED_FACE('',(#37444),#20471,.T.); #324960=ADVANCED_FACE('',(#37445),#20472,.T.); #324961=ADVANCED_FACE('',(#37446),#20473,.T.); #324962=ADVANCED_FACE('',(#37447),#308059,.T.); #324963=ADVANCED_FACE('',(#37448),#20474,.T.); #324964=ADVANCED_FACE('',(#37449),#308060,.F.); #324965=ADVANCED_FACE('',(#37450),#20475,.T.); #324966=ADVANCED_FACE('',(#37451),#20476,.T.); #324967=ADVANCED_FACE('',(#37452),#20477,.T.); #324968=ADVANCED_FACE('',(#37453),#308061,.T.); #324969=ADVANCED_FACE('',(#37454),#20478,.T.); #324970=ADVANCED_FACE('',(#37455),#20479,.F.); #324971=ADVANCED_FACE('',(#37456),#20480,.T.); #324972=ADVANCED_FACE('',(#37457),#308062,.F.); #324973=ADVANCED_FACE('',(#37458),#20481,.T.); #324974=ADVANCED_FACE('',(#37459),#20482,.T.); #324975=ADVANCED_FACE('',(#37460),#20483,.T.); #324976=ADVANCED_FACE('',(#37461),#308063,.T.); #324977=ADVANCED_FACE('',(#37462),#20484,.T.); #324978=ADVANCED_FACE('',(#37463),#308064,.F.); #324979=ADVANCED_FACE('',(#37464),#20485,.T.); #324980=ADVANCED_FACE('',(#37465),#20486,.T.); #324981=ADVANCED_FACE('',(#37466),#20487,.T.); #324982=ADVANCED_FACE('',(#37467),#308065,.T.); #324983=ADVANCED_FACE('',(#37468),#20488,.T.); #324984=ADVANCED_FACE('',(#37469),#20489,.F.); #324985=ADVANCED_FACE('',(#37470),#20490,.T.); #324986=ADVANCED_FACE('',(#37471),#308066,.F.); #324987=ADVANCED_FACE('',(#37472),#20491,.T.); #324988=ADVANCED_FACE('',(#37473),#20492,.T.); #324989=ADVANCED_FACE('',(#37474),#20493,.T.); #324990=ADVANCED_FACE('',(#37475),#308067,.T.); #324991=ADVANCED_FACE('',(#37476),#20494,.T.); #324992=ADVANCED_FACE('',(#37477),#308068,.F.); #324993=ADVANCED_FACE('',(#37478),#20495,.T.); #324994=ADVANCED_FACE('',(#37479),#20496,.T.); #324995=ADVANCED_FACE('',(#37480),#20497,.T.); #324996=ADVANCED_FACE('',(#37481),#308069,.T.); #324997=ADVANCED_FACE('',(#37482),#20498,.T.); #324998=ADVANCED_FACE('',(#37483),#20499,.T.); #324999=ADVANCED_FACE('',(#37484),#20500,.F.); #325000=ADVANCED_FACE('',(#37485),#308070,.F.); #325001=ADVANCED_FACE('',(#37486),#20501,.F.); #325002=ADVANCED_FACE('',(#37487),#20502,.F.); #325003=ADVANCED_FACE('',(#37488),#20503,.F.); #325004=ADVANCED_FACE('',(#37489),#308071,.T.); #325005=ADVANCED_FACE('',(#37490),#20504,.F.); #325006=ADVANCED_FACE('',(#37491),#308072,.F.); #325007=ADVANCED_FACE('',(#37492),#20505,.F.); #325008=ADVANCED_FACE('',(#37493),#20506,.F.); #325009=ADVANCED_FACE('',(#37494),#20507,.F.); #325010=ADVANCED_FACE('',(#37495),#308073,.T.); #325011=ADVANCED_FACE('',(#37496),#20508,.F.); #325012=ADVANCED_FACE('',(#37497),#20509,.T.); #325013=ADVANCED_FACE('',(#37498),#20510,.F.); #325014=ADVANCED_FACE('',(#37499),#308074,.F.); #325015=ADVANCED_FACE('',(#37500),#20511,.F.); #325016=ADVANCED_FACE('',(#37501),#20512,.F.); #325017=ADVANCED_FACE('',(#37502),#20513,.F.); #325018=ADVANCED_FACE('',(#37503),#308075,.T.); #325019=ADVANCED_FACE('',(#37504),#20514,.F.); #325020=ADVANCED_FACE('',(#37505),#308076,.F.); #325021=ADVANCED_FACE('',(#37506),#20515,.F.); #325022=ADVANCED_FACE('',(#37507),#20516,.F.); #325023=ADVANCED_FACE('',(#37508),#20517,.F.); #325024=ADVANCED_FACE('',(#37509),#308077,.T.); #325025=ADVANCED_FACE('',(#37510),#20518,.F.); #325026=ADVANCED_FACE('',(#37511),#20519,.T.); #325027=ADVANCED_FACE('',(#37512),#20520,.F.); #325028=ADVANCED_FACE('',(#37513),#308078,.F.); #325029=ADVANCED_FACE('',(#37514),#20521,.F.); #325030=ADVANCED_FACE('',(#37515),#20522,.F.); #325031=ADVANCED_FACE('',(#37516),#20523,.F.); #325032=ADVANCED_FACE('',(#37517),#308079,.T.); #325033=ADVANCED_FACE('',(#37518),#20524,.F.); #325034=ADVANCED_FACE('',(#37519),#308080,.F.); #325035=ADVANCED_FACE('',(#37520),#20525,.F.); #325036=ADVANCED_FACE('',(#37521),#20526,.F.); #325037=ADVANCED_FACE('',(#37522),#20527,.F.); #325038=ADVANCED_FACE('',(#37523),#308081,.T.); #325039=ADVANCED_FACE('',(#37524),#20528,.F.); #325040=ADVANCED_FACE('',(#37525),#308082,.F.); #325041=ADVANCED_FACE('',(#37526),#20529,.T.); #325042=ADVANCED_FACE('',(#37527),#20530,.T.); #325043=ADVANCED_FACE('',(#37528),#20531,.T.); #325044=ADVANCED_FACE('',(#37529),#20532,.T.); #325045=ADVANCED_FACE('',(#37530),#20533,.T.); #325046=ADVANCED_FACE('',(#37531),#20534,.T.); #325047=ADVANCED_FACE('',(#37532),#20535,.T.); #325048=ADVANCED_FACE('',(#37533,#7481),#20536,.T.); #325049=ADVANCED_FACE('',(#37534),#20537,.T.); #325050=ADVANCED_FACE('',(#37535),#20538,.T.); #325051=ADVANCED_FACE('',(#37536),#20539,.T.); #325052=ADVANCED_FACE('',(#37537),#20540,.T.); #325053=ADVANCED_FACE('',(#37538),#20541,.T.); #325054=ADVANCED_FACE('',(#37539),#20542,.F.); #325055=ADVANCED_FACE('',(#37540),#20543,.T.); #325056=ADVANCED_FACE('',(#37541),#20544,.T.); #325057=ADVANCED_FACE('',(#37542),#20545,.T.); #325058=ADVANCED_FACE('',(#37543),#20546,.T.); #325059=ADVANCED_FACE('',(#37544),#20547,.T.); #325060=ADVANCED_FACE('',(#37545),#308083,.F.); #325061=ADVANCED_FACE('',(#37546),#20548,.T.); #325062=ADVANCED_FACE('',(#37547),#308084,.T.); #325063=ADVANCED_FACE('',(#37548),#20549,.T.); #325064=ADVANCED_FACE('',(#37549),#20550,.T.); #325065=ADVANCED_FACE('',(#37550),#20551,.T.); #325066=ADVANCED_FACE('',(#37551),#308085,.F.); #325067=ADVANCED_FACE('',(#37552),#20552,.T.); #325068=ADVANCED_FACE('',(#37553),#308086,.T.); #325069=ADVANCED_FACE('',(#37554),#20553,.F.); #325070=ADVANCED_FACE('',(#37555),#20554,.T.); #325071=ADVANCED_FACE('',(#37556),#20555,.T.); #325072=ADVANCED_FACE('',(#37557),#20556,.T.); #325073=ADVANCED_FACE('',(#37558),#20557,.T.); #325074=ADVANCED_FACE('',(#37559),#308087,.F.); #325075=ADVANCED_FACE('',(#37560),#20558,.T.); #325076=ADVANCED_FACE('',(#37561),#308088,.T.); #325077=ADVANCED_FACE('',(#37562),#20559,.T.); #325078=ADVANCED_FACE('',(#37563),#20560,.T.); #325079=ADVANCED_FACE('',(#37564),#20561,.T.); #325080=ADVANCED_FACE('',(#37565),#308089,.F.); #325081=ADVANCED_FACE('',(#37566),#20562,.T.); #325082=ADVANCED_FACE('',(#37567),#308090,.T.); #325083=ADVANCED_FACE('',(#37568),#20563,.F.); #325084=ADVANCED_FACE('',(#37569),#20564,.T.); #325085=ADVANCED_FACE('',(#37570),#20565,.T.); #325086=ADVANCED_FACE('',(#37571),#20566,.T.); #325087=ADVANCED_FACE('',(#37572),#20567,.T.); #325088=ADVANCED_FACE('',(#37573),#308091,.F.); #325089=ADVANCED_FACE('',(#37574),#20568,.T.); #325090=ADVANCED_FACE('',(#37575),#308092,.T.); #325091=ADVANCED_FACE('',(#37576),#20569,.T.); #325092=ADVANCED_FACE('',(#37577),#20570,.T.); #325093=ADVANCED_FACE('',(#37578),#20571,.T.); #325094=ADVANCED_FACE('',(#37579),#308093,.F.); #325095=ADVANCED_FACE('',(#37580),#20572,.T.); #325096=ADVANCED_FACE('',(#37581),#308094,.T.); #325097=ADVANCED_FACE('',(#37582),#20573,.F.); #325098=ADVANCED_FACE('',(#37583),#20574,.T.); #325099=ADVANCED_FACE('',(#37584),#20575,.T.); #325100=ADVANCED_FACE('',(#37585),#20576,.T.); #325101=ADVANCED_FACE('',(#37586),#20577,.T.); #325102=ADVANCED_FACE('',(#37587),#308095,.F.); #325103=ADVANCED_FACE('',(#37588),#20578,.T.); #325104=ADVANCED_FACE('',(#37589),#308096,.T.); #325105=ADVANCED_FACE('',(#37590),#20579,.T.); #325106=ADVANCED_FACE('',(#37591),#20580,.T.); #325107=ADVANCED_FACE('',(#37592),#20581,.T.); #325108=ADVANCED_FACE('',(#37593),#308097,.F.); #325109=ADVANCED_FACE('',(#37594),#20582,.T.); #325110=ADVANCED_FACE('',(#37595),#308098,.T.); #325111=ADVANCED_FACE('',(#37596),#20583,.F.); #325112=ADVANCED_FACE('',(#37597),#20584,.T.); #325113=ADVANCED_FACE('',(#37598),#20585,.T.); #325114=ADVANCED_FACE('',(#37599),#20586,.T.); #325115=ADVANCED_FACE('',(#37600),#20587,.T.); #325116=ADVANCED_FACE('',(#37601),#308099,.F.); #325117=ADVANCED_FACE('',(#37602),#20588,.T.); #325118=ADVANCED_FACE('',(#37603),#308100,.T.); #325119=ADVANCED_FACE('',(#37604),#20589,.T.); #325120=ADVANCED_FACE('',(#37605),#20590,.T.); #325121=ADVANCED_FACE('',(#37606),#20591,.T.); #325122=ADVANCED_FACE('',(#37607),#308101,.F.); #325123=ADVANCED_FACE('',(#37608),#20592,.T.); #325124=ADVANCED_FACE('',(#37609),#308102,.T.); #325125=ADVANCED_FACE('',(#37610),#20593,.F.); #325126=ADVANCED_FACE('',(#37611),#340,.T.); #325127=ADVANCED_FACE('',(#37612),#341,.T.); #325128=ADVANCED_FACE('',(#37613),#342,.T.); #325129=ADVANCED_FACE('',(#37614),#343,.T.); #325130=ADVANCED_FACE('',(#37615),#344,.T.); #325131=ADVANCED_FACE('',(#37616),#308103,.T.); #325132=ADVANCED_FACE('',(#37617),#345,.T.); #325133=ADVANCED_FACE('',(#37618),#346,.T.); #325134=ADVANCED_FACE('',(#37619),#308104,.T.); #325135=ADVANCED_FACE('',(#37620),#308105,.T.); #325136=ADVANCED_FACE('',(#37621),#347,.T.); #325137=ADVANCED_FACE('',(#37622),#308106,.T.); #325138=ADVANCED_FACE('',(#37623),#308107,.T.); #325139=ADVANCED_FACE('',(#37624),#308108,.T.); #325140=ADVANCED_FACE('',(#37625),#308109,.T.); #325141=ADVANCED_FACE('',(#37626),#308110,.T.); #325142=ADVANCED_FACE('',(#37627),#308111,.T.); #325143=ADVANCED_FACE('',(#37628),#308112,.T.); #325144=ADVANCED_FACE('',(#37629),#308113,.T.); #325145=ADVANCED_FACE('',(#37630),#308114,.T.); #325146=ADVANCED_FACE('',(#37631),#20594,.T.); #325147=ADVANCED_FACE('',(#37632),#20595,.F.); #325148=ADVANCED_FACE('',(#37633),#20596,.T.); #325149=ADVANCED_FACE('',(#37634),#20597,.T.); #325150=ADVANCED_FACE('',(#37635),#20598,.T.); #325151=ADVANCED_FACE('',(#37636),#20599,.T.); #325152=ADVANCED_FACE('',(#37637),#348,.T.); #325153=ADVANCED_FACE('',(#37638),#349,.T.); #325154=ADVANCED_FACE('',(#37639),#350,.T.); #325155=ADVANCED_FACE('',(#37640),#351,.T.); #325156=ADVANCED_FACE('',(#37641),#352,.T.); #325157=ADVANCED_FACE('',(#37642),#308115,.T.); #325158=ADVANCED_FACE('',(#37643),#353,.T.); #325159=ADVANCED_FACE('',(#37644),#354,.T.); #325160=ADVANCED_FACE('',(#37645),#308116,.T.); #325161=ADVANCED_FACE('',(#37646),#308117,.T.); #325162=ADVANCED_FACE('',(#37647),#355,.T.); #325163=ADVANCED_FACE('',(#37648),#308118,.T.); #325164=ADVANCED_FACE('',(#37649),#308119,.T.); #325165=ADVANCED_FACE('',(#37650),#308120,.T.); #325166=ADVANCED_FACE('',(#37651),#308121,.T.); #325167=ADVANCED_FACE('',(#37652),#308122,.T.); #325168=ADVANCED_FACE('',(#37653),#308123,.T.); #325169=ADVANCED_FACE('',(#37654),#308124,.T.); #325170=ADVANCED_FACE('',(#37655),#308125,.T.); #325171=ADVANCED_FACE('',(#37656),#308126,.T.); #325172=ADVANCED_FACE('',(#37657),#20600,.T.); #325173=ADVANCED_FACE('',(#37658),#20601,.F.); #325174=ADVANCED_FACE('',(#37659),#20602,.T.); #325175=ADVANCED_FACE('',(#37660),#20603,.T.); #325176=ADVANCED_FACE('',(#37661),#20604,.T.); #325177=ADVANCED_FACE('',(#37662),#20605,.T.); #325178=ADVANCED_FACE('',(#37663),#20606,.T.); #325179=ADVANCED_FACE('',(#37664),#20607,.T.); #325180=ADVANCED_FACE('',(#37665),#20608,.T.); #325181=ADVANCED_FACE('',(#37666),#20609,.T.); #325182=ADVANCED_FACE('',(#37667),#20610,.T.); #325183=ADVANCED_FACE('',(#37668),#20611,.F.); #325184=CLOSED_SHELL('',(#308127,#308128,#308129,#308130,#308131,#308132, #308133,#308134,#308135,#308136,#308137,#308138,#308139,#308140,#308141, #308142,#308143,#308144,#308145,#308146,#308147,#308148,#308149,#308150, #308151,#308152,#308153,#308154,#308155,#308156,#308157,#308158,#308159, #308160,#308161,#308162,#308163,#308164,#308165,#308166,#308167,#308168, #308169,#308170,#308171,#308172,#308173,#308174,#308175,#308176,#308177, #308178,#308179,#308180,#308181,#308182,#308183,#308184,#308185,#308186, #308187,#308188,#308189,#308190,#308191,#308192,#308193,#308194,#308195, #308196,#308197,#308198,#308199,#308200,#308201,#308202,#308203,#308204, #308205,#308206,#308207,#308208,#308209,#308210,#308211,#308212,#308213, #308214,#308215,#308216,#308217,#308218,#308219,#308220,#308221,#308222, #308223,#308224,#308225,#308226,#308227,#308228,#308229,#308230,#308231, #308232,#308233,#308234,#308235,#308236,#308237,#308238,#308239,#308240, #308241,#308242,#308243,#308244,#308245,#308246,#308247,#308248,#308249, #308250,#308251,#308252,#308253,#308254,#308255,#308256,#308257,#308258, #308259,#308260,#308261,#308262,#308263,#308264,#308265,#308266,#308267, #308268,#308269,#308270,#308271,#308272,#308273,#308274,#308275,#308276, #308277,#308278,#308279,#308280,#308281,#308282,#308283,#308284,#308285, #308286,#308287,#308288,#308289,#308290,#308291,#308292,#308293,#308294, #308295,#308296,#308297,#308298,#308299,#308300,#308301,#308302,#308303, #308304,#308305,#308306,#308307,#308308,#308309,#308310,#308311,#308312, #308313,#308314,#308315,#308316,#308317,#308318,#308319,#308320,#308321, #308322,#308323,#308324,#308325,#308326,#308327,#308328,#308329,#308330, #308331,#308332,#308333,#308334,#308335,#308336,#308337,#308338,#308339, #308340,#308341,#308342,#308343,#308344,#308345,#308346,#308347,#308348, #308349,#308350,#308351,#308352,#308353,#308354,#308355,#308356,#308357, #308358,#308359,#308360,#308361,#308362,#308363,#308364,#308365,#308366, #308367,#308368,#308369,#308370,#308371,#308372,#308373,#308374,#308375, #308376,#308377,#308378,#308379,#308380,#308381,#308382,#308383,#308384, #308385,#308386,#308387,#308388,#308389,#308390,#308391,#308392,#308393, #308394,#308395,#308396,#308397,#308398,#308399,#308400,#308401,#308402, #308403,#308404,#308405,#308406,#308407,#308408,#308409,#308410,#308411, #308412,#308413,#308414,#308415,#308416,#308417,#308418,#308419,#308420, #308421,#308422,#308423,#308424,#308425,#308426,#308427,#308428,#308429, #308430,#308431,#308432,#308433,#308434,#308435,#308436,#308437,#308438, #308439,#308440,#308441,#308442,#308443,#308444,#308445,#308446,#308447, #308448,#308449,#308450,#308451,#308452,#308453,#308454,#308455,#308456, #308457,#308458,#308459,#308460,#308461,#308462,#308463,#308464)); #325185=CLOSED_SHELL('',(#308465,#308466,#308467,#308468)); #325186=CLOSED_SHELL('',(#308469,#308470,#308471,#308472)); #325187=CLOSED_SHELL('',(#308473,#308474,#308475,#308476)); #325188=CLOSED_SHELL('',(#308477,#308478,#308479,#308480)); #325189=CLOSED_SHELL('',(#308481,#308482,#308483,#308484)); #325190=CLOSED_SHELL('',(#308485,#308486,#308487,#308488)); #325191=CLOSED_SHELL('',(#308489,#308490,#308491,#308492)); #325192=CLOSED_SHELL('',(#308493,#308494,#308495,#308496)); #325193=CLOSED_SHELL('',(#308497,#308498,#308499,#308500)); #325194=CLOSED_SHELL('',(#308501,#308502,#308503,#308504)); #325195=CLOSED_SHELL('',(#308505,#308506,#308507,#308508)); #325196=CLOSED_SHELL('',(#308509,#308510,#308511,#308512)); #325197=CLOSED_SHELL('',(#308513,#308514,#308515,#308516)); #325198=CLOSED_SHELL('',(#308517,#308518,#308519,#308520)); #325199=CLOSED_SHELL('',(#308521,#308522,#308523,#308524)); #325200=CLOSED_SHELL('',(#308525,#308526,#308527,#308528)); #325201=CLOSED_SHELL('',(#308529,#308530,#308531,#308532)); #325202=CLOSED_SHELL('',(#308533,#308534,#308535,#308536)); #325203=CLOSED_SHELL('',(#308537,#308538,#308539,#308540)); #325204=CLOSED_SHELL('',(#308541,#308542,#308543,#308544)); #325205=CLOSED_SHELL('',(#308545,#308546,#308547,#308548)); #325206=CLOSED_SHELL('',(#308549,#308550,#308551,#308552)); #325207=CLOSED_SHELL('',(#308553,#308554,#308555,#308556)); #325208=CLOSED_SHELL('',(#308557,#308558,#308559,#308560)); #325209=CLOSED_SHELL('',(#308561,#308562,#308563,#308564)); #325210=CLOSED_SHELL('',(#308565,#308566,#308567,#308568)); #325211=CLOSED_SHELL('',(#308569,#308570,#308571,#308572)); #325212=CLOSED_SHELL('',(#308573,#308574,#308575,#308576)); #325213=CLOSED_SHELL('',(#308577,#308578,#308579,#308580)); #325214=CLOSED_SHELL('',(#308581,#308582,#308583,#308584)); #325215=CLOSED_SHELL('',(#308585,#308586,#308587,#308588)); #325216=CLOSED_SHELL('',(#308589,#308590,#308591,#308592)); #325217=CLOSED_SHELL('',(#308593,#308594,#308595,#308596)); #325218=CLOSED_SHELL('',(#308597,#308598,#308599,#308600)); #325219=CLOSED_SHELL('',(#308601,#308602,#308603,#308604)); #325220=CLOSED_SHELL('',(#308605,#308606,#308607,#308608)); #325221=CLOSED_SHELL('',(#308609,#308610,#308611,#308612)); #325222=CLOSED_SHELL('',(#308613,#308614,#308615,#308616)); #325223=CLOSED_SHELL('',(#308617,#308618,#308619,#308620)); #325224=CLOSED_SHELL('',(#308621,#308622,#308623,#308624)); #325225=CLOSED_SHELL('',(#308625,#308626,#308627,#308628)); #325226=CLOSED_SHELL('',(#308629,#308630,#308631,#308632)); #325227=CLOSED_SHELL('',(#308633,#308634,#308635,#308636)); #325228=CLOSED_SHELL('',(#308637,#308638,#308639,#308640)); #325229=CLOSED_SHELL('',(#308641,#308642,#308643,#308644)); #325230=CLOSED_SHELL('',(#308645,#308646,#308647,#308648)); #325231=CLOSED_SHELL('',(#308649,#308650,#308651,#308652)); #325232=CLOSED_SHELL('',(#308653,#308654,#308655,#308656)); #325233=CLOSED_SHELL('',(#308657,#308658,#308659,#308660)); #325234=CLOSED_SHELL('',(#308661,#308662,#308663,#308664)); #325235=CLOSED_SHELL('',(#308665,#308666,#308667,#308668)); #325236=CLOSED_SHELL('',(#308669,#308670,#308671,#308672)); #325237=CLOSED_SHELL('',(#308673,#308674,#308675,#308676)); #325238=CLOSED_SHELL('',(#308677,#308678,#308679,#308680)); #325239=CLOSED_SHELL('',(#308681,#308682,#308683,#308684)); #325240=CLOSED_SHELL('',(#308685,#308686,#308687,#308688)); #325241=CLOSED_SHELL('',(#308689,#308690,#308691,#308692)); #325242=CLOSED_SHELL('',(#308693,#308694,#308695,#308696)); #325243=CLOSED_SHELL('',(#308697,#308698,#308699,#308700)); #325244=CLOSED_SHELL('',(#308701,#308702,#308703,#308704)); #325245=CLOSED_SHELL('',(#308705,#308706,#308707,#308708)); #325246=CLOSED_SHELL('',(#308709,#308710,#308711,#308712)); #325247=CLOSED_SHELL('',(#308713,#308714,#308715,#308716)); #325248=CLOSED_SHELL('',(#308717,#308718,#308719,#308720)); #325249=CLOSED_SHELL('',(#308721,#308722,#308723,#308724)); #325250=CLOSED_SHELL('',(#308725,#308726,#308727,#308728)); #325251=CLOSED_SHELL('',(#308729,#308730,#308731,#308732)); #325252=CLOSED_SHELL('',(#308733,#308734,#308735,#308736)); #325253=CLOSED_SHELL('',(#308737,#308738,#308739,#308740)); #325254=CLOSED_SHELL('',(#308741,#308742,#308743,#308744)); #325255=CLOSED_SHELL('',(#308745,#308746,#308747,#308748)); #325256=CLOSED_SHELL('',(#308749,#308750,#308751,#308752)); #325257=CLOSED_SHELL('',(#308753,#308754,#308755,#308756)); #325258=CLOSED_SHELL('',(#308757,#308758,#308759,#308760)); #325259=CLOSED_SHELL('',(#308761,#308762,#308763,#308764)); #325260=CLOSED_SHELL('',(#308765,#308766,#308767,#308768)); #325261=CLOSED_SHELL('',(#308769,#308770,#308771,#308772)); #325262=CLOSED_SHELL('',(#308773,#308774,#308775,#308776)); #325263=CLOSED_SHELL('',(#308777,#308778,#308779,#308780)); #325264=CLOSED_SHELL('',(#308781,#308782,#308783,#308784)); #325265=CLOSED_SHELL('',(#308785,#308786,#308787,#308788)); #325266=CLOSED_SHELL('',(#308789,#308790,#308791,#308792)); #325267=CLOSED_SHELL('',(#308793,#308794,#308795,#308796)); #325268=CLOSED_SHELL('',(#308797,#308798,#308799,#308800)); #325269=CLOSED_SHELL('',(#308801,#308802,#308803,#308804)); #325270=CLOSED_SHELL('',(#308805,#308806,#308807,#308808)); #325271=CLOSED_SHELL('',(#308809,#308810,#308811,#308812)); #325272=CLOSED_SHELL('',(#308813,#308814,#308815,#308816)); #325273=CLOSED_SHELL('',(#308817,#308818,#308819,#308820)); #325274=CLOSED_SHELL('',(#308821,#308822,#308823,#308824)); #325275=CLOSED_SHELL('',(#308825,#308826,#308827,#308828)); #325276=CLOSED_SHELL('',(#308829,#308830,#308831,#308832)); #325277=CLOSED_SHELL('',(#308833,#308834,#308835,#308836)); #325278=CLOSED_SHELL('',(#308837,#308838,#308839,#308840)); #325279=CLOSED_SHELL('',(#308841,#308842,#308843,#308844)); #325280=CLOSED_SHELL('',(#308845,#308846,#308847,#308848)); #325281=CLOSED_SHELL('',(#308849,#308850,#308851,#308852)); #325282=CLOSED_SHELL('',(#308853,#308854,#308855,#308856)); #325283=CLOSED_SHELL('',(#308857,#308858,#308859,#308860)); #325284=CLOSED_SHELL('',(#308861,#308862,#308863,#308864)); #325285=CLOSED_SHELL('',(#308865,#308866,#308867,#308868)); #325286=CLOSED_SHELL('',(#308869,#308870,#308871,#308872)); #325287=CLOSED_SHELL('',(#308873,#308874,#308875,#308876)); #325288=CLOSED_SHELL('',(#308877,#308878,#308879,#308880)); #325289=CLOSED_SHELL('',(#308881,#308882,#308883,#308884)); #325290=CLOSED_SHELL('',(#308885,#308886,#308887,#308888)); #325291=CLOSED_SHELL('',(#308889,#308890,#308891,#308892)); #325292=CLOSED_SHELL('',(#308893,#308894,#308895,#308896)); #325293=CLOSED_SHELL('',(#308897,#308898,#308899,#308900)); #325294=CLOSED_SHELL('',(#308901,#308902,#308903,#308904)); #325295=CLOSED_SHELL('',(#308905,#308906,#308907,#308908)); #325296=CLOSED_SHELL('',(#308909,#308910,#308911,#308912)); #325297=CLOSED_SHELL('',(#308913,#308914,#308915,#308916)); #325298=CLOSED_SHELL('',(#308917,#308918,#308919,#308920)); #325299=CLOSED_SHELL('',(#308921,#308922,#308923,#308924)); #325300=CLOSED_SHELL('',(#308925,#308926,#308927,#308928)); #325301=CLOSED_SHELL('',(#308929,#308930,#308931,#308932)); #325302=CLOSED_SHELL('',(#308933,#308934,#308935,#308936)); #325303=CLOSED_SHELL('',(#308937,#308938,#308939,#308940)); #325304=CLOSED_SHELL('',(#308941,#308942,#308943,#308944)); #325305=CLOSED_SHELL('',(#308945,#308946,#308947,#308948)); #325306=CLOSED_SHELL('',(#308949,#308950,#308951,#308952)); #325307=CLOSED_SHELL('',(#308953,#308954,#308955,#308956)); #325308=CLOSED_SHELL('',(#308957,#308958,#308959,#308960)); #325309=CLOSED_SHELL('',(#308961,#308962,#308963,#308964)); #325310=CLOSED_SHELL('',(#308965,#308966,#308967,#308968)); #325311=CLOSED_SHELL('',(#308969,#308970,#308971,#308972)); #325312=CLOSED_SHELL('',(#308973,#308974,#308975,#308976)); #325313=CLOSED_SHELL('',(#308977,#308978,#308979,#308980)); #325314=CLOSED_SHELL('',(#308981,#308982,#308983,#308984)); #325315=CLOSED_SHELL('',(#308985,#308986,#308987,#308988)); #325316=CLOSED_SHELL('',(#308989,#308990,#308991,#308992)); #325317=CLOSED_SHELL('',(#308993,#308994,#308995,#308996,#308997,#308998, #308999,#309000,#309001,#309002)); #325318=CLOSED_SHELL('',(#309003,#309004,#309005,#309006)); #325319=CLOSED_SHELL('',(#309007,#309008,#309009,#309010,#309011,#309012, #309013,#309014,#309015,#309016)); #325320=CLOSED_SHELL('',(#309017,#309018,#309019,#309020)); #325321=CLOSED_SHELL('',(#309021,#309022,#309023,#309024,#309025,#309026, #309027,#309028,#309029,#309030)); #325322=CLOSED_SHELL('',(#309031,#309032,#309033,#309034)); #325323=CLOSED_SHELL('',(#309035,#309036,#309037,#309038)); #325324=CLOSED_SHELL('',(#309039,#309040,#309041,#309042)); #325325=CLOSED_SHELL('',(#309043,#309044,#309045,#309046)); #325326=CLOSED_SHELL('',(#309047,#309048,#309049,#309050)); #325327=CLOSED_SHELL('',(#309051,#309052,#309053,#309054)); #325328=CLOSED_SHELL('',(#309055,#309056,#309057,#309058)); #325329=CLOSED_SHELL('',(#309059,#309060,#309061,#309062)); #325330=CLOSED_SHELL('',(#309063,#309064,#309065,#309066)); #325331=CLOSED_SHELL('',(#309067,#309068,#309069,#309070)); #325332=CLOSED_SHELL('',(#309071,#309072,#309073,#309074,#309075,#309076, #309077,#309078,#309079,#309080)); #325333=CLOSED_SHELL('',(#309081,#309082,#309083,#309084)); #325334=CLOSED_SHELL('',(#309085,#309086,#309087,#309088)); #325335=CLOSED_SHELL('',(#309089,#309090,#309091,#309092)); #325336=CLOSED_SHELL('',(#309093,#309094,#309095,#309096,#309097,#309098, #309099,#309100,#309101,#309102)); #325337=CLOSED_SHELL('',(#309103,#309104,#309105,#309106)); #325338=CLOSED_SHELL('',(#309107,#309108,#309109,#309110,#309111,#309112, #309113,#309114,#309115,#309116)); #325339=CLOSED_SHELL('',(#309117,#309118,#309119,#309120)); #325340=CLOSED_SHELL('',(#309121,#309122,#309123,#309124)); #325341=CLOSED_SHELL('',(#309125,#309126,#309127,#309128)); #325342=CLOSED_SHELL('',(#309129,#309130,#309131,#309132)); #325343=CLOSED_SHELL('',(#309133,#309134,#309135,#309136)); #325344=CLOSED_SHELL('',(#309137,#309138,#309139,#309140)); #325345=CLOSED_SHELL('',(#309141,#309142,#309143,#309144)); #325346=CLOSED_SHELL('',(#309145,#309146,#309147,#309148)); #325347=CLOSED_SHELL('',(#309149,#309150,#309151,#309152)); #325348=CLOSED_SHELL('',(#309153,#309154,#309155,#309156)); #325349=CLOSED_SHELL('',(#309157,#309158,#309159,#309160)); #325350=CLOSED_SHELL('',(#309161,#309162,#309163,#309164)); #325351=CLOSED_SHELL('',(#309165,#309166,#309167,#309168)); #325352=CLOSED_SHELL('',(#309169,#309170,#309171,#309172)); #325353=CLOSED_SHELL('',(#309173,#309174,#309175,#309176)); #325354=CLOSED_SHELL('',(#309177,#309178,#309179,#309180)); #325355=CLOSED_SHELL('',(#309181,#309182,#309183,#309184)); #325356=CLOSED_SHELL('',(#309185,#309186,#309187,#309188)); #325357=CLOSED_SHELL('',(#309189,#309190,#309191,#309192)); #325358=CLOSED_SHELL('',(#309193,#309194,#309195,#309196)); #325359=CLOSED_SHELL('',(#309197,#309198,#309199,#309200)); #325360=CLOSED_SHELL('',(#309201,#309202,#309203,#309204)); #325361=CLOSED_SHELL('',(#309205,#309206,#309207,#309208)); #325362=CLOSED_SHELL('',(#309209,#309210,#309211,#309212)); #325363=CLOSED_SHELL('',(#309213,#309214,#309215,#309216)); #325364=CLOSED_SHELL('',(#309217,#309218,#309219,#309220)); #325365=CLOSED_SHELL('',(#309221,#309222,#309223,#309224)); #325366=CLOSED_SHELL('',(#309225,#309226,#309227,#309228)); #325367=CLOSED_SHELL('',(#309229,#309230,#309231,#309232)); #325368=CLOSED_SHELL('',(#309233,#309234,#309235,#309236)); #325369=CLOSED_SHELL('',(#309237,#309238,#309239,#309240)); #325370=CLOSED_SHELL('',(#309241,#309242,#309243,#309244)); #325371=CLOSED_SHELL('',(#309245,#309246,#309247,#309248)); #325372=CLOSED_SHELL('',(#309249,#309250,#309251,#309252)); #325373=CLOSED_SHELL('',(#309253,#309254,#309255,#309256)); #325374=CLOSED_SHELL('',(#309257,#309258,#309259,#309260)); #325375=CLOSED_SHELL('',(#309261,#309262,#309263,#309264)); #325376=CLOSED_SHELL('',(#309265,#309266,#309267,#309268)); #325377=CLOSED_SHELL('',(#309269,#309270,#309271,#309272)); #325378=CLOSED_SHELL('',(#309273,#309274,#309275,#309276)); #325379=CLOSED_SHELL('',(#309277,#309278,#309279,#309280)); #325380=CLOSED_SHELL('',(#309281,#309282,#309283,#309284)); #325381=CLOSED_SHELL('',(#309285,#309286,#309287,#309288)); #325382=CLOSED_SHELL('',(#309289,#309290,#309291,#309292)); #325383=CLOSED_SHELL('',(#309293,#309294,#309295,#309296)); #325384=CLOSED_SHELL('',(#309297,#309298,#309299,#309300)); #325385=CLOSED_SHELL('',(#309301,#309302,#309303,#309304)); #325386=CLOSED_SHELL('',(#309305,#309306,#309307,#309308)); #325387=CLOSED_SHELL('',(#309309,#309310,#309311,#309312)); #325388=CLOSED_SHELL('',(#309313,#309314,#309315,#309316)); #325389=CLOSED_SHELL('',(#309317,#309318,#309319,#309320)); #325390=CLOSED_SHELL('',(#309321,#309322,#309323,#309324)); #325391=CLOSED_SHELL('',(#309325,#309326,#309327,#309328)); #325392=CLOSED_SHELL('',(#309329,#309330,#309331,#309332)); #325393=CLOSED_SHELL('',(#309333,#309334,#309335,#309336)); #325394=CLOSED_SHELL('',(#309337,#309338,#309339,#309340)); #325395=CLOSED_SHELL('',(#309341,#309342,#309343,#309344)); #325396=CLOSED_SHELL('',(#309345,#309346,#309347,#309348)); #325397=CLOSED_SHELL('',(#309349,#309350,#309351,#309352)); #325398=CLOSED_SHELL('',(#309353,#309354,#309355,#309356)); #325399=CLOSED_SHELL('',(#309357,#309358,#309359,#309360)); #325400=CLOSED_SHELL('',(#309361,#309362,#309363,#309364)); #325401=CLOSED_SHELL('',(#309365,#309366,#309367,#309368)); #325402=CLOSED_SHELL('',(#309369,#309370,#309371,#309372)); #325403=CLOSED_SHELL('',(#309373,#309374,#309375,#309376)); #325404=CLOSED_SHELL('',(#309377,#309378,#309379,#309380)); #325405=CLOSED_SHELL('',(#309381,#309382,#309383,#309384)); #325406=CLOSED_SHELL('',(#309385,#309386,#309387,#309388)); #325407=CLOSED_SHELL('',(#309389,#309390,#309391,#309392)); #325408=CLOSED_SHELL('',(#309393,#309394,#309395,#309396)); #325409=CLOSED_SHELL('',(#309397,#309398,#309399,#309400)); #325410=CLOSED_SHELL('',(#309401,#309402,#309403,#309404)); #325411=CLOSED_SHELL('',(#309405,#309406,#309407,#309408)); #325412=CLOSED_SHELL('',(#309409,#309410,#309411,#309412)); #325413=CLOSED_SHELL('',(#309413,#309414,#309415,#309416)); #325414=CLOSED_SHELL('',(#309417,#309418,#309419,#309420)); #325415=CLOSED_SHELL('',(#309421,#309422,#309423,#309424)); #325416=CLOSED_SHELL('',(#309425,#309426,#309427,#309428)); #325417=CLOSED_SHELL('',(#309429,#309430,#309431,#309432)); #325418=CLOSED_SHELL('',(#309433,#309434,#309435,#309436)); #325419=CLOSED_SHELL('',(#309437,#309438,#309439,#309440)); #325420=CLOSED_SHELL('',(#309441,#309442,#309443,#309444)); #325421=CLOSED_SHELL('',(#309445,#309446,#309447,#309448)); #325422=CLOSED_SHELL('',(#309449,#309450,#309451,#309452)); #325423=CLOSED_SHELL('',(#309453,#309454,#309455,#309456)); #325424=CLOSED_SHELL('',(#309457,#309458,#309459,#309460)); #325425=CLOSED_SHELL('',(#309461,#309462,#309463,#309464)); #325426=CLOSED_SHELL('',(#309465,#309466,#309467,#309468)); #325427=CLOSED_SHELL('',(#309469,#309470,#309471,#309472)); #325428=CLOSED_SHELL('',(#309473,#309474,#309475,#309476)); #325429=CLOSED_SHELL('',(#309477,#309478,#309479,#309480)); #325430=CLOSED_SHELL('',(#309481,#309482,#309483,#309484)); #325431=CLOSED_SHELL('',(#309485,#309486,#309487,#309488)); #325432=CLOSED_SHELL('',(#309489,#309490,#309491,#309492)); #325433=CLOSED_SHELL('',(#309493,#309494,#309495,#309496)); #325434=CLOSED_SHELL('',(#309497,#309498,#309499,#309500)); #325435=CLOSED_SHELL('',(#309501,#309502,#309503,#309504)); #325436=CLOSED_SHELL('',(#309505,#309506,#309507,#309508)); #325437=CLOSED_SHELL('',(#309509,#309510,#309511,#309512)); #325438=CLOSED_SHELL('',(#309513,#309514,#309515,#309516)); #325439=CLOSED_SHELL('',(#309517,#309518,#309519,#309520)); #325440=CLOSED_SHELL('',(#309521,#309522,#309523,#309524)); #325441=CLOSED_SHELL('',(#309525,#309526,#309527,#309528)); #325442=CLOSED_SHELL('',(#309529,#309530,#309531,#309532)); #325443=CLOSED_SHELL('',(#309533,#309534,#309535,#309536)); #325444=CLOSED_SHELL('',(#309537,#309538,#309539,#309540)); #325445=CLOSED_SHELL('',(#309541,#309542,#309543,#309544)); #325446=CLOSED_SHELL('',(#309545,#309546,#309547,#309548)); #325447=CLOSED_SHELL('',(#309549,#309550,#309551,#309552)); #325448=CLOSED_SHELL('',(#309553,#309554,#309555,#309556)); #325449=CLOSED_SHELL('',(#309557,#309558,#309559,#309560)); #325450=CLOSED_SHELL('',(#309561,#309562,#309563,#309564)); #325451=CLOSED_SHELL('',(#309565,#309566,#309567,#309568)); #325452=CLOSED_SHELL('',(#309569,#309570,#309571,#309572)); #325453=CLOSED_SHELL('',(#309573,#309574,#309575,#309576)); #325454=CLOSED_SHELL('',(#309577,#309578,#309579,#309580)); #325455=CLOSED_SHELL('',(#309581,#309582,#309583,#309584)); #325456=CLOSED_SHELL('',(#309585,#309586,#309587,#309588)); #325457=CLOSED_SHELL('',(#309589,#309590,#309591,#309592)); #325458=CLOSED_SHELL('',(#309593,#309594,#309595,#309596)); #325459=CLOSED_SHELL('',(#309597,#309598,#309599,#309600)); #325460=CLOSED_SHELL('',(#309601,#309602,#309603,#309604)); #325461=CLOSED_SHELL('',(#309605,#309606,#309607,#309608)); #325462=CLOSED_SHELL('',(#309609,#309610,#309611,#309612)); #325463=CLOSED_SHELL('',(#309613,#309614,#309615,#309616)); #325464=CLOSED_SHELL('',(#309617,#309618,#309619,#309620)); #325465=CLOSED_SHELL('',(#309621,#309622,#309623,#309624)); #325466=CLOSED_SHELL('',(#309625,#309626,#309627,#309628)); #325467=CLOSED_SHELL('',(#309629,#309630,#309631,#309632)); #325468=CLOSED_SHELL('',(#309633,#309634,#309635,#309636)); #325469=CLOSED_SHELL('',(#309637,#309638,#309639,#309640)); #325470=CLOSED_SHELL('',(#309641,#309642,#309643,#309644)); #325471=CLOSED_SHELL('',(#309645,#309646,#309647,#309648)); #325472=CLOSED_SHELL('',(#309649,#309650,#309651,#309652)); #325473=CLOSED_SHELL('',(#309653,#309654,#309655,#309656)); #325474=CLOSED_SHELL('',(#309657,#309658,#309659,#309660)); #325475=CLOSED_SHELL('',(#309661,#309662,#309663,#309664)); #325476=CLOSED_SHELL('',(#309665,#309666,#309667,#309668)); #325477=CLOSED_SHELL('',(#309669,#309670,#309671,#309672)); #325478=CLOSED_SHELL('',(#309673,#309674,#309675,#309676)); #325479=CLOSED_SHELL('',(#309677,#309678,#309679,#309680)); #325480=CLOSED_SHELL('',(#309681,#309682,#309683,#309684)); #325481=CLOSED_SHELL('',(#309685,#309686,#309687,#309688)); #325482=CLOSED_SHELL('',(#309689,#309690,#309691,#309692)); #325483=CLOSED_SHELL('',(#309693,#309694,#309695,#309696)); #325484=CLOSED_SHELL('',(#309697,#309698,#309699,#309700)); #325485=CLOSED_SHELL('',(#309701,#309702,#309703,#309704)); #325486=CLOSED_SHELL('',(#309705,#309706,#309707,#309708)); #325487=CLOSED_SHELL('',(#309709,#309710,#309711,#309712)); #325488=CLOSED_SHELL('',(#309713,#309714,#309715,#309716)); #325489=CLOSED_SHELL('',(#309717,#309718,#309719,#309720)); #325490=CLOSED_SHELL('',(#309721,#309722,#309723,#309724)); #325491=CLOSED_SHELL('',(#309725,#309726,#309727,#309728)); #325492=CLOSED_SHELL('',(#309729,#309730,#309731,#309732)); #325493=CLOSED_SHELL('',(#309733,#309734,#309735,#309736)); #325494=CLOSED_SHELL('',(#309737,#309738,#309739,#309740)); #325495=CLOSED_SHELL('',(#309741,#309742,#309743,#309744)); #325496=CLOSED_SHELL('',(#309745,#309746,#309747,#309748)); #325497=CLOSED_SHELL('',(#309749,#309750,#309751,#309752)); #325498=CLOSED_SHELL('',(#309753,#309754,#309755,#309756)); #325499=CLOSED_SHELL('',(#309757,#309758,#309759,#309760)); #325500=CLOSED_SHELL('',(#309761,#309762,#309763,#309764,#309765,#309766)); #325501=CLOSED_SHELL('',(#309767,#309768,#309769,#309770,#309771,#309772)); #325502=CLOSED_SHELL('',(#309773,#309774,#309775,#309776,#309777,#309778)); #325503=CLOSED_SHELL('',(#309779,#309780,#309781,#309782,#309783,#309784)); #325504=CLOSED_SHELL('',(#309785,#309786,#309787,#309788,#309789,#309790)); #325505=CLOSED_SHELL('',(#309791,#309792,#309793,#309794,#309795,#309796)); #325506=CLOSED_SHELL('',(#309797,#309798,#309799,#309800,#309801,#309802)); #325507=CLOSED_SHELL('',(#309803,#309804,#309805,#309806,#309807,#309808)); #325508=CLOSED_SHELL('',(#309809,#309810,#309811,#309812,#309813,#309814)); #325509=CLOSED_SHELL('',(#309815,#309816,#309817,#309818,#309819,#309820)); #325510=CLOSED_SHELL('',(#309821,#309822,#309823,#309824,#309825,#309826)); #325511=CLOSED_SHELL('',(#309827,#309828,#309829,#309830,#309831,#309832)); #325512=CLOSED_SHELL('',(#309833,#309834,#309835,#309836,#309837,#309838, #309839,#309840,#309841,#309842,#309843,#309844)); #325513=CLOSED_SHELL('',(#309845,#309846,#309847,#309848,#309849,#309850)); #325514=CLOSED_SHELL('',(#309851,#309852,#309853,#309854,#309855,#309856)); #325515=CLOSED_SHELL('',(#309857,#309858,#309859,#309860,#309861,#309862, #309863,#309864,#309865,#309866,#309867,#309868,#309869,#309870,#309871, #309872)); #325516=CLOSED_SHELL('',(#309873,#309874,#309875,#309876,#309877,#309878, #309879,#309880,#309881,#309882,#309883,#309884,#309885)); #325517=CLOSED_SHELL('',(#309886,#309887,#309888,#309889,#309890,#309891, #309892,#309893,#309894,#309895,#309896,#309897,#309898,#309899,#309900, #309901,#309902,#309903,#309904,#309905,#309906,#309907)); #325518=CLOSED_SHELL('',(#309908,#309909,#309910,#309911,#309912,#309913, #309914,#309915,#309916,#309917,#309918,#309919,#309920,#309921,#309922, #309923,#309924,#309925,#309926,#309927,#309928,#309929,#309930,#309931, #309932,#309933,#309934,#309935,#309936,#309937,#309938,#309939,#309940, #309941,#309942,#309943,#309944,#309945)); #325519=CLOSED_SHELL('',(#309946,#309947,#309948,#309949,#309950,#309951, #309952,#309953,#309954,#309955,#309956,#309957,#309958,#309959,#309960, #309961,#309962,#309963,#309964,#309965,#309966,#309967,#309968,#309969, #309970,#309971,#309972,#309973,#309974,#309975,#309976,#309977,#309978, #309979,#309980,#309981,#309982,#309983)); #325520=CLOSED_SHELL('',(#309984,#309985,#309986,#309987,#309988,#309989, #309990,#309991,#309992,#309993,#309994,#309995,#309996,#309997,#309998, #309999,#310000,#310001,#310002,#310003,#310004,#310005,#310006,#310007, #310008)); #325521=CLOSED_SHELL('',(#310009,#310010,#310011,#310012,#310013,#310014, #310015,#310016,#310017,#310018,#310019,#310020,#310021,#310022,#310023, #310024,#310025,#310026,#310027)); #325522=CLOSED_SHELL('',(#310028,#310029,#310030,#310031,#310032,#310033, #310034,#310035,#310036,#310037,#310038,#310039,#310040,#310041,#310042)); #325523=CLOSED_SHELL('',(#310043,#310044,#310045,#310046,#310047,#310048, #310049,#310050,#310051,#310052,#310053,#310054,#310055,#310056,#310057, #310058,#310059)); #325524=CLOSED_SHELL('',(#310060,#310061,#310062,#310063,#310064,#310065, #310066,#310067,#310068,#310069,#310070,#310071)); #325525=CLOSED_SHELL('',(#310072,#310073,#310074,#310075,#310076,#310077, #310078,#310079,#310080,#310081,#310082,#310083,#310084,#310085,#310086, #310087,#310088,#310089,#310090)); #325526=CLOSED_SHELL('',(#310091,#310092,#310093,#310094,#310095,#310096, #310097,#310098,#310099,#310100,#310101,#310102,#310103,#310104,#310105, #310106,#310107,#310108,#310109,#310110,#310111,#310112,#310113,#310114, #310115)); #325527=CLOSED_SHELL('',(#310116,#310117,#310118,#310119,#310120,#310121, #310122,#310123,#310124,#310125,#310126,#310127,#310128,#310129,#310130, #310131,#310132,#310133,#310134,#310135,#310136,#310137,#310138,#310139, #310140,#310141,#310142,#310143)); #325528=CLOSED_SHELL('',(#310144,#310145,#310146,#310147,#310148,#310149, #310150,#310151,#310152,#310153,#310154,#310155,#310156,#310157,#310158, #310159,#310160,#310161,#310162,#310163,#310164,#310165,#310166,#310167, #310168,#310169,#310170)); #325529=CLOSED_SHELL('',(#310171,#310172,#310173,#310174,#310175,#310176, #310177,#310178,#310179,#310180,#310181,#310182,#310183,#310184)); #325530=CLOSED_SHELL('',(#310185,#310186,#310187,#310188,#310189,#310190, #310191,#310192,#310193,#310194,#310195,#310196,#310197,#310198,#310199, #310200,#310201,#310202,#310203,#310204,#310205,#310206,#310207,#310208, #310209,#310210,#310211,#310212,#310213,#310214,#310215,#310216,#310217, #310218,#310219,#310220,#310221,#310222,#310223,#310224)); #325531=CLOSED_SHELL('',(#310225,#310226,#310227,#310228,#310229,#310230, #310231,#310232,#310233,#310234,#310235,#310236,#310237,#310238,#310239, #310240,#310241,#310242,#310243,#310244,#310245,#310246,#310247,#310248, #310249,#310250,#310251,#310252,#310253,#310254,#310255,#310256,#310257, #310258,#310259,#310260,#310261,#310262,#310263,#310264)); #325532=CLOSED_SHELL('',(#310265,#310266,#310267,#310268,#310269,#310270, #310271,#310272,#310273,#310274,#310275,#310276,#310277,#310278,#310279, #310280,#310281,#310282,#310283,#310284,#310285,#310286)); #325533=CLOSED_SHELL('',(#310287,#310288,#310289,#310290,#310291,#310292, #310293,#310294,#310295,#310296,#310297,#310298,#310299,#310300,#310301, #310302,#310303,#310304,#310305,#310306,#310307,#310308,#310309,#310310)); #325534=CLOSED_SHELL('',(#310311,#310312,#310313,#310314,#310315,#310316, #310317,#310318,#310319,#310320,#310321,#310322,#310323,#310324,#310325, #310326,#310327,#310328,#310329)); #325535=CLOSED_SHELL('',(#310330,#310331,#310332,#310333,#310334,#310335, #310336,#310337,#310338,#310339,#310340,#310341,#310342,#310343,#310344, #310345)); #325536=CLOSED_SHELL('',(#310346,#310347,#310348,#310349,#310350,#310351, #310352,#310353,#310354,#310355,#310356,#310357,#310358,#310359,#310360, #310361,#310362,#310363,#310364,#310365,#310366)); #325537=CLOSED_SHELL('',(#310367,#310368,#310369,#310370,#310371,#310372, #310373,#310374,#310375,#310376,#310377,#310378,#310379,#310380,#310381, #310382)); #325538=CLOSED_SHELL('',(#310383,#310384,#310385,#310386,#310387,#310388, #310389,#310390,#310391,#310392,#310393,#310394,#310395,#310396,#310397, #310398,#310399,#310400,#310401,#310402,#310403)); #325539=CLOSED_SHELL('',(#310404,#310405,#310406,#310407,#310408,#310409, #310410,#310411,#310412,#310413,#310414,#310415,#310416,#310417)); #325540=CLOSED_SHELL('',(#310418,#310419,#310420,#310421,#310422,#310423, #310424,#310425,#310426,#310427,#310428,#310429,#310430,#310431)); #325541=CLOSED_SHELL('',(#310432,#310433,#310434,#310435,#310436,#310437, #310438,#310439,#310440,#310441,#310442,#310443,#310444,#310445,#310446, #310447,#310448,#310449,#310450,#310451,#310452,#310453,#310454,#310455, #310456,#310457)); #325542=CLOSED_SHELL('',(#310458,#310459,#310460,#310461,#310462,#310463, #310464,#310465,#310466,#310467,#310468,#310469,#310470,#310471,#310472, #310473,#310474,#310475,#310476,#310477)); #325543=CLOSED_SHELL('',(#310478,#310479,#310480,#310481,#310482,#310483, #310484,#310485,#310486,#310487,#310488,#310489,#310490,#310491,#310492, #310493,#310494,#310495,#310496,#310497,#310498,#310499,#310500,#310501, #310502,#310503)); #325544=CLOSED_SHELL('',(#310504,#310505,#310506,#310507,#310508,#310509, #310510,#310511,#310512,#310513,#310514,#310515,#310516,#310517,#310518, #310519,#310520,#310521,#310522,#310523,#310524,#310525,#310526,#310527, #310528,#310529)); #325545=CLOSED_SHELL('',(#310530,#310531,#310532,#310533,#310534,#310535, #310536)); #325546=CLOSED_SHELL('',(#310537,#310538,#310539,#310540,#310541,#310542, #310543,#310544,#310545,#310546,#310547,#310548,#310549,#310550,#310551, #310552,#310553,#310554,#310555,#310556,#310557,#310558,#310559,#310560, #310561,#310562,#310563)); #325547=CLOSED_SHELL('',(#310564,#310565,#310566,#310567,#310568,#310569, #310570,#310571,#310572,#310573,#310574,#310575,#310576,#310577,#310578, #310579,#310580,#310581)); #325548=CLOSED_SHELL('',(#310582,#310583,#310584,#310585,#310586,#310587, #310588,#310589,#310590,#310591,#310592,#310593,#310594,#310595,#310596, #310597,#310598,#310599,#310600,#310601,#310602,#310603,#310604,#310605, #310606,#310607,#310608,#310609,#310610,#310611,#310612,#310613,#310614, #310615,#310616,#310617,#310618,#310619,#310620,#310621,#310622,#310623, #310624,#310625,#310626,#310627)); #325549=CLOSED_SHELL('',(#310628,#310629,#310630,#310631,#310632,#310633, #310634,#310635,#310636,#310637,#310638,#310639,#310640,#310641,#310642, #310643,#310644,#310645,#310646,#310647,#310648,#310649,#310650,#310651)); #325550=CLOSED_SHELL('',(#310652,#310653,#310654,#310655,#310656,#310657, #310658)); #325551=CLOSED_SHELL('',(#310659,#310660,#310661,#310662,#310663,#310664, #310665)); #325552=CLOSED_SHELL('',(#310666,#310667,#310668,#310669,#310670,#310671, #310672,#310673,#310674,#310675,#310676,#310677,#310678,#310679,#310680, #310681,#310682)); #325553=CLOSED_SHELL('',(#310683,#310684,#310685,#310686,#310687,#310688)); #325554=CLOSED_SHELL('',(#310689,#310690,#310691,#310692,#310693,#310694, #310695,#310696,#310697,#310698,#310699)); #325555=CLOSED_SHELL('',(#310700,#310701,#310702,#310703,#310704,#310705)); #325556=CLOSED_SHELL('',(#310706,#310707,#310708,#310709,#310710,#310711, #310712)); #325557=CLOSED_SHELL('',(#310713,#310714,#310715,#310716,#310717,#310718, #310719,#310720,#310721,#310722,#310723,#310724,#310725,#310726,#310727, #310728,#310729,#310730,#310731,#310732,#310733,#310734,#310735,#310736, #310737,#310738,#310739,#310740,#310741,#310742,#310743,#310744,#310745, #310746,#310747,#310748,#310749,#310750,#310751,#310752,#310753,#310754, #310755,#310756,#310757,#310758)); #325558=CLOSED_SHELL('',(#310759,#310760,#310761,#310762,#310763,#310764, #310765,#310766,#310767,#310768,#310769,#310770,#310771,#310772,#310773, #310774,#310775,#310776,#310777,#310778,#310779,#310780,#310781,#310782)); #325559=CLOSED_SHELL('',(#310783,#310784,#310785,#310786,#310787,#310788, #310789,#310790,#310791,#310792,#310793,#310794,#310795,#310796)); #325560=CLOSED_SHELL('',(#310797,#310798,#310799,#310800,#310801,#310802, #310803,#310804,#310805,#310806,#310807,#310808,#310809,#310810)); #325561=CLOSED_SHELL('',(#310811,#310812,#310813,#310814,#310815,#310816, #310817,#310818,#310819,#310820,#310821,#310822,#310823,#310824,#310825, #310826,#310827,#310828,#310829,#310830)); #325562=CLOSED_SHELL('',(#310831,#310832,#310833,#310834,#310835,#310836, #310837,#310838,#310839,#310840,#310841,#310842,#310843,#310844,#310845, #310846,#310847,#310848,#310849,#310850,#310851,#310852,#310853,#310854, #310855)); #325563=CLOSED_SHELL('',(#310856,#310857,#310858,#310859,#310860,#310861, #310862,#310863,#310864,#310865,#310866,#310867,#310868,#310869,#310870)); #325564=CLOSED_SHELL('',(#310871,#310872,#310873,#310874,#310875,#310876, #310877,#310878,#310879,#310880,#310881,#310882,#310883,#310884,#310885, #310886,#310887,#310888,#310889,#310890,#310891,#310892,#310893,#310894, #310895,#310896,#310897,#310898,#310899,#310900,#310901,#310902,#310903, #310904,#310905,#310906,#310907,#310908)); #325565=CLOSED_SHELL('',(#310909,#310910,#310911,#310912,#310913,#310914, #310915,#310916,#310917,#310918,#310919,#310920,#310921)); #325566=CLOSED_SHELL('',(#310922,#310923,#310924,#310925,#310926,#310927, #310928,#310929,#310930,#310931,#310932,#310933,#310934,#310935,#310936, #310937,#310938,#310939,#310940,#310941,#310942,#310943,#310944,#310945, #310946)); #325567=CLOSED_SHELL('',(#310947,#310948,#310949,#310950,#310951,#310952, #310953,#310954,#310955,#310956,#310957,#310958,#310959,#310960,#310961, #310962)); #325568=CLOSED_SHELL('',(#310963,#310964,#310965,#310966,#310967,#310968, #310969,#310970,#310971,#310972,#310973,#310974,#310975,#310976,#310977, #310978,#310979,#310980,#310981,#310982,#310983,#310984,#310985,#310986, #310987,#310988,#310989,#310990,#310991,#310992,#310993,#310994,#310995, #310996,#310997,#310998,#310999,#311000,#311001,#311002,#311003,#311004, #311005,#311006,#311007,#311008,#311009,#311010,#311011,#311012,#311013, #311014,#311015,#311016,#311017,#311018,#311019,#311020,#311021,#311022, #311023,#311024,#311025,#311026,#311027,#311028,#311029,#311030,#311031, #311032,#311033,#311034,#311035,#311036,#311037,#311038,#311039,#311040, #311041,#311042,#311043,#311044,#311045,#311046,#311047,#311048,#311049, #311050,#311051,#311052,#311053,#311054,#311055,#311056,#311057,#311058, #311059,#311060,#311061,#311062,#311063,#311064,#311065,#311066,#311067, #311068,#311069,#311070,#311071,#311072,#311073,#311074,#311075,#311076, #311077,#311078,#311079,#311080,#311081,#311082,#311083,#311084,#311085, #311086,#311087,#311088,#311089,#311090,#311091,#311092,#311093,#311094, #311095,#311096,#311097,#311098,#311099,#311100,#311101,#311102,#311103, #311104,#311105,#311106,#311107,#311108)); #325569=CLOSED_SHELL('',(#311109,#311110,#311111,#311112,#311113,#311114)); #325570=CLOSED_SHELL('',(#311115,#311116,#311117,#311118,#311119,#311120, #311121,#311122,#311123,#311124,#311125,#311126,#311127,#311128,#311129, #311130,#311131,#311132,#311133,#311134,#311135,#311136)); #325571=CLOSED_SHELL('',(#311137,#311138,#311139,#311140,#311141,#311142, #311143,#311144,#311145,#311146,#311147,#311148,#311149,#311150,#311151, #311152,#311153,#311154,#311155,#311156,#311157,#311158,#311159)); #325572=CLOSED_SHELL('',(#311160,#311161,#311162,#311163,#311164,#311165, #311166,#311167,#311168,#311169,#311170,#311171,#311172,#311173,#311174, #311175,#311176,#311177,#311178,#311179,#311180,#311181)); #325573=CLOSED_SHELL('',(#311182,#311183,#311184,#311185,#311186,#311187, #311188,#311189,#311190,#311191,#311192,#311193,#311194,#311195,#311196, #311197,#311198,#311199,#311200,#311201,#311202,#311203,#311204)); #325574=CLOSED_SHELL('',(#311205,#311206,#311207,#311208,#311209,#311210, #311211,#311212,#311213,#311214,#311215,#311216,#311217,#311218,#311219, #311220,#311221,#311222,#311223,#311224,#311225,#311226,#311227,#311228, #311229,#311230,#311231,#311232,#311233,#311234,#311235,#311236,#311237, #311238,#311239,#311240,#311241,#311242,#311243,#311244,#311245,#311246, #311247,#311248,#311249,#311250,#311251,#311252,#311253,#311254,#311255, #311256,#311257,#311258,#311259,#311260,#311261,#311262,#311263,#311264, #311265,#311266,#311267,#311268,#311269,#311270,#311271,#311272,#311273, #311274,#311275,#311276,#311277,#311278,#311279,#311280,#311281,#311282, #311283,#311284,#311285,#311286,#311287,#311288,#311289,#311290,#311291, #311292,#311293,#311294,#311295,#311296,#311297,#311298,#311299)); #325575=CLOSED_SHELL('',(#311300,#311301,#311302,#311303,#311304,#311305, #311306,#311307,#311308,#311309,#311310,#311311,#311312,#311313,#311314, #311315,#311316,#311317,#311318,#311319,#311320,#311321,#311322,#311323, #311324,#311325,#311326,#311327,#311328,#311329,#311330,#311331,#311332, #311333,#311334,#311335)); #325576=CLOSED_SHELL('',(#311336,#311337,#311338,#311339,#311340,#311341, #311342,#311343,#311344,#311345,#311346,#311347,#311348,#311349,#311350, #311351,#311352,#311353,#311354,#311355,#311356,#311357)); #325577=CLOSED_SHELL('',(#311358,#311359,#311360,#311361,#311362,#311363, #311364,#311365,#311366,#311367,#311368,#311369,#311370,#311371,#311372, #311373,#311374,#311375,#311376,#311377,#311378,#311379,#311380)); #325578=CLOSED_SHELL('',(#311381,#311382,#311383,#311384,#311385,#311386, #311387,#311388,#311389,#311390,#311391,#311392,#311393,#311394,#311395, #311396,#311397,#311398,#311399,#311400,#311401,#311402,#311403,#311404, #311405,#311406,#311407,#311408,#311409,#311410,#311411,#311412,#311413, #311414,#311415,#311416,#311417,#311418,#311419,#311420,#311421,#311422, #311423,#311424,#311425,#311426,#311427,#311428,#311429,#311430,#311431, #311432,#311433,#311434,#311435,#311436,#311437,#311438,#311439,#311440, #311441,#311442,#311443,#311444,#311445,#311446,#311447,#311448,#311449, #311450,#311451,#311452,#311453,#311454,#311455,#311456,#311457,#311458, #311459,#311460,#311461,#311462,#311463,#311464,#311465,#311466,#311467, #311468,#311469,#311470,#311471,#311472,#311473,#311474,#311475,#311476, #311477,#311478,#311479,#311480,#311481,#311482,#311483,#311484,#311485, #311486,#311487,#311488,#311489,#311490,#311491,#311492,#311493,#311494, #311495,#311496,#311497,#311498,#311499,#311500,#311501,#311502,#311503, #311504,#311505,#311506,#311507,#311508,#311509,#311510,#311511,#311512, #311513,#311514,#311515,#311516,#311517,#311518,#311519,#311520,#311521, #311522,#311523,#311524,#311525,#311526,#311527,#311528,#311529,#311530, #311531,#311532,#311533,#311534,#311535,#311536,#311537,#311538,#311539, #311540,#311541,#311542,#311543,#311544,#311545,#311546,#311547,#311548, #311549,#311550,#311551,#311552,#311553,#311554,#311555,#311556,#311557, #311558,#311559,#311560,#311561,#311562,#311563,#311564,#311565,#311566, #311567,#311568,#311569,#311570,#311571,#311572,#311573,#311574,#311575, #311576,#311577,#311578,#311579,#311580,#311581,#311582,#311583,#311584, #311585,#311586,#311587,#311588,#311589,#311590,#311591,#311592,#311593, #311594,#311595,#311596,#311597,#311598,#311599,#311600,#311601,#311602, #311603,#311604,#311605,#311606,#311607,#311608,#311609,#311610,#311611, #311612,#311613,#311614,#311615,#311616,#311617,#311618,#311619,#311620, #311621,#311622,#311623)); #325579=CLOSED_SHELL('',(#311624,#311625,#311626,#311627,#311628,#311629, #311630,#311631,#311632,#311633,#311634,#311635,#311636,#311637,#311638, #311639,#311640,#311641,#311642,#311643,#311644,#311645,#311646)); #325580=CLOSED_SHELL('',(#311647,#311648,#311649,#311650,#311651,#311652, #311653,#311654,#311655,#311656,#311657,#311658,#311659,#311660,#311661, #311662,#311663,#311664,#311665,#311666,#311667,#311668)); #325581=CLOSED_SHELL('',(#311669,#311670,#311671,#311672,#311673,#311674, #311675,#311676,#311677,#311678,#311679,#311680,#311681,#311682,#311683, #311684,#311685,#311686,#311687,#311688,#311689,#311690,#311691,#311692, #311693,#311694,#311695,#311696,#311697,#311698,#311699,#311700,#311701, #311702,#311703,#311704,#311705,#311706,#311707,#311708,#311709,#311710, #311711,#311712,#311713,#311714,#311715,#311716,#311717,#311718,#311719, #311720,#311721,#311722,#311723,#311724,#311725,#311726,#311727,#311728, #311729,#311730,#311731,#311732,#311733,#311734,#311735,#311736,#311737, #311738,#311739,#311740,#311741,#311742,#311743,#311744,#311745,#311746, #311747,#311748,#311749,#311750,#311751,#311752,#311753,#311754,#311755, #311756,#311757,#311758,#311759,#311760,#311761,#311762,#311763,#311764, #311765,#311766,#311767,#311768,#311769,#311770,#311771,#311772,#311773, #311774,#311775,#311776,#311777,#311778,#311779,#311780,#311781,#311782, #311783,#311784,#311785,#311786,#311787,#311788,#311789,#311790,#311791, #311792,#311793,#311794,#311795,#311796,#311797,#311798,#311799,#311800, #311801,#311802,#311803,#311804,#311805,#311806,#311807,#311808,#311809, #311810,#311811,#311812,#311813,#311814,#311815,#311816,#311817,#311818, #311819,#311820,#311821,#311822,#311823,#311824,#311825,#311826,#311827, #311828,#311829,#311830,#311831,#311832,#311833,#311834,#311835,#311836, #311837,#311838,#311839,#311840,#311841,#311842,#311843,#311844,#311845, #311846,#311847,#311848,#311849,#311850,#311851,#311852,#311853,#311854, #311855,#311856,#311857,#311858,#311859,#311860,#311861,#311862,#311863, #311864,#311865,#311866,#311867,#311868,#311869,#311870,#311871,#311872, #311873,#311874,#311875,#311876,#311877,#311878,#311879,#311880,#311881, #311882,#311883,#311884,#311885,#311886,#311887,#311888,#311889,#311890, #311891,#311892,#311893,#311894,#311895,#311896,#311897,#311898,#311899, #311900,#311901,#311902,#311903,#311904,#311905,#311906,#311907,#311908, #311909,#311910,#311911,#311912,#311913,#311914,#311915,#311916,#311917, #311918,#311919,#311920,#311921,#311922,#311923,#311924,#311925,#311926, #311927,#311928,#311929,#311930,#311931,#311932,#311933,#311934,#311935, #311936,#311937,#311938,#311939,#311940,#311941,#311942,#311943,#311944, #311945,#311946,#311947,#311948,#311949,#311950,#311951,#311952,#311953, #311954,#311955,#311956,#311957,#311958,#311959,#311960,#311961,#311962, #311963,#311964,#311965,#311966,#311967,#311968,#311969,#311970,#311971, #311972,#311973,#311974,#311975,#311976,#311977,#311978,#311979,#311980, #311981,#311982,#311983,#311984,#311985,#311986,#311987,#311988,#311989, #311990,#311991,#311992,#311993,#311994,#311995,#311996,#311997,#311998, #311999,#312000,#312001,#312002,#312003,#312004,#312005,#312006,#312007, #312008,#312009,#312010,#312011,#312012,#312013,#312014,#312015,#312016, #312017,#312018,#312019,#312020,#312021,#312022,#312023,#312024,#312025, #312026,#312027,#312028,#312029,#312030,#312031,#312032,#312033,#312034, #312035,#312036,#312037,#312038,#312039,#312040,#312041,#312042,#312043, #312044,#312045,#312046,#312047,#312048,#312049,#312050,#312051,#312052, #312053,#312054,#312055,#312056,#312057,#312058,#312059,#312060,#312061, #312062,#312063,#312064,#312065,#312066,#312067,#312068,#312069,#312070, #312071,#312072,#312073,#312074,#312075,#312076,#312077,#312078,#312079, #312080,#312081,#312082,#312083,#312084,#312085,#312086,#312087,#312088, #312089,#312090,#312091,#312092,#312093,#312094,#312095,#312096,#312097, #312098,#312099,#312100,#312101,#312102,#312103,#312104,#312105,#312106, #312107,#312108,#312109,#312110,#312111,#312112,#312113,#312114,#312115, #312116,#312117,#312118,#312119,#312120,#312121,#312122,#312123,#312124, #312125,#312126,#312127,#312128,#312129,#312130,#312131,#312132,#312133, #312134,#312135,#312136,#312137,#312138,#312139,#312140,#312141,#312142, #312143,#312144,#312145,#312146,#312147,#312148,#312149,#312150,#312151, #312152,#312153,#312154,#312155,#312156,#312157,#312158,#312159,#312160, #312161,#312162,#312163,#312164,#312165,#312166,#312167,#312168,#312169, #312170,#312171,#312172,#312173,#312174,#312175,#312176,#312177,#312178, #312179,#312180,#312181,#312182,#312183,#312184,#312185,#312186,#312187, #312188,#312189,#312190,#312191,#312192,#312193,#312194,#312195,#312196, #312197,#312198,#312199,#312200,#312201,#312202,#312203,#312204,#312205, #312206,#312207,#312208,#312209,#312210,#312211,#312212,#312213,#312214, #312215,#312216,#312217,#312218,#312219,#312220,#312221,#312222,#312223, #312224,#312225,#312226,#312227,#312228,#312229,#312230,#312231,#312232, #312233,#312234,#312235,#312236,#312237,#312238,#312239,#312240,#312241, #312242,#312243,#312244,#312245,#312246,#312247,#312248,#312249,#312250, #312251,#312252,#312253,#312254,#312255,#312256,#312257,#312258,#312259, #312260,#312261,#312262,#312263,#312264,#312265,#312266,#312267,#312268, #312269,#312270,#312271,#312272,#312273,#312274,#312275,#312276,#312277, #312278,#312279,#312280,#312281,#312282,#312283,#312284,#312285,#312286, #312287,#312288,#312289,#312290,#312291,#312292,#312293,#312294,#312295, #312296,#312297,#312298,#312299,#312300,#312301,#312302,#312303,#312304, #312305,#312306,#312307,#312308,#312309,#312310,#312311,#312312,#312313, #312314,#312315,#312316,#312317,#312318,#312319,#312320,#312321,#312322, #312323,#312324,#312325,#312326,#312327,#312328,#312329,#312330,#312331, #312332,#312333,#312334,#312335,#312336,#312337,#312338,#312339,#312340, #312341,#312342,#312343,#312344,#312345,#312346,#312347,#312348,#312349, #312350,#312351,#312352,#312353,#312354,#312355,#312356,#312357,#312358, #312359,#312360,#312361,#312362,#312363,#312364,#312365,#312366,#312367, #312368,#312369,#312370,#312371,#312372,#312373,#312374,#312375,#312376, #312377,#312378,#312379,#312380,#312381,#312382,#312383,#312384,#312385, #312386,#312387,#312388,#312389,#312390,#312391,#312392,#312393,#312394, #312395,#312396,#312397,#312398,#312399,#312400,#312401,#312402,#312403, #312404,#312405,#312406,#312407,#312408,#312409,#312410,#312411,#312412, #312413,#312414,#312415,#312416,#312417,#312418,#312419,#312420,#312421, #312422,#312423,#312424,#312425,#312426,#312427,#312428,#312429,#312430, #312431,#312432,#312433,#312434,#312435,#312436,#312437,#312438,#312439, #312440,#312441,#312442,#312443,#312444,#312445,#312446,#312447,#312448, #312449,#312450,#312451,#312452,#312453,#312454,#312455,#312456,#312457, #312458,#312459,#312460,#312461,#312462,#312463,#312464,#312465,#312466, #312467,#312468,#312469,#312470,#312471,#312472,#312473,#312474,#312475, #312476,#312477,#312478,#312479,#312480,#312481,#312482,#312483,#312484, #312485,#312486,#312487,#312488,#312489,#312490,#312491,#312492,#312493, #312494,#312495,#312496,#312497,#312498,#312499,#312500,#312501,#312502, #312503,#312504,#312505,#312506,#312507,#312508,#312509,#312510,#312511, #312512,#312513,#312514,#312515,#312516,#312517,#312518,#312519,#312520, #312521,#312522,#312523,#312524,#312525,#312526,#312527,#312528,#312529, #312530,#312531,#312532,#312533,#312534,#312535,#312536,#312537,#312538, #312539,#312540,#312541,#312542,#312543,#312544,#312545,#312546,#312547, #312548,#312549,#312550,#312551,#312552,#312553,#312554,#312555,#312556, #312557,#312558,#312559,#312560,#312561,#312562,#312563,#312564,#312565, #312566,#312567,#312568,#312569,#312570,#312571,#312572,#312573,#312574, #312575,#312576,#312577,#312578,#312579,#312580,#312581,#312582,#312583, #312584,#312585,#312586,#312587,#312588,#312589,#312590,#312591,#312592, #312593,#312594,#312595,#312596,#312597,#312598,#312599,#312600,#312601, #312602,#312603,#312604,#312605,#312606,#312607,#312608,#312609,#312610, #312611,#312612,#312613,#312614,#312615,#312616,#312617,#312618,#312619, #312620,#312621,#312622,#312623,#312624,#312625,#312626,#312627,#312628, #312629,#312630,#312631,#312632,#312633,#312634,#312635,#312636,#312637, #312638,#312639,#312640,#312641,#312642,#312643,#312644,#312645,#312646, #312647,#312648,#312649,#312650,#312651,#312652,#312653,#312654,#312655, #312656,#312657,#312658,#312659,#312660,#312661,#312662,#312663,#312664, #312665,#312666,#312667,#312668,#312669,#312670,#312671,#312672,#312673, #312674,#312675,#312676,#312677,#312678,#312679,#312680,#312681)); #325582=CLOSED_SHELL('',(#312682,#312683,#312684,#312685,#312686,#312687, #312688,#312689,#312690,#312691,#312692,#312693,#312694,#312695,#312696, #312697,#312698,#312699,#312700,#312701,#312702,#312703,#312704)); #325583=CLOSED_SHELL('',(#312705,#312706,#312707,#312708,#312709,#312710, #312711,#312712,#312713,#312714,#312715,#312716,#312717,#312718,#312719, #312720,#312721,#312722,#312723,#312724,#312725,#312726,#312727,#312728, #312729,#312730,#312731,#312732)); #325584=CLOSED_SHELL('',(#312733,#312734,#312735,#312736,#312737,#312738, #312739,#312740,#312741,#312742,#312743,#312744,#312745,#312746,#312747, #312748,#312749,#312750,#312751,#312752,#312753,#312754)); #325585=CLOSED_SHELL('',(#312755,#312756,#312757,#312758,#312759,#312760, #312761,#312762,#312763,#312764,#312765,#312766,#312767,#312768,#312769, #312770,#312771,#312772,#312773,#312774,#312775,#312776,#312777,#312778, #312779)); #325586=CLOSED_SHELL('',(#312780,#312781,#312782,#312783,#312784,#312785, #312786,#312787,#312788,#312789,#312790,#312791,#312792,#312793,#312794, #312795,#312796,#312797,#312798,#312799,#312800,#312801,#312802)); #325587=CLOSED_SHELL('',(#312803,#312804,#312805,#312806,#312807,#312808, #312809,#312810,#312811,#312812,#312813,#312814,#312815,#312816,#312817, #312818,#312819,#312820,#312821,#312822,#312823,#312824,#312825,#312826, #312827,#312828,#312829,#312830,#312831,#312832,#312833,#312834)); #325588=CLOSED_SHELL('',(#312835,#312836,#312837,#312838,#312839,#312840, #312841,#312842,#312843,#312844,#312845,#312846,#312847,#312848,#312849, #312850,#312851,#312852,#312853,#312854,#312855,#312856)); #325589=CLOSED_SHELL('',(#312857,#312858,#312859,#312860,#312861,#312862, #312863,#312864,#312865,#312866,#312867,#312868,#312869,#312870,#312871, #312872,#312873,#312874,#312875,#312876,#312877,#312878,#312879,#312880, #312881,#312882,#312883,#312884,#312885,#312886,#312887,#312888,#312889, #312890,#312891)); #325590=CLOSED_SHELL('',(#312892,#312893,#312894,#312895,#312896,#312897, #312898,#312899,#312900,#312901,#312902,#312903,#312904,#312905,#312906, #312907,#312908,#312909,#312910,#312911,#312912,#312913,#312914,#312915, #312916,#312917,#312918,#312919,#312920,#312921,#312922,#312923,#312924, #312925,#312926,#312927,#312928,#312929,#312930,#312931,#312932,#312933, #312934,#312935,#312936,#312937,#312938,#312939,#312940,#312941,#312942, #312943,#312944,#312945,#312946,#312947,#312948,#312949,#312950,#312951, #312952,#312953,#312954,#312955,#312956,#312957,#312958,#312959,#312960, #312961,#312962,#312963,#312964,#312965,#312966,#312967,#312968,#312969, #312970,#312971,#312972,#312973,#312974,#312975,#312976,#312977,#312978, #312979,#312980,#312981,#312982,#312983)); #325591=CLOSED_SHELL('',(#312984,#312985,#312986,#312987,#312988,#312989, #312990,#312991,#312992,#312993,#312994,#312995,#312996,#312997,#312998, #312999,#313000,#313001,#313002,#313003,#313004,#313005,#313006,#313007, #313008,#313009,#313010,#313011,#313012,#313013,#313014)); #325592=CLOSED_SHELL('',(#313015,#313016,#313017,#313018,#313019,#313020, #313021,#313022,#313023,#313024,#313025,#313026,#313027,#313028,#313029, #313030,#313031,#313032,#313033,#313034,#313035)); #325593=CLOSED_SHELL('',(#313036,#313037,#313038,#313039,#313040,#313041, #313042,#313043,#313044,#313045,#313046,#313047,#313048,#313049,#313050, #313051,#313052,#313053,#313054,#313055,#313056,#313057,#313058,#313059, #313060,#313061,#313062,#313063,#313064,#313065,#313066,#313067,#313068, #313069,#313070,#313071,#313072,#313073,#313074,#313075,#313076,#313077, #313078,#313079,#313080,#313081,#313082,#313083,#313084,#313085,#313086, #313087,#313088,#313089,#313090,#313091,#313092,#313093,#313094,#313095, #313096,#313097,#313098,#313099,#313100,#313101,#313102,#313103,#313104, #313105,#313106,#313107,#313108,#313109,#313110,#313111,#313112,#313113, #313114,#313115,#313116,#313117,#313118,#313119,#313120,#313121,#313122, #313123,#313124,#313125,#313126,#313127,#313128,#313129,#313130,#313131, #313132,#313133,#313134,#313135,#313136,#313137,#313138,#313139,#313140, #313141,#313142,#313143,#313144,#313145,#313146,#313147,#313148,#313149, #313150,#313151,#313152,#313153,#313154,#313155,#313156,#313157,#313158, #313159,#313160,#313161,#313162,#313163,#313164,#313165,#313166,#313167, #313168,#313169,#313170,#313171,#313172,#313173,#313174,#313175,#313176, #313177,#313178,#313179,#313180,#313181,#313182,#313183,#313184,#313185, #313186,#313187,#313188,#313189,#313190,#313191,#313192,#313193,#313194, #313195,#313196,#313197,#313198,#313199,#313200,#313201,#313202,#313203, #313204,#313205,#313206,#313207,#313208,#313209,#313210,#313211,#313212, #313213,#313214,#313215,#313216,#313217,#313218,#313219,#313220,#313221, #313222,#313223,#313224,#313225,#313226,#313227,#313228,#313229,#313230, #313231,#313232,#313233,#313234,#313235,#313236,#313237,#313238,#313239, #313240,#313241,#313242,#313243,#313244,#313245,#313246,#313247,#313248, #313249,#313250,#313251,#313252,#313253,#313254,#313255,#313256,#313257, #313258,#313259,#313260,#313261,#313262,#313263,#313264,#313265,#313266, #313267,#313268,#313269,#313270,#313271,#313272,#313273,#313274,#313275, #313276,#313277,#313278,#313279,#313280,#313281,#313282,#313283,#313284, #313285,#313286,#313287,#313288,#313289,#313290,#313291,#313292,#313293, #313294,#313295,#313296,#313297,#313298,#313299,#313300,#313301,#313302, #313303,#313304,#313305,#313306,#313307,#313308,#313309,#313310,#313311, #313312,#313313,#313314,#313315,#313316,#313317,#313318,#313319,#313320, #313321,#313322,#313323,#313324,#313325,#313326,#313327,#313328,#313329, #313330,#313331,#313332,#313333,#313334,#313335,#313336,#313337,#313338, #313339,#313340,#313341,#313342,#313343,#313344,#313345,#313346,#313347, #313348,#313349,#313350,#313351,#313352,#313353,#313354,#313355,#313356, #313357,#313358,#313359,#313360,#313361,#313362,#313363,#313364,#313365, #313366,#313367,#313368,#313369,#313370,#313371,#313372,#313373,#313374, #313375,#313376,#313377,#313378,#313379,#313380,#313381,#313382)); #325594=CLOSED_SHELL('',(#313383,#313384,#313385,#313386,#313387,#313388, #313389,#313390,#313391,#313392,#313393,#313394,#313395,#313396,#313397, #313398,#313399,#313400,#313401,#313402,#313403,#313404,#313405)); #325595=CLOSED_SHELL('',(#313406,#313407,#313408,#313409,#313410,#313411, #313412,#313413,#313414,#313415,#313416,#313417,#313418,#313419,#313420, #313421,#313422,#313423,#313424,#313425,#313426,#313427,#313428)); #325596=CLOSED_SHELL('',(#313429,#313430,#313431,#313432,#313433,#313434, #313435,#313436,#313437,#313438,#313439,#313440,#313441,#313442,#313443, #313444,#313445,#313446,#313447,#313448,#313449)); #325597=CLOSED_SHELL('',(#313450,#313451,#313452,#313453,#313454,#313455, #313456,#313457,#313458,#313459,#313460,#313461,#313462,#313463,#313464, #313465,#313466,#313467,#313468,#313469,#313470)); #325598=CLOSED_SHELL('',(#313471,#313472,#313473,#313474,#313475,#313476, #313477,#313478,#313479,#313480,#313481,#313482,#313483,#313484,#313485, #313486,#313487,#313488,#313489,#313490,#313491)); #325599=CLOSED_SHELL('',(#313492,#313493,#313494,#313495,#313496,#313497, #313498,#313499,#313500,#313501,#313502,#313503,#313504,#313505,#313506, #313507,#313508,#313509,#313510,#313511,#313512,#313513,#313514,#313515, #313516,#313517,#313518,#313519,#313520,#313521,#313522,#313523,#313524, #313525,#313526,#313527,#313528,#313529,#313530)); #325600=CLOSED_SHELL('',(#313531,#313532,#313533,#313534,#313535,#313536, #313537,#313538,#313539,#313540,#313541,#313542,#313543,#313544,#313545, #313546,#313547,#313548,#313549,#313550,#313551,#313552)); #325601=CLOSED_SHELL('',(#313553,#313554,#313555,#313556,#313557,#313558, #313559,#313560,#313561,#313562,#313563,#313564,#313565,#313566,#313567, #313568,#313569,#313570,#313571,#313572,#313573,#313574,#313575,#313576, #313577,#313578,#313579,#313580,#313581,#313582,#313583,#313584,#313585, #313586,#313587,#313588,#313589,#313590,#313591,#313592,#313593,#313594, #313595,#313596,#313597,#313598,#313599,#313600,#313601,#313602)); #325602=CLOSED_SHELL('',(#313603,#313604,#313605,#313606,#313607,#313608, #313609,#313610,#313611,#313612,#313613,#313614,#313615,#313616,#313617, #313618,#313619,#313620,#313621,#313622,#313623,#313624)); #325603=CLOSED_SHELL('',(#313625,#313626,#313627,#313628,#313629,#313630, #313631,#313632,#313633,#313634,#313635,#313636,#313637,#313638,#313639, #313640,#313641,#313642,#313643,#313644,#313645,#313646,#313647,#313648, #313649,#313650,#313651,#313652,#313653,#313654,#313655,#313656,#313657)); #325604=CLOSED_SHELL('',(#313658,#313659,#313660,#313661,#313662,#313663, #313664,#313665,#313666,#313667,#313668,#313669,#313670,#313671,#313672, #313673,#313674,#313675,#313676,#313677,#313678,#313679)); #325605=CLOSED_SHELL('',(#313680,#313681,#313682,#313683,#313684,#313685, #313686,#313687,#313688,#313689,#313690,#313691,#313692,#313693,#313694, #313695,#313696,#313697,#313698,#313699,#313700,#313701,#313702,#313703, #313704,#313705,#313706,#313707,#313708,#313709,#313710,#313711,#313712)); #325606=CLOSED_SHELL('',(#313713,#313714,#313715,#313716,#313717,#313718, #313719,#313720,#313721,#313722,#313723,#313724,#313725,#313726,#313727, #313728,#313729,#313730,#313731,#313732,#313733,#313734,#313735)); #325607=CLOSED_SHELL('',(#313736,#313737,#313738,#313739,#313740,#313741, #313742,#313743,#313744,#313745,#313746,#313747,#313748,#313749,#313750, #313751,#313752,#313753,#313754,#313755,#313756,#313757,#313758,#313759, #313760,#313761,#313762,#313763,#313764,#313765,#313766,#313767,#313768, #313769,#313770,#313771,#313772,#313773,#313774,#313775,#313776,#313777)); #325608=CLOSED_SHELL('',(#313778,#313779,#313780,#313781,#313782,#313783, #313784,#313785,#313786,#313787,#313788,#313789,#313790,#313791,#313792, #313793,#313794,#313795,#313796,#313797,#313798,#313799)); #325609=CLOSED_SHELL('',(#313800,#313801,#313802,#313803,#313804,#313805, #313806,#313807,#313808,#313809,#313810,#313811,#313812,#313813,#313814, #313815,#313816,#313817,#313818,#313819,#313820,#313821)); #325610=CLOSED_SHELL('',(#313822,#313823,#313824,#313825,#313826,#313827, #313828,#313829,#313830,#313831,#313832,#313833,#313834,#313835,#313836, #313837,#313838,#313839,#313840,#313841,#313842,#313843)); #325611=CLOSED_SHELL('',(#313844,#313845,#313846,#313847,#313848,#313849, #313850,#313851,#313852,#313853,#313854,#313855,#313856,#313857,#313858, #313859,#313860,#313861,#313862,#313863,#313864,#313865,#313866,#313867, #313868,#313869,#313870,#313871,#313872,#313873,#313874,#313875,#313876, #313877,#313878,#313879,#313880,#313881,#313882,#313883,#313884,#313885)); #325612=CLOSED_SHELL('',(#313886,#313887,#313888,#313889,#313890,#313891, #313892,#313893,#313894,#313895,#313896,#313897,#313898,#313899,#313900, #313901,#313902,#313903,#313904,#313905,#313906,#313907,#313908,#313909, #313910,#313911,#313912,#313913,#313914,#313915,#313916,#313917,#313918, #313919,#313920,#313921,#313922,#313923,#313924,#313925,#313926,#313927, #313928,#313929,#313930,#313931,#313932,#313933,#313934,#313935,#313936, #313937,#313938,#313939,#313940,#313941,#313942,#313943,#313944,#313945, #313946,#313947,#313948,#313949,#313950,#313951,#313952,#313953,#313954, #313955,#313956,#313957,#313958,#313959,#313960,#313961,#313962,#313963, #313964,#313965,#313966,#313967,#313968,#313969,#313970,#313971,#313972, #313973,#313974,#313975,#313976,#313977,#313978,#313979,#313980,#313981, #313982,#313983,#313984,#313985)); #325613=CLOSED_SHELL('',(#313986,#313987,#313988,#313989,#313990,#313991, #313992,#313993,#313994,#313995,#313996,#313997,#313998,#313999,#314000, #314001,#314002,#314003,#314004,#314005,#314006,#314007)); #325614=CLOSED_SHELL('',(#314008,#314009,#314010,#314011,#314012,#314013, #314014,#314015,#314016,#314017,#314018,#314019,#314020,#314021,#314022, #314023,#314024,#314025,#314026,#314027,#314028,#314029,#314030,#314031, #314032,#314033,#314034,#314035,#314036,#314037,#314038,#314039,#314040, #314041,#314042,#314043,#314044,#314045,#314046,#314047,#314048,#314049, #314050,#314051,#314052,#314053,#314054,#314055,#314056,#314057,#314058, #314059,#314060,#314061,#314062,#314063,#314064,#314065)); #325615=CLOSED_SHELL('',(#314066,#314067,#314068,#314069,#314070,#314071, #314072,#314073,#314074,#314075,#314076,#314077,#314078,#314079,#314080, #314081,#314082,#314083,#314084,#314085,#314086,#314087,#314088,#314089, #314090,#314091,#314092,#314093,#314094,#314095,#314096,#314097,#314098, #314099,#314100,#314101,#314102,#314103,#314104,#314105,#314106,#314107, #314108,#314109,#314110)); #325616=CLOSED_SHELL('',(#314111,#314112,#314113,#314114,#314115,#314116, #314117,#314118,#314119,#314120,#314121,#314122,#314123,#314124,#314125, #314126,#314127,#314128,#314129,#314130,#314131,#314132,#314133,#314134, #314135,#314136,#314137,#314138,#314139,#314140,#314141,#314142,#314143, #314144,#314145,#314146,#314147,#314148,#314149,#314150,#314151,#314152, #314153,#314154,#314155,#314156,#314157,#314158,#314159,#314160,#314161, #314162,#314163,#314164,#314165,#314166,#314167,#314168,#314169,#314170, #314171,#314172,#314173,#314174,#314175,#314176)); #325617=CLOSED_SHELL('',(#314177,#314178,#314179,#314180,#314181,#314182, #314183,#314184,#314185,#314186,#314187,#314188,#314189,#314190,#314191, #314192,#314193,#314194,#314195,#314196,#314197,#314198,#314199,#314200, #314201,#314202,#314203,#314204,#314205,#314206,#314207,#314208,#314209, #314210,#314211,#314212,#314213,#314214,#314215,#314216,#314217,#314218, #314219,#314220,#314221)); #325618=CLOSED_SHELL('',(#314222,#314223,#314224,#314225,#314226,#314227, #314228,#314229,#314230,#314231,#314232,#314233,#314234,#314235,#314236, #314237,#314238,#314239,#314240,#314241,#314242,#314243,#314244,#314245, #314246,#314247,#314248,#314249,#314250,#314251,#314252,#314253,#314254, #314255,#314256,#314257,#314258,#314259,#314260,#314261,#314262,#314263, #314264,#314265,#314266,#314267,#314268,#314269,#314270,#314271,#314272, #314273,#314274,#314275,#314276,#314277,#314278,#314279,#314280,#314281, #314282,#314283,#314284,#314285,#314286,#314287,#314288,#314289,#314290, #314291,#314292,#314293,#314294,#314295,#314296,#314297,#314298,#314299, #314300,#314301,#314302,#314303,#314304,#314305,#314306,#314307,#314308, #314309,#314310,#314311,#314312,#314313,#314314,#314315,#314316,#314317, #314318,#314319,#314320,#314321,#314322,#314323,#314324,#314325,#314326, #314327,#314328,#314329,#314330,#314331,#314332,#314333,#314334,#314335, #314336,#314337,#314338,#314339)); #325619=CLOSED_SHELL('',(#314340,#314341,#314342,#314343,#314344,#314345, #314346,#314347,#314348,#314349,#314350,#314351,#314352,#314353,#314354, #314355,#314356,#314357,#314358,#314359,#314360,#314361,#314362,#314363)); #325620=CLOSED_SHELL('',(#314364,#314365,#314366,#314367,#314368,#314369, #314370,#314371,#314372,#314373,#314374,#314375,#314376,#314377,#314378, #314379,#314380,#314381,#314382,#314383,#314384,#314385,#314386,#314387, #314388,#314389,#314390,#314391,#314392,#314393,#314394,#314395,#314396, #314397,#314398,#314399,#314400,#314401,#314402,#314403,#314404,#314405, #314406,#314407,#314408,#314409,#314410,#314411,#314412,#314413,#314414, #314415,#314416,#314417,#314418,#314419,#314420,#314421,#314422,#314423, #314424,#314425,#314426,#314427,#314428,#314429,#314430,#314431,#314432, #314433,#314434,#314435,#314436,#314437,#314438,#314439,#314440,#314441, #314442,#314443,#314444,#314445,#314446,#314447,#314448,#314449,#314450, #314451,#314452,#314453,#314454,#314455,#314456,#314457,#314458,#314459, #314460,#314461,#314462,#314463,#314464,#314465,#314466,#314467,#314468, #314469,#314470,#314471,#314472,#314473,#314474,#314475,#314476,#314477, #314478,#314479,#314480,#314481,#314482,#314483,#314484,#314485,#314486, #314487,#314488,#314489,#314490,#314491,#314492,#314493,#314494,#314495, #314496,#314497,#314498,#314499,#314500)); #325621=CLOSED_SHELL('',(#314501,#314502,#314503,#314504,#314505,#314506, #314507,#314508,#314509,#314510,#314511,#314512,#314513,#314514,#314515, #314516,#314517,#314518,#314519,#314520,#314521,#314522,#314523,#314524, #314525,#314526,#314527,#314528,#314529,#314530,#314531,#314532,#314533, #314534,#314535,#314536,#314537,#314538,#314539,#314540,#314541,#314542, #314543,#314544,#314545,#314546,#314547,#314548,#314549,#314550,#314551, #314552,#314553,#314554,#314555,#314556,#314557,#314558,#314559,#314560, #314561,#314562,#314563,#314564,#314565,#314566,#314567,#314568,#314569, #314570,#314571,#314572,#314573,#314574,#314575,#314576,#314577,#314578, #314579,#314580,#314581,#314582,#314583,#314584,#314585,#314586,#314587, #314588,#314589,#314590,#314591,#314592,#314593,#314594,#314595,#314596, #314597,#314598,#314599,#314600,#314601,#314602,#314603,#314604,#314605, #314606,#314607,#314608,#314609,#314610,#314611,#314612,#314613)); #325622=CLOSED_SHELL('',(#314614,#314615,#314616,#314617,#314618,#314619, #314620,#314621,#314622,#314623,#314624,#314625,#314626,#314627,#314628, #314629,#314630,#314631,#314632,#314633,#314634,#314635,#314636,#314637)); #325623=CLOSED_SHELL('',(#314638,#314639,#314640,#314641,#314642,#314643, #314644,#314645,#314646,#314647,#314648,#314649,#314650,#314651,#314652, #314653,#314654,#314655,#314656,#314657,#314658,#314659,#314660,#314661, #314662,#314663,#314664,#314665,#314666,#314667,#314668,#314669,#314670, #314671,#314672,#314673,#314674,#314675,#314676,#314677,#314678,#314679, #314680,#314681,#314682,#314683,#314684,#314685,#314686,#314687,#314688, #314689,#314690,#314691,#314692,#314693,#314694,#314695,#314696,#314697, #314698,#314699,#314700,#314701,#314702,#314703,#314704,#314705,#314706, #314707,#314708,#314709,#314710,#314711,#314712,#314713,#314714,#314715, #314716,#314717,#314718,#314719,#314720,#314721,#314722,#314723,#314724, #314725,#314726,#314727,#314728,#314729,#314730,#314731,#314732,#314733, #314734,#314735,#314736,#314737,#314738,#314739,#314740,#314741,#314742, #314743,#314744,#314745,#314746,#314747,#314748,#314749,#314750,#314751, #314752,#314753,#314754,#314755,#314756,#314757,#314758,#314759,#314760, #314761,#314762,#314763,#314764,#314765,#314766,#314767,#314768,#314769, #314770,#314771,#314772,#314773,#314774,#314775,#314776,#314777,#314778, #314779,#314780,#314781,#314782,#314783,#314784,#314785,#314786,#314787, #314788,#314789,#314790,#314791,#314792,#314793,#314794,#314795,#314796, #314797,#314798,#314799,#314800,#314801,#314802,#314803,#314804,#314805, #314806,#314807,#314808,#314809,#314810,#314811,#314812,#314813,#314814, #314815,#314816,#314817,#314818,#314819,#314820,#314821,#314822,#314823, #314824,#314825,#314826,#314827,#314828,#314829,#314830,#314831,#314832, #314833,#314834,#314835,#314836,#314837,#314838,#314839,#314840,#314841, #314842,#314843,#314844,#314845,#314846,#314847,#314848,#314849,#314850, #314851,#314852,#314853,#314854,#314855,#314856,#314857,#314858,#314859, #314860,#314861,#314862,#314863,#314864,#314865,#314866,#314867,#314868, #314869,#314870,#314871,#314872,#314873,#314874,#314875,#314876,#314877, #314878,#314879,#314880,#314881,#314882,#314883,#314884,#314885,#314886, #314887,#314888,#314889,#314890,#314891,#314892,#314893,#314894,#314895, #314896,#314897,#314898,#314899,#314900,#314901,#314902,#314903,#314904, #314905,#314906,#314907,#314908,#314909,#314910,#314911,#314912,#314913, #314914,#314915,#314916,#314917,#314918,#314919,#314920,#314921,#314922, #314923,#314924,#314925,#314926,#314927,#314928,#314929,#314930,#314931, #314932,#314933,#314934,#314935,#314936,#314937,#314938,#314939,#314940, #314941,#314942,#314943,#314944,#314945,#314946,#314947,#314948,#314949, #314950,#314951,#314952,#314953,#314954,#314955,#314956,#314957,#314958, #314959,#314960,#314961,#314962,#314963,#314964,#314965,#314966,#314967, #314968,#314969,#314970,#314971,#314972,#314973,#314974,#314975,#314976, #314977)); #325624=CLOSED_SHELL('',(#314978,#314979,#314980,#314981,#314982,#314983, #314984,#314985,#314986,#314987,#314988,#314989,#314990,#314991,#314992, #314993,#314994,#314995,#314996,#314997,#314998,#314999)); #325625=CLOSED_SHELL('',(#315000,#315001,#315002,#315003,#315004,#315005, #315006,#315007,#315008,#315009,#315010,#315011,#315012,#315013,#315014, #315015,#315016,#315017,#315018,#315019,#315020,#315021)); #325626=CLOSED_SHELL('',(#315022,#315023,#315024,#315025,#315026,#315027, #315028,#315029,#315030,#315031,#315032,#315033,#315034,#315035,#315036, #315037,#315038,#315039,#315040,#315041,#315042,#315043)); #325627=CLOSED_SHELL('',(#315044,#315045,#315046,#315047,#315048,#315049, #315050,#315051,#315052,#315053,#315054,#315055,#315056,#315057,#315058, #315059,#315060,#315061,#315062,#315063,#315064,#315065,#315066,#315067, #315068)); #325628=CLOSED_SHELL('',(#315069,#315070,#315071,#315072,#315073,#315074, #315075,#315076,#315077,#315078,#315079,#315080,#315081,#315082,#315083, #315084,#315085,#315086,#315087,#315088,#315089,#315090)); #325629=CLOSED_SHELL('',(#315091,#315092,#315093,#315094,#315095,#315096, #315097,#315098,#315099,#315100,#315101,#315102,#315103,#315104,#315105, #315106,#315107,#315108,#315109,#315110,#315111,#315112,#315113)); #325630=CLOSED_SHELL('',(#315114,#315115,#315116,#315117,#315118,#315119)); #325631=CLOSED_SHELL('',(#315120,#315121,#315122,#315123,#315124,#315125)); #325632=CLOSED_SHELL('',(#315126,#315127,#315128,#315129,#315130,#315131)); #325633=CLOSED_SHELL('',(#315132,#315133,#315134,#315135,#315136,#315137)); #325634=CLOSED_SHELL('',(#315138,#315139,#315140,#315141,#315142,#315143, #315144)); #325635=CLOSED_SHELL('',(#315145,#315146,#315147,#315148,#315149,#315150)); #325636=CLOSED_SHELL('',(#315151,#315152,#315153,#315154,#315155,#315156)); #325637=CLOSED_SHELL('',(#315157,#315158,#315159,#315160,#315161,#315162)); #325638=CLOSED_SHELL('',(#315163,#315164,#315165,#315166,#315167,#315168)); #325639=CLOSED_SHELL('',(#315169,#315170,#315171,#315172,#315173,#315174, #315175,#315176,#315177,#315178,#315179,#315180,#315181,#315182,#315183, #315184,#315185,#315186,#315187,#315188,#315189,#315190,#315191,#315192, #315193,#315194,#315195,#315196,#315197,#315198,#315199,#315200,#315201, #315202,#315203,#315204,#315205,#315206,#315207,#315208,#315209,#315210, #315211,#315212,#315213,#315214,#315215,#315216,#315217,#315218,#315219, #315220,#315221,#315222,#315223,#315224,#315225,#315226,#315227,#315228, #315229,#315230)); #325640=CLOSED_SHELL('',(#315231,#315232,#315233,#315234,#315235,#315236, #315237,#315238,#315239,#315240,#315241,#315242,#315243,#315244,#315245, #315246,#315247,#315248,#315249,#315250,#315251,#315252,#315253,#315254, #315255,#315256,#315257,#315258,#315259,#315260,#315261,#315262,#315263, #315264,#315265,#315266,#315267,#315268,#315269,#315270,#315271,#315272, #315273,#315274,#315275,#315276,#315277,#315278,#315279,#315280,#315281, #315282,#315283,#315284,#315285,#315286,#315287,#315288,#315289,#315290, #315291,#315292,#315293,#315294,#315295,#315296,#315297,#315298,#315299, #315300,#315301,#315302,#315303,#315304,#315305,#315306,#315307,#315308)); #325641=CLOSED_SHELL('',(#315309,#315310,#315311,#315312,#315313,#315314, #315315,#315316,#315317,#315318,#315319,#315320,#315321,#315322,#315323, #315324,#315325,#315326,#315327,#315328,#315329,#315330,#315331,#315332, #315333,#315334,#315335,#315336,#315337,#315338,#315339,#315340,#315341, #315342,#315343,#315344,#315345,#315346,#315347,#315348,#315349,#315350, #315351,#315352,#315353,#315354,#315355,#315356,#315357,#315358,#315359, #315360,#315361,#315362,#315363,#315364,#315365,#315366,#315367,#315368, #315369,#315370)); #325642=CLOSED_SHELL('',(#315371,#315372,#315373,#315374,#315375,#315376, #315377)); #325643=CLOSED_SHELL('',(#315378,#315379,#315380,#315381,#315382,#315383, #315384,#315385,#315386,#315387,#315388,#315389,#315390,#315391,#315392, #315393,#315394,#315395,#315396,#315397,#315398,#315399,#315400,#315401, #315402,#315403,#315404,#315405,#315406,#315407,#315408,#315409,#315410, #315411,#315412,#315413,#315414,#315415,#315416,#315417,#315418,#315419, #315420,#315421,#315422,#315423,#315424,#315425,#315426,#315427,#315428, #315429,#315430,#315431,#315432,#315433,#315434,#315435,#315436,#315437, #315438,#315439)); #325644=CLOSED_SHELL('',(#315440,#315441,#315442,#315443,#315444,#315445, #315446,#315447,#315448,#315449,#315450,#315451,#315452,#315453,#315454, #315455,#315456,#315457,#315458,#315459,#315460,#315461,#315462,#315463, #315464,#315465,#315466,#315467,#315468,#315469,#315470,#315471,#315472, #315473,#315474,#315475,#315476,#315477,#315478,#315479,#315480,#315481, #315482,#315483,#315484,#315485,#315486,#315487,#315488,#315489,#315490, #315491,#315492,#315493,#315494,#315495,#315496,#315497,#315498,#315499, #315500,#315501,#315502,#315503,#315504,#315505,#315506,#315507,#315508, #315509,#315510,#315511,#315512,#315513,#315514,#315515,#315516,#315517)); #325645=CLOSED_SHELL('',(#315518,#315519,#315520,#315521,#315522,#315523)); #325646=CLOSED_SHELL('',(#315524,#315525,#315526,#315527,#315528,#315529)); #325647=CLOSED_SHELL('',(#315530,#315531,#315532,#315533,#315534,#315535, #315536,#315537,#315538,#315539,#315540,#315541,#315542,#315543,#315544, #315545,#315546,#315547,#315548,#315549,#315550,#315551,#315552,#315553, #315554,#315555,#315556,#315557,#315558,#315559,#315560,#315561,#315562, #315563,#315564,#315565,#315566,#315567,#315568,#315569,#315570,#315571, #315572,#315573,#315574,#315575,#315576,#315577,#315578,#315579,#315580, #315581,#315582,#315583,#315584,#315585,#315586,#315587,#315588,#315589, #315590,#315591)); #325648=CLOSED_SHELL('',(#315592,#315593,#315594,#315595,#315596,#315597)); #325649=CLOSED_SHELL('',(#315598,#315599,#315600,#315601,#315602,#315603)); #325650=CLOSED_SHELL('',(#315604,#315605,#315606,#315607,#315608,#315609)); #325651=CLOSED_SHELL('',(#315610,#315611,#315612,#315613,#315614,#315615, #315616)); #325652=CLOSED_SHELL('',(#315617,#315618,#315619,#315620,#315621,#315622)); #325653=CLOSED_SHELL('',(#315623,#315624,#315625,#315626,#315627,#315628)); #325654=CLOSED_SHELL('',(#315629,#315630,#315631,#315632,#315633,#315634)); #325655=CLOSED_SHELL('',(#315635,#315636,#315637,#315638,#315639,#315640)); #325656=CLOSED_SHELL('',(#315641,#315642,#315643,#315644,#315645,#315646)); #325657=CLOSED_SHELL('',(#315647,#315648,#315649,#315650,#315651,#315652)); #325658=CLOSED_SHELL('',(#315653,#315654,#315655,#315656,#315657,#315658)); #325659=CLOSED_SHELL('',(#315659,#315660,#315661,#315662,#315663,#315664)); #325660=CLOSED_SHELL('',(#315665,#315666,#315667,#315668,#315669,#315670)); #325661=CLOSED_SHELL('',(#315671,#315672,#315673,#315674,#315675,#315676)); #325662=CLOSED_SHELL('',(#315677,#315678,#315679,#315680,#315681,#315682)); #325663=CLOSED_SHELL('',(#315683,#315684,#315685,#315686,#315687,#315688)); #325664=CLOSED_SHELL('',(#315689,#315690,#315691,#315692,#315693,#315694)); #325665=CLOSED_SHELL('',(#315695,#315696,#315697,#315698,#315699,#315700)); #325666=CLOSED_SHELL('',(#315701,#315702,#315703,#315704,#315705,#315706, #315707,#315708,#315709,#315710,#315711,#315712)); #325667=CLOSED_SHELL('',(#315713,#315714,#315715,#315716,#315717,#315718)); #325668=CLOSED_SHELL('',(#315719,#315720,#315721,#315722,#315723,#315724)); #325669=CLOSED_SHELL('',(#315725,#315726,#315727,#315728,#315729,#315730)); #325670=CLOSED_SHELL('',(#315731,#315732,#315733,#315734,#315735,#315736)); #325671=CLOSED_SHELL('',(#315737,#315738,#315739,#315740,#315741,#315742)); #325672=CLOSED_SHELL('',(#315743,#315744,#315745,#315746,#315747,#315748)); #325673=CLOSED_SHELL('',(#315749,#315750,#315751,#315752,#315753,#315754)); #325674=CLOSED_SHELL('',(#315755,#315756,#315757,#315758,#315759,#315760)); #325675=CLOSED_SHELL('',(#315761,#315762,#315763,#315764,#315765,#315766)); #325676=CLOSED_SHELL('',(#315767,#315768,#315769,#315770,#315771,#315772)); #325677=CLOSED_SHELL('',(#315773,#315774,#315775,#315776,#315777,#315778)); #325678=CLOSED_SHELL('',(#315779,#315780,#315781,#315782,#315783,#315784)); #325679=CLOSED_SHELL('',(#315785,#315786,#315787,#315788,#315789,#315790)); #325680=CLOSED_SHELL('',(#315791,#315792,#315793,#315794,#315795,#315796)); #325681=CLOSED_SHELL('',(#315797,#315798,#315799,#315800,#315801,#315802)); #325682=CLOSED_SHELL('',(#315803,#315804,#315805,#315806,#315807,#315808)); #325683=CLOSED_SHELL('',(#315809,#315810,#315811,#315812,#315813,#315814)); #325684=CLOSED_SHELL('',(#315815,#315816,#315817,#315818,#315819,#315820)); #325685=CLOSED_SHELL('',(#315821,#315822,#315823,#315824,#315825,#315826)); #325686=CLOSED_SHELL('',(#315827,#315828,#315829,#315830,#315831,#315832)); #325687=CLOSED_SHELL('',(#315833,#315834,#315835,#315836,#315837,#315838)); #325688=CLOSED_SHELL('',(#315839,#315840,#315841,#315842,#315843,#315844)); #325689=CLOSED_SHELL('',(#315845,#315846,#315847,#315848,#315849,#315850)); #325690=CLOSED_SHELL('',(#315851,#315852,#315853,#315854,#315855,#315856)); #325691=CLOSED_SHELL('',(#315857,#315858,#315859,#315860,#315861,#315862)); #325692=CLOSED_SHELL('',(#315863,#315864,#315865,#315866,#315867,#315868)); #325693=CLOSED_SHELL('',(#315869,#315870,#315871,#315872,#315873,#315874, #315875,#315876,#315877,#315878,#315879,#315880)); #325694=CLOSED_SHELL('',(#315881,#315882,#315883,#315884,#315885,#315886)); #325695=CLOSED_SHELL('',(#315887,#315888,#315889,#315890,#315891,#315892)); #325696=CLOSED_SHELL('',(#315893,#315894,#315895,#315896,#315897,#315898)); #325697=CLOSED_SHELL('',(#315899,#315900,#315901,#315902,#315903,#315904)); #325698=CLOSED_SHELL('',(#315905,#315906,#315907,#315908,#315909,#315910)); #325699=CLOSED_SHELL('',(#315911,#315912,#315913,#315914,#315915,#315916)); #325700=CLOSED_SHELL('',(#315917,#315918,#315919,#315920,#315921,#315922, #315923,#315924,#315925,#315926,#315927,#315928)); #325701=CLOSED_SHELL('',(#315929,#315930,#315931,#315932,#315933,#315934)); #325702=CLOSED_SHELL('',(#315935,#315936,#315937,#315938,#315939,#315940)); #325703=CLOSED_SHELL('',(#315941,#315942,#315943,#315944,#315945,#315946, #315947,#315948,#315949,#315950,#315951,#315952,#315953,#315954,#315955, #315956,#315957,#315958,#315959,#315960,#315961,#315962,#315963,#315964, #315965,#315966,#315967,#315968,#315969,#315970,#315971,#315972,#315973, #315974,#315975,#315976,#315977,#315978,#315979,#315980,#315981,#315982, #315983,#315984,#315985,#315986,#315987,#315988,#315989,#315990,#315991, #315992,#315993,#315994,#315995)); #325704=CLOSED_SHELL('',(#315996,#315997,#315998,#315999,#316000,#316001)); #325705=CLOSED_SHELL('',(#316002,#316003,#316004,#316005,#316006,#316007)); #325706=CLOSED_SHELL('',(#316008,#316009,#316010,#316011,#316012,#316013)); #325707=CLOSED_SHELL('',(#316014,#316015,#316016,#316017,#316018,#316019)); #325708=CLOSED_SHELL('',(#316020,#316021,#316022,#316023,#316024,#316025)); #325709=CLOSED_SHELL('',(#316026,#316027,#316028,#316029,#316030,#316031)); #325710=CLOSED_SHELL('',(#316032,#316033,#316034,#316035,#316036,#316037)); #325711=CLOSED_SHELL('',(#316038,#316039,#316040,#316041,#316042,#316043)); #325712=CLOSED_SHELL('',(#316044,#316045,#316046,#316047,#316048,#316049)); #325713=CLOSED_SHELL('',(#316050,#316051,#316052,#316053,#316054,#316055)); #325714=CLOSED_SHELL('',(#316056,#316057,#316058,#316059,#316060,#316061)); #325715=CLOSED_SHELL('',(#316062,#316063,#316064,#316065,#316066,#316067)); #325716=CLOSED_SHELL('',(#316068,#316069,#316070,#316071,#316072,#316073)); #325717=CLOSED_SHELL('',(#316074,#316075,#316076,#316077,#316078,#316079)); #325718=CLOSED_SHELL('',(#316080,#316081,#316082,#316083,#316084,#316085)); #325719=CLOSED_SHELL('',(#316086,#316087,#316088,#316089,#316090,#316091)); #325720=CLOSED_SHELL('',(#316092,#316093,#316094,#316095,#316096,#316097)); #325721=CLOSED_SHELL('',(#316098,#316099,#316100,#316101,#316102,#316103)); #325722=CLOSED_SHELL('',(#316104,#316105,#316106,#316107,#316108,#316109, #316110)); #325723=CLOSED_SHELL('',(#316111,#316112,#316113,#316114,#316115,#316116)); #325724=CLOSED_SHELL('',(#316117,#316118,#316119,#316120,#316121,#316122)); #325725=CLOSED_SHELL('',(#316123,#316124,#316125,#316126,#316127,#316128, #316129)); #325726=CLOSED_SHELL('',(#316130,#316131,#316132,#316133,#316134,#316135)); #325727=CLOSED_SHELL('',(#316136,#316137,#316138,#316139,#316140,#316141)); #325728=CLOSED_SHELL('',(#316142,#316143,#316144,#316145,#316146,#316147)); #325729=CLOSED_SHELL('',(#316148,#316149,#316150,#316151,#316152,#316153)); #325730=CLOSED_SHELL('',(#316154,#316155,#316156,#316157,#316158,#316159)); #325731=CLOSED_SHELL('',(#316160,#316161,#316162,#316163,#316164,#316165)); #325732=CLOSED_SHELL('',(#316166,#316167,#316168,#316169,#316170,#316171)); #325733=CLOSED_SHELL('',(#316172,#316173,#316174,#316175,#316176,#316177)); #325734=CLOSED_SHELL('',(#316178,#316179,#316180,#316181,#316182,#316183)); #325735=CLOSED_SHELL('',(#316184,#316185,#316186,#316187,#316188,#316189)); #325736=CLOSED_SHELL('',(#316190,#316191,#316192,#316193,#316194,#316195)); #325737=CLOSED_SHELL('',(#316196,#316197,#316198,#316199,#316200,#316201, #316202,#316203,#316204,#316205,#316206,#316207,#316208,#316209,#316210, #316211,#316212,#316213,#316214,#316215,#316216)); #325738=CLOSED_SHELL('',(#316217,#316218,#316219,#316220,#316221,#316222, #316223)); #325739=CLOSED_SHELL('',(#316224,#316225,#316226,#316227,#316228,#316229)); #325740=CLOSED_SHELL('',(#316230,#316231,#316232,#316233,#316234,#316235)); #325741=CLOSED_SHELL('',(#316236,#316237,#316238,#316239,#316240,#316241)); #325742=CLOSED_SHELL('',(#316242,#316243,#316244,#316245,#316246,#316247)); #325743=CLOSED_SHELL('',(#316248,#316249,#316250,#316251,#316252,#316253)); #325744=CLOSED_SHELL('',(#316254,#316255,#316256,#316257,#316258,#316259, #316260)); #325745=CLOSED_SHELL('',(#316261,#316262,#316263,#316264,#316265,#316266, #316267)); #325746=CLOSED_SHELL('',(#316268,#316269,#316270,#316271,#316272,#316273)); #325747=CLOSED_SHELL('',(#316274,#316275,#316276,#316277,#316278,#316279)); #325748=CLOSED_SHELL('',(#316280,#316281,#316282,#316283,#316284,#316285)); #325749=CLOSED_SHELL('',(#316286,#316287,#316288,#316289,#316290,#316291)); #325750=CLOSED_SHELL('',(#316292,#316293,#316294,#316295,#316296,#316297)); #325751=CLOSED_SHELL('',(#316298,#316299,#316300,#316301,#316302,#316303)); #325752=CLOSED_SHELL('',(#316304,#316305,#316306,#316307,#316308,#316309)); #325753=CLOSED_SHELL('',(#316310,#316311,#316312,#316313,#316314,#316315)); #325754=CLOSED_SHELL('',(#316316,#316317,#316318,#316319,#316320,#316321)); #325755=CLOSED_SHELL('',(#316322,#316323,#316324,#316325,#316326,#316327)); #325756=CLOSED_SHELL('',(#316328,#316329,#316330,#316331,#316332,#316333)); #325757=CLOSED_SHELL('',(#316334,#316335,#316336,#316337,#316338,#316339, #316340,#316341,#316342,#316343,#316344,#316345)); #325758=CLOSED_SHELL('',(#316346,#316347,#316348,#316349,#316350,#316351)); #325759=CLOSED_SHELL('',(#316352,#316353,#316354,#316355,#316356,#316357)); #325760=CLOSED_SHELL('',(#316358,#316359,#316360,#316361,#316362,#316363)); #325761=CLOSED_SHELL('',(#316364,#316365,#316366,#316367,#316368,#316369, #316370,#316371,#316372,#316373,#316374,#316375,#316376,#316377,#316378, #316379)); #325762=CLOSED_SHELL('',(#316380,#316381,#316382,#316383,#316384,#316385)); #325763=CLOSED_SHELL('',(#316386,#316387,#316388,#316389,#316390,#316391)); #325764=CLOSED_SHELL('',(#316392,#316393,#316394,#316395,#316396,#316397)); #325765=CLOSED_SHELL('',(#316398,#316399,#316400,#316401,#316402,#316403)); #325766=CLOSED_SHELL('',(#316404,#316405,#316406,#316407,#316408,#316409)); #325767=CLOSED_SHELL('',(#316410,#316411,#316412,#316413,#316414,#316415)); #325768=CLOSED_SHELL('',(#316416,#316417,#316418,#316419,#316420,#316421)); #325769=CLOSED_SHELL('',(#316422,#316423,#316424,#316425,#316426,#316427)); #325770=CLOSED_SHELL('',(#316428,#316429,#316430,#316431,#316432,#316433)); #325771=CLOSED_SHELL('',(#316434,#316435,#316436,#316437,#316438,#316439)); #325772=CLOSED_SHELL('',(#316440,#316441,#316442,#316443,#316444,#316445)); #325773=CLOSED_SHELL('',(#316446,#316447,#316448,#316449,#316450,#316451)); #325774=CLOSED_SHELL('',(#316452,#316453,#316454,#316455,#316456,#316457)); #325775=CLOSED_SHELL('',(#316458,#316459,#316460,#316461,#316462,#316463)); #325776=CLOSED_SHELL('',(#316464,#316465,#316466,#316467,#316468,#316469)); #325777=CLOSED_SHELL('',(#316470,#316471,#316472,#316473,#316474,#316475)); #325778=CLOSED_SHELL('',(#316476,#316477,#316478,#316479,#316480,#316481)); #325779=CLOSED_SHELL('',(#316482,#316483,#316484,#316485,#316486,#316487)); #325780=CLOSED_SHELL('',(#316488,#316489,#316490,#316491,#316492,#316493)); #325781=CLOSED_SHELL('',(#316494,#316495,#316496,#316497,#316498,#316499)); #325782=CLOSED_SHELL('',(#316500,#316501,#316502,#316503,#316504,#316505)); #325783=CLOSED_SHELL('',(#316506,#316507,#316508,#316509,#316510,#316511)); #325784=CLOSED_SHELL('',(#316512,#316513,#316514,#316515,#316516,#316517)); #325785=CLOSED_SHELL('',(#316518,#316519,#316520,#316521,#316522,#316523)); #325786=CLOSED_SHELL('',(#316524,#316525,#316526,#316527,#316528,#316529, #316530,#316531)); #325787=CLOSED_SHELL('',(#316532,#316533,#316534,#316535,#316536,#316537)); #325788=CLOSED_SHELL('',(#316538,#316539,#316540,#316541,#316542,#316543, #316544,#316545)); #325789=CLOSED_SHELL('',(#316546,#316547,#316548,#316549,#316550,#316551)); #325790=CLOSED_SHELL('',(#316552,#316553,#316554,#316555,#316556,#316557, #316558,#316559)); #325791=CLOSED_SHELL('',(#316560,#316561,#316562,#316563,#316564,#316565)); #325792=CLOSED_SHELL('',(#316566,#316567,#316568,#316569,#316570,#316571, #316572,#316573)); #325793=CLOSED_SHELL('',(#316574,#316575,#316576,#316577,#316578,#316579)); #325794=CLOSED_SHELL('',(#316580,#316581,#316582,#316583,#316584,#316585, #316586,#316587,#316588,#316589,#316590,#316591,#316592,#316593,#316594, #316595,#316596,#316597,#316598,#316599,#316600,#316601,#316602,#316603, #316604,#316605,#316606,#316607,#316608,#316609,#316610,#316611,#316612, #316613,#316614,#316615,#316616,#316617,#316618,#316619,#316620,#316621, #316622,#316623,#316624,#316625,#316626,#316627,#316628,#316629,#316630, #316631,#316632,#316633,#316634,#316635,#316636,#316637,#316638,#316639)); #325795=CLOSED_SHELL('',(#316640,#316641,#316642,#316643,#316644,#316645, #316646,#316647,#316648,#316649,#316650,#316651)); #325796=CLOSED_SHELL('',(#316652,#316653,#316654,#316655,#316656,#316657, #316658,#316659,#316660,#316661,#316662,#316663,#316664)); #325797=CLOSED_SHELL('',(#316665,#316666,#316667,#316668,#316669,#316670, #316671,#316672,#316673,#316674,#316675,#316676,#316677,#316678,#316679, #316680)); #325798=CLOSED_SHELL('',(#316681,#316682,#316683,#316684,#316685,#316686, #316687,#316688,#316689,#316690,#316691,#316692,#316693,#316694,#316695, #316696,#316697,#316698,#316699,#316700,#316701,#316702,#316703,#316704, #316705,#316706,#316707,#316708,#316709,#316710,#316711,#316712,#316713, #316714,#316715,#316716,#316717,#316718,#316719,#316720,#316721,#316722, #316723,#316724,#316725,#316726,#316727,#316728)); #325799=CLOSED_SHELL('',(#316729,#316730,#316731,#316732,#316733,#316734, #316735,#316736,#316737,#316738,#316739,#316740,#316741,#316742,#316743)); #325800=CLOSED_SHELL('',(#316744,#316745,#316746,#316747,#316748,#316749, #316750,#316751,#316752,#316753,#316754,#316755,#316756,#316757,#316758, #316759,#316760,#316761,#316762,#316763,#316764,#316765,#316766,#316767, #316768,#316769,#316770)); #325801=CLOSED_SHELL('',(#316771,#316772,#316773,#316774,#316775,#316776, #316777,#316778,#316779,#316780,#316781,#316782,#316783,#316784,#316785, #316786,#316787,#316788,#316789,#316790,#316791,#316792,#316793,#316794, #316795,#316796,#316797,#316798,#316799)); #325802=CLOSED_SHELL('',(#316800,#316801,#316802,#316803,#316804,#316805, #316806,#316807,#316808,#316809,#316810,#316811,#316812,#316813,#316814, #316815,#316816,#316817,#316818,#316819,#316820,#316821,#316822,#316823, #316824,#316825,#316826,#316827,#316828,#316829,#316830,#316831,#316832, #316833,#316834,#316835,#316836,#316837,#316838,#316839,#316840,#316841, #316842,#316843,#316844,#316845,#316846,#316847,#316848,#316849,#316850, #316851,#316852,#316853,#316854,#316855,#316856,#316857,#316858,#316859, #316860,#316861,#316862,#316863,#316864)); #325803=CLOSED_SHELL('',(#316865,#316866,#316867,#316868,#316869,#316870, #316871,#316872,#316873,#316874,#316875,#316876)); #325804=CLOSED_SHELL('',(#316877,#316878,#316879,#316880,#316881,#316882, #316883,#316884,#316885,#316886,#316887,#316888)); #325805=CLOSED_SHELL('',(#316889,#316890,#316891,#316892,#316893,#316894, #316895,#316896,#316897,#316898,#316899,#316900)); #325806=CLOSED_SHELL('',(#316901,#316902,#316903,#316904,#316905,#316906, #316907,#316908,#316909,#316910,#316911,#316912,#316913,#316914,#316915, #316916,#316917)); #325807=CLOSED_SHELL('',(#316918,#316919,#316920,#316921,#316922,#316923, #316924)); #325808=CLOSED_SHELL('',(#316925,#316926,#316927,#316928,#316929,#316930, #316931)); #325809=CLOSED_SHELL('',(#316932,#316933,#316934,#316935,#316936,#316937, #316938)); #325810=CLOSED_SHELL('',(#316939,#316940,#316941,#316942,#316943,#316944, #316945,#316946,#316947,#316948,#316949,#316950,#316951)); #325811=CLOSED_SHELL('',(#316952,#316953,#316954,#316955,#316956,#316957, #316958,#316959,#316960,#316961,#316962,#316963,#316964,#316965,#316966, #316967,#316968,#316969,#316970,#316971,#316972,#316973,#316974,#316975, #316976,#316977,#316978,#316979,#316980,#316981,#316982,#316983,#316984, #316985,#316986,#316987,#316988,#316989,#316990,#316991,#316992,#316993, #316994,#316995,#316996,#316997,#316998,#316999,#317000,#317001,#317002, #317003,#317004,#317005,#317006,#317007,#317008,#317009,#317010,#317011, #317012,#317013,#317014,#317015,#317016)); #325812=CLOSED_SHELL('',(#317017,#317018,#317019,#317020,#317021,#317022, #317023,#317024,#317025,#317026,#317027)); #325813=CLOSED_SHELL('',(#317028,#317029,#317030,#317031,#317032,#317033, #317034,#317035,#317036,#317037,#317038,#317039,#317040)); #325814=CLOSED_SHELL('',(#317041,#317042,#317043,#317044,#317045,#317046, #317047,#317048,#317049,#317050,#317051,#317052,#317053)); #325815=CLOSED_SHELL('',(#317054,#317055,#317056,#317057,#317058,#317059, #317060,#317061,#317062,#317063,#317064,#317065,#317066,#317067,#317068, #317069,#317070,#317071,#317072,#317073)); #325816=CLOSED_SHELL('',(#317074,#317075,#317076,#317077,#317078,#317079, #317080,#317081,#317082,#317083,#317084,#317085,#317086,#317087,#317088, #317089,#317090,#317091)); #325817=CLOSED_SHELL('',(#317092,#317093,#317094,#317095,#317096,#317097)); #325818=CLOSED_SHELL('',(#317098,#317099,#317100,#317101,#317102,#317103, #317104,#317105,#317106,#317107,#317108,#317109,#317110,#317111,#317112, #317113,#317114,#317115,#317116,#317117,#317118,#317119,#317120,#317121)); #325819=CLOSED_SHELL('',(#317122,#317123,#317124,#317125,#317126,#317127, #317128,#317129,#317130,#317131,#317132,#317133,#317134,#317135,#317136, #317137,#317138,#317139)); #325820=CLOSED_SHELL('',(#317140,#317141,#317142,#317143,#317144,#317145, #317146,#317147,#317148,#317149,#317150,#317151,#317152,#317153,#317154, #317155)); #325821=CLOSED_SHELL('',(#317156,#317157,#317158,#317159,#317160,#317161, #317162,#317163,#317164,#317165,#317166,#317167,#317168,#317169,#317170, #317171)); #325822=CLOSED_SHELL('',(#317172,#317173,#317174,#317175,#317176,#317177, #317178,#317179,#317180,#317181,#317182,#317183,#317184,#317185,#317186, #317187,#317188,#317189,#317190,#317191,#317192,#317193,#317194,#317195, #317196,#317197,#317198,#317199,#317200,#317201,#317202,#317203,#317204, #317205,#317206,#317207,#317208,#317209,#317210,#317211,#317212,#317213)); #325823=CLOSED_SHELL('',(#317214,#317215,#317216,#317217,#317218,#317219, #317220,#317221,#317222,#317223,#317224)); #325824=CLOSED_SHELL('',(#317225,#317226,#317227,#317228,#317229,#317230, #317231)); #325825=CLOSED_SHELL('',(#317232,#317233,#317234,#317235,#317236,#317237, #317238,#317239,#317240,#317241,#317242,#317243,#317244,#317245,#317246, #317247,#317248,#317249,#317250,#317251,#317252,#317253,#317254,#317255, #317256,#317257,#317258)); #325826=CLOSED_SHELL('',(#317259,#317260,#317261,#317262,#317263,#317264, #317265,#317266,#317267,#317268,#317269,#317270)); #325827=CLOSED_SHELL('',(#317271,#317272,#317273,#317274,#317275,#317276, #317277)); #325828=CLOSED_SHELL('',(#317278,#317279,#317280,#317281,#317282,#317283, #317284,#317285,#317286,#317287,#317288,#317289,#317290,#317291,#317292, #317293,#317294,#317295,#317296,#317297,#317298,#317299,#317300,#317301, #317302,#317303,#317304,#317305,#317306,#317307,#317308,#317309,#317310, #317311,#317312,#317313,#317314,#317315,#317316,#317317,#317318,#317319, #317320,#317321,#317322,#317323,#317324,#317325,#317326,#317327,#317328, #317329,#317330,#317331,#317332,#317333,#317334,#317335,#317336,#317337, #317338,#317339,#317340,#317341,#317342,#317343,#317344,#317345,#317346, #317347,#317348,#317349,#317350,#317351,#317352,#317353,#317354,#317355, #317356,#317357,#317358,#317359,#317360,#317361,#317362,#317363,#317364, #317365,#317366,#317367,#317368,#317369,#317370,#317371,#317372,#317373)); #325829=CLOSED_SHELL('',(#317374,#317375,#317376,#317377,#317378,#317379, #317380,#317381,#317382,#317383,#317384,#317385,#317386,#317387,#317388, #317389,#317390,#317391,#317392,#317393,#317394,#317395,#317396,#317397, #317398,#317399,#317400,#317401,#317402,#317403,#317404,#317405,#317406, #317407,#317408,#317409,#317410,#317411,#317412,#317413,#317414,#317415, #317416,#317417,#317418,#317419,#317420,#317421,#317422,#317423,#317424)); #325830=CLOSED_SHELL('',(#317425,#317426,#317427,#317428,#317429,#317430, #317431,#317432,#317433,#317434,#317435,#317436,#317437,#317438,#317439, #317440,#317441,#317442,#317443,#317444,#317445,#317446,#317447,#317448, #317449,#317450,#317451,#317452,#317453,#317454,#317455,#317456,#317457, #317458,#317459,#317460,#317461,#317462,#317463,#317464,#317465,#317466, #317467,#317468,#317469,#317470,#317471,#317472,#317473,#317474,#317475, #317476,#317477,#317478,#317479,#317480,#317481,#317482,#317483,#317484, #317485,#317486,#317487,#317488,#317489,#317490,#317491,#317492,#317493, #317494,#317495,#317496,#317497,#317498,#317499,#317500,#317501,#317502, #317503,#317504,#317505,#317506,#317507,#317508,#317509,#317510,#317511, #317512,#317513,#317514,#317515,#317516,#317517,#317518,#317519,#317520, #317521,#317522,#317523,#317524,#317525,#317526,#317527,#317528,#317529, #317530,#317531,#317532,#317533,#317534,#317535)); #325831=CLOSED_SHELL('',(#317536,#317537,#317538,#317539,#317540,#317541, #317542,#317543,#317544,#317545,#317546,#317547,#317548,#317549,#317550, #317551,#317552,#317553,#317554,#317555,#317556,#317557,#317558)); #325832=CLOSED_SHELL('',(#317559,#317560,#317561,#317562,#317563,#317564, #317565,#317566,#317567,#317568,#317569,#317570,#317571,#317572,#317573, #317574,#317575,#317576,#317577,#317578,#317579,#317580)); #325833=CLOSED_SHELL('',(#317581,#317582,#317583,#317584,#317585,#317586, #317587,#317588,#317589,#317590,#317591,#317592,#317593,#317594,#317595, #317596,#317597,#317598,#317599,#317600,#317601,#317602,#317603,#317604, #317605,#317606)); #325834=CLOSED_SHELL('',(#317607,#317608,#317609,#317610,#317611,#317612, #317613,#317614,#317615,#317616,#317617,#317618,#317619,#317620,#317621, #317622,#317623,#317624,#317625,#317626,#317627,#317628,#317629)); #325835=CLOSED_SHELL('',(#317630,#317631,#317632,#317633,#317634,#317635, #317636,#317637,#317638,#317639,#317640,#317641,#317642,#317643,#317644, #317645,#317646,#317647,#317648,#317649,#317650,#317651,#317652,#317653, #317654,#317655,#317656,#317657,#317658,#317659,#317660,#317661,#317662, #317663,#317664,#317665,#317666)); #325836=CLOSED_SHELL('',(#317667,#317668,#317669,#317670,#317671,#317672, #317673,#317674,#317675,#317676,#317677,#317678,#317679,#317680,#317681, #317682,#317683,#317684,#317685,#317686,#317687,#317688,#317689)); #325837=CLOSED_SHELL('',(#317690,#317691,#317692,#317693,#317694,#317695, #317696,#317697,#317698,#317699,#317700,#317701,#317702,#317703,#317704, #317705,#317706,#317707,#317708,#317709,#317710,#317711,#317712,#317713, #317714,#317715,#317716,#317717,#317718,#317719,#317720,#317721,#317722)); #325838=CLOSED_SHELL('',(#317723,#317724,#317725,#317726,#317727,#317728, #317729,#317730,#317731,#317732,#317733,#317734,#317735,#317736,#317737, #317738,#317739,#317740,#317741,#317742,#317743,#317744,#317745,#317746)); #325839=CLOSED_SHELL('',(#317747,#317748,#317749,#317750,#317751,#317752, #317753,#317754,#317755,#317756,#317757,#317758,#317759,#317760,#317761, #317762,#317763,#317764,#317765,#317766,#317767,#317768)); #325840=CLOSED_SHELL('',(#317769,#317770,#317771,#317772,#317773,#317774, #317775,#317776,#317777,#317778,#317779,#317780,#317781,#317782,#317783, #317784,#317785,#317786,#317787,#317788,#317789,#317790,#317791,#317792, #317793,#317794,#317795,#317796,#317797,#317798,#317799,#317800,#317801, #317802,#317803,#317804,#317805,#317806,#317807,#317808,#317809,#317810, #317811,#317812,#317813,#317814,#317815,#317816,#317817,#317818,#317819, #317820,#317821,#317822,#317823,#317824,#317825,#317826,#317827,#317828, #317829,#317830,#317831,#317832,#317833,#317834,#317835,#317836,#317837, #317838,#317839,#317840,#317841,#317842,#317843,#317844,#317845,#317846, #317847,#317848,#317849,#317850,#317851,#317852,#317853,#317854,#317855, #317856,#317857,#317858,#317859,#317860,#317861,#317862,#317863,#317864, #317865,#317866,#317867,#317868,#317869,#317870,#317871,#317872,#317873, #317874,#317875,#317876,#317877,#317878,#317879,#317880,#317881,#317882, #317883,#317884,#317885,#317886,#317887,#317888,#317889,#317890,#317891, #317892,#317893,#317894,#317895,#317896,#317897,#317898,#317899,#317900, #317901,#317902,#317903,#317904,#317905,#317906,#317907,#317908,#317909, #317910,#317911,#317912,#317913,#317914,#317915,#317916,#317917,#317918, #317919,#317920,#317921,#317922,#317923,#317924,#317925,#317926,#317927, #317928,#317929,#317930,#317931,#317932,#317933,#317934,#317935,#317936, #317937,#317938,#317939,#317940,#317941,#317942,#317943,#317944,#317945, #317946,#317947,#317948,#317949,#317950,#317951,#317952,#317953,#317954, #317955,#317956,#317957,#317958,#317959,#317960,#317961,#317962,#317963, #317964,#317965,#317966,#317967,#317968,#317969,#317970,#317971,#317972, #317973,#317974,#317975,#317976,#317977,#317978,#317979,#317980,#317981, #317982,#317983,#317984,#317985,#317986,#317987,#317988,#317989,#317990, #317991,#317992,#317993,#317994,#317995,#317996,#317997,#317998,#317999, #318000,#318001,#318002,#318003,#318004,#318005,#318006,#318007,#318008, #318009,#318010,#318011,#318012,#318013,#318014,#318015,#318016,#318017, #318018,#318019,#318020,#318021,#318022,#318023,#318024,#318025,#318026, #318027,#318028,#318029,#318030,#318031,#318032,#318033,#318034,#318035, #318036,#318037,#318038,#318039,#318040,#318041,#318042,#318043,#318044, #318045,#318046,#318047,#318048,#318049,#318050,#318051,#318052,#318053, #318054,#318055,#318056,#318057,#318058,#318059,#318060,#318061,#318062, #318063,#318064,#318065,#318066,#318067,#318068,#318069,#318070,#318071, #318072,#318073,#318074,#318075,#318076,#318077,#318078,#318079,#318080, #318081,#318082,#318083,#318084,#318085,#318086,#318087,#318088,#318089, #318090,#318091,#318092,#318093,#318094,#318095,#318096,#318097,#318098, #318099,#318100,#318101,#318102,#318103,#318104,#318105,#318106,#318107, #318108,#318109,#318110,#318111,#318112,#318113,#318114,#318115,#318116, #318117,#318118,#318119,#318120,#318121,#318122,#318123,#318124,#318125, #318126,#318127,#318128,#318129,#318130,#318131,#318132,#318133,#318134, #318135,#318136,#318137,#318138,#318139,#318140,#318141,#318142,#318143, #318144,#318145,#318146,#318147,#318148,#318149,#318150,#318151,#318152, #318153,#318154,#318155,#318156,#318157,#318158,#318159,#318160,#318161, #318162,#318163,#318164,#318165,#318166,#318167,#318168,#318169,#318170, #318171,#318172,#318173,#318174,#318175,#318176,#318177,#318178,#318179, #318180,#318181,#318182,#318183,#318184,#318185,#318186,#318187,#318188, #318189,#318190,#318191,#318192,#318193,#318194,#318195,#318196,#318197, #318198,#318199,#318200,#318201,#318202,#318203,#318204,#318205,#318206, #318207,#318208,#318209,#318210,#318211,#318212,#318213,#318214,#318215, #318216,#318217,#318218,#318219,#318220,#318221,#318222,#318223,#318224, #318225,#318226,#318227,#318228,#318229,#318230,#318231,#318232,#318233, #318234,#318235,#318236,#318237,#318238,#318239,#318240,#318241,#318242, #318243,#318244,#318245,#318246,#318247,#318248,#318249,#318250,#318251, #318252,#318253,#318254,#318255,#318256,#318257,#318258,#318259,#318260, #318261,#318262,#318263,#318264,#318265,#318266,#318267,#318268,#318269, #318270,#318271,#318272,#318273,#318274,#318275,#318276,#318277,#318278, #318279,#318280,#318281,#318282,#318283,#318284,#318285,#318286,#318287, #318288,#318289,#318290,#318291,#318292,#318293,#318294,#318295,#318296, #318297,#318298,#318299,#318300,#318301,#318302,#318303,#318304,#318305, #318306,#318307,#318308,#318309,#318310,#318311,#318312,#318313,#318314, #318315,#318316,#318317,#318318,#318319,#318320,#318321,#318322,#318323, #318324,#318325,#318326,#318327,#318328,#318329,#318330,#318331,#318332, #318333,#318334,#318335,#318336,#318337,#318338,#318339,#318340,#318341, #318342,#318343,#318344,#318345,#318346,#318347,#318348,#318349,#318350, #318351,#318352,#318353,#318354,#318355,#318356,#318357,#318358,#318359, #318360,#318361,#318362,#318363,#318364,#318365,#318366,#318367,#318368, #318369,#318370,#318371,#318372,#318373,#318374,#318375,#318376,#318377, #318378,#318379,#318380,#318381,#318382,#318383,#318384,#318385,#318386, #318387,#318388,#318389,#318390,#318391,#318392,#318393,#318394,#318395, #318396,#318397,#318398,#318399,#318400,#318401,#318402,#318403,#318404, #318405,#318406,#318407,#318408,#318409,#318410,#318411,#318412,#318413, #318414,#318415,#318416,#318417,#318418,#318419,#318420,#318421,#318422, #318423,#318424,#318425,#318426,#318427,#318428,#318429,#318430,#318431, #318432,#318433,#318434,#318435,#318436,#318437,#318438,#318439,#318440, #318441,#318442,#318443,#318444,#318445,#318446,#318447,#318448,#318449, #318450,#318451,#318452,#318453,#318454,#318455,#318456,#318457,#318458, #318459,#318460,#318461,#318462,#318463,#318464,#318465,#318466,#318467, #318468,#318469,#318470,#318471,#318472,#318473,#318474,#318475,#318476, #318477,#318478,#318479,#318480,#318481,#318482,#318483,#318484,#318485, #318486,#318487,#318488,#318489,#318490,#318491,#318492,#318493,#318494, #318495,#318496,#318497,#318498,#318499,#318500,#318501,#318502,#318503, #318504,#318505,#318506,#318507,#318508,#318509,#318510,#318511,#318512, #318513,#318514,#318515,#318516,#318517,#318518,#318519,#318520,#318521, #318522,#318523,#318524,#318525,#318526,#318527,#318528,#318529,#318530, #318531,#318532,#318533,#318534,#318535,#318536,#318537,#318538,#318539, #318540,#318541,#318542,#318543,#318544,#318545,#318546,#318547,#318548, #318549,#318550,#318551,#318552,#318553,#318554,#318555,#318556,#318557, #318558,#318559,#318560,#318561,#318562,#318563,#318564,#318565,#318566, #318567,#318568,#318569,#318570,#318571,#318572,#318573,#318574,#318575, #318576,#318577,#318578,#318579,#318580,#318581,#318582,#318583,#318584, #318585,#318586,#318587,#318588,#318589,#318590,#318591,#318592,#318593, #318594,#318595,#318596,#318597,#318598,#318599,#318600,#318601,#318602, #318603,#318604,#318605,#318606,#318607,#318608,#318609,#318610,#318611, #318612,#318613,#318614,#318615,#318616,#318617,#318618,#318619,#318620, #318621,#318622,#318623,#318624,#318625,#318626,#318627,#318628,#318629, #318630,#318631,#318632,#318633,#318634,#318635,#318636,#318637,#318638, #318639,#318640,#318641,#318642,#318643,#318644,#318645,#318646,#318647, #318648,#318649,#318650,#318651,#318652,#318653,#318654,#318655,#318656, #318657,#318658,#318659,#318660,#318661,#318662,#318663,#318664,#318665, #318666,#318667,#318668,#318669,#318670,#318671,#318672,#318673,#318674, #318675,#318676,#318677,#318678,#318679,#318680,#318681,#318682,#318683, #318684,#318685,#318686,#318687,#318688,#318689,#318690,#318691,#318692, #318693,#318694,#318695,#318696,#318697,#318698,#318699,#318700,#318701, #318702,#318703,#318704,#318705,#318706,#318707,#318708,#318709,#318710, #318711,#318712,#318713,#318714,#318715,#318716,#318717,#318718,#318719, #318720,#318721,#318722,#318723,#318724,#318725,#318726,#318727,#318728, #318729,#318730,#318731,#318732,#318733,#318734,#318735,#318736,#318737, #318738,#318739,#318740,#318741,#318742,#318743,#318744,#318745,#318746, #318747,#318748,#318749,#318750,#318751,#318752,#318753,#318754,#318755, #318756,#318757,#318758,#318759,#318760,#318761,#318762,#318763,#318764, #318765,#318766,#318767,#318768,#318769,#318770,#318771,#318772,#318773, #318774,#318775,#318776,#318777,#318778,#318779,#318780,#318781,#318782, #318783,#318784,#318785,#318786,#318787,#318788,#318789,#318790,#318791, #318792,#318793,#318794,#318795,#318796,#318797,#318798,#318799,#318800, #318801,#318802,#318803,#318804,#318805,#318806,#318807,#318808,#318809, #318810,#318811,#318812,#318813,#318814,#318815,#318816,#318817,#318818, #318819,#318820,#318821,#318822,#318823,#318824,#318825,#318826,#318827, #318828,#318829,#318830,#318831,#318832,#318833,#318834,#318835,#318836, #318837,#318838,#318839,#318840,#318841,#318842,#318843,#318844,#318845, #318846,#318847,#318848,#318849,#318850,#318851,#318852,#318853,#318854, #318855,#318856,#318857,#318858,#318859,#318860,#318861,#318862,#318863, #318864,#318865,#318866,#318867,#318868,#318869,#318870,#318871,#318872, #318873,#318874,#318875,#318876,#318877,#318878,#318879,#318880,#318881, #318882,#318883,#318884,#318885,#318886,#318887,#318888,#318889,#318890, #318891,#318892,#318893,#318894,#318895,#318896,#318897,#318898,#318899, #318900,#318901,#318902,#318903,#318904,#318905,#318906,#318907,#318908, #318909,#318910,#318911,#318912,#318913,#318914,#318915,#318916,#318917, #318918,#318919,#318920,#318921,#318922,#318923,#318924,#318925,#318926, #318927,#318928,#318929,#318930,#318931,#318932,#318933,#318934,#318935, #318936,#318937,#318938,#318939,#318940,#318941,#318942,#318943,#318944, #318945,#318946,#318947,#318948,#318949,#318950,#318951,#318952,#318953, #318954,#318955,#318956,#318957,#318958,#318959,#318960,#318961,#318962, #318963,#318964,#318965,#318966,#318967,#318968,#318969,#318970,#318971, #318972,#318973,#318974,#318975,#318976,#318977,#318978,#318979,#318980, #318981,#318982,#318983,#318984,#318985,#318986,#318987,#318988,#318989, #318990,#318991,#318992,#318993,#318994,#318995,#318996,#318997,#318998, #318999,#319000,#319001,#319002,#319003,#319004,#319005,#319006,#319007, #319008,#319009,#319010,#319011,#319012,#319013,#319014,#319015,#319016, #319017,#319018,#319019,#319020,#319021,#319022,#319023,#319024,#319025, #319026,#319027,#319028,#319029,#319030,#319031,#319032,#319033,#319034, #319035,#319036,#319037,#319038,#319039,#319040,#319041,#319042,#319043, #319044,#319045,#319046,#319047,#319048,#319049,#319050,#319051,#319052, #319053,#319054,#319055,#319056,#319057,#319058,#319059,#319060,#319061, #319062,#319063,#319064,#319065,#319066,#319067,#319068,#319069,#319070, #319071,#319072,#319073,#319074,#319075,#319076,#319077,#319078,#319079, #319080,#319081,#319082,#319083,#319084,#319085,#319086,#319087,#319088, #319089,#319090,#319091,#319092,#319093,#319094,#319095,#319096,#319097, #319098,#319099,#319100,#319101,#319102,#319103,#319104,#319105,#319106, #319107,#319108,#319109,#319110,#319111,#319112,#319113,#319114,#319115, #319116,#319117,#319118,#319119,#319120,#319121,#319122,#319123,#319124, #319125,#319126,#319127,#319128,#319129,#319130,#319131,#319132,#319133, #319134,#319135,#319136,#319137,#319138,#319139,#319140,#319141,#319142, #319143,#319144,#319145,#319146,#319147,#319148,#319149,#319150,#319151, #319152,#319153,#319154,#319155,#319156,#319157,#319158,#319159,#319160, #319161,#319162,#319163,#319164,#319165,#319166,#319167,#319168,#319169, #319170,#319171,#319172,#319173,#319174,#319175,#319176,#319177,#319178, #319179,#319180,#319181,#319182,#319183,#319184,#319185,#319186,#319187, #319188,#319189,#319190,#319191,#319192,#319193,#319194,#319195,#319196, #319197,#319198,#319199,#319200,#319201,#319202,#319203,#319204,#319205, #319206,#319207,#319208,#319209,#319210,#319211,#319212,#319213,#319214, #319215,#319216,#319217,#319218,#319219,#319220,#319221,#319222,#319223, #319224,#319225,#319226,#319227,#319228,#319229,#319230,#319231,#319232, #319233,#319234,#319235,#319236,#319237,#319238,#319239,#319240,#319241, #319242,#319243,#319244,#319245,#319246,#319247,#319248,#319249,#319250, #319251,#319252,#319253,#319254,#319255,#319256,#319257,#319258,#319259, #319260,#319261,#319262,#319263,#319264,#319265,#319266,#319267,#319268, #319269,#319270,#319271,#319272,#319273,#319274,#319275,#319276,#319277, #319278,#319279,#319280,#319281,#319282,#319283,#319284,#319285,#319286, #319287,#319288,#319289,#319290,#319291,#319292,#319293,#319294,#319295, #319296,#319297,#319298,#319299,#319300,#319301,#319302,#319303,#319304, #319305,#319306,#319307,#319308,#319309,#319310,#319311,#319312,#319313, #319314,#319315,#319316,#319317,#319318,#319319,#319320,#319321,#319322, #319323,#319324,#319325,#319326,#319327,#319328,#319329,#319330,#319331, #319332,#319333,#319334,#319335,#319336,#319337,#319338,#319339,#319340, #319341,#319342,#319343,#319344,#319345,#319346,#319347,#319348,#319349, #319350,#319351,#319352,#319353,#319354,#319355,#319356,#319357,#319358, #319359,#319360,#319361,#319362,#319363,#319364,#319365,#319366,#319367, #319368,#319369,#319370,#319371,#319372,#319373,#319374,#319375,#319376, #319377,#319378,#319379,#319380,#319381,#319382,#319383,#319384,#319385, #319386,#319387,#319388,#319389,#319390,#319391,#319392,#319393,#319394, #319395,#319396,#319397,#319398,#319399,#319400,#319401,#319402,#319403, #319404,#319405,#319406,#319407,#319408,#319409,#319410,#319411,#319412, #319413,#319414,#319415,#319416,#319417,#319418,#319419,#319420,#319421, #319422,#319423,#319424,#319425,#319426,#319427,#319428,#319429,#319430, #319431,#319432,#319433,#319434,#319435,#319436,#319437,#319438,#319439, #319440,#319441,#319442,#319443,#319444,#319445,#319446,#319447,#319448, #319449,#319450,#319451)); #325841=CLOSED_SHELL('',(#319452,#319453,#319454,#319455,#319456,#319457, #319458,#319459,#319460,#319461,#319462,#319463,#319464,#319465,#319466, #319467,#319468,#319469,#319470,#319471,#319472,#319473,#319474,#319475, #319476,#319477,#319478,#319479,#319480)); #325842=CLOSED_SHELL('',(#319481,#319482,#319483,#319484,#319485,#319486, #319487,#319488,#319489,#319490,#319491,#319492,#319493,#319494,#319495, #319496,#319497,#319498,#319499,#319500,#319501,#319502,#319503)); #325843=CLOSED_SHELL('',(#319504,#319505,#319506,#319507,#319508,#319509, #319510,#319511,#319512,#319513,#319514,#319515,#319516,#319517,#319518, #319519,#319520,#319521,#319522,#319523,#319524,#319525)); #325844=CLOSED_SHELL('',(#319526,#319527,#319528,#319529,#319530,#319531, #319532,#319533,#319534,#319535,#319536,#319537,#319538,#319539,#319540, #319541,#319542,#319543,#319544,#319545,#319546,#319547,#319548,#319549, #319550,#319551,#319552,#319553,#319554,#319555,#319556,#319557,#319558, #319559,#319560,#319561,#319562,#319563,#319564,#319565,#319566,#319567, #319568,#319569,#319570,#319571,#319572,#319573,#319574)); #325845=CLOSED_SHELL('',(#319575,#319576,#319577,#319578,#319579,#319580, #319581,#319582,#319583,#319584,#319585,#319586,#319587,#319588,#319589, #319590,#319591,#319592,#319593,#319594,#319595,#319596,#319597,#319598, #319599,#319600,#319601,#319602,#319603,#319604,#319605,#319606,#319607, #319608,#319609,#319610)); #325846=CLOSED_SHELL('',(#319611,#319612,#319613,#319614,#319615,#319616, #319617,#319618,#319619,#319620,#319621,#319622,#319623,#319624,#319625, #319626,#319627,#319628,#319629,#319630,#319631,#319632,#319633,#319634)); #325847=CLOSED_SHELL('',(#319635,#319636,#319637,#319638,#319639,#319640, #319641,#319642,#319643,#319644,#319645,#319646,#319647,#319648,#319649, #319650,#319651,#319652,#319653,#319654,#319655,#319656)); #325848=CLOSED_SHELL('',(#319657,#319658,#319659,#319660,#319661,#319662, #319663,#319664,#319665,#319666,#319667,#319668,#319669,#319670,#319671, #319672,#319673,#319674,#319675,#319676,#319677,#319678,#319679,#319680, #319681,#319682,#319683,#319684,#319685,#319686,#319687,#319688,#319689, #319690,#319691,#319692,#319693,#319694,#319695,#319696,#319697,#319698, #319699,#319700,#319701,#319702,#319703,#319704,#319705,#319706,#319707, #319708,#319709,#319710,#319711,#319712,#319713,#319714,#319715,#319716, #319717,#319718,#319719,#319720,#319721,#319722,#319723,#319724,#319725, #319726,#319727,#319728,#319729,#319730)); #325849=CLOSED_SHELL('',(#319731,#319732,#319733,#319734,#319735,#319736, #319737,#319738,#319739,#319740,#319741,#319742,#319743,#319744,#319745, #319746,#319747,#319748,#319749,#319750,#319751,#319752,#319753,#319754, #319755,#319756,#319757,#319758,#319759,#319760)); #325850=CLOSED_SHELL('',(#319761,#319762,#319763,#319764,#319765,#319766, #319767,#319768,#319769,#319770,#319771,#319772,#319773,#319774,#319775, #319776,#319777,#319778,#319779,#319780,#319781,#319782,#319783,#319784, #319785,#319786,#319787,#319788,#319789,#319790,#319791,#319792,#319793, #319794,#319795,#319796,#319797,#319798,#319799,#319800,#319801,#319802, #319803,#319804,#319805,#319806,#319807,#319808,#319809,#319810,#319811, #319812,#319813,#319814,#319815,#319816,#319817,#319818,#319819,#319820, #319821,#319822,#319823,#319824,#319825,#319826,#319827,#319828,#319829, #319830,#319831,#319832,#319833,#319834,#319835,#319836,#319837,#319838, #319839,#319840,#319841,#319842,#319843,#319844,#319845,#319846,#319847, #319848,#319849,#319850,#319851,#319852)); #325851=CLOSED_SHELL('',(#319853,#319854,#319855,#319856,#319857,#319858, #319859,#319860,#319861,#319862,#319863,#319864,#319865,#319866,#319867, #319868,#319869,#319870,#319871,#319872,#319873,#319874,#319875,#319876, #319877,#319878,#319879,#319880,#319881,#319882,#319883,#319884,#319885, #319886,#319887,#319888,#319889,#319890,#319891,#319892,#319893,#319894, #319895,#319896,#319897,#319898,#319899,#319900,#319901,#319902,#319903, #319904,#319905,#319906,#319907,#319908,#319909,#319910,#319911,#319912, #319913,#319914,#319915,#319916,#319917,#319918,#319919,#319920,#319921, #319922,#319923,#319924,#319925,#319926,#319927,#319928,#319929,#319930, #319931,#319932,#319933,#319934,#319935,#319936,#319937,#319938,#319939, #319940)); #325852=CLOSED_SHELL('',(#319941,#319942,#319943,#319944,#319945,#319946, #319947,#319948,#319949,#319950,#319951,#319952,#319953,#319954,#319955, #319956,#319957,#319958,#319959,#319960,#319961,#319962,#319963,#319964, #319965,#319966,#319967,#319968,#319969,#319970,#319971,#319972,#319973, #319974,#319975,#319976,#319977,#319978,#319979,#319980,#319981,#319982, #319983,#319984,#319985,#319986,#319987,#319988,#319989,#319990,#319991, #319992,#319993,#319994,#319995,#319996,#319997,#319998,#319999,#320000, #320001,#320002,#320003,#320004,#320005,#320006,#320007,#320008,#320009, #320010,#320011,#320012,#320013,#320014,#320015,#320016,#320017)); #325853=CLOSED_SHELL('',(#320018,#320019,#320020,#320021,#320022,#320023, #320024,#320025,#320026,#320027,#320028)); #325854=CLOSED_SHELL('',(#320029,#320030,#320031,#320032,#320033,#320034, #320035,#320036,#320037,#320038,#320039,#320040,#320041,#320042,#320043, #320044,#320045,#320046,#320047,#320048,#320049,#320050,#320051,#320052, #320053,#320054,#320055,#320056,#320057,#320058,#320059,#320060,#320061, #320062,#320063,#320064)); #325855=CLOSED_SHELL('',(#320065,#320066,#320067,#320068,#320069,#320070)); #325856=CLOSED_SHELL('',(#320071,#320072,#320073,#320074,#320075,#320076, #320077,#320078,#320079,#320080,#320081,#320082,#320083,#320084,#320085, #320086,#320087,#320088,#320089,#320090,#320091,#320092,#320093,#320094, #320095,#320096,#320097,#320098,#320099,#320100,#320101,#320102,#320103, #320104,#320105,#320106,#320107,#320108,#320109,#320110,#320111,#320112, #320113,#320114,#320115,#320116,#320117,#320118,#320119,#320120,#320121, #320122,#320123,#320124,#320125,#320126,#320127,#320128,#320129,#320130, #320131,#320132,#320133,#320134,#320135,#320136,#320137,#320138,#320139, #320140,#320141,#320142,#320143,#320144,#320145,#320146,#320147)); #325857=CLOSED_SHELL('',(#320148,#320149,#320150,#320151,#320152,#320153, #320154,#320155,#320156,#320157,#320158)); #325858=CLOSED_SHELL('',(#320159,#320160,#320161,#320162,#320163,#320164)); #325859=CLOSED_SHELL('',(#320165,#320166,#320167,#320168,#320169,#320170, #320171,#320172,#320173,#320174,#320175,#320176,#320177,#320178,#320179, #320180,#320181,#320182,#320183,#320184,#320185,#320186,#320187,#320188, #320189,#320190,#320191,#320192,#320193,#320194,#320195,#320196,#320197, #320198,#320199,#320200,#320201,#320202,#320203,#320204,#320205,#320206, #320207,#320208,#320209,#320210,#320211,#320212,#320213,#320214,#320215, #320216,#320217,#320218,#320219,#320220,#320221,#320222,#320223,#320224, #320225,#320226,#320227,#320228,#320229,#320230,#320231,#320232,#320233, #320234,#320235,#320236,#320237,#320238,#320239,#320240,#320241,#320242, #320243,#320244,#320245,#320246,#320247,#320248,#320249,#320250,#320251, #320252,#320253,#320254,#320255,#320256,#320257,#320258,#320259,#320260, #320261,#320262,#320263,#320264,#320265,#320266,#320267,#320268,#320269, #320270,#320271,#320272,#320273,#320274,#320275,#320276,#320277,#320278, #320279,#320280,#320281,#320282,#320283,#320284,#320285,#320286,#320287, #320288,#320289,#320290,#320291,#320292,#320293,#320294,#320295,#320296, #320297,#320298,#320299,#320300,#320301,#320302,#320303,#320304,#320305, #320306,#320307,#320308,#320309,#320310,#320311,#320312,#320313,#320314, #320315,#320316,#320317,#320318,#320319,#320320,#320321,#320322,#320323, #320324,#320325,#320326,#320327,#320328,#320329,#320330,#320331,#320332, #320333,#320334,#320335,#320336,#320337,#320338,#320339,#320340,#320341, #320342,#320343,#320344,#320345,#320346,#320347,#320348)); #325860=CLOSED_SHELL('',(#320349,#320350,#320351,#320352,#320353,#320354)); #325861=CLOSED_SHELL('',(#320355,#320356,#320357,#320358,#320359,#320360)); #325862=CLOSED_SHELL('',(#320361,#320362,#320363,#320364,#320365,#320366)); #325863=CLOSED_SHELL('',(#320367,#320368,#320369,#320370,#320371,#320372)); #325864=CLOSED_SHELL('',(#320373,#320374,#320375,#320376,#320377,#320378, #320379)); #325865=CLOSED_SHELL('',(#320380,#320381,#320382,#320383,#320384,#320385)); #325866=CLOSED_SHELL('',(#320386,#320387,#320388,#320389,#320390,#320391)); #325867=CLOSED_SHELL('',(#320392,#320393,#320394,#320395,#320396,#320397)); #325868=CLOSED_SHELL('',(#320398,#320399,#320400,#320401,#320402,#320403)); #325869=CLOSED_SHELL('',(#320404,#320405,#320406,#320407,#320408,#320409, #320410,#320411,#320412,#320413,#320414,#320415,#320416,#320417,#320418, #320419,#320420,#320421,#320422,#320423,#320424,#320425,#320426,#320427, #320428,#320429,#320430,#320431,#320432,#320433,#320434,#320435,#320436, #320437,#320438,#320439,#320440,#320441,#320442,#320443,#320444,#320445, #320446,#320447,#320448,#320449,#320450,#320451,#320452,#320453,#320454, #320455,#320456,#320457,#320458,#320459,#320460,#320461,#320462,#320463, #320464,#320465)); #325870=CLOSED_SHELL('',(#320466,#320467,#320468,#320469,#320470,#320471, #320472,#320473,#320474,#320475,#320476,#320477,#320478,#320479,#320480, #320481,#320482,#320483,#320484,#320485,#320486,#320487,#320488,#320489, #320490,#320491,#320492,#320493,#320494,#320495,#320496,#320497,#320498, #320499,#320500,#320501,#320502,#320503,#320504,#320505,#320506,#320507, #320508,#320509,#320510,#320511,#320512,#320513,#320514,#320515,#320516, #320517,#320518,#320519,#320520,#320521,#320522,#320523,#320524,#320525, #320526,#320527,#320528,#320529,#320530,#320531,#320532,#320533,#320534, #320535,#320536,#320537,#320538,#320539,#320540,#320541,#320542,#320543)); #325871=CLOSED_SHELL('',(#320544,#320545,#320546,#320547,#320548,#320549, #320550,#320551,#320552,#320553,#320554,#320555,#320556,#320557,#320558, #320559,#320560,#320561,#320562,#320563,#320564,#320565,#320566,#320567, #320568,#320569,#320570,#320571,#320572,#320573,#320574,#320575,#320576, #320577,#320578,#320579,#320580,#320581,#320582,#320583,#320584,#320585, #320586,#320587,#320588,#320589,#320590,#320591,#320592,#320593,#320594, #320595,#320596,#320597,#320598,#320599,#320600,#320601,#320602,#320603, #320604,#320605)); #325872=CLOSED_SHELL('',(#320606,#320607,#320608,#320609,#320610,#320611, #320612)); #325873=CLOSED_SHELL('',(#320613,#320614,#320615,#320616,#320617,#320618, #320619,#320620,#320621,#320622,#320623,#320624,#320625,#320626,#320627, #320628,#320629,#320630,#320631,#320632,#320633,#320634,#320635,#320636, #320637,#320638,#320639,#320640,#320641,#320642,#320643,#320644,#320645, #320646,#320647,#320648,#320649,#320650,#320651,#320652,#320653,#320654, #320655,#320656,#320657,#320658,#320659,#320660,#320661,#320662,#320663, #320664,#320665,#320666,#320667,#320668,#320669,#320670,#320671,#320672, #320673,#320674)); #325874=CLOSED_SHELL('',(#320675,#320676,#320677,#320678,#320679,#320680, #320681,#320682,#320683,#320684,#320685,#320686,#320687,#320688,#320689, #320690,#320691,#320692,#320693,#320694,#320695,#320696,#320697,#320698, #320699,#320700,#320701,#320702,#320703,#320704,#320705,#320706,#320707, #320708,#320709,#320710,#320711,#320712,#320713,#320714,#320715,#320716, #320717,#320718,#320719,#320720,#320721,#320722,#320723,#320724,#320725, #320726,#320727,#320728,#320729,#320730,#320731,#320732,#320733,#320734, #320735,#320736,#320737,#320738,#320739,#320740,#320741,#320742,#320743, #320744,#320745,#320746,#320747,#320748,#320749,#320750,#320751,#320752)); #325875=CLOSED_SHELL('',(#320753,#320754,#320755,#320756,#320757,#320758)); #325876=CLOSED_SHELL('',(#320759,#320760,#320761,#320762,#320763,#320764)); #325877=CLOSED_SHELL('',(#320765,#320766,#320767,#320768,#320769,#320770, #320771,#320772,#320773,#320774,#320775,#320776,#320777,#320778,#320779, #320780,#320781,#320782,#320783,#320784,#320785,#320786,#320787,#320788, #320789,#320790,#320791,#320792,#320793,#320794,#320795,#320796,#320797, #320798,#320799,#320800,#320801,#320802,#320803,#320804,#320805,#320806, #320807,#320808,#320809,#320810,#320811,#320812,#320813,#320814,#320815, #320816,#320817,#320818,#320819,#320820,#320821,#320822,#320823,#320824, #320825,#320826)); #325878=CLOSED_SHELL('',(#320827,#320828,#320829,#320830,#320831,#320832)); #325879=CLOSED_SHELL('',(#320833,#320834,#320835,#320836,#320837,#320838)); #325880=CLOSED_SHELL('',(#320839,#320840,#320841,#320842,#320843,#320844)); #325881=CLOSED_SHELL('',(#320845,#320846,#320847,#320848,#320849,#320850, #320851)); #325882=CLOSED_SHELL('',(#320852,#320853,#320854,#320855,#320856,#320857)); #325883=CLOSED_SHELL('',(#320858,#320859,#320860,#320861,#320862,#320863)); #325884=CLOSED_SHELL('',(#320864,#320865,#320866,#320867,#320868,#320869)); #325885=CLOSED_SHELL('',(#320870,#320871,#320872,#320873,#320874,#320875)); #325886=CLOSED_SHELL('',(#320876,#320877,#320878,#320879,#320880,#320881)); #325887=CLOSED_SHELL('',(#320882,#320883,#320884,#320885,#320886,#320887)); #325888=CLOSED_SHELL('',(#320888,#320889,#320890,#320891,#320892,#320893)); #325889=CLOSED_SHELL('',(#320894,#320895,#320896,#320897,#320898,#320899)); #325890=CLOSED_SHELL('',(#320900,#320901,#320902,#320903,#320904,#320905)); #325891=CLOSED_SHELL('',(#320906,#320907,#320908,#320909,#320910,#320911)); #325892=CLOSED_SHELL('',(#320912,#320913,#320914,#320915,#320916,#320917)); #325893=CLOSED_SHELL('',(#320918,#320919,#320920,#320921,#320922,#320923)); #325894=CLOSED_SHELL('',(#320924,#320925,#320926,#320927,#320928,#320929)); #325895=CLOSED_SHELL('',(#320930,#320931,#320932,#320933,#320934,#320935)); #325896=CLOSED_SHELL('',(#320936,#320937,#320938,#320939,#320940,#320941)); #325897=CLOSED_SHELL('',(#320942,#320943,#320944,#320945,#320946,#320947)); #325898=CLOSED_SHELL('',(#320948,#320949,#320950,#320951,#320952,#320953)); #325899=CLOSED_SHELL('',(#320954,#320955,#320956,#320957,#320958,#320959)); #325900=CLOSED_SHELL('',(#320960,#320961,#320962,#320963,#320964,#320965)); #325901=CLOSED_SHELL('',(#320966,#320967,#320968,#320969,#320970,#320971)); #325902=CLOSED_SHELL('',(#320972,#320973,#320974,#320975,#320976,#320977)); #325903=CLOSED_SHELL('',(#320978,#320979,#320980,#320981,#320982,#320983)); #325904=CLOSED_SHELL('',(#320984,#320985,#320986,#320987,#320988,#320989)); #325905=CLOSED_SHELL('',(#320990,#320991,#320992,#320993,#320994,#320995)); #325906=CLOSED_SHELL('',(#320996,#320997,#320998,#320999,#321000,#321001, #321002,#321003)); #325907=CLOSED_SHELL('',(#321004,#321005,#321006,#321007,#321008,#321009)); #325908=CLOSED_SHELL('',(#321010,#321011,#321012,#321013,#321014,#321015, #321016,#321017)); #325909=CLOSED_SHELL('',(#321018,#321019,#321020,#321021,#321022,#321023)); #325910=CLOSED_SHELL('',(#321024,#321025,#321026,#321027,#321028,#321029)); #325911=CLOSED_SHELL('',(#321030,#321031,#321032,#321033,#321034,#321035)); #325912=CLOSED_SHELL('',(#321036,#321037,#321038,#321039,#321040,#321041)); #325913=CLOSED_SHELL('',(#321042,#321043,#321044,#321045,#321046,#321047)); #325914=CLOSED_SHELL('',(#321048,#321049,#321050,#321051,#321052,#321053)); #325915=CLOSED_SHELL('',(#321054,#321055,#321056,#321057,#321058,#321059)); #325916=CLOSED_SHELL('',(#321060,#321061,#321062,#321063,#321064,#321065)); #325917=CLOSED_SHELL('',(#321066,#321067,#321068,#321069,#321070,#321071)); #325918=CLOSED_SHELL('',(#321072,#321073,#321074,#321075,#321076,#321077)); #325919=CLOSED_SHELL('',(#321078,#321079,#321080,#321081,#321082,#321083)); #325920=CLOSED_SHELL('',(#321084,#321085,#321086,#321087,#321088,#321089)); #325921=CLOSED_SHELL('',(#321090,#321091,#321092,#321093,#321094,#321095)); #325922=CLOSED_SHELL('',(#321096,#321097,#321098,#321099,#321100,#321101)); #325923=CLOSED_SHELL('',(#321102,#321103,#321104,#321105,#321106,#321107)); #325924=CLOSED_SHELL('',(#321108,#321109,#321110,#321111,#321112,#321113)); #325925=CLOSED_SHELL('',(#321114,#321115,#321116,#321117,#321118,#321119, #321120)); #325926=CLOSED_SHELL('',(#321121,#321122,#321123,#321124,#321125,#321126)); #325927=CLOSED_SHELL('',(#321127,#321128,#321129,#321130,#321131,#321132)); #325928=CLOSED_SHELL('',(#321133,#321134,#321135,#321136,#321137,#321138)); #325929=CLOSED_SHELL('',(#321139,#321140,#321141,#321142,#321143,#321144)); #325930=CLOSED_SHELL('',(#321145,#321146,#321147,#321148,#321149,#321150, #321151,#321152,#321153,#321154,#321155,#321156,#321157,#321158,#321159, #321160,#321161,#321162,#321163,#321164,#321165)); #325931=CLOSED_SHELL('',(#321166,#321167,#321168,#321169,#321170,#321171)); #325932=CLOSED_SHELL('',(#321172,#321173,#321174,#321175,#321176,#321177)); #325933=CLOSED_SHELL('',(#321178,#321179,#321180,#321181,#321182,#321183)); #325934=CLOSED_SHELL('',(#321184,#321185,#321186,#321187,#321188,#321189, #321190)); #325935=CLOSED_SHELL('',(#321191,#321192,#321193,#321194,#321195,#321196)); #325936=CLOSED_SHELL('',(#321197,#321198,#321199,#321200,#321201,#321202)); #325937=CLOSED_SHELL('',(#321203,#321204,#321205,#321206,#321207,#321208)); #325938=CLOSED_SHELL('',(#321209,#321210,#321211,#321212,#321213,#321214)); #325939=CLOSED_SHELL('',(#321215,#321216,#321217,#321218,#321219,#321220, #321221,#321222,#321223,#321224,#321225,#321226,#321227,#321228,#321229, #321230,#321231,#321232,#321233,#321234,#321235)); #325940=CLOSED_SHELL('',(#321236,#321237,#321238,#321239,#321240,#321241)); #325941=CLOSED_SHELL('',(#321242,#321243,#321244,#321245,#321246,#321247)); #325942=CLOSED_SHELL('',(#321248,#321249,#321250,#321251,#321252,#321253)); #325943=CLOSED_SHELL('',(#321254,#321255,#321256,#321257,#321258,#321259, #321260)); #325944=CLOSED_SHELL('',(#321261,#321262,#321263,#321264,#321265,#321266, #321267)); #325945=CLOSED_SHELL('',(#321268,#321269,#321270,#321271,#321272,#321273, #321274)); #325946=CLOSED_SHELL('',(#321275,#321276,#321277,#321278,#321279,#321280, #321281,#321282,#321283,#321284,#321285,#321286,#321287,#321288,#321289, #321290,#321291,#321292,#321293,#321294,#321295)); #325947=CLOSED_SHELL('',(#321296,#321297,#321298,#321299,#321300,#321301)); #325948=CLOSED_SHELL('',(#321302,#321303,#321304,#321305,#321306,#321307)); #325949=CLOSED_SHELL('',(#321308,#321309,#321310,#321311,#321312,#321313)); #325950=CLOSED_SHELL('',(#321314,#321315,#321316,#321317,#321318,#321319)); #325951=CLOSED_SHELL('',(#321320,#321321,#321322,#321323,#321324,#321325)); #325952=CLOSED_SHELL('',(#321326,#321327,#321328,#321329,#321330,#321331)); #325953=CLOSED_SHELL('',(#321332,#321333,#321334,#321335,#321336,#321337)); #325954=CLOSED_SHELL('',(#321338,#321339,#321340,#321341,#321342,#321343)); #325955=CLOSED_SHELL('',(#321344,#321345,#321346,#321347,#321348,#321349)); #325956=CLOSED_SHELL('',(#321350,#321351,#321352,#321353,#321354,#321355)); #325957=CLOSED_SHELL('',(#321356,#321357,#321358,#321359,#321360,#321361)); #325958=CLOSED_SHELL('',(#321362,#321363,#321364,#321365,#321366,#321367)); #325959=CLOSED_SHELL('',(#321368,#321369,#321370,#321371,#321372,#321373)); #325960=CLOSED_SHELL('',(#321374,#321375,#321376,#321377,#321378,#321379)); #325961=CLOSED_SHELL('',(#321380,#321381,#321382,#321383,#321384,#321385)); #325962=CLOSED_SHELL('',(#321386,#321387,#321388,#321389,#321390,#321391)); #325963=CLOSED_SHELL('',(#321392,#321393,#321394,#321395,#321396,#321397)); #325964=CLOSED_SHELL('',(#321398,#321399,#321400,#321401,#321402,#321403)); #325965=CLOSED_SHELL('',(#321404,#321405,#321406,#321407,#321408,#321409)); #325966=CLOSED_SHELL('',(#321410,#321411,#321412,#321413,#321414,#321415)); #325967=CLOSED_SHELL('',(#321416,#321417,#321418,#321419,#321420,#321421, #321422,#321423,#321424,#321425,#321426,#321427,#321428,#321429,#321430, #321431,#321432,#321433,#321434,#321435,#321436)); #325968=CLOSED_SHELL('',(#321437,#321438,#321439,#321440,#321441,#321442)); #325969=CLOSED_SHELL('',(#321443,#321444,#321445,#321446,#321447,#321448)); #325970=CLOSED_SHELL('',(#321449,#321450,#321451,#321452,#321453,#321454)); #325971=CLOSED_SHELL('',(#321455,#321456,#321457,#321458,#321459,#321460)); #325972=CLOSED_SHELL('',(#321461,#321462,#321463,#321464,#321465,#321466)); #325973=CLOSED_SHELL('',(#321467,#321468,#321469,#321470,#321471,#321472)); #325974=CLOSED_SHELL('',(#321473,#321474,#321475,#321476,#321477,#321478, #321479)); #325975=CLOSED_SHELL('',(#321480,#321481,#321482,#321483,#321484,#321485, #321486,#321487)); #325976=CLOSED_SHELL('',(#321488,#321489,#321490,#321491,#321492,#321493, #321494,#321495)); #325977=CLOSED_SHELL('',(#321496,#321497,#321498,#321499,#321500,#321501, #321502)); #325978=CLOSED_SHELL('',(#321503,#321504,#321505,#321506,#321507,#321508, #321509,#321510,#321511,#321512,#321513,#321514,#321515,#321516,#321517, #321518,#321519,#321520,#321521,#321522,#321523,#321524,#321525,#321526, #321527,#321528,#321529,#321530,#321531,#321532,#321533,#321534,#321535, #321536,#321537,#321538,#321539,#321540,#321541,#321542,#321543,#321544, #321545,#321546,#321547,#321548,#321549,#321550,#321551,#321552,#321553, #321554,#321555,#321556,#321557,#321558,#321559,#321560,#321561,#321562, #321563,#321564,#321565,#321566,#321567,#321568,#321569,#321570,#321571, #321572,#321573,#321574,#321575,#321576,#321577,#321578,#321579,#321580, #321581,#321582,#321583,#321584,#321585,#321586,#321587,#321588,#321589, #321590,#321591,#321592,#321593,#321594,#321595,#321596,#321597,#321598, #321599,#321600,#321601,#321602,#321603,#321604,#321605,#321606,#321607, #321608,#321609,#321610,#321611,#321612,#321613,#321614,#321615,#321616, #321617,#321618,#321619,#321620,#321621,#321622,#321623,#321624,#321625, #321626,#321627,#321628,#321629,#321630,#321631,#321632,#321633,#321634, #321635,#321636,#321637,#321638,#321639,#321640,#321641,#321642,#321643, #321644,#321645,#321646,#321647,#321648,#321649,#321650,#321651,#321652, #321653,#321654,#321655,#321656,#321657,#321658,#321659,#321660,#321661, #321662,#321663,#321664,#321665,#321666,#321667,#321668,#321669,#321670, #321671,#321672,#321673,#321674,#321675,#321676,#321677,#321678,#321679, #321680,#321681,#321682,#321683,#321684,#321685,#321686,#321687,#321688, #321689,#321690,#321691,#321692,#321693,#321694,#321695,#321696,#321697, #321698,#321699,#321700,#321701,#321702,#321703,#321704,#321705,#321706, #321707,#321708,#321709,#321710,#321711,#321712,#321713,#321714,#321715, #321716,#321717,#321718,#321719,#321720,#321721,#321722,#321723,#321724, #321725,#321726,#321727,#321728,#321729,#321730,#321731,#321732,#321733, #321734,#321735,#321736,#321737,#321738,#321739,#321740,#321741,#321742, #321743,#321744,#321745,#321746,#321747,#321748,#321749,#321750,#321751, #321752,#321753,#321754,#321755,#321756,#321757,#321758,#321759,#321760, #321761,#321762,#321763,#321764,#321765,#321766,#321767,#321768,#321769, #321770,#321771,#321772,#321773,#321774,#321775,#321776,#321777,#321778, #321779,#321780,#321781,#321782,#321783,#321784,#321785,#321786,#321787, #321788,#321789,#321790,#321791,#321792,#321793,#321794,#321795,#321796, #321797,#321798,#321799,#321800,#321801,#321802,#321803,#321804,#321805, #321806,#321807,#321808,#321809,#321810,#321811,#321812,#321813,#321814, #321815,#321816,#321817,#321818,#321819,#321820,#321821,#321822,#321823, #321824,#321825,#321826,#321827,#321828,#321829,#321830,#321831,#321832, #321833,#321834,#321835,#321836,#321837,#321838,#321839,#321840)); #325979=CLOSED_SHELL('',(#321841,#321842,#321843,#321844,#321845,#321846, #321847,#321848,#321849,#321850,#321851,#321852,#321853,#321854,#321855, #321856,#321857,#321858,#321859,#321860,#321861,#321862,#321863,#321864, #321865,#321866,#321867,#321868,#321869,#321870,#321871,#321872,#321873, #321874,#321875,#321876,#321877,#321878,#321879,#321880,#321881,#321882, #321883,#321884,#321885,#321886,#321887,#321888,#321889,#321890,#321891, #321892,#321893,#321894,#321895,#321896,#321897,#321898,#321899,#321900, #321901,#321902,#321903,#321904,#321905,#321906,#321907,#321908,#321909, #321910,#321911,#321912,#321913,#321914,#321915,#321916,#321917,#321918, #321919,#321920,#321921,#321922,#321923,#321924,#321925,#321926,#321927, #321928,#321929,#321930,#321931,#321932,#321933,#321934,#321935,#321936, #321937,#321938,#321939,#321940,#321941,#321942,#321943,#321944,#321945, #321946,#321947,#321948,#321949,#321950,#321951,#321952,#321953,#321954, #321955,#321956,#321957,#321958,#321959,#321960,#321961,#321962,#321963, #321964,#321965,#321966,#321967,#321968,#321969,#321970,#321971,#321972, #321973,#321974,#321975,#321976,#321977,#321978,#321979,#321980,#321981, #321982,#321983,#321984,#321985,#321986,#321987,#321988,#321989,#321990, #321991,#321992,#321993,#321994,#321995,#321996,#321997,#321998,#321999, #322000,#322001,#322002,#322003,#322004,#322005,#322006,#322007,#322008, #322009,#322010,#322011,#322012,#322013,#322014,#322015,#322016,#322017, #322018,#322019,#322020,#322021,#322022,#322023,#322024,#322025,#322026, #322027,#322028,#322029,#322030,#322031,#322032,#322033,#322034,#322035, #322036,#322037,#322038,#322039,#322040,#322041,#322042,#322043,#322044, #322045,#322046,#322047,#322048,#322049,#322050,#322051,#322052,#322053, #322054,#322055,#322056,#322057,#322058,#322059,#322060,#322061,#322062, #322063,#322064,#322065,#322066,#322067,#322068,#322069,#322070,#322071, #322072,#322073,#322074,#322075,#322076,#322077,#322078,#322079,#322080, #322081,#322082,#322083,#322084,#322085,#322086,#322087,#322088,#322089, #322090,#322091,#322092,#322093,#322094,#322095,#322096,#322097,#322098, #322099,#322100,#322101,#322102,#322103,#322104,#322105,#322106,#322107, #322108,#322109,#322110,#322111,#322112,#322113,#322114,#322115,#322116, #322117,#322118,#322119,#322120,#322121,#322122,#322123,#322124,#322125, #322126,#322127,#322128,#322129,#322130,#322131,#322132,#322133,#322134, #322135,#322136,#322137,#322138,#322139,#322140,#322141,#322142,#322143, #322144,#322145,#322146,#322147,#322148,#322149,#322150,#322151,#322152, #322153,#322154,#322155,#322156,#322157,#322158,#322159,#322160,#322161, #322162,#322163,#322164,#322165,#322166,#322167,#322168,#322169,#322170, #322171,#322172,#322173,#322174,#322175,#322176,#322177,#322178)); #325980=CLOSED_SHELL('',(#322179,#322180,#322181,#322182,#322183,#322184, #322185,#322186,#322187,#322188,#322189,#322190,#322191,#322192,#322193, #322194,#322195,#322196,#322197,#322198,#322199,#322200,#322201,#322202, #322203,#322204,#322205,#322206,#322207,#322208,#322209,#322210,#322211, #322212,#322213,#322214,#322215,#322216,#322217,#322218,#322219,#322220, #322221,#322222,#322223,#322224,#322225,#322226,#322227,#322228,#322229, #322230,#322231,#322232,#322233,#322234,#322235,#322236,#322237,#322238, #322239,#322240,#322241,#322242,#322243,#322244,#322245,#322246,#322247, #322248,#322249,#322250,#322251,#322252,#322253,#322254,#322255,#322256, #322257,#322258,#322259,#322260,#322261,#322262,#322263,#322264,#322265, #322266,#322267,#322268,#322269,#322270,#322271,#322272,#322273,#322274, #322275,#322276,#322277,#322278,#322279,#322280,#322281,#322282)); #325981=CLOSED_SHELL('',(#322283,#322284,#322285,#322286,#322287,#322288, #322289,#322290,#322291,#322292,#322293,#322294,#322295,#322296,#322297, #322298,#322299,#322300,#322301,#322302,#322303,#322304,#322305,#322306, #322307,#322308)); #325982=CLOSED_SHELL('',(#322309,#322310,#322311,#322312,#322313,#322314, #322315,#322316,#322317,#322318,#322319,#322320,#322321,#322322,#322323, #322324,#322325,#322326,#322327,#322328,#322329,#322330,#322331,#322332, #322333,#322334)); #325983=CLOSED_SHELL('',(#322335,#322336,#322337,#322338,#322339,#322340)); #325984=CLOSED_SHELL('',(#322341,#322342,#322343,#322344,#322345,#322346)); #325985=CLOSED_SHELL('',(#322347,#322348,#322349,#322350,#322351,#322352)); #325986=CLOSED_SHELL('',(#322353,#322354,#322355,#322356,#322357,#322358, #322359,#322360,#322361,#322362,#322363,#322364,#322365,#322366,#322367, #322368,#322369,#322370,#322371,#322372,#322373,#322374,#322375,#322376, #322377,#322378,#322379,#322380,#322381,#322382,#322383,#322384,#322385, #322386,#322387,#322388,#322389,#322390,#322391,#322392,#322393,#322394, #322395,#322396,#322397,#322398,#322399,#322400,#322401,#322402,#322403, #322404,#322405,#322406,#322407,#322408,#322409,#322410,#322411,#322412, #322413,#322414,#322415,#322416,#322417,#322418,#322419,#322420,#322421, #322422,#322423,#322424,#322425,#322426,#322427,#322428,#322429,#322430, #322431,#322432,#322433,#322434,#322435,#322436,#322437,#322438,#322439, #322440,#322441,#322442,#322443,#322444,#322445,#322446,#322447,#322448, #322449,#322450,#322451,#322452,#322453,#322454,#322455,#322456,#322457, #322458,#322459,#322460,#322461,#322462,#322463,#322464,#322465,#322466, #322467,#322468,#322469,#322470,#322471,#322472,#322473,#322474,#322475, #322476,#322477,#322478,#322479,#322480,#322481,#322482,#322483,#322484, #322485,#322486,#322487,#322488,#322489,#322490,#322491,#322492,#322493, #322494,#322495,#322496,#322497,#322498,#322499,#322500,#322501,#322502, #322503,#322504,#322505,#322506,#322507,#322508,#322509,#322510,#322511, #322512,#322513,#322514,#322515,#322516,#322517,#322518,#322519,#322520, #322521,#322522,#322523,#322524,#322525,#322526,#322527,#322528,#322529, #322530,#322531,#322532,#322533,#322534,#322535,#322536,#322537,#322538, #322539,#322540,#322541,#322542,#322543,#322544,#322545,#322546,#322547, #322548,#322549,#322550,#322551,#322552,#322553,#322554,#322555,#322556, #322557,#322558,#322559,#322560,#322561,#322562,#322563,#322564,#322565, #322566,#322567,#322568,#322569,#322570,#322571,#322572,#322573,#322574, #322575,#322576,#322577,#322578,#322579,#322580,#322581,#322582,#322583, #322584,#322585,#322586,#322587,#322588,#322589,#322590,#322591,#322592, #322593,#322594,#322595,#322596,#322597,#322598,#322599,#322600,#322601, #322602,#322603,#322604,#322605)); #325987=CLOSED_SHELL('',(#322606,#322607,#322608,#322609,#322610,#322611, #322612,#322613,#322614,#322615,#322616,#322617,#322618,#322619,#322620, #322621,#322622,#322623,#322624,#322625)); #325988=CLOSED_SHELL('',(#322626,#322627,#322628,#322629,#322630,#322631, #322632,#322633,#322634,#322635,#322636,#322637,#322638,#322639,#322640, #322641,#322642,#322643,#322644,#322645)); #325989=CLOSED_SHELL('',(#322646,#322647,#322648,#322649,#322650,#322651, #322652,#322653,#322654,#322655,#322656,#322657,#322658,#322659,#322660, #322661,#322662,#322663,#322664,#322665)); #325990=CLOSED_SHELL('',(#322666,#322667,#322668,#322669,#322670,#322671, #322672,#322673,#322674,#322675,#322676,#322677,#322678,#322679,#322680, #322681,#322682,#322683,#322684,#322685)); #325991=CLOSED_SHELL('',(#322686,#322687,#322688,#322689,#322690,#322691, #322692,#322693,#322694,#322695,#322696,#322697,#322698,#322699,#322700, #322701,#322702,#322703,#322704,#322705)); #325992=CLOSED_SHELL('',(#322706,#322707,#322708,#322709,#322710,#322711, #322712,#322713,#322714,#322715,#322716,#322717,#322718,#322719,#322720, #322721,#322722,#322723,#322724,#322725)); #325993=CLOSED_SHELL('',(#322726,#322727,#322728,#322729,#322730,#322731, #322732,#322733,#322734,#322735,#322736,#322737,#322738,#322739,#322740, #322741,#322742,#322743,#322744,#322745)); #325994=CLOSED_SHELL('',(#322746,#322747,#322748,#322749,#322750,#322751, #322752,#322753,#322754,#322755,#322756,#322757,#322758,#322759,#322760, #322761,#322762,#322763,#322764,#322765)); #325995=CLOSED_SHELL('',(#322766,#322767,#322768,#322769,#322770,#322771, #322772,#322773,#322774,#322775,#322776,#322777,#322778,#322779,#322780, #322781,#322782,#322783,#322784,#322785)); #325996=CLOSED_SHELL('',(#322786,#322787,#322788,#322789,#322790,#322791, #322792,#322793,#322794,#322795,#322796,#322797,#322798,#322799,#322800, #322801,#322802,#322803,#322804,#322805)); #325997=CLOSED_SHELL('',(#322806,#322807,#322808,#322809,#322810,#322811, #322812,#322813,#322814,#322815,#322816,#322817,#322818,#322819,#322820, #322821,#322822,#322823,#322824,#322825)); #325998=CLOSED_SHELL('',(#322826,#322827,#322828,#322829,#322830,#322831, #322832,#322833,#322834,#322835,#322836,#322837,#322838,#322839,#322840, #322841,#322842,#322843,#322844,#322845)); #325999=CLOSED_SHELL('',(#322846,#322847,#322848,#322849,#322850,#322851, #322852,#322853,#322854,#322855,#322856,#322857,#322858,#322859,#322860, #322861,#322862,#322863,#322864,#322865)); #326000=CLOSED_SHELL('',(#322866,#322867,#322868,#322869,#322870,#322871, #322872,#322873,#322874,#322875,#322876,#322877,#322878,#322879,#322880, #322881,#322882,#322883,#322884,#322885)); #326001=CLOSED_SHELL('',(#322886,#322887,#322888,#322889,#322890,#322891, #322892,#322893,#322894,#322895,#322896,#322897,#322898,#322899,#322900, #322901,#322902,#322903,#322904,#322905,#322906,#322907,#322908,#322909, #322910,#322911,#322912,#322913,#322914,#322915,#322916,#322917,#322918, #322919,#322920,#322921,#322922,#322923)); #326002=CLOSED_SHELL('',(#322924,#322925,#322926,#322927,#322928,#322929, #322930,#322931,#322932,#322933,#322934,#322935,#322936,#322937,#322938, #322939,#322940,#322941,#322942,#322943,#322944,#322945,#322946,#322947, #322948,#322949,#322950,#322951,#322952,#322953,#322954,#322955,#322956, #322957,#322958,#322959,#322960,#322961,#322962,#322963,#322964,#322965, #322966,#322967,#322968,#322969,#322970,#322971,#322972,#322973,#322974, #322975,#322976,#322977,#322978,#322979,#322980,#322981,#322982,#322983, #322984,#322985,#322986,#322987,#322988,#322989,#322990,#322991,#322992, #322993,#322994,#322995,#322996,#322997,#322998,#322999,#323000,#323001, #323002,#323003,#323004,#323005,#323006,#323007,#323008,#323009,#323010, #323011,#323012,#323013,#323014,#323015,#323016,#323017,#323018,#323019, #323020,#323021,#323022,#323023,#323024,#323025,#323026,#323027,#323028, #323029,#323030,#323031,#323032,#323033,#323034,#323035,#323036,#323037, #323038,#323039,#323040,#323041,#323042,#323043,#323044,#323045,#323046, #323047,#323048,#323049,#323050,#323051,#323052,#323053,#323054,#323055, #323056,#323057,#323058,#323059,#323060,#323061,#323062,#323063,#323064, #323065,#323066,#323067,#323068,#323069,#323070,#323071,#323072,#323073, #323074,#323075,#323076,#323077,#323078,#323079,#323080,#323081,#323082, #323083,#323084,#323085,#323086,#323087,#323088,#323089,#323090,#323091, #323092,#323093,#323094,#323095,#323096,#323097,#323098,#323099,#323100, #323101,#323102,#323103,#323104,#323105,#323106,#323107,#323108,#323109, #323110,#323111,#323112,#323113,#323114,#323115,#323116,#323117,#323118, #323119,#323120,#323121,#323122,#323123,#323124,#323125,#323126,#323127, #323128,#323129,#323130,#323131,#323132,#323133,#323134,#323135,#323136, #323137,#323138,#323139,#323140,#323141,#323142,#323143,#323144,#323145, #323146,#323147,#323148,#323149,#323150,#323151,#323152,#323153,#323154, #323155,#323156,#323157,#323158,#323159,#323160,#323161,#323162,#323163, #323164,#323165,#323166,#323167,#323168,#323169,#323170,#323171,#323172, #323173,#323174,#323175,#323176,#323177,#323178,#323179,#323180,#323181, #323182,#323183,#323184,#323185,#323186,#323187,#323188,#323189,#323190, #323191,#323192,#323193,#323194,#323195,#323196,#323197,#323198,#323199, #323200,#323201,#323202,#323203,#323204,#323205,#323206,#323207,#323208, #323209,#323210,#323211,#323212,#323213,#323214,#323215,#323216,#323217, #323218,#323219,#323220,#323221,#323222,#323223,#323224,#323225,#323226, #323227,#323228,#323229,#323230,#323231,#323232,#323233,#323234,#323235, #323236,#323237,#323238,#323239,#323240,#323241,#323242,#323243,#323244, #323245,#323246,#323247,#323248,#323249,#323250,#323251,#323252,#323253, #323254,#323255,#323256,#323257,#323258,#323259,#323260,#323261,#323262, #323263,#323264,#323265,#323266,#323267,#323268,#323269,#323270,#323271, #323272,#323273,#323274,#323275,#323276,#323277,#323278,#323279,#323280, #323281,#323282,#323283,#323284,#323285,#323286,#323287,#323288,#323289, #323290,#323291,#323292,#323293,#323294,#323295,#323296,#323297,#323298, #323299,#323300,#323301,#323302,#323303,#323304,#323305,#323306,#323307, #323308,#323309,#323310,#323311,#323312,#323313,#323314,#323315,#323316, #323317,#323318,#323319,#323320,#323321,#323322,#323323,#323324,#323325, #323326,#323327,#323328,#323329,#323330,#323331,#323332,#323333,#323334, #323335,#323336,#323337,#323338,#323339,#323340)); #326003=CLOSED_SHELL('',(#323341,#323342,#323343,#323344,#323345,#323346, #323347,#323348,#323349,#323350,#323351,#323352,#323353,#323354,#323355, #323356,#323357,#323358,#323359,#323360)); #326004=CLOSED_SHELL('',(#323361,#323362,#323363,#323364,#323365,#323366, #323367,#323368,#323369,#323370,#323371,#323372,#323373,#323374,#323375, #323376,#323377,#323378,#323379,#323380,#323381,#323382,#323383,#323384, #323385,#323386,#323387,#323388,#323389,#323390,#323391,#323392,#323393, #323394,#323395,#323396,#323397,#323398,#323399,#323400,#323401,#323402, #323403,#323404,#323405,#323406,#323407,#323408,#323409,#323410,#323411, #323412,#323413,#323414,#323415,#323416,#323417,#323418,#323419,#323420, #323421,#323422,#323423,#323424,#323425,#323426,#323427,#323428,#323429, #323430,#323431,#323432,#323433,#323434,#323435,#323436,#323437,#323438, #323439,#323440,#323441)); #326005=CLOSED_SHELL('',(#323442,#323443,#323444,#323445,#323446,#323447, #323448,#323449,#323450,#323451,#323452,#323453,#323454,#323455,#323456, #323457,#323458,#323459,#323460,#323461,#323462,#323463,#323464,#323465, #323466,#323467)); #326006=CLOSED_SHELL('',(#323468,#323469,#323470,#323471,#323472,#323473, #323474,#323475,#323476,#323477,#323478,#323479,#323480,#323481,#323482, #323483,#323484,#323485,#323486,#323487,#323488,#323489,#323490,#323491, #323492,#323493)); #326007=CLOSED_SHELL('',(#323494,#323495,#323496,#323497,#323498,#323499)); #326008=CLOSED_SHELL('',(#323500,#323501,#323502,#323503,#323504,#323505, #323506,#323507,#323508,#323509,#323510,#323511,#323512,#323513,#323514, #323515,#323516,#323517,#323518,#323519,#323520,#323521,#323522,#323523, #323524,#323525)); #326009=CLOSED_SHELL('',(#323526,#323527,#323528,#323529,#323530,#323531, #323532,#323533,#323534,#323535,#323536,#323537,#323538,#323539,#323540, #323541,#323542,#323543,#323544,#323545,#323546,#323547,#323548,#323549, #323550,#323551)); #326010=CLOSED_SHELL('',(#323552,#323553,#323554,#323555,#323556,#323557)); #326011=CLOSED_SHELL('',(#323558,#323559,#323560,#323561,#323562,#323563, #323564,#323565,#323566,#323567,#323568,#323569,#323570,#323571,#323572, #323573,#323574,#323575,#323576,#323577,#323578,#323579,#323580,#323581, #323582,#323583)); #326012=CLOSED_SHELL('',(#323584,#323585,#323586,#323587,#323588,#323589, #323590,#323591,#323592,#323593,#323594,#323595,#323596,#323597,#323598, #323599,#323600,#323601,#323602,#323603,#323604,#323605,#323606,#323607, #323608,#323609)); #326013=CLOSED_SHELL('',(#323610,#323611,#323612,#323613,#323614,#323615)); #326014=CLOSED_SHELL('',(#323616,#323617,#323618,#323619,#323620,#323621, #323622,#323623,#323624,#323625,#323626)); #326015=CLOSED_SHELL('',(#323627,#323628,#323629,#323630,#323631,#323632, #323633,#323634,#323635,#323636,#323637,#323638,#323639,#323640,#323641, #323642,#323643,#323644)); #326016=CLOSED_SHELL('',(#323645,#323646,#323647)); #326017=CLOSED_SHELL('',(#323648,#323649,#323650)); #326018=CLOSED_SHELL('',(#323651,#323652,#323653,#323654,#323655,#323656)); #326019=CLOSED_SHELL('',(#323657,#323658,#323659,#323660,#323661,#323662)); #326020=CLOSED_SHELL('',(#323663,#323664,#323665,#323666,#323667,#323668)); #326021=CLOSED_SHELL('',(#323669,#323670,#323671,#323672,#323673,#323674)); #326022=CLOSED_SHELL('',(#323675,#323676,#323677,#323678,#323679,#323680)); #326023=CLOSED_SHELL('',(#323681,#323682,#323683,#323684,#323685,#323686)); #326024=CLOSED_SHELL('',(#323687,#323688,#323689,#323690,#323691,#323692)); #326025=CLOSED_SHELL('',(#323693,#323694,#323695,#323696,#323697,#323698)); #326026=CLOSED_SHELL('',(#323699,#323700,#323701,#323702,#323703,#323704)); #326027=CLOSED_SHELL('',(#323705,#323706,#323707,#323708,#323709,#323710, #323711,#323712,#323713,#323714,#323715,#323716)); #326028=CLOSED_SHELL('',(#323717,#323718,#323719,#323720,#323721,#323722)); #326029=CLOSED_SHELL('',(#323723,#323724,#323725,#323726,#323727,#323728, #323729,#323730)); #326030=CLOSED_SHELL('',(#323731,#323732,#323733,#323734,#323735,#323736, #323737,#323738)); #326031=CLOSED_SHELL('',(#323739,#323740,#323741,#323742,#323743,#323744, #323745,#323746)); #326032=CLOSED_SHELL('',(#323747,#323748,#323749,#323750,#323751,#323752, #323753,#323754)); #326033=CLOSED_SHELL('',(#323755,#323756,#323757,#323758,#323759,#323760, #323761,#323762)); #326034=CLOSED_SHELL('',(#323763,#323764,#323765,#323766,#323767,#323768, #323769,#323770)); #326035=CLOSED_SHELL('',(#323771,#323772,#323773,#323774,#323775,#323776, #323777,#323778)); #326036=CLOSED_SHELL('',(#323779,#323780,#323781,#323782,#323783,#323784, #323785,#323786)); #326037=CLOSED_SHELL('',(#323787,#323788,#323789,#323790,#323791,#323792)); #326038=CLOSED_SHELL('',(#323793,#323794,#323795,#323796,#323797,#323798)); #326039=CLOSED_SHELL('',(#323799,#323800,#323801,#323802,#323803,#323804)); #326040=CLOSED_SHELL('',(#323805,#323806,#323807,#323808,#323809,#323810)); #326041=CLOSED_SHELL('',(#323811,#323812,#323813,#323814,#323815,#323816)); #326042=CLOSED_SHELL('',(#323817,#323818,#323819,#323820,#323821,#323822)); #326043=CLOSED_SHELL('',(#323823,#323824,#323825,#323826,#323827,#323828)); #326044=CLOSED_SHELL('',(#323829,#323830,#323831,#323832,#323833,#323834)); #326045=CLOSED_SHELL('',(#323835,#323836,#323837,#323838,#323839,#323840, #323841,#323842,#323843,#323844,#323845,#323846)); #326046=CLOSED_SHELL('',(#323847,#323848,#323849,#323850,#323851,#323852)); #326047=CLOSED_SHELL('',(#323853,#323854,#323855,#323856,#323857,#323858, #323859,#323860)); #326048=CLOSED_SHELL('',(#323861,#323862,#323863,#323864,#323865,#323866, #323867,#323868)); #326049=CLOSED_SHELL('',(#323869,#323870,#323871,#323872,#323873,#323874, #323875,#323876)); #326050=CLOSED_SHELL('',(#323877,#323878,#323879,#323880,#323881,#323882, #323883,#323884)); #326051=CLOSED_SHELL('',(#323885,#323886,#323887,#323888,#323889,#323890, #323891,#323892)); #326052=CLOSED_SHELL('',(#323893,#323894,#323895,#323896,#323897,#323898, #323899,#323900)); #326053=CLOSED_SHELL('',(#323901,#323902,#323903,#323904,#323905,#323906, #323907,#323908)); #326054=CLOSED_SHELL('',(#323909,#323910,#323911,#323912,#323913,#323914, #323915,#323916)); #326055=CLOSED_SHELL('',(#323917,#323918,#323919,#323920,#323921,#323922)); #326056=CLOSED_SHELL('',(#323923,#323924,#323925,#323926,#323927,#323928)); #326057=CLOSED_SHELL('',(#323929,#323930,#323931,#323932,#323933,#323934)); #326058=CLOSED_SHELL('',(#323935,#323936,#323937,#323938,#323939,#323940)); #326059=CLOSED_SHELL('',(#323941,#323942,#323943,#323944,#323945,#323946)); #326060=CLOSED_SHELL('',(#323947,#323948,#323949,#323950,#323951,#323952)); #326061=CLOSED_SHELL('',(#323953,#323954,#323955,#323956,#323957,#323958)); #326062=CLOSED_SHELL('',(#323959,#323960,#323961,#323962,#323963,#323964)); #326063=CLOSED_SHELL('',(#323965,#323966,#323967,#323968,#323969,#323970, #323971,#323972,#323973,#323974)); #326064=CLOSED_SHELL('',(#323975,#323976,#323977,#323978,#323979,#323980)); #326065=CLOSED_SHELL('',(#323981,#323982,#323983,#323984,#323985,#323986)); #326066=CLOSED_SHELL('',(#323987,#323988,#323989,#323990,#323991,#323992)); #326067=CLOSED_SHELL('',(#323993,#323994,#323995,#323996,#323997,#323998)); #326068=CLOSED_SHELL('',(#323999,#324000,#324001,#324002)); #326069=CLOSED_SHELL('',(#324003,#324004,#324005,#324006,#324007,#324008, #324009,#324010,#324011,#324012,#324013,#324014,#324015,#324016,#324017, #324018,#324019,#324020,#324021)); #326070=CLOSED_SHELL('',(#324022,#324023,#324024,#324025,#324026,#324027, #324028,#324029,#324030,#324031,#324032,#324033,#324034,#324035,#324036, #324037,#324038,#324039,#324040,#324041,#324042,#324043,#324044,#324045, #324046,#324047,#324048,#324049,#324050,#324051,#324052,#324053,#324054, #324055,#324056,#324057,#324058,#324059,#324060,#324061,#324062,#324063, #324064,#324065,#324066,#324067,#324068,#324069,#324070,#324071,#324072, #324073,#324074,#324075,#324076,#324077,#324078,#324079,#324080,#324081, #324082,#324083,#324084,#324085,#324086,#324087,#324088,#324089,#324090, #324091,#324092,#324093,#324094,#324095,#324096,#324097,#324098,#324099, #324100,#324101,#324102,#324103,#324104,#324105,#324106,#324107,#324108, #324109,#324110,#324111,#324112,#324113,#324114,#324115,#324116,#324117, #324118,#324119,#324120,#324121,#324122,#324123,#324124,#324125,#324126, #324127,#324128,#324129,#324130,#324131,#324132,#324133,#324134,#324135, #324136,#324137,#324138,#324139,#324140,#324141,#324142,#324143,#324144, #324145,#324146,#324147,#324148,#324149,#324150,#324151,#324152,#324153, #324154,#324155,#324156,#324157,#324158,#324159,#324160,#324161,#324162, #324163,#324164,#324165,#324166,#324167,#324168,#324169,#324170,#324171, #324172,#324173,#324174,#324175,#324176,#324177,#324178,#324179,#324180, #324181,#324182,#324183,#324184,#324185,#324186,#324187,#324188,#324189, #324190,#324191,#324192,#324193,#324194,#324195,#324196,#324197,#324198, #324199,#324200,#324201,#324202,#324203,#324204,#324205,#324206,#324207, #324208,#324209,#324210,#324211,#324212,#324213,#324214,#324215,#324216, #324217,#324218,#324219,#324220,#324221,#324222,#324223,#324224,#324225, #324226,#324227,#324228,#324229,#324230,#324231,#324232,#324233,#324234, #324235,#324236,#324237,#324238,#324239,#324240,#324241,#324242,#324243, #324244,#324245,#324246,#324247,#324248,#324249,#324250,#324251,#324252, #324253,#324254,#324255,#324256,#324257,#324258,#324259,#324260,#324261, #324262,#324263,#324264,#324265,#324266,#324267,#324268,#324269,#324270, #324271,#324272,#324273,#324274,#324275,#324276,#324277,#324278,#324279, #324280,#324281,#324282,#324283,#324284,#324285,#324286,#324287,#324288, #324289,#324290,#324291,#324292,#324293,#324294,#324295,#324296,#324297, #324298,#324299,#324300,#324301,#324302,#324303,#324304,#324305,#324306, #324307,#324308,#324309,#324310,#324311,#324312,#324313,#324314,#324315, #324316,#324317,#324318,#324319,#324320,#324321,#324322,#324323,#324324, #324325,#324326,#324327,#324328,#324329,#324330,#324331,#324332,#324333, #324334,#324335,#324336,#324337,#324338,#324339,#324340,#324341,#324342, #324343,#324344,#324345,#324346,#324347,#324348,#324349,#324350,#324351, #324352,#324353,#324354,#324355,#324356,#324357,#324358,#324359,#324360, #324361,#324362,#324363,#324364,#324365,#324366,#324367,#324368,#324369, #324370,#324371,#324372,#324373,#324374,#324375,#324376,#324377,#324378, #324379,#324380,#324381,#324382,#324383,#324384,#324385,#324386,#324387, #324388,#324389,#324390,#324391,#324392,#324393,#324394,#324395,#324396, #324397,#324398,#324399,#324400,#324401,#324402,#324403,#324404,#324405, #324406,#324407,#324408,#324409,#324410,#324411)); #326071=CLOSED_SHELL('',(#324412,#324413,#324414,#324415,#324416,#324417, #324418,#324419,#324420,#324421,#324422,#324423)); #326072=CLOSED_SHELL('',(#324424,#324425,#324426,#324427,#324428,#324429, #324430,#324431)); #326073=CLOSED_SHELL('',(#324432,#324433,#324434,#324435,#324436,#324437, #324438,#324439)); #326074=CLOSED_SHELL('',(#324440,#324441,#324442,#324443,#324444,#324445, #324446,#324447)); #326075=CLOSED_SHELL('',(#324448,#324449,#324450,#324451,#324452,#324453, #324454,#324455)); #326076=CLOSED_SHELL('',(#324456,#324457,#324458,#324459,#324460,#324461, #324462,#324463)); #326077=CLOSED_SHELL('',(#324464,#324465,#324466,#324467,#324468,#324469, #324470,#324471)); #326078=CLOSED_SHELL('',(#324472,#324473,#324474,#324475,#324476,#324477, #324478,#324479)); #326079=CLOSED_SHELL('',(#324480,#324481,#324482,#324483,#324484,#324485, #324486,#324487)); #326080=CLOSED_SHELL('',(#324488,#324489,#324490,#324491,#324492,#324493, #324494,#324495)); #326081=CLOSED_SHELL('',(#324496,#324497,#324498,#324499,#324500,#324501, #324502,#324503)); #326082=CLOSED_SHELL('',(#324504,#324505,#324506,#324507,#324508,#324509, #324510,#324511)); #326083=CLOSED_SHELL('',(#324512,#324513,#324514,#324515,#324516,#324517, #324518,#324519)); #326084=CLOSED_SHELL('',(#324520,#324521,#324522,#324523,#324524,#324525, #324526,#324527)); #326085=CLOSED_SHELL('',(#324528,#324529,#324530,#324531,#324532,#324533, #324534,#324535)); #326086=CLOSED_SHELL('',(#324536,#324537,#324538,#324539,#324540,#324541, #324542,#324543)); #326087=CLOSED_SHELL('',(#324544,#324545,#324546,#324547,#324548,#324549, #324550,#324551)); #326088=CLOSED_SHELL('',(#324552,#324553,#324554,#324555,#324556,#324557, #324558,#324559)); #326089=CLOSED_SHELL('',(#324560,#324561,#324562,#324563,#324564,#324565, #324566,#324567)); #326090=CLOSED_SHELL('',(#324568,#324569,#324570,#324571,#324572,#324573, #324574,#324575)); #326091=CLOSED_SHELL('',(#324576,#324577,#324578,#324579,#324580,#324581, #324582,#324583)); #326092=CLOSED_SHELL('',(#324584,#324585,#324586,#324587,#324588,#324589, #324590,#324591)); #326093=CLOSED_SHELL('',(#324592,#324593,#324594,#324595,#324596,#324597, #324598,#324599)); #326094=CLOSED_SHELL('',(#324600,#324601,#324602,#324603,#324604,#324605, #324606,#324607)); #326095=CLOSED_SHELL('',(#324608,#324609,#324610,#324611,#324612,#324613, #324614,#324615)); #326096=CLOSED_SHELL('',(#324616,#324617,#324618,#324619,#324620,#324621, #324622,#324623)); #326097=CLOSED_SHELL('',(#324624,#324625,#324626,#324627,#324628,#324629, #324630,#324631)); #326098=CLOSED_SHELL('',(#324632,#324633,#324634,#324635,#324636,#324637, #324638,#324639)); #326099=CLOSED_SHELL('',(#324640,#324641,#324642,#324643,#324644,#324645, #324646,#324647)); #326100=CLOSED_SHELL('',(#324648,#324649,#324650,#324651,#324652,#324653, #324654,#324655)); #326101=CLOSED_SHELL('',(#324656,#324657,#324658,#324659,#324660,#324661, #324662,#324663)); #326102=CLOSED_SHELL('',(#324664,#324665,#324666,#324667,#324668,#324669, #324670,#324671)); #326103=CLOSED_SHELL('',(#324672,#324673,#324674,#324675,#324676,#324677, #324678,#324679)); #326104=CLOSED_SHELL('',(#324680,#324681,#324682,#324683,#324684,#324685, #324686,#324687)); #326105=CLOSED_SHELL('',(#324688,#324689,#324690,#324691,#324692,#324693, #324694,#324695)); #326106=CLOSED_SHELL('',(#324696,#324697,#324698,#324699,#324700,#324701, #324702,#324703)); #326107=CLOSED_SHELL('',(#324704,#324705,#324706,#324707,#324708,#324709, #324710,#324711)); #326108=CLOSED_SHELL('',(#324712,#324713,#324714,#324715,#324716,#324717, #324718,#324719)); #326109=CLOSED_SHELL('',(#324720,#324721,#324722,#324723,#324724,#324725, #324726,#324727)); #326110=CLOSED_SHELL('',(#324728,#324729,#324730,#324731,#324732,#324733, #324734,#324735)); #326111=CLOSED_SHELL('',(#324736,#324737,#324738,#324739,#324740,#324741, #324742,#324743)); #326112=CLOSED_SHELL('',(#324744,#324745,#324746,#324747,#324748,#324749, #324750,#324751)); #326113=CLOSED_SHELL('',(#324752,#324753,#324754,#324755,#324756,#324757, #324758,#324759)); #326114=CLOSED_SHELL('',(#324760,#324761,#324762,#324763,#324764,#324765, #324766,#324767)); #326115=CLOSED_SHELL('',(#324768,#324769,#324770,#324771,#324772,#324773, #324774,#324775)); #326116=CLOSED_SHELL('',(#324776,#324777,#324778,#324779,#324780,#324781, #324782,#324783)); #326117=CLOSED_SHELL('',(#324784,#324785,#324786,#324787,#324788,#324789, #324790,#324791)); #326118=CLOSED_SHELL('',(#324792,#324793,#324794,#324795,#324796,#324797, #324798,#324799)); #326119=CLOSED_SHELL('',(#324800,#324801,#324802,#324803,#324804,#324805, #324806,#324807)); #326120=CLOSED_SHELL('',(#324808,#324809,#324810,#324811,#324812,#324813)); #326121=CLOSED_SHELL('',(#324814,#324815,#324816,#324817,#324818,#324819, #324820,#324821,#324822,#324823,#324824,#324825,#324826,#324827,#324828, #324829,#324830,#324831,#324832,#324833,#324834,#324835,#324836,#324837, #324838,#324839)); #326122=CLOSED_SHELL('',(#324840,#324841,#324842,#324843,#324844,#324845, #324846,#324847,#324848,#324849,#324850,#324851,#324852,#324853,#324854, #324855,#324856,#324857,#324858,#324859,#324860,#324861,#324862,#324863, #324864,#324865)); #326123=CLOSED_SHELL('',(#324866,#324867,#324868,#324869,#324870,#324871)); #326124=CLOSED_SHELL('',(#324872,#324873,#324874,#324875,#324876,#324877, #324878,#324879,#324880,#324881)); #326125=CLOSED_SHELL('',(#324882,#324883,#324884,#324885,#324886,#324887)); #326126=CLOSED_SHELL('',(#324888,#324889,#324890,#324891,#324892,#324893)); #326127=CLOSED_SHELL('',(#324894,#324895,#324896,#324897,#324898,#324899)); #326128=CLOSED_SHELL('',(#324900,#324901,#324902,#324903,#324904,#324905)); #326129=CLOSED_SHELL('',(#324906,#324907,#324908,#324909,#324910,#324911)); #326130=CLOSED_SHELL('',(#324912,#324913,#324914,#324915,#324916,#324917, #324918,#324919,#324920,#324921,#324922,#324923,#324924,#324925,#324926, #324927)); #326131=CLOSED_SHELL('',(#324928,#324929,#324930,#324931,#324932,#324933, #324934,#324935,#324936,#324937,#324938,#324939,#324940,#324941)); #326132=CLOSED_SHELL('',(#324942,#324943,#324944,#324945,#324946,#324947, #324948,#324949,#324950,#324951,#324952,#324953,#324954,#324955)); #326133=CLOSED_SHELL('',(#324956,#324957,#324958,#324959,#324960,#324961, #324962,#324963,#324964,#324965,#324966,#324967,#324968,#324969)); #326134=CLOSED_SHELL('',(#324970,#324971,#324972,#324973,#324974,#324975, #324976,#324977,#324978,#324979,#324980,#324981,#324982,#324983)); #326135=CLOSED_SHELL('',(#324984,#324985,#324986,#324987,#324988,#324989, #324990,#324991,#324992,#324993,#324994,#324995,#324996,#324997)); #326136=CLOSED_SHELL('',(#324998,#324999,#325000,#325001,#325002,#325003, #325004,#325005,#325006,#325007,#325008,#325009,#325010,#325011)); #326137=CLOSED_SHELL('',(#325012,#325013,#325014,#325015,#325016,#325017, #325018,#325019,#325020,#325021,#325022,#325023,#325024,#325025)); #326138=CLOSED_SHELL('',(#325026,#325027,#325028,#325029,#325030,#325031, #325032,#325033,#325034,#325035,#325036,#325037,#325038,#325039)); #326139=CLOSED_SHELL('',(#325040,#325041,#325042,#325043,#325044,#325045, #325046,#325047,#325048,#325049,#325050,#325051,#325052,#325053,#325054, #325055)); #326140=CLOSED_SHELL('',(#325056,#325057,#325058,#325059,#325060,#325061, #325062,#325063,#325064,#325065,#325066,#325067,#325068,#325069)); #326141=CLOSED_SHELL('',(#325070,#325071,#325072,#325073,#325074,#325075, #325076,#325077,#325078,#325079,#325080,#325081,#325082,#325083)); #326142=CLOSED_SHELL('',(#325084,#325085,#325086,#325087,#325088,#325089, #325090,#325091,#325092,#325093,#325094,#325095,#325096,#325097)); #326143=CLOSED_SHELL('',(#325098,#325099,#325100,#325101,#325102,#325103, #325104,#325105,#325106,#325107,#325108,#325109,#325110,#325111)); #326144=CLOSED_SHELL('',(#325112,#325113,#325114,#325115,#325116,#325117, #325118,#325119,#325120,#325121,#325122,#325123,#325124,#325125)); #326145=CLOSED_SHELL('',(#325126,#325127,#325128,#325129,#325130,#325131, #325132,#325133,#325134,#325135,#325136,#325137,#325138,#325139,#325140, #325141,#325142,#325143,#325144,#325145,#325146,#325147,#325148,#325149, #325150,#325151)); #326146=CLOSED_SHELL('',(#325152,#325153,#325154,#325155,#325156,#325157, #325158,#325159,#325160,#325161,#325162,#325163,#325164,#325165,#325166, #325167,#325168,#325169,#325170,#325171,#325172,#325173,#325174,#325175, #325176,#325177)); #326147=CLOSED_SHELL('',(#325178,#325179,#325180,#325181,#325182,#325183)); #326148=DERIVED_UNIT_ELEMENT(#326228,1.); #326149=DERIVED_UNIT_ELEMENT(#532367,-3.); #326150=DERIVED_UNIT_ELEMENT(#326228,1.); #326151=DERIVED_UNIT_ELEMENT(#532367,-3.); #326152=DERIVED_UNIT_ELEMENT(#326228,1.); #326153=DERIVED_UNIT_ELEMENT(#532367,-3.); #326154=DERIVED_UNIT_ELEMENT(#326228,1.); #326155=DERIVED_UNIT_ELEMENT(#532367,-3.); #326156=DERIVED_UNIT_ELEMENT(#326228,1.); #326157=DERIVED_UNIT_ELEMENT(#532367,-3.); #326158=DERIVED_UNIT_ELEMENT(#326228,1.); #326159=DERIVED_UNIT_ELEMENT(#532367,-3.); #326160=DERIVED_UNIT_ELEMENT(#326228,1.); #326161=DERIVED_UNIT_ELEMENT(#532367,-3.); #326162=DERIVED_UNIT_ELEMENT(#326228,1.); #326163=DERIVED_UNIT_ELEMENT(#532367,-3.); #326164=DERIVED_UNIT_ELEMENT(#326228,1.); #326165=DERIVED_UNIT_ELEMENT(#532367,-3.); #326166=DERIVED_UNIT_ELEMENT(#326228,1.); #326167=DERIVED_UNIT_ELEMENT(#532367,-3.); #326168=DERIVED_UNIT_ELEMENT(#326228,1.); #326169=DERIVED_UNIT_ELEMENT(#532367,-3.); #326170=DERIVED_UNIT_ELEMENT(#326228,1.); #326171=DERIVED_UNIT_ELEMENT(#532367,-3.); #326172=DERIVED_UNIT_ELEMENT(#326228,1.); #326173=DERIVED_UNIT_ELEMENT(#532367,-3.); #326174=DERIVED_UNIT_ELEMENT(#326228,1.); #326175=DERIVED_UNIT_ELEMENT(#532367,-3.); #326176=DERIVED_UNIT_ELEMENT(#326228,1.); #326177=DERIVED_UNIT_ELEMENT(#532367,-3.); #326178=DERIVED_UNIT_ELEMENT(#326228,1.); #326179=DERIVED_UNIT_ELEMENT(#532367,-3.); #326180=DERIVED_UNIT_ELEMENT(#326228,1.); #326181=DERIVED_UNIT_ELEMENT(#532367,-3.); #326182=DERIVED_UNIT_ELEMENT(#326228,1.); #326183=DERIVED_UNIT_ELEMENT(#532367,-3.); #326184=DERIVED_UNIT_ELEMENT(#326228,1.); #326185=DERIVED_UNIT_ELEMENT(#532367,-3.); #326186=DERIVED_UNIT_ELEMENT(#326228,1.); #326187=DERIVED_UNIT_ELEMENT(#532367,-3.); #326188=DERIVED_UNIT_ELEMENT(#326228,1.); #326189=DERIVED_UNIT_ELEMENT(#532367,-3.); #326190=DERIVED_UNIT_ELEMENT(#326228,1.); #326191=DERIVED_UNIT_ELEMENT(#532367,-3.); #326192=DERIVED_UNIT_ELEMENT(#326228,1.); #326193=DERIVED_UNIT_ELEMENT(#532367,-3.); #326194=DERIVED_UNIT_ELEMENT(#326228,1.); #326195=DERIVED_UNIT_ELEMENT(#532367,-3.); #326196=DERIVED_UNIT_ELEMENT(#326228,1.); #326197=DERIVED_UNIT_ELEMENT(#532367,-3.); #326198=DERIVED_UNIT_ELEMENT(#326228,1.); #326199=DERIVED_UNIT_ELEMENT(#532367,-3.); #326200=DERIVED_UNIT_ELEMENT(#326228,1.); #326201=DERIVED_UNIT_ELEMENT(#532367,-3.); #326202=DERIVED_UNIT_ELEMENT(#326228,1.); #326203=DERIVED_UNIT_ELEMENT(#532367,-3.); #326204=DERIVED_UNIT_ELEMENT(#326228,1.); #326205=DERIVED_UNIT_ELEMENT(#532367,-3.); #326206=DERIVED_UNIT_ELEMENT(#326228,1.); #326207=DERIVED_UNIT_ELEMENT(#532367,-3.); #326208=DERIVED_UNIT_ELEMENT(#326228,1.); #326209=DERIVED_UNIT_ELEMENT(#532367,-3.); #326210=DERIVED_UNIT_ELEMENT(#326228,1.); #326211=DERIVED_UNIT_ELEMENT(#532367,-3.); #326212=DERIVED_UNIT_ELEMENT(#326228,1.); #326213=DERIVED_UNIT_ELEMENT(#532367,-3.); #326214=DERIVED_UNIT_ELEMENT(#326228,1.); #326215=DERIVED_UNIT_ELEMENT(#532367,-3.); #326216=DERIVED_UNIT_ELEMENT(#326228,1.); #326217=DERIVED_UNIT_ELEMENT(#532367,-3.); #326218=DERIVED_UNIT_ELEMENT(#326228,1.); #326219=DERIVED_UNIT_ELEMENT(#532367,-3.); #326220=DERIVED_UNIT_ELEMENT(#326228,1.); #326221=DERIVED_UNIT_ELEMENT(#532367,-3.); #326222=DERIVED_UNIT_ELEMENT(#326228,1.); #326223=DERIVED_UNIT_ELEMENT(#532367,-3.); #326224=DERIVED_UNIT_ELEMENT(#326228,1.); #326225=DERIVED_UNIT_ELEMENT(#532367,-3.); #326226=DERIVED_UNIT_ELEMENT(#326228,1.); #326227=DERIVED_UNIT_ELEMENT(#532367,-3.); #326228=( MASS_UNIT() NAMED_UNIT(*) SI_UNIT(.KILO.,.GRAM.) ); #326229=DERIVED_UNIT((#326148,#326149)); #326230=DERIVED_UNIT((#326150,#326151)); #326231=DERIVED_UNIT((#326152,#326153)); #326232=DERIVED_UNIT((#326154,#326155)); #326233=DERIVED_UNIT((#326156,#326157)); #326234=DERIVED_UNIT((#326158,#326159)); #326235=DERIVED_UNIT((#326160,#326161)); #326236=DERIVED_UNIT((#326162,#326163)); #326237=DERIVED_UNIT((#326164,#326165)); #326238=DERIVED_UNIT((#326166,#326167)); #326239=DERIVED_UNIT((#326168,#326169)); #326240=DERIVED_UNIT((#326170,#326171)); #326241=DERIVED_UNIT((#326172,#326173)); #326242=DERIVED_UNIT((#326174,#326175)); #326243=DERIVED_UNIT((#326176,#326177)); #326244=DERIVED_UNIT((#326178,#326179)); #326245=DERIVED_UNIT((#326180,#326181)); #326246=DERIVED_UNIT((#326182,#326183)); #326247=DERIVED_UNIT((#326184,#326185)); #326248=DERIVED_UNIT((#326186,#326187)); #326249=DERIVED_UNIT((#326188,#326189)); #326250=DERIVED_UNIT((#326190,#326191)); #326251=DERIVED_UNIT((#326192,#326193)); #326252=DERIVED_UNIT((#326194,#326195)); #326253=DERIVED_UNIT((#326196,#326197)); #326254=DERIVED_UNIT((#326198,#326199)); #326255=DERIVED_UNIT((#326200,#326201)); #326256=DERIVED_UNIT((#326202,#326203)); #326257=DERIVED_UNIT((#326204,#326205)); #326258=DERIVED_UNIT((#326206,#326207)); #326259=DERIVED_UNIT((#326208,#326209)); #326260=DERIVED_UNIT((#326210,#326211)); #326261=DERIVED_UNIT((#326212,#326213)); #326262=DERIVED_UNIT((#326214,#326215)); #326263=DERIVED_UNIT((#326216,#326217)); #326264=DERIVED_UNIT((#326218,#326219)); #326265=DERIVED_UNIT((#326220,#326221)); #326266=DERIVED_UNIT((#326222,#326223)); #326267=DERIVED_UNIT((#326224,#326225)); #326268=DERIVED_UNIT((#326226,#326227)); #326269=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#326229); #326270=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#326230); #326271=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#326231); #326272=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#326232); #326273=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#326233); #326274=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326234); #326275=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326235); #326276=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326236); #326277=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326237); #326278=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326238); #326279=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326239); #326280=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326240); #326281=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326241); #326282=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326242); #326283=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326243); #326284=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326244); #326285=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326245); #326286=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326246); #326287=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326247); #326288=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326248); #326289=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326249); #326290=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326250); #326291=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326251); #326292=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326252); #326293=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326253); #326294=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326254); #326295=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326255); #326296=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326256); #326297=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326257); #326298=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326258); #326299=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326259); #326300=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326260); #326301=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326261); #326302=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326262); #326303=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326263); #326304=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326264); #326305=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326265); #326306=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326266); #326307=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326267); #326308=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(6020.),#326268); #326309=PROPERTY_DEFINITION_REPRESENTATION(#326509,#326389); #326310=PROPERTY_DEFINITION_REPRESENTATION(#326510,#326390); #326311=PROPERTY_DEFINITION_REPRESENTATION(#326511,#326391); #326312=PROPERTY_DEFINITION_REPRESENTATION(#326512,#326392); #326313=PROPERTY_DEFINITION_REPRESENTATION(#326513,#326393); #326314=PROPERTY_DEFINITION_REPRESENTATION(#326514,#326394); #326315=PROPERTY_DEFINITION_REPRESENTATION(#326515,#326395); #326316=PROPERTY_DEFINITION_REPRESENTATION(#326516,#326396); #326317=PROPERTY_DEFINITION_REPRESENTATION(#326517,#326397); #326318=PROPERTY_DEFINITION_REPRESENTATION(#326518,#326398); #326319=PROPERTY_DEFINITION_REPRESENTATION(#326519,#326399); #326320=PROPERTY_DEFINITION_REPRESENTATION(#326520,#326400); #326321=PROPERTY_DEFINITION_REPRESENTATION(#326521,#326401); #326322=PROPERTY_DEFINITION_REPRESENTATION(#326522,#326402); #326323=PROPERTY_DEFINITION_REPRESENTATION(#326523,#326403); #326324=PROPERTY_DEFINITION_REPRESENTATION(#326524,#326404); #326325=PROPERTY_DEFINITION_REPRESENTATION(#326525,#326405); #326326=PROPERTY_DEFINITION_REPRESENTATION(#326526,#326406); #326327=PROPERTY_DEFINITION_REPRESENTATION(#326527,#326407); #326328=PROPERTY_DEFINITION_REPRESENTATION(#326528,#326408); #326329=PROPERTY_DEFINITION_REPRESENTATION(#326529,#326409); #326330=PROPERTY_DEFINITION_REPRESENTATION(#326530,#326410); #326331=PROPERTY_DEFINITION_REPRESENTATION(#326531,#326411); #326332=PROPERTY_DEFINITION_REPRESENTATION(#326532,#326412); #326333=PROPERTY_DEFINITION_REPRESENTATION(#326533,#326413); #326334=PROPERTY_DEFINITION_REPRESENTATION(#326534,#326414); #326335=PROPERTY_DEFINITION_REPRESENTATION(#326535,#326415); #326336=PROPERTY_DEFINITION_REPRESENTATION(#326536,#326416); #326337=PROPERTY_DEFINITION_REPRESENTATION(#326537,#326417); #326338=PROPERTY_DEFINITION_REPRESENTATION(#326538,#326418); #326339=PROPERTY_DEFINITION_REPRESENTATION(#326539,#326419); #326340=PROPERTY_DEFINITION_REPRESENTATION(#326540,#326420); #326341=PROPERTY_DEFINITION_REPRESENTATION(#326541,#326421); #326342=PROPERTY_DEFINITION_REPRESENTATION(#326542,#326422); #326343=PROPERTY_DEFINITION_REPRESENTATION(#326543,#326423); #326344=PROPERTY_DEFINITION_REPRESENTATION(#326544,#326424); #326345=PROPERTY_DEFINITION_REPRESENTATION(#326545,#326425); #326346=PROPERTY_DEFINITION_REPRESENTATION(#326546,#326426); #326347=PROPERTY_DEFINITION_REPRESENTATION(#326547,#326427); #326348=PROPERTY_DEFINITION_REPRESENTATION(#326548,#326428); #326349=PROPERTY_DEFINITION_REPRESENTATION(#326549,#326429); #326350=PROPERTY_DEFINITION_REPRESENTATION(#326550,#326430); #326351=PROPERTY_DEFINITION_REPRESENTATION(#326551,#326431); #326352=PROPERTY_DEFINITION_REPRESENTATION(#326552,#326432); #326353=PROPERTY_DEFINITION_REPRESENTATION(#326553,#326433); #326354=PROPERTY_DEFINITION_REPRESENTATION(#326554,#326434); #326355=PROPERTY_DEFINITION_REPRESENTATION(#326555,#326435); #326356=PROPERTY_DEFINITION_REPRESENTATION(#326556,#326436); #326357=PROPERTY_DEFINITION_REPRESENTATION(#326557,#326437); #326358=PROPERTY_DEFINITION_REPRESENTATION(#326558,#326438); #326359=PROPERTY_DEFINITION_REPRESENTATION(#326559,#326439); #326360=PROPERTY_DEFINITION_REPRESENTATION(#326560,#326440); #326361=PROPERTY_DEFINITION_REPRESENTATION(#326561,#326441); #326362=PROPERTY_DEFINITION_REPRESENTATION(#326562,#326442); #326363=PROPERTY_DEFINITION_REPRESENTATION(#326563,#326443); #326364=PROPERTY_DEFINITION_REPRESENTATION(#326564,#326444); #326365=PROPERTY_DEFINITION_REPRESENTATION(#326565,#326445); #326366=PROPERTY_DEFINITION_REPRESENTATION(#326566,#326446); #326367=PROPERTY_DEFINITION_REPRESENTATION(#326567,#326447); #326368=PROPERTY_DEFINITION_REPRESENTATION(#326568,#326448); #326369=PROPERTY_DEFINITION_REPRESENTATION(#326569,#326449); #326370=PROPERTY_DEFINITION_REPRESENTATION(#326570,#326450); #326371=PROPERTY_DEFINITION_REPRESENTATION(#326571,#326451); #326372=PROPERTY_DEFINITION_REPRESENTATION(#326572,#326452); #326373=PROPERTY_DEFINITION_REPRESENTATION(#326573,#326453); #326374=PROPERTY_DEFINITION_REPRESENTATION(#326574,#326454); #326375=PROPERTY_DEFINITION_REPRESENTATION(#326575,#326455); #326376=PROPERTY_DEFINITION_REPRESENTATION(#326576,#326456); #326377=PROPERTY_DEFINITION_REPRESENTATION(#326577,#326457); #326378=PROPERTY_DEFINITION_REPRESENTATION(#326578,#326458); #326379=PROPERTY_DEFINITION_REPRESENTATION(#326579,#326459); #326380=PROPERTY_DEFINITION_REPRESENTATION(#326580,#326460); #326381=PROPERTY_DEFINITION_REPRESENTATION(#326581,#326461); #326382=PROPERTY_DEFINITION_REPRESENTATION(#326582,#326462); #326383=PROPERTY_DEFINITION_REPRESENTATION(#326583,#326463); #326384=PROPERTY_DEFINITION_REPRESENTATION(#326584,#326464); #326385=PROPERTY_DEFINITION_REPRESENTATION(#326585,#326465); #326386=PROPERTY_DEFINITION_REPRESENTATION(#326586,#326466); #326387=PROPERTY_DEFINITION_REPRESENTATION(#326587,#326467); #326388=PROPERTY_DEFINITION_REPRESENTATION(#326588,#326468); #326389=REPRESENTATION('material name',(#326469),#532304); #326390=REPRESENTATION('density',(#326269),#532304); #326391=REPRESENTATION('material name',(#326470),#532305); #326392=REPRESENTATION('density',(#326270),#532305); #326393=REPRESENTATION('material name',(#326471),#532306); #326394=REPRESENTATION('density',(#326271),#532306); #326395=REPRESENTATION('material name',(#326472),#532307); #326396=REPRESENTATION('density',(#326272),#532307); #326397=REPRESENTATION('material name',(#326473),#532308); #326398=REPRESENTATION('density',(#326273),#532308); #326399=REPRESENTATION('material name',(#326474),#532311); #326400=REPRESENTATION('density',(#326274),#532311); #326401=REPRESENTATION('material name',(#326475),#532313); #326402=REPRESENTATION('density',(#326275),#532313); #326403=REPRESENTATION('material name',(#326476),#532317); #326404=REPRESENTATION('density',(#326276),#532317); #326405=REPRESENTATION('material name',(#326477),#532318); #326406=REPRESENTATION('density',(#326277),#532318); #326407=REPRESENTATION('material name',(#326478),#532319); #326408=REPRESENTATION('density',(#326278),#532319); #326409=REPRESENTATION('material name',(#326479),#532320); #326410=REPRESENTATION('density',(#326279),#532320); #326411=REPRESENTATION('material name',(#326480),#532321); #326412=REPRESENTATION('density',(#326280),#532321); #326413=REPRESENTATION('material name',(#326481),#532322); #326414=REPRESENTATION('density',(#326281),#532322); #326415=REPRESENTATION('material name',(#326482),#532323); #326416=REPRESENTATION('density',(#326282),#532323); #326417=REPRESENTATION('material name',(#326483),#532324); #326418=REPRESENTATION('density',(#326283),#532324); #326419=REPRESENTATION('material name',(#326484),#532325); #326420=REPRESENTATION('density',(#326284),#532325); #326421=REPRESENTATION('material name',(#326485),#532326); #326422=REPRESENTATION('density',(#326285),#532326); #326423=REPRESENTATION('material name',(#326486),#532327); #326424=REPRESENTATION('density',(#326286),#532327); #326425=REPRESENTATION('material name',(#326487),#532328); #326426=REPRESENTATION('density',(#326287),#532328); #326427=REPRESENTATION('material name',(#326488),#532329); #326428=REPRESENTATION('density',(#326288),#532329); #326429=REPRESENTATION('material name',(#326489),#532330); #326430=REPRESENTATION('density',(#326289),#532330); #326431=REPRESENTATION('material name',(#326490),#532331); #326432=REPRESENTATION('density',(#326290),#532331); #326433=REPRESENTATION('material name',(#326491),#532332); #326434=REPRESENTATION('density',(#326291),#532332); #326435=REPRESENTATION('material name',(#326492),#532333); #326436=REPRESENTATION('density',(#326292),#532333); #326437=REPRESENTATION('material name',(#326493),#532334); #326438=REPRESENTATION('density',(#326293),#532334); #326439=REPRESENTATION('material name',(#326494),#532336); #326440=REPRESENTATION('density',(#326294),#532336); #326441=REPRESENTATION('material name',(#326495),#532338); #326442=REPRESENTATION('density',(#326295),#532338); #326443=REPRESENTATION('material name',(#326496),#532340); #326444=REPRESENTATION('density',(#326296),#532340); #326445=REPRESENTATION('material name',(#326497),#532342); #326446=REPRESENTATION('density',(#326297),#532342); #326447=REPRESENTATION('material name',(#326498),#532344); #326448=REPRESENTATION('density',(#326298),#532344); #326449=REPRESENTATION('material name',(#326499),#532346); #326450=REPRESENTATION('density',(#326299),#532346); #326451=REPRESENTATION('material name',(#326500),#532348); #326452=REPRESENTATION('density',(#326300),#532348); #326453=REPRESENTATION('material name',(#326501),#532350); #326454=REPRESENTATION('density',(#326301),#532350); #326455=REPRESENTATION('material name',(#326502),#532352); #326456=REPRESENTATION('density',(#326302),#532352); #326457=REPRESENTATION('material name',(#326503),#532354); #326458=REPRESENTATION('density',(#326303),#532354); #326459=REPRESENTATION('material name',(#326504),#532356); #326460=REPRESENTATION('density',(#326304),#532356); #326461=REPRESENTATION('material name',(#326505),#532358); #326462=REPRESENTATION('density',(#326305),#532358); #326463=REPRESENTATION('material name',(#326506),#532360); #326464=REPRESENTATION('density',(#326306),#532360); #326465=REPRESENTATION('material name',(#326507),#532362); #326466=REPRESENTATION('density',(#326307),#532362); #326467=REPRESENTATION('material name',(#326508),#532364); #326468=REPRESENTATION('density',(#326308),#532364); #326469=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #326470=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #326471=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #326472=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #326473=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #326474=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326475=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326476=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326477=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326478=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326479=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326480=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326481=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326482=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326483=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326484=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326485=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326486=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326487=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326488=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326489=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326490=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326491=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326492=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326493=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326494=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326495=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326496=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326497=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326498=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326499=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326500=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326501=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326502=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326503=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326504=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326505=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326506=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326507=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326508=DESCRIPTIVE_REPRESENTATION_ITEM('Discrete Component', 'Discrete Component'); #326509=PROPERTY_DEFINITION('material property','material name',#532670); #326510=PROPERTY_DEFINITION('material property','density of part',#532670); #326511=PROPERTY_DEFINITION('material property','material name',#532671); #326512=PROPERTY_DEFINITION('material property','density of part',#532671); #326513=PROPERTY_DEFINITION('material property','material name',#532672); #326514=PROPERTY_DEFINITION('material property','density of part',#532672); #326515=PROPERTY_DEFINITION('material property','material name',#532673); #326516=PROPERTY_DEFINITION('material property','density of part',#532673); #326517=PROPERTY_DEFINITION('material property','material name',#532674); #326518=PROPERTY_DEFINITION('material property','density of part',#532674); #326519=PROPERTY_DEFINITION('material property','material name',#532677); #326520=PROPERTY_DEFINITION('material property','density of part',#532677); #326521=PROPERTY_DEFINITION('material property','material name',#532679); #326522=PROPERTY_DEFINITION('material property','density of part',#532679); #326523=PROPERTY_DEFINITION('material property','material name',#532683); #326524=PROPERTY_DEFINITION('material property','density of part',#532683); #326525=PROPERTY_DEFINITION('material property','material name',#532684); #326526=PROPERTY_DEFINITION('material property','density of part',#532684); #326527=PROPERTY_DEFINITION('material property','material name',#532685); #326528=PROPERTY_DEFINITION('material property','density of part',#532685); #326529=PROPERTY_DEFINITION('material property','material name',#532686); #326530=PROPERTY_DEFINITION('material property','density of part',#532686); #326531=PROPERTY_DEFINITION('material property','material name',#532687); #326532=PROPERTY_DEFINITION('material property','density of part',#532687); #326533=PROPERTY_DEFINITION('material property','material name',#532688); #326534=PROPERTY_DEFINITION('material property','density of part',#532688); #326535=PROPERTY_DEFINITION('material property','material name',#532689); #326536=PROPERTY_DEFINITION('material property','density of part',#532689); #326537=PROPERTY_DEFINITION('material property','material name',#532690); #326538=PROPERTY_DEFINITION('material property','density of part',#532690); #326539=PROPERTY_DEFINITION('material property','material name',#532691); #326540=PROPERTY_DEFINITION('material property','density of part',#532691); #326541=PROPERTY_DEFINITION('material property','material name',#532692); #326542=PROPERTY_DEFINITION('material property','density of part',#532692); #326543=PROPERTY_DEFINITION('material property','material name',#532693); #326544=PROPERTY_DEFINITION('material property','density of part',#532693); #326545=PROPERTY_DEFINITION('material property','material name',#532694); #326546=PROPERTY_DEFINITION('material property','density of part',#532694); #326547=PROPERTY_DEFINITION('material property','material name',#532695); #326548=PROPERTY_DEFINITION('material property','density of part',#532695); #326549=PROPERTY_DEFINITION('material property','material name',#532696); #326550=PROPERTY_DEFINITION('material property','density of part',#532696); #326551=PROPERTY_DEFINITION('material property','material name',#532697); #326552=PROPERTY_DEFINITION('material property','density of part',#532697); #326553=PROPERTY_DEFINITION('material property','material name',#532698); #326554=PROPERTY_DEFINITION('material property','density of part',#532698); #326555=PROPERTY_DEFINITION('material property','material name',#532699); #326556=PROPERTY_DEFINITION('material property','density of part',#532699); #326557=PROPERTY_DEFINITION('material property','material name',#532700); #326558=PROPERTY_DEFINITION('material property','density of part',#532700); #326559=PROPERTY_DEFINITION('material property','material name',#532702); #326560=PROPERTY_DEFINITION('material property','density of part',#532702); #326561=PROPERTY_DEFINITION('material property','material name',#532704); #326562=PROPERTY_DEFINITION('material property','density of part',#532704); #326563=PROPERTY_DEFINITION('material property','material name',#532706); #326564=PROPERTY_DEFINITION('material property','density of part',#532706); #326565=PROPERTY_DEFINITION('material property','material name',#532708); #326566=PROPERTY_DEFINITION('material property','density of part',#532708); #326567=PROPERTY_DEFINITION('material property','material name',#532710); #326568=PROPERTY_DEFINITION('material property','density of part',#532710); #326569=PROPERTY_DEFINITION('material property','material name',#532712); #326570=PROPERTY_DEFINITION('material property','density of part',#532712); #326571=PROPERTY_DEFINITION('material property','material name',#532714); #326572=PROPERTY_DEFINITION('material property','density of part',#532714); #326573=PROPERTY_DEFINITION('material property','material name',#532716); #326574=PROPERTY_DEFINITION('material property','density of part',#532716); #326575=PROPERTY_DEFINITION('material property','material name',#532718); #326576=PROPERTY_DEFINITION('material property','density of part',#532718); #326577=PROPERTY_DEFINITION('material property','material name',#532720); #326578=PROPERTY_DEFINITION('material property','density of part',#532720); #326579=PROPERTY_DEFINITION('material property','material name',#532722); #326580=PROPERTY_DEFINITION('material property','density of part',#532722); #326581=PROPERTY_DEFINITION('material property','material name',#532724); #326582=PROPERTY_DEFINITION('material property','density of part',#532724); #326583=PROPERTY_DEFINITION('material property','material name',#532726); #326584=PROPERTY_DEFINITION('material property','density of part',#532726); #326585=PROPERTY_DEFINITION('material property','material name',#532728); #326586=PROPERTY_DEFINITION('material property','density of part',#532728); #326587=PROPERTY_DEFINITION('material property','material name',#532730); #326588=PROPERTY_DEFINITION('material property','density of part',#532730); #326589=AXIS2_PLACEMENT_3D('',#437968,#351385,#351386); #326590=AXIS2_PLACEMENT_3D('',#437969,#351387,#351388); #326591=AXIS2_PLACEMENT_3D('',#437970,#351389,#351390); #326592=AXIS2_PLACEMENT_3D('',#437972,#351391,#351392); #326593=AXIS2_PLACEMENT_3D('',#437975,#351394,#351395); #326594=AXIS2_PLACEMENT_3D('',#437976,#351396,#351397); #326595=AXIS2_PLACEMENT_3D('',#437978,#351398,#351399); #326596=AXIS2_PLACEMENT_3D('',#437981,#351401,#351402); #326597=AXIS2_PLACEMENT_3D('',#437982,#351403,#351404); #326598=AXIS2_PLACEMENT_3D('',#437984,#351405,#351406); #326599=AXIS2_PLACEMENT_3D('',#437987,#351408,#351409); #326600=AXIS2_PLACEMENT_3D('',#437988,#351410,#351411); #326601=AXIS2_PLACEMENT_3D('',#437990,#351412,#351413); #326602=AXIS2_PLACEMENT_3D('',#437993,#351415,#351416); #326603=AXIS2_PLACEMENT_3D('',#437994,#351417,#351418); #326604=AXIS2_PLACEMENT_3D('',#437996,#351419,#351420); #326605=AXIS2_PLACEMENT_3D('',#437999,#351422,#351423); #326606=AXIS2_PLACEMENT_3D('',#438000,#351424,#351425); #326607=AXIS2_PLACEMENT_3D('',#438002,#351426,#351427); #326608=AXIS2_PLACEMENT_3D('',#438005,#351429,#351430); #326609=AXIS2_PLACEMENT_3D('',#438006,#351431,#351432); #326610=AXIS2_PLACEMENT_3D('',#438008,#351433,#351434); #326611=AXIS2_PLACEMENT_3D('',#438011,#351436,#351437); #326612=AXIS2_PLACEMENT_3D('',#438012,#351438,#351439); #326613=AXIS2_PLACEMENT_3D('',#438014,#351440,#351441); #326614=AXIS2_PLACEMENT_3D('',#438017,#351443,#351444); #326615=AXIS2_PLACEMENT_3D('',#438018,#351445,#351446); #326616=AXIS2_PLACEMENT_3D('',#438020,#351447,#351448); #326617=AXIS2_PLACEMENT_3D('',#438023,#351450,#351451); #326618=AXIS2_PLACEMENT_3D('',#438024,#351452,#351453); #326619=AXIS2_PLACEMENT_3D('',#438026,#351454,#351455); #326620=AXIS2_PLACEMENT_3D('',#438029,#351457,#351458); #326621=AXIS2_PLACEMENT_3D('',#438030,#351459,#351460); #326622=AXIS2_PLACEMENT_3D('',#438032,#351461,#351462); #326623=AXIS2_PLACEMENT_3D('',#438035,#351464,#351465); #326624=AXIS2_PLACEMENT_3D('',#438036,#351466,#351467); #326625=AXIS2_PLACEMENT_3D('',#438038,#351468,#351469); #326626=AXIS2_PLACEMENT_3D('',#438041,#351471,#351472); #326627=AXIS2_PLACEMENT_3D('',#438042,#351473,#351474); #326628=AXIS2_PLACEMENT_3D('',#438044,#351475,#351476); #326629=AXIS2_PLACEMENT_3D('',#438047,#351478,#351479); #326630=AXIS2_PLACEMENT_3D('',#438048,#351480,#351481); #326631=AXIS2_PLACEMENT_3D('',#438050,#351482,#351483); #326632=AXIS2_PLACEMENT_3D('',#438053,#351485,#351486); #326633=AXIS2_PLACEMENT_3D('',#438054,#351487,#351488); #326634=AXIS2_PLACEMENT_3D('',#438056,#351489,#351490); #326635=AXIS2_PLACEMENT_3D('',#438059,#351492,#351493); #326636=AXIS2_PLACEMENT_3D('',#438060,#351494,#351495); #326637=AXIS2_PLACEMENT_3D('',#438062,#351496,#351497); #326638=AXIS2_PLACEMENT_3D('',#438065,#351499,#351500); #326639=AXIS2_PLACEMENT_3D('',#438066,#351501,#351502); #326640=AXIS2_PLACEMENT_3D('',#438068,#351503,#351504); #326641=AXIS2_PLACEMENT_3D('',#438071,#351506,#351507); #326642=AXIS2_PLACEMENT_3D('',#438072,#351508,#351509); #326643=AXIS2_PLACEMENT_3D('',#438074,#351510,#351511); #326644=AXIS2_PLACEMENT_3D('',#438077,#351513,#351514); #326645=AXIS2_PLACEMENT_3D('',#438078,#351515,#351516); #326646=AXIS2_PLACEMENT_3D('',#438080,#351517,#351518); #326647=AXIS2_PLACEMENT_3D('',#438083,#351520,#351521); #326648=AXIS2_PLACEMENT_3D('',#438084,#351522,#351523); #326649=AXIS2_PLACEMENT_3D('',#438086,#351524,#351525); #326650=AXIS2_PLACEMENT_3D('',#438089,#351527,#351528); #326651=AXIS2_PLACEMENT_3D('',#438090,#351529,#351530); #326652=AXIS2_PLACEMENT_3D('',#438092,#351531,#351532); #326653=AXIS2_PLACEMENT_3D('',#438095,#351534,#351535); #326654=AXIS2_PLACEMENT_3D('',#438096,#351536,#351537); #326655=AXIS2_PLACEMENT_3D('',#438098,#351538,#351539); #326656=AXIS2_PLACEMENT_3D('',#438101,#351541,#351542); #326657=AXIS2_PLACEMENT_3D('',#438102,#351543,#351544); #326658=AXIS2_PLACEMENT_3D('',#438104,#351545,#351546); #326659=AXIS2_PLACEMENT_3D('',#438107,#351548,#351549); #326660=AXIS2_PLACEMENT_3D('',#438108,#351550,#351551); #326661=AXIS2_PLACEMENT_3D('',#438110,#351552,#351553); #326662=AXIS2_PLACEMENT_3D('',#438113,#351555,#351556); #326663=AXIS2_PLACEMENT_3D('',#438114,#351557,#351558); #326664=AXIS2_PLACEMENT_3D('',#438116,#351559,#351560); #326665=AXIS2_PLACEMENT_3D('',#438119,#351562,#351563); #326666=AXIS2_PLACEMENT_3D('',#438120,#351564,#351565); #326667=AXIS2_PLACEMENT_3D('',#438122,#351566,#351567); #326668=AXIS2_PLACEMENT_3D('',#438125,#351569,#351570); #326669=AXIS2_PLACEMENT_3D('',#438126,#351571,#351572); #326670=AXIS2_PLACEMENT_3D('',#438128,#351573,#351574); #326671=AXIS2_PLACEMENT_3D('',#438131,#351576,#351577); #326672=AXIS2_PLACEMENT_3D('',#438132,#351578,#351579); #326673=AXIS2_PLACEMENT_3D('',#438134,#351580,#351581); #326674=AXIS2_PLACEMENT_3D('',#438137,#351583,#351584); #326675=AXIS2_PLACEMENT_3D('',#438138,#351585,#351586); #326676=AXIS2_PLACEMENT_3D('',#438140,#351587,#351588); #326677=AXIS2_PLACEMENT_3D('',#438143,#351590,#351591); #326678=AXIS2_PLACEMENT_3D('',#438144,#351592,#351593); #326679=AXIS2_PLACEMENT_3D('',#438146,#351594,#351595); #326680=AXIS2_PLACEMENT_3D('',#438149,#351597,#351598); #326681=AXIS2_PLACEMENT_3D('',#438150,#351599,#351600); #326682=AXIS2_PLACEMENT_3D('',#438152,#351601,#351602); #326683=AXIS2_PLACEMENT_3D('',#438155,#351604,#351605); #326684=AXIS2_PLACEMENT_3D('',#438156,#351606,#351607); #326685=AXIS2_PLACEMENT_3D('',#438158,#351608,#351609); #326686=AXIS2_PLACEMENT_3D('',#438161,#351611,#351612); #326687=AXIS2_PLACEMENT_3D('',#438162,#351613,#351614); #326688=AXIS2_PLACEMENT_3D('',#438164,#351615,#351616); #326689=AXIS2_PLACEMENT_3D('',#438167,#351618,#351619); #326690=AXIS2_PLACEMENT_3D('',#438168,#351620,#351621); #326691=AXIS2_PLACEMENT_3D('',#438170,#351622,#351623); #326692=AXIS2_PLACEMENT_3D('',#438173,#351625,#351626); #326693=AXIS2_PLACEMENT_3D('',#438174,#351627,#351628); #326694=AXIS2_PLACEMENT_3D('',#438176,#351629,#351630); #326695=AXIS2_PLACEMENT_3D('',#438179,#351632,#351633); #326696=AXIS2_PLACEMENT_3D('',#438180,#351634,#351635); #326697=AXIS2_PLACEMENT_3D('',#438182,#351636,#351637); #326698=AXIS2_PLACEMENT_3D('',#438185,#351639,#351640); #326699=AXIS2_PLACEMENT_3D('',#438186,#351641,#351642); #326700=AXIS2_PLACEMENT_3D('',#438188,#351643,#351644); #326701=AXIS2_PLACEMENT_3D('',#438191,#351646,#351647); #326702=AXIS2_PLACEMENT_3D('',#438192,#351648,#351649); #326703=AXIS2_PLACEMENT_3D('',#438194,#351650,#351651); #326704=AXIS2_PLACEMENT_3D('',#438197,#351653,#351654); #326705=AXIS2_PLACEMENT_3D('',#438198,#351655,#351656); #326706=AXIS2_PLACEMENT_3D('',#438200,#351657,#351658); #326707=AXIS2_PLACEMENT_3D('',#438203,#351660,#351661); #326708=AXIS2_PLACEMENT_3D('',#438204,#351662,#351663); #326709=AXIS2_PLACEMENT_3D('',#438206,#351664,#351665); #326710=AXIS2_PLACEMENT_3D('',#438209,#351667,#351668); #326711=AXIS2_PLACEMENT_3D('',#438210,#351669,#351670); #326712=AXIS2_PLACEMENT_3D('',#438212,#351671,#351672); #326713=AXIS2_PLACEMENT_3D('',#438215,#351674,#351675); #326714=AXIS2_PLACEMENT_3D('',#438216,#351676,#351677); #326715=AXIS2_PLACEMENT_3D('',#438218,#351678,#351679); #326716=AXIS2_PLACEMENT_3D('',#438221,#351681,#351682); #326717=AXIS2_PLACEMENT_3D('',#438222,#351683,#351684); #326718=AXIS2_PLACEMENT_3D('',#438224,#351685,#351686); #326719=AXIS2_PLACEMENT_3D('',#438227,#351688,#351689); #326720=AXIS2_PLACEMENT_3D('',#438228,#351690,#351691); #326721=AXIS2_PLACEMENT_3D('',#438230,#351692,#351693); #326722=AXIS2_PLACEMENT_3D('',#438233,#351695,#351696); #326723=AXIS2_PLACEMENT_3D('',#438234,#351697,#351698); #326724=AXIS2_PLACEMENT_3D('',#438236,#351699,#351700); #326725=AXIS2_PLACEMENT_3D('',#438239,#351702,#351703); #326726=AXIS2_PLACEMENT_3D('',#438240,#351704,#351705); #326727=AXIS2_PLACEMENT_3D('',#438242,#351706,#351707); #326728=AXIS2_PLACEMENT_3D('',#438245,#351709,#351710); #326729=AXIS2_PLACEMENT_3D('',#438246,#351711,#351712); #326730=AXIS2_PLACEMENT_3D('',#438248,#351713,#351714); #326731=AXIS2_PLACEMENT_3D('',#438251,#351716,#351717); #326732=AXIS2_PLACEMENT_3D('',#438252,#351718,#351719); #326733=AXIS2_PLACEMENT_3D('',#438254,#351720,#351721); #326734=AXIS2_PLACEMENT_3D('',#438257,#351723,#351724); #326735=AXIS2_PLACEMENT_3D('',#438258,#351725,#351726); #326736=AXIS2_PLACEMENT_3D('',#438260,#351727,#351728); #326737=AXIS2_PLACEMENT_3D('',#438263,#351730,#351731); #326738=AXIS2_PLACEMENT_3D('',#438264,#351732,#351733); #326739=AXIS2_PLACEMENT_3D('',#438266,#351734,#351735); #326740=AXIS2_PLACEMENT_3D('',#438269,#351737,#351738); #326741=AXIS2_PLACEMENT_3D('',#438270,#351739,#351740); #326742=AXIS2_PLACEMENT_3D('',#438272,#351741,#351742); #326743=AXIS2_PLACEMENT_3D('',#438275,#351744,#351745); #326744=AXIS2_PLACEMENT_3D('',#438276,#351746,#351747); #326745=AXIS2_PLACEMENT_3D('',#438278,#351748,#351749); #326746=AXIS2_PLACEMENT_3D('',#438281,#351751,#351752); #326747=AXIS2_PLACEMENT_3D('',#438282,#351753,#351754); #326748=AXIS2_PLACEMENT_3D('',#438284,#351755,#351756); #326749=AXIS2_PLACEMENT_3D('',#438287,#351758,#351759); #326750=AXIS2_PLACEMENT_3D('',#438288,#351760,#351761); #326751=AXIS2_PLACEMENT_3D('',#438290,#351762,#351763); #326752=AXIS2_PLACEMENT_3D('',#438293,#351765,#351766); #326753=AXIS2_PLACEMENT_3D('',#438294,#351767,#351768); #326754=AXIS2_PLACEMENT_3D('',#438296,#351769,#351770); #326755=AXIS2_PLACEMENT_3D('',#438299,#351772,#351773); #326756=AXIS2_PLACEMENT_3D('',#438300,#351774,#351775); #326757=AXIS2_PLACEMENT_3D('',#438302,#351776,#351777); #326758=AXIS2_PLACEMENT_3D('',#438305,#351779,#351780); #326759=AXIS2_PLACEMENT_3D('',#438306,#351781,#351782); #326760=AXIS2_PLACEMENT_3D('',#438308,#351783,#351784); #326761=AXIS2_PLACEMENT_3D('',#438311,#351786,#351787); #326762=AXIS2_PLACEMENT_3D('',#438312,#351788,#351789); #326763=AXIS2_PLACEMENT_3D('',#438314,#351790,#351791); #326764=AXIS2_PLACEMENT_3D('',#438317,#351793,#351794); #326765=AXIS2_PLACEMENT_3D('',#438318,#351795,#351796); #326766=AXIS2_PLACEMENT_3D('',#438320,#351797,#351798); #326767=AXIS2_PLACEMENT_3D('',#438323,#351800,#351801); #326768=AXIS2_PLACEMENT_3D('',#438324,#351802,#351803); #326769=AXIS2_PLACEMENT_3D('',#438326,#351804,#351805); #326770=AXIS2_PLACEMENT_3D('',#438329,#351807,#351808); #326771=AXIS2_PLACEMENT_3D('',#438330,#351809,#351810); #326772=AXIS2_PLACEMENT_3D('',#438332,#351811,#351812); #326773=AXIS2_PLACEMENT_3D('',#438335,#351814,#351815); #326774=AXIS2_PLACEMENT_3D('',#438336,#351816,#351817); #326775=AXIS2_PLACEMENT_3D('',#438338,#351818,#351819); #326776=AXIS2_PLACEMENT_3D('',#438341,#351821,#351822); #326777=AXIS2_PLACEMENT_3D('',#438342,#351823,#351824); #326778=AXIS2_PLACEMENT_3D('',#438344,#351825,#351826); #326779=AXIS2_PLACEMENT_3D('',#438347,#351828,#351829); #326780=AXIS2_PLACEMENT_3D('',#438348,#351830,#351831); #326781=AXIS2_PLACEMENT_3D('',#438350,#351832,#351833); #326782=AXIS2_PLACEMENT_3D('',#438353,#351835,#351836); #326783=AXIS2_PLACEMENT_3D('',#438354,#351837,#351838); #326784=AXIS2_PLACEMENT_3D('',#438356,#351839,#351840); #326785=AXIS2_PLACEMENT_3D('',#438359,#351842,#351843); #326786=AXIS2_PLACEMENT_3D('',#438360,#351844,#351845); #326787=AXIS2_PLACEMENT_3D('',#438362,#351846,#351847); #326788=AXIS2_PLACEMENT_3D('',#438365,#351849,#351850); #326789=AXIS2_PLACEMENT_3D('',#438366,#351851,#351852); #326790=AXIS2_PLACEMENT_3D('',#438368,#351853,#351854); #326791=AXIS2_PLACEMENT_3D('',#438371,#351856,#351857); #326792=AXIS2_PLACEMENT_3D('',#438372,#351858,#351859); #326793=AXIS2_PLACEMENT_3D('',#438374,#351860,#351861); #326794=AXIS2_PLACEMENT_3D('',#438377,#351863,#351864); #326795=AXIS2_PLACEMENT_3D('',#438378,#351865,#351866); #326796=AXIS2_PLACEMENT_3D('',#438380,#351867,#351868); #326797=AXIS2_PLACEMENT_3D('',#438383,#351870,#351871); #326798=AXIS2_PLACEMENT_3D('',#438384,#351872,#351873); #326799=AXIS2_PLACEMENT_3D('',#438386,#351874,#351875); #326800=AXIS2_PLACEMENT_3D('',#438389,#351877,#351878); #326801=AXIS2_PLACEMENT_3D('',#438390,#351879,#351880); #326802=AXIS2_PLACEMENT_3D('',#438392,#351881,#351882); #326803=AXIS2_PLACEMENT_3D('',#438395,#351884,#351885); #326804=AXIS2_PLACEMENT_3D('',#438396,#351886,#351887); #326805=AXIS2_PLACEMENT_3D('',#438398,#351888,#351889); #326806=AXIS2_PLACEMENT_3D('',#438401,#351891,#351892); #326807=AXIS2_PLACEMENT_3D('',#438402,#351893,#351894); #326808=AXIS2_PLACEMENT_3D('',#438404,#351895,#351896); #326809=AXIS2_PLACEMENT_3D('',#438407,#351898,#351899); #326810=AXIS2_PLACEMENT_3D('',#438408,#351900,#351901); #326811=AXIS2_PLACEMENT_3D('',#438410,#351902,#351903); #326812=AXIS2_PLACEMENT_3D('',#438413,#351905,#351906); #326813=AXIS2_PLACEMENT_3D('',#438414,#351907,#351908); #326814=AXIS2_PLACEMENT_3D('',#438416,#351909,#351910); #326815=AXIS2_PLACEMENT_3D('',#438419,#351912,#351913); #326816=AXIS2_PLACEMENT_3D('',#438420,#351914,#351915); #326817=AXIS2_PLACEMENT_3D('',#438422,#351916,#351917); #326818=AXIS2_PLACEMENT_3D('',#438425,#351919,#351920); #326819=AXIS2_PLACEMENT_3D('',#438426,#351921,#351922); #326820=AXIS2_PLACEMENT_3D('',#438428,#351923,#351924); #326821=AXIS2_PLACEMENT_3D('',#438431,#351926,#351927); #326822=AXIS2_PLACEMENT_3D('',#438432,#351928,#351929); #326823=AXIS2_PLACEMENT_3D('',#438434,#351930,#351931); #326824=AXIS2_PLACEMENT_3D('',#438437,#351933,#351934); #326825=AXIS2_PLACEMENT_3D('',#438438,#351935,#351936); #326826=AXIS2_PLACEMENT_3D('',#438440,#351937,#351938); #326827=AXIS2_PLACEMENT_3D('',#438443,#351940,#351941); #326828=AXIS2_PLACEMENT_3D('',#438444,#351942,#351943); #326829=AXIS2_PLACEMENT_3D('',#438446,#351944,#351945); #326830=AXIS2_PLACEMENT_3D('',#438449,#351947,#351948); #326831=AXIS2_PLACEMENT_3D('',#438450,#351949,#351950); #326832=AXIS2_PLACEMENT_3D('',#438452,#351951,#351952); #326833=AXIS2_PLACEMENT_3D('',#438455,#351954,#351955); #326834=AXIS2_PLACEMENT_3D('',#438456,#351956,#351957); #326835=AXIS2_PLACEMENT_3D('',#438458,#351958,#351959); #326836=AXIS2_PLACEMENT_3D('',#438461,#351961,#351962); #326837=AXIS2_PLACEMENT_3D('',#438462,#351963,#351964); #326838=AXIS2_PLACEMENT_3D('',#438464,#351965,#351966); #326839=AXIS2_PLACEMENT_3D('',#438467,#351968,#351969); #326840=AXIS2_PLACEMENT_3D('',#438468,#351970,#351971); #326841=AXIS2_PLACEMENT_3D('',#438470,#351972,#351973); #326842=AXIS2_PLACEMENT_3D('',#438473,#351975,#351976); #326843=AXIS2_PLACEMENT_3D('',#438474,#351977,#351978); #326844=AXIS2_PLACEMENT_3D('',#438476,#351979,#351980); #326845=AXIS2_PLACEMENT_3D('',#438479,#351982,#351983); #326846=AXIS2_PLACEMENT_3D('',#438480,#351984,#351985); #326847=AXIS2_PLACEMENT_3D('',#438482,#351986,#351987); #326848=AXIS2_PLACEMENT_3D('',#438485,#351989,#351990); #326849=AXIS2_PLACEMENT_3D('',#438486,#351991,#351992); #326850=AXIS2_PLACEMENT_3D('',#438488,#351993,#351994); #326851=AXIS2_PLACEMENT_3D('',#438491,#351996,#351997); #326852=AXIS2_PLACEMENT_3D('',#438492,#351998,#351999); #326853=AXIS2_PLACEMENT_3D('',#438494,#352000,#352001); #326854=AXIS2_PLACEMENT_3D('',#438497,#352003,#352004); #326855=AXIS2_PLACEMENT_3D('',#438498,#352005,#352006); #326856=AXIS2_PLACEMENT_3D('',#438500,#352007,#352008); #326857=AXIS2_PLACEMENT_3D('',#438503,#352010,#352011); #326858=AXIS2_PLACEMENT_3D('',#438504,#352012,#352013); #326859=AXIS2_PLACEMENT_3D('',#438506,#352014,#352015); #326860=AXIS2_PLACEMENT_3D('',#438509,#352017,#352018); #326861=AXIS2_PLACEMENT_3D('',#438510,#352019,#352020); #326862=AXIS2_PLACEMENT_3D('',#438512,#352021,#352022); #326863=AXIS2_PLACEMENT_3D('',#438515,#352024,#352025); #326864=AXIS2_PLACEMENT_3D('',#438516,#352026,#352027); #326865=AXIS2_PLACEMENT_3D('',#438518,#352028,#352029); #326866=AXIS2_PLACEMENT_3D('',#438521,#352031,#352032); #326867=AXIS2_PLACEMENT_3D('',#438522,#352033,#352034); #326868=AXIS2_PLACEMENT_3D('',#438524,#352035,#352036); #326869=AXIS2_PLACEMENT_3D('',#438527,#352038,#352039); #326870=AXIS2_PLACEMENT_3D('',#438528,#352040,#352041); #326871=AXIS2_PLACEMENT_3D('',#438530,#352042,#352043); #326872=AXIS2_PLACEMENT_3D('',#438533,#352045,#352046); #326873=AXIS2_PLACEMENT_3D('',#438534,#352047,#352048); #326874=AXIS2_PLACEMENT_3D('',#438536,#352049,#352050); #326875=AXIS2_PLACEMENT_3D('',#438539,#352052,#352053); #326876=AXIS2_PLACEMENT_3D('',#438540,#352054,#352055); #326877=AXIS2_PLACEMENT_3D('',#438542,#352056,#352057); #326878=AXIS2_PLACEMENT_3D('',#438545,#352059,#352060); #326879=AXIS2_PLACEMENT_3D('',#438546,#352061,#352062); #326880=AXIS2_PLACEMENT_3D('',#438548,#352063,#352064); #326881=AXIS2_PLACEMENT_3D('',#438551,#352066,#352067); #326882=AXIS2_PLACEMENT_3D('',#438552,#352068,#352069); #326883=AXIS2_PLACEMENT_3D('',#438554,#352070,#352071); #326884=AXIS2_PLACEMENT_3D('',#438557,#352073,#352074); #326885=AXIS2_PLACEMENT_3D('',#438558,#352075,#352076); #326886=AXIS2_PLACEMENT_3D('',#438560,#352077,#352078); #326887=AXIS2_PLACEMENT_3D('',#438563,#352080,#352081); #326888=AXIS2_PLACEMENT_3D('',#438564,#352082,#352083); #326889=AXIS2_PLACEMENT_3D('',#438566,#352084,#352085); #326890=AXIS2_PLACEMENT_3D('',#438569,#352087,#352088); #326891=AXIS2_PLACEMENT_3D('',#438570,#352089,#352090); #326892=AXIS2_PLACEMENT_3D('',#438572,#352091,#352092); #326893=AXIS2_PLACEMENT_3D('',#438575,#352094,#352095); #326894=AXIS2_PLACEMENT_3D('',#438576,#352096,#352097); #326895=AXIS2_PLACEMENT_3D('',#438578,#352098,#352099); #326896=AXIS2_PLACEMENT_3D('',#438581,#352101,#352102); #326897=AXIS2_PLACEMENT_3D('',#438582,#352103,#352104); #326898=AXIS2_PLACEMENT_3D('',#438584,#352105,#352106); #326899=AXIS2_PLACEMENT_3D('',#438587,#352108,#352109); #326900=AXIS2_PLACEMENT_3D('',#438588,#352110,#352111); #326901=AXIS2_PLACEMENT_3D('',#438590,#352112,#352113); #326902=AXIS2_PLACEMENT_3D('',#438593,#352115,#352116); #326903=AXIS2_PLACEMENT_3D('',#438594,#352117,#352118); #326904=AXIS2_PLACEMENT_3D('',#438596,#352119,#352120); #326905=AXIS2_PLACEMENT_3D('',#438599,#352122,#352123); #326906=AXIS2_PLACEMENT_3D('',#438600,#352124,#352125); #326907=AXIS2_PLACEMENT_3D('',#438602,#352126,#352127); #326908=AXIS2_PLACEMENT_3D('',#438605,#352129,#352130); #326909=AXIS2_PLACEMENT_3D('',#438606,#352131,#352132); #326910=AXIS2_PLACEMENT_3D('',#438608,#352133,#352134); #326911=AXIS2_PLACEMENT_3D('',#438611,#352136,#352137); #326912=AXIS2_PLACEMENT_3D('',#438612,#352138,#352139); #326913=AXIS2_PLACEMENT_3D('',#438614,#352140,#352141); #326914=AXIS2_PLACEMENT_3D('',#438617,#352143,#352144); #326915=AXIS2_PLACEMENT_3D('',#438618,#352145,#352146); #326916=AXIS2_PLACEMENT_3D('',#438620,#352147,#352148); #326917=AXIS2_PLACEMENT_3D('',#438623,#352150,#352151); #326918=AXIS2_PLACEMENT_3D('',#438624,#352152,#352153); #326919=AXIS2_PLACEMENT_3D('',#438626,#352154,#352155); #326920=AXIS2_PLACEMENT_3D('',#438629,#352157,#352158); #326921=AXIS2_PLACEMENT_3D('',#438630,#352159,#352160); #326922=AXIS2_PLACEMENT_3D('',#438632,#352161,#352162); #326923=AXIS2_PLACEMENT_3D('',#438635,#352164,#352165); #326924=AXIS2_PLACEMENT_3D('',#438636,#352166,#352167); #326925=AXIS2_PLACEMENT_3D('',#438638,#352168,#352169); #326926=AXIS2_PLACEMENT_3D('',#438641,#352171,#352172); #326927=AXIS2_PLACEMENT_3D('',#438642,#352173,#352174); #326928=AXIS2_PLACEMENT_3D('',#438644,#352175,#352176); #326929=AXIS2_PLACEMENT_3D('',#438647,#352178,#352179); #326930=AXIS2_PLACEMENT_3D('',#438648,#352180,#352181); #326931=AXIS2_PLACEMENT_3D('',#438650,#352182,#352183); #326932=AXIS2_PLACEMENT_3D('',#438653,#352185,#352186); #326933=AXIS2_PLACEMENT_3D('',#438654,#352187,#352188); #326934=AXIS2_PLACEMENT_3D('',#438656,#352189,#352190); #326935=AXIS2_PLACEMENT_3D('',#438659,#352192,#352193); #326936=AXIS2_PLACEMENT_3D('',#438660,#352194,#352195); #326937=AXIS2_PLACEMENT_3D('',#438662,#352196,#352197); #326938=AXIS2_PLACEMENT_3D('',#438665,#352199,#352200); #326939=AXIS2_PLACEMENT_3D('',#438666,#352201,#352202); #326940=AXIS2_PLACEMENT_3D('',#438668,#352203,#352204); #326941=AXIS2_PLACEMENT_3D('',#438671,#352206,#352207); #326942=AXIS2_PLACEMENT_3D('',#438672,#352208,#352209); #326943=AXIS2_PLACEMENT_3D('',#438674,#352210,#352211); #326944=AXIS2_PLACEMENT_3D('',#438677,#352213,#352214); #326945=AXIS2_PLACEMENT_3D('',#438678,#352215,#352216); #326946=AXIS2_PLACEMENT_3D('',#438680,#352217,#352218); #326947=AXIS2_PLACEMENT_3D('',#438683,#352220,#352221); #326948=AXIS2_PLACEMENT_3D('',#438684,#352222,#352223); #326949=AXIS2_PLACEMENT_3D('',#438686,#352224,#352225); #326950=AXIS2_PLACEMENT_3D('',#438689,#352227,#352228); #326951=AXIS2_PLACEMENT_3D('',#438690,#352229,#352230); #326952=AXIS2_PLACEMENT_3D('',#438692,#352231,#352232); #326953=AXIS2_PLACEMENT_3D('',#438695,#352234,#352235); #326954=AXIS2_PLACEMENT_3D('',#438696,#352236,#352237); #326955=AXIS2_PLACEMENT_3D('',#438698,#352238,#352239); #326956=AXIS2_PLACEMENT_3D('',#438701,#352241,#352242); #326957=AXIS2_PLACEMENT_3D('',#438702,#352243,#352244); #326958=AXIS2_PLACEMENT_3D('',#438704,#352245,#352246); #326959=AXIS2_PLACEMENT_3D('',#438707,#352248,#352249); #326960=AXIS2_PLACEMENT_3D('',#438708,#352250,#352251); #326961=AXIS2_PLACEMENT_3D('',#438710,#352252,#352253); #326962=AXIS2_PLACEMENT_3D('',#438713,#352255,#352256); #326963=AXIS2_PLACEMENT_3D('',#438714,#352257,#352258); #326964=AXIS2_PLACEMENT_3D('',#438716,#352259,#352260); #326965=AXIS2_PLACEMENT_3D('',#438719,#352262,#352263); #326966=AXIS2_PLACEMENT_3D('',#438720,#352264,#352265); #326967=AXIS2_PLACEMENT_3D('',#438722,#352266,#352267); #326968=AXIS2_PLACEMENT_3D('',#438725,#352269,#352270); #326969=AXIS2_PLACEMENT_3D('',#438726,#352271,#352272); #326970=AXIS2_PLACEMENT_3D('',#438728,#352273,#352274); #326971=AXIS2_PLACEMENT_3D('',#438731,#352276,#352277); #326972=AXIS2_PLACEMENT_3D('',#438732,#352278,#352279); #326973=AXIS2_PLACEMENT_3D('',#438734,#352280,#352281); #326974=AXIS2_PLACEMENT_3D('',#438737,#352283,#352284); #326975=AXIS2_PLACEMENT_3D('',#438738,#352285,#352286); #326976=AXIS2_PLACEMENT_3D('',#438740,#352287,#352288); #326977=AXIS2_PLACEMENT_3D('',#438743,#352290,#352291); #326978=AXIS2_PLACEMENT_3D('',#438744,#352292,#352293); #326979=AXIS2_PLACEMENT_3D('',#438746,#352294,#352295); #326980=AXIS2_PLACEMENT_3D('',#438749,#352297,#352298); #326981=AXIS2_PLACEMENT_3D('',#438750,#352299,#352300); #326982=AXIS2_PLACEMENT_3D('',#438752,#352301,#352302); #326983=AXIS2_PLACEMENT_3D('',#438755,#352304,#352305); #326984=AXIS2_PLACEMENT_3D('',#438756,#352306,#352307); #326985=AXIS2_PLACEMENT_3D('',#438758,#352308,#352309); #326986=AXIS2_PLACEMENT_3D('',#438761,#352311,#352312); #326987=AXIS2_PLACEMENT_3D('',#438762,#352313,#352314); #326988=AXIS2_PLACEMENT_3D('',#438764,#352315,#352316); #326989=AXIS2_PLACEMENT_3D('',#438767,#352318,#352319); #326990=AXIS2_PLACEMENT_3D('',#438768,#352320,#352321); #326991=AXIS2_PLACEMENT_3D('',#438770,#352322,#352323); #326992=AXIS2_PLACEMENT_3D('',#438773,#352325,#352326); #326993=AXIS2_PLACEMENT_3D('',#438774,#352327,#352328); #326994=AXIS2_PLACEMENT_3D('',#438776,#352329,#352330); #326995=AXIS2_PLACEMENT_3D('',#438779,#352332,#352333); #326996=AXIS2_PLACEMENT_3D('',#438780,#352334,#352335); #326997=AXIS2_PLACEMENT_3D('',#438782,#352336,#352337); #326998=AXIS2_PLACEMENT_3D('',#438785,#352339,#352340); #326999=AXIS2_PLACEMENT_3D('',#438786,#352341,#352342); #327000=AXIS2_PLACEMENT_3D('',#438788,#352343,#352344); #327001=AXIS2_PLACEMENT_3D('',#438791,#352346,#352347); #327002=AXIS2_PLACEMENT_3D('',#438792,#352348,#352349); #327003=AXIS2_PLACEMENT_3D('',#438794,#352350,#352351); #327004=AXIS2_PLACEMENT_3D('',#438797,#352353,#352354); #327005=AXIS2_PLACEMENT_3D('',#438798,#352355,#352356); #327006=AXIS2_PLACEMENT_3D('',#438800,#352357,#352358); #327007=AXIS2_PLACEMENT_3D('',#438803,#352360,#352361); #327008=AXIS2_PLACEMENT_3D('',#438804,#352362,#352363); #327009=AXIS2_PLACEMENT_3D('',#438806,#352364,#352365); #327010=AXIS2_PLACEMENT_3D('',#438809,#352367,#352368); #327011=AXIS2_PLACEMENT_3D('',#438810,#352369,#352370); #327012=AXIS2_PLACEMENT_3D('',#438812,#352371,#352372); #327013=AXIS2_PLACEMENT_3D('',#438815,#352374,#352375); #327014=AXIS2_PLACEMENT_3D('',#438816,#352376,#352377); #327015=AXIS2_PLACEMENT_3D('',#438818,#352378,#352379); #327016=AXIS2_PLACEMENT_3D('',#438821,#352381,#352382); #327017=AXIS2_PLACEMENT_3D('',#438822,#352383,#352384); #327018=AXIS2_PLACEMENT_3D('',#438824,#352385,#352386); #327019=AXIS2_PLACEMENT_3D('',#438827,#352388,#352389); #327020=AXIS2_PLACEMENT_3D('',#438828,#352390,#352391); #327021=AXIS2_PLACEMENT_3D('',#438830,#352392,#352393); #327022=AXIS2_PLACEMENT_3D('',#438833,#352395,#352396); #327023=AXIS2_PLACEMENT_3D('',#438834,#352397,#352398); #327024=AXIS2_PLACEMENT_3D('',#438836,#352399,#352400); #327025=AXIS2_PLACEMENT_3D('',#438839,#352402,#352403); #327026=AXIS2_PLACEMENT_3D('',#438840,#352404,#352405); #327027=AXIS2_PLACEMENT_3D('',#438842,#352406,#352407); #327028=AXIS2_PLACEMENT_3D('',#438845,#352409,#352410); #327029=AXIS2_PLACEMENT_3D('',#438846,#352411,#352412); #327030=AXIS2_PLACEMENT_3D('',#438848,#352413,#352414); #327031=AXIS2_PLACEMENT_3D('',#438851,#352416,#352417); #327032=AXIS2_PLACEMENT_3D('',#438852,#352418,#352419); #327033=AXIS2_PLACEMENT_3D('',#438854,#352420,#352421); #327034=AXIS2_PLACEMENT_3D('',#438857,#352423,#352424); #327035=AXIS2_PLACEMENT_3D('',#438858,#352425,#352426); #327036=AXIS2_PLACEMENT_3D('',#438860,#352427,#352428); #327037=AXIS2_PLACEMENT_3D('',#438863,#352430,#352431); #327038=AXIS2_PLACEMENT_3D('',#438864,#352432,#352433); #327039=AXIS2_PLACEMENT_3D('',#438866,#352434,#352435); #327040=AXIS2_PLACEMENT_3D('',#438869,#352437,#352438); #327041=AXIS2_PLACEMENT_3D('',#438870,#352439,#352440); #327042=AXIS2_PLACEMENT_3D('',#438872,#352441,#352442); #327043=AXIS2_PLACEMENT_3D('',#438875,#352444,#352445); #327044=AXIS2_PLACEMENT_3D('',#438876,#352446,#352447); #327045=AXIS2_PLACEMENT_3D('',#438878,#352448,#352449); #327046=AXIS2_PLACEMENT_3D('',#438881,#352451,#352452); #327047=AXIS2_PLACEMENT_3D('',#438882,#352453,#352454); #327048=AXIS2_PLACEMENT_3D('',#438884,#352455,#352456); #327049=AXIS2_PLACEMENT_3D('',#438887,#352458,#352459); #327050=AXIS2_PLACEMENT_3D('',#438888,#352460,#352461); #327051=AXIS2_PLACEMENT_3D('',#438890,#352462,#352463); #327052=AXIS2_PLACEMENT_3D('',#438893,#352465,#352466); #327053=AXIS2_PLACEMENT_3D('',#438894,#352467,#352468); #327054=AXIS2_PLACEMENT_3D('',#438896,#352469,#352470); #327055=AXIS2_PLACEMENT_3D('',#438899,#352472,#352473); #327056=AXIS2_PLACEMENT_3D('',#438900,#352474,#352475); #327057=AXIS2_PLACEMENT_3D('',#438902,#352476,#352477); #327058=AXIS2_PLACEMENT_3D('',#438905,#352479,#352480); #327059=AXIS2_PLACEMENT_3D('',#438906,#352481,#352482); #327060=AXIS2_PLACEMENT_3D('',#438908,#352483,#352484); #327061=AXIS2_PLACEMENT_3D('',#438911,#352486,#352487); #327062=AXIS2_PLACEMENT_3D('',#438912,#352488,#352489); #327063=AXIS2_PLACEMENT_3D('',#438914,#352490,#352491); #327064=AXIS2_PLACEMENT_3D('',#438917,#352493,#352494); #327065=AXIS2_PLACEMENT_3D('',#438918,#352495,#352496); #327066=AXIS2_PLACEMENT_3D('',#438920,#352497,#352498); #327067=AXIS2_PLACEMENT_3D('',#438923,#352500,#352501); #327068=AXIS2_PLACEMENT_3D('',#438924,#352502,#352503); #327069=AXIS2_PLACEMENT_3D('',#438926,#352504,#352505); #327070=AXIS2_PLACEMENT_3D('',#438929,#352507,#352508); #327071=AXIS2_PLACEMENT_3D('',#438930,#352509,#352510); #327072=AXIS2_PLACEMENT_3D('',#438932,#352511,#352512); #327073=AXIS2_PLACEMENT_3D('',#438935,#352514,#352515); #327074=AXIS2_PLACEMENT_3D('',#438936,#352516,#352517); #327075=AXIS2_PLACEMENT_3D('',#438938,#352518,#352519); #327076=AXIS2_PLACEMENT_3D('',#438941,#352521,#352522); #327077=AXIS2_PLACEMENT_3D('',#438942,#352523,#352524); #327078=AXIS2_PLACEMENT_3D('',#438944,#352525,#352526); #327079=AXIS2_PLACEMENT_3D('',#438947,#352528,#352529); #327080=AXIS2_PLACEMENT_3D('',#438948,#352530,#352531); #327081=AXIS2_PLACEMENT_3D('',#438957,#352536,#352537); #327082=AXIS2_PLACEMENT_3D('',#438959,#352538,#352539); #327083=AXIS2_PLACEMENT_3D('',#438961,#352540,#352541); #327084=AXIS2_PLACEMENT_3D('',#438963,#352543,#352544); #327085=AXIS2_PLACEMENT_3D('',#438969,#352548,#352549); #327086=AXIS2_PLACEMENT_3D('',#438970,#352550,#352551); #327087=AXIS2_PLACEMENT_3D('',#438971,#352552,#352553); #327088=AXIS2_PLACEMENT_3D('',#438972,#352554,#352555); #327089=AXIS2_PLACEMENT_3D('',#438974,#352556,#352557); #327090=AXIS2_PLACEMENT_3D('',#438977,#352559,#352560); #327091=AXIS2_PLACEMENT_3D('',#438978,#352561,#352562); #327092=AXIS2_PLACEMENT_3D('',#438987,#352567,#352568); #327093=AXIS2_PLACEMENT_3D('',#438989,#352569,#352570); #327094=AXIS2_PLACEMENT_3D('',#438991,#352571,#352572); #327095=AXIS2_PLACEMENT_3D('',#438993,#352574,#352575); #327096=AXIS2_PLACEMENT_3D('',#438999,#352579,#352580); #327097=AXIS2_PLACEMENT_3D('',#439000,#352581,#352582); #327098=AXIS2_PLACEMENT_3D('',#439001,#352583,#352584); #327099=AXIS2_PLACEMENT_3D('',#439002,#352585,#352586); #327100=AXIS2_PLACEMENT_3D('',#439004,#352587,#352588); #327101=AXIS2_PLACEMENT_3D('',#439007,#352590,#352591); #327102=AXIS2_PLACEMENT_3D('',#439008,#352592,#352593); #327103=AXIS2_PLACEMENT_3D('',#439010,#352594,#352595); #327104=AXIS2_PLACEMENT_3D('',#439013,#352597,#352598); #327105=AXIS2_PLACEMENT_3D('',#439014,#352599,#352600); #327106=AXIS2_PLACEMENT_3D('',#439016,#352601,#352602); #327107=AXIS2_PLACEMENT_3D('',#439019,#352604,#352605); #327108=AXIS2_PLACEMENT_3D('',#439020,#352606,#352607); #327109=AXIS2_PLACEMENT_3D('',#439029,#352612,#352613); #327110=AXIS2_PLACEMENT_3D('',#439031,#352614,#352615); #327111=AXIS2_PLACEMENT_3D('',#439033,#352616,#352617); #327112=AXIS2_PLACEMENT_3D('',#439035,#352619,#352620); #327113=AXIS2_PLACEMENT_3D('',#439041,#352624,#352625); #327114=AXIS2_PLACEMENT_3D('',#439042,#352626,#352627); #327115=AXIS2_PLACEMENT_3D('',#439043,#352628,#352629); #327116=AXIS2_PLACEMENT_3D('',#439044,#352630,#352631); #327117=AXIS2_PLACEMENT_3D('',#439046,#352632,#352633); #327118=AXIS2_PLACEMENT_3D('',#439049,#352635,#352636); #327119=AXIS2_PLACEMENT_3D('',#439050,#352637,#352638); #327120=AXIS2_PLACEMENT_3D('',#439052,#352639,#352640); #327121=AXIS2_PLACEMENT_3D('',#439055,#352642,#352643); #327122=AXIS2_PLACEMENT_3D('',#439056,#352644,#352645); #327123=AXIS2_PLACEMENT_3D('',#439058,#352646,#352647); #327124=AXIS2_PLACEMENT_3D('',#439061,#352649,#352650); #327125=AXIS2_PLACEMENT_3D('',#439062,#352651,#352652); #327126=AXIS2_PLACEMENT_3D('',#439064,#352653,#352654); #327127=AXIS2_PLACEMENT_3D('',#439067,#352656,#352657); #327128=AXIS2_PLACEMENT_3D('',#439068,#352658,#352659); #327129=AXIS2_PLACEMENT_3D('',#439070,#352660,#352661); #327130=AXIS2_PLACEMENT_3D('',#439073,#352663,#352664); #327131=AXIS2_PLACEMENT_3D('',#439074,#352665,#352666); #327132=AXIS2_PLACEMENT_3D('',#439076,#352667,#352668); #327133=AXIS2_PLACEMENT_3D('',#439079,#352670,#352671); #327134=AXIS2_PLACEMENT_3D('',#439080,#352672,#352673); #327135=AXIS2_PLACEMENT_3D('',#439082,#352674,#352675); #327136=AXIS2_PLACEMENT_3D('',#439085,#352677,#352678); #327137=AXIS2_PLACEMENT_3D('',#439086,#352679,#352680); #327138=AXIS2_PLACEMENT_3D('',#439088,#352681,#352682); #327139=AXIS2_PLACEMENT_3D('',#439091,#352684,#352685); #327140=AXIS2_PLACEMENT_3D('',#439092,#352686,#352687); #327141=AXIS2_PLACEMENT_3D('',#439094,#352688,#352689); #327142=AXIS2_PLACEMENT_3D('',#439097,#352691,#352692); #327143=AXIS2_PLACEMENT_3D('',#439098,#352693,#352694); #327144=AXIS2_PLACEMENT_3D('',#439100,#352695,#352696); #327145=AXIS2_PLACEMENT_3D('',#439103,#352698,#352699); #327146=AXIS2_PLACEMENT_3D('',#439104,#352700,#352701); #327147=AXIS2_PLACEMENT_3D('',#439113,#352706,#352707); #327148=AXIS2_PLACEMENT_3D('',#439115,#352708,#352709); #327149=AXIS2_PLACEMENT_3D('',#439117,#352710,#352711); #327150=AXIS2_PLACEMENT_3D('',#439119,#352713,#352714); #327151=AXIS2_PLACEMENT_3D('',#439125,#352718,#352719); #327152=AXIS2_PLACEMENT_3D('',#439126,#352720,#352721); #327153=AXIS2_PLACEMENT_3D('',#439127,#352722,#352723); #327154=AXIS2_PLACEMENT_3D('',#439128,#352724,#352725); #327155=AXIS2_PLACEMENT_3D('',#439130,#352726,#352727); #327156=AXIS2_PLACEMENT_3D('',#439133,#352729,#352730); #327157=AXIS2_PLACEMENT_3D('',#439134,#352731,#352732); #327158=AXIS2_PLACEMENT_3D('',#439143,#352737,#352738); #327159=AXIS2_PLACEMENT_3D('',#439145,#352739,#352740); #327160=AXIS2_PLACEMENT_3D('',#439147,#352741,#352742); #327161=AXIS2_PLACEMENT_3D('',#439149,#352744,#352745); #327162=AXIS2_PLACEMENT_3D('',#439155,#352749,#352750); #327163=AXIS2_PLACEMENT_3D('',#439156,#352751,#352752); #327164=AXIS2_PLACEMENT_3D('',#439157,#352753,#352754); #327165=AXIS2_PLACEMENT_3D('',#439158,#352755,#352756); #327166=AXIS2_PLACEMENT_3D('',#439160,#352757,#352758); #327167=AXIS2_PLACEMENT_3D('',#439163,#352760,#352761); #327168=AXIS2_PLACEMENT_3D('',#439164,#352762,#352763); #327169=AXIS2_PLACEMENT_3D('',#439173,#352768,#352769); #327170=AXIS2_PLACEMENT_3D('',#439175,#352770,#352771); #327171=AXIS2_PLACEMENT_3D('',#439177,#352772,#352773); #327172=AXIS2_PLACEMENT_3D('',#439179,#352775,#352776); #327173=AXIS2_PLACEMENT_3D('',#439185,#352780,#352781); #327174=AXIS2_PLACEMENT_3D('',#439186,#352782,#352783); #327175=AXIS2_PLACEMENT_3D('',#439187,#352784,#352785); #327176=AXIS2_PLACEMENT_3D('',#439188,#352786,#352787); #327177=AXIS2_PLACEMENT_3D('',#439190,#352788,#352789); #327178=AXIS2_PLACEMENT_3D('',#439193,#352791,#352792); #327179=AXIS2_PLACEMENT_3D('',#439194,#352793,#352794); #327180=AXIS2_PLACEMENT_3D('',#439196,#352795,#352796); #327181=AXIS2_PLACEMENT_3D('',#439199,#352798,#352799); #327182=AXIS2_PLACEMENT_3D('',#439200,#352800,#352801); #327183=AXIS2_PLACEMENT_3D('',#439202,#352802,#352803); #327184=AXIS2_PLACEMENT_3D('',#439205,#352805,#352806); #327185=AXIS2_PLACEMENT_3D('',#439206,#352807,#352808); #327186=AXIS2_PLACEMENT_3D('',#439208,#352809,#352810); #327187=AXIS2_PLACEMENT_3D('',#439211,#352812,#352813); #327188=AXIS2_PLACEMENT_3D('',#439212,#352814,#352815); #327189=AXIS2_PLACEMENT_3D('',#439214,#352816,#352817); #327190=AXIS2_PLACEMENT_3D('',#439217,#352819,#352820); #327191=AXIS2_PLACEMENT_3D('',#439218,#352821,#352822); #327192=AXIS2_PLACEMENT_3D('',#439220,#352823,#352824); #327193=AXIS2_PLACEMENT_3D('',#439223,#352826,#352827); #327194=AXIS2_PLACEMENT_3D('',#439224,#352828,#352829); #327195=AXIS2_PLACEMENT_3D('',#439226,#352830,#352831); #327196=AXIS2_PLACEMENT_3D('',#439229,#352833,#352834); #327197=AXIS2_PLACEMENT_3D('',#439230,#352835,#352836); #327198=AXIS2_PLACEMENT_3D('',#439232,#352837,#352838); #327199=AXIS2_PLACEMENT_3D('',#439235,#352840,#352841); #327200=AXIS2_PLACEMENT_3D('',#439236,#352842,#352843); #327201=AXIS2_PLACEMENT_3D('',#439238,#352844,#352845); #327202=AXIS2_PLACEMENT_3D('',#439241,#352847,#352848); #327203=AXIS2_PLACEMENT_3D('',#439242,#352849,#352850); #327204=AXIS2_PLACEMENT_3D('',#439244,#352851,#352852); #327205=AXIS2_PLACEMENT_3D('',#439247,#352854,#352855); #327206=AXIS2_PLACEMENT_3D('',#439248,#352856,#352857); #327207=AXIS2_PLACEMENT_3D('',#439250,#352858,#352859); #327208=AXIS2_PLACEMENT_3D('',#439253,#352861,#352862); #327209=AXIS2_PLACEMENT_3D('',#439254,#352863,#352864); #327210=AXIS2_PLACEMENT_3D('',#439256,#352865,#352866); #327211=AXIS2_PLACEMENT_3D('',#439259,#352868,#352869); #327212=AXIS2_PLACEMENT_3D('',#439260,#352870,#352871); #327213=AXIS2_PLACEMENT_3D('',#439262,#352872,#352873); #327214=AXIS2_PLACEMENT_3D('',#439265,#352875,#352876); #327215=AXIS2_PLACEMENT_3D('',#439266,#352877,#352878); #327216=AXIS2_PLACEMENT_3D('',#439268,#352879,#352880); #327217=AXIS2_PLACEMENT_3D('',#439271,#352882,#352883); #327218=AXIS2_PLACEMENT_3D('',#439272,#352884,#352885); #327219=AXIS2_PLACEMENT_3D('',#439274,#352886,#352887); #327220=AXIS2_PLACEMENT_3D('',#439277,#352889,#352890); #327221=AXIS2_PLACEMENT_3D('',#439278,#352891,#352892); #327222=AXIS2_PLACEMENT_3D('',#439280,#352893,#352894); #327223=AXIS2_PLACEMENT_3D('',#439283,#352896,#352897); #327224=AXIS2_PLACEMENT_3D('',#439284,#352898,#352899); #327225=AXIS2_PLACEMENT_3D('',#439286,#352900,#352901); #327226=AXIS2_PLACEMENT_3D('',#439289,#352903,#352904); #327227=AXIS2_PLACEMENT_3D('',#439290,#352905,#352906); #327228=AXIS2_PLACEMENT_3D('',#439292,#352907,#352908); #327229=AXIS2_PLACEMENT_3D('',#439295,#352910,#352911); #327230=AXIS2_PLACEMENT_3D('',#439296,#352912,#352913); #327231=AXIS2_PLACEMENT_3D('',#439298,#352914,#352915); #327232=AXIS2_PLACEMENT_3D('',#439301,#352917,#352918); #327233=AXIS2_PLACEMENT_3D('',#439302,#352919,#352920); #327234=AXIS2_PLACEMENT_3D('',#439304,#352921,#352922); #327235=AXIS2_PLACEMENT_3D('',#439307,#352924,#352925); #327236=AXIS2_PLACEMENT_3D('',#439308,#352926,#352927); #327237=AXIS2_PLACEMENT_3D('',#439310,#352928,#352929); #327238=AXIS2_PLACEMENT_3D('',#439313,#352931,#352932); #327239=AXIS2_PLACEMENT_3D('',#439314,#352933,#352934); #327240=AXIS2_PLACEMENT_3D('',#439316,#352935,#352936); #327241=AXIS2_PLACEMENT_3D('',#439319,#352938,#352939); #327242=AXIS2_PLACEMENT_3D('',#439320,#352940,#352941); #327243=AXIS2_PLACEMENT_3D('',#439322,#352942,#352943); #327244=AXIS2_PLACEMENT_3D('',#439325,#352945,#352946); #327245=AXIS2_PLACEMENT_3D('',#439326,#352947,#352948); #327246=AXIS2_PLACEMENT_3D('',#439328,#352949,#352950); #327247=AXIS2_PLACEMENT_3D('',#439331,#352952,#352953); #327248=AXIS2_PLACEMENT_3D('',#439332,#352954,#352955); #327249=AXIS2_PLACEMENT_3D('',#439334,#352956,#352957); #327250=AXIS2_PLACEMENT_3D('',#439337,#352959,#352960); #327251=AXIS2_PLACEMENT_3D('',#439338,#352961,#352962); #327252=AXIS2_PLACEMENT_3D('',#439340,#352963,#352964); #327253=AXIS2_PLACEMENT_3D('',#439343,#352966,#352967); #327254=AXIS2_PLACEMENT_3D('',#439344,#352968,#352969); #327255=AXIS2_PLACEMENT_3D('',#439346,#352970,#352971); #327256=AXIS2_PLACEMENT_3D('',#439349,#352973,#352974); #327257=AXIS2_PLACEMENT_3D('',#439350,#352975,#352976); #327258=AXIS2_PLACEMENT_3D('',#439352,#352977,#352978); #327259=AXIS2_PLACEMENT_3D('',#439355,#352980,#352981); #327260=AXIS2_PLACEMENT_3D('',#439356,#352982,#352983); #327261=AXIS2_PLACEMENT_3D('',#439358,#352984,#352985); #327262=AXIS2_PLACEMENT_3D('',#439361,#352987,#352988); #327263=AXIS2_PLACEMENT_3D('',#439362,#352989,#352990); #327264=AXIS2_PLACEMENT_3D('',#439364,#352991,#352992); #327265=AXIS2_PLACEMENT_3D('',#439367,#352994,#352995); #327266=AXIS2_PLACEMENT_3D('',#439368,#352996,#352997); #327267=AXIS2_PLACEMENT_3D('',#439370,#352998,#352999); #327268=AXIS2_PLACEMENT_3D('',#439373,#353001,#353002); #327269=AXIS2_PLACEMENT_3D('',#439374,#353003,#353004); #327270=AXIS2_PLACEMENT_3D('',#439376,#353005,#353006); #327271=AXIS2_PLACEMENT_3D('',#439379,#353008,#353009); #327272=AXIS2_PLACEMENT_3D('',#439380,#353010,#353011); #327273=AXIS2_PLACEMENT_3D('',#439382,#353012,#353013); #327274=AXIS2_PLACEMENT_3D('',#439385,#353015,#353016); #327275=AXIS2_PLACEMENT_3D('',#439386,#353017,#353018); #327276=AXIS2_PLACEMENT_3D('',#439388,#353019,#353020); #327277=AXIS2_PLACEMENT_3D('',#439391,#353022,#353023); #327278=AXIS2_PLACEMENT_3D('',#439392,#353024,#353025); #327279=AXIS2_PLACEMENT_3D('',#439394,#353026,#353027); #327280=AXIS2_PLACEMENT_3D('',#439397,#353029,#353030); #327281=AXIS2_PLACEMENT_3D('',#439398,#353031,#353032); #327282=AXIS2_PLACEMENT_3D('',#439400,#353033,#353034); #327283=AXIS2_PLACEMENT_3D('',#439403,#353036,#353037); #327284=AXIS2_PLACEMENT_3D('',#439404,#353038,#353039); #327285=AXIS2_PLACEMENT_3D('',#439406,#353040,#353041); #327286=AXIS2_PLACEMENT_3D('',#439409,#353043,#353044); #327287=AXIS2_PLACEMENT_3D('',#439410,#353045,#353046); #327288=AXIS2_PLACEMENT_3D('',#439412,#353047,#353048); #327289=AXIS2_PLACEMENT_3D('',#439415,#353050,#353051); #327290=AXIS2_PLACEMENT_3D('',#439416,#353052,#353053); #327291=AXIS2_PLACEMENT_3D('',#439418,#353054,#353055); #327292=AXIS2_PLACEMENT_3D('',#439421,#353057,#353058); #327293=AXIS2_PLACEMENT_3D('',#439422,#353059,#353060); #327294=AXIS2_PLACEMENT_3D('',#439424,#353061,#353062); #327295=AXIS2_PLACEMENT_3D('',#439427,#353064,#353065); #327296=AXIS2_PLACEMENT_3D('',#439428,#353066,#353067); #327297=AXIS2_PLACEMENT_3D('',#439430,#353068,#353069); #327298=AXIS2_PLACEMENT_3D('',#439433,#353071,#353072); #327299=AXIS2_PLACEMENT_3D('',#439434,#353073,#353074); #327300=AXIS2_PLACEMENT_3D('',#439436,#353075,#353076); #327301=AXIS2_PLACEMENT_3D('',#439439,#353078,#353079); #327302=AXIS2_PLACEMENT_3D('',#439440,#353080,#353081); #327303=AXIS2_PLACEMENT_3D('',#439442,#353082,#353083); #327304=AXIS2_PLACEMENT_3D('',#439445,#353085,#353086); #327305=AXIS2_PLACEMENT_3D('',#439446,#353087,#353088); #327306=AXIS2_PLACEMENT_3D('',#439448,#353089,#353090); #327307=AXIS2_PLACEMENT_3D('',#439451,#353092,#353093); #327308=AXIS2_PLACEMENT_3D('',#439452,#353094,#353095); #327309=AXIS2_PLACEMENT_3D('',#439454,#353096,#353097); #327310=AXIS2_PLACEMENT_3D('',#439457,#353099,#353100); #327311=AXIS2_PLACEMENT_3D('',#439458,#353101,#353102); #327312=AXIS2_PLACEMENT_3D('',#439460,#353103,#353104); #327313=AXIS2_PLACEMENT_3D('',#439463,#353106,#353107); #327314=AXIS2_PLACEMENT_3D('',#439464,#353108,#353109); #327315=AXIS2_PLACEMENT_3D('',#439466,#353110,#353111); #327316=AXIS2_PLACEMENT_3D('',#439469,#353113,#353114); #327317=AXIS2_PLACEMENT_3D('',#439470,#353115,#353116); #327318=AXIS2_PLACEMENT_3D('',#439472,#353117,#353118); #327319=AXIS2_PLACEMENT_3D('',#439475,#353120,#353121); #327320=AXIS2_PLACEMENT_3D('',#439476,#353122,#353123); #327321=AXIS2_PLACEMENT_3D('',#439478,#353124,#353125); #327322=AXIS2_PLACEMENT_3D('',#439481,#353127,#353128); #327323=AXIS2_PLACEMENT_3D('',#439482,#353129,#353130); #327324=AXIS2_PLACEMENT_3D('',#439484,#353131,#353132); #327325=AXIS2_PLACEMENT_3D('',#439487,#353134,#353135); #327326=AXIS2_PLACEMENT_3D('',#439488,#353136,#353137); #327327=AXIS2_PLACEMENT_3D('',#439490,#353138,#353139); #327328=AXIS2_PLACEMENT_3D('',#439493,#353141,#353142); #327329=AXIS2_PLACEMENT_3D('',#439494,#353143,#353144); #327330=AXIS2_PLACEMENT_3D('',#439496,#353145,#353146); #327331=AXIS2_PLACEMENT_3D('',#439499,#353148,#353149); #327332=AXIS2_PLACEMENT_3D('',#439500,#353150,#353151); #327333=AXIS2_PLACEMENT_3D('',#439502,#353152,#353153); #327334=AXIS2_PLACEMENT_3D('',#439505,#353155,#353156); #327335=AXIS2_PLACEMENT_3D('',#439506,#353157,#353158); #327336=AXIS2_PLACEMENT_3D('',#439508,#353159,#353160); #327337=AXIS2_PLACEMENT_3D('',#439511,#353162,#353163); #327338=AXIS2_PLACEMENT_3D('',#439512,#353164,#353165); #327339=AXIS2_PLACEMENT_3D('',#439514,#353166,#353167); #327340=AXIS2_PLACEMENT_3D('',#439517,#353169,#353170); #327341=AXIS2_PLACEMENT_3D('',#439518,#353171,#353172); #327342=AXIS2_PLACEMENT_3D('',#439520,#353173,#353174); #327343=AXIS2_PLACEMENT_3D('',#439523,#353176,#353177); #327344=AXIS2_PLACEMENT_3D('',#439524,#353178,#353179); #327345=AXIS2_PLACEMENT_3D('',#439526,#353180,#353181); #327346=AXIS2_PLACEMENT_3D('',#439529,#353183,#353184); #327347=AXIS2_PLACEMENT_3D('',#439530,#353185,#353186); #327348=AXIS2_PLACEMENT_3D('',#439532,#353187,#353188); #327349=AXIS2_PLACEMENT_3D('',#439535,#353190,#353191); #327350=AXIS2_PLACEMENT_3D('',#439536,#353192,#353193); #327351=AXIS2_PLACEMENT_3D('',#439538,#353194,#353195); #327352=AXIS2_PLACEMENT_3D('',#439541,#353197,#353198); #327353=AXIS2_PLACEMENT_3D('',#439542,#353199,#353200); #327354=AXIS2_PLACEMENT_3D('',#439544,#353201,#353202); #327355=AXIS2_PLACEMENT_3D('',#439547,#353204,#353205); #327356=AXIS2_PLACEMENT_3D('',#439548,#353206,#353207); #327357=AXIS2_PLACEMENT_3D('',#439550,#353208,#353209); #327358=AXIS2_PLACEMENT_3D('',#439553,#353211,#353212); #327359=AXIS2_PLACEMENT_3D('',#439554,#353213,#353214); #327360=AXIS2_PLACEMENT_3D('',#439556,#353215,#353216); #327361=AXIS2_PLACEMENT_3D('',#439559,#353218,#353219); #327362=AXIS2_PLACEMENT_3D('',#439560,#353220,#353221); #327363=AXIS2_PLACEMENT_3D('',#439562,#353222,#353223); #327364=AXIS2_PLACEMENT_3D('',#439565,#353225,#353226); #327365=AXIS2_PLACEMENT_3D('',#439566,#353227,#353228); #327366=AXIS2_PLACEMENT_3D('',#439568,#353229,#353230); #327367=AXIS2_PLACEMENT_3D('',#439571,#353232,#353233); #327368=AXIS2_PLACEMENT_3D('',#439572,#353234,#353235); #327369=AXIS2_PLACEMENT_3D('',#439574,#353236,#353237); #327370=AXIS2_PLACEMENT_3D('',#439577,#353239,#353240); #327371=AXIS2_PLACEMENT_3D('',#439578,#353241,#353242); #327372=AXIS2_PLACEMENT_3D('',#439580,#353243,#353244); #327373=AXIS2_PLACEMENT_3D('',#439583,#353246,#353247); #327374=AXIS2_PLACEMENT_3D('',#439584,#353248,#353249); #327375=AXIS2_PLACEMENT_3D('',#439586,#353250,#353251); #327376=AXIS2_PLACEMENT_3D('',#439589,#353253,#353254); #327377=AXIS2_PLACEMENT_3D('',#439590,#353255,#353256); #327378=AXIS2_PLACEMENT_3D('',#439592,#353257,#353258); #327379=AXIS2_PLACEMENT_3D('',#439595,#353260,#353261); #327380=AXIS2_PLACEMENT_3D('',#439596,#353262,#353263); #327381=AXIS2_PLACEMENT_3D('',#439598,#353264,#353265); #327382=AXIS2_PLACEMENT_3D('',#439601,#353267,#353268); #327383=AXIS2_PLACEMENT_3D('',#439602,#353269,#353270); #327384=AXIS2_PLACEMENT_3D('',#439604,#353271,#353272); #327385=AXIS2_PLACEMENT_3D('',#439607,#353274,#353275); #327386=AXIS2_PLACEMENT_3D('',#439608,#353276,#353277); #327387=AXIS2_PLACEMENT_3D('',#439610,#353278,#353279); #327388=AXIS2_PLACEMENT_3D('',#439613,#353281,#353282); #327389=AXIS2_PLACEMENT_3D('',#439614,#353283,#353284); #327390=AXIS2_PLACEMENT_3D('',#439616,#353285,#353286); #327391=AXIS2_PLACEMENT_3D('',#439619,#353288,#353289); #327392=AXIS2_PLACEMENT_3D('',#439620,#353290,#353291); #327393=AXIS2_PLACEMENT_3D('',#439622,#353292,#353293); #327394=AXIS2_PLACEMENT_3D('',#439625,#353295,#353296); #327395=AXIS2_PLACEMENT_3D('',#439626,#353297,#353298); #327396=AXIS2_PLACEMENT_3D('',#439628,#353299,#353300); #327397=AXIS2_PLACEMENT_3D('',#439631,#353302,#353303); #327398=AXIS2_PLACEMENT_3D('',#439632,#353304,#353305); #327399=AXIS2_PLACEMENT_3D('',#439634,#353306,#353307); #327400=AXIS2_PLACEMENT_3D('',#439637,#353309,#353310); #327401=AXIS2_PLACEMENT_3D('',#439638,#353311,#353312); #327402=AXIS2_PLACEMENT_3D('',#439640,#353313,#353314); #327403=AXIS2_PLACEMENT_3D('',#439643,#353316,#353317); #327404=AXIS2_PLACEMENT_3D('',#439644,#353318,#353319); #327405=AXIS2_PLACEMENT_3D('',#439646,#353320,#353321); #327406=AXIS2_PLACEMENT_3D('',#439649,#353323,#353324); #327407=AXIS2_PLACEMENT_3D('',#439650,#353325,#353326); #327408=AXIS2_PLACEMENT_3D('',#439652,#353327,#353328); #327409=AXIS2_PLACEMENT_3D('',#439655,#353330,#353331); #327410=AXIS2_PLACEMENT_3D('',#439656,#353332,#353333); #327411=AXIS2_PLACEMENT_3D('',#439658,#353334,#353335); #327412=AXIS2_PLACEMENT_3D('',#439661,#353337,#353338); #327413=AXIS2_PLACEMENT_3D('',#439662,#353339,#353340); #327414=AXIS2_PLACEMENT_3D('',#439664,#353341,#353342); #327415=AXIS2_PLACEMENT_3D('',#439667,#353344,#353345); #327416=AXIS2_PLACEMENT_3D('',#439668,#353346,#353347); #327417=AXIS2_PLACEMENT_3D('',#439670,#353348,#353349); #327418=AXIS2_PLACEMENT_3D('',#439673,#353351,#353352); #327419=AXIS2_PLACEMENT_3D('',#439674,#353353,#353354); #327420=AXIS2_PLACEMENT_3D('',#439676,#353355,#353356); #327421=AXIS2_PLACEMENT_3D('',#439679,#353358,#353359); #327422=AXIS2_PLACEMENT_3D('',#439680,#353360,#353361); #327423=AXIS2_PLACEMENT_3D('',#439682,#353362,#353363); #327424=AXIS2_PLACEMENT_3D('',#439685,#353365,#353366); #327425=AXIS2_PLACEMENT_3D('',#439686,#353367,#353368); #327426=AXIS2_PLACEMENT_3D('',#439688,#353369,#353370); #327427=AXIS2_PLACEMENT_3D('',#439691,#353372,#353373); #327428=AXIS2_PLACEMENT_3D('',#439692,#353374,#353375); #327429=AXIS2_PLACEMENT_3D('',#439694,#353376,#353377); #327430=AXIS2_PLACEMENT_3D('',#439697,#353379,#353380); #327431=AXIS2_PLACEMENT_3D('',#439698,#353381,#353382); #327432=AXIS2_PLACEMENT_3D('',#439700,#353383,#353384); #327433=AXIS2_PLACEMENT_3D('',#439703,#353386,#353387); #327434=AXIS2_PLACEMENT_3D('',#439704,#353388,#353389); #327435=AXIS2_PLACEMENT_3D('',#439706,#353390,#353391); #327436=AXIS2_PLACEMENT_3D('',#439709,#353393,#353394); #327437=AXIS2_PLACEMENT_3D('',#439710,#353395,#353396); #327438=AXIS2_PLACEMENT_3D('',#439712,#353397,#353398); #327439=AXIS2_PLACEMENT_3D('',#439715,#353400,#353401); #327440=AXIS2_PLACEMENT_3D('',#439716,#353402,#353403); #327441=AXIS2_PLACEMENT_3D('',#439718,#353404,#353405); #327442=AXIS2_PLACEMENT_3D('',#439721,#353407,#353408); #327443=AXIS2_PLACEMENT_3D('',#439722,#353409,#353410); #327444=AXIS2_PLACEMENT_3D('',#439724,#353411,#353412); #327445=AXIS2_PLACEMENT_3D('',#439727,#353414,#353415); #327446=AXIS2_PLACEMENT_3D('',#439728,#353416,#353417); #327447=AXIS2_PLACEMENT_3D('',#439730,#353418,#353419); #327448=AXIS2_PLACEMENT_3D('',#439733,#353421,#353422); #327449=AXIS2_PLACEMENT_3D('',#439734,#353423,#353424); #327450=AXIS2_PLACEMENT_3D('',#439736,#353425,#353426); #327451=AXIS2_PLACEMENT_3D('',#439739,#353428,#353429); #327452=AXIS2_PLACEMENT_3D('',#439740,#353430,#353431); #327453=AXIS2_PLACEMENT_3D('',#439742,#353432,#353433); #327454=AXIS2_PLACEMENT_3D('',#439745,#353435,#353436); #327455=AXIS2_PLACEMENT_3D('',#439746,#353437,#353438); #327456=AXIS2_PLACEMENT_3D('',#439748,#353439,#353440); #327457=AXIS2_PLACEMENT_3D('',#439751,#353442,#353443); #327458=AXIS2_PLACEMENT_3D('',#439752,#353444,#353445); #327459=AXIS2_PLACEMENT_3D('',#439754,#353446,#353447); #327460=AXIS2_PLACEMENT_3D('',#439757,#353449,#353450); #327461=AXIS2_PLACEMENT_3D('',#439758,#353451,#353452); #327462=AXIS2_PLACEMENT_3D('',#439760,#353453,#353454); #327463=AXIS2_PLACEMENT_3D('',#439763,#353456,#353457); #327464=AXIS2_PLACEMENT_3D('',#439764,#353458,#353459); #327465=AXIS2_PLACEMENT_3D('',#439766,#353460,#353461); #327466=AXIS2_PLACEMENT_3D('',#439769,#353463,#353464); #327467=AXIS2_PLACEMENT_3D('',#439770,#353465,#353466); #327468=AXIS2_PLACEMENT_3D('',#439772,#353467,#353468); #327469=AXIS2_PLACEMENT_3D('',#439775,#353470,#353471); #327470=AXIS2_PLACEMENT_3D('',#439776,#353472,#353473); #327471=AXIS2_PLACEMENT_3D('',#439778,#353474,#353475); #327472=AXIS2_PLACEMENT_3D('',#439781,#353477,#353478); #327473=AXIS2_PLACEMENT_3D('',#439782,#353479,#353480); #327474=AXIS2_PLACEMENT_3D('',#439784,#353481,#353482); #327475=AXIS2_PLACEMENT_3D('',#439787,#353484,#353485); #327476=AXIS2_PLACEMENT_3D('',#439788,#353486,#353487); #327477=AXIS2_PLACEMENT_3D('',#439790,#353488,#353489); #327478=AXIS2_PLACEMENT_3D('',#439793,#353491,#353492); #327479=AXIS2_PLACEMENT_3D('',#439794,#353493,#353494); #327480=AXIS2_PLACEMENT_3D('',#439796,#353495,#353496); #327481=AXIS2_PLACEMENT_3D('',#439799,#353498,#353499); #327482=AXIS2_PLACEMENT_3D('',#439800,#353500,#353501); #327483=AXIS2_PLACEMENT_3D('',#439802,#353502,#353503); #327484=AXIS2_PLACEMENT_3D('',#439805,#353505,#353506); #327485=AXIS2_PLACEMENT_3D('',#439806,#353507,#353508); #327486=AXIS2_PLACEMENT_3D('',#439808,#353509,#353510); #327487=AXIS2_PLACEMENT_3D('',#439811,#353512,#353513); #327488=AXIS2_PLACEMENT_3D('',#439812,#353514,#353515); #327489=AXIS2_PLACEMENT_3D('',#439814,#353516,#353517); #327490=AXIS2_PLACEMENT_3D('',#439817,#353519,#353520); #327491=AXIS2_PLACEMENT_3D('',#439818,#353521,#353522); #327492=AXIS2_PLACEMENT_3D('',#439820,#353523,#353524); #327493=AXIS2_PLACEMENT_3D('',#439823,#353526,#353527); #327494=AXIS2_PLACEMENT_3D('',#439824,#353528,#353529); #327495=AXIS2_PLACEMENT_3D('',#439826,#353530,#353531); #327496=AXIS2_PLACEMENT_3D('',#439829,#353533,#353534); #327497=AXIS2_PLACEMENT_3D('',#439830,#353535,#353536); #327498=AXIS2_PLACEMENT_3D('',#439832,#353537,#353538); #327499=AXIS2_PLACEMENT_3D('',#439835,#353540,#353541); #327500=AXIS2_PLACEMENT_3D('',#439836,#353542,#353543); #327501=AXIS2_PLACEMENT_3D('',#439838,#353544,#353545); #327502=AXIS2_PLACEMENT_3D('',#439841,#353547,#353548); #327503=AXIS2_PLACEMENT_3D('',#439842,#353549,#353550); #327504=AXIS2_PLACEMENT_3D('',#439844,#353551,#353552); #327505=AXIS2_PLACEMENT_3D('',#439847,#353554,#353555); #327506=AXIS2_PLACEMENT_3D('',#439848,#353556,#353557); #327507=AXIS2_PLACEMENT_3D('',#439850,#353558,#353559); #327508=AXIS2_PLACEMENT_3D('',#439853,#353561,#353562); #327509=AXIS2_PLACEMENT_3D('',#439854,#353563,#353564); #327510=AXIS2_PLACEMENT_3D('',#439856,#353565,#353566); #327511=AXIS2_PLACEMENT_3D('',#439859,#353568,#353569); #327512=AXIS2_PLACEMENT_3D('',#439860,#353570,#353571); #327513=AXIS2_PLACEMENT_3D('',#439862,#353572,#353573); #327514=AXIS2_PLACEMENT_3D('',#439865,#353575,#353576); #327515=AXIS2_PLACEMENT_3D('',#439866,#353577,#353578); #327516=AXIS2_PLACEMENT_3D('',#439868,#353579,#353580); #327517=AXIS2_PLACEMENT_3D('',#439871,#353582,#353583); #327518=AXIS2_PLACEMENT_3D('',#439872,#353584,#353585); #327519=AXIS2_PLACEMENT_3D('',#439874,#353586,#353587); #327520=AXIS2_PLACEMENT_3D('',#439877,#353589,#353590); #327521=AXIS2_PLACEMENT_3D('',#439878,#353591,#353592); #327522=AXIS2_PLACEMENT_3D('',#439880,#353593,#353594); #327523=AXIS2_PLACEMENT_3D('',#439883,#353596,#353597); #327524=AXIS2_PLACEMENT_3D('',#439884,#353598,#353599); #327525=AXIS2_PLACEMENT_3D('',#439886,#353600,#353601); #327526=AXIS2_PLACEMENT_3D('',#439889,#353603,#353604); #327527=AXIS2_PLACEMENT_3D('',#439890,#353605,#353606); #327528=AXIS2_PLACEMENT_3D('',#439892,#353607,#353608); #327529=AXIS2_PLACEMENT_3D('',#439895,#353610,#353611); #327530=AXIS2_PLACEMENT_3D('',#439896,#353612,#353613); #327531=AXIS2_PLACEMENT_3D('',#439898,#353614,#353615); #327532=AXIS2_PLACEMENT_3D('',#439901,#353617,#353618); #327533=AXIS2_PLACEMENT_3D('',#439902,#353619,#353620); #327534=AXIS2_PLACEMENT_3D('',#439904,#353621,#353622); #327535=AXIS2_PLACEMENT_3D('',#439907,#353624,#353625); #327536=AXIS2_PLACEMENT_3D('',#439908,#353626,#353627); #327537=AXIS2_PLACEMENT_3D('',#439910,#353628,#353629); #327538=AXIS2_PLACEMENT_3D('',#439913,#353631,#353632); #327539=AXIS2_PLACEMENT_3D('',#439914,#353633,#353634); #327540=AXIS2_PLACEMENT_3D('',#439916,#353635,#353636); #327541=AXIS2_PLACEMENT_3D('',#439919,#353638,#353639); #327542=AXIS2_PLACEMENT_3D('',#439920,#353640,#353641); #327543=AXIS2_PLACEMENT_3D('',#439922,#353642,#353643); #327544=AXIS2_PLACEMENT_3D('',#439925,#353645,#353646); #327545=AXIS2_PLACEMENT_3D('',#439926,#353647,#353648); #327546=AXIS2_PLACEMENT_3D('',#439928,#353649,#353650); #327547=AXIS2_PLACEMENT_3D('',#439931,#353652,#353653); #327548=AXIS2_PLACEMENT_3D('',#439932,#353654,#353655); #327549=AXIS2_PLACEMENT_3D('',#439934,#353656,#353657); #327550=AXIS2_PLACEMENT_3D('',#439937,#353659,#353660); #327551=AXIS2_PLACEMENT_3D('',#439938,#353661,#353662); #327552=AXIS2_PLACEMENT_3D('',#439940,#353663,#353664); #327553=AXIS2_PLACEMENT_3D('',#439943,#353666,#353667); #327554=AXIS2_PLACEMENT_3D('',#439944,#353668,#353669); #327555=AXIS2_PLACEMENT_3D('',#439946,#353670,#353671); #327556=AXIS2_PLACEMENT_3D('',#439949,#353673,#353674); #327557=AXIS2_PLACEMENT_3D('',#439950,#353675,#353676); #327558=AXIS2_PLACEMENT_3D('',#439952,#353677,#353678); #327559=AXIS2_PLACEMENT_3D('',#439955,#353680,#353681); #327560=AXIS2_PLACEMENT_3D('',#439956,#353682,#353683); #327561=AXIS2_PLACEMENT_3D('',#439958,#353684,#353685); #327562=AXIS2_PLACEMENT_3D('',#439961,#353687,#353688); #327563=AXIS2_PLACEMENT_3D('',#439962,#353689,#353690); #327564=AXIS2_PLACEMENT_3D('',#439964,#353691,#353692); #327565=AXIS2_PLACEMENT_3D('',#439967,#353694,#353695); #327566=AXIS2_PLACEMENT_3D('',#439968,#353696,#353697); #327567=AXIS2_PLACEMENT_3D('',#439970,#353698,#353699); #327568=AXIS2_PLACEMENT_3D('',#439973,#353701,#353702); #327569=AXIS2_PLACEMENT_3D('',#439974,#353703,#353704); #327570=AXIS2_PLACEMENT_3D('',#439976,#353705,#353706); #327571=AXIS2_PLACEMENT_3D('',#439979,#353708,#353709); #327572=AXIS2_PLACEMENT_3D('',#439980,#353710,#353711); #327573=AXIS2_PLACEMENT_3D('',#439982,#353712,#353713); #327574=AXIS2_PLACEMENT_3D('',#439985,#353715,#353716); #327575=AXIS2_PLACEMENT_3D('',#439986,#353717,#353718); #327576=AXIS2_PLACEMENT_3D('',#439987,#353719,#353720); #327577=AXIS2_PLACEMENT_3D('',#439988,#353721,#353722); #327578=AXIS2_PLACEMENT_3D('',#439990,#353723,#353724); #327579=AXIS2_PLACEMENT_3D('',#439993,#353726,#353727); #327580=AXIS2_PLACEMENT_3D('',#439994,#353728,#353729); #327581=AXIS2_PLACEMENT_3D('',#439996,#353730,#353731); #327582=AXIS2_PLACEMENT_3D('',#439999,#353733,#353734); #327583=AXIS2_PLACEMENT_3D('',#440000,#353735,#353736); #327584=AXIS2_PLACEMENT_3D('',#440001,#353737,#353738); #327585=AXIS2_PLACEMENT_3D('',#440002,#353739,#353740); #327586=AXIS2_PLACEMENT_3D('',#440004,#353741,#353742); #327587=AXIS2_PLACEMENT_3D('',#440007,#353744,#353745); #327588=AXIS2_PLACEMENT_3D('',#440008,#353746,#353747); #327589=AXIS2_PLACEMENT_3D('',#440010,#353748,#353749); #327590=AXIS2_PLACEMENT_3D('',#440013,#353751,#353752); #327591=AXIS2_PLACEMENT_3D('',#440014,#353753,#353754); #327592=AXIS2_PLACEMENT_3D('',#440015,#353755,#353756); #327593=AXIS2_PLACEMENT_3D('',#440016,#353757,#353758); #327594=AXIS2_PLACEMENT_3D('',#440018,#353759,#353760); #327595=AXIS2_PLACEMENT_3D('',#440021,#353762,#353763); #327596=AXIS2_PLACEMENT_3D('',#440022,#353764,#353765); #327597=AXIS2_PLACEMENT_3D('',#440024,#353766,#353767); #327598=AXIS2_PLACEMENT_3D('',#440027,#353769,#353770); #327599=AXIS2_PLACEMENT_3D('',#440028,#353771,#353772); #327600=AXIS2_PLACEMENT_3D('',#440029,#353773,#353774); #327601=AXIS2_PLACEMENT_3D('',#440030,#353775,#353776); #327602=AXIS2_PLACEMENT_3D('',#440032,#353777,#353778); #327603=AXIS2_PLACEMENT_3D('',#440035,#353780,#353781); #327604=AXIS2_PLACEMENT_3D('',#440036,#353782,#353783); #327605=AXIS2_PLACEMENT_3D('',#440038,#353784,#353785); #327606=AXIS2_PLACEMENT_3D('',#440041,#353787,#353788); #327607=AXIS2_PLACEMENT_3D('',#440042,#353789,#353790); #327608=AXIS2_PLACEMENT_3D('',#440043,#353791,#353792); #327609=AXIS2_PLACEMENT_3D('',#440044,#353793,#353794); #327610=AXIS2_PLACEMENT_3D('',#440046,#353795,#353796); #327611=AXIS2_PLACEMENT_3D('',#440049,#353798,#353799); #327612=AXIS2_PLACEMENT_3D('',#440050,#353800,#353801); #327613=AXIS2_PLACEMENT_3D('',#440052,#353802,#353803); #327614=AXIS2_PLACEMENT_3D('',#440055,#353805,#353806); #327615=AXIS2_PLACEMENT_3D('',#440056,#353807,#353808); #327616=AXIS2_PLACEMENT_3D('',#440057,#353809,#353810); #327617=AXIS2_PLACEMENT_3D('',#440058,#353811,#353812); #327618=AXIS2_PLACEMENT_3D('',#440060,#353813,#353814); #327619=AXIS2_PLACEMENT_3D('',#440063,#353816,#353817); #327620=AXIS2_PLACEMENT_3D('',#440064,#353818,#353819); #327621=AXIS2_PLACEMENT_3D('',#440066,#353820,#353821); #327622=AXIS2_PLACEMENT_3D('',#440069,#353823,#353824); #327623=AXIS2_PLACEMENT_3D('',#440070,#353825,#353826); #327624=AXIS2_PLACEMENT_3D('',#440071,#353827,#353828); #327625=AXIS2_PLACEMENT_3D('',#440072,#353829,#353830); #327626=AXIS2_PLACEMENT_3D('',#440074,#353831,#353832); #327627=AXIS2_PLACEMENT_3D('',#440077,#353834,#353835); #327628=AXIS2_PLACEMENT_3D('',#440078,#353836,#353837); #327629=AXIS2_PLACEMENT_3D('',#440080,#353838,#353839); #327630=AXIS2_PLACEMENT_3D('',#440083,#353841,#353842); #327631=AXIS2_PLACEMENT_3D('',#440084,#353843,#353844); #327632=AXIS2_PLACEMENT_3D('',#440085,#353845,#353846); #327633=AXIS2_PLACEMENT_3D('',#440086,#353847,#353848); #327634=AXIS2_PLACEMENT_3D('',#440088,#353849,#353850); #327635=AXIS2_PLACEMENT_3D('',#440091,#353852,#353853); #327636=AXIS2_PLACEMENT_3D('',#440092,#353854,#353855); #327637=AXIS2_PLACEMENT_3D('',#440094,#353856,#353857); #327638=AXIS2_PLACEMENT_3D('',#440097,#353859,#353860); #327639=AXIS2_PLACEMENT_3D('',#440098,#353861,#353862); #327640=AXIS2_PLACEMENT_3D('',#440099,#353863,#353864); #327641=AXIS2_PLACEMENT_3D('',#440100,#353865,#353866); #327642=AXIS2_PLACEMENT_3D('',#440102,#353867,#353868); #327643=AXIS2_PLACEMENT_3D('',#440105,#353870,#353871); #327644=AXIS2_PLACEMENT_3D('',#440106,#353872,#353873); #327645=AXIS2_PLACEMENT_3D('',#440108,#353874,#353875); #327646=AXIS2_PLACEMENT_3D('',#440111,#353877,#353878); #327647=AXIS2_PLACEMENT_3D('',#440112,#353879,#353880); #327648=AXIS2_PLACEMENT_3D('',#440113,#353881,#353882); #327649=AXIS2_PLACEMENT_3D('',#440114,#353883,#353884); #327650=AXIS2_PLACEMENT_3D('',#440116,#353885,#353886); #327651=AXIS2_PLACEMENT_3D('',#440119,#353888,#353889); #327652=AXIS2_PLACEMENT_3D('',#440120,#353890,#353891); #327653=AXIS2_PLACEMENT_3D('',#440122,#353892,#353893); #327654=AXIS2_PLACEMENT_3D('',#440125,#353895,#353896); #327655=AXIS2_PLACEMENT_3D('',#440126,#353897,#353898); #327656=AXIS2_PLACEMENT_3D('',#440127,#353899,#353900); #327657=AXIS2_PLACEMENT_3D('',#440128,#353901,#353902); #327658=AXIS2_PLACEMENT_3D('',#440130,#353903,#353904); #327659=AXIS2_PLACEMENT_3D('',#440133,#353906,#353907); #327660=AXIS2_PLACEMENT_3D('',#440134,#353908,#353909); #327661=AXIS2_PLACEMENT_3D('',#440136,#353910,#353911); #327662=AXIS2_PLACEMENT_3D('',#440139,#353913,#353914); #327663=AXIS2_PLACEMENT_3D('',#440140,#353915,#353916); #327664=AXIS2_PLACEMENT_3D('',#440141,#353917,#353918); #327665=AXIS2_PLACEMENT_3D('',#440142,#353919,#353920); #327666=AXIS2_PLACEMENT_3D('',#440144,#353921,#353922); #327667=AXIS2_PLACEMENT_3D('',#440147,#353924,#353925); #327668=AXIS2_PLACEMENT_3D('',#440148,#353926,#353927); #327669=AXIS2_PLACEMENT_3D('',#440150,#353928,#353929); #327670=AXIS2_PLACEMENT_3D('',#440153,#353931,#353932); #327671=AXIS2_PLACEMENT_3D('',#440154,#353933,#353934); #327672=AXIS2_PLACEMENT_3D('',#440155,#353935,#353936); #327673=AXIS2_PLACEMENT_3D('',#440156,#353937,#353938); #327674=AXIS2_PLACEMENT_3D('',#440158,#353939,#353940); #327675=AXIS2_PLACEMENT_3D('',#440161,#353942,#353943); #327676=AXIS2_PLACEMENT_3D('',#440162,#353944,#353945); #327677=AXIS2_PLACEMENT_3D('',#440164,#353946,#353947); #327678=AXIS2_PLACEMENT_3D('',#440167,#353949,#353950); #327679=AXIS2_PLACEMENT_3D('',#440168,#353951,#353952); #327680=AXIS2_PLACEMENT_3D('',#440169,#353953,#353954); #327681=AXIS2_PLACEMENT_3D('',#440170,#353955,#353956); #327682=AXIS2_PLACEMENT_3D('',#440172,#353957,#353958); #327683=AXIS2_PLACEMENT_3D('',#440175,#353960,#353961); #327684=AXIS2_PLACEMENT_3D('',#440176,#353962,#353963); #327685=AXIS2_PLACEMENT_3D('',#440178,#353964,#353965); #327686=AXIS2_PLACEMENT_3D('',#440181,#353967,#353968); #327687=AXIS2_PLACEMENT_3D('',#440182,#353969,#353970); #327688=AXIS2_PLACEMENT_3D('',#440183,#353971,#353972); #327689=AXIS2_PLACEMENT_3D('',#440184,#353973,#353974); #327690=AXIS2_PLACEMENT_3D('',#440186,#353975,#353976); #327691=AXIS2_PLACEMENT_3D('',#440189,#353978,#353979); #327692=AXIS2_PLACEMENT_3D('',#440190,#353980,#353981); #327693=AXIS2_PLACEMENT_3D('',#440192,#353982,#353983); #327694=AXIS2_PLACEMENT_3D('',#440195,#353985,#353986); #327695=AXIS2_PLACEMENT_3D('',#440196,#353987,#353988); #327696=AXIS2_PLACEMENT_3D('',#440197,#353989,#353990); #327697=AXIS2_PLACEMENT_3D('',#440198,#353991,#353992); #327698=AXIS2_PLACEMENT_3D('',#440200,#353993,#353994); #327699=AXIS2_PLACEMENT_3D('',#440203,#353996,#353997); #327700=AXIS2_PLACEMENT_3D('',#440204,#353998,#353999); #327701=AXIS2_PLACEMENT_3D('',#440206,#354000,#354001); #327702=AXIS2_PLACEMENT_3D('',#440209,#354003,#354004); #327703=AXIS2_PLACEMENT_3D('',#440210,#354005,#354006); #327704=AXIS2_PLACEMENT_3D('',#440211,#354007,#354008); #327705=AXIS2_PLACEMENT_3D('',#440212,#354009,#354010); #327706=AXIS2_PLACEMENT_3D('',#440214,#354011,#354012); #327707=AXIS2_PLACEMENT_3D('',#440217,#354014,#354015); #327708=AXIS2_PLACEMENT_3D('',#440218,#354016,#354017); #327709=AXIS2_PLACEMENT_3D('',#440220,#354018,#354019); #327710=AXIS2_PLACEMENT_3D('',#440223,#354021,#354022); #327711=AXIS2_PLACEMENT_3D('',#440224,#354023,#354024); #327712=AXIS2_PLACEMENT_3D('',#440225,#354025,#354026); #327713=AXIS2_PLACEMENT_3D('',#440226,#354027,#354028); #327714=AXIS2_PLACEMENT_3D('',#440228,#354029,#354030); #327715=AXIS2_PLACEMENT_3D('',#440231,#354032,#354033); #327716=AXIS2_PLACEMENT_3D('',#440232,#354034,#354035); #327717=AXIS2_PLACEMENT_3D('',#440234,#354036,#354037); #327718=AXIS2_PLACEMENT_3D('',#440237,#354039,#354040); #327719=AXIS2_PLACEMENT_3D('',#440238,#354041,#354042); #327720=AXIS2_PLACEMENT_3D('',#440239,#354043,#354044); #327721=AXIS2_PLACEMENT_3D('',#440240,#354045,#354046); #327722=AXIS2_PLACEMENT_3D('',#440242,#354047,#354048); #327723=AXIS2_PLACEMENT_3D('',#440245,#354050,#354051); #327724=AXIS2_PLACEMENT_3D('',#440246,#354052,#354053); #327725=AXIS2_PLACEMENT_3D('',#440248,#354054,#354055); #327726=AXIS2_PLACEMENT_3D('',#440251,#354057,#354058); #327727=AXIS2_PLACEMENT_3D('',#440252,#354059,#354060); #327728=AXIS2_PLACEMENT_3D('',#440253,#354061,#354062); #327729=AXIS2_PLACEMENT_3D('',#440254,#354063,#354064); #327730=AXIS2_PLACEMENT_3D('',#440256,#354065,#354066); #327731=AXIS2_PLACEMENT_3D('',#440259,#354068,#354069); #327732=AXIS2_PLACEMENT_3D('',#440260,#354070,#354071); #327733=AXIS2_PLACEMENT_3D('',#440262,#354072,#354073); #327734=AXIS2_PLACEMENT_3D('',#440265,#354075,#354076); #327735=AXIS2_PLACEMENT_3D('',#440266,#354077,#354078); #327736=AXIS2_PLACEMENT_3D('',#440267,#354079,#354080); #327737=AXIS2_PLACEMENT_3D('',#440268,#354081,#354082); #327738=AXIS2_PLACEMENT_3D('',#440270,#354083,#354084); #327739=AXIS2_PLACEMENT_3D('',#440273,#354086,#354087); #327740=AXIS2_PLACEMENT_3D('',#440274,#354088,#354089); #327741=AXIS2_PLACEMENT_3D('',#440276,#354090,#354091); #327742=AXIS2_PLACEMENT_3D('',#440279,#354093,#354094); #327743=AXIS2_PLACEMENT_3D('',#440280,#354095,#354096); #327744=AXIS2_PLACEMENT_3D('',#440281,#354097,#354098); #327745=AXIS2_PLACEMENT_3D('',#440282,#354099,#354100); #327746=AXIS2_PLACEMENT_3D('',#440284,#354101,#354102); #327747=AXIS2_PLACEMENT_3D('',#440287,#354104,#354105); #327748=AXIS2_PLACEMENT_3D('',#440288,#354106,#354107); #327749=AXIS2_PLACEMENT_3D('',#440290,#354108,#354109); #327750=AXIS2_PLACEMENT_3D('',#440293,#354111,#354112); #327751=AXIS2_PLACEMENT_3D('',#440294,#354113,#354114); #327752=AXIS2_PLACEMENT_3D('',#440295,#354115,#354116); #327753=AXIS2_PLACEMENT_3D('',#440296,#354117,#354118); #327754=AXIS2_PLACEMENT_3D('',#440298,#354119,#354120); #327755=AXIS2_PLACEMENT_3D('',#440301,#354122,#354123); #327756=AXIS2_PLACEMENT_3D('',#440302,#354124,#354125); #327757=AXIS2_PLACEMENT_3D('',#440304,#354126,#354127); #327758=AXIS2_PLACEMENT_3D('',#440307,#354129,#354130); #327759=AXIS2_PLACEMENT_3D('',#440308,#354131,#354132); #327760=AXIS2_PLACEMENT_3D('',#440309,#354133,#354134); #327761=AXIS2_PLACEMENT_3D('',#440310,#354135,#354136); #327762=AXIS2_PLACEMENT_3D('',#440312,#354137,#354138); #327763=AXIS2_PLACEMENT_3D('',#440315,#354140,#354141); #327764=AXIS2_PLACEMENT_3D('',#440316,#354142,#354143); #327765=AXIS2_PLACEMENT_3D('',#440318,#354144,#354145); #327766=AXIS2_PLACEMENT_3D('',#440321,#354147,#354148); #327767=AXIS2_PLACEMENT_3D('',#440322,#354149,#354150); #327768=AXIS2_PLACEMENT_3D('',#440323,#354151,#354152); #327769=AXIS2_PLACEMENT_3D('',#440324,#354153,#354154); #327770=AXIS2_PLACEMENT_3D('',#440326,#354155,#354156); #327771=AXIS2_PLACEMENT_3D('',#440329,#354158,#354159); #327772=AXIS2_PLACEMENT_3D('',#440330,#354160,#354161); #327773=AXIS2_PLACEMENT_3D('',#440332,#354162,#354163); #327774=AXIS2_PLACEMENT_3D('',#440335,#354165,#354166); #327775=AXIS2_PLACEMENT_3D('',#440336,#354167,#354168); #327776=AXIS2_PLACEMENT_3D('',#440337,#354169,#354170); #327777=AXIS2_PLACEMENT_3D('',#440338,#354171,#354172); #327778=AXIS2_PLACEMENT_3D('',#440340,#354173,#354174); #327779=AXIS2_PLACEMENT_3D('',#440343,#354176,#354177); #327780=AXIS2_PLACEMENT_3D('',#440344,#354178,#354179); #327781=AXIS2_PLACEMENT_3D('',#440346,#354180,#354181); #327782=AXIS2_PLACEMENT_3D('',#440349,#354183,#354184); #327783=AXIS2_PLACEMENT_3D('',#440350,#354185,#354186); #327784=AXIS2_PLACEMENT_3D('',#440351,#354187,#354188); #327785=AXIS2_PLACEMENT_3D('',#440352,#354189,#354190); #327786=AXIS2_PLACEMENT_3D('',#440354,#354191,#354192); #327787=AXIS2_PLACEMENT_3D('',#440357,#354194,#354195); #327788=AXIS2_PLACEMENT_3D('',#440358,#354196,#354197); #327789=AXIS2_PLACEMENT_3D('',#440360,#354198,#354199); #327790=AXIS2_PLACEMENT_3D('',#440363,#354201,#354202); #327791=AXIS2_PLACEMENT_3D('',#440364,#354203,#354204); #327792=AXIS2_PLACEMENT_3D('',#440365,#354205,#354206); #327793=AXIS2_PLACEMENT_3D('',#440366,#354207,#354208); #327794=AXIS2_PLACEMENT_3D('',#440368,#354209,#354210); #327795=AXIS2_PLACEMENT_3D('',#440371,#354212,#354213); #327796=AXIS2_PLACEMENT_3D('',#440372,#354214,#354215); #327797=AXIS2_PLACEMENT_3D('',#440374,#354216,#354217); #327798=AXIS2_PLACEMENT_3D('',#440377,#354219,#354220); #327799=AXIS2_PLACEMENT_3D('',#440378,#354221,#354222); #327800=AXIS2_PLACEMENT_3D('',#440379,#354223,#354224); #327801=AXIS2_PLACEMENT_3D('',#440380,#354225,#354226); #327802=AXIS2_PLACEMENT_3D('',#440382,#354227,#354228); #327803=AXIS2_PLACEMENT_3D('',#440385,#354230,#354231); #327804=AXIS2_PLACEMENT_3D('',#440386,#354232,#354233); #327805=AXIS2_PLACEMENT_3D('',#440388,#354234,#354235); #327806=AXIS2_PLACEMENT_3D('',#440391,#354237,#354238); #327807=AXIS2_PLACEMENT_3D('',#440392,#354239,#354240); #327808=AXIS2_PLACEMENT_3D('',#440393,#354241,#354242); #327809=AXIS2_PLACEMENT_3D('',#440394,#354243,#354244); #327810=AXIS2_PLACEMENT_3D('',#440396,#354245,#354246); #327811=AXIS2_PLACEMENT_3D('',#440399,#354248,#354249); #327812=AXIS2_PLACEMENT_3D('',#440400,#354250,#354251); #327813=AXIS2_PLACEMENT_3D('',#440402,#354252,#354253); #327814=AXIS2_PLACEMENT_3D('',#440405,#354255,#354256); #327815=AXIS2_PLACEMENT_3D('',#440406,#354257,#354258); #327816=AXIS2_PLACEMENT_3D('',#440407,#354259,#354260); #327817=AXIS2_PLACEMENT_3D('',#440408,#354261,#354262); #327818=AXIS2_PLACEMENT_3D('',#440410,#354263,#354264); #327819=AXIS2_PLACEMENT_3D('',#440413,#354266,#354267); #327820=AXIS2_PLACEMENT_3D('',#440414,#354268,#354269); #327821=AXIS2_PLACEMENT_3D('',#440416,#354270,#354271); #327822=AXIS2_PLACEMENT_3D('',#440419,#354273,#354274); #327823=AXIS2_PLACEMENT_3D('',#440420,#354275,#354276); #327824=AXIS2_PLACEMENT_3D('',#440421,#354277,#354278); #327825=AXIS2_PLACEMENT_3D('',#440422,#354279,#354280); #327826=AXIS2_PLACEMENT_3D('',#440424,#354281,#354282); #327827=AXIS2_PLACEMENT_3D('',#440427,#354284,#354285); #327828=AXIS2_PLACEMENT_3D('',#440428,#354286,#354287); #327829=AXIS2_PLACEMENT_3D('',#440430,#354288,#354289); #327830=AXIS2_PLACEMENT_3D('',#440433,#354291,#354292); #327831=AXIS2_PLACEMENT_3D('',#440434,#354293,#354294); #327832=AXIS2_PLACEMENT_3D('',#440435,#354295,#354296); #327833=AXIS2_PLACEMENT_3D('',#440436,#354297,#354298); #327834=AXIS2_PLACEMENT_3D('',#440438,#354299,#354300); #327835=AXIS2_PLACEMENT_3D('',#440441,#354302,#354303); #327836=AXIS2_PLACEMENT_3D('',#440442,#354304,#354305); #327837=AXIS2_PLACEMENT_3D('',#440444,#354306,#354307); #327838=AXIS2_PLACEMENT_3D('',#440447,#354309,#354310); #327839=AXIS2_PLACEMENT_3D('',#440448,#354311,#354312); #327840=AXIS2_PLACEMENT_3D('',#440449,#354313,#354314); #327841=AXIS2_PLACEMENT_3D('',#440450,#354315,#354316); #327842=AXIS2_PLACEMENT_3D('',#440452,#354317,#354318); #327843=AXIS2_PLACEMENT_3D('',#440455,#354320,#354321); #327844=AXIS2_PLACEMENT_3D('',#440456,#354322,#354323); #327845=AXIS2_PLACEMENT_3D('',#440458,#354324,#354325); #327846=AXIS2_PLACEMENT_3D('',#440461,#354327,#354328); #327847=AXIS2_PLACEMENT_3D('',#440462,#354329,#354330); #327848=AXIS2_PLACEMENT_3D('',#440463,#354331,#354332); #327849=AXIS2_PLACEMENT_3D('',#440464,#354333,#354334); #327850=AXIS2_PLACEMENT_3D('',#440466,#354335,#354336); #327851=AXIS2_PLACEMENT_3D('',#440469,#354338,#354339); #327852=AXIS2_PLACEMENT_3D('',#440470,#354340,#354341); #327853=AXIS2_PLACEMENT_3D('',#440472,#354342,#354343); #327854=AXIS2_PLACEMENT_3D('',#440475,#354345,#354346); #327855=AXIS2_PLACEMENT_3D('',#440476,#354347,#354348); #327856=AXIS2_PLACEMENT_3D('',#440477,#354349,#354350); #327857=AXIS2_PLACEMENT_3D('',#440478,#354351,#354352); #327858=AXIS2_PLACEMENT_3D('',#440480,#354353,#354354); #327859=AXIS2_PLACEMENT_3D('',#440483,#354356,#354357); #327860=AXIS2_PLACEMENT_3D('',#440484,#354358,#354359); #327861=AXIS2_PLACEMENT_3D('',#440486,#354360,#354361); #327862=AXIS2_PLACEMENT_3D('',#440489,#354363,#354364); #327863=AXIS2_PLACEMENT_3D('',#440490,#354365,#354366); #327864=AXIS2_PLACEMENT_3D('',#440491,#354367,#354368); #327865=AXIS2_PLACEMENT_3D('',#440492,#354369,#354370); #327866=AXIS2_PLACEMENT_3D('',#440494,#354371,#354372); #327867=AXIS2_PLACEMENT_3D('',#440497,#354374,#354375); #327868=AXIS2_PLACEMENT_3D('',#440498,#354376,#354377); #327869=AXIS2_PLACEMENT_3D('',#440500,#354378,#354379); #327870=AXIS2_PLACEMENT_3D('',#440503,#354381,#354382); #327871=AXIS2_PLACEMENT_3D('',#440504,#354383,#354384); #327872=AXIS2_PLACEMENT_3D('',#440505,#354385,#354386); #327873=AXIS2_PLACEMENT_3D('',#440506,#354387,#354388); #327874=AXIS2_PLACEMENT_3D('',#440508,#354389,#354390); #327875=AXIS2_PLACEMENT_3D('',#440511,#354392,#354393); #327876=AXIS2_PLACEMENT_3D('',#440512,#354394,#354395); #327877=AXIS2_PLACEMENT_3D('',#440514,#354396,#354397); #327878=AXIS2_PLACEMENT_3D('',#440517,#354399,#354400); #327879=AXIS2_PLACEMENT_3D('',#440518,#354401,#354402); #327880=AXIS2_PLACEMENT_3D('',#440519,#354403,#354404); #327881=AXIS2_PLACEMENT_3D('',#440520,#354405,#354406); #327882=AXIS2_PLACEMENT_3D('',#440522,#354407,#354408); #327883=AXIS2_PLACEMENT_3D('',#440525,#354410,#354411); #327884=AXIS2_PLACEMENT_3D('',#440526,#354412,#354413); #327885=AXIS2_PLACEMENT_3D('',#440528,#354414,#354415); #327886=AXIS2_PLACEMENT_3D('',#440531,#354417,#354418); #327887=AXIS2_PLACEMENT_3D('',#440532,#354419,#354420); #327888=AXIS2_PLACEMENT_3D('',#440533,#354421,#354422); #327889=AXIS2_PLACEMENT_3D('',#440534,#354423,#354424); #327890=AXIS2_PLACEMENT_3D('',#440536,#354425,#354426); #327891=AXIS2_PLACEMENT_3D('',#440539,#354428,#354429); #327892=AXIS2_PLACEMENT_3D('',#440540,#354430,#354431); #327893=AXIS2_PLACEMENT_3D('',#440542,#354432,#354433); #327894=AXIS2_PLACEMENT_3D('',#440545,#354435,#354436); #327895=AXIS2_PLACEMENT_3D('',#440546,#354437,#354438); #327896=AXIS2_PLACEMENT_3D('',#440547,#354439,#354440); #327897=AXIS2_PLACEMENT_3D('',#440548,#354441,#354442); #327898=AXIS2_PLACEMENT_3D('',#440550,#354443,#354444); #327899=AXIS2_PLACEMENT_3D('',#440553,#354446,#354447); #327900=AXIS2_PLACEMENT_3D('',#440554,#354448,#354449); #327901=AXIS2_PLACEMENT_3D('',#440556,#354450,#354451); #327902=AXIS2_PLACEMENT_3D('',#440559,#354453,#354454); #327903=AXIS2_PLACEMENT_3D('',#440560,#354455,#354456); #327904=AXIS2_PLACEMENT_3D('',#440561,#354457,#354458); #327905=AXIS2_PLACEMENT_3D('',#440562,#354459,#354460); #327906=AXIS2_PLACEMENT_3D('',#440564,#354461,#354462); #327907=AXIS2_PLACEMENT_3D('',#440567,#354464,#354465); #327908=AXIS2_PLACEMENT_3D('',#440568,#354466,#354467); #327909=AXIS2_PLACEMENT_3D('',#440570,#354468,#354469); #327910=AXIS2_PLACEMENT_3D('',#440573,#354471,#354472); #327911=AXIS2_PLACEMENT_3D('',#440574,#354473,#354474); #327912=AXIS2_PLACEMENT_3D('',#440575,#354475,#354476); #327913=AXIS2_PLACEMENT_3D('',#440576,#354477,#354478); #327914=AXIS2_PLACEMENT_3D('',#440578,#354479,#354480); #327915=AXIS2_PLACEMENT_3D('',#440581,#354482,#354483); #327916=AXIS2_PLACEMENT_3D('',#440582,#354484,#354485); #327917=AXIS2_PLACEMENT_3D('',#440584,#354486,#354487); #327918=AXIS2_PLACEMENT_3D('',#440587,#354489,#354490); #327919=AXIS2_PLACEMENT_3D('',#440588,#354491,#354492); #327920=AXIS2_PLACEMENT_3D('',#440589,#354493,#354494); #327921=AXIS2_PLACEMENT_3D('',#440590,#354495,#354496); #327922=AXIS2_PLACEMENT_3D('',#440592,#354497,#354498); #327923=AXIS2_PLACEMENT_3D('',#440595,#354500,#354501); #327924=AXIS2_PLACEMENT_3D('',#440596,#354502,#354503); #327925=AXIS2_PLACEMENT_3D('',#440598,#354504,#354505); #327926=AXIS2_PLACEMENT_3D('',#440601,#354507,#354508); #327927=AXIS2_PLACEMENT_3D('',#440602,#354509,#354510); #327928=AXIS2_PLACEMENT_3D('',#440603,#354511,#354512); #327929=AXIS2_PLACEMENT_3D('',#440604,#354513,#354514); #327930=AXIS2_PLACEMENT_3D('',#440606,#354515,#354516); #327931=AXIS2_PLACEMENT_3D('',#440609,#354518,#354519); #327932=AXIS2_PLACEMENT_3D('',#440610,#354520,#354521); #327933=AXIS2_PLACEMENT_3D('',#440612,#354522,#354523); #327934=AXIS2_PLACEMENT_3D('',#440615,#354525,#354526); #327935=AXIS2_PLACEMENT_3D('',#440616,#354527,#354528); #327936=AXIS2_PLACEMENT_3D('',#440617,#354529,#354530); #327937=AXIS2_PLACEMENT_3D('',#440618,#354531,#354532); #327938=AXIS2_PLACEMENT_3D('',#440620,#354533,#354534); #327939=AXIS2_PLACEMENT_3D('',#440623,#354536,#354537); #327940=AXIS2_PLACEMENT_3D('',#440624,#354538,#354539); #327941=AXIS2_PLACEMENT_3D('',#440626,#354540,#354541); #327942=AXIS2_PLACEMENT_3D('',#440629,#354543,#354544); #327943=AXIS2_PLACEMENT_3D('',#440630,#354545,#354546); #327944=AXIS2_PLACEMENT_3D('',#440631,#354547,#354548); #327945=AXIS2_PLACEMENT_3D('',#440632,#354549,#354550); #327946=AXIS2_PLACEMENT_3D('',#440634,#354551,#354552); #327947=AXIS2_PLACEMENT_3D('',#440637,#354554,#354555); #327948=AXIS2_PLACEMENT_3D('',#440638,#354556,#354557); #327949=AXIS2_PLACEMENT_3D('',#440640,#354558,#354559); #327950=AXIS2_PLACEMENT_3D('',#440643,#354561,#354562); #327951=AXIS2_PLACEMENT_3D('',#440644,#354563,#354564); #327952=AXIS2_PLACEMENT_3D('',#440645,#354565,#354566); #327953=AXIS2_PLACEMENT_3D('',#440646,#354567,#354568); #327954=AXIS2_PLACEMENT_3D('',#440648,#354569,#354570); #327955=AXIS2_PLACEMENT_3D('',#440651,#354572,#354573); #327956=AXIS2_PLACEMENT_3D('',#440652,#354574,#354575); #327957=AXIS2_PLACEMENT_3D('',#440654,#354576,#354577); #327958=AXIS2_PLACEMENT_3D('',#440657,#354579,#354580); #327959=AXIS2_PLACEMENT_3D('',#440658,#354581,#354582); #327960=AXIS2_PLACEMENT_3D('',#440659,#354583,#354584); #327961=AXIS2_PLACEMENT_3D('',#440660,#354585,#354586); #327962=AXIS2_PLACEMENT_3D('',#440662,#354587,#354588); #327963=AXIS2_PLACEMENT_3D('',#440665,#354590,#354591); #327964=AXIS2_PLACEMENT_3D('',#440666,#354592,#354593); #327965=AXIS2_PLACEMENT_3D('',#440668,#354594,#354595); #327966=AXIS2_PLACEMENT_3D('',#440671,#354597,#354598); #327967=AXIS2_PLACEMENT_3D('',#440672,#354599,#354600); #327968=AXIS2_PLACEMENT_3D('',#440673,#354601,#354602); #327969=AXIS2_PLACEMENT_3D('',#440674,#354603,#354604); #327970=AXIS2_PLACEMENT_3D('',#440676,#354605,#354606); #327971=AXIS2_PLACEMENT_3D('',#440679,#354608,#354609); #327972=AXIS2_PLACEMENT_3D('',#440680,#354610,#354611); #327973=AXIS2_PLACEMENT_3D('',#440682,#354612,#354613); #327974=AXIS2_PLACEMENT_3D('',#440685,#354615,#354616); #327975=AXIS2_PLACEMENT_3D('',#440686,#354617,#354618); #327976=AXIS2_PLACEMENT_3D('',#440687,#354619,#354620); #327977=AXIS2_PLACEMENT_3D('',#440688,#354621,#354622); #327978=AXIS2_PLACEMENT_3D('',#440690,#354623,#354624); #327979=AXIS2_PLACEMENT_3D('',#440693,#354626,#354627); #327980=AXIS2_PLACEMENT_3D('',#440694,#354628,#354629); #327981=AXIS2_PLACEMENT_3D('',#440696,#354630,#354631); #327982=AXIS2_PLACEMENT_3D('',#440699,#354633,#354634); #327983=AXIS2_PLACEMENT_3D('',#440700,#354635,#354636); #327984=AXIS2_PLACEMENT_3D('',#440701,#354637,#354638); #327985=AXIS2_PLACEMENT_3D('',#440702,#354639,#354640); #327986=AXIS2_PLACEMENT_3D('',#440704,#354641,#354642); #327987=AXIS2_PLACEMENT_3D('',#440707,#354644,#354645); #327988=AXIS2_PLACEMENT_3D('',#440708,#354646,#354647); #327989=AXIS2_PLACEMENT_3D('',#440710,#354648,#354649); #327990=AXIS2_PLACEMENT_3D('',#440713,#354651,#354652); #327991=AXIS2_PLACEMENT_3D('',#440714,#354653,#354654); #327992=AXIS2_PLACEMENT_3D('',#440715,#354655,#354656); #327993=AXIS2_PLACEMENT_3D('',#440716,#354657,#354658); #327994=AXIS2_PLACEMENT_3D('',#440718,#354659,#354660); #327995=AXIS2_PLACEMENT_3D('',#440721,#354662,#354663); #327996=AXIS2_PLACEMENT_3D('',#440722,#354664,#354665); #327997=AXIS2_PLACEMENT_3D('',#440724,#354666,#354667); #327998=AXIS2_PLACEMENT_3D('',#440727,#354669,#354670); #327999=AXIS2_PLACEMENT_3D('',#440728,#354671,#354672); #328000=AXIS2_PLACEMENT_3D('',#440729,#354673,#354674); #328001=AXIS2_PLACEMENT_3D('',#440730,#354675,#354676); #328002=AXIS2_PLACEMENT_3D('',#440732,#354677,#354678); #328003=AXIS2_PLACEMENT_3D('',#440735,#354680,#354681); #328004=AXIS2_PLACEMENT_3D('',#440736,#354682,#354683); #328005=AXIS2_PLACEMENT_3D('',#440738,#354684,#354685); #328006=AXIS2_PLACEMENT_3D('',#440741,#354687,#354688); #328007=AXIS2_PLACEMENT_3D('',#440742,#354689,#354690); #328008=AXIS2_PLACEMENT_3D('',#440743,#354691,#354692); #328009=AXIS2_PLACEMENT_3D('',#440744,#354693,#354694); #328010=AXIS2_PLACEMENT_3D('',#440746,#354695,#354696); #328011=AXIS2_PLACEMENT_3D('',#440749,#354698,#354699); #328012=AXIS2_PLACEMENT_3D('',#440750,#354700,#354701); #328013=AXIS2_PLACEMENT_3D('',#440752,#354702,#354703); #328014=AXIS2_PLACEMENT_3D('',#440755,#354705,#354706); #328015=AXIS2_PLACEMENT_3D('',#440756,#354707,#354708); #328016=AXIS2_PLACEMENT_3D('',#440757,#354709,#354710); #328017=AXIS2_PLACEMENT_3D('',#440758,#354711,#354712); #328018=AXIS2_PLACEMENT_3D('',#440760,#354713,#354714); #328019=AXIS2_PLACEMENT_3D('',#440763,#354716,#354717); #328020=AXIS2_PLACEMENT_3D('',#440764,#354718,#354719); #328021=AXIS2_PLACEMENT_3D('',#440766,#354720,#354721); #328022=AXIS2_PLACEMENT_3D('',#440769,#354723,#354724); #328023=AXIS2_PLACEMENT_3D('',#440770,#354725,#354726); #328024=AXIS2_PLACEMENT_3D('',#440771,#354727,#354728); #328025=AXIS2_PLACEMENT_3D('',#440772,#354729,#354730); #328026=AXIS2_PLACEMENT_3D('',#440774,#354731,#354732); #328027=AXIS2_PLACEMENT_3D('',#440777,#354734,#354735); #328028=AXIS2_PLACEMENT_3D('',#440778,#354736,#354737); #328029=AXIS2_PLACEMENT_3D('',#440780,#354738,#354739); #328030=AXIS2_PLACEMENT_3D('',#440783,#354741,#354742); #328031=AXIS2_PLACEMENT_3D('',#440784,#354743,#354744); #328032=AXIS2_PLACEMENT_3D('',#440785,#354745,#354746); #328033=AXIS2_PLACEMENT_3D('',#440786,#354747,#354748); #328034=AXIS2_PLACEMENT_3D('',#440788,#354749,#354750); #328035=AXIS2_PLACEMENT_3D('',#440791,#354752,#354753); #328036=AXIS2_PLACEMENT_3D('',#440792,#354754,#354755); #328037=AXIS2_PLACEMENT_3D('',#440794,#354756,#354757); #328038=AXIS2_PLACEMENT_3D('',#440797,#354759,#354760); #328039=AXIS2_PLACEMENT_3D('',#440798,#354761,#354762); #328040=AXIS2_PLACEMENT_3D('',#440799,#354763,#354764); #328041=AXIS2_PLACEMENT_3D('',#440800,#354765,#354766); #328042=AXIS2_PLACEMENT_3D('',#440802,#354767,#354768); #328043=AXIS2_PLACEMENT_3D('',#440805,#354770,#354771); #328044=AXIS2_PLACEMENT_3D('',#440806,#354772,#354773); #328045=AXIS2_PLACEMENT_3D('',#440808,#354774,#354775); #328046=AXIS2_PLACEMENT_3D('',#440811,#354777,#354778); #328047=AXIS2_PLACEMENT_3D('',#440812,#354779,#354780); #328048=AXIS2_PLACEMENT_3D('',#440813,#354781,#354782); #328049=AXIS2_PLACEMENT_3D('',#440814,#354783,#354784); #328050=AXIS2_PLACEMENT_3D('',#440816,#354785,#354786); #328051=AXIS2_PLACEMENT_3D('',#440819,#354788,#354789); #328052=AXIS2_PLACEMENT_3D('',#440820,#354790,#354791); #328053=AXIS2_PLACEMENT_3D('',#440822,#354792,#354793); #328054=AXIS2_PLACEMENT_3D('',#440825,#354795,#354796); #328055=AXIS2_PLACEMENT_3D('',#440826,#354797,#354798); #328056=AXIS2_PLACEMENT_3D('',#440827,#354799,#354800); #328057=AXIS2_PLACEMENT_3D('',#440828,#354801,#354802); #328058=AXIS2_PLACEMENT_3D('',#440830,#354803,#354804); #328059=AXIS2_PLACEMENT_3D('',#440833,#354806,#354807); #328060=AXIS2_PLACEMENT_3D('',#440834,#354808,#354809); #328061=AXIS2_PLACEMENT_3D('',#440836,#354810,#354811); #328062=AXIS2_PLACEMENT_3D('',#440839,#354813,#354814); #328063=AXIS2_PLACEMENT_3D('',#440840,#354815,#354816); #328064=AXIS2_PLACEMENT_3D('',#440841,#354817,#354818); #328065=AXIS2_PLACEMENT_3D('',#440842,#354819,#354820); #328066=AXIS2_PLACEMENT_3D('',#440844,#354821,#354822); #328067=AXIS2_PLACEMENT_3D('',#440847,#354824,#354825); #328068=AXIS2_PLACEMENT_3D('',#440848,#354826,#354827); #328069=AXIS2_PLACEMENT_3D('',#440850,#354828,#354829); #328070=AXIS2_PLACEMENT_3D('',#440853,#354831,#354832); #328071=AXIS2_PLACEMENT_3D('',#440854,#354833,#354834); #328072=AXIS2_PLACEMENT_3D('',#440855,#354835,#354836); #328073=AXIS2_PLACEMENT_3D('',#440856,#354837,#354838); #328074=AXIS2_PLACEMENT_3D('',#440858,#354839,#354840); #328075=AXIS2_PLACEMENT_3D('',#440861,#354842,#354843); #328076=AXIS2_PLACEMENT_3D('',#440862,#354844,#354845); #328077=AXIS2_PLACEMENT_3D('',#440864,#354846,#354847); #328078=AXIS2_PLACEMENT_3D('',#440867,#354849,#354850); #328079=AXIS2_PLACEMENT_3D('',#440868,#354851,#354852); #328080=AXIS2_PLACEMENT_3D('',#440869,#354853,#354854); #328081=AXIS2_PLACEMENT_3D('',#440870,#354855,#354856); #328082=AXIS2_PLACEMENT_3D('',#440872,#354857,#354858); #328083=AXIS2_PLACEMENT_3D('',#440875,#354860,#354861); #328084=AXIS2_PLACEMENT_3D('',#440876,#354862,#354863); #328085=AXIS2_PLACEMENT_3D('',#440878,#354864,#354865); #328086=AXIS2_PLACEMENT_3D('',#440881,#354867,#354868); #328087=AXIS2_PLACEMENT_3D('',#440882,#354869,#354870); #328088=AXIS2_PLACEMENT_3D('',#440883,#354871,#354872); #328089=AXIS2_PLACEMENT_3D('',#440884,#354873,#354874); #328090=AXIS2_PLACEMENT_3D('',#440886,#354875,#354876); #328091=AXIS2_PLACEMENT_3D('',#440889,#354878,#354879); #328092=AXIS2_PLACEMENT_3D('',#440890,#354880,#354881); #328093=AXIS2_PLACEMENT_3D('',#440892,#354882,#354883); #328094=AXIS2_PLACEMENT_3D('',#440895,#354885,#354886); #328095=AXIS2_PLACEMENT_3D('',#440896,#354887,#354888); #328096=AXIS2_PLACEMENT_3D('',#440897,#354889,#354890); #328097=AXIS2_PLACEMENT_3D('',#440898,#354891,#354892); #328098=AXIS2_PLACEMENT_3D('',#440900,#354893,#354894); #328099=AXIS2_PLACEMENT_3D('',#440903,#354896,#354897); #328100=AXIS2_PLACEMENT_3D('',#440904,#354898,#354899); #328101=AXIS2_PLACEMENT_3D('',#440906,#354900,#354901); #328102=AXIS2_PLACEMENT_3D('',#440909,#354903,#354904); #328103=AXIS2_PLACEMENT_3D('',#440910,#354905,#354906); #328104=AXIS2_PLACEMENT_3D('',#440911,#354907,#354908); #328105=AXIS2_PLACEMENT_3D('',#440912,#354909,#354910); #328106=AXIS2_PLACEMENT_3D('',#440914,#354911,#354912); #328107=AXIS2_PLACEMENT_3D('',#440917,#354914,#354915); #328108=AXIS2_PLACEMENT_3D('',#440918,#354916,#354917); #328109=AXIS2_PLACEMENT_3D('',#440920,#354918,#354919); #328110=AXIS2_PLACEMENT_3D('',#440923,#354921,#354922); #328111=AXIS2_PLACEMENT_3D('',#440924,#354923,#354924); #328112=AXIS2_PLACEMENT_3D('',#440925,#354925,#354926); #328113=AXIS2_PLACEMENT_3D('',#440926,#354927,#354928); #328114=AXIS2_PLACEMENT_3D('',#440928,#354929,#354930); #328115=AXIS2_PLACEMENT_3D('',#440931,#354932,#354933); #328116=AXIS2_PLACEMENT_3D('',#440932,#354934,#354935); #328117=AXIS2_PLACEMENT_3D('',#440934,#354936,#354937); #328118=AXIS2_PLACEMENT_3D('',#440937,#354939,#354940); #328119=AXIS2_PLACEMENT_3D('',#440938,#354941,#354942); #328120=AXIS2_PLACEMENT_3D('',#440939,#354943,#354944); #328121=AXIS2_PLACEMENT_3D('',#440940,#354945,#354946); #328122=AXIS2_PLACEMENT_3D('',#440942,#354947,#354948); #328123=AXIS2_PLACEMENT_3D('',#440945,#354950,#354951); #328124=AXIS2_PLACEMENT_3D('',#440946,#354952,#354953); #328125=AXIS2_PLACEMENT_3D('',#440948,#354954,#354955); #328126=AXIS2_PLACEMENT_3D('',#440951,#354957,#354958); #328127=AXIS2_PLACEMENT_3D('',#440952,#354959,#354960); #328128=AXIS2_PLACEMENT_3D('',#440953,#354961,#354962); #328129=AXIS2_PLACEMENT_3D('',#440954,#354963,#354964); #328130=AXIS2_PLACEMENT_3D('',#440956,#354965,#354966); #328131=AXIS2_PLACEMENT_3D('',#440959,#354968,#354969); #328132=AXIS2_PLACEMENT_3D('',#440960,#354970,#354971); #328133=AXIS2_PLACEMENT_3D('',#440962,#354972,#354973); #328134=AXIS2_PLACEMENT_3D('',#440965,#354975,#354976); #328135=AXIS2_PLACEMENT_3D('',#440966,#354977,#354978); #328136=AXIS2_PLACEMENT_3D('',#440967,#354979,#354980); #328137=AXIS2_PLACEMENT_3D('',#440968,#354981,#354982); #328138=AXIS2_PLACEMENT_3D('',#440970,#354983,#354984); #328139=AXIS2_PLACEMENT_3D('',#440973,#354986,#354987); #328140=AXIS2_PLACEMENT_3D('',#440974,#354988,#354989); #328141=AXIS2_PLACEMENT_3D('',#440976,#354990,#354991); #328142=AXIS2_PLACEMENT_3D('',#440979,#354993,#354994); #328143=AXIS2_PLACEMENT_3D('',#440980,#354995,#354996); #328144=AXIS2_PLACEMENT_3D('',#440981,#354997,#354998); #328145=AXIS2_PLACEMENT_3D('',#440982,#354999,#355000); #328146=AXIS2_PLACEMENT_3D('',#440984,#355001,#355002); #328147=AXIS2_PLACEMENT_3D('',#440987,#355004,#355005); #328148=AXIS2_PLACEMENT_3D('',#440988,#355006,#355007); #328149=AXIS2_PLACEMENT_3D('',#440990,#355008,#355009); #328150=AXIS2_PLACEMENT_3D('',#440993,#355011,#355012); #328151=AXIS2_PLACEMENT_3D('',#440994,#355013,#355014); #328152=AXIS2_PLACEMENT_3D('',#440995,#355015,#355016); #328153=AXIS2_PLACEMENT_3D('',#440996,#355017,#355018); #328154=AXIS2_PLACEMENT_3D('',#440998,#355019,#355020); #328155=AXIS2_PLACEMENT_3D('',#441001,#355022,#355023); #328156=AXIS2_PLACEMENT_3D('',#441002,#355024,#355025); #328157=AXIS2_PLACEMENT_3D('',#441004,#355026,#355027); #328158=AXIS2_PLACEMENT_3D('',#441007,#355029,#355030); #328159=AXIS2_PLACEMENT_3D('',#441008,#355031,#355032); #328160=AXIS2_PLACEMENT_3D('',#441009,#355033,#355034); #328161=AXIS2_PLACEMENT_3D('',#441010,#355035,#355036); #328162=AXIS2_PLACEMENT_3D('',#441012,#355037,#355038); #328163=AXIS2_PLACEMENT_3D('',#441015,#355040,#355041); #328164=AXIS2_PLACEMENT_3D('',#441016,#355042,#355043); #328165=AXIS2_PLACEMENT_3D('',#441018,#355044,#355045); #328166=AXIS2_PLACEMENT_3D('',#441021,#355047,#355048); #328167=AXIS2_PLACEMENT_3D('',#441022,#355049,#355050); #328168=AXIS2_PLACEMENT_3D('',#441023,#355051,#355052); #328169=AXIS2_PLACEMENT_3D('',#441024,#355053,#355054); #328170=AXIS2_PLACEMENT_3D('',#441026,#355055,#355056); #328171=AXIS2_PLACEMENT_3D('',#441029,#355058,#355059); #328172=AXIS2_PLACEMENT_3D('',#441030,#355060,#355061); #328173=AXIS2_PLACEMENT_3D('',#441032,#355062,#355063); #328174=AXIS2_PLACEMENT_3D('',#441035,#355065,#355066); #328175=AXIS2_PLACEMENT_3D('',#441036,#355067,#355068); #328176=AXIS2_PLACEMENT_3D('',#441037,#355069,#355070); #328177=AXIS2_PLACEMENT_3D('',#441038,#355071,#355072); #328178=AXIS2_PLACEMENT_3D('',#441040,#355073,#355074); #328179=AXIS2_PLACEMENT_3D('',#441043,#355076,#355077); #328180=AXIS2_PLACEMENT_3D('',#441044,#355078,#355079); #328181=AXIS2_PLACEMENT_3D('',#441046,#355080,#355081); #328182=AXIS2_PLACEMENT_3D('',#441049,#355083,#355084); #328183=AXIS2_PLACEMENT_3D('',#441050,#355085,#355086); #328184=AXIS2_PLACEMENT_3D('',#441051,#355087,#355088); #328185=AXIS2_PLACEMENT_3D('',#441052,#355089,#355090); #328186=AXIS2_PLACEMENT_3D('',#441054,#355091,#355092); #328187=AXIS2_PLACEMENT_3D('',#441057,#355094,#355095); #328188=AXIS2_PLACEMENT_3D('',#441058,#355096,#355097); #328189=AXIS2_PLACEMENT_3D('',#441060,#355098,#355099); #328190=AXIS2_PLACEMENT_3D('',#441063,#355101,#355102); #328191=AXIS2_PLACEMENT_3D('',#441064,#355103,#355104); #328192=AXIS2_PLACEMENT_3D('',#441065,#355105,#355106); #328193=AXIS2_PLACEMENT_3D('',#441066,#355107,#355108); #328194=AXIS2_PLACEMENT_3D('',#441068,#355109,#355110); #328195=AXIS2_PLACEMENT_3D('',#441071,#355112,#355113); #328196=AXIS2_PLACEMENT_3D('',#441072,#355114,#355115); #328197=AXIS2_PLACEMENT_3D('',#441074,#355116,#355117); #328198=AXIS2_PLACEMENT_3D('',#441077,#355119,#355120); #328199=AXIS2_PLACEMENT_3D('',#441078,#355121,#355122); #328200=AXIS2_PLACEMENT_3D('',#441079,#355123,#355124); #328201=AXIS2_PLACEMENT_3D('',#441080,#355125,#355126); #328202=AXIS2_PLACEMENT_3D('',#441082,#355127,#355128); #328203=AXIS2_PLACEMENT_3D('',#441085,#355130,#355131); #328204=AXIS2_PLACEMENT_3D('',#441086,#355132,#355133); #328205=AXIS2_PLACEMENT_3D('',#441088,#355134,#355135); #328206=AXIS2_PLACEMENT_3D('',#441091,#355137,#355138); #328207=AXIS2_PLACEMENT_3D('',#441092,#355139,#355140); #328208=AXIS2_PLACEMENT_3D('',#441093,#355141,#355142); #328209=AXIS2_PLACEMENT_3D('',#441094,#355143,#355144); #328210=AXIS2_PLACEMENT_3D('',#441096,#355145,#355146); #328211=AXIS2_PLACEMENT_3D('',#441099,#355148,#355149); #328212=AXIS2_PLACEMENT_3D('',#441100,#355150,#355151); #328213=AXIS2_PLACEMENT_3D('',#441102,#355152,#355153); #328214=AXIS2_PLACEMENT_3D('',#441105,#355155,#355156); #328215=AXIS2_PLACEMENT_3D('',#441106,#355157,#355158); #328216=AXIS2_PLACEMENT_3D('',#441107,#355159,#355160); #328217=AXIS2_PLACEMENT_3D('',#441108,#355161,#355162); #328218=AXIS2_PLACEMENT_3D('',#441110,#355163,#355164); #328219=AXIS2_PLACEMENT_3D('',#441113,#355166,#355167); #328220=AXIS2_PLACEMENT_3D('',#441114,#355168,#355169); #328221=AXIS2_PLACEMENT_3D('',#441116,#355170,#355171); #328222=AXIS2_PLACEMENT_3D('',#441119,#355173,#355174); #328223=AXIS2_PLACEMENT_3D('',#441120,#355175,#355176); #328224=AXIS2_PLACEMENT_3D('',#441121,#355177,#355178); #328225=AXIS2_PLACEMENT_3D('',#441122,#355179,#355180); #328226=AXIS2_PLACEMENT_3D('',#441124,#355181,#355182); #328227=AXIS2_PLACEMENT_3D('',#441127,#355184,#355185); #328228=AXIS2_PLACEMENT_3D('',#441128,#355186,#355187); #328229=AXIS2_PLACEMENT_3D('',#441130,#355188,#355189); #328230=AXIS2_PLACEMENT_3D('',#441133,#355191,#355192); #328231=AXIS2_PLACEMENT_3D('',#441134,#355193,#355194); #328232=AXIS2_PLACEMENT_3D('',#441135,#355195,#355196); #328233=AXIS2_PLACEMENT_3D('',#441136,#355197,#355198); #328234=AXIS2_PLACEMENT_3D('',#441138,#355199,#355200); #328235=AXIS2_PLACEMENT_3D('',#441141,#355202,#355203); #328236=AXIS2_PLACEMENT_3D('',#441142,#355204,#355205); #328237=AXIS2_PLACEMENT_3D('',#441144,#355206,#355207); #328238=AXIS2_PLACEMENT_3D('',#441147,#355209,#355210); #328239=AXIS2_PLACEMENT_3D('',#441148,#355211,#355212); #328240=AXIS2_PLACEMENT_3D('',#441149,#355213,#355214); #328241=AXIS2_PLACEMENT_3D('',#441150,#355215,#355216); #328242=AXIS2_PLACEMENT_3D('',#441152,#355217,#355218); #328243=AXIS2_PLACEMENT_3D('',#441155,#355220,#355221); #328244=AXIS2_PLACEMENT_3D('',#441156,#355222,#355223); #328245=AXIS2_PLACEMENT_3D('',#441158,#355224,#355225); #328246=AXIS2_PLACEMENT_3D('',#441161,#355227,#355228); #328247=AXIS2_PLACEMENT_3D('',#441162,#355229,#355230); #328248=AXIS2_PLACEMENT_3D('',#441163,#355231,#355232); #328249=AXIS2_PLACEMENT_3D('',#441164,#355233,#355234); #328250=AXIS2_PLACEMENT_3D('',#441166,#355235,#355236); #328251=AXIS2_PLACEMENT_3D('',#441169,#355238,#355239); #328252=AXIS2_PLACEMENT_3D('',#441170,#355240,#355241); #328253=AXIS2_PLACEMENT_3D('',#441172,#355242,#355243); #328254=AXIS2_PLACEMENT_3D('',#441175,#355245,#355246); #328255=AXIS2_PLACEMENT_3D('',#441176,#355247,#355248); #328256=AXIS2_PLACEMENT_3D('',#441177,#355249,#355250); #328257=AXIS2_PLACEMENT_3D('',#441178,#355251,#355252); #328258=AXIS2_PLACEMENT_3D('',#441180,#355253,#355254); #328259=AXIS2_PLACEMENT_3D('',#441183,#355256,#355257); #328260=AXIS2_PLACEMENT_3D('',#441184,#355258,#355259); #328261=AXIS2_PLACEMENT_3D('',#441186,#355260,#355261); #328262=AXIS2_PLACEMENT_3D('',#441189,#355263,#355264); #328263=AXIS2_PLACEMENT_3D('',#441190,#355265,#355266); #328264=AXIS2_PLACEMENT_3D('',#441191,#355267,#355268); #328265=AXIS2_PLACEMENT_3D('',#441192,#355269,#355270); #328266=AXIS2_PLACEMENT_3D('',#441194,#355271,#355272); #328267=AXIS2_PLACEMENT_3D('',#441197,#355274,#355275); #328268=AXIS2_PLACEMENT_3D('',#441198,#355276,#355277); #328269=AXIS2_PLACEMENT_3D('',#441200,#355278,#355279); #328270=AXIS2_PLACEMENT_3D('',#441203,#355281,#355282); #328271=AXIS2_PLACEMENT_3D('',#441204,#355283,#355284); #328272=AXIS2_PLACEMENT_3D('',#441205,#355285,#355286); #328273=AXIS2_PLACEMENT_3D('',#441206,#355287,#355288); #328274=AXIS2_PLACEMENT_3D('',#441208,#355289,#355290); #328275=AXIS2_PLACEMENT_3D('',#441211,#355292,#355293); #328276=AXIS2_PLACEMENT_3D('',#441212,#355294,#355295); #328277=AXIS2_PLACEMENT_3D('',#441214,#355296,#355297); #328278=AXIS2_PLACEMENT_3D('',#441217,#355299,#355300); #328279=AXIS2_PLACEMENT_3D('',#441218,#355301,#355302); #328280=AXIS2_PLACEMENT_3D('',#441219,#355303,#355304); #328281=AXIS2_PLACEMENT_3D('',#441220,#355305,#355306); #328282=AXIS2_PLACEMENT_3D('',#441222,#355307,#355308); #328283=AXIS2_PLACEMENT_3D('',#441225,#355310,#355311); #328284=AXIS2_PLACEMENT_3D('',#441226,#355312,#355313); #328285=AXIS2_PLACEMENT_3D('',#441228,#355314,#355315); #328286=AXIS2_PLACEMENT_3D('',#441231,#355317,#355318); #328287=AXIS2_PLACEMENT_3D('',#441232,#355319,#355320); #328288=AXIS2_PLACEMENT_3D('',#441233,#355321,#355322); #328289=AXIS2_PLACEMENT_3D('',#441234,#355323,#355324); #328290=AXIS2_PLACEMENT_3D('',#441236,#355325,#355326); #328291=AXIS2_PLACEMENT_3D('',#441239,#355328,#355329); #328292=AXIS2_PLACEMENT_3D('',#441240,#355330,#355331); #328293=AXIS2_PLACEMENT_3D('',#441242,#355332,#355333); #328294=AXIS2_PLACEMENT_3D('',#441245,#355335,#355336); #328295=AXIS2_PLACEMENT_3D('',#441246,#355337,#355338); #328296=AXIS2_PLACEMENT_3D('',#441247,#355339,#355340); #328297=AXIS2_PLACEMENT_3D('',#441248,#355341,#355342); #328298=AXIS2_PLACEMENT_3D('',#441250,#355343,#355344); #328299=AXIS2_PLACEMENT_3D('',#441253,#355346,#355347); #328300=AXIS2_PLACEMENT_3D('',#441254,#355348,#355349); #328301=AXIS2_PLACEMENT_3D('',#441256,#355350,#355351); #328302=AXIS2_PLACEMENT_3D('',#441259,#355353,#355354); #328303=AXIS2_PLACEMENT_3D('',#441260,#355355,#355356); #328304=AXIS2_PLACEMENT_3D('',#441261,#355357,#355358); #328305=AXIS2_PLACEMENT_3D('',#441262,#355359,#355360); #328306=AXIS2_PLACEMENT_3D('',#441264,#355361,#355362); #328307=AXIS2_PLACEMENT_3D('',#441267,#355364,#355365); #328308=AXIS2_PLACEMENT_3D('',#441268,#355366,#355367); #328309=AXIS2_PLACEMENT_3D('',#441270,#355368,#355369); #328310=AXIS2_PLACEMENT_3D('',#441273,#355371,#355372); #328311=AXIS2_PLACEMENT_3D('',#441274,#355373,#355374); #328312=AXIS2_PLACEMENT_3D('',#441275,#355375,#355376); #328313=AXIS2_PLACEMENT_3D('',#441276,#355377,#355378); #328314=AXIS2_PLACEMENT_3D('',#441278,#355379,#355380); #328315=AXIS2_PLACEMENT_3D('',#441281,#355382,#355383); #328316=AXIS2_PLACEMENT_3D('',#441282,#355384,#355385); #328317=AXIS2_PLACEMENT_3D('',#441284,#355386,#355387); #328318=AXIS2_PLACEMENT_3D('',#441287,#355389,#355390); #328319=AXIS2_PLACEMENT_3D('',#441288,#355391,#355392); #328320=AXIS2_PLACEMENT_3D('',#441289,#355393,#355394); #328321=AXIS2_PLACEMENT_3D('',#441290,#355395,#355396); #328322=AXIS2_PLACEMENT_3D('',#441292,#355397,#355398); #328323=AXIS2_PLACEMENT_3D('',#441295,#355400,#355401); #328324=AXIS2_PLACEMENT_3D('',#441296,#355402,#355403); #328325=AXIS2_PLACEMENT_3D('',#441298,#355404,#355405); #328326=AXIS2_PLACEMENT_3D('',#441301,#355407,#355408); #328327=AXIS2_PLACEMENT_3D('',#441302,#355409,#355410); #328328=AXIS2_PLACEMENT_3D('',#441303,#355411,#355412); #328329=AXIS2_PLACEMENT_3D('',#441304,#355413,#355414); #328330=AXIS2_PLACEMENT_3D('',#441306,#355415,#355416); #328331=AXIS2_PLACEMENT_3D('',#441309,#355418,#355419); #328332=AXIS2_PLACEMENT_3D('',#441310,#355420,#355421); #328333=AXIS2_PLACEMENT_3D('',#441312,#355422,#355423); #328334=AXIS2_PLACEMENT_3D('',#441315,#355425,#355426); #328335=AXIS2_PLACEMENT_3D('',#441316,#355427,#355428); #328336=AXIS2_PLACEMENT_3D('',#441317,#355429,#355430); #328337=AXIS2_PLACEMENT_3D('',#441318,#355431,#355432); #328338=AXIS2_PLACEMENT_3D('',#441320,#355433,#355434); #328339=AXIS2_PLACEMENT_3D('',#441323,#355436,#355437); #328340=AXIS2_PLACEMENT_3D('',#441324,#355438,#355439); #328341=AXIS2_PLACEMENT_3D('',#441326,#355440,#355441); #328342=AXIS2_PLACEMENT_3D('',#441329,#355443,#355444); #328343=AXIS2_PLACEMENT_3D('',#441330,#355445,#355446); #328344=AXIS2_PLACEMENT_3D('',#441331,#355447,#355448); #328345=AXIS2_PLACEMENT_3D('',#441332,#355449,#355450); #328346=AXIS2_PLACEMENT_3D('',#441334,#355451,#355452); #328347=AXIS2_PLACEMENT_3D('',#441337,#355454,#355455); #328348=AXIS2_PLACEMENT_3D('',#441338,#355456,#355457); #328349=AXIS2_PLACEMENT_3D('',#441340,#355458,#355459); #328350=AXIS2_PLACEMENT_3D('',#441343,#355461,#355462); #328351=AXIS2_PLACEMENT_3D('',#441344,#355463,#355464); #328352=AXIS2_PLACEMENT_3D('',#441345,#355465,#355466); #328353=AXIS2_PLACEMENT_3D('',#441346,#355467,#355468); #328354=AXIS2_PLACEMENT_3D('',#441348,#355469,#355470); #328355=AXIS2_PLACEMENT_3D('',#441351,#355472,#355473); #328356=AXIS2_PLACEMENT_3D('',#441352,#355474,#355475); #328357=AXIS2_PLACEMENT_3D('',#441354,#355476,#355477); #328358=AXIS2_PLACEMENT_3D('',#441357,#355479,#355480); #328359=AXIS2_PLACEMENT_3D('',#441358,#355481,#355482); #328360=AXIS2_PLACEMENT_3D('',#441359,#355483,#355484); #328361=AXIS2_PLACEMENT_3D('',#441360,#355485,#355486); #328362=AXIS2_PLACEMENT_3D('',#441362,#355487,#355488); #328363=AXIS2_PLACEMENT_3D('',#441365,#355490,#355491); #328364=AXIS2_PLACEMENT_3D('',#441366,#355492,#355493); #328365=AXIS2_PLACEMENT_3D('',#441368,#355494,#355495); #328366=AXIS2_PLACEMENT_3D('',#441371,#355497,#355498); #328367=AXIS2_PLACEMENT_3D('',#441372,#355499,#355500); #328368=AXIS2_PLACEMENT_3D('',#441373,#355501,#355502); #328369=AXIS2_PLACEMENT_3D('',#441374,#355503,#355504); #328370=AXIS2_PLACEMENT_3D('',#441376,#355505,#355506); #328371=AXIS2_PLACEMENT_3D('',#441379,#355508,#355509); #328372=AXIS2_PLACEMENT_3D('',#441380,#355510,#355511); #328373=AXIS2_PLACEMENT_3D('',#441382,#355512,#355513); #328374=AXIS2_PLACEMENT_3D('',#441385,#355515,#355516); #328375=AXIS2_PLACEMENT_3D('',#441386,#355517,#355518); #328376=AXIS2_PLACEMENT_3D('',#441387,#355519,#355520); #328377=AXIS2_PLACEMENT_3D('',#441388,#355521,#355522); #328378=AXIS2_PLACEMENT_3D('',#441390,#355523,#355524); #328379=AXIS2_PLACEMENT_3D('',#441393,#355526,#355527); #328380=AXIS2_PLACEMENT_3D('',#441394,#355528,#355529); #328381=AXIS2_PLACEMENT_3D('',#441396,#355530,#355531); #328382=AXIS2_PLACEMENT_3D('',#441399,#355533,#355534); #328383=AXIS2_PLACEMENT_3D('',#441400,#355535,#355536); #328384=AXIS2_PLACEMENT_3D('',#441401,#355537,#355538); #328385=AXIS2_PLACEMENT_3D('',#441402,#355539,#355540); #328386=AXIS2_PLACEMENT_3D('',#441404,#355541,#355542); #328387=AXIS2_PLACEMENT_3D('',#441407,#355544,#355545); #328388=AXIS2_PLACEMENT_3D('',#441408,#355546,#355547); #328389=AXIS2_PLACEMENT_3D('',#441410,#355548,#355549); #328390=AXIS2_PLACEMENT_3D('',#441413,#355551,#355552); #328391=AXIS2_PLACEMENT_3D('',#441414,#355553,#355554); #328392=AXIS2_PLACEMENT_3D('',#441415,#355555,#355556); #328393=AXIS2_PLACEMENT_3D('',#441416,#355557,#355558); #328394=AXIS2_PLACEMENT_3D('',#441418,#355559,#355560); #328395=AXIS2_PLACEMENT_3D('',#441421,#355562,#355563); #328396=AXIS2_PLACEMENT_3D('',#441422,#355564,#355565); #328397=AXIS2_PLACEMENT_3D('',#441424,#355566,#355567); #328398=AXIS2_PLACEMENT_3D('',#441427,#355569,#355570); #328399=AXIS2_PLACEMENT_3D('',#441428,#355571,#355572); #328400=AXIS2_PLACEMENT_3D('',#441429,#355573,#355574); #328401=AXIS2_PLACEMENT_3D('',#441430,#355575,#355576); #328402=AXIS2_PLACEMENT_3D('',#441432,#355577,#355578); #328403=AXIS2_PLACEMENT_3D('',#441435,#355580,#355581); #328404=AXIS2_PLACEMENT_3D('',#441436,#355582,#355583); #328405=AXIS2_PLACEMENT_3D('',#441438,#355584,#355585); #328406=AXIS2_PLACEMENT_3D('',#441441,#355587,#355588); #328407=AXIS2_PLACEMENT_3D('',#441442,#355589,#355590); #328408=AXIS2_PLACEMENT_3D('',#441443,#355591,#355592); #328409=AXIS2_PLACEMENT_3D('',#441444,#355593,#355594); #328410=AXIS2_PLACEMENT_3D('',#441446,#355595,#355596); #328411=AXIS2_PLACEMENT_3D('',#441449,#355598,#355599); #328412=AXIS2_PLACEMENT_3D('',#441450,#355600,#355601); #328413=AXIS2_PLACEMENT_3D('',#441452,#355602,#355603); #328414=AXIS2_PLACEMENT_3D('',#441455,#355605,#355606); #328415=AXIS2_PLACEMENT_3D('',#441456,#355607,#355608); #328416=AXIS2_PLACEMENT_3D('',#441457,#355609,#355610); #328417=AXIS2_PLACEMENT_3D('',#441458,#355611,#355612); #328418=AXIS2_PLACEMENT_3D('',#441460,#355613,#355614); #328419=AXIS2_PLACEMENT_3D('',#441463,#355616,#355617); #328420=AXIS2_PLACEMENT_3D('',#441464,#355618,#355619); #328421=AXIS2_PLACEMENT_3D('',#441466,#355620,#355621); #328422=AXIS2_PLACEMENT_3D('',#441469,#355623,#355624); #328423=AXIS2_PLACEMENT_3D('',#441470,#355625,#355626); #328424=AXIS2_PLACEMENT_3D('',#441471,#355627,#355628); #328425=AXIS2_PLACEMENT_3D('',#441472,#355629,#355630); #328426=AXIS2_PLACEMENT_3D('',#441474,#355631,#355632); #328427=AXIS2_PLACEMENT_3D('',#441477,#355634,#355635); #328428=AXIS2_PLACEMENT_3D('',#441478,#355636,#355637); #328429=AXIS2_PLACEMENT_3D('',#441480,#355638,#355639); #328430=AXIS2_PLACEMENT_3D('',#441483,#355641,#355642); #328431=AXIS2_PLACEMENT_3D('',#441484,#355643,#355644); #328432=AXIS2_PLACEMENT_3D('',#441485,#355645,#355646); #328433=AXIS2_PLACEMENT_3D('',#441486,#355647,#355648); #328434=AXIS2_PLACEMENT_3D('',#441488,#355649,#355650); #328435=AXIS2_PLACEMENT_3D('',#441491,#355652,#355653); #328436=AXIS2_PLACEMENT_3D('',#441492,#355654,#355655); #328437=AXIS2_PLACEMENT_3D('',#441494,#355656,#355657); #328438=AXIS2_PLACEMENT_3D('',#441497,#355659,#355660); #328439=AXIS2_PLACEMENT_3D('',#441498,#355661,#355662); #328440=AXIS2_PLACEMENT_3D('',#441499,#355663,#355664); #328441=AXIS2_PLACEMENT_3D('',#441500,#355665,#355666); #328442=AXIS2_PLACEMENT_3D('',#441502,#355667,#355668); #328443=AXIS2_PLACEMENT_3D('',#441505,#355670,#355671); #328444=AXIS2_PLACEMENT_3D('',#441506,#355672,#355673); #328445=AXIS2_PLACEMENT_3D('',#441508,#355674,#355675); #328446=AXIS2_PLACEMENT_3D('',#441511,#355677,#355678); #328447=AXIS2_PLACEMENT_3D('',#441512,#355679,#355680); #328448=AXIS2_PLACEMENT_3D('',#441513,#355681,#355682); #328449=AXIS2_PLACEMENT_3D('',#441514,#355683,#355684); #328450=AXIS2_PLACEMENT_3D('',#441516,#355685,#355686); #328451=AXIS2_PLACEMENT_3D('',#441519,#355688,#355689); #328452=AXIS2_PLACEMENT_3D('',#441520,#355690,#355691); #328453=AXIS2_PLACEMENT_3D('',#441522,#355692,#355693); #328454=AXIS2_PLACEMENT_3D('',#441525,#355695,#355696); #328455=AXIS2_PLACEMENT_3D('',#441526,#355697,#355698); #328456=AXIS2_PLACEMENT_3D('',#441527,#355699,#355700); #328457=AXIS2_PLACEMENT_3D('',#441528,#355701,#355702); #328458=AXIS2_PLACEMENT_3D('',#441530,#355703,#355704); #328459=AXIS2_PLACEMENT_3D('',#441533,#355706,#355707); #328460=AXIS2_PLACEMENT_3D('',#441534,#355708,#355709); #328461=AXIS2_PLACEMENT_3D('',#441536,#355710,#355711); #328462=AXIS2_PLACEMENT_3D('',#441539,#355713,#355714); #328463=AXIS2_PLACEMENT_3D('',#441540,#355715,#355716); #328464=AXIS2_PLACEMENT_3D('',#441541,#355717,#355718); #328465=AXIS2_PLACEMENT_3D('',#441542,#355719,#355720); #328466=AXIS2_PLACEMENT_3D('',#441544,#355721,#355722); #328467=AXIS2_PLACEMENT_3D('',#441547,#355724,#355725); #328468=AXIS2_PLACEMENT_3D('',#441548,#355726,#355727); #328469=AXIS2_PLACEMENT_3D('',#441550,#355728,#355729); #328470=AXIS2_PLACEMENT_3D('',#441553,#355731,#355732); #328471=AXIS2_PLACEMENT_3D('',#441554,#355733,#355734); #328472=AXIS2_PLACEMENT_3D('',#441555,#355735,#355736); #328473=AXIS2_PLACEMENT_3D('',#441556,#355737,#355738); #328474=AXIS2_PLACEMENT_3D('',#441558,#355739,#355740); #328475=AXIS2_PLACEMENT_3D('',#441561,#355742,#355743); #328476=AXIS2_PLACEMENT_3D('',#441562,#355744,#355745); #328477=AXIS2_PLACEMENT_3D('',#441564,#355746,#355747); #328478=AXIS2_PLACEMENT_3D('',#441567,#355749,#355750); #328479=AXIS2_PLACEMENT_3D('',#441568,#355751,#355752); #328480=AXIS2_PLACEMENT_3D('',#441569,#355753,#355754); #328481=AXIS2_PLACEMENT_3D('',#441570,#355755,#355756); #328482=AXIS2_PLACEMENT_3D('',#441572,#355757,#355758); #328483=AXIS2_PLACEMENT_3D('',#441575,#355760,#355761); #328484=AXIS2_PLACEMENT_3D('',#441576,#355762,#355763); #328485=AXIS2_PLACEMENT_3D('',#441578,#355764,#355765); #328486=AXIS2_PLACEMENT_3D('',#441581,#355767,#355768); #328487=AXIS2_PLACEMENT_3D('',#441582,#355769,#355770); #328488=AXIS2_PLACEMENT_3D('',#441583,#355771,#355772); #328489=AXIS2_PLACEMENT_3D('',#441584,#355773,#355774); #328490=AXIS2_PLACEMENT_3D('',#441586,#355775,#355776); #328491=AXIS2_PLACEMENT_3D('',#441589,#355778,#355779); #328492=AXIS2_PLACEMENT_3D('',#441590,#355780,#355781); #328493=AXIS2_PLACEMENT_3D('',#441592,#355782,#355783); #328494=AXIS2_PLACEMENT_3D('',#441595,#355785,#355786); #328495=AXIS2_PLACEMENT_3D('',#441596,#355787,#355788); #328496=AXIS2_PLACEMENT_3D('',#441597,#355789,#355790); #328497=AXIS2_PLACEMENT_3D('',#441598,#355791,#355792); #328498=AXIS2_PLACEMENT_3D('',#441600,#355793,#355794); #328499=AXIS2_PLACEMENT_3D('',#441603,#355796,#355797); #328500=AXIS2_PLACEMENT_3D('',#441604,#355798,#355799); #328501=AXIS2_PLACEMENT_3D('',#441606,#355800,#355801); #328502=AXIS2_PLACEMENT_3D('',#441609,#355803,#355804); #328503=AXIS2_PLACEMENT_3D('',#441610,#355805,#355806); #328504=AXIS2_PLACEMENT_3D('',#441611,#355807,#355808); #328505=AXIS2_PLACEMENT_3D('',#441612,#355809,#355810); #328506=AXIS2_PLACEMENT_3D('',#441614,#355811,#355812); #328507=AXIS2_PLACEMENT_3D('',#441617,#355814,#355815); #328508=AXIS2_PLACEMENT_3D('',#441618,#355816,#355817); #328509=AXIS2_PLACEMENT_3D('',#441620,#355818,#355819); #328510=AXIS2_PLACEMENT_3D('',#441623,#355821,#355822); #328511=AXIS2_PLACEMENT_3D('',#441624,#355823,#355824); #328512=AXIS2_PLACEMENT_3D('',#441625,#355825,#355826); #328513=AXIS2_PLACEMENT_3D('',#441626,#355827,#355828); #328514=AXIS2_PLACEMENT_3D('',#441628,#355829,#355830); #328515=AXIS2_PLACEMENT_3D('',#441631,#355832,#355833); #328516=AXIS2_PLACEMENT_3D('',#441632,#355834,#355835); #328517=AXIS2_PLACEMENT_3D('',#441634,#355836,#355837); #328518=AXIS2_PLACEMENT_3D('',#441637,#355839,#355840); #328519=AXIS2_PLACEMENT_3D('',#441638,#355841,#355842); #328520=AXIS2_PLACEMENT_3D('',#441639,#355843,#355844); #328521=AXIS2_PLACEMENT_3D('',#441640,#355845,#355846); #328522=AXIS2_PLACEMENT_3D('',#441642,#355847,#355848); #328523=AXIS2_PLACEMENT_3D('',#441645,#355850,#355851); #328524=AXIS2_PLACEMENT_3D('',#441646,#355852,#355853); #328525=AXIS2_PLACEMENT_3D('',#441648,#355854,#355855); #328526=AXIS2_PLACEMENT_3D('',#441651,#355857,#355858); #328527=AXIS2_PLACEMENT_3D('',#441652,#355859,#355860); #328528=AXIS2_PLACEMENT_3D('',#441653,#355861,#355862); #328529=AXIS2_PLACEMENT_3D('',#441654,#355863,#355864); #328530=AXIS2_PLACEMENT_3D('',#441656,#355865,#355866); #328531=AXIS2_PLACEMENT_3D('',#441659,#355868,#355869); #328532=AXIS2_PLACEMENT_3D('',#441660,#355870,#355871); #328533=AXIS2_PLACEMENT_3D('',#441662,#355872,#355873); #328534=AXIS2_PLACEMENT_3D('',#441665,#355875,#355876); #328535=AXIS2_PLACEMENT_3D('',#441666,#355877,#355878); #328536=AXIS2_PLACEMENT_3D('',#441667,#355879,#355880); #328537=AXIS2_PLACEMENT_3D('',#441668,#355881,#355882); #328538=AXIS2_PLACEMENT_3D('',#441670,#355883,#355884); #328539=AXIS2_PLACEMENT_3D('',#441673,#355886,#355887); #328540=AXIS2_PLACEMENT_3D('',#441674,#355888,#355889); #328541=AXIS2_PLACEMENT_3D('',#441676,#355890,#355891); #328542=AXIS2_PLACEMENT_3D('',#441679,#355893,#355894); #328543=AXIS2_PLACEMENT_3D('',#441680,#355895,#355896); #328544=AXIS2_PLACEMENT_3D('',#441681,#355897,#355898); #328545=AXIS2_PLACEMENT_3D('',#441682,#355899,#355900); #328546=AXIS2_PLACEMENT_3D('',#441684,#355901,#355902); #328547=AXIS2_PLACEMENT_3D('',#441687,#355904,#355905); #328548=AXIS2_PLACEMENT_3D('',#441688,#355906,#355907); #328549=AXIS2_PLACEMENT_3D('',#441690,#355908,#355909); #328550=AXIS2_PLACEMENT_3D('',#441693,#355911,#355912); #328551=AXIS2_PLACEMENT_3D('',#441694,#355913,#355914); #328552=AXIS2_PLACEMENT_3D('',#441695,#355915,#355916); #328553=AXIS2_PLACEMENT_3D('',#441696,#355917,#355918); #328554=AXIS2_PLACEMENT_3D('',#441698,#355919,#355920); #328555=AXIS2_PLACEMENT_3D('',#441701,#355922,#355923); #328556=AXIS2_PLACEMENT_3D('',#441702,#355924,#355925); #328557=AXIS2_PLACEMENT_3D('',#441704,#355926,#355927); #328558=AXIS2_PLACEMENT_3D('',#441707,#355929,#355930); #328559=AXIS2_PLACEMENT_3D('',#441708,#355931,#355932); #328560=AXIS2_PLACEMENT_3D('',#441709,#355933,#355934); #328561=AXIS2_PLACEMENT_3D('',#441710,#355935,#355936); #328562=AXIS2_PLACEMENT_3D('',#441712,#355937,#355938); #328563=AXIS2_PLACEMENT_3D('',#441715,#355940,#355941); #328564=AXIS2_PLACEMENT_3D('',#441716,#355942,#355943); #328565=AXIS2_PLACEMENT_3D('',#441718,#355944,#355945); #328566=AXIS2_PLACEMENT_3D('',#441721,#355947,#355948); #328567=AXIS2_PLACEMENT_3D('',#441722,#355949,#355950); #328568=AXIS2_PLACEMENT_3D('',#441723,#355951,#355952); #328569=AXIS2_PLACEMENT_3D('',#441724,#355953,#355954); #328570=AXIS2_PLACEMENT_3D('',#441726,#355955,#355956); #328571=AXIS2_PLACEMENT_3D('',#441729,#355958,#355959); #328572=AXIS2_PLACEMENT_3D('',#441730,#355960,#355961); #328573=AXIS2_PLACEMENT_3D('',#441732,#355962,#355963); #328574=AXIS2_PLACEMENT_3D('',#441735,#355965,#355966); #328575=AXIS2_PLACEMENT_3D('',#441736,#355967,#355968); #328576=AXIS2_PLACEMENT_3D('',#441737,#355969,#355970); #328577=AXIS2_PLACEMENT_3D('',#441738,#355971,#355972); #328578=AXIS2_PLACEMENT_3D('',#441740,#355973,#355974); #328579=AXIS2_PLACEMENT_3D('',#441743,#355976,#355977); #328580=AXIS2_PLACEMENT_3D('',#441744,#355978,#355979); #328581=AXIS2_PLACEMENT_3D('',#441746,#355980,#355981); #328582=AXIS2_PLACEMENT_3D('',#441749,#355983,#355984); #328583=AXIS2_PLACEMENT_3D('',#441750,#355985,#355986); #328584=AXIS2_PLACEMENT_3D('',#441751,#355987,#355988); #328585=AXIS2_PLACEMENT_3D('',#441752,#355989,#355990); #328586=AXIS2_PLACEMENT_3D('',#441754,#355991,#355992); #328587=AXIS2_PLACEMENT_3D('',#441757,#355994,#355995); #328588=AXIS2_PLACEMENT_3D('',#441758,#355996,#355997); #328589=AXIS2_PLACEMENT_3D('',#441760,#355998,#355999); #328590=AXIS2_PLACEMENT_3D('',#441763,#356001,#356002); #328591=AXIS2_PLACEMENT_3D('',#441764,#356003,#356004); #328592=AXIS2_PLACEMENT_3D('',#441765,#356005,#356006); #328593=AXIS2_PLACEMENT_3D('',#441766,#356007,#356008); #328594=AXIS2_PLACEMENT_3D('',#441768,#356009,#356010); #328595=AXIS2_PLACEMENT_3D('',#441771,#356012,#356013); #328596=AXIS2_PLACEMENT_3D('',#441772,#356014,#356015); #328597=AXIS2_PLACEMENT_3D('',#441774,#356016,#356017); #328598=AXIS2_PLACEMENT_3D('',#441777,#356019,#356020); #328599=AXIS2_PLACEMENT_3D('',#441778,#356021,#356022); #328600=AXIS2_PLACEMENT_3D('',#441779,#356023,#356024); #328601=AXIS2_PLACEMENT_3D('',#441780,#356025,#356026); #328602=AXIS2_PLACEMENT_3D('',#441782,#356027,#356028); #328603=AXIS2_PLACEMENT_3D('',#441785,#356030,#356031); #328604=AXIS2_PLACEMENT_3D('',#441786,#356032,#356033); #328605=AXIS2_PLACEMENT_3D('',#441788,#356034,#356035); #328606=AXIS2_PLACEMENT_3D('',#441791,#356037,#356038); #328607=AXIS2_PLACEMENT_3D('',#441792,#356039,#356040); #328608=AXIS2_PLACEMENT_3D('',#441793,#356041,#356042); #328609=AXIS2_PLACEMENT_3D('',#441794,#356043,#356044); #328610=AXIS2_PLACEMENT_3D('',#441796,#356045,#356046); #328611=AXIS2_PLACEMENT_3D('',#441799,#356048,#356049); #328612=AXIS2_PLACEMENT_3D('',#441800,#356050,#356051); #328613=AXIS2_PLACEMENT_3D('',#441802,#356052,#356053); #328614=AXIS2_PLACEMENT_3D('',#441805,#356055,#356056); #328615=AXIS2_PLACEMENT_3D('',#441806,#356057,#356058); #328616=AXIS2_PLACEMENT_3D('',#441807,#356059,#356060); #328617=AXIS2_PLACEMENT_3D('',#441808,#356061,#356062); #328618=AXIS2_PLACEMENT_3D('',#441810,#356063,#356064); #328619=AXIS2_PLACEMENT_3D('',#441813,#356066,#356067); #328620=AXIS2_PLACEMENT_3D('',#441814,#356068,#356069); #328621=AXIS2_PLACEMENT_3D('',#441816,#356070,#356071); #328622=AXIS2_PLACEMENT_3D('',#441819,#356073,#356074); #328623=AXIS2_PLACEMENT_3D('',#441820,#356075,#356076); #328624=AXIS2_PLACEMENT_3D('',#441821,#356077,#356078); #328625=AXIS2_PLACEMENT_3D('',#441822,#356079,#356080); #328626=AXIS2_PLACEMENT_3D('',#441824,#356081,#356082); #328627=AXIS2_PLACEMENT_3D('',#441827,#356084,#356085); #328628=AXIS2_PLACEMENT_3D('',#441828,#356086,#356087); #328629=AXIS2_PLACEMENT_3D('',#441830,#356088,#356089); #328630=AXIS2_PLACEMENT_3D('',#441833,#356091,#356092); #328631=AXIS2_PLACEMENT_3D('',#441834,#356093,#356094); #328632=AXIS2_PLACEMENT_3D('',#441835,#356095,#356096); #328633=AXIS2_PLACEMENT_3D('',#441836,#356097,#356098); #328634=AXIS2_PLACEMENT_3D('',#441845,#356103,#356104); #328635=AXIS2_PLACEMENT_3D('',#441847,#356105,#356106); #328636=AXIS2_PLACEMENT_3D('',#441849,#356107,#356108); #328637=AXIS2_PLACEMENT_3D('',#441851,#356110,#356111); #328638=AXIS2_PLACEMENT_3D('',#441857,#356115,#356116); #328639=AXIS2_PLACEMENT_3D('',#441858,#356117,#356118); #328640=AXIS2_PLACEMENT_3D('',#441859,#356119,#356120); #328641=AXIS2_PLACEMENT_3D('',#441860,#356121,#356122); #328642=AXIS2_PLACEMENT_3D('',#441869,#356127,#356128); #328643=AXIS2_PLACEMENT_3D('',#441871,#356129,#356130); #328644=AXIS2_PLACEMENT_3D('',#441873,#356131,#356132); #328645=AXIS2_PLACEMENT_3D('',#441875,#356134,#356135); #328646=AXIS2_PLACEMENT_3D('',#441881,#356139,#356140); #328647=AXIS2_PLACEMENT_3D('',#441882,#356141,#356142); #328648=AXIS2_PLACEMENT_3D('',#441883,#356143,#356144); #328649=AXIS2_PLACEMENT_3D('',#441884,#356145,#356146); #328650=AXIS2_PLACEMENT_3D('',#441885,#356147,#356148); #328651=AXIS2_PLACEMENT_3D('',#441886,#356149,#356150); #328652=AXIS2_PLACEMENT_3D('',#441888,#356151,#356152); #328653=AXIS2_PLACEMENT_3D('',#441891,#356154,#356155); #328654=AXIS2_PLACEMENT_3D('',#441892,#356156,#356157); #328655=AXIS2_PLACEMENT_3D('',#441894,#356158,#356159); #328656=AXIS2_PLACEMENT_3D('',#441897,#356161,#356162); #328657=AXIS2_PLACEMENT_3D('',#441898,#356163,#356164); #328658=AXIS2_PLACEMENT_3D('',#441899,#356165,#356166); #328659=AXIS2_PLACEMENT_3D('',#441900,#356167,#356168); #328660=AXIS2_PLACEMENT_3D('',#441909,#356173,#356174); #328661=AXIS2_PLACEMENT_3D('',#441911,#356175,#356176); #328662=AXIS2_PLACEMENT_3D('',#441913,#356177,#356178); #328663=AXIS2_PLACEMENT_3D('',#441915,#356180,#356181); #328664=AXIS2_PLACEMENT_3D('',#441921,#356185,#356186); #328665=AXIS2_PLACEMENT_3D('',#441922,#356187,#356188); #328666=AXIS2_PLACEMENT_3D('',#441923,#356189,#356190); #328667=AXIS2_PLACEMENT_3D('',#441924,#356191,#356192); #328668=AXIS2_PLACEMENT_3D('',#441933,#356197,#356198); #328669=AXIS2_PLACEMENT_3D('',#441935,#356199,#356200); #328670=AXIS2_PLACEMENT_3D('',#441937,#356201,#356202); #328671=AXIS2_PLACEMENT_3D('',#441939,#356204,#356205); #328672=AXIS2_PLACEMENT_3D('',#441945,#356209,#356210); #328673=AXIS2_PLACEMENT_3D('',#441946,#356211,#356212); #328674=AXIS2_PLACEMENT_3D('',#441947,#356213,#356214); #328675=AXIS2_PLACEMENT_3D('',#441948,#356215,#356216); #328676=AXIS2_PLACEMENT_3D('',#441949,#356217,#356218); #328677=AXIS2_PLACEMENT_3D('',#441950,#356219,#356220); #328678=AXIS2_PLACEMENT_3D('',#441952,#356221,#356222); #328679=AXIS2_PLACEMENT_3D('',#441955,#356224,#356225); #328680=AXIS2_PLACEMENT_3D('',#441956,#356226,#356227); #328681=AXIS2_PLACEMENT_3D('',#441958,#356228,#356229); #328682=AXIS2_PLACEMENT_3D('',#441961,#356231,#356232); #328683=AXIS2_PLACEMENT_3D('',#441962,#356233,#356234); #328684=AXIS2_PLACEMENT_3D('',#441963,#356235,#356236); #328685=AXIS2_PLACEMENT_3D('',#441964,#356237,#356238); #328686=AXIS2_PLACEMENT_3D('',#441973,#356243,#356244); #328687=AXIS2_PLACEMENT_3D('',#441975,#356245,#356246); #328688=AXIS2_PLACEMENT_3D('',#441977,#356247,#356248); #328689=AXIS2_PLACEMENT_3D('',#441979,#356250,#356251); #328690=AXIS2_PLACEMENT_3D('',#441985,#356255,#356256); #328691=AXIS2_PLACEMENT_3D('',#441986,#356257,#356258); #328692=AXIS2_PLACEMENT_3D('',#441987,#356259,#356260); #328693=AXIS2_PLACEMENT_3D('',#441988,#356261,#356262); #328694=AXIS2_PLACEMENT_3D('',#441997,#356267,#356268); #328695=AXIS2_PLACEMENT_3D('',#441999,#356269,#356270); #328696=AXIS2_PLACEMENT_3D('',#442001,#356271,#356272); #328697=AXIS2_PLACEMENT_3D('',#442003,#356274,#356275); #328698=AXIS2_PLACEMENT_3D('',#442009,#356279,#356280); #328699=AXIS2_PLACEMENT_3D('',#442010,#356281,#356282); #328700=AXIS2_PLACEMENT_3D('',#442011,#356283,#356284); #328701=AXIS2_PLACEMENT_3D('',#442012,#356285,#356286); #328702=AXIS2_PLACEMENT_3D('',#442013,#356287,#356288); #328703=AXIS2_PLACEMENT_3D('',#442014,#356289,#356290); #328704=AXIS2_PLACEMENT_3D('',#442016,#356291,#356292); #328705=AXIS2_PLACEMENT_3D('',#442019,#356294,#356295); #328706=AXIS2_PLACEMENT_3D('',#442020,#356296,#356297); #328707=AXIS2_PLACEMENT_3D('',#442022,#356298,#356299); #328708=AXIS2_PLACEMENT_3D('',#442025,#356301,#356302); #328709=AXIS2_PLACEMENT_3D('',#442026,#356303,#356304); #328710=AXIS2_PLACEMENT_3D('',#442027,#356305,#356306); #328711=AXIS2_PLACEMENT_3D('',#442028,#356307,#356308); #328712=AXIS2_PLACEMENT_3D('',#442030,#356309,#356310); #328713=AXIS2_PLACEMENT_3D('',#442033,#356312,#356313); #328714=AXIS2_PLACEMENT_3D('',#442034,#356314,#356315); #328715=AXIS2_PLACEMENT_3D('',#442036,#356316,#356317); #328716=AXIS2_PLACEMENT_3D('',#442039,#356319,#356320); #328717=AXIS2_PLACEMENT_3D('',#442040,#356321,#356322); #328718=AXIS2_PLACEMENT_3D('',#442041,#356323,#356324); #328719=AXIS2_PLACEMENT_3D('',#442042,#356325,#356326); #328720=AXIS2_PLACEMENT_3D('',#442044,#356327,#356328); #328721=AXIS2_PLACEMENT_3D('',#442047,#356330,#356331); #328722=AXIS2_PLACEMENT_3D('',#442048,#356332,#356333); #328723=AXIS2_PLACEMENT_3D('',#442050,#356334,#356335); #328724=AXIS2_PLACEMENT_3D('',#442053,#356337,#356338); #328725=AXIS2_PLACEMENT_3D('',#442054,#356339,#356340); #328726=AXIS2_PLACEMENT_3D('',#442055,#356341,#356342); #328727=AXIS2_PLACEMENT_3D('',#442056,#356343,#356344); #328728=AXIS2_PLACEMENT_3D('',#442058,#356345,#356346); #328729=AXIS2_PLACEMENT_3D('',#442061,#356348,#356349); #328730=AXIS2_PLACEMENT_3D('',#442062,#356350,#356351); #328731=AXIS2_PLACEMENT_3D('',#442064,#356352,#356353); #328732=AXIS2_PLACEMENT_3D('',#442067,#356355,#356356); #328733=AXIS2_PLACEMENT_3D('',#442068,#356357,#356358); #328734=AXIS2_PLACEMENT_3D('',#442069,#356359,#356360); #328735=AXIS2_PLACEMENT_3D('',#442070,#356361,#356362); #328736=AXIS2_PLACEMENT_3D('',#442072,#356363,#356364); #328737=AXIS2_PLACEMENT_3D('',#442075,#356366,#356367); #328738=AXIS2_PLACEMENT_3D('',#442076,#356368,#356369); #328739=AXIS2_PLACEMENT_3D('',#442078,#356370,#356371); #328740=AXIS2_PLACEMENT_3D('',#442081,#356373,#356374); #328741=AXIS2_PLACEMENT_3D('',#442082,#356375,#356376); #328742=AXIS2_PLACEMENT_3D('',#442083,#356377,#356378); #328743=AXIS2_PLACEMENT_3D('',#442084,#356379,#356380); #328744=AXIS2_PLACEMENT_3D('',#442086,#356381,#356382); #328745=AXIS2_PLACEMENT_3D('',#442089,#356384,#356385); #328746=AXIS2_PLACEMENT_3D('',#442090,#356386,#356387); #328747=AXIS2_PLACEMENT_3D('',#442092,#356388,#356389); #328748=AXIS2_PLACEMENT_3D('',#442095,#356391,#356392); #328749=AXIS2_PLACEMENT_3D('',#442096,#356393,#356394); #328750=AXIS2_PLACEMENT_3D('',#442097,#356395,#356396); #328751=AXIS2_PLACEMENT_3D('',#442098,#356397,#356398); #328752=AXIS2_PLACEMENT_3D('',#442100,#356399,#356400); #328753=AXIS2_PLACEMENT_3D('',#442103,#356402,#356403); #328754=AXIS2_PLACEMENT_3D('',#442104,#356404,#356405); #328755=AXIS2_PLACEMENT_3D('',#442106,#356406,#356407); #328756=AXIS2_PLACEMENT_3D('',#442109,#356409,#356410); #328757=AXIS2_PLACEMENT_3D('',#442110,#356411,#356412); #328758=AXIS2_PLACEMENT_3D('',#442111,#356413,#356414); #328759=AXIS2_PLACEMENT_3D('',#442112,#356415,#356416); #328760=AXIS2_PLACEMENT_3D('',#442114,#356417,#356418); #328761=AXIS2_PLACEMENT_3D('',#442117,#356420,#356421); #328762=AXIS2_PLACEMENT_3D('',#442118,#356422,#356423); #328763=AXIS2_PLACEMENT_3D('',#442120,#356424,#356425); #328764=AXIS2_PLACEMENT_3D('',#442123,#356427,#356428); #328765=AXIS2_PLACEMENT_3D('',#442124,#356429,#356430); #328766=AXIS2_PLACEMENT_3D('',#442125,#356431,#356432); #328767=AXIS2_PLACEMENT_3D('',#442126,#356433,#356434); #328768=AXIS2_PLACEMENT_3D('',#442128,#356435,#356436); #328769=AXIS2_PLACEMENT_3D('',#442131,#356438,#356439); #328770=AXIS2_PLACEMENT_3D('',#442132,#356440,#356441); #328771=AXIS2_PLACEMENT_3D('',#442134,#356442,#356443); #328772=AXIS2_PLACEMENT_3D('',#442137,#356445,#356446); #328773=AXIS2_PLACEMENT_3D('',#442138,#356447,#356448); #328774=AXIS2_PLACEMENT_3D('',#442139,#356449,#356450); #328775=AXIS2_PLACEMENT_3D('',#442140,#356451,#356452); #328776=AXIS2_PLACEMENT_3D('',#442142,#356453,#356454); #328777=AXIS2_PLACEMENT_3D('',#442145,#356456,#356457); #328778=AXIS2_PLACEMENT_3D('',#442146,#356458,#356459); #328779=AXIS2_PLACEMENT_3D('',#442148,#356460,#356461); #328780=AXIS2_PLACEMENT_3D('',#442151,#356463,#356464); #328781=AXIS2_PLACEMENT_3D('',#442152,#356465,#356466); #328782=AXIS2_PLACEMENT_3D('',#442153,#356467,#356468); #328783=AXIS2_PLACEMENT_3D('',#442154,#356469,#356470); #328784=AXIS2_PLACEMENT_3D('',#442163,#356475,#356476); #328785=AXIS2_PLACEMENT_3D('',#442165,#356477,#356478); #328786=AXIS2_PLACEMENT_3D('',#442167,#356479,#356480); #328787=AXIS2_PLACEMENT_3D('',#442169,#356482,#356483); #328788=AXIS2_PLACEMENT_3D('',#442175,#356487,#356488); #328789=AXIS2_PLACEMENT_3D('',#442176,#356489,#356490); #328790=AXIS2_PLACEMENT_3D('',#442177,#356491,#356492); #328791=AXIS2_PLACEMENT_3D('',#442178,#356493,#356494); #328792=AXIS2_PLACEMENT_3D('',#442187,#356499,#356500); #328793=AXIS2_PLACEMENT_3D('',#442189,#356501,#356502); #328794=AXIS2_PLACEMENT_3D('',#442191,#356503,#356504); #328795=AXIS2_PLACEMENT_3D('',#442193,#356506,#356507); #328796=AXIS2_PLACEMENT_3D('',#442199,#356511,#356512); #328797=AXIS2_PLACEMENT_3D('',#442200,#356513,#356514); #328798=AXIS2_PLACEMENT_3D('',#442201,#356515,#356516); #328799=AXIS2_PLACEMENT_3D('',#442202,#356517,#356518); #328800=AXIS2_PLACEMENT_3D('',#442203,#356519,#356520); #328801=AXIS2_PLACEMENT_3D('',#442204,#356521,#356522); #328802=AXIS2_PLACEMENT_3D('',#442206,#356523,#356524); #328803=AXIS2_PLACEMENT_3D('',#442209,#356526,#356527); #328804=AXIS2_PLACEMENT_3D('',#442210,#356528,#356529); #328805=AXIS2_PLACEMENT_3D('',#442212,#356530,#356531); #328806=AXIS2_PLACEMENT_3D('',#442215,#356533,#356534); #328807=AXIS2_PLACEMENT_3D('',#442216,#356535,#356536); #328808=AXIS2_PLACEMENT_3D('',#442217,#356537,#356538); #328809=AXIS2_PLACEMENT_3D('',#442218,#356539,#356540); #328810=AXIS2_PLACEMENT_3D('',#442220,#356541,#356542); #328811=AXIS2_PLACEMENT_3D('',#442223,#356544,#356545); #328812=AXIS2_PLACEMENT_3D('',#442224,#356546,#356547); #328813=AXIS2_PLACEMENT_3D('',#442226,#356548,#356549); #328814=AXIS2_PLACEMENT_3D('',#442229,#356551,#356552); #328815=AXIS2_PLACEMENT_3D('',#442230,#356553,#356554); #328816=AXIS2_PLACEMENT_3D('',#442231,#356555,#356556); #328817=AXIS2_PLACEMENT_3D('',#442232,#356557,#356558); #328818=AXIS2_PLACEMENT_3D('',#442234,#356559,#356560); #328819=AXIS2_PLACEMENT_3D('',#442237,#356562,#356563); #328820=AXIS2_PLACEMENT_3D('',#442238,#356564,#356565); #328821=AXIS2_PLACEMENT_3D('',#442240,#356566,#356567); #328822=AXIS2_PLACEMENT_3D('',#442243,#356569,#356570); #328823=AXIS2_PLACEMENT_3D('',#442244,#356571,#356572); #328824=AXIS2_PLACEMENT_3D('',#442245,#356573,#356574); #328825=AXIS2_PLACEMENT_3D('',#442246,#356575,#356576); #328826=AXIS2_PLACEMENT_3D('',#442255,#356581,#356582); #328827=AXIS2_PLACEMENT_3D('',#442257,#356583,#356584); #328828=AXIS2_PLACEMENT_3D('',#442259,#356585,#356586); #328829=AXIS2_PLACEMENT_3D('',#442261,#356588,#356589); #328830=AXIS2_PLACEMENT_3D('',#442267,#356593,#356594); #328831=AXIS2_PLACEMENT_3D('',#442268,#356595,#356596); #328832=AXIS2_PLACEMENT_3D('',#442269,#356597,#356598); #328833=AXIS2_PLACEMENT_3D('',#442270,#356599,#356600); #328834=AXIS2_PLACEMENT_3D('',#442279,#356605,#356606); #328835=AXIS2_PLACEMENT_3D('',#442281,#356607,#356608); #328836=AXIS2_PLACEMENT_3D('',#442283,#356609,#356610); #328837=AXIS2_PLACEMENT_3D('',#442285,#356612,#356613); #328838=AXIS2_PLACEMENT_3D('',#442291,#356617,#356618); #328839=AXIS2_PLACEMENT_3D('',#442292,#356619,#356620); #328840=AXIS2_PLACEMENT_3D('',#442293,#356621,#356622); #328841=AXIS2_PLACEMENT_3D('',#442294,#356623,#356624); #328842=AXIS2_PLACEMENT_3D('',#442295,#356625,#356626); #328843=AXIS2_PLACEMENT_3D('',#442296,#356627,#356628); #328844=AXIS2_PLACEMENT_3D('',#442298,#356629,#356630); #328845=AXIS2_PLACEMENT_3D('',#442301,#356632,#356633); #328846=AXIS2_PLACEMENT_3D('',#442302,#356634,#356635); #328847=AXIS2_PLACEMENT_3D('',#442304,#356636,#356637); #328848=AXIS2_PLACEMENT_3D('',#442307,#356639,#356640); #328849=AXIS2_PLACEMENT_3D('',#442308,#356641,#356642); #328850=AXIS2_PLACEMENT_3D('',#442309,#356643,#356644); #328851=AXIS2_PLACEMENT_3D('',#442310,#356645,#356646); #328852=AXIS2_PLACEMENT_3D('',#442319,#356651,#356652); #328853=AXIS2_PLACEMENT_3D('',#442321,#356653,#356654); #328854=AXIS2_PLACEMENT_3D('',#442323,#356655,#356656); #328855=AXIS2_PLACEMENT_3D('',#442325,#356658,#356659); #328856=AXIS2_PLACEMENT_3D('',#442331,#356663,#356664); #328857=AXIS2_PLACEMENT_3D('',#442332,#356665,#356666); #328858=AXIS2_PLACEMENT_3D('',#442333,#356667,#356668); #328859=AXIS2_PLACEMENT_3D('',#442334,#356669,#356670); #328860=AXIS2_PLACEMENT_3D('',#442343,#356675,#356676); #328861=AXIS2_PLACEMENT_3D('',#442345,#356677,#356678); #328862=AXIS2_PLACEMENT_3D('',#442347,#356679,#356680); #328863=AXIS2_PLACEMENT_3D('',#442349,#356682,#356683); #328864=AXIS2_PLACEMENT_3D('',#442355,#356687,#356688); #328865=AXIS2_PLACEMENT_3D('',#442356,#356689,#356690); #328866=AXIS2_PLACEMENT_3D('',#442357,#356691,#356692); #328867=AXIS2_PLACEMENT_3D('',#442358,#356693,#356694); #328868=AXIS2_PLACEMENT_3D('',#442359,#356695,#356696); #328869=AXIS2_PLACEMENT_3D('',#442360,#356697,#356698); #328870=AXIS2_PLACEMENT_3D('',#442362,#356699,#356700); #328871=AXIS2_PLACEMENT_3D('',#442365,#356702,#356703); #328872=AXIS2_PLACEMENT_3D('',#442366,#356704,#356705); #328873=AXIS2_PLACEMENT_3D('',#442368,#356706,#356707); #328874=AXIS2_PLACEMENT_3D('',#442371,#356709,#356710); #328875=AXIS2_PLACEMENT_3D('',#442372,#356711,#356712); #328876=AXIS2_PLACEMENT_3D('',#442373,#356713,#356714); #328877=AXIS2_PLACEMENT_3D('',#442374,#356715,#356716); #328878=AXIS2_PLACEMENT_3D('',#442376,#356717,#356718); #328879=AXIS2_PLACEMENT_3D('',#442379,#356720,#356721); #328880=AXIS2_PLACEMENT_3D('',#442380,#356722,#356723); #328881=AXIS2_PLACEMENT_3D('',#442382,#356724,#356725); #328882=AXIS2_PLACEMENT_3D('',#442385,#356727,#356728); #328883=AXIS2_PLACEMENT_3D('',#442386,#356729,#356730); #328884=AXIS2_PLACEMENT_3D('',#442387,#356731,#356732); #328885=AXIS2_PLACEMENT_3D('',#442388,#356733,#356734); #328886=AXIS2_PLACEMENT_3D('',#442390,#356735,#356736); #328887=AXIS2_PLACEMENT_3D('',#442393,#356738,#356739); #328888=AXIS2_PLACEMENT_3D('',#442394,#356740,#356741); #328889=AXIS2_PLACEMENT_3D('',#442396,#356742,#356743); #328890=AXIS2_PLACEMENT_3D('',#442399,#356745,#356746); #328891=AXIS2_PLACEMENT_3D('',#442400,#356747,#356748); #328892=AXIS2_PLACEMENT_3D('',#442401,#356749,#356750); #328893=AXIS2_PLACEMENT_3D('',#442402,#356751,#356752); #328894=AXIS2_PLACEMENT_3D('',#442404,#356753,#356754); #328895=AXIS2_PLACEMENT_3D('',#442407,#356756,#356757); #328896=AXIS2_PLACEMENT_3D('',#442408,#356758,#356759); #328897=AXIS2_PLACEMENT_3D('',#442410,#356760,#356761); #328898=AXIS2_PLACEMENT_3D('',#442413,#356763,#356764); #328899=AXIS2_PLACEMENT_3D('',#442414,#356765,#356766); #328900=AXIS2_PLACEMENT_3D('',#442415,#356767,#356768); #328901=AXIS2_PLACEMENT_3D('',#442416,#356769,#356770); #328902=AXIS2_PLACEMENT_3D('',#442418,#356771,#356772); #328903=AXIS2_PLACEMENT_3D('',#442421,#356774,#356775); #328904=AXIS2_PLACEMENT_3D('',#442422,#356776,#356777); #328905=AXIS2_PLACEMENT_3D('',#442424,#356778,#356779); #328906=AXIS2_PLACEMENT_3D('',#442427,#356781,#356782); #328907=AXIS2_PLACEMENT_3D('',#442428,#356783,#356784); #328908=AXIS2_PLACEMENT_3D('',#442429,#356785,#356786); #328909=AXIS2_PLACEMENT_3D('',#442430,#356787,#356788); #328910=AXIS2_PLACEMENT_3D('',#442432,#356789,#356790); #328911=AXIS2_PLACEMENT_3D('',#442435,#356792,#356793); #328912=AXIS2_PLACEMENT_3D('',#442436,#356794,#356795); #328913=AXIS2_PLACEMENT_3D('',#442438,#356796,#356797); #328914=AXIS2_PLACEMENT_3D('',#442441,#356799,#356800); #328915=AXIS2_PLACEMENT_3D('',#442442,#356801,#356802); #328916=AXIS2_PLACEMENT_3D('',#442443,#356803,#356804); #328917=AXIS2_PLACEMENT_3D('',#442444,#356805,#356806); #328918=AXIS2_PLACEMENT_3D('',#442446,#356807,#356808); #328919=AXIS2_PLACEMENT_3D('',#442449,#356810,#356811); #328920=AXIS2_PLACEMENT_3D('',#442450,#356812,#356813); #328921=AXIS2_PLACEMENT_3D('',#442452,#356814,#356815); #328922=AXIS2_PLACEMENT_3D('',#442455,#356817,#356818); #328923=AXIS2_PLACEMENT_3D('',#442456,#356819,#356820); #328924=AXIS2_PLACEMENT_3D('',#442457,#356821,#356822); #328925=AXIS2_PLACEMENT_3D('',#442458,#356823,#356824); #328926=AXIS2_PLACEMENT_3D('',#442460,#356825,#356826); #328927=AXIS2_PLACEMENT_3D('',#442463,#356828,#356829); #328928=AXIS2_PLACEMENT_3D('',#442464,#356830,#356831); #328929=AXIS2_PLACEMENT_3D('',#442466,#356832,#356833); #328930=AXIS2_PLACEMENT_3D('',#442469,#356835,#356836); #328931=AXIS2_PLACEMENT_3D('',#442470,#356837,#356838); #328932=AXIS2_PLACEMENT_3D('',#442471,#356839,#356840); #328933=AXIS2_PLACEMENT_3D('',#442472,#356841,#356842); #328934=AXIS2_PLACEMENT_3D('',#442474,#356843,#356844); #328935=AXIS2_PLACEMENT_3D('',#442477,#356846,#356847); #328936=AXIS2_PLACEMENT_3D('',#442478,#356848,#356849); #328937=AXIS2_PLACEMENT_3D('',#442480,#356850,#356851); #328938=AXIS2_PLACEMENT_3D('',#442483,#356853,#356854); #328939=AXIS2_PLACEMENT_3D('',#442484,#356855,#356856); #328940=AXIS2_PLACEMENT_3D('',#442485,#356857,#356858); #328941=AXIS2_PLACEMENT_3D('',#442486,#356859,#356860); #328942=AXIS2_PLACEMENT_3D('',#442488,#356861,#356862); #328943=AXIS2_PLACEMENT_3D('',#442491,#356864,#356865); #328944=AXIS2_PLACEMENT_3D('',#442492,#356866,#356867); #328945=AXIS2_PLACEMENT_3D('',#442494,#356868,#356869); #328946=AXIS2_PLACEMENT_3D('',#442497,#356871,#356872); #328947=AXIS2_PLACEMENT_3D('',#442498,#356873,#356874); #328948=AXIS2_PLACEMENT_3D('',#442499,#356875,#356876); #328949=AXIS2_PLACEMENT_3D('',#442500,#356877,#356878); #328950=AXIS2_PLACEMENT_3D('',#442502,#356879,#356880); #328951=AXIS2_PLACEMENT_3D('',#442505,#356882,#356883); #328952=AXIS2_PLACEMENT_3D('',#442506,#356884,#356885); #328953=AXIS2_PLACEMENT_3D('',#442508,#356886,#356887); #328954=AXIS2_PLACEMENT_3D('',#442511,#356889,#356890); #328955=AXIS2_PLACEMENT_3D('',#442512,#356891,#356892); #328956=AXIS2_PLACEMENT_3D('',#442513,#356893,#356894); #328957=AXIS2_PLACEMENT_3D('',#442514,#356895,#356896); #328958=AXIS2_PLACEMENT_3D('',#442516,#356897,#356898); #328959=AXIS2_PLACEMENT_3D('',#442519,#356900,#356901); #328960=AXIS2_PLACEMENT_3D('',#442520,#356902,#356903); #328961=AXIS2_PLACEMENT_3D('',#442522,#356904,#356905); #328962=AXIS2_PLACEMENT_3D('',#442525,#356907,#356908); #328963=AXIS2_PLACEMENT_3D('',#442526,#356909,#356910); #328964=AXIS2_PLACEMENT_3D('',#442527,#356911,#356912); #328965=AXIS2_PLACEMENT_3D('',#442528,#356913,#356914); #328966=AXIS2_PLACEMENT_3D('',#442530,#356915,#356916); #328967=AXIS2_PLACEMENT_3D('',#442533,#356918,#356919); #328968=AXIS2_PLACEMENT_3D('',#442534,#356920,#356921); #328969=AXIS2_PLACEMENT_3D('',#442536,#356922,#356923); #328970=AXIS2_PLACEMENT_3D('',#442539,#356925,#356926); #328971=AXIS2_PLACEMENT_3D('',#442540,#356927,#356928); #328972=AXIS2_PLACEMENT_3D('',#442541,#356929,#356930); #328973=AXIS2_PLACEMENT_3D('',#442542,#356931,#356932); #328974=AXIS2_PLACEMENT_3D('',#442544,#356933,#356934); #328975=AXIS2_PLACEMENT_3D('',#442547,#356936,#356937); #328976=AXIS2_PLACEMENT_3D('',#442548,#356938,#356939); #328977=AXIS2_PLACEMENT_3D('',#442550,#356940,#356941); #328978=AXIS2_PLACEMENT_3D('',#442553,#356943,#356944); #328979=AXIS2_PLACEMENT_3D('',#442554,#356945,#356946); #328980=AXIS2_PLACEMENT_3D('',#442555,#356947,#356948); #328981=AXIS2_PLACEMENT_3D('',#442556,#356949,#356950); #328982=AXIS2_PLACEMENT_3D('',#442558,#356951,#356952); #328983=AXIS2_PLACEMENT_3D('',#442561,#356954,#356955); #328984=AXIS2_PLACEMENT_3D('',#442562,#356956,#356957); #328985=AXIS2_PLACEMENT_3D('',#442564,#356958,#356959); #328986=AXIS2_PLACEMENT_3D('',#442567,#356961,#356962); #328987=AXIS2_PLACEMENT_3D('',#442568,#356963,#356964); #328988=AXIS2_PLACEMENT_3D('',#442569,#356965,#356966); #328989=AXIS2_PLACEMENT_3D('',#442570,#356967,#356968); #328990=AXIS2_PLACEMENT_3D('',#442572,#356969,#356970); #328991=AXIS2_PLACEMENT_3D('',#442575,#356972,#356973); #328992=AXIS2_PLACEMENT_3D('',#442576,#356974,#356975); #328993=AXIS2_PLACEMENT_3D('',#442578,#356976,#356977); #328994=AXIS2_PLACEMENT_3D('',#442581,#356979,#356980); #328995=AXIS2_PLACEMENT_3D('',#442582,#356981,#356982); #328996=AXIS2_PLACEMENT_3D('',#442583,#356983,#356984); #328997=AXIS2_PLACEMENT_3D('',#442584,#356985,#356986); #328998=AXIS2_PLACEMENT_3D('',#442586,#356987,#356988); #328999=AXIS2_PLACEMENT_3D('',#442589,#356990,#356991); #329000=AXIS2_PLACEMENT_3D('',#442590,#356992,#356993); #329001=AXIS2_PLACEMENT_3D('',#442592,#356994,#356995); #329002=AXIS2_PLACEMENT_3D('',#442595,#356997,#356998); #329003=AXIS2_PLACEMENT_3D('',#442596,#356999,#357000); #329004=AXIS2_PLACEMENT_3D('',#442597,#357001,#357002); #329005=AXIS2_PLACEMENT_3D('',#442598,#357003,#357004); #329006=AXIS2_PLACEMENT_3D('',#442600,#357005,#357006); #329007=AXIS2_PLACEMENT_3D('',#442603,#357008,#357009); #329008=AXIS2_PLACEMENT_3D('',#442604,#357010,#357011); #329009=AXIS2_PLACEMENT_3D('',#442606,#357012,#357013); #329010=AXIS2_PLACEMENT_3D('',#442609,#357015,#357016); #329011=AXIS2_PLACEMENT_3D('',#442610,#357017,#357018); #329012=AXIS2_PLACEMENT_3D('',#442611,#357019,#357020); #329013=AXIS2_PLACEMENT_3D('',#442612,#357021,#357022); #329014=AXIS2_PLACEMENT_3D('',#442614,#357023,#357024); #329015=AXIS2_PLACEMENT_3D('',#442617,#357026,#357027); #329016=AXIS2_PLACEMENT_3D('',#442618,#357028,#357029); #329017=AXIS2_PLACEMENT_3D('',#442620,#357030,#357031); #329018=AXIS2_PLACEMENT_3D('',#442623,#357033,#357034); #329019=AXIS2_PLACEMENT_3D('',#442624,#357035,#357036); #329020=AXIS2_PLACEMENT_3D('',#442625,#357037,#357038); #329021=AXIS2_PLACEMENT_3D('',#442626,#357039,#357040); #329022=AXIS2_PLACEMENT_3D('',#442628,#357041,#357042); #329023=AXIS2_PLACEMENT_3D('',#442631,#357044,#357045); #329024=AXIS2_PLACEMENT_3D('',#442632,#357046,#357047); #329025=AXIS2_PLACEMENT_3D('',#442634,#357048,#357049); #329026=AXIS2_PLACEMENT_3D('',#442637,#357051,#357052); #329027=AXIS2_PLACEMENT_3D('',#442638,#357053,#357054); #329028=AXIS2_PLACEMENT_3D('',#442639,#357055,#357056); #329029=AXIS2_PLACEMENT_3D('',#442640,#357057,#357058); #329030=AXIS2_PLACEMENT_3D('',#442642,#357059,#357060); #329031=AXIS2_PLACEMENT_3D('',#442645,#357062,#357063); #329032=AXIS2_PLACEMENT_3D('',#442646,#357064,#357065); #329033=AXIS2_PLACEMENT_3D('',#442648,#357066,#357067); #329034=AXIS2_PLACEMENT_3D('',#442651,#357069,#357070); #329035=AXIS2_PLACEMENT_3D('',#442652,#357071,#357072); #329036=AXIS2_PLACEMENT_3D('',#442653,#357073,#357074); #329037=AXIS2_PLACEMENT_3D('',#442654,#357075,#357076); #329038=AXIS2_PLACEMENT_3D('',#442656,#357077,#357078); #329039=AXIS2_PLACEMENT_3D('',#442659,#357080,#357081); #329040=AXIS2_PLACEMENT_3D('',#442660,#357082,#357083); #329041=AXIS2_PLACEMENT_3D('',#442662,#357084,#357085); #329042=AXIS2_PLACEMENT_3D('',#442665,#357087,#357088); #329043=AXIS2_PLACEMENT_3D('',#442666,#357089,#357090); #329044=AXIS2_PLACEMENT_3D('',#442667,#357091,#357092); #329045=AXIS2_PLACEMENT_3D('',#442668,#357093,#357094); #329046=AXIS2_PLACEMENT_3D('',#442670,#357095,#357096); #329047=AXIS2_PLACEMENT_3D('',#442673,#357098,#357099); #329048=AXIS2_PLACEMENT_3D('',#442674,#357100,#357101); #329049=AXIS2_PLACEMENT_3D('',#442676,#357102,#357103); #329050=AXIS2_PLACEMENT_3D('',#442679,#357105,#357106); #329051=AXIS2_PLACEMENT_3D('',#442680,#357107,#357108); #329052=AXIS2_PLACEMENT_3D('',#442681,#357109,#357110); #329053=AXIS2_PLACEMENT_3D('',#442682,#357111,#357112); #329054=AXIS2_PLACEMENT_3D('',#442684,#357113,#357114); #329055=AXIS2_PLACEMENT_3D('',#442687,#357116,#357117); #329056=AXIS2_PLACEMENT_3D('',#442688,#357118,#357119); #329057=AXIS2_PLACEMENT_3D('',#442690,#357120,#357121); #329058=AXIS2_PLACEMENT_3D('',#442693,#357123,#357124); #329059=AXIS2_PLACEMENT_3D('',#442694,#357125,#357126); #329060=AXIS2_PLACEMENT_3D('',#442695,#357127,#357128); #329061=AXIS2_PLACEMENT_3D('',#442696,#357129,#357130); #329062=AXIS2_PLACEMENT_3D('',#442698,#357131,#357132); #329063=AXIS2_PLACEMENT_3D('',#442701,#357134,#357135); #329064=AXIS2_PLACEMENT_3D('',#442702,#357136,#357137); #329065=AXIS2_PLACEMENT_3D('',#442704,#357138,#357139); #329066=AXIS2_PLACEMENT_3D('',#442707,#357141,#357142); #329067=AXIS2_PLACEMENT_3D('',#442708,#357143,#357144); #329068=AXIS2_PLACEMENT_3D('',#442709,#357145,#357146); #329069=AXIS2_PLACEMENT_3D('',#442710,#357147,#357148); #329070=AXIS2_PLACEMENT_3D('',#442712,#357149,#357150); #329071=AXIS2_PLACEMENT_3D('',#442715,#357152,#357153); #329072=AXIS2_PLACEMENT_3D('',#442716,#357154,#357155); #329073=AXIS2_PLACEMENT_3D('',#442718,#357156,#357157); #329074=AXIS2_PLACEMENT_3D('',#442721,#357159,#357160); #329075=AXIS2_PLACEMENT_3D('',#442722,#357161,#357162); #329076=AXIS2_PLACEMENT_3D('',#442723,#357163,#357164); #329077=AXIS2_PLACEMENT_3D('',#442724,#357165,#357166); #329078=AXIS2_PLACEMENT_3D('',#442726,#357167,#357168); #329079=AXIS2_PLACEMENT_3D('',#442729,#357170,#357171); #329080=AXIS2_PLACEMENT_3D('',#442730,#357172,#357173); #329081=AXIS2_PLACEMENT_3D('',#442732,#357174,#357175); #329082=AXIS2_PLACEMENT_3D('',#442735,#357177,#357178); #329083=AXIS2_PLACEMENT_3D('',#442736,#357179,#357180); #329084=AXIS2_PLACEMENT_3D('',#442737,#357181,#357182); #329085=AXIS2_PLACEMENT_3D('',#442738,#357183,#357184); #329086=AXIS2_PLACEMENT_3D('',#442740,#357185,#357186); #329087=AXIS2_PLACEMENT_3D('',#442743,#357188,#357189); #329088=AXIS2_PLACEMENT_3D('',#442744,#357190,#357191); #329089=AXIS2_PLACEMENT_3D('',#442746,#357192,#357193); #329090=AXIS2_PLACEMENT_3D('',#442749,#357195,#357196); #329091=AXIS2_PLACEMENT_3D('',#442750,#357197,#357198); #329092=AXIS2_PLACEMENT_3D('',#442751,#357199,#357200); #329093=AXIS2_PLACEMENT_3D('',#442752,#357201,#357202); #329094=AXIS2_PLACEMENT_3D('',#442754,#357203,#357204); #329095=AXIS2_PLACEMENT_3D('',#442757,#357206,#357207); #329096=AXIS2_PLACEMENT_3D('',#442758,#357208,#357209); #329097=AXIS2_PLACEMENT_3D('',#442760,#357210,#357211); #329098=AXIS2_PLACEMENT_3D('',#442763,#357213,#357214); #329099=AXIS2_PLACEMENT_3D('',#442764,#357215,#357216); #329100=AXIS2_PLACEMENT_3D('',#442765,#357217,#357218); #329101=AXIS2_PLACEMENT_3D('',#442766,#357219,#357220); #329102=AXIS2_PLACEMENT_3D('',#442768,#357221,#357222); #329103=AXIS2_PLACEMENT_3D('',#442771,#357224,#357225); #329104=AXIS2_PLACEMENT_3D('',#442772,#357226,#357227); #329105=AXIS2_PLACEMENT_3D('',#442774,#357228,#357229); #329106=AXIS2_PLACEMENT_3D('',#442777,#357231,#357232); #329107=AXIS2_PLACEMENT_3D('',#442778,#357233,#357234); #329108=AXIS2_PLACEMENT_3D('',#442779,#357235,#357236); #329109=AXIS2_PLACEMENT_3D('',#442780,#357237,#357238); #329110=AXIS2_PLACEMENT_3D('',#442782,#357239,#357240); #329111=AXIS2_PLACEMENT_3D('',#442785,#357242,#357243); #329112=AXIS2_PLACEMENT_3D('',#442786,#357244,#357245); #329113=AXIS2_PLACEMENT_3D('',#442788,#357246,#357247); #329114=AXIS2_PLACEMENT_3D('',#442791,#357249,#357250); #329115=AXIS2_PLACEMENT_3D('',#442792,#357251,#357252); #329116=AXIS2_PLACEMENT_3D('',#442793,#357253,#357254); #329117=AXIS2_PLACEMENT_3D('',#442794,#357255,#357256); #329118=AXIS2_PLACEMENT_3D('',#442796,#357257,#357258); #329119=AXIS2_PLACEMENT_3D('',#442799,#357260,#357261); #329120=AXIS2_PLACEMENT_3D('',#442800,#357262,#357263); #329121=AXIS2_PLACEMENT_3D('',#442802,#357264,#357265); #329122=AXIS2_PLACEMENT_3D('',#442805,#357267,#357268); #329123=AXIS2_PLACEMENT_3D('',#442806,#357269,#357270); #329124=AXIS2_PLACEMENT_3D('',#442807,#357271,#357272); #329125=AXIS2_PLACEMENT_3D('',#442808,#357273,#357274); #329126=AXIS2_PLACEMENT_3D('',#442810,#357275,#357276); #329127=AXIS2_PLACEMENT_3D('',#442813,#357278,#357279); #329128=AXIS2_PLACEMENT_3D('',#442814,#357280,#357281); #329129=AXIS2_PLACEMENT_3D('',#442816,#357282,#357283); #329130=AXIS2_PLACEMENT_3D('',#442819,#357285,#357286); #329131=AXIS2_PLACEMENT_3D('',#442820,#357287,#357288); #329132=AXIS2_PLACEMENT_3D('',#442821,#357289,#357290); #329133=AXIS2_PLACEMENT_3D('',#442822,#357291,#357292); #329134=AXIS2_PLACEMENT_3D('',#442824,#357293,#357294); #329135=AXIS2_PLACEMENT_3D('',#442827,#357296,#357297); #329136=AXIS2_PLACEMENT_3D('',#442828,#357298,#357299); #329137=AXIS2_PLACEMENT_3D('',#442830,#357300,#357301); #329138=AXIS2_PLACEMENT_3D('',#442833,#357303,#357304); #329139=AXIS2_PLACEMENT_3D('',#442834,#357305,#357306); #329140=AXIS2_PLACEMENT_3D('',#442835,#357307,#357308); #329141=AXIS2_PLACEMENT_3D('',#442836,#357309,#357310); #329142=AXIS2_PLACEMENT_3D('',#442838,#357311,#357312); #329143=AXIS2_PLACEMENT_3D('',#442841,#357314,#357315); #329144=AXIS2_PLACEMENT_3D('',#442842,#357316,#357317); #329145=AXIS2_PLACEMENT_3D('',#442844,#357318,#357319); #329146=AXIS2_PLACEMENT_3D('',#442847,#357321,#357322); #329147=AXIS2_PLACEMENT_3D('',#442848,#357323,#357324); #329148=AXIS2_PLACEMENT_3D('',#442849,#357325,#357326); #329149=AXIS2_PLACEMENT_3D('',#442850,#357327,#357328); #329150=AXIS2_PLACEMENT_3D('',#442852,#357329,#357330); #329151=AXIS2_PLACEMENT_3D('',#442855,#357332,#357333); #329152=AXIS2_PLACEMENT_3D('',#442856,#357334,#357335); #329153=AXIS2_PLACEMENT_3D('',#442858,#357336,#357337); #329154=AXIS2_PLACEMENT_3D('',#442861,#357339,#357340); #329155=AXIS2_PLACEMENT_3D('',#442862,#357341,#357342); #329156=AXIS2_PLACEMENT_3D('',#442863,#357343,#357344); #329157=AXIS2_PLACEMENT_3D('',#442864,#357345,#357346); #329158=AXIS2_PLACEMENT_3D('',#442866,#357347,#357348); #329159=AXIS2_PLACEMENT_3D('',#442869,#357350,#357351); #329160=AXIS2_PLACEMENT_3D('',#442870,#357352,#357353); #329161=AXIS2_PLACEMENT_3D('',#442872,#357354,#357355); #329162=AXIS2_PLACEMENT_3D('',#442875,#357357,#357358); #329163=AXIS2_PLACEMENT_3D('',#442876,#357359,#357360); #329164=AXIS2_PLACEMENT_3D('',#442877,#357361,#357362); #329165=AXIS2_PLACEMENT_3D('',#442878,#357363,#357364); #329166=AXIS2_PLACEMENT_3D('',#442880,#357365,#357366); #329167=AXIS2_PLACEMENT_3D('',#442883,#357368,#357369); #329168=AXIS2_PLACEMENT_3D('',#442884,#357370,#357371); #329169=AXIS2_PLACEMENT_3D('',#442886,#357372,#357373); #329170=AXIS2_PLACEMENT_3D('',#442889,#357375,#357376); #329171=AXIS2_PLACEMENT_3D('',#442890,#357377,#357378); #329172=AXIS2_PLACEMENT_3D('',#442891,#357379,#357380); #329173=AXIS2_PLACEMENT_3D('',#442892,#357381,#357382); #329174=AXIS2_PLACEMENT_3D('',#442894,#357383,#357384); #329175=AXIS2_PLACEMENT_3D('',#442897,#357386,#357387); #329176=AXIS2_PLACEMENT_3D('',#442898,#357388,#357389); #329177=AXIS2_PLACEMENT_3D('',#442900,#357390,#357391); #329178=AXIS2_PLACEMENT_3D('',#442903,#357393,#357394); #329179=AXIS2_PLACEMENT_3D('',#442904,#357395,#357396); #329180=AXIS2_PLACEMENT_3D('',#442905,#357397,#357398); #329181=AXIS2_PLACEMENT_3D('',#442906,#357399,#357400); #329182=AXIS2_PLACEMENT_3D('',#442908,#357401,#357402); #329183=AXIS2_PLACEMENT_3D('',#442911,#357404,#357405); #329184=AXIS2_PLACEMENT_3D('',#442912,#357406,#357407); #329185=AXIS2_PLACEMENT_3D('',#442914,#357408,#357409); #329186=AXIS2_PLACEMENT_3D('',#442917,#357411,#357412); #329187=AXIS2_PLACEMENT_3D('',#442918,#357413,#357414); #329188=AXIS2_PLACEMENT_3D('',#442919,#357415,#357416); #329189=AXIS2_PLACEMENT_3D('',#442920,#357417,#357418); #329190=AXIS2_PLACEMENT_3D('',#442922,#357419,#357420); #329191=AXIS2_PLACEMENT_3D('',#442925,#357422,#357423); #329192=AXIS2_PLACEMENT_3D('',#442926,#357424,#357425); #329193=AXIS2_PLACEMENT_3D('',#442928,#357426,#357427); #329194=AXIS2_PLACEMENT_3D('',#442931,#357429,#357430); #329195=AXIS2_PLACEMENT_3D('',#442932,#357431,#357432); #329196=AXIS2_PLACEMENT_3D('',#442933,#357433,#357434); #329197=AXIS2_PLACEMENT_3D('',#442934,#357435,#357436); #329198=AXIS2_PLACEMENT_3D('',#442936,#357437,#357438); #329199=AXIS2_PLACEMENT_3D('',#442939,#357440,#357441); #329200=AXIS2_PLACEMENT_3D('',#442940,#357442,#357443); #329201=AXIS2_PLACEMENT_3D('',#442942,#357444,#357445); #329202=AXIS2_PLACEMENT_3D('',#442945,#357447,#357448); #329203=AXIS2_PLACEMENT_3D('',#442946,#357449,#357450); #329204=AXIS2_PLACEMENT_3D('',#442947,#357451,#357452); #329205=AXIS2_PLACEMENT_3D('',#442948,#357453,#357454); #329206=AXIS2_PLACEMENT_3D('',#442950,#357455,#357456); #329207=AXIS2_PLACEMENT_3D('',#442953,#357458,#357459); #329208=AXIS2_PLACEMENT_3D('',#442954,#357460,#357461); #329209=AXIS2_PLACEMENT_3D('',#442956,#357462,#357463); #329210=AXIS2_PLACEMENT_3D('',#442959,#357465,#357466); #329211=AXIS2_PLACEMENT_3D('',#442960,#357467,#357468); #329212=AXIS2_PLACEMENT_3D('',#442961,#357469,#357470); #329213=AXIS2_PLACEMENT_3D('',#442962,#357471,#357472); #329214=AXIS2_PLACEMENT_3D('',#442964,#357473,#357474); #329215=AXIS2_PLACEMENT_3D('',#442967,#357476,#357477); #329216=AXIS2_PLACEMENT_3D('',#442968,#357478,#357479); #329217=AXIS2_PLACEMENT_3D('',#442970,#357480,#357481); #329218=AXIS2_PLACEMENT_3D('',#442973,#357483,#357484); #329219=AXIS2_PLACEMENT_3D('',#442974,#357485,#357486); #329220=AXIS2_PLACEMENT_3D('',#442975,#357487,#357488); #329221=AXIS2_PLACEMENT_3D('',#442976,#357489,#357490); #329222=AXIS2_PLACEMENT_3D('',#442978,#357491,#357492); #329223=AXIS2_PLACEMENT_3D('',#442981,#357494,#357495); #329224=AXIS2_PLACEMENT_3D('',#442982,#357496,#357497); #329225=AXIS2_PLACEMENT_3D('',#442984,#357498,#357499); #329226=AXIS2_PLACEMENT_3D('',#442987,#357501,#357502); #329227=AXIS2_PLACEMENT_3D('',#442988,#357503,#357504); #329228=AXIS2_PLACEMENT_3D('',#442989,#357505,#357506); #329229=AXIS2_PLACEMENT_3D('',#442990,#357507,#357508); #329230=AXIS2_PLACEMENT_3D('',#442992,#357509,#357510); #329231=AXIS2_PLACEMENT_3D('',#442995,#357512,#357513); #329232=AXIS2_PLACEMENT_3D('',#442996,#357514,#357515); #329233=AXIS2_PLACEMENT_3D('',#442998,#357516,#357517); #329234=AXIS2_PLACEMENT_3D('',#443001,#357519,#357520); #329235=AXIS2_PLACEMENT_3D('',#443002,#357521,#357522); #329236=AXIS2_PLACEMENT_3D('',#443003,#357523,#357524); #329237=AXIS2_PLACEMENT_3D('',#443004,#357525,#357526); #329238=AXIS2_PLACEMENT_3D('',#443006,#357527,#357528); #329239=AXIS2_PLACEMENT_3D('',#443009,#357530,#357531); #329240=AXIS2_PLACEMENT_3D('',#443010,#357532,#357533); #329241=AXIS2_PLACEMENT_3D('',#443012,#357534,#357535); #329242=AXIS2_PLACEMENT_3D('',#443015,#357537,#357538); #329243=AXIS2_PLACEMENT_3D('',#443016,#357539,#357540); #329244=AXIS2_PLACEMENT_3D('',#443017,#357541,#357542); #329245=AXIS2_PLACEMENT_3D('',#443018,#357543,#357544); #329246=AXIS2_PLACEMENT_3D('',#443020,#357545,#357546); #329247=AXIS2_PLACEMENT_3D('',#443023,#357548,#357549); #329248=AXIS2_PLACEMENT_3D('',#443024,#357550,#357551); #329249=AXIS2_PLACEMENT_3D('',#443026,#357552,#357553); #329250=AXIS2_PLACEMENT_3D('',#443029,#357555,#357556); #329251=AXIS2_PLACEMENT_3D('',#443030,#357557,#357558); #329252=AXIS2_PLACEMENT_3D('',#443031,#357559,#357560); #329253=AXIS2_PLACEMENT_3D('',#443032,#357561,#357562); #329254=AXIS2_PLACEMENT_3D('',#443034,#357563,#357564); #329255=AXIS2_PLACEMENT_3D('',#443037,#357566,#357567); #329256=AXIS2_PLACEMENT_3D('',#443038,#357568,#357569); #329257=AXIS2_PLACEMENT_3D('',#443040,#357570,#357571); #329258=AXIS2_PLACEMENT_3D('',#443043,#357573,#357574); #329259=AXIS2_PLACEMENT_3D('',#443044,#357575,#357576); #329260=AXIS2_PLACEMENT_3D('',#443045,#357577,#357578); #329261=AXIS2_PLACEMENT_3D('',#443046,#357579,#357580); #329262=AXIS2_PLACEMENT_3D('',#443048,#357581,#357582); #329263=AXIS2_PLACEMENT_3D('',#443051,#357584,#357585); #329264=AXIS2_PLACEMENT_3D('',#443052,#357586,#357587); #329265=AXIS2_PLACEMENT_3D('',#443054,#357588,#357589); #329266=AXIS2_PLACEMENT_3D('',#443057,#357591,#357592); #329267=AXIS2_PLACEMENT_3D('',#443058,#357593,#357594); #329268=AXIS2_PLACEMENT_3D('',#443059,#357595,#357596); #329269=AXIS2_PLACEMENT_3D('',#443060,#357597,#357598); #329270=AXIS2_PLACEMENT_3D('',#443062,#357599,#357600); #329271=AXIS2_PLACEMENT_3D('',#443065,#357602,#357603); #329272=AXIS2_PLACEMENT_3D('',#443066,#357604,#357605); #329273=AXIS2_PLACEMENT_3D('',#443068,#357606,#357607); #329274=AXIS2_PLACEMENT_3D('',#443071,#357609,#357610); #329275=AXIS2_PLACEMENT_3D('',#443072,#357611,#357612); #329276=AXIS2_PLACEMENT_3D('',#443073,#357613,#357614); #329277=AXIS2_PLACEMENT_3D('',#443074,#357615,#357616); #329278=AXIS2_PLACEMENT_3D('',#443076,#357617,#357618); #329279=AXIS2_PLACEMENT_3D('',#443079,#357620,#357621); #329280=AXIS2_PLACEMENT_3D('',#443080,#357622,#357623); #329281=AXIS2_PLACEMENT_3D('',#443082,#357624,#357625); #329282=AXIS2_PLACEMENT_3D('',#443085,#357627,#357628); #329283=AXIS2_PLACEMENT_3D('',#443086,#357629,#357630); #329284=AXIS2_PLACEMENT_3D('',#443087,#357631,#357632); #329285=AXIS2_PLACEMENT_3D('',#443088,#357633,#357634); #329286=AXIS2_PLACEMENT_3D('',#443090,#357635,#357636); #329287=AXIS2_PLACEMENT_3D('',#443093,#357638,#357639); #329288=AXIS2_PLACEMENT_3D('',#443094,#357640,#357641); #329289=AXIS2_PLACEMENT_3D('',#443096,#357642,#357643); #329290=AXIS2_PLACEMENT_3D('',#443099,#357645,#357646); #329291=AXIS2_PLACEMENT_3D('',#443100,#357647,#357648); #329292=AXIS2_PLACEMENT_3D('',#443101,#357649,#357650); #329293=AXIS2_PLACEMENT_3D('',#443102,#357651,#357652); #329294=AXIS2_PLACEMENT_3D('',#443104,#357653,#357654); #329295=AXIS2_PLACEMENT_3D('',#443107,#357656,#357657); #329296=AXIS2_PLACEMENT_3D('',#443108,#357658,#357659); #329297=AXIS2_PLACEMENT_3D('',#443110,#357660,#357661); #329298=AXIS2_PLACEMENT_3D('',#443113,#357663,#357664); #329299=AXIS2_PLACEMENT_3D('',#443114,#357665,#357666); #329300=AXIS2_PLACEMENT_3D('',#443115,#357667,#357668); #329301=AXIS2_PLACEMENT_3D('',#443116,#357669,#357670); #329302=AXIS2_PLACEMENT_3D('',#443118,#357671,#357672); #329303=AXIS2_PLACEMENT_3D('',#443121,#357674,#357675); #329304=AXIS2_PLACEMENT_3D('',#443122,#357676,#357677); #329305=AXIS2_PLACEMENT_3D('',#443124,#357678,#357679); #329306=AXIS2_PLACEMENT_3D('',#443127,#357681,#357682); #329307=AXIS2_PLACEMENT_3D('',#443128,#357683,#357684); #329308=AXIS2_PLACEMENT_3D('',#443129,#357685,#357686); #329309=AXIS2_PLACEMENT_3D('',#443130,#357687,#357688); #329310=AXIS2_PLACEMENT_3D('',#443132,#357689,#357690); #329311=AXIS2_PLACEMENT_3D('',#443135,#357692,#357693); #329312=AXIS2_PLACEMENT_3D('',#443136,#357694,#357695); #329313=AXIS2_PLACEMENT_3D('',#443138,#357696,#357697); #329314=AXIS2_PLACEMENT_3D('',#443141,#357699,#357700); #329315=AXIS2_PLACEMENT_3D('',#443142,#357701,#357702); #329316=AXIS2_PLACEMENT_3D('',#443143,#357703,#357704); #329317=AXIS2_PLACEMENT_3D('',#443144,#357705,#357706); #329318=AXIS2_PLACEMENT_3D('',#443146,#357707,#357708); #329319=AXIS2_PLACEMENT_3D('',#443149,#357710,#357711); #329320=AXIS2_PLACEMENT_3D('',#443150,#357712,#357713); #329321=AXIS2_PLACEMENT_3D('',#443152,#357714,#357715); #329322=AXIS2_PLACEMENT_3D('',#443155,#357717,#357718); #329323=AXIS2_PLACEMENT_3D('',#443156,#357719,#357720); #329324=AXIS2_PLACEMENT_3D('',#443157,#357721,#357722); #329325=AXIS2_PLACEMENT_3D('',#443158,#357723,#357724); #329326=AXIS2_PLACEMENT_3D('',#443160,#357725,#357726); #329327=AXIS2_PLACEMENT_3D('',#443163,#357728,#357729); #329328=AXIS2_PLACEMENT_3D('',#443164,#357730,#357731); #329329=AXIS2_PLACEMENT_3D('',#443166,#357732,#357733); #329330=AXIS2_PLACEMENT_3D('',#443169,#357735,#357736); #329331=AXIS2_PLACEMENT_3D('',#443170,#357737,#357738); #329332=AXIS2_PLACEMENT_3D('',#443171,#357739,#357740); #329333=AXIS2_PLACEMENT_3D('',#443172,#357741,#357742); #329334=AXIS2_PLACEMENT_3D('',#443174,#357743,#357744); #329335=AXIS2_PLACEMENT_3D('',#443177,#357746,#357747); #329336=AXIS2_PLACEMENT_3D('',#443178,#357748,#357749); #329337=AXIS2_PLACEMENT_3D('',#443180,#357750,#357751); #329338=AXIS2_PLACEMENT_3D('',#443183,#357753,#357754); #329339=AXIS2_PLACEMENT_3D('',#443184,#357755,#357756); #329340=AXIS2_PLACEMENT_3D('',#443185,#357757,#357758); #329341=AXIS2_PLACEMENT_3D('',#443186,#357759,#357760); #329342=AXIS2_PLACEMENT_3D('',#443188,#357761,#357762); #329343=AXIS2_PLACEMENT_3D('',#443191,#357764,#357765); #329344=AXIS2_PLACEMENT_3D('',#443192,#357766,#357767); #329345=AXIS2_PLACEMENT_3D('',#443194,#357768,#357769); #329346=AXIS2_PLACEMENT_3D('',#443197,#357771,#357772); #329347=AXIS2_PLACEMENT_3D('',#443198,#357773,#357774); #329348=AXIS2_PLACEMENT_3D('',#443199,#357775,#357776); #329349=AXIS2_PLACEMENT_3D('',#443200,#357777,#357778); #329350=AXIS2_PLACEMENT_3D('',#443202,#357779,#357780); #329351=AXIS2_PLACEMENT_3D('',#443205,#357782,#357783); #329352=AXIS2_PLACEMENT_3D('',#443206,#357784,#357785); #329353=AXIS2_PLACEMENT_3D('',#443208,#357786,#357787); #329354=AXIS2_PLACEMENT_3D('',#443211,#357789,#357790); #329355=AXIS2_PLACEMENT_3D('',#443212,#357791,#357792); #329356=AXIS2_PLACEMENT_3D('',#443213,#357793,#357794); #329357=AXIS2_PLACEMENT_3D('',#443214,#357795,#357796); #329358=AXIS2_PLACEMENT_3D('',#443216,#357797,#357798); #329359=AXIS2_PLACEMENT_3D('',#443219,#357800,#357801); #329360=AXIS2_PLACEMENT_3D('',#443220,#357802,#357803); #329361=AXIS2_PLACEMENT_3D('',#443222,#357804,#357805); #329362=AXIS2_PLACEMENT_3D('',#443225,#357807,#357808); #329363=AXIS2_PLACEMENT_3D('',#443226,#357809,#357810); #329364=AXIS2_PLACEMENT_3D('',#443227,#357811,#357812); #329365=AXIS2_PLACEMENT_3D('',#443228,#357813,#357814); #329366=AXIS2_PLACEMENT_3D('',#443230,#357815,#357816); #329367=AXIS2_PLACEMENT_3D('',#443233,#357818,#357819); #329368=AXIS2_PLACEMENT_3D('',#443234,#357820,#357821); #329369=AXIS2_PLACEMENT_3D('',#443236,#357822,#357823); #329370=AXIS2_PLACEMENT_3D('',#443239,#357825,#357826); #329371=AXIS2_PLACEMENT_3D('',#443240,#357827,#357828); #329372=AXIS2_PLACEMENT_3D('',#443241,#357829,#357830); #329373=AXIS2_PLACEMENT_3D('',#443242,#357831,#357832); #329374=AXIS2_PLACEMENT_3D('',#443244,#357833,#357834); #329375=AXIS2_PLACEMENT_3D('',#443247,#357836,#357837); #329376=AXIS2_PLACEMENT_3D('',#443248,#357838,#357839); #329377=AXIS2_PLACEMENT_3D('',#443250,#357840,#357841); #329378=AXIS2_PLACEMENT_3D('',#443253,#357843,#357844); #329379=AXIS2_PLACEMENT_3D('',#443254,#357845,#357846); #329380=AXIS2_PLACEMENT_3D('',#443255,#357847,#357848); #329381=AXIS2_PLACEMENT_3D('',#443256,#357849,#357850); #329382=AXIS2_PLACEMENT_3D('',#443258,#357851,#357852); #329383=AXIS2_PLACEMENT_3D('',#443261,#357854,#357855); #329384=AXIS2_PLACEMENT_3D('',#443262,#357856,#357857); #329385=AXIS2_PLACEMENT_3D('',#443264,#357858,#357859); #329386=AXIS2_PLACEMENT_3D('',#443267,#357861,#357862); #329387=AXIS2_PLACEMENT_3D('',#443268,#357863,#357864); #329388=AXIS2_PLACEMENT_3D('',#443269,#357865,#357866); #329389=AXIS2_PLACEMENT_3D('',#443270,#357867,#357868); #329390=AXIS2_PLACEMENT_3D('',#443272,#357869,#357870); #329391=AXIS2_PLACEMENT_3D('',#443275,#357872,#357873); #329392=AXIS2_PLACEMENT_3D('',#443276,#357874,#357875); #329393=AXIS2_PLACEMENT_3D('',#443278,#357876,#357877); #329394=AXIS2_PLACEMENT_3D('',#443281,#357879,#357880); #329395=AXIS2_PLACEMENT_3D('',#443282,#357881,#357882); #329396=AXIS2_PLACEMENT_3D('',#443283,#357883,#357884); #329397=AXIS2_PLACEMENT_3D('',#443284,#357885,#357886); #329398=AXIS2_PLACEMENT_3D('',#443286,#357887,#357888); #329399=AXIS2_PLACEMENT_3D('',#443289,#357890,#357891); #329400=AXIS2_PLACEMENT_3D('',#443290,#357892,#357893); #329401=AXIS2_PLACEMENT_3D('',#443292,#357894,#357895); #329402=AXIS2_PLACEMENT_3D('',#443295,#357897,#357898); #329403=AXIS2_PLACEMENT_3D('',#443296,#357899,#357900); #329404=AXIS2_PLACEMENT_3D('',#443297,#357901,#357902); #329405=AXIS2_PLACEMENT_3D('',#443298,#357903,#357904); #329406=AXIS2_PLACEMENT_3D('',#443300,#357905,#357906); #329407=AXIS2_PLACEMENT_3D('',#443303,#357908,#357909); #329408=AXIS2_PLACEMENT_3D('',#443304,#357910,#357911); #329409=AXIS2_PLACEMENT_3D('',#443306,#357912,#357913); #329410=AXIS2_PLACEMENT_3D('',#443309,#357915,#357916); #329411=AXIS2_PLACEMENT_3D('',#443310,#357917,#357918); #329412=AXIS2_PLACEMENT_3D('',#443311,#357919,#357920); #329413=AXIS2_PLACEMENT_3D('',#443312,#357921,#357922); #329414=AXIS2_PLACEMENT_3D('',#443314,#357923,#357924); #329415=AXIS2_PLACEMENT_3D('',#443317,#357926,#357927); #329416=AXIS2_PLACEMENT_3D('',#443318,#357928,#357929); #329417=AXIS2_PLACEMENT_3D('',#443320,#357930,#357931); #329418=AXIS2_PLACEMENT_3D('',#443323,#357933,#357934); #329419=AXIS2_PLACEMENT_3D('',#443324,#357935,#357936); #329420=AXIS2_PLACEMENT_3D('',#443325,#357937,#357938); #329421=AXIS2_PLACEMENT_3D('',#443326,#357939,#357940); #329422=AXIS2_PLACEMENT_3D('',#443328,#357941,#357942); #329423=AXIS2_PLACEMENT_3D('',#443331,#357944,#357945); #329424=AXIS2_PLACEMENT_3D('',#443332,#357946,#357947); #329425=AXIS2_PLACEMENT_3D('',#443334,#357948,#357949); #329426=AXIS2_PLACEMENT_3D('',#443337,#357951,#357952); #329427=AXIS2_PLACEMENT_3D('',#443338,#357953,#357954); #329428=AXIS2_PLACEMENT_3D('',#443339,#357955,#357956); #329429=AXIS2_PLACEMENT_3D('',#443340,#357957,#357958); #329430=AXIS2_PLACEMENT_3D('',#443342,#357959,#357960); #329431=AXIS2_PLACEMENT_3D('',#443345,#357962,#357963); #329432=AXIS2_PLACEMENT_3D('',#443346,#357964,#357965); #329433=AXIS2_PLACEMENT_3D('',#443348,#357966,#357967); #329434=AXIS2_PLACEMENT_3D('',#443351,#357969,#357970); #329435=AXIS2_PLACEMENT_3D('',#443352,#357971,#357972); #329436=AXIS2_PLACEMENT_3D('',#443353,#357973,#357974); #329437=AXIS2_PLACEMENT_3D('',#443354,#357975,#357976); #329438=AXIS2_PLACEMENT_3D('',#443356,#357977,#357978); #329439=AXIS2_PLACEMENT_3D('',#443359,#357980,#357981); #329440=AXIS2_PLACEMENT_3D('',#443360,#357982,#357983); #329441=AXIS2_PLACEMENT_3D('',#443362,#357984,#357985); #329442=AXIS2_PLACEMENT_3D('',#443365,#357987,#357988); #329443=AXIS2_PLACEMENT_3D('',#443366,#357989,#357990); #329444=AXIS2_PLACEMENT_3D('',#443367,#357991,#357992); #329445=AXIS2_PLACEMENT_3D('',#443368,#357993,#357994); #329446=AXIS2_PLACEMENT_3D('',#443370,#357995,#357996); #329447=AXIS2_PLACEMENT_3D('',#443373,#357998,#357999); #329448=AXIS2_PLACEMENT_3D('',#443374,#358000,#358001); #329449=AXIS2_PLACEMENT_3D('',#443376,#358002,#358003); #329450=AXIS2_PLACEMENT_3D('',#443379,#358005,#358006); #329451=AXIS2_PLACEMENT_3D('',#443380,#358007,#358008); #329452=AXIS2_PLACEMENT_3D('',#443381,#358009,#358010); #329453=AXIS2_PLACEMENT_3D('',#443382,#358011,#358012); #329454=AXIS2_PLACEMENT_3D('',#443384,#358013,#358014); #329455=AXIS2_PLACEMENT_3D('',#443387,#358016,#358017); #329456=AXIS2_PLACEMENT_3D('',#443388,#358018,#358019); #329457=AXIS2_PLACEMENT_3D('',#443390,#358020,#358021); #329458=AXIS2_PLACEMENT_3D('',#443393,#358023,#358024); #329459=AXIS2_PLACEMENT_3D('',#443394,#358025,#358026); #329460=AXIS2_PLACEMENT_3D('',#443395,#358027,#358028); #329461=AXIS2_PLACEMENT_3D('',#443396,#358029,#358030); #329462=AXIS2_PLACEMENT_3D('',#443398,#358031,#358032); #329463=AXIS2_PLACEMENT_3D('',#443401,#358034,#358035); #329464=AXIS2_PLACEMENT_3D('',#443402,#358036,#358037); #329465=AXIS2_PLACEMENT_3D('',#443404,#358038,#358039); #329466=AXIS2_PLACEMENT_3D('',#443407,#358041,#358042); #329467=AXIS2_PLACEMENT_3D('',#443408,#358043,#358044); #329468=AXIS2_PLACEMENT_3D('',#443409,#358045,#358046); #329469=AXIS2_PLACEMENT_3D('',#443410,#358047,#358048); #329470=AXIS2_PLACEMENT_3D('',#443412,#358049,#358050); #329471=AXIS2_PLACEMENT_3D('',#443415,#358052,#358053); #329472=AXIS2_PLACEMENT_3D('',#443416,#358054,#358055); #329473=AXIS2_PLACEMENT_3D('',#443418,#358056,#358057); #329474=AXIS2_PLACEMENT_3D('',#443421,#358059,#358060); #329475=AXIS2_PLACEMENT_3D('',#443422,#358061,#358062); #329476=AXIS2_PLACEMENT_3D('',#443423,#358063,#358064); #329477=AXIS2_PLACEMENT_3D('',#443424,#358065,#358066); #329478=AXIS2_PLACEMENT_3D('',#443426,#358067,#358068); #329479=AXIS2_PLACEMENT_3D('',#443429,#358070,#358071); #329480=AXIS2_PLACEMENT_3D('',#443430,#358072,#358073); #329481=AXIS2_PLACEMENT_3D('',#443432,#358074,#358075); #329482=AXIS2_PLACEMENT_3D('',#443435,#358077,#358078); #329483=AXIS2_PLACEMENT_3D('',#443436,#358079,#358080); #329484=AXIS2_PLACEMENT_3D('',#443437,#358081,#358082); #329485=AXIS2_PLACEMENT_3D('',#443438,#358083,#358084); #329486=AXIS2_PLACEMENT_3D('',#443440,#358085,#358086); #329487=AXIS2_PLACEMENT_3D('',#443443,#358088,#358089); #329488=AXIS2_PLACEMENT_3D('',#443444,#358090,#358091); #329489=AXIS2_PLACEMENT_3D('',#443446,#358092,#358093); #329490=AXIS2_PLACEMENT_3D('',#443449,#358095,#358096); #329491=AXIS2_PLACEMENT_3D('',#443450,#358097,#358098); #329492=AXIS2_PLACEMENT_3D('',#443451,#358099,#358100); #329493=AXIS2_PLACEMENT_3D('',#443452,#358101,#358102); #329494=AXIS2_PLACEMENT_3D('',#443454,#358103,#358104); #329495=AXIS2_PLACEMENT_3D('',#443457,#358106,#358107); #329496=AXIS2_PLACEMENT_3D('',#443458,#358108,#358109); #329497=AXIS2_PLACEMENT_3D('',#443460,#358110,#358111); #329498=AXIS2_PLACEMENT_3D('',#443463,#358113,#358114); #329499=AXIS2_PLACEMENT_3D('',#443464,#358115,#358116); #329500=AXIS2_PLACEMENT_3D('',#443465,#358117,#358118); #329501=AXIS2_PLACEMENT_3D('',#443466,#358119,#358120); #329502=AXIS2_PLACEMENT_3D('',#443468,#358121,#358122); #329503=AXIS2_PLACEMENT_3D('',#443471,#358124,#358125); #329504=AXIS2_PLACEMENT_3D('',#443472,#358126,#358127); #329505=AXIS2_PLACEMENT_3D('',#443474,#358128,#358129); #329506=AXIS2_PLACEMENT_3D('',#443477,#358131,#358132); #329507=AXIS2_PLACEMENT_3D('',#443478,#358133,#358134); #329508=AXIS2_PLACEMENT_3D('',#443479,#358135,#358136); #329509=AXIS2_PLACEMENT_3D('',#443480,#358137,#358138); #329510=AXIS2_PLACEMENT_3D('',#443482,#358139,#358140); #329511=AXIS2_PLACEMENT_3D('',#443485,#358142,#358143); #329512=AXIS2_PLACEMENT_3D('',#443486,#358144,#358145); #329513=AXIS2_PLACEMENT_3D('',#443488,#358146,#358147); #329514=AXIS2_PLACEMENT_3D('',#443491,#358149,#358150); #329515=AXIS2_PLACEMENT_3D('',#443492,#358151,#358152); #329516=AXIS2_PLACEMENT_3D('',#443493,#358153,#358154); #329517=AXIS2_PLACEMENT_3D('',#443494,#358155,#358156); #329518=AXIS2_PLACEMENT_3D('',#443496,#358157,#358158); #329519=AXIS2_PLACEMENT_3D('',#443499,#358160,#358161); #329520=AXIS2_PLACEMENT_3D('',#443500,#358162,#358163); #329521=AXIS2_PLACEMENT_3D('',#443502,#358164,#358165); #329522=AXIS2_PLACEMENT_3D('',#443505,#358167,#358168); #329523=AXIS2_PLACEMENT_3D('',#443506,#358169,#358170); #329524=AXIS2_PLACEMENT_3D('',#443507,#358171,#358172); #329525=AXIS2_PLACEMENT_3D('',#443508,#358173,#358174); #329526=AXIS2_PLACEMENT_3D('',#443510,#358175,#358176); #329527=AXIS2_PLACEMENT_3D('',#443513,#358178,#358179); #329528=AXIS2_PLACEMENT_3D('',#443514,#358180,#358181); #329529=AXIS2_PLACEMENT_3D('',#443516,#358182,#358183); #329530=AXIS2_PLACEMENT_3D('',#443519,#358185,#358186); #329531=AXIS2_PLACEMENT_3D('',#443520,#358187,#358188); #329532=AXIS2_PLACEMENT_3D('',#443521,#358189,#358190); #329533=AXIS2_PLACEMENT_3D('',#443522,#358191,#358192); #329534=AXIS2_PLACEMENT_3D('',#443524,#358193,#358194); #329535=AXIS2_PLACEMENT_3D('',#443527,#358196,#358197); #329536=AXIS2_PLACEMENT_3D('',#443528,#358198,#358199); #329537=AXIS2_PLACEMENT_3D('',#443530,#358200,#358201); #329538=AXIS2_PLACEMENT_3D('',#443533,#358203,#358204); #329539=AXIS2_PLACEMENT_3D('',#443534,#358205,#358206); #329540=AXIS2_PLACEMENT_3D('',#443535,#358207,#358208); #329541=AXIS2_PLACEMENT_3D('',#443536,#358209,#358210); #329542=AXIS2_PLACEMENT_3D('',#443538,#358211,#358212); #329543=AXIS2_PLACEMENT_3D('',#443541,#358214,#358215); #329544=AXIS2_PLACEMENT_3D('',#443542,#358216,#358217); #329545=AXIS2_PLACEMENT_3D('',#443544,#358218,#358219); #329546=AXIS2_PLACEMENT_3D('',#443547,#358221,#358222); #329547=AXIS2_PLACEMENT_3D('',#443548,#358223,#358224); #329548=AXIS2_PLACEMENT_3D('',#443549,#358225,#358226); #329549=AXIS2_PLACEMENT_3D('',#443550,#358227,#358228); #329550=AXIS2_PLACEMENT_3D('',#443552,#358229,#358230); #329551=AXIS2_PLACEMENT_3D('',#443555,#358232,#358233); #329552=AXIS2_PLACEMENT_3D('',#443556,#358234,#358235); #329553=AXIS2_PLACEMENT_3D('',#443558,#358236,#358237); #329554=AXIS2_PLACEMENT_3D('',#443561,#358239,#358240); #329555=AXIS2_PLACEMENT_3D('',#443562,#358241,#358242); #329556=AXIS2_PLACEMENT_3D('',#443563,#358243,#358244); #329557=AXIS2_PLACEMENT_3D('',#443564,#358245,#358246); #329558=AXIS2_PLACEMENT_3D('',#443566,#358247,#358248); #329559=AXIS2_PLACEMENT_3D('',#443569,#358250,#358251); #329560=AXIS2_PLACEMENT_3D('',#443570,#358252,#358253); #329561=AXIS2_PLACEMENT_3D('',#443572,#358254,#358255); #329562=AXIS2_PLACEMENT_3D('',#443575,#358257,#358258); #329563=AXIS2_PLACEMENT_3D('',#443576,#358259,#358260); #329564=AXIS2_PLACEMENT_3D('',#443577,#358261,#358262); #329565=AXIS2_PLACEMENT_3D('',#443578,#358263,#358264); #329566=AXIS2_PLACEMENT_3D('',#443580,#358265,#358266); #329567=AXIS2_PLACEMENT_3D('',#443583,#358268,#358269); #329568=AXIS2_PLACEMENT_3D('',#443584,#358270,#358271); #329569=AXIS2_PLACEMENT_3D('',#443586,#358272,#358273); #329570=AXIS2_PLACEMENT_3D('',#443589,#358275,#358276); #329571=AXIS2_PLACEMENT_3D('',#443590,#358277,#358278); #329572=AXIS2_PLACEMENT_3D('',#443591,#358279,#358280); #329573=AXIS2_PLACEMENT_3D('',#443592,#358281,#358282); #329574=AXIS2_PLACEMENT_3D('',#443594,#358283,#358284); #329575=AXIS2_PLACEMENT_3D('',#443597,#358286,#358287); #329576=AXIS2_PLACEMENT_3D('',#443598,#358288,#358289); #329577=AXIS2_PLACEMENT_3D('',#443600,#358290,#358291); #329578=AXIS2_PLACEMENT_3D('',#443603,#358293,#358294); #329579=AXIS2_PLACEMENT_3D('',#443604,#358295,#358296); #329580=AXIS2_PLACEMENT_3D('',#443605,#358297,#358298); #329581=AXIS2_PLACEMENT_3D('',#443606,#358299,#358300); #329582=AXIS2_PLACEMENT_3D('',#443608,#358301,#358302); #329583=AXIS2_PLACEMENT_3D('',#443611,#358304,#358305); #329584=AXIS2_PLACEMENT_3D('',#443612,#358306,#358307); #329585=AXIS2_PLACEMENT_3D('',#443614,#358308,#358309); #329586=AXIS2_PLACEMENT_3D('',#443617,#358311,#358312); #329587=AXIS2_PLACEMENT_3D('',#443618,#358313,#358314); #329588=AXIS2_PLACEMENT_3D('',#443619,#358315,#358316); #329589=AXIS2_PLACEMENT_3D('',#443620,#358317,#358318); #329590=AXIS2_PLACEMENT_3D('',#443622,#358319,#358320); #329591=AXIS2_PLACEMENT_3D('',#443625,#358322,#358323); #329592=AXIS2_PLACEMENT_3D('',#443626,#358324,#358325); #329593=AXIS2_PLACEMENT_3D('',#443628,#358326,#358327); #329594=AXIS2_PLACEMENT_3D('',#443631,#358329,#358330); #329595=AXIS2_PLACEMENT_3D('',#443632,#358331,#358332); #329596=AXIS2_PLACEMENT_3D('',#443633,#358333,#358334); #329597=AXIS2_PLACEMENT_3D('',#443634,#358335,#358336); #329598=AXIS2_PLACEMENT_3D('',#443636,#358337,#358338); #329599=AXIS2_PLACEMENT_3D('',#443639,#358340,#358341); #329600=AXIS2_PLACEMENT_3D('',#443640,#358342,#358343); #329601=AXIS2_PLACEMENT_3D('',#443642,#358344,#358345); #329602=AXIS2_PLACEMENT_3D('',#443645,#358347,#358348); #329603=AXIS2_PLACEMENT_3D('',#443646,#358349,#358350); #329604=AXIS2_PLACEMENT_3D('',#443647,#358351,#358352); #329605=AXIS2_PLACEMENT_3D('',#443648,#358353,#358354); #329606=AXIS2_PLACEMENT_3D('',#443650,#358355,#358356); #329607=AXIS2_PLACEMENT_3D('',#443653,#358358,#358359); #329608=AXIS2_PLACEMENT_3D('',#443654,#358360,#358361); #329609=AXIS2_PLACEMENT_3D('',#443656,#358362,#358363); #329610=AXIS2_PLACEMENT_3D('',#443659,#358365,#358366); #329611=AXIS2_PLACEMENT_3D('',#443660,#358367,#358368); #329612=AXIS2_PLACEMENT_3D('',#443661,#358369,#358370); #329613=AXIS2_PLACEMENT_3D('',#443662,#358371,#358372); #329614=AXIS2_PLACEMENT_3D('',#443664,#358373,#358374); #329615=AXIS2_PLACEMENT_3D('',#443667,#358376,#358377); #329616=AXIS2_PLACEMENT_3D('',#443668,#358378,#358379); #329617=AXIS2_PLACEMENT_3D('',#443670,#358380,#358381); #329618=AXIS2_PLACEMENT_3D('',#443673,#358383,#358384); #329619=AXIS2_PLACEMENT_3D('',#443674,#358385,#358386); #329620=AXIS2_PLACEMENT_3D('',#443675,#358387,#358388); #329621=AXIS2_PLACEMENT_3D('',#443676,#358389,#358390); #329622=AXIS2_PLACEMENT_3D('',#443678,#358391,#358392); #329623=AXIS2_PLACEMENT_3D('',#443681,#358394,#358395); #329624=AXIS2_PLACEMENT_3D('',#443682,#358396,#358397); #329625=AXIS2_PLACEMENT_3D('',#443684,#358398,#358399); #329626=AXIS2_PLACEMENT_3D('',#443687,#358401,#358402); #329627=AXIS2_PLACEMENT_3D('',#443688,#358403,#358404); #329628=AXIS2_PLACEMENT_3D('',#443689,#358405,#358406); #329629=AXIS2_PLACEMENT_3D('',#443690,#358407,#358408); #329630=AXIS2_PLACEMENT_3D('',#443692,#358409,#358410); #329631=AXIS2_PLACEMENT_3D('',#443695,#358412,#358413); #329632=AXIS2_PLACEMENT_3D('',#443696,#358414,#358415); #329633=AXIS2_PLACEMENT_3D('',#443698,#358416,#358417); #329634=AXIS2_PLACEMENT_3D('',#443701,#358419,#358420); #329635=AXIS2_PLACEMENT_3D('',#443702,#358421,#358422); #329636=AXIS2_PLACEMENT_3D('',#443703,#358423,#358424); #329637=AXIS2_PLACEMENT_3D('',#443704,#358425,#358426); #329638=AXIS2_PLACEMENT_3D('',#443706,#358427,#358428); #329639=AXIS2_PLACEMENT_3D('',#443709,#358430,#358431); #329640=AXIS2_PLACEMENT_3D('',#443710,#358432,#358433); #329641=AXIS2_PLACEMENT_3D('',#443712,#358434,#358435); #329642=AXIS2_PLACEMENT_3D('',#443715,#358437,#358438); #329643=AXIS2_PLACEMENT_3D('',#443716,#358439,#358440); #329644=AXIS2_PLACEMENT_3D('',#443717,#358441,#358442); #329645=AXIS2_PLACEMENT_3D('',#443718,#358443,#358444); #329646=AXIS2_PLACEMENT_3D('',#443720,#358445,#358446); #329647=AXIS2_PLACEMENT_3D('',#443723,#358448,#358449); #329648=AXIS2_PLACEMENT_3D('',#443724,#358450,#358451); #329649=AXIS2_PLACEMENT_3D('',#443726,#358452,#358453); #329650=AXIS2_PLACEMENT_3D('',#443729,#358455,#358456); #329651=AXIS2_PLACEMENT_3D('',#443730,#358457,#358458); #329652=AXIS2_PLACEMENT_3D('',#443731,#358459,#358460); #329653=AXIS2_PLACEMENT_3D('',#443732,#358461,#358462); #329654=AXIS2_PLACEMENT_3D('',#443734,#358463,#358464); #329655=AXIS2_PLACEMENT_3D('',#443737,#358466,#358467); #329656=AXIS2_PLACEMENT_3D('',#443738,#358468,#358469); #329657=AXIS2_PLACEMENT_3D('',#443740,#358470,#358471); #329658=AXIS2_PLACEMENT_3D('',#443743,#358473,#358474); #329659=AXIS2_PLACEMENT_3D('',#443744,#358475,#358476); #329660=AXIS2_PLACEMENT_3D('',#443745,#358477,#358478); #329661=AXIS2_PLACEMENT_3D('',#443746,#358479,#358480); #329662=AXIS2_PLACEMENT_3D('',#443748,#358481,#358482); #329663=AXIS2_PLACEMENT_3D('',#443751,#358484,#358485); #329664=AXIS2_PLACEMENT_3D('',#443752,#358486,#358487); #329665=AXIS2_PLACEMENT_3D('',#443754,#358488,#358489); #329666=AXIS2_PLACEMENT_3D('',#443757,#358491,#358492); #329667=AXIS2_PLACEMENT_3D('',#443758,#358493,#358494); #329668=AXIS2_PLACEMENT_3D('',#443759,#358495,#358496); #329669=AXIS2_PLACEMENT_3D('',#443760,#358497,#358498); #329670=AXIS2_PLACEMENT_3D('',#443762,#358499,#358500); #329671=AXIS2_PLACEMENT_3D('',#443765,#358502,#358503); #329672=AXIS2_PLACEMENT_3D('',#443766,#358504,#358505); #329673=AXIS2_PLACEMENT_3D('',#443768,#358506,#358507); #329674=AXIS2_PLACEMENT_3D('',#443771,#358509,#358510); #329675=AXIS2_PLACEMENT_3D('',#443772,#358511,#358512); #329676=AXIS2_PLACEMENT_3D('',#443773,#358513,#358514); #329677=AXIS2_PLACEMENT_3D('',#443774,#358515,#358516); #329678=AXIS2_PLACEMENT_3D('',#443776,#358517,#358518); #329679=AXIS2_PLACEMENT_3D('',#443779,#358520,#358521); #329680=AXIS2_PLACEMENT_3D('',#443780,#358522,#358523); #329681=AXIS2_PLACEMENT_3D('',#443782,#358524,#358525); #329682=AXIS2_PLACEMENT_3D('',#443785,#358527,#358528); #329683=AXIS2_PLACEMENT_3D('',#443786,#358529,#358530); #329684=AXIS2_PLACEMENT_3D('',#443787,#358531,#358532); #329685=AXIS2_PLACEMENT_3D('',#443788,#358533,#358534); #329686=AXIS2_PLACEMENT_3D('',#443790,#358535,#358536); #329687=AXIS2_PLACEMENT_3D('',#443793,#358538,#358539); #329688=AXIS2_PLACEMENT_3D('',#443794,#358540,#358541); #329689=AXIS2_PLACEMENT_3D('',#443796,#358542,#358543); #329690=AXIS2_PLACEMENT_3D('',#443799,#358545,#358546); #329691=AXIS2_PLACEMENT_3D('',#443800,#358547,#358548); #329692=AXIS2_PLACEMENT_3D('',#443801,#358549,#358550); #329693=AXIS2_PLACEMENT_3D('',#443802,#358551,#358552); #329694=AXIS2_PLACEMENT_3D('',#443804,#358553,#358554); #329695=AXIS2_PLACEMENT_3D('',#443807,#358556,#358557); #329696=AXIS2_PLACEMENT_3D('',#443808,#358558,#358559); #329697=AXIS2_PLACEMENT_3D('',#443810,#358560,#358561); #329698=AXIS2_PLACEMENT_3D('',#443813,#358563,#358564); #329699=AXIS2_PLACEMENT_3D('',#443814,#358565,#358566); #329700=AXIS2_PLACEMENT_3D('',#443815,#358567,#358568); #329701=AXIS2_PLACEMENT_3D('',#443816,#358569,#358570); #329702=AXIS2_PLACEMENT_3D('',#443818,#358571,#358572); #329703=AXIS2_PLACEMENT_3D('',#443821,#358574,#358575); #329704=AXIS2_PLACEMENT_3D('',#443822,#358576,#358577); #329705=AXIS2_PLACEMENT_3D('',#443824,#358578,#358579); #329706=AXIS2_PLACEMENT_3D('',#443827,#358581,#358582); #329707=AXIS2_PLACEMENT_3D('',#443828,#358583,#358584); #329708=AXIS2_PLACEMENT_3D('',#443829,#358585,#358586); #329709=AXIS2_PLACEMENT_3D('',#443830,#358587,#358588); #329710=AXIS2_PLACEMENT_3D('',#443832,#358589,#358590); #329711=AXIS2_PLACEMENT_3D('',#443835,#358592,#358593); #329712=AXIS2_PLACEMENT_3D('',#443836,#358594,#358595); #329713=AXIS2_PLACEMENT_3D('',#443838,#358596,#358597); #329714=AXIS2_PLACEMENT_3D('',#443841,#358599,#358600); #329715=AXIS2_PLACEMENT_3D('',#443842,#358601,#358602); #329716=AXIS2_PLACEMENT_3D('',#443843,#358603,#358604); #329717=AXIS2_PLACEMENT_3D('',#443844,#358605,#358606); #329718=AXIS2_PLACEMENT_3D('',#443846,#358607,#358608); #329719=AXIS2_PLACEMENT_3D('',#443849,#358610,#358611); #329720=AXIS2_PLACEMENT_3D('',#443850,#358612,#358613); #329721=AXIS2_PLACEMENT_3D('',#443852,#358614,#358615); #329722=AXIS2_PLACEMENT_3D('',#443855,#358617,#358618); #329723=AXIS2_PLACEMENT_3D('',#443856,#358619,#358620); #329724=AXIS2_PLACEMENT_3D('',#443857,#358621,#358622); #329725=AXIS2_PLACEMENT_3D('',#443858,#358623,#358624); #329726=AXIS2_PLACEMENT_3D('',#443860,#358625,#358626); #329727=AXIS2_PLACEMENT_3D('',#443863,#358628,#358629); #329728=AXIS2_PLACEMENT_3D('',#443864,#358630,#358631); #329729=AXIS2_PLACEMENT_3D('',#443866,#358632,#358633); #329730=AXIS2_PLACEMENT_3D('',#443869,#358635,#358636); #329731=AXIS2_PLACEMENT_3D('',#443870,#358637,#358638); #329732=AXIS2_PLACEMENT_3D('',#443871,#358639,#358640); #329733=AXIS2_PLACEMENT_3D('',#443872,#358641,#358642); #329734=AXIS2_PLACEMENT_3D('',#443874,#358643,#358644); #329735=AXIS2_PLACEMENT_3D('',#443877,#358646,#358647); #329736=AXIS2_PLACEMENT_3D('',#443878,#358648,#358649); #329737=AXIS2_PLACEMENT_3D('',#443880,#358650,#358651); #329738=AXIS2_PLACEMENT_3D('',#443883,#358653,#358654); #329739=AXIS2_PLACEMENT_3D('',#443884,#358655,#358656); #329740=AXIS2_PLACEMENT_3D('',#443885,#358657,#358658); #329741=AXIS2_PLACEMENT_3D('',#443886,#358659,#358660); #329742=AXIS2_PLACEMENT_3D('',#443888,#358661,#358662); #329743=AXIS2_PLACEMENT_3D('',#443891,#358664,#358665); #329744=AXIS2_PLACEMENT_3D('',#443892,#358666,#358667); #329745=AXIS2_PLACEMENT_3D('',#443894,#358668,#358669); #329746=AXIS2_PLACEMENT_3D('',#443897,#358671,#358672); #329747=AXIS2_PLACEMENT_3D('',#443898,#358673,#358674); #329748=AXIS2_PLACEMENT_3D('',#443899,#358675,#358676); #329749=AXIS2_PLACEMENT_3D('',#443900,#358677,#358678); #329750=AXIS2_PLACEMENT_3D('',#443902,#358679,#358680); #329751=AXIS2_PLACEMENT_3D('',#443905,#358682,#358683); #329752=AXIS2_PLACEMENT_3D('',#443906,#358684,#358685); #329753=AXIS2_PLACEMENT_3D('',#443908,#358686,#358687); #329754=AXIS2_PLACEMENT_3D('',#443911,#358689,#358690); #329755=AXIS2_PLACEMENT_3D('',#443912,#358691,#358692); #329756=AXIS2_PLACEMENT_3D('',#443913,#358693,#358694); #329757=AXIS2_PLACEMENT_3D('',#443914,#358695,#358696); #329758=AXIS2_PLACEMENT_3D('',#443916,#358697,#358698); #329759=AXIS2_PLACEMENT_3D('',#443919,#358700,#358701); #329760=AXIS2_PLACEMENT_3D('',#443920,#358702,#358703); #329761=AXIS2_PLACEMENT_3D('',#443922,#358704,#358705); #329762=AXIS2_PLACEMENT_3D('',#443925,#358707,#358708); #329763=AXIS2_PLACEMENT_3D('',#443926,#358709,#358710); #329764=AXIS2_PLACEMENT_3D('',#443927,#358711,#358712); #329765=AXIS2_PLACEMENT_3D('',#443928,#358713,#358714); #329766=AXIS2_PLACEMENT_3D('',#443930,#358715,#358716); #329767=AXIS2_PLACEMENT_3D('',#443933,#358718,#358719); #329768=AXIS2_PLACEMENT_3D('',#443934,#358720,#358721); #329769=AXIS2_PLACEMENT_3D('',#443936,#358722,#358723); #329770=AXIS2_PLACEMENT_3D('',#443939,#358725,#358726); #329771=AXIS2_PLACEMENT_3D('',#443940,#358727,#358728); #329772=AXIS2_PLACEMENT_3D('',#443941,#358729,#358730); #329773=AXIS2_PLACEMENT_3D('',#443942,#358731,#358732); #329774=AXIS2_PLACEMENT_3D('',#443944,#358733,#358734); #329775=AXIS2_PLACEMENT_3D('',#443947,#358736,#358737); #329776=AXIS2_PLACEMENT_3D('',#443948,#358738,#358739); #329777=AXIS2_PLACEMENT_3D('',#443950,#358740,#358741); #329778=AXIS2_PLACEMENT_3D('',#443953,#358743,#358744); #329779=AXIS2_PLACEMENT_3D('',#443954,#358745,#358746); #329780=AXIS2_PLACEMENT_3D('',#443955,#358747,#358748); #329781=AXIS2_PLACEMENT_3D('',#443956,#358749,#358750); #329782=AXIS2_PLACEMENT_3D('',#443958,#358751,#358752); #329783=AXIS2_PLACEMENT_3D('',#443961,#358754,#358755); #329784=AXIS2_PLACEMENT_3D('',#443962,#358756,#358757); #329785=AXIS2_PLACEMENT_3D('',#443964,#358758,#358759); #329786=AXIS2_PLACEMENT_3D('',#443967,#358761,#358762); #329787=AXIS2_PLACEMENT_3D('',#443968,#358763,#358764); #329788=AXIS2_PLACEMENT_3D('',#443969,#358765,#358766); #329789=AXIS2_PLACEMENT_3D('',#443970,#358767,#358768); #329790=AXIS2_PLACEMENT_3D('',#443972,#358769,#358770); #329791=AXIS2_PLACEMENT_3D('',#443975,#358772,#358773); #329792=AXIS2_PLACEMENT_3D('',#443976,#358774,#358775); #329793=AXIS2_PLACEMENT_3D('',#443978,#358776,#358777); #329794=AXIS2_PLACEMENT_3D('',#443981,#358779,#358780); #329795=AXIS2_PLACEMENT_3D('',#443982,#358781,#358782); #329796=AXIS2_PLACEMENT_3D('',#443983,#358783,#358784); #329797=AXIS2_PLACEMENT_3D('',#443984,#358785,#358786); #329798=AXIS2_PLACEMENT_3D('',#443986,#358787,#358788); #329799=AXIS2_PLACEMENT_3D('',#443989,#358790,#358791); #329800=AXIS2_PLACEMENT_3D('',#443990,#358792,#358793); #329801=AXIS2_PLACEMENT_3D('',#443992,#358794,#358795); #329802=AXIS2_PLACEMENT_3D('',#443995,#358797,#358798); #329803=AXIS2_PLACEMENT_3D('',#443996,#358799,#358800); #329804=AXIS2_PLACEMENT_3D('',#443997,#358801,#358802); #329805=AXIS2_PLACEMENT_3D('',#443998,#358803,#358804); #329806=AXIS2_PLACEMENT_3D('',#444000,#358805,#358806); #329807=AXIS2_PLACEMENT_3D('',#444003,#358808,#358809); #329808=AXIS2_PLACEMENT_3D('',#444004,#358810,#358811); #329809=AXIS2_PLACEMENT_3D('',#444006,#358812,#358813); #329810=AXIS2_PLACEMENT_3D('',#444009,#358815,#358816); #329811=AXIS2_PLACEMENT_3D('',#444010,#358817,#358818); #329812=AXIS2_PLACEMENT_3D('',#444011,#358819,#358820); #329813=AXIS2_PLACEMENT_3D('',#444012,#358821,#358822); #329814=AXIS2_PLACEMENT_3D('',#444014,#358823,#358824); #329815=AXIS2_PLACEMENT_3D('',#444017,#358826,#358827); #329816=AXIS2_PLACEMENT_3D('',#444018,#358828,#358829); #329817=AXIS2_PLACEMENT_3D('',#444020,#358830,#358831); #329818=AXIS2_PLACEMENT_3D('',#444023,#358833,#358834); #329819=AXIS2_PLACEMENT_3D('',#444024,#358835,#358836); #329820=AXIS2_PLACEMENT_3D('',#444025,#358837,#358838); #329821=AXIS2_PLACEMENT_3D('',#444026,#358839,#358840); #329822=AXIS2_PLACEMENT_3D('',#444028,#358841,#358842); #329823=AXIS2_PLACEMENT_3D('',#444031,#358844,#358845); #329824=AXIS2_PLACEMENT_3D('',#444032,#358846,#358847); #329825=AXIS2_PLACEMENT_3D('',#444034,#358848,#358849); #329826=AXIS2_PLACEMENT_3D('',#444037,#358851,#358852); #329827=AXIS2_PLACEMENT_3D('',#444038,#358853,#358854); #329828=AXIS2_PLACEMENT_3D('',#444039,#358855,#358856); #329829=AXIS2_PLACEMENT_3D('',#444040,#358857,#358858); #329830=AXIS2_PLACEMENT_3D('',#444042,#358859,#358860); #329831=AXIS2_PLACEMENT_3D('',#444045,#358862,#358863); #329832=AXIS2_PLACEMENT_3D('',#444046,#358864,#358865); #329833=AXIS2_PLACEMENT_3D('',#444048,#358866,#358867); #329834=AXIS2_PLACEMENT_3D('',#444051,#358869,#358870); #329835=AXIS2_PLACEMENT_3D('',#444052,#358871,#358872); #329836=AXIS2_PLACEMENT_3D('',#444053,#358873,#358874); #329837=AXIS2_PLACEMENT_3D('',#444054,#358875,#358876); #329838=AXIS2_PLACEMENT_3D('',#444056,#358877,#358878); #329839=AXIS2_PLACEMENT_3D('',#444059,#358880,#358881); #329840=AXIS2_PLACEMENT_3D('',#444060,#358882,#358883); #329841=AXIS2_PLACEMENT_3D('',#444062,#358884,#358885); #329842=AXIS2_PLACEMENT_3D('',#444065,#358887,#358888); #329843=AXIS2_PLACEMENT_3D('',#444066,#358889,#358890); #329844=AXIS2_PLACEMENT_3D('',#444067,#358891,#358892); #329845=AXIS2_PLACEMENT_3D('',#444068,#358893,#358894); #329846=AXIS2_PLACEMENT_3D('',#444070,#358895,#358896); #329847=AXIS2_PLACEMENT_3D('',#444073,#358898,#358899); #329848=AXIS2_PLACEMENT_3D('',#444074,#358900,#358901); #329849=AXIS2_PLACEMENT_3D('',#444076,#358902,#358903); #329850=AXIS2_PLACEMENT_3D('',#444079,#358905,#358906); #329851=AXIS2_PLACEMENT_3D('',#444080,#358907,#358908); #329852=AXIS2_PLACEMENT_3D('',#444081,#358909,#358910); #329853=AXIS2_PLACEMENT_3D('',#444082,#358911,#358912); #329854=AXIS2_PLACEMENT_3D('',#444084,#358913,#358914); #329855=AXIS2_PLACEMENT_3D('',#444087,#358916,#358917); #329856=AXIS2_PLACEMENT_3D('',#444088,#358918,#358919); #329857=AXIS2_PLACEMENT_3D('',#444090,#358920,#358921); #329858=AXIS2_PLACEMENT_3D('',#444093,#358923,#358924); #329859=AXIS2_PLACEMENT_3D('',#444094,#358925,#358926); #329860=AXIS2_PLACEMENT_3D('',#444095,#358927,#358928); #329861=AXIS2_PLACEMENT_3D('',#444096,#358929,#358930); #329862=AXIS2_PLACEMENT_3D('',#444098,#358931,#358932); #329863=AXIS2_PLACEMENT_3D('',#444101,#358934,#358935); #329864=AXIS2_PLACEMENT_3D('',#444102,#358936,#358937); #329865=AXIS2_PLACEMENT_3D('',#444104,#358938,#358939); #329866=AXIS2_PLACEMENT_3D('',#444107,#358941,#358942); #329867=AXIS2_PLACEMENT_3D('',#444108,#358943,#358944); #329868=AXIS2_PLACEMENT_3D('',#444109,#358945,#358946); #329869=AXIS2_PLACEMENT_3D('',#444110,#358947,#358948); #329870=AXIS2_PLACEMENT_3D('',#444112,#358949,#358950); #329871=AXIS2_PLACEMENT_3D('',#444115,#358952,#358953); #329872=AXIS2_PLACEMENT_3D('',#444116,#358954,#358955); #329873=AXIS2_PLACEMENT_3D('',#444118,#358956,#358957); #329874=AXIS2_PLACEMENT_3D('',#444121,#358959,#358960); #329875=AXIS2_PLACEMENT_3D('',#444122,#358961,#358962); #329876=AXIS2_PLACEMENT_3D('',#444123,#358963,#358964); #329877=AXIS2_PLACEMENT_3D('',#444124,#358965,#358966); #329878=AXIS2_PLACEMENT_3D('',#444126,#358967,#358968); #329879=AXIS2_PLACEMENT_3D('',#444129,#358970,#358971); #329880=AXIS2_PLACEMENT_3D('',#444130,#358972,#358973); #329881=AXIS2_PLACEMENT_3D('',#444132,#358974,#358975); #329882=AXIS2_PLACEMENT_3D('',#444135,#358977,#358978); #329883=AXIS2_PLACEMENT_3D('',#444136,#358979,#358980); #329884=AXIS2_PLACEMENT_3D('',#444137,#358981,#358982); #329885=AXIS2_PLACEMENT_3D('',#444138,#358983,#358984); #329886=AXIS2_PLACEMENT_3D('',#444140,#358985,#358986); #329887=AXIS2_PLACEMENT_3D('',#444143,#358988,#358989); #329888=AXIS2_PLACEMENT_3D('',#444144,#358990,#358991); #329889=AXIS2_PLACEMENT_3D('',#444146,#358992,#358993); #329890=AXIS2_PLACEMENT_3D('',#444149,#358995,#358996); #329891=AXIS2_PLACEMENT_3D('',#444150,#358997,#358998); #329892=AXIS2_PLACEMENT_3D('',#444151,#358999,#359000); #329893=AXIS2_PLACEMENT_3D('',#444152,#359001,#359002); #329894=AXIS2_PLACEMENT_3D('',#444154,#359003,#359004); #329895=AXIS2_PLACEMENT_3D('',#444157,#359006,#359007); #329896=AXIS2_PLACEMENT_3D('',#444158,#359008,#359009); #329897=AXIS2_PLACEMENT_3D('',#444160,#359010,#359011); #329898=AXIS2_PLACEMENT_3D('',#444163,#359013,#359014); #329899=AXIS2_PLACEMENT_3D('',#444164,#359015,#359016); #329900=AXIS2_PLACEMENT_3D('',#444165,#359017,#359018); #329901=AXIS2_PLACEMENT_3D('',#444166,#359019,#359020); #329902=AXIS2_PLACEMENT_3D('',#444168,#359021,#359022); #329903=AXIS2_PLACEMENT_3D('',#444171,#359024,#359025); #329904=AXIS2_PLACEMENT_3D('',#444172,#359026,#359027); #329905=AXIS2_PLACEMENT_3D('',#444174,#359028,#359029); #329906=AXIS2_PLACEMENT_3D('',#444177,#359031,#359032); #329907=AXIS2_PLACEMENT_3D('',#444178,#359033,#359034); #329908=AXIS2_PLACEMENT_3D('',#444179,#359035,#359036); #329909=AXIS2_PLACEMENT_3D('',#444180,#359037,#359038); #329910=AXIS2_PLACEMENT_3D('',#444182,#359039,#359040); #329911=AXIS2_PLACEMENT_3D('',#444185,#359042,#359043); #329912=AXIS2_PLACEMENT_3D('',#444186,#359044,#359045); #329913=AXIS2_PLACEMENT_3D('',#444188,#359046,#359047); #329914=AXIS2_PLACEMENT_3D('',#444191,#359049,#359050); #329915=AXIS2_PLACEMENT_3D('',#444192,#359051,#359052); #329916=AXIS2_PLACEMENT_3D('',#444193,#359053,#359054); #329917=AXIS2_PLACEMENT_3D('',#444194,#359055,#359056); #329918=AXIS2_PLACEMENT_3D('',#444196,#359057,#359058); #329919=AXIS2_PLACEMENT_3D('',#444199,#359060,#359061); #329920=AXIS2_PLACEMENT_3D('',#444200,#359062,#359063); #329921=AXIS2_PLACEMENT_3D('',#444202,#359064,#359065); #329922=AXIS2_PLACEMENT_3D('',#444205,#359067,#359068); #329923=AXIS2_PLACEMENT_3D('',#444206,#359069,#359070); #329924=AXIS2_PLACEMENT_3D('',#444207,#359071,#359072); #329925=AXIS2_PLACEMENT_3D('',#444208,#359073,#359074); #329926=AXIS2_PLACEMENT_3D('',#444210,#359075,#359076); #329927=AXIS2_PLACEMENT_3D('',#444213,#359078,#359079); #329928=AXIS2_PLACEMENT_3D('',#444214,#359080,#359081); #329929=AXIS2_PLACEMENT_3D('',#444216,#359082,#359083); #329930=AXIS2_PLACEMENT_3D('',#444219,#359085,#359086); #329931=AXIS2_PLACEMENT_3D('',#444220,#359087,#359088); #329932=AXIS2_PLACEMENT_3D('',#444221,#359089,#359090); #329933=AXIS2_PLACEMENT_3D('',#444222,#359091,#359092); #329934=AXIS2_PLACEMENT_3D('',#444224,#359093,#359094); #329935=AXIS2_PLACEMENT_3D('',#444227,#359096,#359097); #329936=AXIS2_PLACEMENT_3D('',#444228,#359098,#359099); #329937=AXIS2_PLACEMENT_3D('',#444230,#359100,#359101); #329938=AXIS2_PLACEMENT_3D('',#444233,#359103,#359104); #329939=AXIS2_PLACEMENT_3D('',#444234,#359105,#359106); #329940=AXIS2_PLACEMENT_3D('',#444235,#359107,#359108); #329941=AXIS2_PLACEMENT_3D('',#444236,#359109,#359110); #329942=AXIS2_PLACEMENT_3D('',#444238,#359111,#359112); #329943=AXIS2_PLACEMENT_3D('',#444241,#359114,#359115); #329944=AXIS2_PLACEMENT_3D('',#444242,#359116,#359117); #329945=AXIS2_PLACEMENT_3D('',#444244,#359118,#359119); #329946=AXIS2_PLACEMENT_3D('',#444247,#359121,#359122); #329947=AXIS2_PLACEMENT_3D('',#444248,#359123,#359124); #329948=AXIS2_PLACEMENT_3D('',#444249,#359125,#359126); #329949=AXIS2_PLACEMENT_3D('',#444250,#359127,#359128); #329950=AXIS2_PLACEMENT_3D('',#444252,#359129,#359130); #329951=AXIS2_PLACEMENT_3D('',#444255,#359132,#359133); #329952=AXIS2_PLACEMENT_3D('',#444256,#359134,#359135); #329953=AXIS2_PLACEMENT_3D('',#444258,#359136,#359137); #329954=AXIS2_PLACEMENT_3D('',#444261,#359139,#359140); #329955=AXIS2_PLACEMENT_3D('',#444262,#359141,#359142); #329956=AXIS2_PLACEMENT_3D('',#444263,#359143,#359144); #329957=AXIS2_PLACEMENT_3D('',#444264,#359145,#359146); #329958=AXIS2_PLACEMENT_3D('',#444266,#359147,#359148); #329959=AXIS2_PLACEMENT_3D('',#444269,#359150,#359151); #329960=AXIS2_PLACEMENT_3D('',#444270,#359152,#359153); #329961=AXIS2_PLACEMENT_3D('',#444272,#359154,#359155); #329962=AXIS2_PLACEMENT_3D('',#444275,#359157,#359158); #329963=AXIS2_PLACEMENT_3D('',#444276,#359159,#359160); #329964=AXIS2_PLACEMENT_3D('',#444277,#359161,#359162); #329965=AXIS2_PLACEMENT_3D('',#444278,#359163,#359164); #329966=AXIS2_PLACEMENT_3D('',#444280,#359165,#359166); #329967=AXIS2_PLACEMENT_3D('',#444283,#359168,#359169); #329968=AXIS2_PLACEMENT_3D('',#444284,#359170,#359171); #329969=AXIS2_PLACEMENT_3D('',#444286,#359172,#359173); #329970=AXIS2_PLACEMENT_3D('',#444289,#359175,#359176); #329971=AXIS2_PLACEMENT_3D('',#444290,#359177,#359178); #329972=AXIS2_PLACEMENT_3D('',#444291,#359179,#359180); #329973=AXIS2_PLACEMENT_3D('',#444292,#359181,#359182); #329974=AXIS2_PLACEMENT_3D('',#444294,#359183,#359184); #329975=AXIS2_PLACEMENT_3D('',#444297,#359186,#359187); #329976=AXIS2_PLACEMENT_3D('',#444298,#359188,#359189); #329977=AXIS2_PLACEMENT_3D('',#444300,#359190,#359191); #329978=AXIS2_PLACEMENT_3D('',#444303,#359193,#359194); #329979=AXIS2_PLACEMENT_3D('',#444304,#359195,#359196); #329980=AXIS2_PLACEMENT_3D('',#444305,#359197,#359198); #329981=AXIS2_PLACEMENT_3D('',#444306,#359199,#359200); #329982=AXIS2_PLACEMENT_3D('',#444308,#359201,#359202); #329983=AXIS2_PLACEMENT_3D('',#444311,#359204,#359205); #329984=AXIS2_PLACEMENT_3D('',#444312,#359206,#359207); #329985=AXIS2_PLACEMENT_3D('',#444314,#359208,#359209); #329986=AXIS2_PLACEMENT_3D('',#444317,#359211,#359212); #329987=AXIS2_PLACEMENT_3D('',#444318,#359213,#359214); #329988=AXIS2_PLACEMENT_3D('',#444319,#359215,#359216); #329989=AXIS2_PLACEMENT_3D('',#444320,#359217,#359218); #329990=AXIS2_PLACEMENT_3D('',#444322,#359219,#359220); #329991=AXIS2_PLACEMENT_3D('',#444325,#359222,#359223); #329992=AXIS2_PLACEMENT_3D('',#444326,#359224,#359225); #329993=AXIS2_PLACEMENT_3D('',#444328,#359226,#359227); #329994=AXIS2_PLACEMENT_3D('',#444331,#359229,#359230); #329995=AXIS2_PLACEMENT_3D('',#444332,#359231,#359232); #329996=AXIS2_PLACEMENT_3D('',#444333,#359233,#359234); #329997=AXIS2_PLACEMENT_3D('',#444334,#359235,#359236); #329998=AXIS2_PLACEMENT_3D('',#444336,#359237,#359238); #329999=AXIS2_PLACEMENT_3D('',#444339,#359240,#359241); #330000=AXIS2_PLACEMENT_3D('',#444340,#359242,#359243); #330001=AXIS2_PLACEMENT_3D('',#444342,#359244,#359245); #330002=AXIS2_PLACEMENT_3D('',#444345,#359247,#359248); #330003=AXIS2_PLACEMENT_3D('',#444346,#359249,#359250); #330004=AXIS2_PLACEMENT_3D('',#444347,#359251,#359252); #330005=AXIS2_PLACEMENT_3D('',#444348,#359253,#359254); #330006=AXIS2_PLACEMENT_3D('',#444350,#359255,#359256); #330007=AXIS2_PLACEMENT_3D('',#444353,#359258,#359259); #330008=AXIS2_PLACEMENT_3D('',#444354,#359260,#359261); #330009=AXIS2_PLACEMENT_3D('',#444356,#359262,#359263); #330010=AXIS2_PLACEMENT_3D('',#444359,#359265,#359266); #330011=AXIS2_PLACEMENT_3D('',#444360,#359267,#359268); #330012=AXIS2_PLACEMENT_3D('',#444361,#359269,#359270); #330013=AXIS2_PLACEMENT_3D('',#444362,#359271,#359272); #330014=AXIS2_PLACEMENT_3D('',#444364,#359273,#359274); #330015=AXIS2_PLACEMENT_3D('',#444367,#359276,#359277); #330016=AXIS2_PLACEMENT_3D('',#444368,#359278,#359279); #330017=AXIS2_PLACEMENT_3D('',#444370,#359280,#359281); #330018=AXIS2_PLACEMENT_3D('',#444373,#359283,#359284); #330019=AXIS2_PLACEMENT_3D('',#444374,#359285,#359286); #330020=AXIS2_PLACEMENT_3D('',#444375,#359287,#359288); #330021=AXIS2_PLACEMENT_3D('',#444376,#359289,#359290); #330022=AXIS2_PLACEMENT_3D('',#444378,#359291,#359292); #330023=AXIS2_PLACEMENT_3D('',#444381,#359294,#359295); #330024=AXIS2_PLACEMENT_3D('',#444382,#359296,#359297); #330025=AXIS2_PLACEMENT_3D('',#444384,#359298,#359299); #330026=AXIS2_PLACEMENT_3D('',#444387,#359301,#359302); #330027=AXIS2_PLACEMENT_3D('',#444388,#359303,#359304); #330028=AXIS2_PLACEMENT_3D('',#444389,#359305,#359306); #330029=AXIS2_PLACEMENT_3D('',#444390,#359307,#359308); #330030=AXIS2_PLACEMENT_3D('',#444392,#359309,#359310); #330031=AXIS2_PLACEMENT_3D('',#444395,#359312,#359313); #330032=AXIS2_PLACEMENT_3D('',#444396,#359314,#359315); #330033=AXIS2_PLACEMENT_3D('',#444398,#359316,#359317); #330034=AXIS2_PLACEMENT_3D('',#444401,#359319,#359320); #330035=AXIS2_PLACEMENT_3D('',#444402,#359321,#359322); #330036=AXIS2_PLACEMENT_3D('',#444403,#359323,#359324); #330037=AXIS2_PLACEMENT_3D('',#444404,#359325,#359326); #330038=AXIS2_PLACEMENT_3D('',#444406,#359327,#359328); #330039=AXIS2_PLACEMENT_3D('',#444409,#359330,#359331); #330040=AXIS2_PLACEMENT_3D('',#444410,#359332,#359333); #330041=AXIS2_PLACEMENT_3D('',#444412,#359334,#359335); #330042=AXIS2_PLACEMENT_3D('',#444415,#359337,#359338); #330043=AXIS2_PLACEMENT_3D('',#444416,#359339,#359340); #330044=AXIS2_PLACEMENT_3D('',#444417,#359341,#359342); #330045=AXIS2_PLACEMENT_3D('',#444418,#359343,#359344); #330046=AXIS2_PLACEMENT_3D('',#444420,#359345,#359346); #330047=AXIS2_PLACEMENT_3D('',#444423,#359348,#359349); #330048=AXIS2_PLACEMENT_3D('',#444424,#359350,#359351); #330049=AXIS2_PLACEMENT_3D('',#444426,#359352,#359353); #330050=AXIS2_PLACEMENT_3D('',#444429,#359355,#359356); #330051=AXIS2_PLACEMENT_3D('',#444430,#359357,#359358); #330052=AXIS2_PLACEMENT_3D('',#444431,#359359,#359360); #330053=AXIS2_PLACEMENT_3D('',#444432,#359361,#359362); #330054=AXIS2_PLACEMENT_3D('',#444434,#359363,#359364); #330055=AXIS2_PLACEMENT_3D('',#444437,#359366,#359367); #330056=AXIS2_PLACEMENT_3D('',#444438,#359368,#359369); #330057=AXIS2_PLACEMENT_3D('',#444440,#359370,#359371); #330058=AXIS2_PLACEMENT_3D('',#444443,#359373,#359374); #330059=AXIS2_PLACEMENT_3D('',#444444,#359375,#359376); #330060=AXIS2_PLACEMENT_3D('',#444445,#359377,#359378); #330061=AXIS2_PLACEMENT_3D('',#444446,#359379,#359380); #330062=AXIS2_PLACEMENT_3D('',#444448,#359381,#359382); #330063=AXIS2_PLACEMENT_3D('',#444451,#359384,#359385); #330064=AXIS2_PLACEMENT_3D('',#444452,#359386,#359387); #330065=AXIS2_PLACEMENT_3D('',#444454,#359388,#359389); #330066=AXIS2_PLACEMENT_3D('',#444457,#359391,#359392); #330067=AXIS2_PLACEMENT_3D('',#444458,#359393,#359394); #330068=AXIS2_PLACEMENT_3D('',#444459,#359395,#359396); #330069=AXIS2_PLACEMENT_3D('',#444460,#359397,#359398); #330070=AXIS2_PLACEMENT_3D('',#444462,#359399,#359400); #330071=AXIS2_PLACEMENT_3D('',#444465,#359402,#359403); #330072=AXIS2_PLACEMENT_3D('',#444466,#359404,#359405); #330073=AXIS2_PLACEMENT_3D('',#444468,#359406,#359407); #330074=AXIS2_PLACEMENT_3D('',#444471,#359409,#359410); #330075=AXIS2_PLACEMENT_3D('',#444472,#359411,#359412); #330076=AXIS2_PLACEMENT_3D('',#444473,#359413,#359414); #330077=AXIS2_PLACEMENT_3D('',#444474,#359415,#359416); #330078=AXIS2_PLACEMENT_3D('',#444476,#359417,#359418); #330079=AXIS2_PLACEMENT_3D('',#444479,#359420,#359421); #330080=AXIS2_PLACEMENT_3D('',#444480,#359422,#359423); #330081=AXIS2_PLACEMENT_3D('',#444482,#359424,#359425); #330082=AXIS2_PLACEMENT_3D('',#444485,#359427,#359428); #330083=AXIS2_PLACEMENT_3D('',#444486,#359429,#359430); #330084=AXIS2_PLACEMENT_3D('',#444487,#359431,#359432); #330085=AXIS2_PLACEMENT_3D('',#444488,#359433,#359434); #330086=AXIS2_PLACEMENT_3D('',#444490,#359435,#359436); #330087=AXIS2_PLACEMENT_3D('',#444493,#359438,#359439); #330088=AXIS2_PLACEMENT_3D('',#444494,#359440,#359441); #330089=AXIS2_PLACEMENT_3D('',#444496,#359442,#359443); #330090=AXIS2_PLACEMENT_3D('',#444499,#359445,#359446); #330091=AXIS2_PLACEMENT_3D('',#444500,#359447,#359448); #330092=AXIS2_PLACEMENT_3D('',#444501,#359449,#359450); #330093=AXIS2_PLACEMENT_3D('',#444502,#359451,#359452); #330094=AXIS2_PLACEMENT_3D('',#444504,#359453,#359454); #330095=AXIS2_PLACEMENT_3D('',#444507,#359456,#359457); #330096=AXIS2_PLACEMENT_3D('',#444508,#359458,#359459); #330097=AXIS2_PLACEMENT_3D('',#444510,#359460,#359461); #330098=AXIS2_PLACEMENT_3D('',#444513,#359463,#359464); #330099=AXIS2_PLACEMENT_3D('',#444514,#359465,#359466); #330100=AXIS2_PLACEMENT_3D('',#444515,#359467,#359468); #330101=AXIS2_PLACEMENT_3D('',#444516,#359469,#359470); #330102=AXIS2_PLACEMENT_3D('',#444518,#359471,#359472); #330103=AXIS2_PLACEMENT_3D('',#444521,#359474,#359475); #330104=AXIS2_PLACEMENT_3D('',#444522,#359476,#359477); #330105=AXIS2_PLACEMENT_3D('',#444524,#359478,#359479); #330106=AXIS2_PLACEMENT_3D('',#444527,#359481,#359482); #330107=AXIS2_PLACEMENT_3D('',#444528,#359483,#359484); #330108=AXIS2_PLACEMENT_3D('',#444529,#359485,#359486); #330109=AXIS2_PLACEMENT_3D('',#444530,#359487,#359488); #330110=AXIS2_PLACEMENT_3D('',#444532,#359489,#359490); #330111=AXIS2_PLACEMENT_3D('',#444535,#359492,#359493); #330112=AXIS2_PLACEMENT_3D('',#444536,#359494,#359495); #330113=AXIS2_PLACEMENT_3D('',#444538,#359496,#359497); #330114=AXIS2_PLACEMENT_3D('',#444541,#359499,#359500); #330115=AXIS2_PLACEMENT_3D('',#444542,#359501,#359502); #330116=AXIS2_PLACEMENT_3D('',#444543,#359503,#359504); #330117=AXIS2_PLACEMENT_3D('',#444544,#359505,#359506); #330118=AXIS2_PLACEMENT_3D('',#444546,#359507,#359508); #330119=AXIS2_PLACEMENT_3D('',#444549,#359510,#359511); #330120=AXIS2_PLACEMENT_3D('',#444550,#359512,#359513); #330121=AXIS2_PLACEMENT_3D('',#444552,#359514,#359515); #330122=AXIS2_PLACEMENT_3D('',#444555,#359517,#359518); #330123=AXIS2_PLACEMENT_3D('',#444556,#359519,#359520); #330124=AXIS2_PLACEMENT_3D('',#444557,#359521,#359522); #330125=AXIS2_PLACEMENT_3D('',#444558,#359523,#359524); #330126=AXIS2_PLACEMENT_3D('',#444560,#359525,#359526); #330127=AXIS2_PLACEMENT_3D('',#444563,#359528,#359529); #330128=AXIS2_PLACEMENT_3D('',#444564,#359530,#359531); #330129=AXIS2_PLACEMENT_3D('',#444566,#359532,#359533); #330130=AXIS2_PLACEMENT_3D('',#444569,#359535,#359536); #330131=AXIS2_PLACEMENT_3D('',#444570,#359537,#359538); #330132=AXIS2_PLACEMENT_3D('',#444571,#359539,#359540); #330133=AXIS2_PLACEMENT_3D('',#444572,#359541,#359542); #330134=AXIS2_PLACEMENT_3D('',#444574,#359543,#359544); #330135=AXIS2_PLACEMENT_3D('',#444577,#359546,#359547); #330136=AXIS2_PLACEMENT_3D('',#444578,#359548,#359549); #330137=AXIS2_PLACEMENT_3D('',#444580,#359550,#359551); #330138=AXIS2_PLACEMENT_3D('',#444583,#359553,#359554); #330139=AXIS2_PLACEMENT_3D('',#444584,#359555,#359556); #330140=AXIS2_PLACEMENT_3D('',#444585,#359557,#359558); #330141=AXIS2_PLACEMENT_3D('',#444586,#359559,#359560); #330142=AXIS2_PLACEMENT_3D('',#444588,#359561,#359562); #330143=AXIS2_PLACEMENT_3D('',#444591,#359564,#359565); #330144=AXIS2_PLACEMENT_3D('',#444592,#359566,#359567); #330145=AXIS2_PLACEMENT_3D('',#444594,#359568,#359569); #330146=AXIS2_PLACEMENT_3D('',#444597,#359571,#359572); #330147=AXIS2_PLACEMENT_3D('',#444598,#359573,#359574); #330148=AXIS2_PLACEMENT_3D('',#444599,#359575,#359576); #330149=AXIS2_PLACEMENT_3D('',#444600,#359577,#359578); #330150=AXIS2_PLACEMENT_3D('',#444602,#359579,#359580); #330151=AXIS2_PLACEMENT_3D('',#444605,#359582,#359583); #330152=AXIS2_PLACEMENT_3D('',#444606,#359584,#359585); #330153=AXIS2_PLACEMENT_3D('',#444608,#359586,#359587); #330154=AXIS2_PLACEMENT_3D('',#444611,#359589,#359590); #330155=AXIS2_PLACEMENT_3D('',#444612,#359591,#359592); #330156=AXIS2_PLACEMENT_3D('',#444613,#359593,#359594); #330157=AXIS2_PLACEMENT_3D('',#444614,#359595,#359596); #330158=AXIS2_PLACEMENT_3D('',#444615,#359597,#359598); #330159=AXIS2_PLACEMENT_3D('',#444617,#359599,#359600); #330160=AXIS2_PLACEMENT_3D('',#444620,#359602,#359603); #330161=AXIS2_PLACEMENT_3D('',#444621,#359604,#359605); #330162=AXIS2_PLACEMENT_3D('',#444630,#359610,#359611); #330163=AXIS2_PLACEMENT_3D('',#444632,#359612,#359613); #330164=AXIS2_PLACEMENT_3D('',#444634,#359614,#359615); #330165=AXIS2_PLACEMENT_3D('',#444636,#359617,#359618); #330166=AXIS2_PLACEMENT_3D('',#444637,#359619,#359620); #330167=AXIS2_PLACEMENT_3D('',#444638,#359621,#359622); #330168=AXIS2_PLACEMENT_3D('',#444639,#359623,#359624); #330169=AXIS2_PLACEMENT_3D('',#444640,#359625,#359626); #330170=AXIS2_PLACEMENT_3D('',#444641,#359627,#359628); #330171=AXIS2_PLACEMENT_3D('',#444650,#359633,#359634); #330172=AXIS2_PLACEMENT_3D('',#444656,#359638,#359639); #330173=AXIS2_PLACEMENT_3D('',#444662,#359643,#359644); #330174=AXIS2_PLACEMENT_3D('',#444665,#359647,#359648); #330175=AXIS2_PLACEMENT_3D('',#444666,#359649,#359650); #330176=AXIS2_PLACEMENT_3D('',#444667,#359651,#359652); #330177=AXIS2_PLACEMENT_3D('',#444676,#359657,#359658); #330178=AXIS2_PLACEMENT_3D('',#444682,#359662,#359663); #330179=AXIS2_PLACEMENT_3D('',#444688,#359667,#359668); #330180=AXIS2_PLACEMENT_3D('',#444691,#359671,#359672); #330181=AXIS2_PLACEMENT_3D('',#444692,#359673,#359674); #330182=AXIS2_PLACEMENT_3D('',#444693,#359675,#359676); #330183=AXIS2_PLACEMENT_3D('',#444702,#359681,#359682); #330184=AXIS2_PLACEMENT_3D('',#444708,#359686,#359687); #330185=AXIS2_PLACEMENT_3D('',#444714,#359691,#359692); #330186=AXIS2_PLACEMENT_3D('',#444717,#359695,#359696); #330187=AXIS2_PLACEMENT_3D('',#444718,#359697,#359698); #330188=AXIS2_PLACEMENT_3D('',#444719,#359699,#359700); #330189=AXIS2_PLACEMENT_3D('',#444728,#359705,#359706); #330190=AXIS2_PLACEMENT_3D('',#444734,#359710,#359711); #330191=AXIS2_PLACEMENT_3D('',#444740,#359715,#359716); #330192=AXIS2_PLACEMENT_3D('',#444743,#359719,#359720); #330193=AXIS2_PLACEMENT_3D('',#444744,#359721,#359722); #330194=AXIS2_PLACEMENT_3D('',#444745,#359723,#359724); #330195=AXIS2_PLACEMENT_3D('',#444754,#359729,#359730); #330196=AXIS2_PLACEMENT_3D('',#444760,#359734,#359735); #330197=AXIS2_PLACEMENT_3D('',#444766,#359739,#359740); #330198=AXIS2_PLACEMENT_3D('',#444769,#359743,#359744); #330199=AXIS2_PLACEMENT_3D('',#444770,#359745,#359746); #330200=AXIS2_PLACEMENT_3D('',#444771,#359747,#359748); #330201=AXIS2_PLACEMENT_3D('',#444780,#359753,#359754); #330202=AXIS2_PLACEMENT_3D('',#444786,#359758,#359759); #330203=AXIS2_PLACEMENT_3D('',#444792,#359763,#359764); #330204=AXIS2_PLACEMENT_3D('',#444795,#359767,#359768); #330205=AXIS2_PLACEMENT_3D('',#444796,#359769,#359770); #330206=AXIS2_PLACEMENT_3D('',#444797,#359771,#359772); #330207=AXIS2_PLACEMENT_3D('',#444806,#359777,#359778); #330208=AXIS2_PLACEMENT_3D('',#444812,#359782,#359783); #330209=AXIS2_PLACEMENT_3D('',#444818,#359787,#359788); #330210=AXIS2_PLACEMENT_3D('',#444821,#359791,#359792); #330211=AXIS2_PLACEMENT_3D('',#444822,#359793,#359794); #330212=AXIS2_PLACEMENT_3D('',#444823,#359795,#359796); #330213=AXIS2_PLACEMENT_3D('',#444832,#359801,#359802); #330214=AXIS2_PLACEMENT_3D('',#444838,#359806,#359807); #330215=AXIS2_PLACEMENT_3D('',#444844,#359811,#359812); #330216=AXIS2_PLACEMENT_3D('',#444847,#359815,#359816); #330217=AXIS2_PLACEMENT_3D('',#444848,#359817,#359818); #330218=AXIS2_PLACEMENT_3D('',#444849,#359819,#359820); #330219=AXIS2_PLACEMENT_3D('',#444858,#359825,#359826); #330220=AXIS2_PLACEMENT_3D('',#444864,#359830,#359831); #330221=AXIS2_PLACEMENT_3D('',#444870,#359835,#359836); #330222=AXIS2_PLACEMENT_3D('',#444873,#359839,#359840); #330223=AXIS2_PLACEMENT_3D('',#444874,#359841,#359842); #330224=AXIS2_PLACEMENT_3D('',#444875,#359843,#359844); #330225=AXIS2_PLACEMENT_3D('',#444884,#359849,#359850); #330226=AXIS2_PLACEMENT_3D('',#444890,#359854,#359855); #330227=AXIS2_PLACEMENT_3D('',#444896,#359859,#359860); #330228=AXIS2_PLACEMENT_3D('',#444899,#359863,#359864); #330229=AXIS2_PLACEMENT_3D('',#444900,#359865,#359866); #330230=AXIS2_PLACEMENT_3D('',#444901,#359867,#359868); #330231=AXIS2_PLACEMENT_3D('',#444903,#359869,#359870); #330232=AXIS2_PLACEMENT_3D('',#444906,#359872,#359873); #330233=AXIS2_PLACEMENT_3D('',#444907,#359874,#359875); #330234=AXIS2_PLACEMENT_3D('',#444916,#359880,#359881); #330235=AXIS2_PLACEMENT_3D('',#444918,#359882,#359883); #330236=AXIS2_PLACEMENT_3D('',#444920,#359884,#359885); #330237=AXIS2_PLACEMENT_3D('',#444922,#359887,#359888); #330238=AXIS2_PLACEMENT_3D('',#444923,#359889,#359890); #330239=AXIS2_PLACEMENT_3D('',#444924,#359891,#359892); #330240=AXIS2_PLACEMENT_3D('',#444925,#359893,#359894); #330241=AXIS2_PLACEMENT_3D('',#444926,#359895,#359896); #330242=AXIS2_PLACEMENT_3D('',#444927,#359897,#359898); #330243=AXIS2_PLACEMENT_3D('',#444929,#359899,#359900); #330244=AXIS2_PLACEMENT_3D('',#444932,#359902,#359903); #330245=AXIS2_PLACEMENT_3D('',#444933,#359904,#359905); #330246=AXIS2_PLACEMENT_3D('',#444935,#359906,#359907); #330247=AXIS2_PLACEMENT_3D('',#444938,#359909,#359910); #330248=AXIS2_PLACEMENT_3D('',#444939,#359911,#359912); #330249=AXIS2_PLACEMENT_3D('',#444948,#359917,#359918); #330250=AXIS2_PLACEMENT_3D('',#444950,#359919,#359920); #330251=AXIS2_PLACEMENT_3D('',#444952,#359921,#359922); #330252=AXIS2_PLACEMENT_3D('',#444954,#359924,#359925); #330253=AXIS2_PLACEMENT_3D('',#444956,#359926,#359927); #330254=AXIS2_PLACEMENT_3D('',#444958,#359928,#359929); #330255=AXIS2_PLACEMENT_3D('',#444960,#359931,#359932); #330256=AXIS2_PLACEMENT_3D('',#444966,#359936,#359937); #330257=AXIS2_PLACEMENT_3D('',#444968,#359938,#359939); #330258=AXIS2_PLACEMENT_3D('',#444970,#359940,#359941); #330259=AXIS2_PLACEMENT_3D('',#444972,#359943,#359944); #330260=AXIS2_PLACEMENT_3D('',#444974,#359945,#359946); #330261=AXIS2_PLACEMENT_3D('',#444976,#359947,#359948); #330262=AXIS2_PLACEMENT_3D('',#444978,#359950,#359951); #330263=AXIS2_PLACEMENT_3D('',#444984,#359955,#359956); #330264=AXIS2_PLACEMENT_3D('',#444985,#359957,#359958); #330265=AXIS2_PLACEMENT_3D('',#444986,#359959,#359960); #330266=AXIS2_PLACEMENT_3D('',#444987,#359961,#359962); #330267=AXIS2_PLACEMENT_3D('',#444988,#359963,#359964); #330268=AXIS2_PLACEMENT_3D('',#444989,#359965,#359966); #330269=AXIS2_PLACEMENT_3D('',#444991,#359967,#359968); #330270=AXIS2_PLACEMENT_3D('',#444994,#359970,#359971); #330271=AXIS2_PLACEMENT_3D('',#444995,#359972,#359973); #330272=AXIS2_PLACEMENT_3D('',#445004,#359978,#359979); #330273=AXIS2_PLACEMENT_3D('',#445006,#359980,#359981); #330274=AXIS2_PLACEMENT_3D('',#445008,#359982,#359983); #330275=AXIS2_PLACEMENT_3D('',#445010,#359985,#359986); #330276=AXIS2_PLACEMENT_3D('',#445011,#359987,#359988); #330277=AXIS2_PLACEMENT_3D('',#445012,#359989,#359990); #330278=AXIS2_PLACEMENT_3D('',#445013,#359991,#359992); #330279=AXIS2_PLACEMENT_3D('',#445014,#359993,#359994); #330280=AXIS2_PLACEMENT_3D('',#445015,#359995,#359996); #330281=AXIS2_PLACEMENT_3D('',#445017,#359997,#359998); #330282=AXIS2_PLACEMENT_3D('',#445020,#360000,#360001); #330283=AXIS2_PLACEMENT_3D('',#445021,#360002,#360003); #330284=AXIS2_PLACEMENT_3D('',#445030,#360008,#360009); #330285=AXIS2_PLACEMENT_3D('',#445032,#360010,#360011); #330286=AXIS2_PLACEMENT_3D('',#445034,#360012,#360013); #330287=AXIS2_PLACEMENT_3D('',#445036,#360015,#360016); #330288=AXIS2_PLACEMENT_3D('',#445037,#360017,#360018); #330289=AXIS2_PLACEMENT_3D('',#445038,#360019,#360020); #330290=AXIS2_PLACEMENT_3D('',#445039,#360021,#360022); #330291=AXIS2_PLACEMENT_3D('',#445040,#360023,#360024); #330292=AXIS2_PLACEMENT_3D('',#445041,#360025,#360026); #330293=AXIS2_PLACEMENT_3D('',#445043,#360027,#360028); #330294=AXIS2_PLACEMENT_3D('',#445046,#360030,#360031); #330295=AXIS2_PLACEMENT_3D('',#445047,#360032,#360033); #330296=AXIS2_PLACEMENT_3D('',#445056,#360038,#360039); #330297=AXIS2_PLACEMENT_3D('',#445058,#360040,#360041); #330298=AXIS2_PLACEMENT_3D('',#445060,#360042,#360043); #330299=AXIS2_PLACEMENT_3D('',#445062,#360045,#360046); #330300=AXIS2_PLACEMENT_3D('',#445068,#360050,#360051); #330301=AXIS2_PLACEMENT_3D('',#445070,#360052,#360053); #330302=AXIS2_PLACEMENT_3D('',#445072,#360054,#360055); #330303=AXIS2_PLACEMENT_3D('',#445074,#360057,#360058); #330304=AXIS2_PLACEMENT_3D('',#445080,#360062,#360063); #330305=AXIS2_PLACEMENT_3D('',#445086,#360067,#360068); #330306=AXIS2_PLACEMENT_3D('',#445092,#360072,#360073); #330307=AXIS2_PLACEMENT_3D('',#445098,#360077,#360078); #330308=AXIS2_PLACEMENT_3D('',#445104,#360082,#360083); #330309=AXIS2_PLACEMENT_3D('',#445110,#360087,#360088); #330310=AXIS2_PLACEMENT_3D('',#445116,#360092,#360093); #330311=AXIS2_PLACEMENT_3D('',#445118,#360094,#360095); #330312=AXIS2_PLACEMENT_3D('',#445120,#360096,#360097); #330313=AXIS2_PLACEMENT_3D('',#445122,#360099,#360100); #330314=AXIS2_PLACEMENT_3D('',#445123,#360101,#360102); #330315=AXIS2_PLACEMENT_3D('',#445124,#360103,#360104); #330316=AXIS2_PLACEMENT_3D('',#445125,#360105,#360106); #330317=AXIS2_PLACEMENT_3D('',#445126,#360107,#360108); #330318=AXIS2_PLACEMENT_3D('',#445127,#360109,#360110); #330319=AXIS2_PLACEMENT_3D('',#445129,#360111,#360112); #330320=AXIS2_PLACEMENT_3D('',#445132,#360114,#360115); #330321=AXIS2_PLACEMENT_3D('',#445133,#360116,#360117); #330322=AXIS2_PLACEMENT_3D('',#445142,#360122,#360123); #330323=AXIS2_PLACEMENT_3D('',#445144,#360124,#360125); #330324=AXIS2_PLACEMENT_3D('',#445146,#360126,#360127); #330325=AXIS2_PLACEMENT_3D('',#445148,#360129,#360130); #330326=AXIS2_PLACEMENT_3D('',#445154,#360134,#360135); #330327=AXIS2_PLACEMENT_3D('',#445160,#360139,#360140); #330328=AXIS2_PLACEMENT_3D('',#445166,#360144,#360145); #330329=AXIS2_PLACEMENT_3D('',#445172,#360149,#360150); #330330=AXIS2_PLACEMENT_3D('',#445178,#360154,#360155); #330331=AXIS2_PLACEMENT_3D('',#445184,#360159,#360160); #330332=AXIS2_PLACEMENT_3D('',#445190,#360164,#360165); #330333=AXIS2_PLACEMENT_3D('',#445191,#360166,#360167); #330334=AXIS2_PLACEMENT_3D('',#445192,#360168,#360169); #330335=AXIS2_PLACEMENT_3D('',#445193,#360170,#360171); #330336=AXIS2_PLACEMENT_3D('',#445194,#360172,#360173); #330337=AXIS2_PLACEMENT_3D('',#445195,#360174,#360175); #330338=AXIS2_PLACEMENT_3D('',#445204,#360180,#360181); #330339=AXIS2_PLACEMENT_3D('',#445210,#360185,#360186); #330340=AXIS2_PLACEMENT_3D('',#445216,#360190,#360191); #330341=AXIS2_PLACEMENT_3D('',#445222,#360195,#360196); #330342=AXIS2_PLACEMENT_3D('',#445228,#360200,#360201); #330343=AXIS2_PLACEMENT_3D('',#445234,#360205,#360206); #330344=AXIS2_PLACEMENT_3D('',#445240,#360210,#360211); #330345=AXIS2_PLACEMENT_3D('',#445246,#360215,#360216); #330346=AXIS2_PLACEMENT_3D('',#445248,#360217,#360218); #330347=AXIS2_PLACEMENT_3D('',#445250,#360219,#360220); #330348=AXIS2_PLACEMENT_3D('',#445252,#360222,#360223); #330349=AXIS2_PLACEMENT_3D('',#445258,#360227,#360228); #330350=AXIS2_PLACEMENT_3D('',#445260,#360229,#360230); #330351=AXIS2_PLACEMENT_3D('',#445262,#360231,#360232); #330352=AXIS2_PLACEMENT_3D('',#445264,#360234,#360235); #330353=AXIS2_PLACEMENT_3D('',#445270,#360239,#360240); #330354=AXIS2_PLACEMENT_3D('',#445276,#360244,#360245); #330355=AXIS2_PLACEMENT_3D('',#445282,#360249,#360250); #330356=AXIS2_PLACEMENT_3D('',#445288,#360254,#360255); #330357=AXIS2_PLACEMENT_3D('',#445294,#360259,#360260); #330358=AXIS2_PLACEMENT_3D('',#445300,#360264,#360265); #330359=AXIS2_PLACEMENT_3D('',#445306,#360269,#360270); #330360=AXIS2_PLACEMENT_3D('',#445308,#360271,#360272); #330361=AXIS2_PLACEMENT_3D('',#445310,#360273,#360274); #330362=AXIS2_PLACEMENT_3D('',#445312,#360276,#360277); #330363=AXIS2_PLACEMENT_3D('',#445315,#360280,#360281); #330364=AXIS2_PLACEMENT_3D('',#445316,#360282,#360283); #330365=AXIS2_PLACEMENT_3D('',#445317,#360284,#360285); #330366=AXIS2_PLACEMENT_3D('',#445326,#360290,#360291); #330367=AXIS2_PLACEMENT_3D('',#445332,#360295,#360296); #330368=AXIS2_PLACEMENT_3D('',#445338,#360300,#360301); #330369=AXIS2_PLACEMENT_3D('',#445344,#360305,#360306); #330370=AXIS2_PLACEMENT_3D('',#445350,#360310,#360311); #330371=AXIS2_PLACEMENT_3D('',#445352,#360312,#360313); #330372=AXIS2_PLACEMENT_3D('',#445354,#360314,#360315); #330373=AXIS2_PLACEMENT_3D('',#445356,#360317,#360318); #330374=AXIS2_PLACEMENT_3D('',#445362,#360322,#360323); #330375=AXIS2_PLACEMENT_3D('',#445368,#360327,#360328); #330376=AXIS2_PLACEMENT_3D('',#445374,#360332,#360333); #330377=AXIS2_PLACEMENT_3D('',#445380,#360337,#360338); #330378=AXIS2_PLACEMENT_3D('',#445386,#360342,#360343); #330379=AXIS2_PLACEMENT_3D('',#445392,#360347,#360348); #330380=AXIS2_PLACEMENT_3D('',#445398,#360352,#360353); #330381=AXIS2_PLACEMENT_3D('',#445400,#360354,#360355); #330382=AXIS2_PLACEMENT_3D('',#445402,#360356,#360357); #330383=AXIS2_PLACEMENT_3D('',#445404,#360359,#360360); #330384=AXIS2_PLACEMENT_3D('',#445410,#360364,#360365); #330385=AXIS2_PLACEMENT_3D('',#445416,#360369,#360370); #330386=AXIS2_PLACEMENT_3D('',#445418,#360371,#360372); #330387=AXIS2_PLACEMENT_3D('',#445420,#360373,#360374); #330388=AXIS2_PLACEMENT_3D('',#445422,#360376,#360377); #330389=AXIS2_PLACEMENT_3D('',#445428,#360381,#360382); #330390=AXIS2_PLACEMENT_3D('',#445434,#360386,#360387); #330391=AXIS2_PLACEMENT_3D('',#445440,#360391,#360392); #330392=AXIS2_PLACEMENT_3D('',#445446,#360396,#360397); #330393=AXIS2_PLACEMENT_3D('',#445452,#360401,#360402); #330394=AXIS2_PLACEMENT_3D('',#445458,#360406,#360407); #330395=AXIS2_PLACEMENT_3D('',#445464,#360411,#360412); #330396=AXIS2_PLACEMENT_3D('',#445466,#360413,#360414); #330397=AXIS2_PLACEMENT_3D('',#445468,#360415,#360416); #330398=AXIS2_PLACEMENT_3D('',#445470,#360418,#360419); #330399=AXIS2_PLACEMENT_3D('',#445476,#360423,#360424); #330400=AXIS2_PLACEMENT_3D('',#445482,#360428,#360429); #330401=AXIS2_PLACEMENT_3D('',#445488,#360433,#360434); #330402=AXIS2_PLACEMENT_3D('',#445494,#360438,#360439); #330403=AXIS2_PLACEMENT_3D('',#445500,#360443,#360444); #330404=AXIS2_PLACEMENT_3D('',#445502,#360445,#360446); #330405=AXIS2_PLACEMENT_3D('',#445504,#360447,#360448); #330406=AXIS2_PLACEMENT_3D('',#445506,#360450,#360451); #330407=AXIS2_PLACEMENT_3D('',#445512,#360455,#360456); #330408=AXIS2_PLACEMENT_3D('',#445514,#360457,#360458); #330409=AXIS2_PLACEMENT_3D('',#445516,#360459,#360460); #330410=AXIS2_PLACEMENT_3D('',#445518,#360462,#360463); #330411=AXIS2_PLACEMENT_3D('',#445524,#360467,#360468); #330412=AXIS2_PLACEMENT_3D('',#445530,#360472,#360473); #330413=AXIS2_PLACEMENT_3D('',#445533,#360476,#360477); #330414=AXIS2_PLACEMENT_3D('',#445534,#360478,#360479); #330415=AXIS2_PLACEMENT_3D('',#445535,#360480,#360481); #330416=AXIS2_PLACEMENT_3D('',#445544,#360486,#360487); #330417=AXIS2_PLACEMENT_3D('',#445550,#360491,#360492); #330418=AXIS2_PLACEMENT_3D('',#445556,#360496,#360497); #330419=AXIS2_PLACEMENT_3D('',#445562,#360501,#360502); #330420=AXIS2_PLACEMENT_3D('',#445568,#360506,#360507); #330421=AXIS2_PLACEMENT_3D('',#445574,#360511,#360512); #330422=AXIS2_PLACEMENT_3D('',#445576,#360513,#360514); #330423=AXIS2_PLACEMENT_3D('',#445578,#360515,#360516); #330424=AXIS2_PLACEMENT_3D('',#445580,#360518,#360519); #330425=AXIS2_PLACEMENT_3D('',#445586,#360523,#360524); #330426=AXIS2_PLACEMENT_3D('',#445588,#360525,#360526); #330427=AXIS2_PLACEMENT_3D('',#445590,#360527,#360528); #330428=AXIS2_PLACEMENT_3D('',#445592,#360530,#360531); #330429=AXIS2_PLACEMENT_3D('',#445598,#360535,#360536); #330430=AXIS2_PLACEMENT_3D('',#445604,#360540,#360541); #330431=AXIS2_PLACEMENT_3D('',#445610,#360545,#360546); #330432=AXIS2_PLACEMENT_3D('',#445616,#360550,#360551); #330433=AXIS2_PLACEMENT_3D('',#445622,#360555,#360556); #330434=AXIS2_PLACEMENT_3D('',#445624,#360557,#360558); #330435=AXIS2_PLACEMENT_3D('',#445626,#360559,#360560); #330436=AXIS2_PLACEMENT_3D('',#445628,#360562,#360563); #330437=AXIS2_PLACEMENT_3D('',#445634,#360567,#360568); #330438=AXIS2_PLACEMENT_3D('',#445640,#360572,#360573); #330439=AXIS2_PLACEMENT_3D('',#445646,#360577,#360578); #330440=AXIS2_PLACEMENT_3D('',#445652,#360582,#360583); #330441=AXIS2_PLACEMENT_3D('',#445658,#360587,#360588); #330442=AXIS2_PLACEMENT_3D('',#445664,#360592,#360593); #330443=AXIS2_PLACEMENT_3D('',#445670,#360597,#360598); #330444=AXIS2_PLACEMENT_3D('',#445672,#360599,#360600); #330445=AXIS2_PLACEMENT_3D('',#445674,#360601,#360602); #330446=AXIS2_PLACEMENT_3D('',#445676,#360604,#360605); #330447=AXIS2_PLACEMENT_3D('',#445682,#360609,#360610); #330448=AXIS2_PLACEMENT_3D('',#445688,#360614,#360615); #330449=AXIS2_PLACEMENT_3D('',#445690,#360616,#360617); #330450=AXIS2_PLACEMENT_3D('',#445692,#360618,#360619); #330451=AXIS2_PLACEMENT_3D('',#445694,#360621,#360622); #330452=AXIS2_PLACEMENT_3D('',#445700,#360626,#360627); #330453=AXIS2_PLACEMENT_3D('',#445706,#360631,#360632); #330454=AXIS2_PLACEMENT_3D('',#445712,#360636,#360637); #330455=AXIS2_PLACEMENT_3D('',#445718,#360641,#360642); #330456=AXIS2_PLACEMENT_3D('',#445724,#360646,#360647); #330457=AXIS2_PLACEMENT_3D('',#445730,#360651,#360652); #330458=AXIS2_PLACEMENT_3D('',#445736,#360656,#360657); #330459=AXIS2_PLACEMENT_3D('',#445738,#360658,#360659); #330460=AXIS2_PLACEMENT_3D('',#445740,#360660,#360661); #330461=AXIS2_PLACEMENT_3D('',#445742,#360663,#360664); #330462=AXIS2_PLACEMENT_3D('',#445748,#360668,#360669); #330463=AXIS2_PLACEMENT_3D('',#445751,#360672,#360673); #330464=AXIS2_PLACEMENT_3D('',#445752,#360674,#360675); #330465=AXIS2_PLACEMENT_3D('',#445753,#360676,#360677); #330466=AXIS2_PLACEMENT_3D('',#445755,#360678,#360679); #330467=AXIS2_PLACEMENT_3D('',#445758,#360681,#360682); #330468=AXIS2_PLACEMENT_3D('',#445759,#360683,#360684); #330469=AXIS2_PLACEMENT_3D('',#445768,#360689,#360690); #330470=AXIS2_PLACEMENT_3D('',#445770,#360691,#360692); #330471=AXIS2_PLACEMENT_3D('',#445772,#360693,#360694); #330472=AXIS2_PLACEMENT_3D('',#445774,#360696,#360697); #330473=AXIS2_PLACEMENT_3D('',#445780,#360701,#360702); #330474=AXIS2_PLACEMENT_3D('',#445786,#360706,#360707); #330475=AXIS2_PLACEMENT_3D('',#445788,#360708,#360709); #330476=AXIS2_PLACEMENT_3D('',#445790,#360710,#360711); #330477=AXIS2_PLACEMENT_3D('',#445792,#360713,#360714); #330478=AXIS2_PLACEMENT_3D('',#445798,#360718,#360719); #330479=AXIS2_PLACEMENT_3D('',#445804,#360723,#360724); #330480=AXIS2_PLACEMENT_3D('',#445806,#360725,#360726); #330481=AXIS2_PLACEMENT_3D('',#445808,#360727,#360728); #330482=AXIS2_PLACEMENT_3D('',#445810,#360730,#360731); #330483=AXIS2_PLACEMENT_3D('',#445816,#360735,#360736); #330484=AXIS2_PLACEMENT_3D('',#445822,#360740,#360741); #330485=AXIS2_PLACEMENT_3D('',#445828,#360745,#360746); #330486=AXIS2_PLACEMENT_3D('',#445834,#360750,#360751); #330487=AXIS2_PLACEMENT_3D('',#445840,#360755,#360756); #330488=AXIS2_PLACEMENT_3D('',#445846,#360760,#360761); #330489=AXIS2_PLACEMENT_3D('',#445852,#360765,#360766); #330490=AXIS2_PLACEMENT_3D('',#445858,#360770,#360771); #330491=AXIS2_PLACEMENT_3D('',#445860,#360772,#360773); #330492=AXIS2_PLACEMENT_3D('',#445862,#360774,#360775); #330493=AXIS2_PLACEMENT_3D('',#445864,#360777,#360778); #330494=AXIS2_PLACEMENT_3D('',#445870,#360782,#360783); #330495=AXIS2_PLACEMENT_3D('',#445876,#360787,#360788); #330496=AXIS2_PLACEMENT_3D('',#445878,#360789,#360790); #330497=AXIS2_PLACEMENT_3D('',#445880,#360791,#360792); #330498=AXIS2_PLACEMENT_3D('',#445882,#360794,#360795); #330499=AXIS2_PLACEMENT_3D('',#445888,#360799,#360800); #330500=AXIS2_PLACEMENT_3D('',#445889,#360801,#360802); #330501=AXIS2_PLACEMENT_3D('',#445890,#360803,#360804); #330502=AXIS2_PLACEMENT_3D('',#445891,#360805,#360806); #330503=AXIS2_PLACEMENT_3D('',#445892,#360807,#360808); #330504=AXIS2_PLACEMENT_3D('',#445893,#360809,#360810); #330505=AXIS2_PLACEMENT_3D('',#445895,#360811,#360812); #330506=AXIS2_PLACEMENT_3D('',#445898,#360814,#360815); #330507=AXIS2_PLACEMENT_3D('',#445899,#360816,#360817); #330508=AXIS2_PLACEMENT_3D('',#445908,#360822,#360823); #330509=AXIS2_PLACEMENT_3D('',#445910,#360824,#360825); #330510=AXIS2_PLACEMENT_3D('',#445912,#360826,#360827); #330511=AXIS2_PLACEMENT_3D('',#445914,#360829,#360830); #330512=AXIS2_PLACEMENT_3D('',#445920,#360834,#360835); #330513=AXIS2_PLACEMENT_3D('',#445926,#360839,#360840); #330514=AXIS2_PLACEMENT_3D('',#445928,#360841,#360842); #330515=AXIS2_PLACEMENT_3D('',#445930,#360843,#360844); #330516=AXIS2_PLACEMENT_3D('',#445932,#360846,#360847); #330517=AXIS2_PLACEMENT_3D('',#445938,#360851,#360852); #330518=AXIS2_PLACEMENT_3D('',#445944,#360856,#360857); #330519=AXIS2_PLACEMENT_3D('',#445950,#360861,#360862); #330520=AXIS2_PLACEMENT_3D('',#445956,#360866,#360867); #330521=AXIS2_PLACEMENT_3D('',#445962,#360871,#360872); #330522=AXIS2_PLACEMENT_3D('',#445968,#360876,#360877); #330523=AXIS2_PLACEMENT_3D('',#445974,#360881,#360882); #330524=AXIS2_PLACEMENT_3D('',#445980,#360886,#360887); #330525=AXIS2_PLACEMENT_3D('',#445982,#360888,#360889); #330526=AXIS2_PLACEMENT_3D('',#445984,#360890,#360891); #330527=AXIS2_PLACEMENT_3D('',#445986,#360893,#360894); #330528=AXIS2_PLACEMENT_3D('',#445992,#360898,#360899); #330529=AXIS2_PLACEMENT_3D('',#445993,#360900,#360901); #330530=AXIS2_PLACEMENT_3D('',#445994,#360902,#360903); #330531=AXIS2_PLACEMENT_3D('',#445995,#360904,#360905); #330532=AXIS2_PLACEMENT_3D('',#445996,#360906,#360907); #330533=AXIS2_PLACEMENT_3D('',#445997,#360908,#360909); #330534=AXIS2_PLACEMENT_3D('',#445999,#360910,#360911); #330535=AXIS2_PLACEMENT_3D('',#446002,#360913,#360914); #330536=AXIS2_PLACEMENT_3D('',#446003,#360915,#360916); #330537=AXIS2_PLACEMENT_3D('',#446012,#360921,#360922); #330538=AXIS2_PLACEMENT_3D('',#446014,#360923,#360924); #330539=AXIS2_PLACEMENT_3D('',#446016,#360925,#360926); #330540=AXIS2_PLACEMENT_3D('',#446018,#360928,#360929); #330541=AXIS2_PLACEMENT_3D('',#446024,#360933,#360934); #330542=AXIS2_PLACEMENT_3D('',#446030,#360938,#360939); #330543=AXIS2_PLACEMENT_3D('',#446036,#360943,#360944); #330544=AXIS2_PLACEMENT_3D('',#446042,#360948,#360949); #330545=AXIS2_PLACEMENT_3D('',#446048,#360953,#360954); #330546=AXIS2_PLACEMENT_3D('',#446054,#360958,#360959); #330547=AXIS2_PLACEMENT_3D('',#446060,#360963,#360964); #330548=AXIS2_PLACEMENT_3D('',#446062,#360965,#360966); #330549=AXIS2_PLACEMENT_3D('',#446064,#360967,#360968); #330550=AXIS2_PLACEMENT_3D('',#446066,#360970,#360971); #330551=AXIS2_PLACEMENT_3D('',#446072,#360975,#360976); #330552=AXIS2_PLACEMENT_3D('',#446073,#360977,#360978); #330553=AXIS2_PLACEMENT_3D('',#446074,#360979,#360980); #330554=AXIS2_PLACEMENT_3D('',#446075,#360981,#360982); #330555=AXIS2_PLACEMENT_3D('',#446076,#360983,#360984); #330556=AXIS2_PLACEMENT_3D('',#446077,#360985,#360986); #330557=AXIS2_PLACEMENT_3D('',#446079,#360987,#360988); #330558=AXIS2_PLACEMENT_3D('',#446082,#360990,#360991); #330559=AXIS2_PLACEMENT_3D('',#446083,#360992,#360993); #330560=AXIS2_PLACEMENT_3D('',#446092,#360998,#360999); #330561=AXIS2_PLACEMENT_3D('',#446094,#361000,#361001); #330562=AXIS2_PLACEMENT_3D('',#446096,#361002,#361003); #330563=AXIS2_PLACEMENT_3D('',#446098,#361005,#361006); #330564=AXIS2_PLACEMENT_3D('',#446104,#361010,#361011); #330565=AXIS2_PLACEMENT_3D('',#446106,#361012,#361013); #330566=AXIS2_PLACEMENT_3D('',#446108,#361014,#361015); #330567=AXIS2_PLACEMENT_3D('',#446110,#361017,#361018); #330568=AXIS2_PLACEMENT_3D('',#446116,#361022,#361023); #330569=AXIS2_PLACEMENT_3D('',#446122,#361027,#361028); #330570=AXIS2_PLACEMENT_3D('',#446128,#361032,#361033); #330571=AXIS2_PLACEMENT_3D('',#446134,#361037,#361038); #330572=AXIS2_PLACEMENT_3D('',#446140,#361042,#361043); #330573=AXIS2_PLACEMENT_3D('',#446146,#361047,#361048); #330574=AXIS2_PLACEMENT_3D('',#446152,#361052,#361053); #330575=AXIS2_PLACEMENT_3D('',#446158,#361057,#361058); #330576=AXIS2_PLACEMENT_3D('',#446164,#361062,#361063); #330577=AXIS2_PLACEMENT_3D('',#446165,#361064,#361065); #330578=AXIS2_PLACEMENT_3D('',#446166,#361066,#361067); #330579=AXIS2_PLACEMENT_3D('',#446167,#361068,#361069); #330580=AXIS2_PLACEMENT_3D('',#446168,#361070,#361071); #330581=AXIS2_PLACEMENT_3D('',#446169,#361072,#361073); #330582=AXIS2_PLACEMENT_3D('',#446171,#361074,#361075); #330583=AXIS2_PLACEMENT_3D('',#446174,#361077,#361078); #330584=AXIS2_PLACEMENT_3D('',#446175,#361079,#361080); #330585=AXIS2_PLACEMENT_3D('',#446177,#361081,#361082); #330586=AXIS2_PLACEMENT_3D('',#446180,#361084,#361085); #330587=AXIS2_PLACEMENT_3D('',#446181,#361086,#361087); #330588=AXIS2_PLACEMENT_3D('',#446190,#361092,#361093); #330589=AXIS2_PLACEMENT_3D('',#446192,#361094,#361095); #330590=AXIS2_PLACEMENT_3D('',#446194,#361096,#361097); #330591=AXIS2_PLACEMENT_3D('',#446196,#361099,#361100); #330592=AXIS2_PLACEMENT_3D('',#446198,#361101,#361102); #330593=AXIS2_PLACEMENT_3D('',#446200,#361103,#361104); #330594=AXIS2_PLACEMENT_3D('',#446202,#361106,#361107); #330595=AXIS2_PLACEMENT_3D('',#446208,#361111,#361112); #330596=AXIS2_PLACEMENT_3D('',#446210,#361113,#361114); #330597=AXIS2_PLACEMENT_3D('',#446212,#361115,#361116); #330598=AXIS2_PLACEMENT_3D('',#446214,#361118,#361119); #330599=AXIS2_PLACEMENT_3D('',#446216,#361120,#361121); #330600=AXIS2_PLACEMENT_3D('',#446218,#361122,#361123); #330601=AXIS2_PLACEMENT_3D('',#446220,#361125,#361126); #330602=AXIS2_PLACEMENT_3D('',#446226,#361130,#361131); #330603=AXIS2_PLACEMENT_3D('',#446227,#361132,#361133); #330604=AXIS2_PLACEMENT_3D('',#446228,#361134,#361135); #330605=AXIS2_PLACEMENT_3D('',#446229,#361136,#361137); #330606=AXIS2_PLACEMENT_3D('',#446230,#361138,#361139); #330607=AXIS2_PLACEMENT_3D('',#446231,#361140,#361141); #330608=AXIS2_PLACEMENT_3D('',#446233,#361142,#361143); #330609=AXIS2_PLACEMENT_3D('',#446236,#361145,#361146); #330610=AXIS2_PLACEMENT_3D('',#446237,#361147,#361148); #330611=AXIS2_PLACEMENT_3D('',#446246,#361153,#361154); #330612=AXIS2_PLACEMENT_3D('',#446248,#361155,#361156); #330613=AXIS2_PLACEMENT_3D('',#446250,#361157,#361158); #330614=AXIS2_PLACEMENT_3D('',#446252,#361160,#361161); #330615=AXIS2_PLACEMENT_3D('',#446258,#361165,#361166); #330616=AXIS2_PLACEMENT_3D('',#446264,#361170,#361171); #330617=AXIS2_PLACEMENT_3D('',#446266,#361172,#361173); #330618=AXIS2_PLACEMENT_3D('',#446268,#361174,#361175); #330619=AXIS2_PLACEMENT_3D('',#446270,#361177,#361178); #330620=AXIS2_PLACEMENT_3D('',#446276,#361182,#361183); #330621=AXIS2_PLACEMENT_3D('',#446282,#361187,#361188); #330622=AXIS2_PLACEMENT_3D('',#446288,#361192,#361193); #330623=AXIS2_PLACEMENT_3D('',#446294,#361197,#361198); #330624=AXIS2_PLACEMENT_3D('',#446300,#361202,#361203); #330625=AXIS2_PLACEMENT_3D('',#446306,#361207,#361208); #330626=AXIS2_PLACEMENT_3D('',#446312,#361212,#361213); #330627=AXIS2_PLACEMENT_3D('',#446318,#361217,#361218); #330628=AXIS2_PLACEMENT_3D('',#446320,#361219,#361220); #330629=AXIS2_PLACEMENT_3D('',#446322,#361221,#361222); #330630=AXIS2_PLACEMENT_3D('',#446324,#361224,#361225); #330631=AXIS2_PLACEMENT_3D('',#446330,#361229,#361230); #330632=AXIS2_PLACEMENT_3D('',#446331,#361231,#361232); #330633=AXIS2_PLACEMENT_3D('',#446332,#361233,#361234); #330634=AXIS2_PLACEMENT_3D('',#446333,#361235,#361236); #330635=AXIS2_PLACEMENT_3D('',#446334,#361237,#361238); #330636=AXIS2_PLACEMENT_3D('',#446335,#361239,#361240); #330637=AXIS2_PLACEMENT_3D('',#446337,#361241,#361242); #330638=AXIS2_PLACEMENT_3D('',#446340,#361244,#361245); #330639=AXIS2_PLACEMENT_3D('',#446341,#361246,#361247); #330640=AXIS2_PLACEMENT_3D('',#446350,#361252,#361253); #330641=AXIS2_PLACEMENT_3D('',#446352,#361254,#361255); #330642=AXIS2_PLACEMENT_3D('',#446354,#361256,#361257); #330643=AXIS2_PLACEMENT_3D('',#446356,#361259,#361260); #330644=AXIS2_PLACEMENT_3D('',#446362,#361264,#361265); #330645=AXIS2_PLACEMENT_3D('',#446368,#361269,#361270); #330646=AXIS2_PLACEMENT_3D('',#446370,#361271,#361272); #330647=AXIS2_PLACEMENT_3D('',#446372,#361273,#361274); #330648=AXIS2_PLACEMENT_3D('',#446374,#361276,#361277); #330649=AXIS2_PLACEMENT_3D('',#446380,#361281,#361282); #330650=AXIS2_PLACEMENT_3D('',#446386,#361286,#361287); #330651=AXIS2_PLACEMENT_3D('',#446388,#361288,#361289); #330652=AXIS2_PLACEMENT_3D('',#446390,#361290,#361291); #330653=AXIS2_PLACEMENT_3D('',#446392,#361293,#361294); #330654=AXIS2_PLACEMENT_3D('',#446398,#361298,#361299); #330655=AXIS2_PLACEMENT_3D('',#446404,#361303,#361304); #330656=AXIS2_PLACEMENT_3D('',#446410,#361308,#361309); #330657=AXIS2_PLACEMENT_3D('',#446416,#361313,#361314); #330658=AXIS2_PLACEMENT_3D('',#446422,#361318,#361319); #330659=AXIS2_PLACEMENT_3D('',#446428,#361323,#361324); #330660=AXIS2_PLACEMENT_3D('',#446434,#361328,#361329); #330661=AXIS2_PLACEMENT_3D('',#446440,#361333,#361334); #330662=AXIS2_PLACEMENT_3D('',#446442,#361335,#361336); #330663=AXIS2_PLACEMENT_3D('',#446444,#361337,#361338); #330664=AXIS2_PLACEMENT_3D('',#446446,#361340,#361341); #330665=AXIS2_PLACEMENT_3D('',#446452,#361345,#361346); #330666=AXIS2_PLACEMENT_3D('',#446458,#361350,#361351); #330667=AXIS2_PLACEMENT_3D('',#446460,#361352,#361353); #330668=AXIS2_PLACEMENT_3D('',#446462,#361354,#361355); #330669=AXIS2_PLACEMENT_3D('',#446464,#361357,#361358); #330670=AXIS2_PLACEMENT_3D('',#446470,#361362,#361363); #330671=AXIS2_PLACEMENT_3D('',#446471,#361364,#361365); #330672=AXIS2_PLACEMENT_3D('',#446472,#361366,#361367); #330673=AXIS2_PLACEMENT_3D('',#446473,#361368,#361369); #330674=AXIS2_PLACEMENT_3D('',#446474,#361370,#361371); #330675=AXIS2_PLACEMENT_3D('',#446475,#361372,#361373); #330676=AXIS2_PLACEMENT_3D('',#446484,#361378,#361379); #330677=AXIS2_PLACEMENT_3D('',#446490,#361383,#361384); #330678=AXIS2_PLACEMENT_3D('',#446496,#361388,#361389); #330679=AXIS2_PLACEMENT_3D('',#446502,#361393,#361394); #330680=AXIS2_PLACEMENT_3D('',#446504,#361395,#361396); #330681=AXIS2_PLACEMENT_3D('',#446506,#361397,#361398); #330682=AXIS2_PLACEMENT_3D('',#446508,#361400,#361401); #330683=AXIS2_PLACEMENT_3D('',#446514,#361405,#361406); #330684=AXIS2_PLACEMENT_3D('',#446516,#361407,#361408); #330685=AXIS2_PLACEMENT_3D('',#446518,#361409,#361410); #330686=AXIS2_PLACEMENT_3D('',#446520,#361412,#361413); #330687=AXIS2_PLACEMENT_3D('',#446526,#361417,#361418); #330688=AXIS2_PLACEMENT_3D('',#446532,#361422,#361423); #330689=AXIS2_PLACEMENT_3D('',#446538,#361427,#361428); #330690=AXIS2_PLACEMENT_3D('',#446540,#361429,#361430); #330691=AXIS2_PLACEMENT_3D('',#446542,#361431,#361432); #330692=AXIS2_PLACEMENT_3D('',#446544,#361434,#361435); #330693=AXIS2_PLACEMENT_3D('',#446550,#361439,#361440); #330694=AXIS2_PLACEMENT_3D('',#446556,#361444,#361445); #330695=AXIS2_PLACEMENT_3D('',#446562,#361449,#361450); #330696=AXIS2_PLACEMENT_3D('',#446568,#361454,#361455); #330697=AXIS2_PLACEMENT_3D('',#446574,#361459,#361460); #330698=AXIS2_PLACEMENT_3D('',#446580,#361464,#361465); #330699=AXIS2_PLACEMENT_3D('',#446586,#361469,#361470); #330700=AXIS2_PLACEMENT_3D('',#446588,#361471,#361472); #330701=AXIS2_PLACEMENT_3D('',#446590,#361473,#361474); #330702=AXIS2_PLACEMENT_3D('',#446592,#361476,#361477); #330703=AXIS2_PLACEMENT_3D('',#446598,#361481,#361482); #330704=AXIS2_PLACEMENT_3D('',#446600,#361483,#361484); #330705=AXIS2_PLACEMENT_3D('',#446602,#361485,#361486); #330706=AXIS2_PLACEMENT_3D('',#446604,#361488,#361489); #330707=AXIS2_PLACEMENT_3D('',#446610,#361493,#361494); #330708=AXIS2_PLACEMENT_3D('',#446616,#361498,#361499); #330709=AXIS2_PLACEMENT_3D('',#446622,#361503,#361504); #330710=AXIS2_PLACEMENT_3D('',#446628,#361508,#361509); #330711=AXIS2_PLACEMENT_3D('',#446631,#361512,#361513); #330712=AXIS2_PLACEMENT_3D('',#446632,#361514,#361515); #330713=AXIS2_PLACEMENT_3D('',#446633,#361516,#361517); #330714=AXIS2_PLACEMENT_3D('',#446635,#361518,#361519); #330715=AXIS2_PLACEMENT_3D('',#446638,#361521,#361522); #330716=AXIS2_PLACEMENT_3D('',#446639,#361523,#361524); #330717=AXIS2_PLACEMENT_3D('',#446648,#361529,#361530); #330718=AXIS2_PLACEMENT_3D('',#446650,#361531,#361532); #330719=AXIS2_PLACEMENT_3D('',#446652,#361533,#361534); #330720=AXIS2_PLACEMENT_3D('',#446654,#361536,#361537); #330721=AXIS2_PLACEMENT_3D('',#446660,#361541,#361542); #330722=AXIS2_PLACEMENT_3D('',#446666,#361546,#361547); #330723=AXIS2_PLACEMENT_3D('',#446668,#361548,#361549); #330724=AXIS2_PLACEMENT_3D('',#446670,#361550,#361551); #330725=AXIS2_PLACEMENT_3D('',#446672,#361553,#361554); #330726=AXIS2_PLACEMENT_3D('',#446678,#361558,#361559); #330727=AXIS2_PLACEMENT_3D('',#446680,#361560,#361561); #330728=AXIS2_PLACEMENT_3D('',#446682,#361562,#361563); #330729=AXIS2_PLACEMENT_3D('',#446684,#361565,#361566); #330730=AXIS2_PLACEMENT_3D('',#446690,#361570,#361571); #330731=AXIS2_PLACEMENT_3D('',#446692,#361572,#361573); #330732=AXIS2_PLACEMENT_3D('',#446694,#361574,#361575); #330733=AXIS2_PLACEMENT_3D('',#446696,#361577,#361578); #330734=AXIS2_PLACEMENT_3D('',#446702,#361582,#361583); #330735=AXIS2_PLACEMENT_3D('',#446708,#361587,#361588); #330736=AXIS2_PLACEMENT_3D('',#446714,#361592,#361593); #330737=AXIS2_PLACEMENT_3D('',#446720,#361597,#361598); #330738=AXIS2_PLACEMENT_3D('',#446726,#361602,#361603); #330739=AXIS2_PLACEMENT_3D('',#446732,#361607,#361608); #330740=AXIS2_PLACEMENT_3D('',#446738,#361612,#361613); #330741=AXIS2_PLACEMENT_3D('',#446740,#361614,#361615); #330742=AXIS2_PLACEMENT_3D('',#446742,#361616,#361617); #330743=AXIS2_PLACEMENT_3D('',#446744,#361619,#361620); #330744=AXIS2_PLACEMENT_3D('',#446750,#361624,#361625); #330745=AXIS2_PLACEMENT_3D('',#446756,#361629,#361630); #330746=AXIS2_PLACEMENT_3D('',#446762,#361634,#361635); #330747=AXIS2_PLACEMENT_3D('',#446768,#361639,#361640); #330748=AXIS2_PLACEMENT_3D('',#446770,#361641,#361642); #330749=AXIS2_PLACEMENT_3D('',#446772,#361643,#361644); #330750=AXIS2_PLACEMENT_3D('',#446774,#361646,#361647); #330751=AXIS2_PLACEMENT_3D('',#446780,#361651,#361652); #330752=AXIS2_PLACEMENT_3D('',#446781,#361653,#361654); #330753=AXIS2_PLACEMENT_3D('',#446782,#361655,#361656); #330754=AXIS2_PLACEMENT_3D('',#446783,#361657,#361658); #330755=AXIS2_PLACEMENT_3D('',#446784,#361659,#361660); #330756=AXIS2_PLACEMENT_3D('',#446785,#361661,#361662); #330757=AXIS2_PLACEMENT_3D('',#446787,#361663,#361664); #330758=AXIS2_PLACEMENT_3D('',#446790,#361666,#361667); #330759=AXIS2_PLACEMENT_3D('',#446791,#361668,#361669); #330760=AXIS2_PLACEMENT_3D('',#446800,#361674,#361675); #330761=AXIS2_PLACEMENT_3D('',#446802,#361676,#361677); #330762=AXIS2_PLACEMENT_3D('',#446804,#361678,#361679); #330763=AXIS2_PLACEMENT_3D('',#446806,#361681,#361682); #330764=AXIS2_PLACEMENT_3D('',#446812,#361686,#361687); #330765=AXIS2_PLACEMENT_3D('',#446818,#361691,#361692); #330766=AXIS2_PLACEMENT_3D('',#446824,#361696,#361697); #330767=AXIS2_PLACEMENT_3D('',#446830,#361701,#361702); #330768=AXIS2_PLACEMENT_3D('',#446836,#361706,#361707); #330769=AXIS2_PLACEMENT_3D('',#446842,#361711,#361712); #330770=AXIS2_PLACEMENT_3D('',#446848,#361716,#361717); #330771=AXIS2_PLACEMENT_3D('',#446850,#361718,#361719); #330772=AXIS2_PLACEMENT_3D('',#446852,#361720,#361721); #330773=AXIS2_PLACEMENT_3D('',#446854,#361723,#361724); #330774=AXIS2_PLACEMENT_3D('',#446855,#361725,#361726); #330775=AXIS2_PLACEMENT_3D('',#446856,#361727,#361728); #330776=AXIS2_PLACEMENT_3D('',#446857,#361729,#361730); #330777=AXIS2_PLACEMENT_3D('',#446858,#361731,#361732); #330778=AXIS2_PLACEMENT_3D('',#446859,#361733,#361734); #330779=AXIS2_PLACEMENT_3D('',#446868,#361739,#361740); #330780=AXIS2_PLACEMENT_3D('',#446874,#361744,#361745); #330781=AXIS2_PLACEMENT_3D('',#446880,#361749,#361750); #330782=AXIS2_PLACEMENT_3D('',#446886,#361754,#361755); #330783=AXIS2_PLACEMENT_3D('',#446892,#361759,#361760); #330784=AXIS2_PLACEMENT_3D('',#446894,#361761,#361762); #330785=AXIS2_PLACEMENT_3D('',#446896,#361763,#361764); #330786=AXIS2_PLACEMENT_3D('',#446898,#361766,#361767); #330787=AXIS2_PLACEMENT_3D('',#446904,#361771,#361772); #330788=AXIS2_PLACEMENT_3D('',#446910,#361776,#361777); #330789=AXIS2_PLACEMENT_3D('',#446916,#361781,#361782); #330790=AXIS2_PLACEMENT_3D('',#446918,#361783,#361784); #330791=AXIS2_PLACEMENT_3D('',#446920,#361785,#361786); #330792=AXIS2_PLACEMENT_3D('',#446922,#361788,#361789); #330793=AXIS2_PLACEMENT_3D('',#446928,#361793,#361794); #330794=AXIS2_PLACEMENT_3D('',#446930,#361795,#361796); #330795=AXIS2_PLACEMENT_3D('',#446932,#361797,#361798); #330796=AXIS2_PLACEMENT_3D('',#446934,#361800,#361801); #330797=AXIS2_PLACEMENT_3D('',#446940,#361805,#361806); #330798=AXIS2_PLACEMENT_3D('',#446942,#361807,#361808); #330799=AXIS2_PLACEMENT_3D('',#446944,#361809,#361810); #330800=AXIS2_PLACEMENT_3D('',#446946,#361812,#361813); #330801=AXIS2_PLACEMENT_3D('',#446952,#361817,#361818); #330802=AXIS2_PLACEMENT_3D('',#446958,#361822,#361823); #330803=AXIS2_PLACEMENT_3D('',#446960,#361824,#361825); #330804=AXIS2_PLACEMENT_3D('',#446962,#361826,#361827); #330805=AXIS2_PLACEMENT_3D('',#446964,#361829,#361830); #330806=AXIS2_PLACEMENT_3D('',#446970,#361834,#361835); #330807=AXIS2_PLACEMENT_3D('',#446976,#361839,#361840); #330808=AXIS2_PLACEMENT_3D('',#446982,#361844,#361845); #330809=AXIS2_PLACEMENT_3D('',#446988,#361849,#361850); #330810=AXIS2_PLACEMENT_3D('',#446994,#361854,#361855); #330811=AXIS2_PLACEMENT_3D('',#447000,#361859,#361860); #330812=AXIS2_PLACEMENT_3D('',#447006,#361864,#361865); #330813=AXIS2_PLACEMENT_3D('',#447008,#361866,#361867); #330814=AXIS2_PLACEMENT_3D('',#447010,#361868,#361869); #330815=AXIS2_PLACEMENT_3D('',#447012,#361871,#361872); #330816=AXIS2_PLACEMENT_3D('',#447018,#361876,#361877); #330817=AXIS2_PLACEMENT_3D('',#447024,#361881,#361882); #330818=AXIS2_PLACEMENT_3D('',#447026,#361883,#361884); #330819=AXIS2_PLACEMENT_3D('',#447028,#361885,#361886); #330820=AXIS2_PLACEMENT_3D('',#447030,#361888,#361889); #330821=AXIS2_PLACEMENT_3D('',#447036,#361893,#361894); #330822=AXIS2_PLACEMENT_3D('',#447042,#361898,#361899); #330823=AXIS2_PLACEMENT_3D('',#447048,#361903,#361904); #330824=AXIS2_PLACEMENT_3D('',#447054,#361908,#361909); #330825=AXIS2_PLACEMENT_3D('',#447056,#361910,#361911); #330826=AXIS2_PLACEMENT_3D('',#447058,#361912,#361913); #330827=AXIS2_PLACEMENT_3D('',#447060,#361915,#361916); #330828=AXIS2_PLACEMENT_3D('',#447066,#361920,#361921); #330829=AXIS2_PLACEMENT_3D('',#447068,#361922,#361923); #330830=AXIS2_PLACEMENT_3D('',#447070,#361924,#361925); #330831=AXIS2_PLACEMENT_3D('',#447072,#361927,#361928); #330832=AXIS2_PLACEMENT_3D('',#447078,#361932,#361933); #330833=AXIS2_PLACEMENT_3D('',#447084,#361937,#361938); #330834=AXIS2_PLACEMENT_3D('',#447087,#361941,#361942); #330835=AXIS2_PLACEMENT_3D('',#447088,#361943,#361944); #330836=AXIS2_PLACEMENT_3D('',#447089,#361945,#361946); #330837=AXIS2_PLACEMENT_3D('',#447098,#361951,#361952); #330838=AXIS2_PLACEMENT_3D('',#447104,#361956,#361957); #330839=AXIS2_PLACEMENT_3D('',#447110,#361961,#361962); #330840=AXIS2_PLACEMENT_3D('',#447116,#361966,#361967); #330841=AXIS2_PLACEMENT_3D('',#447122,#361971,#361972); #330842=AXIS2_PLACEMENT_3D('',#447128,#361976,#361977); #330843=AXIS2_PLACEMENT_3D('',#447130,#361978,#361979); #330844=AXIS2_PLACEMENT_3D('',#447132,#361980,#361981); #330845=AXIS2_PLACEMENT_3D('',#447134,#361983,#361984); #330846=AXIS2_PLACEMENT_3D('',#447140,#361988,#361989); #330847=AXIS2_PLACEMENT_3D('',#447142,#361990,#361991); #330848=AXIS2_PLACEMENT_3D('',#447144,#361992,#361993); #330849=AXIS2_PLACEMENT_3D('',#447146,#361995,#361996); #330850=AXIS2_PLACEMENT_3D('',#447152,#362000,#362001); #330851=AXIS2_PLACEMENT_3D('',#447158,#362005,#362006); #330852=AXIS2_PLACEMENT_3D('',#447164,#362010,#362011); #330853=AXIS2_PLACEMENT_3D('',#447170,#362015,#362016); #330854=AXIS2_PLACEMENT_3D('',#447172,#362017,#362018); #330855=AXIS2_PLACEMENT_3D('',#447174,#362019,#362020); #330856=AXIS2_PLACEMENT_3D('',#447176,#362022,#362023); #330857=AXIS2_PLACEMENT_3D('',#447182,#362027,#362028); #330858=AXIS2_PLACEMENT_3D('',#447188,#362032,#362033); #330859=AXIS2_PLACEMENT_3D('',#447190,#362034,#362035); #330860=AXIS2_PLACEMENT_3D('',#447192,#362036,#362037); #330861=AXIS2_PLACEMENT_3D('',#447194,#362039,#362040); #330862=AXIS2_PLACEMENT_3D('',#447200,#362044,#362045); #330863=AXIS2_PLACEMENT_3D('',#447206,#362049,#362050); #330864=AXIS2_PLACEMENT_3D('',#447212,#362054,#362055); #330865=AXIS2_PLACEMENT_3D('',#447218,#362059,#362060); #330866=AXIS2_PLACEMENT_3D('',#447224,#362064,#362065); #330867=AXIS2_PLACEMENT_3D('',#447230,#362069,#362070); #330868=AXIS2_PLACEMENT_3D('',#447236,#362074,#362075); #330869=AXIS2_PLACEMENT_3D('',#447238,#362076,#362077); #330870=AXIS2_PLACEMENT_3D('',#447240,#362078,#362079); #330871=AXIS2_PLACEMENT_3D('',#447242,#362081,#362082); #330872=AXIS2_PLACEMENT_3D('',#447248,#362086,#362087); #330873=AXIS2_PLACEMENT_3D('',#447254,#362091,#362092); #330874=AXIS2_PLACEMENT_3D('',#447256,#362093,#362094); #330875=AXIS2_PLACEMENT_3D('',#447258,#362095,#362096); #330876=AXIS2_PLACEMENT_3D('',#447260,#362098,#362099); #330877=AXIS2_PLACEMENT_3D('',#447266,#362103,#362104); #330878=AXIS2_PLACEMENT_3D('',#447268,#362105,#362106); #330879=AXIS2_PLACEMENT_3D('',#447270,#362107,#362108); #330880=AXIS2_PLACEMENT_3D('',#447272,#362110,#362111); #330881=AXIS2_PLACEMENT_3D('',#447278,#362115,#362116); #330882=AXIS2_PLACEMENT_3D('',#447280,#362117,#362118); #330883=AXIS2_PLACEMENT_3D('',#447282,#362119,#362120); #330884=AXIS2_PLACEMENT_3D('',#447284,#362122,#362123); #330885=AXIS2_PLACEMENT_3D('',#447290,#362127,#362128); #330886=AXIS2_PLACEMENT_3D('',#447296,#362132,#362133); #330887=AXIS2_PLACEMENT_3D('',#447302,#362137,#362138); #330888=AXIS2_PLACEMENT_3D('',#447304,#362139,#362140); #330889=AXIS2_PLACEMENT_3D('',#447306,#362141,#362142); #330890=AXIS2_PLACEMENT_3D('',#447308,#362144,#362145); #330891=AXIS2_PLACEMENT_3D('',#447314,#362149,#362150); #330892=AXIS2_PLACEMENT_3D('',#447317,#362153,#362154); #330893=AXIS2_PLACEMENT_3D('',#447318,#362155,#362156); #330894=AXIS2_PLACEMENT_3D('',#447319,#362157,#362158); #330895=AXIS2_PLACEMENT_3D('',#447328,#362163,#362164); #330896=AXIS2_PLACEMENT_3D('',#447334,#362168,#362169); #330897=AXIS2_PLACEMENT_3D('',#447340,#362173,#362174); #330898=AXIS2_PLACEMENT_3D('',#447346,#362178,#362179); #330899=AXIS2_PLACEMENT_3D('',#447348,#362180,#362181); #330900=AXIS2_PLACEMENT_3D('',#447350,#362182,#362183); #330901=AXIS2_PLACEMENT_3D('',#447352,#362185,#362186); #330902=AXIS2_PLACEMENT_3D('',#447358,#362190,#362191); #330903=AXIS2_PLACEMENT_3D('',#447364,#362195,#362196); #330904=AXIS2_PLACEMENT_3D('',#447366,#362197,#362198); #330905=AXIS2_PLACEMENT_3D('',#447368,#362199,#362200); #330906=AXIS2_PLACEMENT_3D('',#447370,#362202,#362203); #330907=AXIS2_PLACEMENT_3D('',#447376,#362207,#362208); #330908=AXIS2_PLACEMENT_3D('',#447382,#362212,#362213); #330909=AXIS2_PLACEMENT_3D('',#447388,#362217,#362218); #330910=AXIS2_PLACEMENT_3D('',#447394,#362222,#362223); #330911=AXIS2_PLACEMENT_3D('',#447400,#362227,#362228); #330912=AXIS2_PLACEMENT_3D('',#447406,#362232,#362233); #330913=AXIS2_PLACEMENT_3D('',#447412,#362237,#362238); #330914=AXIS2_PLACEMENT_3D('',#447414,#362239,#362240); #330915=AXIS2_PLACEMENT_3D('',#447416,#362241,#362242); #330916=AXIS2_PLACEMENT_3D('',#447418,#362244,#362245); #330917=AXIS2_PLACEMENT_3D('',#447424,#362249,#362250); #330918=AXIS2_PLACEMENT_3D('',#447430,#362254,#362255); #330919=AXIS2_PLACEMENT_3D('',#447436,#362259,#362260); #330920=AXIS2_PLACEMENT_3D('',#447439,#362263,#362264); #330921=AXIS2_PLACEMENT_3D('',#447440,#362265,#362266); #330922=AXIS2_PLACEMENT_3D('',#447441,#362267,#362268); #330923=AXIS2_PLACEMENT_3D('',#447443,#362269,#362270); #330924=AXIS2_PLACEMENT_3D('',#447446,#362272,#362273); #330925=AXIS2_PLACEMENT_3D('',#447447,#362274,#362275); #330926=AXIS2_PLACEMENT_3D('',#447456,#362280,#362281); #330927=AXIS2_PLACEMENT_3D('',#447462,#362285,#362286); #330928=AXIS2_PLACEMENT_3D('',#447464,#362287,#362288); #330929=AXIS2_PLACEMENT_3D('',#447466,#362289,#362290); #330930=AXIS2_PLACEMENT_3D('',#447468,#362292,#362293); #330931=AXIS2_PLACEMENT_3D('',#447474,#362297,#362298); #330932=AXIS2_PLACEMENT_3D('',#447480,#362302,#362303); #330933=AXIS2_PLACEMENT_3D('',#447486,#362307,#362308); #330934=AXIS2_PLACEMENT_3D('',#447488,#362309,#362310); #330935=AXIS2_PLACEMENT_3D('',#447490,#362311,#362312); #330936=AXIS2_PLACEMENT_3D('',#447492,#362314,#362315); #330937=AXIS2_PLACEMENT_3D('',#447498,#362319,#362320); #330938=AXIS2_PLACEMENT_3D('',#447504,#362324,#362325); #330939=AXIS2_PLACEMENT_3D('',#447506,#362326,#362327); #330940=AXIS2_PLACEMENT_3D('',#447508,#362328,#362329); #330941=AXIS2_PLACEMENT_3D('',#447510,#362331,#362332); #330942=AXIS2_PLACEMENT_3D('',#447512,#362333,#362334); #330943=AXIS2_PLACEMENT_3D('',#447514,#362335,#362336); #330944=AXIS2_PLACEMENT_3D('',#447516,#362338,#362339); #330945=AXIS2_PLACEMENT_3D('',#447522,#362343,#362344); #330946=AXIS2_PLACEMENT_3D('',#447524,#362345,#362346); #330947=AXIS2_PLACEMENT_3D('',#447526,#362347,#362348); #330948=AXIS2_PLACEMENT_3D('',#447528,#362350,#362351); #330949=AXIS2_PLACEMENT_3D('',#447534,#362355,#362356); #330950=AXIS2_PLACEMENT_3D('',#447540,#362360,#362361); #330951=AXIS2_PLACEMENT_3D('',#447542,#362362,#362363); #330952=AXIS2_PLACEMENT_3D('',#447544,#362364,#362365); #330953=AXIS2_PLACEMENT_3D('',#447546,#362367,#362368); #330954=AXIS2_PLACEMENT_3D('',#447552,#362372,#362373); #330955=AXIS2_PLACEMENT_3D('',#447558,#362377,#362378); #330956=AXIS2_PLACEMENT_3D('',#447564,#362382,#362383); #330957=AXIS2_PLACEMENT_3D('',#447570,#362387,#362388); #330958=AXIS2_PLACEMENT_3D('',#447573,#362391,#362392); #330959=AXIS2_PLACEMENT_3D('',#447574,#362393,#362394); #330960=AXIS2_PLACEMENT_3D('',#447575,#362395,#362396); #330961=AXIS2_PLACEMENT_3D('',#447577,#362397,#362398); #330962=AXIS2_PLACEMENT_3D('',#447580,#362400,#362401); #330963=AXIS2_PLACEMENT_3D('',#447581,#362402,#362403); #330964=AXIS2_PLACEMENT_3D('',#447590,#362408,#362409); #330965=AXIS2_PLACEMENT_3D('',#447592,#362410,#362411); #330966=AXIS2_PLACEMENT_3D('',#447594,#362412,#362413); #330967=AXIS2_PLACEMENT_3D('',#447596,#362415,#362416); #330968=AXIS2_PLACEMENT_3D('',#447602,#362420,#362421); #330969=AXIS2_PLACEMENT_3D('',#447608,#362425,#362426); #330970=AXIS2_PLACEMENT_3D('',#447610,#362427,#362428); #330971=AXIS2_PLACEMENT_3D('',#447612,#362429,#362430); #330972=AXIS2_PLACEMENT_3D('',#447614,#362432,#362433); #330973=AXIS2_PLACEMENT_3D('',#447620,#362437,#362438); #330974=AXIS2_PLACEMENT_3D('',#447626,#362442,#362443); #330975=AXIS2_PLACEMENT_3D('',#447632,#362447,#362448); #330976=AXIS2_PLACEMENT_3D('',#447638,#362452,#362453); #330977=AXIS2_PLACEMENT_3D('',#447644,#362457,#362458); #330978=AXIS2_PLACEMENT_3D('',#447650,#362462,#362463); #330979=AXIS2_PLACEMENT_3D('',#447656,#362467,#362468); #330980=AXIS2_PLACEMENT_3D('',#447662,#362472,#362473); #330981=AXIS2_PLACEMENT_3D('',#447664,#362474,#362475); #330982=AXIS2_PLACEMENT_3D('',#447666,#362476,#362477); #330983=AXIS2_PLACEMENT_3D('',#447668,#362479,#362480); #330984=AXIS2_PLACEMENT_3D('',#447674,#362484,#362485); #330985=AXIS2_PLACEMENT_3D('',#447675,#362486,#362487); #330986=AXIS2_PLACEMENT_3D('',#447676,#362488,#362489); #330987=AXIS2_PLACEMENT_3D('',#447677,#362490,#362491); #330988=AXIS2_PLACEMENT_3D('',#447678,#362492,#362493); #330989=AXIS2_PLACEMENT_3D('',#447679,#362494,#362495); #330990=AXIS2_PLACEMENT_3D('',#447681,#362496,#362497); #330991=AXIS2_PLACEMENT_3D('',#447684,#362499,#362500); #330992=AXIS2_PLACEMENT_3D('',#447685,#362501,#362502); #330993=AXIS2_PLACEMENT_3D('',#447694,#362507,#362508); #330994=AXIS2_PLACEMENT_3D('',#447696,#362509,#362510); #330995=AXIS2_PLACEMENT_3D('',#447698,#362511,#362512); #330996=AXIS2_PLACEMENT_3D('',#447700,#362514,#362515); #330997=AXIS2_PLACEMENT_3D('',#447702,#362516,#362517); #330998=AXIS2_PLACEMENT_3D('',#447704,#362518,#362519); #330999=AXIS2_PLACEMENT_3D('',#447706,#362521,#362522); #331000=AXIS2_PLACEMENT_3D('',#447712,#362526,#362527); #331001=AXIS2_PLACEMENT_3D('',#447718,#362531,#362532); #331002=AXIS2_PLACEMENT_3D('',#447724,#362536,#362537); #331003=AXIS2_PLACEMENT_3D('',#447730,#362541,#362542); #331004=AXIS2_PLACEMENT_3D('',#447736,#362546,#362547); #331005=AXIS2_PLACEMENT_3D('',#447742,#362551,#362552); #331006=AXIS2_PLACEMENT_3D('',#447748,#362556,#362557); #331007=AXIS2_PLACEMENT_3D('',#447750,#362558,#362559); #331008=AXIS2_PLACEMENT_3D('',#447752,#362560,#362561); #331009=AXIS2_PLACEMENT_3D('',#447754,#362563,#362564); #331010=AXIS2_PLACEMENT_3D('',#447760,#362568,#362569); #331011=AXIS2_PLACEMENT_3D('',#447761,#362570,#362571); #331012=AXIS2_PLACEMENT_3D('',#447762,#362572,#362573); #331013=AXIS2_PLACEMENT_3D('',#447763,#362574,#362575); #331014=AXIS2_PLACEMENT_3D('',#447764,#362576,#362577); #331015=AXIS2_PLACEMENT_3D('',#447765,#362578,#362579); #331016=AXIS2_PLACEMENT_3D('',#447767,#362580,#362581); #331017=AXIS2_PLACEMENT_3D('',#447770,#362583,#362584); #331018=AXIS2_PLACEMENT_3D('',#447771,#362585,#362586); #331019=AXIS2_PLACEMENT_3D('',#447780,#362591,#362592); #331020=AXIS2_PLACEMENT_3D('',#447786,#362596,#362597); #331021=AXIS2_PLACEMENT_3D('',#447792,#362601,#362602); #331022=AXIS2_PLACEMENT_3D('',#447798,#362606,#362607); #331023=AXIS2_PLACEMENT_3D('',#447804,#362611,#362612); #331024=AXIS2_PLACEMENT_3D('',#447806,#362613,#362614); #331025=AXIS2_PLACEMENT_3D('',#447808,#362615,#362616); #331026=AXIS2_PLACEMENT_3D('',#447810,#362618,#362619); #331027=AXIS2_PLACEMENT_3D('',#447816,#362623,#362624); #331028=AXIS2_PLACEMENT_3D('',#447822,#362628,#362629); #331029=AXIS2_PLACEMENT_3D('',#447824,#362630,#362631); #331030=AXIS2_PLACEMENT_3D('',#447826,#362632,#362633); #331031=AXIS2_PLACEMENT_3D('',#447828,#362635,#362636); #331032=AXIS2_PLACEMENT_3D('',#447834,#362640,#362641); #331033=AXIS2_PLACEMENT_3D('',#447836,#362642,#362643); #331034=AXIS2_PLACEMENT_3D('',#447838,#362644,#362645); #331035=AXIS2_PLACEMENT_3D('',#447840,#362647,#362648); #331036=AXIS2_PLACEMENT_3D('',#447842,#362649,#362650); #331037=AXIS2_PLACEMENT_3D('',#447844,#362651,#362652); #331038=AXIS2_PLACEMENT_3D('',#447846,#362654,#362655); #331039=AXIS2_PLACEMENT_3D('',#447852,#362659,#362660); #331040=AXIS2_PLACEMENT_3D('',#447858,#362664,#362665); #331041=AXIS2_PLACEMENT_3D('',#447860,#362666,#362667); #331042=AXIS2_PLACEMENT_3D('',#447862,#362668,#362669); #331043=AXIS2_PLACEMENT_3D('',#447864,#362671,#362672); #331044=AXIS2_PLACEMENT_3D('',#447870,#362676,#362677); #331045=AXIS2_PLACEMENT_3D('',#447876,#362681,#362682); #331046=AXIS2_PLACEMENT_3D('',#447879,#362685,#362686); #331047=AXIS2_PLACEMENT_3D('',#447880,#362687,#362688); #331048=AXIS2_PLACEMENT_3D('',#447881,#362689,#362690); #331049=AXIS2_PLACEMENT_3D('',#447883,#362691,#362692); #331050=AXIS2_PLACEMENT_3D('',#447886,#362694,#362695); #331051=AXIS2_PLACEMENT_3D('',#447887,#362696,#362697); #331052=AXIS2_PLACEMENT_3D('',#447896,#362702,#362703); #331053=AXIS2_PLACEMENT_3D('',#447898,#362704,#362705); #331054=AXIS2_PLACEMENT_3D('',#447900,#362706,#362707); #331055=AXIS2_PLACEMENT_3D('',#447902,#362709,#362710); #331056=AXIS2_PLACEMENT_3D('',#447908,#362714,#362715); #331057=AXIS2_PLACEMENT_3D('',#447910,#362716,#362717); #331058=AXIS2_PLACEMENT_3D('',#447912,#362718,#362719); #331059=AXIS2_PLACEMENT_3D('',#447914,#362721,#362722); #331060=AXIS2_PLACEMENT_3D('',#447920,#362726,#362727); #331061=AXIS2_PLACEMENT_3D('',#447926,#362731,#362732); #331062=AXIS2_PLACEMENT_3D('',#447932,#362736,#362737); #331063=AXIS2_PLACEMENT_3D('',#447938,#362741,#362742); #331064=AXIS2_PLACEMENT_3D('',#447944,#362746,#362747); #331065=AXIS2_PLACEMENT_3D('',#447950,#362751,#362752); #331066=AXIS2_PLACEMENT_3D('',#447956,#362756,#362757); #331067=AXIS2_PLACEMENT_3D('',#447958,#362758,#362759); #331068=AXIS2_PLACEMENT_3D('',#447960,#362760,#362761); #331069=AXIS2_PLACEMENT_3D('',#447962,#362763,#362764); #331070=AXIS2_PLACEMENT_3D('',#447963,#362765,#362766); #331071=AXIS2_PLACEMENT_3D('',#447964,#362767,#362768); #331072=AXIS2_PLACEMENT_3D('',#447965,#362769,#362770); #331073=AXIS2_PLACEMENT_3D('',#447966,#362771,#362772); #331074=AXIS2_PLACEMENT_3D('',#447967,#362773,#362774); #331075=AXIS2_PLACEMENT_3D('',#447969,#362775,#362776); #331076=AXIS2_PLACEMENT_3D('',#447972,#362778,#362779); #331077=AXIS2_PLACEMENT_3D('',#447973,#362780,#362781); #331078=AXIS2_PLACEMENT_3D('',#447982,#362786,#362787); #331079=AXIS2_PLACEMENT_3D('',#447988,#362791,#362792); #331080=AXIS2_PLACEMENT_3D('',#447994,#362796,#362797); #331081=AXIS2_PLACEMENT_3D('',#448000,#362801,#362802); #331082=AXIS2_PLACEMENT_3D('',#448006,#362806,#362807); #331083=AXIS2_PLACEMENT_3D('',#448012,#362811,#362812); #331084=AXIS2_PLACEMENT_3D('',#448014,#362813,#362814); #331085=AXIS2_PLACEMENT_3D('',#448016,#362815,#362816); #331086=AXIS2_PLACEMENT_3D('',#448018,#362818,#362819); #331087=AXIS2_PLACEMENT_3D('',#448024,#362823,#362824); #331088=AXIS2_PLACEMENT_3D('',#448030,#362828,#362829); #331089=AXIS2_PLACEMENT_3D('',#448032,#362830,#362831); #331090=AXIS2_PLACEMENT_3D('',#448034,#362832,#362833); #331091=AXIS2_PLACEMENT_3D('',#448036,#362835,#362836); #331092=AXIS2_PLACEMENT_3D('',#448038,#362837,#362838); #331093=AXIS2_PLACEMENT_3D('',#448040,#362839,#362840); #331094=AXIS2_PLACEMENT_3D('',#448042,#362842,#362843); #331095=AXIS2_PLACEMENT_3D('',#448048,#362847,#362848); #331096=AXIS2_PLACEMENT_3D('',#448050,#362849,#362850); #331097=AXIS2_PLACEMENT_3D('',#448052,#362851,#362852); #331098=AXIS2_PLACEMENT_3D('',#448054,#362854,#362855); #331099=AXIS2_PLACEMENT_3D('',#448060,#362859,#362860); #331100=AXIS2_PLACEMENT_3D('',#448066,#362864,#362865); #331101=AXIS2_PLACEMENT_3D('',#448068,#362866,#362867); #331102=AXIS2_PLACEMENT_3D('',#448070,#362868,#362869); #331103=AXIS2_PLACEMENT_3D('',#448072,#362871,#362872); #331104=AXIS2_PLACEMENT_3D('',#448078,#362876,#362877); #331105=AXIS2_PLACEMENT_3D('',#448081,#362880,#362881); #331106=AXIS2_PLACEMENT_3D('',#448082,#362882,#362883); #331107=AXIS2_PLACEMENT_3D('',#448083,#362884,#362885); #331108=AXIS2_PLACEMENT_3D('',#448085,#362886,#362887); #331109=AXIS2_PLACEMENT_3D('',#448088,#362889,#362890); #331110=AXIS2_PLACEMENT_3D('',#448089,#362891,#362892); #331111=AXIS2_PLACEMENT_3D('',#448098,#362897,#362898); #331112=AXIS2_PLACEMENT_3D('',#448100,#362899,#362900); #331113=AXIS2_PLACEMENT_3D('',#448102,#362901,#362902); #331114=AXIS2_PLACEMENT_3D('',#448104,#362904,#362905); #331115=AXIS2_PLACEMENT_3D('',#448110,#362909,#362910); #331116=AXIS2_PLACEMENT_3D('',#448116,#362914,#362915); #331117=AXIS2_PLACEMENT_3D('',#448122,#362919,#362920); #331118=AXIS2_PLACEMENT_3D('',#448128,#362924,#362925); #331119=AXIS2_PLACEMENT_3D('',#448134,#362929,#362930); #331120=AXIS2_PLACEMENT_3D('',#448140,#362934,#362935); #331121=AXIS2_PLACEMENT_3D('',#448146,#362939,#362940); #331122=AXIS2_PLACEMENT_3D('',#448148,#362941,#362942); #331123=AXIS2_PLACEMENT_3D('',#448150,#362943,#362944); #331124=AXIS2_PLACEMENT_3D('',#448152,#362946,#362947); #331125=AXIS2_PLACEMENT_3D('',#448153,#362948,#362949); #331126=AXIS2_PLACEMENT_3D('',#448154,#362950,#362951); #331127=AXIS2_PLACEMENT_3D('',#448155,#362952,#362953); #331128=AXIS2_PLACEMENT_3D('',#448156,#362954,#362955); #331129=AXIS2_PLACEMENT_3D('',#448157,#362956,#362957); #331130=AXIS2_PLACEMENT_3D('',#448159,#362958,#362959); #331131=AXIS2_PLACEMENT_3D('',#448162,#362961,#362962); #331132=AXIS2_PLACEMENT_3D('',#448163,#362963,#362964); #331133=AXIS2_PLACEMENT_3D('',#448172,#362969,#362970); #331134=AXIS2_PLACEMENT_3D('',#448174,#362971,#362972); #331135=AXIS2_PLACEMENT_3D('',#448176,#362973,#362974); #331136=AXIS2_PLACEMENT_3D('',#448178,#362976,#362977); #331137=AXIS2_PLACEMENT_3D('',#448180,#362978,#362979); #331138=AXIS2_PLACEMENT_3D('',#448182,#362980,#362981); #331139=AXIS2_PLACEMENT_3D('',#448184,#362983,#362984); #331140=AXIS2_PLACEMENT_3D('',#448190,#362988,#362989); #331141=AXIS2_PLACEMENT_3D('',#448196,#362993,#362994); #331142=AXIS2_PLACEMENT_3D('',#448202,#362998,#362999); #331143=AXIS2_PLACEMENT_3D('',#448208,#363003,#363004); #331144=AXIS2_PLACEMENT_3D('',#448214,#363008,#363009); #331145=AXIS2_PLACEMENT_3D('',#448220,#363013,#363014); #331146=AXIS2_PLACEMENT_3D('',#448226,#363018,#363019); #331147=AXIS2_PLACEMENT_3D('',#448227,#363020,#363021); #331148=AXIS2_PLACEMENT_3D('',#448228,#363022,#363023); #331149=AXIS2_PLACEMENT_3D('',#448229,#363024,#363025); #331150=AXIS2_PLACEMENT_3D('',#448230,#363026,#363027); #331151=AXIS2_PLACEMENT_3D('',#448231,#363028,#363029); #331152=AXIS2_PLACEMENT_3D('',#448233,#363030,#363031); #331153=AXIS2_PLACEMENT_3D('',#448236,#363033,#363034); #331154=AXIS2_PLACEMENT_3D('',#448237,#363035,#363036); #331155=AXIS2_PLACEMENT_3D('',#448246,#363041,#363042); #331156=AXIS2_PLACEMENT_3D('',#448252,#363046,#363047); #331157=AXIS2_PLACEMENT_3D('',#448258,#363051,#363052); #331158=AXIS2_PLACEMENT_3D('',#448264,#363056,#363057); #331159=AXIS2_PLACEMENT_3D('',#448270,#363061,#363062); #331160=AXIS2_PLACEMENT_3D('',#448272,#363063,#363064); #331161=AXIS2_PLACEMENT_3D('',#448274,#363065,#363066); #331162=AXIS2_PLACEMENT_3D('',#448276,#363068,#363069); #331163=AXIS2_PLACEMENT_3D('',#448282,#363073,#363074); #331164=AXIS2_PLACEMENT_3D('',#448288,#363078,#363079); #331165=AXIS2_PLACEMENT_3D('',#448294,#363083,#363084); #331166=AXIS2_PLACEMENT_3D('',#448300,#363088,#363089); #331167=AXIS2_PLACEMENT_3D('',#448306,#363093,#363094); #331168=AXIS2_PLACEMENT_3D('',#448308,#363095,#363096); #331169=AXIS2_PLACEMENT_3D('',#448310,#363097,#363098); #331170=AXIS2_PLACEMENT_3D('',#448312,#363100,#363101); #331171=AXIS2_PLACEMENT_3D('',#448314,#363102,#363103); #331172=AXIS2_PLACEMENT_3D('',#448316,#363104,#363105); #331173=AXIS2_PLACEMENT_3D('',#448318,#363107,#363108); #331174=AXIS2_PLACEMENT_3D('',#448324,#363112,#363113); #331175=AXIS2_PLACEMENT_3D('',#448326,#363114,#363115); #331176=AXIS2_PLACEMENT_3D('',#448328,#363116,#363117); #331177=AXIS2_PLACEMENT_3D('',#448330,#363119,#363120); #331178=AXIS2_PLACEMENT_3D('',#448336,#363124,#363125); #331179=AXIS2_PLACEMENT_3D('',#448338,#363126,#363127); #331180=AXIS2_PLACEMENT_3D('',#448340,#363128,#363129); #331181=AXIS2_PLACEMENT_3D('',#448342,#363131,#363132); #331182=AXIS2_PLACEMENT_3D('',#448348,#363136,#363137); #331183=AXIS2_PLACEMENT_3D('',#448350,#363138,#363139); #331184=AXIS2_PLACEMENT_3D('',#448352,#363140,#363141); #331185=AXIS2_PLACEMENT_3D('',#448354,#363143,#363144); #331186=AXIS2_PLACEMENT_3D('',#448360,#363148,#363149); #331187=AXIS2_PLACEMENT_3D('',#448362,#363150,#363151); #331188=AXIS2_PLACEMENT_3D('',#448364,#363152,#363153); #331189=AXIS2_PLACEMENT_3D('',#448366,#363155,#363156); #331190=AXIS2_PLACEMENT_3D('',#448372,#363160,#363161); #331191=AXIS2_PLACEMENT_3D('',#448375,#363164,#363165); #331192=AXIS2_PLACEMENT_3D('',#448376,#363166,#363167); #331193=AXIS2_PLACEMENT_3D('',#448377,#363168,#363169); #331194=AXIS2_PLACEMENT_3D('',#448379,#363170,#363171); #331195=AXIS2_PLACEMENT_3D('',#448382,#363173,#363174); #331196=AXIS2_PLACEMENT_3D('',#448383,#363175,#363176); #331197=AXIS2_PLACEMENT_3D('',#448392,#363181,#363182); #331198=AXIS2_PLACEMENT_3D('',#448398,#363186,#363187); #331199=AXIS2_PLACEMENT_3D('',#448404,#363191,#363192); #331200=AXIS2_PLACEMENT_3D('',#448410,#363196,#363197); #331201=AXIS2_PLACEMENT_3D('',#448416,#363201,#363202); #331202=AXIS2_PLACEMENT_3D('',#448422,#363206,#363207); #331203=AXIS2_PLACEMENT_3D('',#448428,#363211,#363212); #331204=AXIS2_PLACEMENT_3D('',#448434,#363216,#363217); #331205=AXIS2_PLACEMENT_3D('',#448436,#363218,#363219); #331206=AXIS2_PLACEMENT_3D('',#448438,#363220,#363221); #331207=AXIS2_PLACEMENT_3D('',#448440,#363223,#363224); #331208=AXIS2_PLACEMENT_3D('',#448442,#363225,#363226); #331209=AXIS2_PLACEMENT_3D('',#448444,#363227,#363228); #331210=AXIS2_PLACEMENT_3D('',#448446,#363230,#363231); #331211=AXIS2_PLACEMENT_3D('',#448452,#363235,#363236); #331212=AXIS2_PLACEMENT_3D('',#448454,#363237,#363238); #331213=AXIS2_PLACEMENT_3D('',#448456,#363239,#363240); #331214=AXIS2_PLACEMENT_3D('',#448458,#363242,#363243); #331215=AXIS2_PLACEMENT_3D('',#448464,#363247,#363248); #331216=AXIS2_PLACEMENT_3D('',#448466,#363249,#363250); #331217=AXIS2_PLACEMENT_3D('',#448468,#363251,#363252); #331218=AXIS2_PLACEMENT_3D('',#448470,#363254,#363255); #331219=AXIS2_PLACEMENT_3D('',#448476,#363259,#363260); #331220=AXIS2_PLACEMENT_3D('',#448478,#363261,#363262); #331221=AXIS2_PLACEMENT_3D('',#448480,#363263,#363264); #331222=AXIS2_PLACEMENT_3D('',#448482,#363266,#363267); #331223=AXIS2_PLACEMENT_3D('',#448485,#363270,#363271); #331224=AXIS2_PLACEMENT_3D('',#448486,#363272,#363273); #331225=AXIS2_PLACEMENT_3D('',#448487,#363274,#363275); #331226=AXIS2_PLACEMENT_3D('',#448489,#363276,#363277); #331227=AXIS2_PLACEMENT_3D('',#448492,#363279,#363280); #331228=AXIS2_PLACEMENT_3D('',#448493,#363281,#363282); #331229=AXIS2_PLACEMENT_3D('',#448502,#363287,#363288); #331230=AXIS2_PLACEMENT_3D('',#448508,#363292,#363293); #331231=AXIS2_PLACEMENT_3D('',#448514,#363297,#363298); #331232=AXIS2_PLACEMENT_3D('',#448520,#363302,#363303); #331233=AXIS2_PLACEMENT_3D('',#448526,#363307,#363308); #331234=AXIS2_PLACEMENT_3D('',#448528,#363309,#363310); #331235=AXIS2_PLACEMENT_3D('',#448530,#363311,#363312); #331236=AXIS2_PLACEMENT_3D('',#448532,#363314,#363315); #331237=AXIS2_PLACEMENT_3D('',#448538,#363319,#363320); #331238=AXIS2_PLACEMENT_3D('',#448540,#363321,#363322); #331239=AXIS2_PLACEMENT_3D('',#448542,#363323,#363324); #331240=AXIS2_PLACEMENT_3D('',#448544,#363326,#363327); #331241=AXIS2_PLACEMENT_3D('',#448550,#363331,#363332); #331242=AXIS2_PLACEMENT_3D('',#448552,#363333,#363334); #331243=AXIS2_PLACEMENT_3D('',#448554,#363335,#363336); #331244=AXIS2_PLACEMENT_3D('',#448556,#363338,#363339); #331245=AXIS2_PLACEMENT_3D('',#448562,#363343,#363344); #331246=AXIS2_PLACEMENT_3D('',#448564,#363345,#363346); #331247=AXIS2_PLACEMENT_3D('',#448566,#363347,#363348); #331248=AXIS2_PLACEMENT_3D('',#448568,#363350,#363351); #331249=AXIS2_PLACEMENT_3D('',#448574,#363355,#363356); #331250=AXIS2_PLACEMENT_3D('',#448576,#363357,#363358); #331251=AXIS2_PLACEMENT_3D('',#448578,#363359,#363360); #331252=AXIS2_PLACEMENT_3D('',#448580,#363362,#363363); #331253=AXIS2_PLACEMENT_3D('',#448582,#363364,#363365); #331254=AXIS2_PLACEMENT_3D('',#448584,#363366,#363367); #331255=AXIS2_PLACEMENT_3D('',#448586,#363369,#363370); #331256=AXIS2_PLACEMENT_3D('',#448592,#363374,#363375); #331257=AXIS2_PLACEMENT_3D('',#448598,#363379,#363380); #331258=AXIS2_PLACEMENT_3D('',#448604,#363384,#363385); #331259=AXIS2_PLACEMENT_3D('',#448610,#363389,#363390); #331260=AXIS2_PLACEMENT_3D('',#448616,#363394,#363395); #331261=AXIS2_PLACEMENT_3D('',#448618,#363396,#363397); #331262=AXIS2_PLACEMENT_3D('',#448620,#363398,#363399); #331263=AXIS2_PLACEMENT_3D('',#448622,#363401,#363402); #331264=AXIS2_PLACEMENT_3D('',#448628,#363406,#363407); #331265=AXIS2_PLACEMENT_3D('',#448631,#363410,#363411); #331266=AXIS2_PLACEMENT_3D('',#448632,#363412,#363413); #331267=AXIS2_PLACEMENT_3D('',#448633,#363414,#363415); #331268=AXIS2_PLACEMENT_3D('',#448642,#363420,#363421); #331269=AXIS2_PLACEMENT_3D('',#448648,#363425,#363426); #331270=AXIS2_PLACEMENT_3D('',#448654,#363430,#363431); #331271=AXIS2_PLACEMENT_3D('',#448660,#363435,#363436); #331272=AXIS2_PLACEMENT_3D('',#448662,#363437,#363438); #331273=AXIS2_PLACEMENT_3D('',#448664,#363439,#363440); #331274=AXIS2_PLACEMENT_3D('',#448666,#363442,#363443); #331275=AXIS2_PLACEMENT_3D('',#448672,#363447,#363448); #331276=AXIS2_PLACEMENT_3D('',#448674,#363449,#363450); #331277=AXIS2_PLACEMENT_3D('',#448676,#363451,#363452); #331278=AXIS2_PLACEMENT_3D('',#448678,#363454,#363455); #331279=AXIS2_PLACEMENT_3D('',#448684,#363459,#363460); #331280=AXIS2_PLACEMENT_3D('',#448690,#363464,#363465); #331281=AXIS2_PLACEMENT_3D('',#448696,#363469,#363470); #331282=AXIS2_PLACEMENT_3D('',#448702,#363474,#363475); #331283=AXIS2_PLACEMENT_3D('',#448708,#363479,#363480); #331284=AXIS2_PLACEMENT_3D('',#448714,#363484,#363485); #331285=AXIS2_PLACEMENT_3D('',#448720,#363489,#363490); #331286=AXIS2_PLACEMENT_3D('',#448726,#363494,#363495); #331287=AXIS2_PLACEMENT_3D('',#448732,#363499,#363500); #331288=AXIS2_PLACEMENT_3D('',#448734,#363501,#363502); #331289=AXIS2_PLACEMENT_3D('',#448736,#363503,#363504); #331290=AXIS2_PLACEMENT_3D('',#448738,#363506,#363507); #331291=AXIS2_PLACEMENT_3D('',#448744,#363511,#363512); #331292=AXIS2_PLACEMENT_3D('',#448746,#363513,#363514); #331293=AXIS2_PLACEMENT_3D('',#448748,#363515,#363516); #331294=AXIS2_PLACEMENT_3D('',#448750,#363518,#363519); #331295=AXIS2_PLACEMENT_3D('',#448756,#363523,#363524); #331296=AXIS2_PLACEMENT_3D('',#448762,#363528,#363529); #331297=AXIS2_PLACEMENT_3D('',#448768,#363533,#363534); #331298=AXIS2_PLACEMENT_3D('',#448774,#363538,#363539); #331299=AXIS2_PLACEMENT_3D('',#448777,#363542,#363543); #331300=AXIS2_PLACEMENT_3D('',#448778,#363544,#363545); #331301=AXIS2_PLACEMENT_3D('',#448779,#363546,#363547); #331302=AXIS2_PLACEMENT_3D('',#448781,#363548,#363549); #331303=AXIS2_PLACEMENT_3D('',#448784,#363551,#363552); #331304=AXIS2_PLACEMENT_3D('',#448785,#363553,#363554); #331305=AXIS2_PLACEMENT_3D('',#448794,#363559,#363560); #331306=AXIS2_PLACEMENT_3D('',#448800,#363564,#363565); #331307=AXIS2_PLACEMENT_3D('',#448806,#363569,#363570); #331308=AXIS2_PLACEMENT_3D('',#448809,#363573,#363574); #331309=AXIS2_PLACEMENT_3D('',#448810,#363575,#363576); #331310=AXIS2_PLACEMENT_3D('',#448811,#363577,#363578); #331311=AXIS2_PLACEMENT_3D('',#448813,#363579,#363580); #331312=AXIS2_PLACEMENT_3D('',#448816,#363582,#363583); #331313=AXIS2_PLACEMENT_3D('',#448817,#363584,#363585); #331314=AXIS2_PLACEMENT_3D('',#448826,#363590,#363591); #331315=AXIS2_PLACEMENT_3D('',#448828,#363592,#363593); #331316=AXIS2_PLACEMENT_3D('',#448830,#363594,#363595); #331317=AXIS2_PLACEMENT_3D('',#448832,#363597,#363598); #331318=AXIS2_PLACEMENT_3D('',#448838,#363602,#363603); #331319=AXIS2_PLACEMENT_3D('',#448840,#363604,#363605); #331320=AXIS2_PLACEMENT_3D('',#448842,#363606,#363607); #331321=AXIS2_PLACEMENT_3D('',#448844,#363609,#363610); #331322=AXIS2_PLACEMENT_3D('',#448850,#363614,#363615); #331323=AXIS2_PLACEMENT_3D('',#448856,#363619,#363620); #331324=AXIS2_PLACEMENT_3D('',#448862,#363624,#363625); #331325=AXIS2_PLACEMENT_3D('',#448868,#363629,#363630); #331326=AXIS2_PLACEMENT_3D('',#448870,#363631,#363632); #331327=AXIS2_PLACEMENT_3D('',#448872,#363633,#363634); #331328=AXIS2_PLACEMENT_3D('',#448874,#363636,#363637); #331329=AXIS2_PLACEMENT_3D('',#448880,#363641,#363642); #331330=AXIS2_PLACEMENT_3D('',#448886,#363646,#363647); #331331=AXIS2_PLACEMENT_3D('',#448892,#363651,#363652); #331332=AXIS2_PLACEMENT_3D('',#448898,#363656,#363657); #331333=AXIS2_PLACEMENT_3D('',#448904,#363661,#363662); #331334=AXIS2_PLACEMENT_3D('',#448910,#363666,#363667); #331335=AXIS2_PLACEMENT_3D('',#448916,#363671,#363672); #331336=AXIS2_PLACEMENT_3D('',#448918,#363673,#363674); #331337=AXIS2_PLACEMENT_3D('',#448920,#363675,#363676); #331338=AXIS2_PLACEMENT_3D('',#448922,#363678,#363679); #331339=AXIS2_PLACEMENT_3D('',#448928,#363683,#363684); #331340=AXIS2_PLACEMENT_3D('',#448930,#363685,#363686); #331341=AXIS2_PLACEMENT_3D('',#448932,#363687,#363688); #331342=AXIS2_PLACEMENT_3D('',#448934,#363690,#363691); #331343=AXIS2_PLACEMENT_3D('',#448940,#363695,#363696); #331344=AXIS2_PLACEMENT_3D('',#448942,#363697,#363698); #331345=AXIS2_PLACEMENT_3D('',#448944,#363699,#363700); #331346=AXIS2_PLACEMENT_3D('',#448946,#363702,#363703); #331347=AXIS2_PLACEMENT_3D('',#448952,#363707,#363708); #331348=AXIS2_PLACEMENT_3D('',#448958,#363712,#363713); #331349=AXIS2_PLACEMENT_3D('',#448959,#363714,#363715); #331350=AXIS2_PLACEMENT_3D('',#448960,#363716,#363717); #331351=AXIS2_PLACEMENT_3D('',#448961,#363718,#363719); #331352=AXIS2_PLACEMENT_3D('',#448962,#363720,#363721); #331353=AXIS2_PLACEMENT_3D('',#448963,#363722,#363723); #331354=AXIS2_PLACEMENT_3D('',#448965,#363724,#363725); #331355=AXIS2_PLACEMENT_3D('',#448968,#363727,#363728); #331356=AXIS2_PLACEMENT_3D('',#448969,#363729,#363730); #331357=AXIS2_PLACEMENT_3D('',#448978,#363735,#363736); #331358=AXIS2_PLACEMENT_3D('',#448984,#363740,#363741); #331359=AXIS2_PLACEMENT_3D('',#448990,#363745,#363746); #331360=AXIS2_PLACEMENT_3D('',#448996,#363750,#363751); #331361=AXIS2_PLACEMENT_3D('',#449002,#363755,#363756); #331362=AXIS2_PLACEMENT_3D('',#449008,#363760,#363761); #331363=AXIS2_PLACEMENT_3D('',#449014,#363765,#363766); #331364=AXIS2_PLACEMENT_3D('',#449016,#363767,#363768); #331365=AXIS2_PLACEMENT_3D('',#449018,#363769,#363770); #331366=AXIS2_PLACEMENT_3D('',#449020,#363772,#363773); #331367=AXIS2_PLACEMENT_3D('',#449026,#363777,#363778); #331368=AXIS2_PLACEMENT_3D('',#449028,#363779,#363780); #331369=AXIS2_PLACEMENT_3D('',#449030,#363781,#363782); #331370=AXIS2_PLACEMENT_3D('',#449032,#363784,#363785); #331371=AXIS2_PLACEMENT_3D('',#449034,#363786,#363787); #331372=AXIS2_PLACEMENT_3D('',#449036,#363788,#363789); #331373=AXIS2_PLACEMENT_3D('',#449038,#363791,#363792); #331374=AXIS2_PLACEMENT_3D('',#449044,#363796,#363797); #331375=AXIS2_PLACEMENT_3D('',#449050,#363801,#363802); #331376=AXIS2_PLACEMENT_3D('',#449052,#363803,#363804); #331377=AXIS2_PLACEMENT_3D('',#449054,#363805,#363806); #331378=AXIS2_PLACEMENT_3D('',#449056,#363808,#363809); #331379=AXIS2_PLACEMENT_3D('',#449059,#363812,#363813); #331380=AXIS2_PLACEMENT_3D('',#449060,#363814,#363815); #331381=AXIS2_PLACEMENT_3D('',#449061,#363816,#363817); #331382=AXIS2_PLACEMENT_3D('',#449063,#363818,#363819); #331383=AXIS2_PLACEMENT_3D('',#449066,#363821,#363822); #331384=AXIS2_PLACEMENT_3D('',#449067,#363823,#363824); #331385=AXIS2_PLACEMENT_3D('',#449076,#363829,#363830); #331386=AXIS2_PLACEMENT_3D('',#449082,#363834,#363835); #331387=AXIS2_PLACEMENT_3D('',#449088,#363839,#363840); #331388=AXIS2_PLACEMENT_3D('',#449094,#363844,#363845); #331389=AXIS2_PLACEMENT_3D('',#449100,#363849,#363850); #331390=AXIS2_PLACEMENT_3D('',#449106,#363854,#363855); #331391=AXIS2_PLACEMENT_3D('',#449112,#363859,#363860); #331392=AXIS2_PLACEMENT_3D('',#449118,#363864,#363865); #331393=AXIS2_PLACEMENT_3D('',#449124,#363869,#363870); #331394=AXIS2_PLACEMENT_3D('',#449130,#363874,#363875); #331395=AXIS2_PLACEMENT_3D('',#449132,#363876,#363877); #331396=AXIS2_PLACEMENT_3D('',#449134,#363878,#363879); #331397=AXIS2_PLACEMENT_3D('',#449136,#363881,#363882); #331398=AXIS2_PLACEMENT_3D('',#449142,#363886,#363887); #331399=AXIS2_PLACEMENT_3D('',#449144,#363888,#363889); #331400=AXIS2_PLACEMENT_3D('',#449146,#363890,#363891); #331401=AXIS2_PLACEMENT_3D('',#449148,#363893,#363894); #331402=AXIS2_PLACEMENT_3D('',#449154,#363898,#363899); #331403=AXIS2_PLACEMENT_3D('',#449160,#363903,#363904); #331404=AXIS2_PLACEMENT_3D('',#449166,#363908,#363909); #331405=AXIS2_PLACEMENT_3D('',#449168,#363910,#363911); #331406=AXIS2_PLACEMENT_3D('',#449170,#363912,#363913); #331407=AXIS2_PLACEMENT_3D('',#449172,#363915,#363916); #331408=AXIS2_PLACEMENT_3D('',#449178,#363920,#363921); #331409=AXIS2_PLACEMENT_3D('',#449180,#363922,#363923); #331410=AXIS2_PLACEMENT_3D('',#449182,#363924,#363925); #331411=AXIS2_PLACEMENT_3D('',#449184,#363927,#363928); #331412=AXIS2_PLACEMENT_3D('',#449190,#363932,#363933); #331413=AXIS2_PLACEMENT_3D('',#449196,#363937,#363938); #331414=AXIS2_PLACEMENT_3D('',#449202,#363942,#363943); #331415=AXIS2_PLACEMENT_3D('',#449204,#363944,#363945); #331416=AXIS2_PLACEMENT_3D('',#449206,#363946,#363947); #331417=AXIS2_PLACEMENT_3D('',#449208,#363949,#363950); #331418=AXIS2_PLACEMENT_3D('',#449210,#363951,#363952); #331419=AXIS2_PLACEMENT_3D('',#449212,#363953,#363954); #331420=AXIS2_PLACEMENT_3D('',#449214,#363956,#363957); #331421=AXIS2_PLACEMENT_3D('',#449220,#363961,#363962); #331422=AXIS2_PLACEMENT_3D('',#449222,#363963,#363964); #331423=AXIS2_PLACEMENT_3D('',#449224,#363965,#363966); #331424=AXIS2_PLACEMENT_3D('',#449226,#363968,#363969); #331425=AXIS2_PLACEMENT_3D('',#449232,#363973,#363974); #331426=AXIS2_PLACEMENT_3D('',#449234,#363975,#363976); #331427=AXIS2_PLACEMENT_3D('',#449236,#363977,#363978); #331428=AXIS2_PLACEMENT_3D('',#449238,#363980,#363981); #331429=AXIS2_PLACEMENT_3D('',#449244,#363985,#363986); #331430=AXIS2_PLACEMENT_3D('',#449250,#363990,#363991); #331431=AXIS2_PLACEMENT_3D('',#449256,#363995,#363996); #331432=AXIS2_PLACEMENT_3D('',#449258,#363997,#363998); #331433=AXIS2_PLACEMENT_3D('',#449260,#363999,#364000); #331434=AXIS2_PLACEMENT_3D('',#449262,#364002,#364003); #331435=AXIS2_PLACEMENT_3D('',#449268,#364007,#364008); #331436=AXIS2_PLACEMENT_3D('',#449270,#364009,#364010); #331437=AXIS2_PLACEMENT_3D('',#449272,#364011,#364012); #331438=AXIS2_PLACEMENT_3D('',#449274,#364014,#364015); #331439=AXIS2_PLACEMENT_3D('',#449280,#364019,#364020); #331440=AXIS2_PLACEMENT_3D('',#449286,#364024,#364025); #331441=AXIS2_PLACEMENT_3D('',#449292,#364029,#364030); #331442=AXIS2_PLACEMENT_3D('',#449298,#364034,#364035); #331443=AXIS2_PLACEMENT_3D('',#449304,#364039,#364040); #331444=AXIS2_PLACEMENT_3D('',#449310,#364044,#364045); #331445=AXIS2_PLACEMENT_3D('',#449316,#364049,#364050); #331446=AXIS2_PLACEMENT_3D('',#449322,#364054,#364055); #331447=AXIS2_PLACEMENT_3D('',#449325,#364058,#364059); #331448=AXIS2_PLACEMENT_3D('',#449326,#364060,#364061); #331449=AXIS2_PLACEMENT_3D('',#449327,#364062,#364063); #331450=AXIS2_PLACEMENT_3D('',#449329,#364064,#364065); #331451=AXIS2_PLACEMENT_3D('',#449332,#364067,#364068); #331452=AXIS2_PLACEMENT_3D('',#449333,#364069,#364070); #331453=AXIS2_PLACEMENT_3D('',#449342,#364075,#364076); #331454=AXIS2_PLACEMENT_3D('',#449348,#364080,#364081); #331455=AXIS2_PLACEMENT_3D('',#449354,#364085,#364086); #331456=AXIS2_PLACEMENT_3D('',#449360,#364090,#364091); #331457=AXIS2_PLACEMENT_3D('',#449366,#364095,#364096); #331458=AXIS2_PLACEMENT_3D('',#449368,#364097,#364098); #331459=AXIS2_PLACEMENT_3D('',#449370,#364099,#364100); #331460=AXIS2_PLACEMENT_3D('',#449372,#364102,#364103); #331461=AXIS2_PLACEMENT_3D('',#449378,#364107,#364108); #331462=AXIS2_PLACEMENT_3D('',#449384,#364112,#364113); #331463=AXIS2_PLACEMENT_3D('',#449386,#364114,#364115); #331464=AXIS2_PLACEMENT_3D('',#449388,#364116,#364117); #331465=AXIS2_PLACEMENT_3D('',#449390,#364119,#364120); #331466=AXIS2_PLACEMENT_3D('',#449396,#364124,#364125); #331467=AXIS2_PLACEMENT_3D('',#449402,#364129,#364130); #331468=AXIS2_PLACEMENT_3D('',#449404,#364131,#364132); #331469=AXIS2_PLACEMENT_3D('',#449406,#364133,#364134); #331470=AXIS2_PLACEMENT_3D('',#449408,#364136,#364137); #331471=AXIS2_PLACEMENT_3D('',#449410,#364138,#364139); #331472=AXIS2_PLACEMENT_3D('',#449412,#364140,#364141); #331473=AXIS2_PLACEMENT_3D('',#449414,#364143,#364144); #331474=AXIS2_PLACEMENT_3D('',#449420,#364148,#364149); #331475=AXIS2_PLACEMENT_3D('',#449422,#364150,#364151); #331476=AXIS2_PLACEMENT_3D('',#449424,#364152,#364153); #331477=AXIS2_PLACEMENT_3D('',#449426,#364155,#364156); #331478=AXIS2_PLACEMENT_3D('',#449432,#364160,#364161); #331479=AXIS2_PLACEMENT_3D('',#449438,#364165,#364166); #331480=AXIS2_PLACEMENT_3D('',#449440,#364167,#364168); #331481=AXIS2_PLACEMENT_3D('',#449442,#364169,#364170); #331482=AXIS2_PLACEMENT_3D('',#449444,#364172,#364173); #331483=AXIS2_PLACEMENT_3D('',#449450,#364177,#364178); #331484=AXIS2_PLACEMENT_3D('',#449456,#364182,#364183); #331485=AXIS2_PLACEMENT_3D('',#449459,#364186,#364187); #331486=AXIS2_PLACEMENT_3D('',#449460,#364188,#364189); #331487=AXIS2_PLACEMENT_3D('',#449461,#364190,#364191); #331488=AXIS2_PLACEMENT_3D('',#449463,#364192,#364193); #331489=AXIS2_PLACEMENT_3D('',#449466,#364195,#364196); #331490=AXIS2_PLACEMENT_3D('',#449467,#364197,#364198); #331491=AXIS2_PLACEMENT_3D('',#449476,#364203,#364204); #331492=AXIS2_PLACEMENT_3D('',#449482,#364208,#364209); #331493=AXIS2_PLACEMENT_3D('',#449488,#364213,#364214); #331494=AXIS2_PLACEMENT_3D('',#449491,#364217,#364218); #331495=AXIS2_PLACEMENT_3D('',#449492,#364219,#364220); #331496=AXIS2_PLACEMENT_3D('',#449493,#364221,#364222); #331497=AXIS2_PLACEMENT_3D('',#449495,#364223,#364224); #331498=AXIS2_PLACEMENT_3D('',#449498,#364226,#364227); #331499=AXIS2_PLACEMENT_3D('',#449499,#364228,#364229); #331500=AXIS2_PLACEMENT_3D('',#449508,#364234,#364235); #331501=AXIS2_PLACEMENT_3D('',#449514,#364239,#364240); #331502=AXIS2_PLACEMENT_3D('',#449520,#364244,#364245); #331503=AXIS2_PLACEMENT_3D('',#449523,#364248,#364249); #331504=AXIS2_PLACEMENT_3D('',#449524,#364250,#364251); #331505=AXIS2_PLACEMENT_3D('',#449525,#364252,#364253); #331506=AXIS2_PLACEMENT_3D('',#449527,#364254,#364255); #331507=AXIS2_PLACEMENT_3D('',#449530,#364257,#364258); #331508=AXIS2_PLACEMENT_3D('',#449531,#364259,#364260); #331509=AXIS2_PLACEMENT_3D('',#449540,#364265,#364266); #331510=AXIS2_PLACEMENT_3D('',#449542,#364267,#364268); #331511=AXIS2_PLACEMENT_3D('',#449544,#364269,#364270); #331512=AXIS2_PLACEMENT_3D('',#449546,#364272,#364273); #331513=AXIS2_PLACEMENT_3D('',#449552,#364277,#364278); #331514=AXIS2_PLACEMENT_3D('',#449554,#364279,#364280); #331515=AXIS2_PLACEMENT_3D('',#449556,#364281,#364282); #331516=AXIS2_PLACEMENT_3D('',#449558,#364284,#364285); #331517=AXIS2_PLACEMENT_3D('',#449564,#364289,#364290); #331518=AXIS2_PLACEMENT_3D('',#449570,#364294,#364295); #331519=AXIS2_PLACEMENT_3D('',#449576,#364299,#364300); #331520=AXIS2_PLACEMENT_3D('',#449582,#364304,#364305); #331521=AXIS2_PLACEMENT_3D('',#449588,#364309,#364310); #331522=AXIS2_PLACEMENT_3D('',#449594,#364314,#364315); #331523=AXIS2_PLACEMENT_3D('',#449600,#364319,#364320); #331524=AXIS2_PLACEMENT_3D('',#449606,#364324,#364325); #331525=AXIS2_PLACEMENT_3D('',#449612,#364329,#364330); #331526=AXIS2_PLACEMENT_3D('',#449613,#364331,#364332); #331527=AXIS2_PLACEMENT_3D('',#449614,#364333,#364334); #331528=AXIS2_PLACEMENT_3D('',#449615,#364335,#364336); #331529=AXIS2_PLACEMENT_3D('',#449616,#364337,#364338); #331530=AXIS2_PLACEMENT_3D('',#449617,#364339,#364340); #331531=AXIS2_PLACEMENT_3D('',#449619,#364341,#364342); #331532=AXIS2_PLACEMENT_3D('',#449622,#364344,#364345); #331533=AXIS2_PLACEMENT_3D('',#449623,#364346,#364347); #331534=AXIS2_PLACEMENT_3D('',#449632,#364352,#364353); #331535=AXIS2_PLACEMENT_3D('',#449634,#364354,#364355); #331536=AXIS2_PLACEMENT_3D('',#449636,#364356,#364357); #331537=AXIS2_PLACEMENT_3D('',#449638,#364359,#364360); #331538=AXIS2_PLACEMENT_3D('',#449639,#364361,#364362); #331539=AXIS2_PLACEMENT_3D('',#449640,#364363,#364364); #331540=AXIS2_PLACEMENT_3D('',#449641,#364365,#364366); #331541=AXIS2_PLACEMENT_3D('',#449642,#364367,#364368); #331542=AXIS2_PLACEMENT_3D('',#449643,#364369,#364370); #331543=AXIS2_PLACEMENT_3D('',#449645,#364371,#364372); #331544=AXIS2_PLACEMENT_3D('',#449648,#364374,#364375); #331545=AXIS2_PLACEMENT_3D('',#449649,#364376,#364377); #331546=AXIS2_PLACEMENT_3D('',#449651,#364378,#364379); #331547=AXIS2_PLACEMENT_3D('',#449654,#364381,#364382); #331548=AXIS2_PLACEMENT_3D('',#449655,#364383,#364384); #331549=AXIS2_PLACEMENT_3D('',#449664,#364389,#364390); #331550=AXIS2_PLACEMENT_3D('',#449666,#364391,#364392); #331551=AXIS2_PLACEMENT_3D('',#449668,#364393,#364394); #331552=AXIS2_PLACEMENT_3D('',#449670,#364396,#364397); #331553=AXIS2_PLACEMENT_3D('',#449676,#364401,#364402); #331554=AXIS2_PLACEMENT_3D('',#449678,#364403,#364404); #331555=AXIS2_PLACEMENT_3D('',#449680,#364405,#364406); #331556=AXIS2_PLACEMENT_3D('',#449682,#364408,#364409); #331557=AXIS2_PLACEMENT_3D('',#449684,#364410,#364411); #331558=AXIS2_PLACEMENT_3D('',#449686,#364412,#364413); #331559=AXIS2_PLACEMENT_3D('',#449688,#364415,#364416); #331560=AXIS2_PLACEMENT_3D('',#449694,#364420,#364421); #331561=AXIS2_PLACEMENT_3D('',#449695,#364422,#364423); #331562=AXIS2_PLACEMENT_3D('',#449696,#364424,#364425); #331563=AXIS2_PLACEMENT_3D('',#449697,#364426,#364427); #331564=AXIS2_PLACEMENT_3D('',#449698,#364428,#364429); #331565=AXIS2_PLACEMENT_3D('',#449699,#364430,#364431); #331566=AXIS2_PLACEMENT_3D('',#449701,#364432,#364433); #331567=AXIS2_PLACEMENT_3D('',#449704,#364435,#364436); #331568=AXIS2_PLACEMENT_3D('',#449705,#364437,#364438); #331569=AXIS2_PLACEMENT_3D('',#449714,#364443,#364444); #331570=AXIS2_PLACEMENT_3D('',#449716,#364445,#364446); #331571=AXIS2_PLACEMENT_3D('',#449718,#364447,#364448); #331572=AXIS2_PLACEMENT_3D('',#449720,#364450,#364451); #331573=AXIS2_PLACEMENT_3D('',#449721,#364452,#364453); #331574=AXIS2_PLACEMENT_3D('',#449722,#364454,#364455); #331575=AXIS2_PLACEMENT_3D('',#449723,#364456,#364457); #331576=AXIS2_PLACEMENT_3D('',#449724,#364458,#364459); #331577=AXIS2_PLACEMENT_3D('',#449725,#364460,#364461); #331578=AXIS2_PLACEMENT_3D('',#449727,#364462,#364463); #331579=AXIS2_PLACEMENT_3D('',#449730,#364465,#364466); #331580=AXIS2_PLACEMENT_3D('',#449731,#364467,#364468); #331581=AXIS2_PLACEMENT_3D('',#449740,#364473,#364474); #331582=AXIS2_PLACEMENT_3D('',#449746,#364478,#364479); #331583=AXIS2_PLACEMENT_3D('',#449752,#364483,#364484); #331584=AXIS2_PLACEMENT_3D('',#449755,#364487,#364488); #331585=AXIS2_PLACEMENT_3D('',#449756,#364489,#364490); #331586=AXIS2_PLACEMENT_3D('',#449757,#364491,#364492); #331587=AXIS2_PLACEMENT_3D('',#449759,#364493,#364494); #331588=AXIS2_PLACEMENT_3D('',#449762,#364496,#364497); #331589=AXIS2_PLACEMENT_3D('',#449763,#364498,#364499); #331590=AXIS2_PLACEMENT_3D('',#449772,#364504,#364505); #331591=AXIS2_PLACEMENT_3D('',#449778,#364509,#364510); #331592=AXIS2_PLACEMENT_3D('',#449784,#364514,#364515); #331593=AXIS2_PLACEMENT_3D('',#449790,#364519,#364520); #331594=AXIS2_PLACEMENT_3D('',#449796,#364524,#364525); #331595=AXIS2_PLACEMENT_3D('',#449802,#364529,#364530); #331596=AXIS2_PLACEMENT_3D('',#449808,#364534,#364535); #331597=AXIS2_PLACEMENT_3D('',#449814,#364539,#364540); #331598=AXIS2_PLACEMENT_3D('',#449820,#364544,#364545); #331599=AXIS2_PLACEMENT_3D('',#449826,#364549,#364550); #331600=AXIS2_PLACEMENT_3D('',#449832,#364554,#364555); #331601=AXIS2_PLACEMENT_3D('',#449838,#364559,#364560); #331602=AXIS2_PLACEMENT_3D('',#449840,#364561,#364562); #331603=AXIS2_PLACEMENT_3D('',#449842,#364563,#364564); #331604=AXIS2_PLACEMENT_3D('',#449844,#364566,#364567); #331605=AXIS2_PLACEMENT_3D('',#449850,#364571,#364572); #331606=AXIS2_PLACEMENT_3D('',#449852,#364573,#364574); #331607=AXIS2_PLACEMENT_3D('',#449854,#364575,#364576); #331608=AXIS2_PLACEMENT_3D('',#449856,#364578,#364579); #331609=AXIS2_PLACEMENT_3D('',#449862,#364583,#364584); #331610=AXIS2_PLACEMENT_3D('',#449868,#364588,#364589); #331611=AXIS2_PLACEMENT_3D('',#449874,#364593,#364594); #331612=AXIS2_PLACEMENT_3D('',#449876,#364595,#364596); #331613=AXIS2_PLACEMENT_3D('',#449878,#364597,#364598); #331614=AXIS2_PLACEMENT_3D('',#449880,#364600,#364601); #331615=AXIS2_PLACEMENT_3D('',#449886,#364605,#364606); #331616=AXIS2_PLACEMENT_3D('',#449888,#364607,#364608); #331617=AXIS2_PLACEMENT_3D('',#449890,#364609,#364610); #331618=AXIS2_PLACEMENT_3D('',#449892,#364612,#364613); #331619=AXIS2_PLACEMENT_3D('',#449898,#364617,#364618); #331620=AXIS2_PLACEMENT_3D('',#449900,#364619,#364620); #331621=AXIS2_PLACEMENT_3D('',#449902,#364621,#364622); #331622=AXIS2_PLACEMENT_3D('',#449904,#364624,#364625); #331623=AXIS2_PLACEMENT_3D('',#449906,#364626,#364627); #331624=AXIS2_PLACEMENT_3D('',#449908,#364628,#364629); #331625=AXIS2_PLACEMENT_3D('',#449910,#364631,#364632); #331626=AXIS2_PLACEMENT_3D('',#449916,#364636,#364637); #331627=AXIS2_PLACEMENT_3D('',#449922,#364641,#364642); #331628=AXIS2_PLACEMENT_3D('',#449928,#364646,#364647); #331629=AXIS2_PLACEMENT_3D('',#449930,#364648,#364649); #331630=AXIS2_PLACEMENT_3D('',#449932,#364650,#364651); #331631=AXIS2_PLACEMENT_3D('',#449934,#364653,#364654); #331632=AXIS2_PLACEMENT_3D('',#449940,#364658,#364659); #331633=AXIS2_PLACEMENT_3D('',#449942,#364660,#364661); #331634=AXIS2_PLACEMENT_3D('',#449944,#364662,#364663); #331635=AXIS2_PLACEMENT_3D('',#449946,#364665,#364666); #331636=AXIS2_PLACEMENT_3D('',#449952,#364670,#364671); #331637=AXIS2_PLACEMENT_3D('',#449958,#364675,#364676); #331638=AXIS2_PLACEMENT_3D('',#449964,#364680,#364681); #331639=AXIS2_PLACEMENT_3D('',#449966,#364682,#364683); #331640=AXIS2_PLACEMENT_3D('',#449968,#364684,#364685); #331641=AXIS2_PLACEMENT_3D('',#449970,#364687,#364688); #331642=AXIS2_PLACEMENT_3D('',#449976,#364692,#364693); #331643=AXIS2_PLACEMENT_3D('',#449978,#364694,#364695); #331644=AXIS2_PLACEMENT_3D('',#449980,#364696,#364697); #331645=AXIS2_PLACEMENT_3D('',#449982,#364699,#364700); #331646=AXIS2_PLACEMENT_3D('',#449988,#364704,#364705); #331647=AXIS2_PLACEMENT_3D('',#449994,#364709,#364710); #331648=AXIS2_PLACEMENT_3D('',#450000,#364714,#364715); #331649=AXIS2_PLACEMENT_3D('',#450006,#364719,#364720); #331650=AXIS2_PLACEMENT_3D('',#450012,#364724,#364725); #331651=AXIS2_PLACEMENT_3D('',#450018,#364729,#364730); #331652=AXIS2_PLACEMENT_3D('',#450021,#364733,#364734); #331653=AXIS2_PLACEMENT_3D('',#450022,#364735,#364736); #331654=AXIS2_PLACEMENT_3D('',#450023,#364737,#364738); #331655=AXIS2_PLACEMENT_3D('',#450025,#364739,#364740); #331656=AXIS2_PLACEMENT_3D('',#450028,#364742,#364743); #331657=AXIS2_PLACEMENT_3D('',#450029,#364744,#364745); #331658=AXIS2_PLACEMENT_3D('',#450038,#364750,#364751); #331659=AXIS2_PLACEMENT_3D('',#450044,#364755,#364756); #331660=AXIS2_PLACEMENT_3D('',#450050,#364760,#364761); #331661=AXIS2_PLACEMENT_3D('',#450056,#364765,#364766); #331662=AXIS2_PLACEMENT_3D('',#450058,#364767,#364768); #331663=AXIS2_PLACEMENT_3D('',#450060,#364769,#364770); #331664=AXIS2_PLACEMENT_3D('',#450062,#364772,#364773); #331665=AXIS2_PLACEMENT_3D('',#450068,#364777,#364778); #331666=AXIS2_PLACEMENT_3D('',#450070,#364779,#364780); #331667=AXIS2_PLACEMENT_3D('',#450072,#364781,#364782); #331668=AXIS2_PLACEMENT_3D('',#450074,#364784,#364785); #331669=AXIS2_PLACEMENT_3D('',#450080,#364789,#364790); #331670=AXIS2_PLACEMENT_3D('',#450086,#364794,#364795); #331671=AXIS2_PLACEMENT_3D('',#450088,#364796,#364797); #331672=AXIS2_PLACEMENT_3D('',#450090,#364798,#364799); #331673=AXIS2_PLACEMENT_3D('',#450092,#364801,#364802); #331674=AXIS2_PLACEMENT_3D('',#450098,#364806,#364807); #331675=AXIS2_PLACEMENT_3D('',#450100,#364808,#364809); #331676=AXIS2_PLACEMENT_3D('',#450102,#364810,#364811); #331677=AXIS2_PLACEMENT_3D('',#450104,#364813,#364814); #331678=AXIS2_PLACEMENT_3D('',#450106,#364815,#364816); #331679=AXIS2_PLACEMENT_3D('',#450108,#364817,#364818); #331680=AXIS2_PLACEMENT_3D('',#450110,#364820,#364821); #331681=AXIS2_PLACEMENT_3D('',#450116,#364825,#364826); #331682=AXIS2_PLACEMENT_3D('',#450122,#364830,#364831); #331683=AXIS2_PLACEMENT_3D('',#450124,#364832,#364833); #331684=AXIS2_PLACEMENT_3D('',#450126,#364834,#364835); #331685=AXIS2_PLACEMENT_3D('',#450128,#364837,#364838); #331686=AXIS2_PLACEMENT_3D('',#450134,#364842,#364843); #331687=AXIS2_PLACEMENT_3D('',#450140,#364847,#364848); #331688=AXIS2_PLACEMENT_3D('',#450146,#364852,#364853); #331689=AXIS2_PLACEMENT_3D('',#450152,#364857,#364858); #331690=AXIS2_PLACEMENT_3D('',#450155,#364861,#364862); #331691=AXIS2_PLACEMENT_3D('',#450156,#364863,#364864); #331692=AXIS2_PLACEMENT_3D('',#450157,#364865,#364866); #331693=AXIS2_PLACEMENT_3D('',#450166,#364871,#364872); #331694=AXIS2_PLACEMENT_3D('',#450172,#364876,#364877); #331695=AXIS2_PLACEMENT_3D('',#450178,#364881,#364882); #331696=AXIS2_PLACEMENT_3D('',#450184,#364886,#364887); #331697=AXIS2_PLACEMENT_3D('',#450190,#364891,#364892); #331698=AXIS2_PLACEMENT_3D('',#450196,#364896,#364897); #331699=AXIS2_PLACEMENT_3D('',#450202,#364901,#364902); #331700=AXIS2_PLACEMENT_3D('',#450208,#364906,#364907); #331701=AXIS2_PLACEMENT_3D('',#450214,#364911,#364912); #331702=AXIS2_PLACEMENT_3D('',#450220,#364916,#364917); #331703=AXIS2_PLACEMENT_3D('',#450226,#364921,#364922); #331704=AXIS2_PLACEMENT_3D('',#450229,#364925,#364926); #331705=AXIS2_PLACEMENT_3D('',#450230,#364927,#364928); #331706=AXIS2_PLACEMENT_3D('',#450231,#364929,#364930); #331707=AXIS2_PLACEMENT_3D('',#450240,#364935,#364936); #331708=AXIS2_PLACEMENT_3D('',#450246,#364940,#364941); #331709=AXIS2_PLACEMENT_3D('',#450252,#364945,#364946); #331710=AXIS2_PLACEMENT_3D('',#450258,#364950,#364951); #331711=AXIS2_PLACEMENT_3D('',#450264,#364955,#364956); #331712=AXIS2_PLACEMENT_3D('',#450270,#364960,#364961); #331713=AXIS2_PLACEMENT_3D('',#450276,#364965,#364966); #331714=AXIS2_PLACEMENT_3D('',#450282,#364970,#364971); #331715=AXIS2_PLACEMENT_3D('',#450288,#364975,#364976); #331716=AXIS2_PLACEMENT_3D('',#450294,#364980,#364981); #331717=AXIS2_PLACEMENT_3D('',#450300,#364985,#364986); #331718=AXIS2_PLACEMENT_3D('',#450303,#364989,#364990); #331719=AXIS2_PLACEMENT_3D('',#450304,#364991,#364992); #331720=AXIS2_PLACEMENT_3D('',#450305,#364993,#364994); #331721=AXIS2_PLACEMENT_3D('',#450307,#364995,#364996); #331722=AXIS2_PLACEMENT_3D('',#450310,#364998,#364999); #331723=AXIS2_PLACEMENT_3D('',#450311,#365000,#365001); #331724=AXIS2_PLACEMENT_3D('',#450320,#365006,#365007); #331725=AXIS2_PLACEMENT_3D('',#450326,#365011,#365012); #331726=AXIS2_PLACEMENT_3D('',#450332,#365016,#365017); #331727=AXIS2_PLACEMENT_3D('',#450338,#365021,#365022); #331728=AXIS2_PLACEMENT_3D('',#450340,#365023,#365024); #331729=AXIS2_PLACEMENT_3D('',#450342,#365025,#365026); #331730=AXIS2_PLACEMENT_3D('',#450344,#365028,#365029); #331731=AXIS2_PLACEMENT_3D('',#450350,#365033,#365034); #331732=AXIS2_PLACEMENT_3D('',#450352,#365035,#365036); #331733=AXIS2_PLACEMENT_3D('',#450354,#365037,#365038); #331734=AXIS2_PLACEMENT_3D('',#450356,#365040,#365041); #331735=AXIS2_PLACEMENT_3D('',#450362,#365045,#365046); #331736=AXIS2_PLACEMENT_3D('',#450364,#365047,#365048); #331737=AXIS2_PLACEMENT_3D('',#450366,#365049,#365050); #331738=AXIS2_PLACEMENT_3D('',#450368,#365052,#365053); #331739=AXIS2_PLACEMENT_3D('',#450374,#365057,#365058); #331740=AXIS2_PLACEMENT_3D('',#450376,#365059,#365060); #331741=AXIS2_PLACEMENT_3D('',#450378,#365061,#365062); #331742=AXIS2_PLACEMENT_3D('',#450380,#365064,#365065); #331743=AXIS2_PLACEMENT_3D('',#450382,#365066,#365067); #331744=AXIS2_PLACEMENT_3D('',#450384,#365068,#365069); #331745=AXIS2_PLACEMENT_3D('',#450386,#365071,#365072); #331746=AXIS2_PLACEMENT_3D('',#450392,#365076,#365077); #331747=AXIS2_PLACEMENT_3D('',#450398,#365081,#365082); #331748=AXIS2_PLACEMENT_3D('',#450404,#365086,#365087); #331749=AXIS2_PLACEMENT_3D('',#450410,#365091,#365092); #331750=AXIS2_PLACEMENT_3D('',#450413,#365095,#365096); #331751=AXIS2_PLACEMENT_3D('',#450414,#365097,#365098); #331752=AXIS2_PLACEMENT_3D('',#450415,#365099,#365100); #331753=AXIS2_PLACEMENT_3D('',#450417,#365101,#365102); #331754=AXIS2_PLACEMENT_3D('',#450420,#365104,#365105); #331755=AXIS2_PLACEMENT_3D('',#450421,#365106,#365107); #331756=AXIS2_PLACEMENT_3D('',#450430,#365112,#365113); #331757=AXIS2_PLACEMENT_3D('',#450432,#365114,#365115); #331758=AXIS2_PLACEMENT_3D('',#450434,#365116,#365117); #331759=AXIS2_PLACEMENT_3D('',#450436,#365119,#365120); #331760=AXIS2_PLACEMENT_3D('',#450442,#365124,#365125); #331761=AXIS2_PLACEMENT_3D('',#450444,#365126,#365127); #331762=AXIS2_PLACEMENT_3D('',#450446,#365128,#365129); #331763=AXIS2_PLACEMENT_3D('',#450448,#365131,#365132); #331764=AXIS2_PLACEMENT_3D('',#450454,#365136,#365137); #331765=AXIS2_PLACEMENT_3D('',#450456,#365138,#365139); #331766=AXIS2_PLACEMENT_3D('',#450458,#365140,#365141); #331767=AXIS2_PLACEMENT_3D('',#450460,#365143,#365144); #331768=AXIS2_PLACEMENT_3D('',#450466,#365148,#365149); #331769=AXIS2_PLACEMENT_3D('',#450472,#365153,#365154); #331770=AXIS2_PLACEMENT_3D('',#450478,#365158,#365159); #331771=AXIS2_PLACEMENT_3D('',#450484,#365163,#365164); #331772=AXIS2_PLACEMENT_3D('',#450490,#365168,#365169); #331773=AXIS2_PLACEMENT_3D('',#450496,#365173,#365174); #331774=AXIS2_PLACEMENT_3D('',#450502,#365178,#365179); #331775=AXIS2_PLACEMENT_3D('',#450508,#365183,#365184); #331776=AXIS2_PLACEMENT_3D('',#450514,#365188,#365189); #331777=AXIS2_PLACEMENT_3D('',#450516,#365190,#365191); #331778=AXIS2_PLACEMENT_3D('',#450518,#365192,#365193); #331779=AXIS2_PLACEMENT_3D('',#450520,#365195,#365196); #331780=AXIS2_PLACEMENT_3D('',#450526,#365200,#365201); #331781=AXIS2_PLACEMENT_3D('',#450528,#365202,#365203); #331782=AXIS2_PLACEMENT_3D('',#450530,#365204,#365205); #331783=AXIS2_PLACEMENT_3D('',#450532,#365207,#365208); #331784=AXIS2_PLACEMENT_3D('',#450538,#365212,#365213); #331785=AXIS2_PLACEMENT_3D('',#450544,#365217,#365218); #331786=AXIS2_PLACEMENT_3D('',#450550,#365222,#365223); #331787=AXIS2_PLACEMENT_3D('',#450551,#365224,#365225); #331788=AXIS2_PLACEMENT_3D('',#450552,#365226,#365227); #331789=AXIS2_PLACEMENT_3D('',#450553,#365228,#365229); #331790=AXIS2_PLACEMENT_3D('',#450554,#365230,#365231); #331791=AXIS2_PLACEMENT_3D('',#450555,#365232,#365233); #331792=AXIS2_PLACEMENT_3D('',#450557,#365234,#365235); #331793=AXIS2_PLACEMENT_3D('',#450560,#365237,#365238); #331794=AXIS2_PLACEMENT_3D('',#450561,#365239,#365240); #331795=AXIS2_PLACEMENT_3D('',#450570,#365245,#365246); #331796=AXIS2_PLACEMENT_3D('',#450572,#365247,#365248); #331797=AXIS2_PLACEMENT_3D('',#450574,#365249,#365250); #331798=AXIS2_PLACEMENT_3D('',#450576,#365252,#365253); #331799=AXIS2_PLACEMENT_3D('',#450578,#365254,#365255); #331800=AXIS2_PLACEMENT_3D('',#450580,#365256,#365257); #331801=AXIS2_PLACEMENT_3D('',#450582,#365259,#365260); #331802=AXIS2_PLACEMENT_3D('',#450588,#365264,#365265); #331803=AXIS2_PLACEMENT_3D('',#450594,#365269,#365270); #331804=AXIS2_PLACEMENT_3D('',#450600,#365274,#365275); #331805=AXIS2_PLACEMENT_3D('',#450606,#365279,#365280); #331806=AXIS2_PLACEMENT_3D('',#450612,#365284,#365285); #331807=AXIS2_PLACEMENT_3D('',#450618,#365289,#365290); #331808=AXIS2_PLACEMENT_3D('',#450620,#365291,#365292); #331809=AXIS2_PLACEMENT_3D('',#450622,#365293,#365294); #331810=AXIS2_PLACEMENT_3D('',#450624,#365296,#365297); #331811=AXIS2_PLACEMENT_3D('',#450630,#365301,#365302); #331812=AXIS2_PLACEMENT_3D('',#450631,#365303,#365304); #331813=AXIS2_PLACEMENT_3D('',#450632,#365305,#365306); #331814=AXIS2_PLACEMENT_3D('',#450633,#365307,#365308); #331815=AXIS2_PLACEMENT_3D('',#450634,#365309,#365310); #331816=AXIS2_PLACEMENT_3D('',#450635,#365311,#365312); #331817=AXIS2_PLACEMENT_3D('',#450644,#365317,#365318); #331818=AXIS2_PLACEMENT_3D('',#450650,#365322,#365323); #331819=AXIS2_PLACEMENT_3D('',#450656,#365327,#365328); #331820=AXIS2_PLACEMENT_3D('',#450662,#365332,#365333); #331821=AXIS2_PLACEMENT_3D('',#450664,#365334,#365335); #331822=AXIS2_PLACEMENT_3D('',#450666,#365336,#365337); #331823=AXIS2_PLACEMENT_3D('',#450668,#365339,#365340); #331824=AXIS2_PLACEMENT_3D('',#450674,#365344,#365345); #331825=AXIS2_PLACEMENT_3D('',#450676,#365346,#365347); #331826=AXIS2_PLACEMENT_3D('',#450678,#365348,#365349); #331827=AXIS2_PLACEMENT_3D('',#450680,#365351,#365352); #331828=AXIS2_PLACEMENT_3D('',#450686,#365356,#365357); #331829=AXIS2_PLACEMENT_3D('',#450692,#365361,#365362); #331830=AXIS2_PLACEMENT_3D('',#450698,#365366,#365367); #331831=AXIS2_PLACEMENT_3D('',#450700,#365368,#365369); #331832=AXIS2_PLACEMENT_3D('',#450702,#365370,#365371); #331833=AXIS2_PLACEMENT_3D('',#450704,#365373,#365374); #331834=AXIS2_PLACEMENT_3D('',#450710,#365378,#365379); #331835=AXIS2_PLACEMENT_3D('',#450712,#365380,#365381); #331836=AXIS2_PLACEMENT_3D('',#450714,#365382,#365383); #331837=AXIS2_PLACEMENT_3D('',#450716,#365385,#365386); #331838=AXIS2_PLACEMENT_3D('',#450722,#365390,#365391); #331839=AXIS2_PLACEMENT_3D('',#450728,#365395,#365396); #331840=AXIS2_PLACEMENT_3D('',#450734,#365400,#365401); #331841=AXIS2_PLACEMENT_3D('',#450740,#365405,#365406); #331842=AXIS2_PLACEMENT_3D('',#450746,#365410,#365411); #331843=AXIS2_PLACEMENT_3D('',#450752,#365415,#365416); #331844=AXIS2_PLACEMENT_3D('',#450758,#365420,#365421); #331845=AXIS2_PLACEMENT_3D('',#450764,#365425,#365426); #331846=AXIS2_PLACEMENT_3D('',#450770,#365430,#365431); #331847=AXIS2_PLACEMENT_3D('',#450772,#365432,#365433); #331848=AXIS2_PLACEMENT_3D('',#450774,#365434,#365435); #331849=AXIS2_PLACEMENT_3D('',#450776,#365437,#365438); #331850=AXIS2_PLACEMENT_3D('',#450782,#365442,#365443); #331851=AXIS2_PLACEMENT_3D('',#450784,#365444,#365445); #331852=AXIS2_PLACEMENT_3D('',#450786,#365446,#365447); #331853=AXIS2_PLACEMENT_3D('',#450788,#365449,#365450); #331854=AXIS2_PLACEMENT_3D('',#450794,#365454,#365455); #331855=AXIS2_PLACEMENT_3D('',#450800,#365459,#365460); #331856=AXIS2_PLACEMENT_3D('',#450806,#365464,#365465); #331857=AXIS2_PLACEMENT_3D('',#450808,#365466,#365467); #331858=AXIS2_PLACEMENT_3D('',#450810,#365468,#365469); #331859=AXIS2_PLACEMENT_3D('',#450812,#365471,#365472); #331860=AXIS2_PLACEMENT_3D('',#450818,#365476,#365477); #331861=AXIS2_PLACEMENT_3D('',#450820,#365478,#365479); #331862=AXIS2_PLACEMENT_3D('',#450822,#365480,#365481); #331863=AXIS2_PLACEMENT_3D('',#450824,#365483,#365484); #331864=AXIS2_PLACEMENT_3D('',#450830,#365488,#365489); #331865=AXIS2_PLACEMENT_3D('',#450836,#365493,#365494); #331866=AXIS2_PLACEMENT_3D('',#450842,#365498,#365499); #331867=AXIS2_PLACEMENT_3D('',#450848,#365503,#365504); #331868=AXIS2_PLACEMENT_3D('',#450851,#365507,#365508); #331869=AXIS2_PLACEMENT_3D('',#450852,#365509,#365510); #331870=AXIS2_PLACEMENT_3D('',#450853,#365511,#365512); #331871=AXIS2_PLACEMENT_3D('',#450855,#365513,#365514); #331872=AXIS2_PLACEMENT_3D('',#450858,#365516,#365517); #331873=AXIS2_PLACEMENT_3D('',#450859,#365518,#365519); #331874=AXIS2_PLACEMENT_3D('',#450868,#365524,#365525); #331875=AXIS2_PLACEMENT_3D('',#450870,#365526,#365527); #331876=AXIS2_PLACEMENT_3D('',#450872,#365528,#365529); #331877=AXIS2_PLACEMENT_3D('',#450874,#365531,#365532); #331878=AXIS2_PLACEMENT_3D('',#450880,#365536,#365537); #331879=AXIS2_PLACEMENT_3D('',#450886,#365541,#365542); #331880=AXIS2_PLACEMENT_3D('',#450892,#365546,#365547); #331881=AXIS2_PLACEMENT_3D('',#450898,#365551,#365552); #331882=AXIS2_PLACEMENT_3D('',#450904,#365556,#365557); #331883=AXIS2_PLACEMENT_3D('',#450910,#365561,#365562); #331884=AXIS2_PLACEMENT_3D('',#450916,#365566,#365567); #331885=AXIS2_PLACEMENT_3D('',#450917,#365568,#365569); #331886=AXIS2_PLACEMENT_3D('',#450918,#365570,#365571); #331887=AXIS2_PLACEMENT_3D('',#450919,#365572,#365573); #331888=AXIS2_PLACEMENT_3D('',#450920,#365574,#365575); #331889=AXIS2_PLACEMENT_3D('',#450921,#365576,#365577); #331890=AXIS2_PLACEMENT_3D('',#450923,#365578,#365579); #331891=AXIS2_PLACEMENT_3D('',#450926,#365581,#365582); #331892=AXIS2_PLACEMENT_3D('',#450927,#365583,#365584); #331893=AXIS2_PLACEMENT_3D('',#450929,#365585,#365586); #331894=AXIS2_PLACEMENT_3D('',#450932,#365588,#365589); #331895=AXIS2_PLACEMENT_3D('',#450933,#365590,#365591); #331896=AXIS2_PLACEMENT_3D('',#450942,#365596,#365597); #331897=AXIS2_PLACEMENT_3D('',#450948,#365601,#365602); #331898=AXIS2_PLACEMENT_3D('',#450950,#365603,#365604); #331899=AXIS2_PLACEMENT_3D('',#450952,#365605,#365606); #331900=AXIS2_PLACEMENT_3D('',#450954,#365608,#365609); #331901=AXIS2_PLACEMENT_3D('',#450956,#365610,#365611); #331902=AXIS2_PLACEMENT_3D('',#450958,#365612,#365613); #331903=AXIS2_PLACEMENT_3D('',#450960,#365615,#365616); #331904=AXIS2_PLACEMENT_3D('',#450966,#365620,#365621); #331905=AXIS2_PLACEMENT_3D('',#450972,#365625,#365626); #331906=AXIS2_PLACEMENT_3D('',#450974,#365627,#365628); #331907=AXIS2_PLACEMENT_3D('',#450976,#365629,#365630); #331908=AXIS2_PLACEMENT_3D('',#450978,#365632,#365633); #331909=AXIS2_PLACEMENT_3D('',#450980,#365634,#365635); #331910=AXIS2_PLACEMENT_3D('',#450982,#365636,#365637); #331911=AXIS2_PLACEMENT_3D('',#450984,#365639,#365640); #331912=AXIS2_PLACEMENT_3D('',#450990,#365644,#365645); #331913=AXIS2_PLACEMENT_3D('',#450996,#365649,#365650); #331914=AXIS2_PLACEMENT_3D('',#451002,#365654,#365655); #331915=AXIS2_PLACEMENT_3D('',#451008,#365659,#365660); #331916=AXIS2_PLACEMENT_3D('',#451014,#365664,#365665); #331917=AXIS2_PLACEMENT_3D('',#451020,#365669,#365670); #331918=AXIS2_PLACEMENT_3D('',#451026,#365674,#365675); #331919=AXIS2_PLACEMENT_3D('',#451032,#365679,#365680); #331920=AXIS2_PLACEMENT_3D('',#451038,#365684,#365685); #331921=AXIS2_PLACEMENT_3D('',#451044,#365689,#365690); #331922=AXIS2_PLACEMENT_3D('',#451046,#365691,#365692); #331923=AXIS2_PLACEMENT_3D('',#451048,#365693,#365694); #331924=AXIS2_PLACEMENT_3D('',#451050,#365696,#365697); #331925=AXIS2_PLACEMENT_3D('',#451056,#365701,#365702); #331926=AXIS2_PLACEMENT_3D('',#451057,#365703,#365704); #331927=AXIS2_PLACEMENT_3D('',#451058,#365705,#365706); #331928=AXIS2_PLACEMENT_3D('',#451059,#365707,#365708); #331929=AXIS2_PLACEMENT_3D('',#451060,#365709,#365710); #331930=AXIS2_PLACEMENT_3D('',#451061,#365711,#365712); #331931=AXIS2_PLACEMENT_3D('',#451070,#365717,#365718); #331932=AXIS2_PLACEMENT_3D('',#451076,#365722,#365723); #331933=AXIS2_PLACEMENT_3D('',#451082,#365727,#365728); #331934=AXIS2_PLACEMENT_3D('',#451088,#365732,#365733); #331935=AXIS2_PLACEMENT_3D('',#451090,#365734,#365735); #331936=AXIS2_PLACEMENT_3D('',#451092,#365736,#365737); #331937=AXIS2_PLACEMENT_3D('',#451094,#365739,#365740); #331938=AXIS2_PLACEMENT_3D('',#451100,#365744,#365745); #331939=AXIS2_PLACEMENT_3D('',#451106,#365749,#365750); #331940=AXIS2_PLACEMENT_3D('',#451112,#365754,#365755); #331941=AXIS2_PLACEMENT_3D('',#451118,#365759,#365760); #331942=AXIS2_PLACEMENT_3D('',#451124,#365764,#365765); #331943=AXIS2_PLACEMENT_3D('',#451130,#365769,#365770); #331944=AXIS2_PLACEMENT_3D('',#451136,#365774,#365775); #331945=AXIS2_PLACEMENT_3D('',#451142,#365779,#365780); #331946=AXIS2_PLACEMENT_3D('',#451145,#365783,#365784); #331947=AXIS2_PLACEMENT_3D('',#451146,#365785,#365786); #331948=AXIS2_PLACEMENT_3D('',#451147,#365787,#365788); #331949=AXIS2_PLACEMENT_3D('',#451149,#365789,#365790); #331950=AXIS2_PLACEMENT_3D('',#451152,#365792,#365793); #331951=AXIS2_PLACEMENT_3D('',#451153,#365794,#365795); #331952=AXIS2_PLACEMENT_3D('',#451155,#365796,#365797); #331953=AXIS2_PLACEMENT_3D('',#451158,#365799,#365800); #331954=AXIS2_PLACEMENT_3D('',#451159,#365801,#365802); #331955=AXIS2_PLACEMENT_3D('',#451161,#365803,#365804); #331956=AXIS2_PLACEMENT_3D('',#451164,#365806,#365807); #331957=AXIS2_PLACEMENT_3D('',#451165,#365808,#365809); #331958=AXIS2_PLACEMENT_3D('',#451167,#365810,#365811); #331959=AXIS2_PLACEMENT_3D('',#451170,#365813,#365814); #331960=AXIS2_PLACEMENT_3D('',#451171,#365815,#365816); #331961=AXIS2_PLACEMENT_3D('',#451173,#365817,#365818); #331962=AXIS2_PLACEMENT_3D('',#451176,#365820,#365821); #331963=AXIS2_PLACEMENT_3D('',#451177,#365822,#365823); #331964=AXIS2_PLACEMENT_3D('',#451179,#365824,#365825); #331965=AXIS2_PLACEMENT_3D('',#451182,#365827,#365828); #331966=AXIS2_PLACEMENT_3D('',#451183,#365829,#365830); #331967=AXIS2_PLACEMENT_3D('',#451185,#365831,#365832); #331968=AXIS2_PLACEMENT_3D('',#451188,#365834,#365835); #331969=AXIS2_PLACEMENT_3D('',#451189,#365836,#365837); #331970=AXIS2_PLACEMENT_3D('',#451191,#365838,#365839); #331971=AXIS2_PLACEMENT_3D('',#451194,#365841,#365842); #331972=AXIS2_PLACEMENT_3D('',#451195,#365843,#365844); #331973=AXIS2_PLACEMENT_3D('',#451197,#365845,#365846); #331974=AXIS2_PLACEMENT_3D('',#451200,#365848,#365849); #331975=AXIS2_PLACEMENT_3D('',#451201,#365850,#365851); #331976=AXIS2_PLACEMENT_3D('',#451203,#365852,#365853); #331977=AXIS2_PLACEMENT_3D('',#451206,#365855,#365856); #331978=AXIS2_PLACEMENT_3D('',#451207,#365857,#365858); #331979=AXIS2_PLACEMENT_3D('',#451209,#365859,#365860); #331980=AXIS2_PLACEMENT_3D('',#451212,#365862,#365863); #331981=AXIS2_PLACEMENT_3D('',#451213,#365864,#365865); #331982=AXIS2_PLACEMENT_3D('',#451222,#365870,#365871); #331983=AXIS2_PLACEMENT_3D('',#451228,#365875,#365876); #331984=AXIS2_PLACEMENT_3D('',#451234,#365880,#365881); #331985=AXIS2_PLACEMENT_3D('',#451240,#365885,#365886); #331986=AXIS2_PLACEMENT_3D('',#451242,#365887,#365888); #331987=AXIS2_PLACEMENT_3D('',#451244,#365889,#365890); #331988=AXIS2_PLACEMENT_3D('',#451246,#365892,#365893); #331989=AXIS2_PLACEMENT_3D('',#451252,#365897,#365898); #331990=AXIS2_PLACEMENT_3D('',#451254,#365899,#365900); #331991=AXIS2_PLACEMENT_3D('',#451256,#365901,#365902); #331992=AXIS2_PLACEMENT_3D('',#451258,#365904,#365905); #331993=AXIS2_PLACEMENT_3D('',#451264,#365909,#365910); #331994=AXIS2_PLACEMENT_3D('',#451270,#365914,#365915); #331995=AXIS2_PLACEMENT_3D('',#451276,#365919,#365920); #331996=AXIS2_PLACEMENT_3D('',#451282,#365924,#365925); #331997=AXIS2_PLACEMENT_3D('',#451288,#365929,#365930); #331998=AXIS2_PLACEMENT_3D('',#451294,#365934,#365935); #331999=AXIS2_PLACEMENT_3D('',#451300,#365939,#365940); #332000=AXIS2_PLACEMENT_3D('',#451306,#365944,#365945); #332001=AXIS2_PLACEMENT_3D('',#451312,#365949,#365950); #332002=AXIS2_PLACEMENT_3D('',#451318,#365954,#365955); #332003=AXIS2_PLACEMENT_3D('',#451324,#365959,#365960); #332004=AXIS2_PLACEMENT_3D('',#451330,#365964,#365965); #332005=AXIS2_PLACEMENT_3D('',#451336,#365969,#365970); #332006=AXIS2_PLACEMENT_3D('',#451342,#365974,#365975); #332007=AXIS2_PLACEMENT_3D('',#451348,#365979,#365980); #332008=AXIS2_PLACEMENT_3D('',#451354,#365984,#365985); #332009=AXIS2_PLACEMENT_3D('',#451360,#365989,#365990); #332010=AXIS2_PLACEMENT_3D('',#451366,#365994,#365995); #332011=AXIS2_PLACEMENT_3D('',#451372,#365999,#366000); #332012=AXIS2_PLACEMENT_3D('',#451378,#366004,#366005); #332013=AXIS2_PLACEMENT_3D('',#451384,#366009,#366010); #332014=AXIS2_PLACEMENT_3D('',#451390,#366014,#366015); #332015=AXIS2_PLACEMENT_3D('',#451396,#366019,#366020); #332016=AXIS2_PLACEMENT_3D('',#451402,#366024,#366025); #332017=AXIS2_PLACEMENT_3D('',#451408,#366029,#366030); #332018=AXIS2_PLACEMENT_3D('',#451414,#366034,#366035); #332019=AXIS2_PLACEMENT_3D('',#451420,#366039,#366040); #332020=AXIS2_PLACEMENT_3D('',#451426,#366044,#366045); #332021=AXIS2_PLACEMENT_3D('',#451432,#366049,#366050); #332022=AXIS2_PLACEMENT_3D('',#451438,#366054,#366055); #332023=AXIS2_PLACEMENT_3D('',#451444,#366059,#366060); #332024=AXIS2_PLACEMENT_3D('',#451450,#366064,#366065); #332025=AXIS2_PLACEMENT_3D('',#451456,#366069,#366070); #332026=AXIS2_PLACEMENT_3D('',#451462,#366074,#366075); #332027=AXIS2_PLACEMENT_3D('',#451468,#366079,#366080); #332028=AXIS2_PLACEMENT_3D('',#451474,#366084,#366085); #332029=AXIS2_PLACEMENT_3D('',#451480,#366089,#366090); #332030=AXIS2_PLACEMENT_3D('',#451486,#366094,#366095); #332031=AXIS2_PLACEMENT_3D('',#451492,#366099,#366100); #332032=AXIS2_PLACEMENT_3D('',#451498,#366104,#366105); #332033=AXIS2_PLACEMENT_3D('',#451504,#366109,#366110); #332034=AXIS2_PLACEMENT_3D('',#451510,#366114,#366115); #332035=AXIS2_PLACEMENT_3D('',#451516,#366119,#366120); #332036=AXIS2_PLACEMENT_3D('',#451522,#366124,#366125); #332037=AXIS2_PLACEMENT_3D('',#451528,#366129,#366130); #332038=AXIS2_PLACEMENT_3D('',#451534,#366134,#366135); #332039=AXIS2_PLACEMENT_3D('',#451540,#366139,#366140); #332040=AXIS2_PLACEMENT_3D('',#451546,#366144,#366145); #332041=AXIS2_PLACEMENT_3D('',#451552,#366149,#366150); #332042=AXIS2_PLACEMENT_3D('',#451558,#366154,#366155); #332043=AXIS2_PLACEMENT_3D('',#451564,#366159,#366160); #332044=AXIS2_PLACEMENT_3D('',#451570,#366164,#366165); #332045=AXIS2_PLACEMENT_3D('',#451576,#366169,#366170); #332046=AXIS2_PLACEMENT_3D('',#451582,#366174,#366175); #332047=AXIS2_PLACEMENT_3D('',#451588,#366179,#366180); #332048=AXIS2_PLACEMENT_3D('',#451594,#366184,#366185); #332049=AXIS2_PLACEMENT_3D('',#451600,#366189,#366190); #332050=AXIS2_PLACEMENT_3D('',#451606,#366194,#366195); #332051=AXIS2_PLACEMENT_3D('',#451612,#366199,#366200); #332052=AXIS2_PLACEMENT_3D('',#451618,#366204,#366205); #332053=AXIS2_PLACEMENT_3D('',#451624,#366209,#366210); #332054=AXIS2_PLACEMENT_3D('',#451630,#366214,#366215); #332055=AXIS2_PLACEMENT_3D('',#451636,#366219,#366220); #332056=AXIS2_PLACEMENT_3D('',#451642,#366224,#366225); #332057=AXIS2_PLACEMENT_3D('',#451648,#366229,#366230); #332058=AXIS2_PLACEMENT_3D('',#451654,#366234,#366235); #332059=AXIS2_PLACEMENT_3D('',#451660,#366239,#366240); #332060=AXIS2_PLACEMENT_3D('',#451666,#366244,#366245); #332061=AXIS2_PLACEMENT_3D('',#451672,#366249,#366250); #332062=AXIS2_PLACEMENT_3D('',#451678,#366254,#366255); #332063=AXIS2_PLACEMENT_3D('',#451684,#366259,#366260); #332064=AXIS2_PLACEMENT_3D('',#451690,#366264,#366265); #332065=AXIS2_PLACEMENT_3D('',#451696,#366269,#366270); #332066=AXIS2_PLACEMENT_3D('',#451702,#366274,#366275); #332067=AXIS2_PLACEMENT_3D('',#451708,#366279,#366280); #332068=AXIS2_PLACEMENT_3D('',#451714,#366284,#366285); #332069=AXIS2_PLACEMENT_3D('',#451720,#366289,#366290); #332070=AXIS2_PLACEMENT_3D('',#451726,#366294,#366295); #332071=AXIS2_PLACEMENT_3D('',#451732,#366299,#366300); #332072=AXIS2_PLACEMENT_3D('',#451738,#366304,#366305); #332073=AXIS2_PLACEMENT_3D('',#451744,#366309,#366310); #332074=AXIS2_PLACEMENT_3D('',#451750,#366314,#366315); #332075=AXIS2_PLACEMENT_3D('',#451756,#366319,#366320); #332076=AXIS2_PLACEMENT_3D('',#451762,#366324,#366325); #332077=AXIS2_PLACEMENT_3D('',#451768,#366329,#366330); #332078=AXIS2_PLACEMENT_3D('',#451774,#366334,#366335); #332079=AXIS2_PLACEMENT_3D('',#451780,#366339,#366340); #332080=AXIS2_PLACEMENT_3D('',#451786,#366344,#366345); #332081=AXIS2_PLACEMENT_3D('',#451792,#366349,#366350); #332082=AXIS2_PLACEMENT_3D('',#451798,#366354,#366355); #332083=AXIS2_PLACEMENT_3D('',#451804,#366359,#366360); #332084=AXIS2_PLACEMENT_3D('',#451810,#366364,#366365); #332085=AXIS2_PLACEMENT_3D('',#451816,#366369,#366370); #332086=AXIS2_PLACEMENT_3D('',#451822,#366374,#366375); #332087=AXIS2_PLACEMENT_3D('',#451828,#366379,#366380); #332088=AXIS2_PLACEMENT_3D('',#451834,#366384,#366385); #332089=AXIS2_PLACEMENT_3D('',#451840,#366389,#366390); #332090=AXIS2_PLACEMENT_3D('',#451846,#366394,#366395); #332091=AXIS2_PLACEMENT_3D('',#451852,#366399,#366400); #332092=AXIS2_PLACEMENT_3D('',#451858,#366404,#366405); #332093=AXIS2_PLACEMENT_3D('',#451864,#366409,#366410); #332094=AXIS2_PLACEMENT_3D('',#451870,#366414,#366415); #332095=AXIS2_PLACEMENT_3D('',#451876,#366419,#366420); #332096=AXIS2_PLACEMENT_3D('',#451882,#366424,#366425); #332097=AXIS2_PLACEMENT_3D('',#451888,#366429,#366430); #332098=AXIS2_PLACEMENT_3D('',#451894,#366434,#366435); #332099=AXIS2_PLACEMENT_3D('',#451900,#366439,#366440); #332100=AXIS2_PLACEMENT_3D('',#451906,#366444,#366445); #332101=AXIS2_PLACEMENT_3D('',#451912,#366449,#366450); #332102=AXIS2_PLACEMENT_3D('',#451918,#366454,#366455); #332103=AXIS2_PLACEMENT_3D('',#451924,#366459,#366460); #332104=AXIS2_PLACEMENT_3D('',#451930,#366464,#366465); #332105=AXIS2_PLACEMENT_3D('',#451936,#366469,#366470); #332106=AXIS2_PLACEMENT_3D('',#451942,#366474,#366475); #332107=AXIS2_PLACEMENT_3D('',#451948,#366479,#366480); #332108=AXIS2_PLACEMENT_3D('',#451954,#366484,#366485); #332109=AXIS2_PLACEMENT_3D('',#451960,#366489,#366490); #332110=AXIS2_PLACEMENT_3D('',#451966,#366494,#366495); #332111=AXIS2_PLACEMENT_3D('',#451968,#366496,#366497); #332112=AXIS2_PLACEMENT_3D('',#451970,#366498,#366499); #332113=AXIS2_PLACEMENT_3D('',#451972,#366501,#366502); #332114=AXIS2_PLACEMENT_3D('',#451978,#366506,#366507); #332115=AXIS2_PLACEMENT_3D('',#451980,#366508,#366509); #332116=AXIS2_PLACEMENT_3D('',#451982,#366510,#366511); #332117=AXIS2_PLACEMENT_3D('',#451984,#366513,#366514); #332118=AXIS2_PLACEMENT_3D('',#451990,#366518,#366519); #332119=AXIS2_PLACEMENT_3D('',#451996,#366523,#366524); #332120=AXIS2_PLACEMENT_3D('',#452002,#366528,#366529); #332121=AXIS2_PLACEMENT_3D('',#452008,#366533,#366534); #332122=AXIS2_PLACEMENT_3D('',#452011,#366537,#366538); #332123=AXIS2_PLACEMENT_3D('',#452012,#366539,#366540); #332124=AXIS2_PLACEMENT_3D('',#452013,#366541,#366542); #332125=AXIS2_PLACEMENT_3D('',#452015,#366543,#366544); #332126=AXIS2_PLACEMENT_3D('',#452018,#366546,#366547); #332127=AXIS2_PLACEMENT_3D('',#452019,#366548,#366549); #332128=AXIS2_PLACEMENT_3D('',#452028,#366554,#366555); #332129=AXIS2_PLACEMENT_3D('',#452030,#366556,#366557); #332130=AXIS2_PLACEMENT_3D('',#452032,#366558,#366559); #332131=AXIS2_PLACEMENT_3D('',#452034,#366561,#366562); #332132=AXIS2_PLACEMENT_3D('',#452035,#366563,#366564); #332133=AXIS2_PLACEMENT_3D('',#452036,#366565,#366566); #332134=AXIS2_PLACEMENT_3D('',#452037,#366567,#366568); #332135=AXIS2_PLACEMENT_3D('',#452038,#366569,#366570); #332136=AXIS2_PLACEMENT_3D('',#452039,#366571,#366572); #332137=AXIS2_PLACEMENT_3D('',#452048,#366577,#366578); #332138=AXIS2_PLACEMENT_3D('',#452054,#366582,#366583); #332139=AXIS2_PLACEMENT_3D('',#452060,#366587,#366588); #332140=AXIS2_PLACEMENT_3D('',#452066,#366592,#366593); #332141=AXIS2_PLACEMENT_3D('',#452072,#366597,#366598); #332142=AXIS2_PLACEMENT_3D('',#452078,#366602,#366603); #332143=AXIS2_PLACEMENT_3D('',#452084,#366607,#366608); #332144=AXIS2_PLACEMENT_3D('',#452090,#366612,#366613); #332145=AXIS2_PLACEMENT_3D('',#452096,#366617,#366618); #332146=AXIS2_PLACEMENT_3D('',#452102,#366622,#366623); #332147=AXIS2_PLACEMENT_3D('',#452108,#366627,#366628); #332148=AXIS2_PLACEMENT_3D('',#452114,#366632,#366633); #332149=AXIS2_PLACEMENT_3D('',#452120,#366637,#366638); #332150=AXIS2_PLACEMENT_3D('',#452126,#366642,#366643); #332151=AXIS2_PLACEMENT_3D('',#452132,#366647,#366648); #332152=AXIS2_PLACEMENT_3D('',#452138,#366652,#366653); #332153=AXIS2_PLACEMENT_3D('',#452144,#366657,#366658); #332154=AXIS2_PLACEMENT_3D('',#452150,#366662,#366663); #332155=AXIS2_PLACEMENT_3D('',#452156,#366667,#366668); #332156=AXIS2_PLACEMENT_3D('',#452159,#366671,#366672); #332157=AXIS2_PLACEMENT_3D('',#452160,#366673,#366674); #332158=AXIS2_PLACEMENT_3D('',#452161,#366675,#366676); #332159=AXIS2_PLACEMENT_3D('',#452170,#366681,#366682); #332160=AXIS2_PLACEMENT_3D('',#452176,#366686,#366687); #332161=AXIS2_PLACEMENT_3D('',#452182,#366691,#366692); #332162=AXIS2_PLACEMENT_3D('',#452188,#366696,#366697); #332163=AXIS2_PLACEMENT_3D('',#452194,#366701,#366702); #332164=AXIS2_PLACEMENT_3D('',#452200,#366706,#366707); #332165=AXIS2_PLACEMENT_3D('',#452206,#366711,#366712); #332166=AXIS2_PLACEMENT_3D('',#452212,#366716,#366717); #332167=AXIS2_PLACEMENT_3D('',#452218,#366721,#366722); #332168=AXIS2_PLACEMENT_3D('',#452224,#366726,#366727); #332169=AXIS2_PLACEMENT_3D('',#452230,#366731,#366732); #332170=AXIS2_PLACEMENT_3D('',#452236,#366736,#366737); #332171=AXIS2_PLACEMENT_3D('',#452242,#366741,#366742); #332172=AXIS2_PLACEMENT_3D('',#452248,#366746,#366747); #332173=AXIS2_PLACEMENT_3D('',#452254,#366751,#366752); #332174=AXIS2_PLACEMENT_3D('',#452260,#366756,#366757); #332175=AXIS2_PLACEMENT_3D('',#452266,#366761,#366762); #332176=AXIS2_PLACEMENT_3D('',#452272,#366766,#366767); #332177=AXIS2_PLACEMENT_3D('',#452278,#366771,#366772); #332178=AXIS2_PLACEMENT_3D('',#452284,#366776,#366777); #332179=AXIS2_PLACEMENT_3D('',#452287,#366780,#366781); #332180=AXIS2_PLACEMENT_3D('',#452288,#366782,#366783); #332181=AXIS2_PLACEMENT_3D('',#452289,#366784,#366785); #332182=AXIS2_PLACEMENT_3D('',#452298,#366790,#366791); #332183=AXIS2_PLACEMENT_3D('',#452304,#366795,#366796); #332184=AXIS2_PLACEMENT_3D('',#452310,#366800,#366801); #332185=AXIS2_PLACEMENT_3D('',#452316,#366805,#366806); #332186=AXIS2_PLACEMENT_3D('',#452322,#366810,#366811); #332187=AXIS2_PLACEMENT_3D('',#452328,#366815,#366816); #332188=AXIS2_PLACEMENT_3D('',#452334,#366820,#366821); #332189=AXIS2_PLACEMENT_3D('',#452340,#366825,#366826); #332190=AXIS2_PLACEMENT_3D('',#452346,#366830,#366831); #332191=AXIS2_PLACEMENT_3D('',#452352,#366835,#366836); #332192=AXIS2_PLACEMENT_3D('',#452358,#366840,#366841); #332193=AXIS2_PLACEMENT_3D('',#452364,#366845,#366846); #332194=AXIS2_PLACEMENT_3D('',#452370,#366850,#366851); #332195=AXIS2_PLACEMENT_3D('',#452376,#366855,#366856); #332196=AXIS2_PLACEMENT_3D('',#452382,#366860,#366861); #332197=AXIS2_PLACEMENT_3D('',#452388,#366865,#366866); #332198=AXIS2_PLACEMENT_3D('',#452394,#366870,#366871); #332199=AXIS2_PLACEMENT_3D('',#452400,#366875,#366876); #332200=AXIS2_PLACEMENT_3D('',#452406,#366880,#366881); #332201=AXIS2_PLACEMENT_3D('',#452409,#366884,#366885); #332202=AXIS2_PLACEMENT_3D('',#452410,#366886,#366887); #332203=AXIS2_PLACEMENT_3D('',#452411,#366888,#366889); #332204=AXIS2_PLACEMENT_3D('',#452420,#366894,#366895); #332205=AXIS2_PLACEMENT_3D('',#452426,#366899,#366900); #332206=AXIS2_PLACEMENT_3D('',#452432,#366904,#366905); #332207=AXIS2_PLACEMENT_3D('',#452438,#366909,#366910); #332208=AXIS2_PLACEMENT_3D('',#452444,#366914,#366915); #332209=AXIS2_PLACEMENT_3D('',#452450,#366919,#366920); #332210=AXIS2_PLACEMENT_3D('',#452456,#366924,#366925); #332211=AXIS2_PLACEMENT_3D('',#452462,#366929,#366930); #332212=AXIS2_PLACEMENT_3D('',#452468,#366934,#366935); #332213=AXIS2_PLACEMENT_3D('',#452474,#366939,#366940); #332214=AXIS2_PLACEMENT_3D('',#452480,#366944,#366945); #332215=AXIS2_PLACEMENT_3D('',#452486,#366949,#366950); #332216=AXIS2_PLACEMENT_3D('',#452492,#366954,#366955); #332217=AXIS2_PLACEMENT_3D('',#452498,#366959,#366960); #332218=AXIS2_PLACEMENT_3D('',#452504,#366964,#366965); #332219=AXIS2_PLACEMENT_3D('',#452510,#366969,#366970); #332220=AXIS2_PLACEMENT_3D('',#452516,#366974,#366975); #332221=AXIS2_PLACEMENT_3D('',#452522,#366979,#366980); #332222=AXIS2_PLACEMENT_3D('',#452528,#366984,#366985); #332223=AXIS2_PLACEMENT_3D('',#452534,#366989,#366990); #332224=AXIS2_PLACEMENT_3D('',#452537,#366993,#366994); #332225=AXIS2_PLACEMENT_3D('',#452538,#366995,#366996); #332226=AXIS2_PLACEMENT_3D('',#452539,#366997,#366998); #332227=AXIS2_PLACEMENT_3D('',#452541,#366999,#367000); #332228=AXIS2_PLACEMENT_3D('',#452544,#367002,#367003); #332229=AXIS2_PLACEMENT_3D('',#452545,#367004,#367005); #332230=AXIS2_PLACEMENT_3D('',#452547,#367006,#367007); #332231=AXIS2_PLACEMENT_3D('',#452550,#367009,#367010); #332232=AXIS2_PLACEMENT_3D('',#452551,#367011,#367012); #332233=AXIS2_PLACEMENT_3D('',#452553,#367013,#367014); #332234=AXIS2_PLACEMENT_3D('',#452556,#367016,#367017); #332235=AXIS2_PLACEMENT_3D('',#452557,#367018,#367019); #332236=AXIS2_PLACEMENT_3D('',#452566,#367024,#367025); #332237=AXIS2_PLACEMENT_3D('',#452572,#367029,#367030); #332238=AXIS2_PLACEMENT_3D('',#452578,#367034,#367035); #332239=AXIS2_PLACEMENT_3D('',#452584,#367039,#367040); #332240=AXIS2_PLACEMENT_3D('',#452590,#367044,#367045); #332241=AXIS2_PLACEMENT_3D('',#452596,#367049,#367050); #332242=AXIS2_PLACEMENT_3D('',#452602,#367054,#367055); #332243=AXIS2_PLACEMENT_3D('',#452608,#367059,#367060); #332244=AXIS2_PLACEMENT_3D('',#452614,#367064,#367065); #332245=AXIS2_PLACEMENT_3D('',#452620,#367069,#367070); #332246=AXIS2_PLACEMENT_3D('',#452626,#367074,#367075); #332247=AXIS2_PLACEMENT_3D('',#452632,#367079,#367080); #332248=AXIS2_PLACEMENT_3D('',#452638,#367084,#367085); #332249=AXIS2_PLACEMENT_3D('',#452644,#367089,#367090); #332250=AXIS2_PLACEMENT_3D('',#452650,#367094,#367095); #332251=AXIS2_PLACEMENT_3D('',#452656,#367099,#367100); #332252=AXIS2_PLACEMENT_3D('',#452662,#367104,#367105); #332253=AXIS2_PLACEMENT_3D('',#452668,#367109,#367110); #332254=AXIS2_PLACEMENT_3D('',#452674,#367114,#367115); #332255=AXIS2_PLACEMENT_3D('',#452680,#367119,#367120); #332256=AXIS2_PLACEMENT_3D('',#452686,#367124,#367125); #332257=AXIS2_PLACEMENT_3D('',#452692,#367129,#367130); #332258=AXIS2_PLACEMENT_3D('',#452698,#367134,#367135); #332259=AXIS2_PLACEMENT_3D('',#452704,#367139,#367140); #332260=AXIS2_PLACEMENT_3D('',#452710,#367144,#367145); #332261=AXIS2_PLACEMENT_3D('',#452716,#367149,#367150); #332262=AXIS2_PLACEMENT_3D('',#452722,#367154,#367155); #332263=AXIS2_PLACEMENT_3D('',#452728,#367159,#367160); #332264=AXIS2_PLACEMENT_3D('',#452734,#367164,#367165); #332265=AXIS2_PLACEMENT_3D('',#452740,#367169,#367170); #332266=AXIS2_PLACEMENT_3D('',#452746,#367174,#367175); #332267=AXIS2_PLACEMENT_3D('',#452752,#367179,#367180); #332268=AXIS2_PLACEMENT_3D('',#452758,#367184,#367185); #332269=AXIS2_PLACEMENT_3D('',#452764,#367189,#367190); #332270=AXIS2_PLACEMENT_3D('',#452770,#367194,#367195); #332271=AXIS2_PLACEMENT_3D('',#452776,#367199,#367200); #332272=AXIS2_PLACEMENT_3D('',#452782,#367204,#367205); #332273=AXIS2_PLACEMENT_3D('',#452788,#367209,#367210); #332274=AXIS2_PLACEMENT_3D('',#452794,#367214,#367215); #332275=AXIS2_PLACEMENT_3D('',#452800,#367219,#367220); #332276=AXIS2_PLACEMENT_3D('',#452806,#367224,#367225); #332277=AXIS2_PLACEMENT_3D('',#452812,#367229,#367230); #332278=AXIS2_PLACEMENT_3D('',#452818,#367234,#367235); #332279=AXIS2_PLACEMENT_3D('',#452824,#367239,#367240); #332280=AXIS2_PLACEMENT_3D('',#452830,#367244,#367245); #332281=AXIS2_PLACEMENT_3D('',#452836,#367249,#367250); #332282=AXIS2_PLACEMENT_3D('',#452842,#367254,#367255); #332283=AXIS2_PLACEMENT_3D('',#452848,#367259,#367260); #332284=AXIS2_PLACEMENT_3D('',#452854,#367264,#367265); #332285=AXIS2_PLACEMENT_3D('',#452860,#367269,#367270); #332286=AXIS2_PLACEMENT_3D('',#452866,#367274,#367275); #332287=AXIS2_PLACEMENT_3D('',#452872,#367279,#367280); #332288=AXIS2_PLACEMENT_3D('',#452878,#367284,#367285); #332289=AXIS2_PLACEMENT_3D('',#452884,#367289,#367290); #332290=AXIS2_PLACEMENT_3D('',#452890,#367294,#367295); #332291=AXIS2_PLACEMENT_3D('',#452896,#367299,#367300); #332292=AXIS2_PLACEMENT_3D('',#452902,#367304,#367305); #332293=AXIS2_PLACEMENT_3D('',#452908,#367309,#367310); #332294=AXIS2_PLACEMENT_3D('',#452914,#367314,#367315); #332295=AXIS2_PLACEMENT_3D('',#452920,#367319,#367320); #332296=AXIS2_PLACEMENT_3D('',#452926,#367324,#367325); #332297=AXIS2_PLACEMENT_3D('',#452932,#367329,#367330); #332298=AXIS2_PLACEMENT_3D('',#452938,#367334,#367335); #332299=AXIS2_PLACEMENT_3D('',#452944,#367339,#367340); #332300=AXIS2_PLACEMENT_3D('',#452950,#367344,#367345); #332301=AXIS2_PLACEMENT_3D('',#452956,#367349,#367350); #332302=AXIS2_PLACEMENT_3D('',#452962,#367354,#367355); #332303=AXIS2_PLACEMENT_3D('',#452968,#367359,#367360); #332304=AXIS2_PLACEMENT_3D('',#452974,#367364,#367365); #332305=AXIS2_PLACEMENT_3D('',#452980,#367369,#367370); #332306=AXIS2_PLACEMENT_3D('',#452986,#367374,#367375); #332307=AXIS2_PLACEMENT_3D('',#452992,#367379,#367380); #332308=AXIS2_PLACEMENT_3D('',#452998,#367384,#367385); #332309=AXIS2_PLACEMENT_3D('',#453004,#367389,#367390); #332310=AXIS2_PLACEMENT_3D('',#453010,#367394,#367395); #332311=AXIS2_PLACEMENT_3D('',#453016,#367399,#367400); #332312=AXIS2_PLACEMENT_3D('',#453022,#367404,#367405); #332313=AXIS2_PLACEMENT_3D('',#453028,#367409,#367410); #332314=AXIS2_PLACEMENT_3D('',#453034,#367414,#367415); #332315=AXIS2_PLACEMENT_3D('',#453040,#367419,#367420); #332316=AXIS2_PLACEMENT_3D('',#453046,#367424,#367425); #332317=AXIS2_PLACEMENT_3D('',#453052,#367429,#367430); #332318=AXIS2_PLACEMENT_3D('',#453058,#367434,#367435); #332319=AXIS2_PLACEMENT_3D('',#453064,#367439,#367440); #332320=AXIS2_PLACEMENT_3D('',#453070,#367444,#367445); #332321=AXIS2_PLACEMENT_3D('',#453076,#367449,#367450); #332322=AXIS2_PLACEMENT_3D('',#453082,#367454,#367455); #332323=AXIS2_PLACEMENT_3D('',#453088,#367459,#367460); #332324=AXIS2_PLACEMENT_3D('',#453094,#367464,#367465); #332325=AXIS2_PLACEMENT_3D('',#453097,#367468,#367469); #332326=AXIS2_PLACEMENT_3D('',#453098,#367470,#367471); #332327=AXIS2_PLACEMENT_3D('',#453099,#367472,#367473); #332328=AXIS2_PLACEMENT_3D('',#453108,#367478,#367479); #332329=AXIS2_PLACEMENT_3D('',#453114,#367483,#367484); #332330=AXIS2_PLACEMENT_3D('',#453120,#367488,#367489); #332331=AXIS2_PLACEMENT_3D('',#453126,#367493,#367494); #332332=AXIS2_PLACEMENT_3D('',#453132,#367498,#367499); #332333=AXIS2_PLACEMENT_3D('',#453138,#367503,#367504); #332334=AXIS2_PLACEMENT_3D('',#453144,#367508,#367509); #332335=AXIS2_PLACEMENT_3D('',#453150,#367513,#367514); #332336=AXIS2_PLACEMENT_3D('',#453156,#367518,#367519); #332337=AXIS2_PLACEMENT_3D('',#453162,#367523,#367524); #332338=AXIS2_PLACEMENT_3D('',#453168,#367528,#367529); #332339=AXIS2_PLACEMENT_3D('',#453174,#367533,#367534); #332340=AXIS2_PLACEMENT_3D('',#453180,#367538,#367539); #332341=AXIS2_PLACEMENT_3D('',#453186,#367543,#367544); #332342=AXIS2_PLACEMENT_3D('',#453192,#367548,#367549); #332343=AXIS2_PLACEMENT_3D('',#453198,#367553,#367554); #332344=AXIS2_PLACEMENT_3D('',#453204,#367558,#367559); #332345=AXIS2_PLACEMENT_3D('',#453210,#367563,#367564); #332346=AXIS2_PLACEMENT_3D('',#453216,#367568,#367569); #332347=AXIS2_PLACEMENT_3D('',#453222,#367573,#367574); #332348=AXIS2_PLACEMENT_3D('',#453228,#367578,#367579); #332349=AXIS2_PLACEMENT_3D('',#453234,#367583,#367584); #332350=AXIS2_PLACEMENT_3D('',#453240,#367588,#367589); #332351=AXIS2_PLACEMENT_3D('',#453246,#367593,#367594); #332352=AXIS2_PLACEMENT_3D('',#453252,#367598,#367599); #332353=AXIS2_PLACEMENT_3D('',#453258,#367603,#367604); #332354=AXIS2_PLACEMENT_3D('',#453264,#367608,#367609); #332355=AXIS2_PLACEMENT_3D('',#453270,#367613,#367614); #332356=AXIS2_PLACEMENT_3D('',#453276,#367618,#367619); #332357=AXIS2_PLACEMENT_3D('',#453282,#367623,#367624); #332358=AXIS2_PLACEMENT_3D('',#453288,#367628,#367629); #332359=AXIS2_PLACEMENT_3D('',#453294,#367633,#367634); #332360=AXIS2_PLACEMENT_3D('',#453300,#367638,#367639); #332361=AXIS2_PLACEMENT_3D('',#453303,#367642,#367643); #332362=AXIS2_PLACEMENT_3D('',#453304,#367644,#367645); #332363=AXIS2_PLACEMENT_3D('',#453305,#367646,#367647); #332364=AXIS2_PLACEMENT_3D('',#453314,#367652,#367653); #332365=AXIS2_PLACEMENT_3D('',#453320,#367657,#367658); #332366=AXIS2_PLACEMENT_3D('',#453326,#367662,#367663); #332367=AXIS2_PLACEMENT_3D('',#453332,#367667,#367668); #332368=AXIS2_PLACEMENT_3D('',#453338,#367672,#367673); #332369=AXIS2_PLACEMENT_3D('',#453344,#367677,#367678); #332370=AXIS2_PLACEMENT_3D('',#453350,#367682,#367683); #332371=AXIS2_PLACEMENT_3D('',#453356,#367687,#367688); #332372=AXIS2_PLACEMENT_3D('',#453362,#367692,#367693); #332373=AXIS2_PLACEMENT_3D('',#453368,#367697,#367698); #332374=AXIS2_PLACEMENT_3D('',#453374,#367702,#367703); #332375=AXIS2_PLACEMENT_3D('',#453380,#367707,#367708); #332376=AXIS2_PLACEMENT_3D('',#453386,#367712,#367713); #332377=AXIS2_PLACEMENT_3D('',#453392,#367717,#367718); #332378=AXIS2_PLACEMENT_3D('',#453398,#367722,#367723); #332379=AXIS2_PLACEMENT_3D('',#453404,#367727,#367728); #332380=AXIS2_PLACEMENT_3D('',#453410,#367732,#367733); #332381=AXIS2_PLACEMENT_3D('',#453416,#367737,#367738); #332382=AXIS2_PLACEMENT_3D('',#453422,#367742,#367743); #332383=AXIS2_PLACEMENT_3D('',#453425,#367746,#367747); #332384=AXIS2_PLACEMENT_3D('',#453426,#367748,#367749); #332385=AXIS2_PLACEMENT_3D('',#453427,#367750,#367751); #332386=AXIS2_PLACEMENT_3D('',#453436,#367756,#367757); #332387=AXIS2_PLACEMENT_3D('',#453442,#367761,#367762); #332388=AXIS2_PLACEMENT_3D('',#453448,#367766,#367767); #332389=AXIS2_PLACEMENT_3D('',#453454,#367771,#367772); #332390=AXIS2_PLACEMENT_3D('',#453460,#367776,#367777); #332391=AXIS2_PLACEMENT_3D('',#453466,#367781,#367782); #332392=AXIS2_PLACEMENT_3D('',#453472,#367786,#367787); #332393=AXIS2_PLACEMENT_3D('',#453478,#367791,#367792); #332394=AXIS2_PLACEMENT_3D('',#453484,#367796,#367797); #332395=AXIS2_PLACEMENT_3D('',#453490,#367801,#367802); #332396=AXIS2_PLACEMENT_3D('',#453496,#367806,#367807); #332397=AXIS2_PLACEMENT_3D('',#453502,#367811,#367812); #332398=AXIS2_PLACEMENT_3D('',#453508,#367816,#367817); #332399=AXIS2_PLACEMENT_3D('',#453514,#367821,#367822); #332400=AXIS2_PLACEMENT_3D('',#453520,#367826,#367827); #332401=AXIS2_PLACEMENT_3D('',#453526,#367831,#367832); #332402=AXIS2_PLACEMENT_3D('',#453532,#367836,#367837); #332403=AXIS2_PLACEMENT_3D('',#453538,#367841,#367842); #332404=AXIS2_PLACEMENT_3D('',#453544,#367846,#367847); #332405=AXIS2_PLACEMENT_3D('',#453550,#367851,#367852); #332406=AXIS2_PLACEMENT_3D('',#453553,#367855,#367856); #332407=AXIS2_PLACEMENT_3D('',#453554,#367857,#367858); #332408=AXIS2_PLACEMENT_3D('',#453555,#367859,#367860); #332409=AXIS2_PLACEMENT_3D('',#453557,#367861,#367862); #332410=AXIS2_PLACEMENT_3D('',#453560,#367864,#367865); #332411=AXIS2_PLACEMENT_3D('',#453561,#367866,#367867); #332412=AXIS2_PLACEMENT_3D('',#453570,#367872,#367873); #332413=AXIS2_PLACEMENT_3D('',#453572,#367874,#367875); #332414=AXIS2_PLACEMENT_3D('',#453574,#367876,#367877); #332415=AXIS2_PLACEMENT_3D('',#453576,#367879,#367880); #332416=AXIS2_PLACEMENT_3D('',#453582,#367884,#367885); #332417=AXIS2_PLACEMENT_3D('',#453583,#367886,#367887); #332418=AXIS2_PLACEMENT_3D('',#453584,#367888,#367889); #332419=AXIS2_PLACEMENT_3D('',#453585,#367890,#367891); #332420=AXIS2_PLACEMENT_3D('',#453587,#367892,#367893); #332421=AXIS2_PLACEMENT_3D('',#453590,#367895,#367896); #332422=AXIS2_PLACEMENT_3D('',#453591,#367897,#367898); #332423=AXIS2_PLACEMENT_3D('',#453593,#367899,#367900); #332424=AXIS2_PLACEMENT_3D('',#453596,#367902,#367903); #332425=AXIS2_PLACEMENT_3D('',#453597,#367904,#367905); #332426=AXIS2_PLACEMENT_3D('',#453599,#367906,#367907); #332427=AXIS2_PLACEMENT_3D('',#453602,#367909,#367910); #332428=AXIS2_PLACEMENT_3D('',#453603,#367911,#367912); #332429=AXIS2_PLACEMENT_3D('',#453605,#367913,#367914); #332430=AXIS2_PLACEMENT_3D('',#453608,#367916,#367917); #332431=AXIS2_PLACEMENT_3D('',#453609,#367918,#367919); #332432=AXIS2_PLACEMENT_3D('',#453611,#367920,#367921); #332433=AXIS2_PLACEMENT_3D('',#453614,#367923,#367924); #332434=AXIS2_PLACEMENT_3D('',#453615,#367925,#367926); #332435=AXIS2_PLACEMENT_3D('',#453617,#367927,#367928); #332436=AXIS2_PLACEMENT_3D('',#453620,#367930,#367931); #332437=AXIS2_PLACEMENT_3D('',#453621,#367932,#367933); #332438=AXIS2_PLACEMENT_3D('',#453623,#367934,#367935); #332439=AXIS2_PLACEMENT_3D('',#453626,#367937,#367938); #332440=AXIS2_PLACEMENT_3D('',#453627,#367939,#367940); #332441=AXIS2_PLACEMENT_3D('',#453629,#367941,#367942); #332442=AXIS2_PLACEMENT_3D('',#453632,#367944,#367945); #332443=AXIS2_PLACEMENT_3D('',#453633,#367946,#367947); #332444=AXIS2_PLACEMENT_3D('',#453635,#367948,#367949); #332445=AXIS2_PLACEMENT_3D('',#453638,#367951,#367952); #332446=AXIS2_PLACEMENT_3D('',#453639,#367953,#367954); #332447=AXIS2_PLACEMENT_3D('',#453648,#367959,#367960); #332448=AXIS2_PLACEMENT_3D('',#453654,#367964,#367965); #332449=AXIS2_PLACEMENT_3D('',#453660,#367969,#367970); #332450=AXIS2_PLACEMENT_3D('',#453666,#367974,#367975); #332451=AXIS2_PLACEMENT_3D('',#453672,#367979,#367980); #332452=AXIS2_PLACEMENT_3D('',#453678,#367984,#367985); #332453=AXIS2_PLACEMENT_3D('',#453684,#367989,#367990); #332454=AXIS2_PLACEMENT_3D('',#453690,#367994,#367995); #332455=AXIS2_PLACEMENT_3D('',#453696,#367999,#368000); #332456=AXIS2_PLACEMENT_3D('',#453702,#368004,#368005); #332457=AXIS2_PLACEMENT_3D('',#453708,#368009,#368010); #332458=AXIS2_PLACEMENT_3D('',#453714,#368014,#368015); #332459=AXIS2_PLACEMENT_3D('',#453720,#368019,#368020); #332460=AXIS2_PLACEMENT_3D('',#453726,#368024,#368025); #332461=AXIS2_PLACEMENT_3D('',#453732,#368029,#368030); #332462=AXIS2_PLACEMENT_3D('',#453735,#368033,#368034); #332463=AXIS2_PLACEMENT_3D('',#453744,#368039,#368040); #332464=AXIS2_PLACEMENT_3D('',#453750,#368044,#368045); #332465=AXIS2_PLACEMENT_3D('',#453756,#368049,#368050); #332466=AXIS2_PLACEMENT_3D('',#453762,#368054,#368055); #332467=AXIS2_PLACEMENT_3D('',#453768,#368059,#368060); #332468=AXIS2_PLACEMENT_3D('',#453774,#368064,#368065); #332469=AXIS2_PLACEMENT_3D('',#453780,#368069,#368070); #332470=AXIS2_PLACEMENT_3D('',#453786,#368074,#368075); #332471=AXIS2_PLACEMENT_3D('',#453792,#368079,#368080); #332472=AXIS2_PLACEMENT_3D('',#453798,#368084,#368085); #332473=AXIS2_PLACEMENT_3D('',#453804,#368089,#368090); #332474=AXIS2_PLACEMENT_3D('',#453810,#368094,#368095); #332475=AXIS2_PLACEMENT_3D('',#453816,#368099,#368100); #332476=AXIS2_PLACEMENT_3D('',#453822,#368104,#368105); #332477=AXIS2_PLACEMENT_3D('',#453828,#368109,#368110); #332478=AXIS2_PLACEMENT_3D('',#453834,#368114,#368115); #332479=AXIS2_PLACEMENT_3D('',#453840,#368119,#368120); #332480=AXIS2_PLACEMENT_3D('',#453846,#368124,#368125); #332481=AXIS2_PLACEMENT_3D('',#453852,#368129,#368130); #332482=AXIS2_PLACEMENT_3D('',#453858,#368134,#368135); #332483=AXIS2_PLACEMENT_3D('',#453864,#368139,#368140); #332484=AXIS2_PLACEMENT_3D('',#453870,#368144,#368145); #332485=AXIS2_PLACEMENT_3D('',#453876,#368149,#368150); #332486=AXIS2_PLACEMENT_3D('',#453882,#368154,#368155); #332487=AXIS2_PLACEMENT_3D('',#453888,#368159,#368160); #332488=AXIS2_PLACEMENT_3D('',#453894,#368164,#368165); #332489=AXIS2_PLACEMENT_3D('',#453900,#368169,#368170); #332490=AXIS2_PLACEMENT_3D('',#453906,#368174,#368175); #332491=AXIS2_PLACEMENT_3D('',#453912,#368179,#368180); #332492=AXIS2_PLACEMENT_3D('',#453918,#368184,#368185); #332493=AXIS2_PLACEMENT_3D('',#453924,#368189,#368190); #332494=AXIS2_PLACEMENT_3D('',#453930,#368194,#368195); #332495=AXIS2_PLACEMENT_3D('',#453936,#368199,#368200); #332496=AXIS2_PLACEMENT_3D('',#453942,#368204,#368205); #332497=AXIS2_PLACEMENT_3D('',#453948,#368209,#368210); #332498=AXIS2_PLACEMENT_3D('',#453954,#368214,#368215); #332499=AXIS2_PLACEMENT_3D('',#453960,#368219,#368220); #332500=AXIS2_PLACEMENT_3D('',#453966,#368224,#368225); #332501=AXIS2_PLACEMENT_3D('',#453972,#368229,#368230); #332502=AXIS2_PLACEMENT_3D('',#453978,#368234,#368235); #332503=AXIS2_PLACEMENT_3D('',#453984,#368239,#368240); #332504=AXIS2_PLACEMENT_3D('',#453990,#368244,#368245); #332505=AXIS2_PLACEMENT_3D('',#453996,#368249,#368250); #332506=AXIS2_PLACEMENT_3D('',#454002,#368254,#368255); #332507=AXIS2_PLACEMENT_3D('',#454008,#368259,#368260); #332508=AXIS2_PLACEMENT_3D('',#454014,#368264,#368265); #332509=AXIS2_PLACEMENT_3D('',#454020,#368269,#368270); #332510=AXIS2_PLACEMENT_3D('',#454026,#368274,#368275); #332511=AXIS2_PLACEMENT_3D('',#454032,#368279,#368280); #332512=AXIS2_PLACEMENT_3D('',#454038,#368284,#368285); #332513=AXIS2_PLACEMENT_3D('',#454044,#368289,#368290); #332514=AXIS2_PLACEMENT_3D('',#454050,#368294,#368295); #332515=AXIS2_PLACEMENT_3D('',#454056,#368299,#368300); #332516=AXIS2_PLACEMENT_3D('',#454062,#368304,#368305); #332517=AXIS2_PLACEMENT_3D('',#454068,#368309,#368310); #332518=AXIS2_PLACEMENT_3D('',#454074,#368314,#368315); #332519=AXIS2_PLACEMENT_3D('',#454080,#368319,#368320); #332520=AXIS2_PLACEMENT_3D('',#454086,#368324,#368325); #332521=AXIS2_PLACEMENT_3D('',#454092,#368329,#368330); #332522=AXIS2_PLACEMENT_3D('',#454098,#368334,#368335); #332523=AXIS2_PLACEMENT_3D('',#454104,#368339,#368340); #332524=AXIS2_PLACEMENT_3D('',#454110,#368344,#368345); #332525=AXIS2_PLACEMENT_3D('',#454116,#368349,#368350); #332526=AXIS2_PLACEMENT_3D('',#454122,#368354,#368355); #332527=AXIS2_PLACEMENT_3D('',#454128,#368359,#368360); #332528=AXIS2_PLACEMENT_3D('',#454134,#368364,#368365); #332529=AXIS2_PLACEMENT_3D('',#454140,#368369,#368370); #332530=AXIS2_PLACEMENT_3D('',#454146,#368374,#368375); #332531=AXIS2_PLACEMENT_3D('',#454152,#368379,#368380); #332532=AXIS2_PLACEMENT_3D('',#454158,#368384,#368385); #332533=AXIS2_PLACEMENT_3D('',#454164,#368389,#368390); #332534=AXIS2_PLACEMENT_3D('',#454170,#368394,#368395); #332535=AXIS2_PLACEMENT_3D('',#454176,#368399,#368400); #332536=AXIS2_PLACEMENT_3D('',#454182,#368404,#368405); #332537=AXIS2_PLACEMENT_3D('',#454188,#368409,#368410); #332538=AXIS2_PLACEMENT_3D('',#454194,#368414,#368415); #332539=AXIS2_PLACEMENT_3D('',#454200,#368419,#368420); #332540=AXIS2_PLACEMENT_3D('',#454206,#368424,#368425); #332541=AXIS2_PLACEMENT_3D('',#454212,#368429,#368430); #332542=AXIS2_PLACEMENT_3D('',#454218,#368434,#368435); #332543=AXIS2_PLACEMENT_3D('',#454224,#368439,#368440); #332544=AXIS2_PLACEMENT_3D('',#454230,#368444,#368445); #332545=AXIS2_PLACEMENT_3D('',#454236,#368449,#368450); #332546=AXIS2_PLACEMENT_3D('',#454242,#368454,#368455); #332547=AXIS2_PLACEMENT_3D('',#454248,#368459,#368460); #332548=AXIS2_PLACEMENT_3D('',#454254,#368464,#368465); #332549=AXIS2_PLACEMENT_3D('',#454260,#368469,#368470); #332550=AXIS2_PLACEMENT_3D('',#454266,#368474,#368475); #332551=AXIS2_PLACEMENT_3D('',#454272,#368479,#368480); #332552=AXIS2_PLACEMENT_3D('',#454278,#368484,#368485); #332553=AXIS2_PLACEMENT_3D('',#454284,#368489,#368490); #332554=AXIS2_PLACEMENT_3D('',#454290,#368494,#368495); #332555=AXIS2_PLACEMENT_3D('',#454296,#368499,#368500); #332556=AXIS2_PLACEMENT_3D('',#454302,#368504,#368505); #332557=AXIS2_PLACEMENT_3D('',#454308,#368509,#368510); #332558=AXIS2_PLACEMENT_3D('',#454314,#368514,#368515); #332559=AXIS2_PLACEMENT_3D('',#454320,#368519,#368520); #332560=AXIS2_PLACEMENT_3D('',#454326,#368524,#368525); #332561=AXIS2_PLACEMENT_3D('',#454332,#368529,#368530); #332562=AXIS2_PLACEMENT_3D('',#454338,#368534,#368535); #332563=AXIS2_PLACEMENT_3D('',#454344,#368539,#368540); #332564=AXIS2_PLACEMENT_3D('',#454350,#368544,#368545); #332565=AXIS2_PLACEMENT_3D('',#454356,#368549,#368550); #332566=AXIS2_PLACEMENT_3D('',#454362,#368554,#368555); #332567=AXIS2_PLACEMENT_3D('',#454368,#368559,#368560); #332568=AXIS2_PLACEMENT_3D('',#454374,#368564,#368565); #332569=AXIS2_PLACEMENT_3D('',#454380,#368569,#368570); #332570=AXIS2_PLACEMENT_3D('',#454386,#368574,#368575); #332571=AXIS2_PLACEMENT_3D('',#454392,#368579,#368580); #332572=AXIS2_PLACEMENT_3D('',#454398,#368584,#368585); #332573=AXIS2_PLACEMENT_3D('',#454404,#368589,#368590); #332574=AXIS2_PLACEMENT_3D('',#454410,#368594,#368595); #332575=AXIS2_PLACEMENT_3D('',#454416,#368599,#368600); #332576=AXIS2_PLACEMENT_3D('',#454422,#368604,#368605); #332577=AXIS2_PLACEMENT_3D('',#454428,#368609,#368610); #332578=AXIS2_PLACEMENT_3D('',#454434,#368614,#368615); #332579=AXIS2_PLACEMENT_3D('',#454440,#368619,#368620); #332580=AXIS2_PLACEMENT_3D('',#454446,#368624,#368625); #332581=AXIS2_PLACEMENT_3D('',#454452,#368629,#368630); #332582=AXIS2_PLACEMENT_3D('',#454458,#368634,#368635); #332583=AXIS2_PLACEMENT_3D('',#454464,#368639,#368640); #332584=AXIS2_PLACEMENT_3D('',#454470,#368644,#368645); #332585=AXIS2_PLACEMENT_3D('',#454476,#368649,#368650); #332586=AXIS2_PLACEMENT_3D('',#454482,#368654,#368655); #332587=AXIS2_PLACEMENT_3D('',#454488,#368659,#368660); #332588=AXIS2_PLACEMENT_3D('',#454494,#368664,#368665); #332589=AXIS2_PLACEMENT_3D('',#454500,#368669,#368670); #332590=AXIS2_PLACEMENT_3D('',#454506,#368674,#368675); #332591=AXIS2_PLACEMENT_3D('',#454512,#368679,#368680); #332592=AXIS2_PLACEMENT_3D('',#454518,#368684,#368685); #332593=AXIS2_PLACEMENT_3D('',#454524,#368689,#368690); #332594=AXIS2_PLACEMENT_3D('',#454530,#368694,#368695); #332595=AXIS2_PLACEMENT_3D('',#454536,#368699,#368700); #332596=AXIS2_PLACEMENT_3D('',#454542,#368704,#368705); #332597=AXIS2_PLACEMENT_3D('',#454548,#368709,#368710); #332598=AXIS2_PLACEMENT_3D('',#454554,#368714,#368715); #332599=AXIS2_PLACEMENT_3D('',#454560,#368719,#368720); #332600=AXIS2_PLACEMENT_3D('',#454566,#368724,#368725); #332601=AXIS2_PLACEMENT_3D('',#454572,#368729,#368730); #332602=AXIS2_PLACEMENT_3D('',#454578,#368734,#368735); #332603=AXIS2_PLACEMENT_3D('',#454584,#368739,#368740); #332604=AXIS2_PLACEMENT_3D('',#454590,#368744,#368745); #332605=AXIS2_PLACEMENT_3D('',#454596,#368749,#368750); #332606=AXIS2_PLACEMENT_3D('',#454602,#368754,#368755); #332607=AXIS2_PLACEMENT_3D('',#454608,#368759,#368760); #332608=AXIS2_PLACEMENT_3D('',#454614,#368764,#368765); #332609=AXIS2_PLACEMENT_3D('',#454620,#368769,#368770); #332610=AXIS2_PLACEMENT_3D('',#454626,#368774,#368775); #332611=AXIS2_PLACEMENT_3D('',#454632,#368779,#368780); #332612=AXIS2_PLACEMENT_3D('',#454638,#368784,#368785); #332613=AXIS2_PLACEMENT_3D('',#454644,#368789,#368790); #332614=AXIS2_PLACEMENT_3D('',#454650,#368794,#368795); #332615=AXIS2_PLACEMENT_3D('',#454656,#368799,#368800); #332616=AXIS2_PLACEMENT_3D('',#454662,#368804,#368805); #332617=AXIS2_PLACEMENT_3D('',#454668,#368809,#368810); #332618=AXIS2_PLACEMENT_3D('',#454674,#368814,#368815); #332619=AXIS2_PLACEMENT_3D('',#454680,#368819,#368820); #332620=AXIS2_PLACEMENT_3D('',#454686,#368824,#368825); #332621=AXIS2_PLACEMENT_3D('',#454692,#368829,#368830); #332622=AXIS2_PLACEMENT_3D('',#454698,#368834,#368835); #332623=AXIS2_PLACEMENT_3D('',#454704,#368839,#368840); #332624=AXIS2_PLACEMENT_3D('',#454710,#368844,#368845); #332625=AXIS2_PLACEMENT_3D('',#454716,#368849,#368850); #332626=AXIS2_PLACEMENT_3D('',#454722,#368854,#368855); #332627=AXIS2_PLACEMENT_3D('',#454728,#368859,#368860); #332628=AXIS2_PLACEMENT_3D('',#454734,#368864,#368865); #332629=AXIS2_PLACEMENT_3D('',#454740,#368869,#368870); #332630=AXIS2_PLACEMENT_3D('',#454746,#368874,#368875); #332631=AXIS2_PLACEMENT_3D('',#454752,#368879,#368880); #332632=AXIS2_PLACEMENT_3D('',#454758,#368884,#368885); #332633=AXIS2_PLACEMENT_3D('',#454764,#368889,#368890); #332634=AXIS2_PLACEMENT_3D('',#454770,#368894,#368895); #332635=AXIS2_PLACEMENT_3D('',#454776,#368899,#368900); #332636=AXIS2_PLACEMENT_3D('',#454782,#368904,#368905); #332637=AXIS2_PLACEMENT_3D('',#454788,#368909,#368910); #332638=AXIS2_PLACEMENT_3D('',#454794,#368914,#368915); #332639=AXIS2_PLACEMENT_3D('',#454800,#368919,#368920); #332640=AXIS2_PLACEMENT_3D('',#454806,#368924,#368925); #332641=AXIS2_PLACEMENT_3D('',#454812,#368929,#368930); #332642=AXIS2_PLACEMENT_3D('',#454818,#368934,#368935); #332643=AXIS2_PLACEMENT_3D('',#454824,#368939,#368940); #332644=AXIS2_PLACEMENT_3D('',#454830,#368944,#368945); #332645=AXIS2_PLACEMENT_3D('',#454836,#368949,#368950); #332646=AXIS2_PLACEMENT_3D('',#454842,#368954,#368955); #332647=AXIS2_PLACEMENT_3D('',#454848,#368959,#368960); #332648=AXIS2_PLACEMENT_3D('',#454854,#368964,#368965); #332649=AXIS2_PLACEMENT_3D('',#454860,#368969,#368970); #332650=AXIS2_PLACEMENT_3D('',#454866,#368974,#368975); #332651=AXIS2_PLACEMENT_3D('',#454872,#368979,#368980); #332652=AXIS2_PLACEMENT_3D('',#454878,#368984,#368985); #332653=AXIS2_PLACEMENT_3D('',#454884,#368989,#368990); #332654=AXIS2_PLACEMENT_3D('',#454890,#368994,#368995); #332655=AXIS2_PLACEMENT_3D('',#454896,#368999,#369000); #332656=AXIS2_PLACEMENT_3D('',#454902,#369004,#369005); #332657=AXIS2_PLACEMENT_3D('',#454908,#369009,#369010); #332658=AXIS2_PLACEMENT_3D('',#454914,#369014,#369015); #332659=AXIS2_PLACEMENT_3D('',#454920,#369019,#369020); #332660=AXIS2_PLACEMENT_3D('',#454926,#369024,#369025); #332661=AXIS2_PLACEMENT_3D('',#454932,#369029,#369030); #332662=AXIS2_PLACEMENT_3D('',#454938,#369034,#369035); #332663=AXIS2_PLACEMENT_3D('',#454944,#369039,#369040); #332664=AXIS2_PLACEMENT_3D('',#454950,#369044,#369045); #332665=AXIS2_PLACEMENT_3D('',#454956,#369049,#369050); #332666=AXIS2_PLACEMENT_3D('',#454962,#369054,#369055); #332667=AXIS2_PLACEMENT_3D('',#454968,#369059,#369060); #332668=AXIS2_PLACEMENT_3D('',#454974,#369064,#369065); #332669=AXIS2_PLACEMENT_3D('',#454980,#369069,#369070); #332670=AXIS2_PLACEMENT_3D('',#454986,#369074,#369075); #332671=AXIS2_PLACEMENT_3D('',#454992,#369079,#369080); #332672=AXIS2_PLACEMENT_3D('',#454998,#369084,#369085); #332673=AXIS2_PLACEMENT_3D('',#455001,#369088,#369089); #332674=AXIS2_PLACEMENT_3D('',#455002,#369090,#369091); #332675=AXIS2_PLACEMENT_3D('',#455003,#369092,#369093); #332676=AXIS2_PLACEMENT_3D('',#455012,#369098,#369099); #332677=AXIS2_PLACEMENT_3D('',#455018,#369103,#369104); #332678=AXIS2_PLACEMENT_3D('',#455024,#369108,#369109); #332679=AXIS2_PLACEMENT_3D('',#455030,#369113,#369114); #332680=AXIS2_PLACEMENT_3D('',#455036,#369118,#369119); #332681=AXIS2_PLACEMENT_3D('',#455042,#369123,#369124); #332682=AXIS2_PLACEMENT_3D('',#455048,#369128,#369129); #332683=AXIS2_PLACEMENT_3D('',#455054,#369133,#369134); #332684=AXIS2_PLACEMENT_3D('',#455060,#369138,#369139); #332685=AXIS2_PLACEMENT_3D('',#455066,#369143,#369144); #332686=AXIS2_PLACEMENT_3D('',#455072,#369148,#369149); #332687=AXIS2_PLACEMENT_3D('',#455078,#369153,#369154); #332688=AXIS2_PLACEMENT_3D('',#455084,#369158,#369159); #332689=AXIS2_PLACEMENT_3D('',#455090,#369163,#369164); #332690=AXIS2_PLACEMENT_3D('',#455096,#369168,#369169); #332691=AXIS2_PLACEMENT_3D('',#455102,#369173,#369174); #332692=AXIS2_PLACEMENT_3D('',#455108,#369178,#369179); #332693=AXIS2_PLACEMENT_3D('',#455114,#369183,#369184); #332694=AXIS2_PLACEMENT_3D('',#455120,#369188,#369189); #332695=AXIS2_PLACEMENT_3D('',#455126,#369193,#369194); #332696=AXIS2_PLACEMENT_3D('',#455129,#369197,#369198); #332697=AXIS2_PLACEMENT_3D('',#455130,#369199,#369200); #332698=AXIS2_PLACEMENT_3D('',#455131,#369201,#369202); #332699=AXIS2_PLACEMENT_3D('',#455140,#369207,#369208); #332700=AXIS2_PLACEMENT_3D('',#455146,#369212,#369213); #332701=AXIS2_PLACEMENT_3D('',#455152,#369217,#369218); #332702=AXIS2_PLACEMENT_3D('',#455158,#369222,#369223); #332703=AXIS2_PLACEMENT_3D('',#455164,#369227,#369228); #332704=AXIS2_PLACEMENT_3D('',#455170,#369232,#369233); #332705=AXIS2_PLACEMENT_3D('',#455176,#369237,#369238); #332706=AXIS2_PLACEMENT_3D('',#455182,#369242,#369243); #332707=AXIS2_PLACEMENT_3D('',#455188,#369247,#369248); #332708=AXIS2_PLACEMENT_3D('',#455194,#369252,#369253); #332709=AXIS2_PLACEMENT_3D('',#455200,#369257,#369258); #332710=AXIS2_PLACEMENT_3D('',#455206,#369262,#369263); #332711=AXIS2_PLACEMENT_3D('',#455212,#369267,#369268); #332712=AXIS2_PLACEMENT_3D('',#455218,#369272,#369273); #332713=AXIS2_PLACEMENT_3D('',#455224,#369277,#369278); #332714=AXIS2_PLACEMENT_3D('',#455230,#369282,#369283); #332715=AXIS2_PLACEMENT_3D('',#455236,#369287,#369288); #332716=AXIS2_PLACEMENT_3D('',#455242,#369292,#369293); #332717=AXIS2_PLACEMENT_3D('',#455248,#369297,#369298); #332718=AXIS2_PLACEMENT_3D('',#455251,#369301,#369302); #332719=AXIS2_PLACEMENT_3D('',#455252,#369303,#369304); #332720=AXIS2_PLACEMENT_3D('',#455253,#369305,#369306); #332721=AXIS2_PLACEMENT_3D('',#455255,#369307,#369308); #332722=AXIS2_PLACEMENT_3D('',#455258,#369310,#369311); #332723=AXIS2_PLACEMENT_3D('',#455259,#369312,#369313); #332724=AXIS2_PLACEMENT_3D('',#455261,#369314,#369315); #332725=AXIS2_PLACEMENT_3D('',#455264,#369317,#369318); #332726=AXIS2_PLACEMENT_3D('',#455265,#369319,#369320); #332727=AXIS2_PLACEMENT_3D('',#455267,#369321,#369322); #332728=AXIS2_PLACEMENT_3D('',#455270,#369324,#369325); #332729=AXIS2_PLACEMENT_3D('',#455271,#369326,#369327); #332730=AXIS2_PLACEMENT_3D('',#455273,#369328,#369329); #332731=AXIS2_PLACEMENT_3D('',#455276,#369331,#369332); #332732=AXIS2_PLACEMENT_3D('',#455277,#369333,#369334); #332733=AXIS2_PLACEMENT_3D('',#455279,#369335,#369336); #332734=AXIS2_PLACEMENT_3D('',#455282,#369338,#369339); #332735=AXIS2_PLACEMENT_3D('',#455283,#369340,#369341); #332736=AXIS2_PLACEMENT_3D('',#455285,#369342,#369343); #332737=AXIS2_PLACEMENT_3D('',#455288,#369345,#369346); #332738=AXIS2_PLACEMENT_3D('',#455289,#369347,#369348); #332739=AXIS2_PLACEMENT_3D('',#455291,#369349,#369350); #332740=AXIS2_PLACEMENT_3D('',#455294,#369352,#369353); #332741=AXIS2_PLACEMENT_3D('',#455295,#369354,#369355); #332742=AXIS2_PLACEMENT_3D('',#455297,#369356,#369357); #332743=AXIS2_PLACEMENT_3D('',#455300,#369359,#369360); #332744=AXIS2_PLACEMENT_3D('',#455301,#369361,#369362); #332745=AXIS2_PLACEMENT_3D('',#455303,#369363,#369364); #332746=AXIS2_PLACEMENT_3D('',#455306,#369366,#369367); #332747=AXIS2_PLACEMENT_3D('',#455307,#369368,#369369); #332748=AXIS2_PLACEMENT_3D('',#455309,#369370,#369371); #332749=AXIS2_PLACEMENT_3D('',#455312,#369373,#369374); #332750=AXIS2_PLACEMENT_3D('',#455313,#369375,#369376); #332751=AXIS2_PLACEMENT_3D('',#455315,#369377,#369378); #332752=AXIS2_PLACEMENT_3D('',#455318,#369380,#369381); #332753=AXIS2_PLACEMENT_3D('',#455319,#369382,#369383); #332754=AXIS2_PLACEMENT_3D('',#455321,#369384,#369385); #332755=AXIS2_PLACEMENT_3D('',#455324,#369387,#369388); #332756=AXIS2_PLACEMENT_3D('',#455325,#369389,#369390); #332757=AXIS2_PLACEMENT_3D('',#455327,#369391,#369392); #332758=AXIS2_PLACEMENT_3D('',#455330,#369394,#369395); #332759=AXIS2_PLACEMENT_3D('',#455331,#369396,#369397); #332760=AXIS2_PLACEMENT_3D('',#455333,#369398,#369399); #332761=AXIS2_PLACEMENT_3D('',#455336,#369401,#369402); #332762=AXIS2_PLACEMENT_3D('',#455337,#369403,#369404); #332763=AXIS2_PLACEMENT_3D('',#455339,#369405,#369406); #332764=AXIS2_PLACEMENT_3D('',#455342,#369408,#369409); #332765=AXIS2_PLACEMENT_3D('',#455343,#369410,#369411); #332766=AXIS2_PLACEMENT_3D('',#455345,#369412,#369413); #332767=AXIS2_PLACEMENT_3D('',#455348,#369415,#369416); #332768=AXIS2_PLACEMENT_3D('',#455349,#369417,#369418); #332769=AXIS2_PLACEMENT_3D('',#455351,#369419,#369420); #332770=AXIS2_PLACEMENT_3D('',#455354,#369422,#369423); #332771=AXIS2_PLACEMENT_3D('',#455355,#369424,#369425); #332772=AXIS2_PLACEMENT_3D('',#455357,#369426,#369427); #332773=AXIS2_PLACEMENT_3D('',#455360,#369429,#369430); #332774=AXIS2_PLACEMENT_3D('',#455361,#369431,#369432); #332775=AXIS2_PLACEMENT_3D('',#455363,#369433,#369434); #332776=AXIS2_PLACEMENT_3D('',#455366,#369436,#369437); #332777=AXIS2_PLACEMENT_3D('',#455367,#369438,#369439); #332778=AXIS2_PLACEMENT_3D('',#455369,#369440,#369441); #332779=AXIS2_PLACEMENT_3D('',#455372,#369443,#369444); #332780=AXIS2_PLACEMENT_3D('',#455373,#369445,#369446); #332781=AXIS2_PLACEMENT_3D('',#455375,#369447,#369448); #332782=AXIS2_PLACEMENT_3D('',#455378,#369450,#369451); #332783=AXIS2_PLACEMENT_3D('',#455379,#369452,#369453); #332784=AXIS2_PLACEMENT_3D('',#455381,#369454,#369455); #332785=AXIS2_PLACEMENT_3D('',#455384,#369457,#369458); #332786=AXIS2_PLACEMENT_3D('',#455385,#369459,#369460); #332787=AXIS2_PLACEMENT_3D('',#455387,#369461,#369462); #332788=AXIS2_PLACEMENT_3D('',#455390,#369464,#369465); #332789=AXIS2_PLACEMENT_3D('',#455391,#369466,#369467); #332790=AXIS2_PLACEMENT_3D('',#455393,#369468,#369469); #332791=AXIS2_PLACEMENT_3D('',#455396,#369471,#369472); #332792=AXIS2_PLACEMENT_3D('',#455397,#369473,#369474); #332793=AXIS2_PLACEMENT_3D('',#455399,#369475,#369476); #332794=AXIS2_PLACEMENT_3D('',#455402,#369478,#369479); #332795=AXIS2_PLACEMENT_3D('',#455403,#369480,#369481); #332796=AXIS2_PLACEMENT_3D('',#455405,#369482,#369483); #332797=AXIS2_PLACEMENT_3D('',#455408,#369485,#369486); #332798=AXIS2_PLACEMENT_3D('',#455409,#369487,#369488); #332799=AXIS2_PLACEMENT_3D('',#455411,#369489,#369490); #332800=AXIS2_PLACEMENT_3D('',#455414,#369492,#369493); #332801=AXIS2_PLACEMENT_3D('',#455415,#369494,#369495); #332802=AXIS2_PLACEMENT_3D('',#455417,#369496,#369497); #332803=AXIS2_PLACEMENT_3D('',#455420,#369499,#369500); #332804=AXIS2_PLACEMENT_3D('',#455421,#369501,#369502); #332805=AXIS2_PLACEMENT_3D('',#455423,#369503,#369504); #332806=AXIS2_PLACEMENT_3D('',#455426,#369506,#369507); #332807=AXIS2_PLACEMENT_3D('',#455427,#369508,#369509); #332808=AXIS2_PLACEMENT_3D('',#455429,#369510,#369511); #332809=AXIS2_PLACEMENT_3D('',#455432,#369513,#369514); #332810=AXIS2_PLACEMENT_3D('',#455433,#369515,#369516); #332811=AXIS2_PLACEMENT_3D('',#455435,#369517,#369518); #332812=AXIS2_PLACEMENT_3D('',#455438,#369520,#369521); #332813=AXIS2_PLACEMENT_3D('',#455439,#369522,#369523); #332814=AXIS2_PLACEMENT_3D('',#455441,#369524,#369525); #332815=AXIS2_PLACEMENT_3D('',#455444,#369527,#369528); #332816=AXIS2_PLACEMENT_3D('',#455445,#369529,#369530); #332817=AXIS2_PLACEMENT_3D('',#455447,#369531,#369532); #332818=AXIS2_PLACEMENT_3D('',#455450,#369534,#369535); #332819=AXIS2_PLACEMENT_3D('',#455451,#369536,#369537); #332820=AXIS2_PLACEMENT_3D('',#455453,#369538,#369539); #332821=AXIS2_PLACEMENT_3D('',#455456,#369541,#369542); #332822=AXIS2_PLACEMENT_3D('',#455457,#369543,#369544); #332823=AXIS2_PLACEMENT_3D('',#455459,#369545,#369546); #332824=AXIS2_PLACEMENT_3D('',#455462,#369548,#369549); #332825=AXIS2_PLACEMENT_3D('',#455463,#369550,#369551); #332826=AXIS2_PLACEMENT_3D('',#455472,#369556,#369557); #332827=AXIS2_PLACEMENT_3D('',#455474,#369558,#369559); #332828=AXIS2_PLACEMENT_3D('',#455476,#369560,#369561); #332829=AXIS2_PLACEMENT_3D('',#455478,#369563,#369564); #332830=AXIS2_PLACEMENT_3D('',#455484,#369568,#369569); #332831=AXIS2_PLACEMENT_3D('',#455485,#369570,#369571); #332832=AXIS2_PLACEMENT_3D('',#455486,#369572,#369573); #332833=AXIS2_PLACEMENT_3D('',#455487,#369574,#369575); #332834=AXIS2_PLACEMENT_3D('',#455489,#369576,#369577); #332835=AXIS2_PLACEMENT_3D('',#455492,#369579,#369580); #332836=AXIS2_PLACEMENT_3D('',#455493,#369581,#369582); #332837=AXIS2_PLACEMENT_3D('',#455495,#369583,#369584); #332838=AXIS2_PLACEMENT_3D('',#455498,#369586,#369587); #332839=AXIS2_PLACEMENT_3D('',#455499,#369588,#369589); #332840=AXIS2_PLACEMENT_3D('',#455501,#369590,#369591); #332841=AXIS2_PLACEMENT_3D('',#455504,#369593,#369594); #332842=AXIS2_PLACEMENT_3D('',#455505,#369595,#369596); #332843=AXIS2_PLACEMENT_3D('',#455507,#369597,#369598); #332844=AXIS2_PLACEMENT_3D('',#455510,#369600,#369601); #332845=AXIS2_PLACEMENT_3D('',#455511,#369602,#369603); #332846=AXIS2_PLACEMENT_3D('',#455520,#369608,#369609); #332847=AXIS2_PLACEMENT_3D('',#455522,#369610,#369611); #332848=AXIS2_PLACEMENT_3D('',#455524,#369612,#369613); #332849=AXIS2_PLACEMENT_3D('',#455526,#369615,#369616); #332850=AXIS2_PLACEMENT_3D('',#455532,#369620,#369621); #332851=AXIS2_PLACEMENT_3D('',#455533,#369622,#369623); #332852=AXIS2_PLACEMENT_3D('',#455534,#369624,#369625); #332853=AXIS2_PLACEMENT_3D('',#455535,#369626,#369627); #332854=AXIS2_PLACEMENT_3D('',#455544,#369632,#369633); #332855=AXIS2_PLACEMENT_3D('',#455546,#369634,#369635); #332856=AXIS2_PLACEMENT_3D('',#455548,#369636,#369637); #332857=AXIS2_PLACEMENT_3D('',#455550,#369639,#369640); #332858=AXIS2_PLACEMENT_3D('',#455556,#369644,#369645); #332859=AXIS2_PLACEMENT_3D('',#455557,#369646,#369647); #332860=AXIS2_PLACEMENT_3D('',#455558,#369648,#369649); #332861=AXIS2_PLACEMENT_3D('',#455559,#369650,#369651); #332862=AXIS2_PLACEMENT_3D('',#455568,#369656,#369657); #332863=AXIS2_PLACEMENT_3D('',#455570,#369658,#369659); #332864=AXIS2_PLACEMENT_3D('',#455572,#369660,#369661); #332865=AXIS2_PLACEMENT_3D('',#455574,#369663,#369664); #332866=AXIS2_PLACEMENT_3D('',#455580,#369668,#369669); #332867=AXIS2_PLACEMENT_3D('',#455581,#369670,#369671); #332868=AXIS2_PLACEMENT_3D('',#455582,#369672,#369673); #332869=AXIS2_PLACEMENT_3D('',#455583,#369674,#369675); #332870=AXIS2_PLACEMENT_3D('',#455585,#369676,#369677); #332871=AXIS2_PLACEMENT_3D('',#455588,#369679,#369680); #332872=AXIS2_PLACEMENT_3D('',#455589,#369681,#369682); #332873=AXIS2_PLACEMENT_3D('',#455591,#369683,#369684); #332874=AXIS2_PLACEMENT_3D('',#455594,#369686,#369687); #332875=AXIS2_PLACEMENT_3D('',#455595,#369688,#369689); #332876=AXIS2_PLACEMENT_3D('',#455597,#369690,#369691); #332877=AXIS2_PLACEMENT_3D('',#455600,#369693,#369694); #332878=AXIS2_PLACEMENT_3D('',#455601,#369695,#369696); #332879=AXIS2_PLACEMENT_3D('',#455603,#369697,#369698); #332880=AXIS2_PLACEMENT_3D('',#455606,#369700,#369701); #332881=AXIS2_PLACEMENT_3D('',#455607,#369702,#369703); #332882=AXIS2_PLACEMENT_3D('',#455609,#369704,#369705); #332883=AXIS2_PLACEMENT_3D('',#455612,#369707,#369708); #332884=AXIS2_PLACEMENT_3D('',#455613,#369709,#369710); #332885=AXIS2_PLACEMENT_3D('',#455615,#369711,#369712); #332886=AXIS2_PLACEMENT_3D('',#455618,#369714,#369715); #332887=AXIS2_PLACEMENT_3D('',#455619,#369716,#369717); #332888=AXIS2_PLACEMENT_3D('',#455621,#369718,#369719); #332889=AXIS2_PLACEMENT_3D('',#455624,#369721,#369722); #332890=AXIS2_PLACEMENT_3D('',#455625,#369723,#369724); #332891=AXIS2_PLACEMENT_3D('',#455627,#369725,#369726); #332892=AXIS2_PLACEMENT_3D('',#455630,#369728,#369729); #332893=AXIS2_PLACEMENT_3D('',#455631,#369730,#369731); #332894=AXIS2_PLACEMENT_3D('',#455633,#369732,#369733); #332895=AXIS2_PLACEMENT_3D('',#455636,#369735,#369736); #332896=AXIS2_PLACEMENT_3D('',#455637,#369737,#369738); #332897=AXIS2_PLACEMENT_3D('',#455639,#369739,#369740); #332898=AXIS2_PLACEMENT_3D('',#455642,#369742,#369743); #332899=AXIS2_PLACEMENT_3D('',#455643,#369744,#369745); #332900=AXIS2_PLACEMENT_3D('',#455645,#369746,#369747); #332901=AXIS2_PLACEMENT_3D('',#455648,#369749,#369750); #332902=AXIS2_PLACEMENT_3D('',#455649,#369751,#369752); #332903=AXIS2_PLACEMENT_3D('',#455651,#369753,#369754); #332904=AXIS2_PLACEMENT_3D('',#455654,#369756,#369757); #332905=AXIS2_PLACEMENT_3D('',#455655,#369758,#369759); #332906=AXIS2_PLACEMENT_3D('',#455657,#369760,#369761); #332907=AXIS2_PLACEMENT_3D('',#455660,#369763,#369764); #332908=AXIS2_PLACEMENT_3D('',#455661,#369765,#369766); #332909=AXIS2_PLACEMENT_3D('',#455663,#369767,#369768); #332910=AXIS2_PLACEMENT_3D('',#455666,#369770,#369771); #332911=AXIS2_PLACEMENT_3D('',#455667,#369772,#369773); #332912=AXIS2_PLACEMENT_3D('',#455669,#369774,#369775); #332913=AXIS2_PLACEMENT_3D('',#455672,#369777,#369778); #332914=AXIS2_PLACEMENT_3D('',#455673,#369779,#369780); #332915=AXIS2_PLACEMENT_3D('',#455675,#369781,#369782); #332916=AXIS2_PLACEMENT_3D('',#455678,#369784,#369785); #332917=AXIS2_PLACEMENT_3D('',#455679,#369786,#369787); #332918=AXIS2_PLACEMENT_3D('',#455681,#369788,#369789); #332919=AXIS2_PLACEMENT_3D('',#455684,#369791,#369792); #332920=AXIS2_PLACEMENT_3D('',#455685,#369793,#369794); #332921=AXIS2_PLACEMENT_3D('',#455687,#369795,#369796); #332922=AXIS2_PLACEMENT_3D('',#455690,#369798,#369799); #332923=AXIS2_PLACEMENT_3D('',#455691,#369800,#369801); #332924=AXIS2_PLACEMENT_3D('',#455693,#369802,#369803); #332925=AXIS2_PLACEMENT_3D('',#455696,#369805,#369806); #332926=AXIS2_PLACEMENT_3D('',#455697,#369807,#369808); #332927=AXIS2_PLACEMENT_3D('',#455706,#369813,#369814); #332928=AXIS2_PLACEMENT_3D('',#455712,#369818,#369819); #332929=AXIS2_PLACEMENT_3D('',#455718,#369823,#369824); #332930=AXIS2_PLACEMENT_3D('',#455724,#369828,#369829); #332931=AXIS2_PLACEMENT_3D('',#455730,#369833,#369834); #332932=AXIS2_PLACEMENT_3D('',#455736,#369838,#369839); #332933=AXIS2_PLACEMENT_3D('',#455742,#369843,#369844); #332934=AXIS2_PLACEMENT_3D('',#455745,#369847,#369848); #332935=AXIS2_PLACEMENT_3D('',#455754,#369853,#369854); #332936=AXIS2_PLACEMENT_3D('',#455760,#369858,#369859); #332937=AXIS2_PLACEMENT_3D('',#455766,#369863,#369864); #332938=AXIS2_PLACEMENT_3D('',#455772,#369868,#369869); #332939=AXIS2_PLACEMENT_3D('',#455778,#369873,#369874); #332940=AXIS2_PLACEMENT_3D('',#455784,#369878,#369879); #332941=AXIS2_PLACEMENT_3D('',#455790,#369883,#369884); #332942=AXIS2_PLACEMENT_3D('',#455796,#369888,#369889); #332943=AXIS2_PLACEMENT_3D('',#455802,#369893,#369894); #332944=AXIS2_PLACEMENT_3D('',#455808,#369898,#369899); #332945=AXIS2_PLACEMENT_3D('',#455814,#369903,#369904); #332946=AXIS2_PLACEMENT_3D('',#455820,#369908,#369909); #332947=AXIS2_PLACEMENT_3D('',#455826,#369913,#369914); #332948=AXIS2_PLACEMENT_3D('',#455832,#369918,#369919); #332949=AXIS2_PLACEMENT_3D('',#455838,#369923,#369924); #332950=AXIS2_PLACEMENT_3D('',#455841,#369927,#369928); #332951=AXIS2_PLACEMENT_3D('',#455850,#369933,#369934); #332952=AXIS2_PLACEMENT_3D('',#455856,#369938,#369939); #332953=AXIS2_PLACEMENT_3D('',#455862,#369943,#369944); #332954=AXIS2_PLACEMENT_3D('',#455868,#369948,#369949); #332955=AXIS2_PLACEMENT_3D('',#455874,#369953,#369954); #332956=AXIS2_PLACEMENT_3D('',#455880,#369958,#369959); #332957=AXIS2_PLACEMENT_3D('',#455886,#369963,#369964); #332958=AXIS2_PLACEMENT_3D('',#455892,#369968,#369969); #332959=AXIS2_PLACEMENT_3D('',#455898,#369973,#369974); #332960=AXIS2_PLACEMENT_3D('',#455904,#369978,#369979); #332961=AXIS2_PLACEMENT_3D('',#455910,#369983,#369984); #332962=AXIS2_PLACEMENT_3D('',#455916,#369988,#369989); #332963=AXIS2_PLACEMENT_3D('',#455922,#369993,#369994); #332964=AXIS2_PLACEMENT_3D('',#455928,#369998,#369999); #332965=AXIS2_PLACEMENT_3D('',#455934,#370003,#370004); #332966=AXIS2_PLACEMENT_3D('',#455937,#370007,#370008); #332967=AXIS2_PLACEMENT_3D('',#455946,#370013,#370014); #332968=AXIS2_PLACEMENT_3D('',#455952,#370018,#370019); #332969=AXIS2_PLACEMENT_3D('',#455958,#370023,#370024); #332970=AXIS2_PLACEMENT_3D('',#455964,#370028,#370029); #332971=AXIS2_PLACEMENT_3D('',#455970,#370033,#370034); #332972=AXIS2_PLACEMENT_3D('',#455976,#370038,#370039); #332973=AXIS2_PLACEMENT_3D('',#455982,#370043,#370044); #332974=AXIS2_PLACEMENT_3D('',#455988,#370048,#370049); #332975=AXIS2_PLACEMENT_3D('',#455994,#370053,#370054); #332976=AXIS2_PLACEMENT_3D('',#456000,#370058,#370059); #332977=AXIS2_PLACEMENT_3D('',#456006,#370063,#370064); #332978=AXIS2_PLACEMENT_3D('',#456012,#370068,#370069); #332979=AXIS2_PLACEMENT_3D('',#456018,#370073,#370074); #332980=AXIS2_PLACEMENT_3D('',#456024,#370078,#370079); #332981=AXIS2_PLACEMENT_3D('',#456030,#370083,#370084); #332982=AXIS2_PLACEMENT_3D('',#456036,#370088,#370089); #332983=AXIS2_PLACEMENT_3D('',#456042,#370093,#370094); #332984=AXIS2_PLACEMENT_3D('',#456048,#370098,#370099); #332985=AXIS2_PLACEMENT_3D('',#456054,#370103,#370104); #332986=AXIS2_PLACEMENT_3D('',#456060,#370108,#370109); #332987=AXIS2_PLACEMENT_3D('',#456066,#370113,#370114); #332988=AXIS2_PLACEMENT_3D('',#456072,#370118,#370119); #332989=AXIS2_PLACEMENT_3D('',#456078,#370123,#370124); #332990=AXIS2_PLACEMENT_3D('',#456084,#370128,#370129); #332991=AXIS2_PLACEMENT_3D('',#456090,#370133,#370134); #332992=AXIS2_PLACEMENT_3D('',#456096,#370138,#370139); #332993=AXIS2_PLACEMENT_3D('',#456102,#370143,#370144); #332994=AXIS2_PLACEMENT_3D('',#456108,#370148,#370149); #332995=AXIS2_PLACEMENT_3D('',#456114,#370153,#370154); #332996=AXIS2_PLACEMENT_3D('',#456120,#370158,#370159); #332997=AXIS2_PLACEMENT_3D('',#456126,#370163,#370164); #332998=AXIS2_PLACEMENT_3D('',#456132,#370168,#370169); #332999=AXIS2_PLACEMENT_3D('',#456138,#370173,#370174); #333000=AXIS2_PLACEMENT_3D('',#456144,#370178,#370179); #333001=AXIS2_PLACEMENT_3D('',#456150,#370183,#370184); #333002=AXIS2_PLACEMENT_3D('',#456153,#370187,#370188); #333003=AXIS2_PLACEMENT_3D('',#456162,#370193,#370194); #333004=AXIS2_PLACEMENT_3D('',#456168,#370198,#370199); #333005=AXIS2_PLACEMENT_3D('',#456174,#370203,#370204); #333006=AXIS2_PLACEMENT_3D('',#456180,#370208,#370209); #333007=AXIS2_PLACEMENT_3D('',#456186,#370213,#370214); #333008=AXIS2_PLACEMENT_3D('',#456192,#370218,#370219); #333009=AXIS2_PLACEMENT_3D('',#456198,#370223,#370224); #333010=AXIS2_PLACEMENT_3D('',#456204,#370228,#370229); #333011=AXIS2_PLACEMENT_3D('',#456210,#370233,#370234); #333012=AXIS2_PLACEMENT_3D('',#456216,#370238,#370239); #333013=AXIS2_PLACEMENT_3D('',#456222,#370243,#370244); #333014=AXIS2_PLACEMENT_3D('',#456228,#370248,#370249); #333015=AXIS2_PLACEMENT_3D('',#456234,#370253,#370254); #333016=AXIS2_PLACEMENT_3D('',#456240,#370258,#370259); #333017=AXIS2_PLACEMENT_3D('',#456246,#370263,#370264); #333018=AXIS2_PLACEMENT_3D('',#456252,#370268,#370269); #333019=AXIS2_PLACEMENT_3D('',#456258,#370273,#370274); #333020=AXIS2_PLACEMENT_3D('',#456264,#370278,#370279); #333021=AXIS2_PLACEMENT_3D('',#456270,#370283,#370284); #333022=AXIS2_PLACEMENT_3D('',#456276,#370288,#370289); #333023=AXIS2_PLACEMENT_3D('',#456282,#370293,#370294); #333024=AXIS2_PLACEMENT_3D('',#456288,#370298,#370299); #333025=AXIS2_PLACEMENT_3D('',#456294,#370303,#370304); #333026=AXIS2_PLACEMENT_3D('',#456300,#370308,#370309); #333027=AXIS2_PLACEMENT_3D('',#456306,#370313,#370314); #333028=AXIS2_PLACEMENT_3D('',#456312,#370318,#370319); #333029=AXIS2_PLACEMENT_3D('',#456318,#370323,#370324); #333030=AXIS2_PLACEMENT_3D('',#456324,#370328,#370329); #333031=AXIS2_PLACEMENT_3D('',#456330,#370333,#370334); #333032=AXIS2_PLACEMENT_3D('',#456336,#370338,#370339); #333033=AXIS2_PLACEMENT_3D('',#456342,#370343,#370344); #333034=AXIS2_PLACEMENT_3D('',#456348,#370348,#370349); #333035=AXIS2_PLACEMENT_3D('',#456354,#370353,#370354); #333036=AXIS2_PLACEMENT_3D('',#456360,#370358,#370359); #333037=AXIS2_PLACEMENT_3D('',#456366,#370363,#370364); #333038=AXIS2_PLACEMENT_3D('',#456369,#370367,#370368); #333039=AXIS2_PLACEMENT_3D('',#456378,#370373,#370374); #333040=AXIS2_PLACEMENT_3D('',#456384,#370378,#370379); #333041=AXIS2_PLACEMENT_3D('',#456390,#370383,#370384); #333042=AXIS2_PLACEMENT_3D('',#456396,#370388,#370389); #333043=AXIS2_PLACEMENT_3D('',#456402,#370393,#370394); #333044=AXIS2_PLACEMENT_3D('',#456408,#370398,#370399); #333045=AXIS2_PLACEMENT_3D('',#456414,#370403,#370404); #333046=AXIS2_PLACEMENT_3D('',#456420,#370408,#370409); #333047=AXIS2_PLACEMENT_3D('',#456426,#370413,#370414); #333048=AXIS2_PLACEMENT_3D('',#456432,#370418,#370419); #333049=AXIS2_PLACEMENT_3D('',#456438,#370423,#370424); #333050=AXIS2_PLACEMENT_3D('',#456444,#370428,#370429); #333051=AXIS2_PLACEMENT_3D('',#456450,#370433,#370434); #333052=AXIS2_PLACEMENT_3D('',#456456,#370438,#370439); #333053=AXIS2_PLACEMENT_3D('',#456462,#370443,#370444); #333054=AXIS2_PLACEMENT_3D('',#456468,#370448,#370449); #333055=AXIS2_PLACEMENT_3D('',#456474,#370453,#370454); #333056=AXIS2_PLACEMENT_3D('',#456480,#370458,#370459); #333057=AXIS2_PLACEMENT_3D('',#456486,#370463,#370464); #333058=AXIS2_PLACEMENT_3D('',#456492,#370468,#370469); #333059=AXIS2_PLACEMENT_3D('',#456498,#370473,#370474); #333060=AXIS2_PLACEMENT_3D('',#456504,#370478,#370479); #333061=AXIS2_PLACEMENT_3D('',#456510,#370483,#370484); #333062=AXIS2_PLACEMENT_3D('',#456516,#370488,#370489); #333063=AXIS2_PLACEMENT_3D('',#456522,#370493,#370494); #333064=AXIS2_PLACEMENT_3D('',#456528,#370498,#370499); #333065=AXIS2_PLACEMENT_3D('',#456534,#370503,#370504); #333066=AXIS2_PLACEMENT_3D('',#456540,#370508,#370509); #333067=AXIS2_PLACEMENT_3D('',#456546,#370513,#370514); #333068=AXIS2_PLACEMENT_3D('',#456552,#370518,#370519); #333069=AXIS2_PLACEMENT_3D('',#456558,#370523,#370524); #333070=AXIS2_PLACEMENT_3D('',#456564,#370528,#370529); #333071=AXIS2_PLACEMENT_3D('',#456570,#370533,#370534); #333072=AXIS2_PLACEMENT_3D('',#456576,#370538,#370539); #333073=AXIS2_PLACEMENT_3D('',#456582,#370543,#370544); #333074=AXIS2_PLACEMENT_3D('',#456588,#370548,#370549); #333075=AXIS2_PLACEMENT_3D('',#456594,#370553,#370554); #333076=AXIS2_PLACEMENT_3D('',#456600,#370558,#370559); #333077=AXIS2_PLACEMENT_3D('',#456606,#370563,#370564); #333078=AXIS2_PLACEMENT_3D('',#456612,#370568,#370569); #333079=AXIS2_PLACEMENT_3D('',#456618,#370573,#370574); #333080=AXIS2_PLACEMENT_3D('',#456624,#370578,#370579); #333081=AXIS2_PLACEMENT_3D('',#456630,#370583,#370584); #333082=AXIS2_PLACEMENT_3D('',#456636,#370588,#370589); #333083=AXIS2_PLACEMENT_3D('',#456642,#370593,#370594); #333084=AXIS2_PLACEMENT_3D('',#456648,#370598,#370599); #333085=AXIS2_PLACEMENT_3D('',#456654,#370603,#370604); #333086=AXIS2_PLACEMENT_3D('',#456660,#370608,#370609); #333087=AXIS2_PLACEMENT_3D('',#456666,#370613,#370614); #333088=AXIS2_PLACEMENT_3D('',#456672,#370618,#370619); #333089=AXIS2_PLACEMENT_3D('',#456678,#370623,#370624); #333090=AXIS2_PLACEMENT_3D('',#456684,#370628,#370629); #333091=AXIS2_PLACEMENT_3D('',#456690,#370633,#370634); #333092=AXIS2_PLACEMENT_3D('',#456696,#370638,#370639); #333093=AXIS2_PLACEMENT_3D('',#456702,#370643,#370644); #333094=AXIS2_PLACEMENT_3D('',#456708,#370648,#370649); #333095=AXIS2_PLACEMENT_3D('',#456714,#370653,#370654); #333096=AXIS2_PLACEMENT_3D('',#456720,#370658,#370659); #333097=AXIS2_PLACEMENT_3D('',#456726,#370663,#370664); #333098=AXIS2_PLACEMENT_3D('',#456732,#370668,#370669); #333099=AXIS2_PLACEMENT_3D('',#456738,#370673,#370674); #333100=AXIS2_PLACEMENT_3D('',#456744,#370678,#370679); #333101=AXIS2_PLACEMENT_3D('',#456750,#370683,#370684); #333102=AXIS2_PLACEMENT_3D('',#456756,#370688,#370689); #333103=AXIS2_PLACEMENT_3D('',#456762,#370693,#370694); #333104=AXIS2_PLACEMENT_3D('',#456768,#370698,#370699); #333105=AXIS2_PLACEMENT_3D('',#456774,#370703,#370704); #333106=AXIS2_PLACEMENT_3D('',#456780,#370708,#370709); #333107=AXIS2_PLACEMENT_3D('',#456786,#370713,#370714); #333108=AXIS2_PLACEMENT_3D('',#456792,#370718,#370719); #333109=AXIS2_PLACEMENT_3D('',#456798,#370723,#370724); #333110=AXIS2_PLACEMENT_3D('',#456804,#370728,#370729); #333111=AXIS2_PLACEMENT_3D('',#456810,#370733,#370734); #333112=AXIS2_PLACEMENT_3D('',#456816,#370738,#370739); #333113=AXIS2_PLACEMENT_3D('',#456822,#370743,#370744); #333114=AXIS2_PLACEMENT_3D('',#456828,#370748,#370749); #333115=AXIS2_PLACEMENT_3D('',#456834,#370753,#370754); #333116=AXIS2_PLACEMENT_3D('',#456840,#370758,#370759); #333117=AXIS2_PLACEMENT_3D('',#456846,#370763,#370764); #333118=AXIS2_PLACEMENT_3D('',#456852,#370768,#370769); #333119=AXIS2_PLACEMENT_3D('',#456858,#370773,#370774); #333120=AXIS2_PLACEMENT_3D('',#456864,#370778,#370779); #333121=AXIS2_PLACEMENT_3D('',#456870,#370783,#370784); #333122=AXIS2_PLACEMENT_3D('',#456876,#370788,#370789); #333123=AXIS2_PLACEMENT_3D('',#456882,#370793,#370794); #333124=AXIS2_PLACEMENT_3D('',#456888,#370798,#370799); #333125=AXIS2_PLACEMENT_3D('',#456894,#370803,#370804); #333126=AXIS2_PLACEMENT_3D('',#456900,#370808,#370809); #333127=AXIS2_PLACEMENT_3D('',#456906,#370813,#370814); #333128=AXIS2_PLACEMENT_3D('',#456912,#370818,#370819); #333129=AXIS2_PLACEMENT_3D('',#456918,#370823,#370824); #333130=AXIS2_PLACEMENT_3D('',#456924,#370828,#370829); #333131=AXIS2_PLACEMENT_3D('',#456930,#370833,#370834); #333132=AXIS2_PLACEMENT_3D('',#456936,#370838,#370839); #333133=AXIS2_PLACEMENT_3D('',#456942,#370843,#370844); #333134=AXIS2_PLACEMENT_3D('',#456948,#370848,#370849); #333135=AXIS2_PLACEMENT_3D('',#456954,#370853,#370854); #333136=AXIS2_PLACEMENT_3D('',#456960,#370858,#370859); #333137=AXIS2_PLACEMENT_3D('',#456966,#370863,#370864); #333138=AXIS2_PLACEMENT_3D('',#456972,#370868,#370869); #333139=AXIS2_PLACEMENT_3D('',#456978,#370873,#370874); #333140=AXIS2_PLACEMENT_3D('',#456984,#370878,#370879); #333141=AXIS2_PLACEMENT_3D('',#456990,#370883,#370884); #333142=AXIS2_PLACEMENT_3D('',#456996,#370888,#370889); #333143=AXIS2_PLACEMENT_3D('',#457002,#370893,#370894); #333144=AXIS2_PLACEMENT_3D('',#457008,#370898,#370899); #333145=AXIS2_PLACEMENT_3D('',#457014,#370903,#370904); #333146=AXIS2_PLACEMENT_3D('',#457020,#370908,#370909); #333147=AXIS2_PLACEMENT_3D('',#457026,#370913,#370914); #333148=AXIS2_PLACEMENT_3D('',#457032,#370918,#370919); #333149=AXIS2_PLACEMENT_3D('',#457038,#370923,#370924); #333150=AXIS2_PLACEMENT_3D('',#457044,#370928,#370929); #333151=AXIS2_PLACEMENT_3D('',#457050,#370933,#370934); #333152=AXIS2_PLACEMENT_3D('',#457056,#370938,#370939); #333153=AXIS2_PLACEMENT_3D('',#457062,#370943,#370944); #333154=AXIS2_PLACEMENT_3D('',#457068,#370948,#370949); #333155=AXIS2_PLACEMENT_3D('',#457074,#370953,#370954); #333156=AXIS2_PLACEMENT_3D('',#457080,#370958,#370959); #333157=AXIS2_PLACEMENT_3D('',#457086,#370963,#370964); #333158=AXIS2_PLACEMENT_3D('',#457092,#370968,#370969); #333159=AXIS2_PLACEMENT_3D('',#457098,#370973,#370974); #333160=AXIS2_PLACEMENT_3D('',#457104,#370978,#370979); #333161=AXIS2_PLACEMENT_3D('',#457110,#370983,#370984); #333162=AXIS2_PLACEMENT_3D('',#457116,#370988,#370989); #333163=AXIS2_PLACEMENT_3D('',#457122,#370993,#370994); #333164=AXIS2_PLACEMENT_3D('',#457128,#370998,#370999); #333165=AXIS2_PLACEMENT_3D('',#457134,#371003,#371004); #333166=AXIS2_PLACEMENT_3D('',#457140,#371008,#371009); #333167=AXIS2_PLACEMENT_3D('',#457146,#371013,#371014); #333168=AXIS2_PLACEMENT_3D('',#457152,#371018,#371019); #333169=AXIS2_PLACEMENT_3D('',#457158,#371023,#371024); #333170=AXIS2_PLACEMENT_3D('',#457164,#371028,#371029); #333171=AXIS2_PLACEMENT_3D('',#457170,#371033,#371034); #333172=AXIS2_PLACEMENT_3D('',#457176,#371038,#371039); #333173=AXIS2_PLACEMENT_3D('',#457182,#371043,#371044); #333174=AXIS2_PLACEMENT_3D('',#457188,#371048,#371049); #333175=AXIS2_PLACEMENT_3D('',#457194,#371053,#371054); #333176=AXIS2_PLACEMENT_3D('',#457200,#371058,#371059); #333177=AXIS2_PLACEMENT_3D('',#457206,#371063,#371064); #333178=AXIS2_PLACEMENT_3D('',#457212,#371068,#371069); #333179=AXIS2_PLACEMENT_3D('',#457218,#371073,#371074); #333180=AXIS2_PLACEMENT_3D('',#457224,#371078,#371079); #333181=AXIS2_PLACEMENT_3D('',#457230,#371083,#371084); #333182=AXIS2_PLACEMENT_3D('',#457236,#371088,#371089); #333183=AXIS2_PLACEMENT_3D('',#457242,#371093,#371094); #333184=AXIS2_PLACEMENT_3D('',#457248,#371098,#371099); #333185=AXIS2_PLACEMENT_3D('',#457254,#371103,#371104); #333186=AXIS2_PLACEMENT_3D('',#457260,#371108,#371109); #333187=AXIS2_PLACEMENT_3D('',#457266,#371113,#371114); #333188=AXIS2_PLACEMENT_3D('',#457272,#371118,#371119); #333189=AXIS2_PLACEMENT_3D('',#457278,#371123,#371124); #333190=AXIS2_PLACEMENT_3D('',#457284,#371128,#371129); #333191=AXIS2_PLACEMENT_3D('',#457290,#371133,#371134); #333192=AXIS2_PLACEMENT_3D('',#457296,#371138,#371139); #333193=AXIS2_PLACEMENT_3D('',#457302,#371143,#371144); #333194=AXIS2_PLACEMENT_3D('',#457308,#371148,#371149); #333195=AXIS2_PLACEMENT_3D('',#457314,#371153,#371154); #333196=AXIS2_PLACEMENT_3D('',#457320,#371158,#371159); #333197=AXIS2_PLACEMENT_3D('',#457326,#371163,#371164); #333198=AXIS2_PLACEMENT_3D('',#457332,#371168,#371169); #333199=AXIS2_PLACEMENT_3D('',#457338,#371173,#371174); #333200=AXIS2_PLACEMENT_3D('',#457344,#371178,#371179); #333201=AXIS2_PLACEMENT_3D('',#457350,#371183,#371184); #333202=AXIS2_PLACEMENT_3D('',#457356,#371188,#371189); #333203=AXIS2_PLACEMENT_3D('',#457362,#371193,#371194); #333204=AXIS2_PLACEMENT_3D('',#457368,#371198,#371199); #333205=AXIS2_PLACEMENT_3D('',#457374,#371203,#371204); #333206=AXIS2_PLACEMENT_3D('',#457380,#371208,#371209); #333207=AXIS2_PLACEMENT_3D('',#457386,#371213,#371214); #333208=AXIS2_PLACEMENT_3D('',#457392,#371218,#371219); #333209=AXIS2_PLACEMENT_3D('',#457398,#371223,#371224); #333210=AXIS2_PLACEMENT_3D('',#457404,#371228,#371229); #333211=AXIS2_PLACEMENT_3D('',#457410,#371233,#371234); #333212=AXIS2_PLACEMENT_3D('',#457416,#371238,#371239); #333213=AXIS2_PLACEMENT_3D('',#457422,#371243,#371244); #333214=AXIS2_PLACEMENT_3D('',#457428,#371248,#371249); #333215=AXIS2_PLACEMENT_3D('',#457434,#371253,#371254); #333216=AXIS2_PLACEMENT_3D('',#457440,#371258,#371259); #333217=AXIS2_PLACEMENT_3D('',#457446,#371263,#371264); #333218=AXIS2_PLACEMENT_3D('',#457452,#371268,#371269); #333219=AXIS2_PLACEMENT_3D('',#457458,#371273,#371274); #333220=AXIS2_PLACEMENT_3D('',#457464,#371278,#371279); #333221=AXIS2_PLACEMENT_3D('',#457470,#371283,#371284); #333222=AXIS2_PLACEMENT_3D('',#457476,#371288,#371289); #333223=AXIS2_PLACEMENT_3D('',#457482,#371293,#371294); #333224=AXIS2_PLACEMENT_3D('',#457488,#371298,#371299); #333225=AXIS2_PLACEMENT_3D('',#457494,#371303,#371304); #333226=AXIS2_PLACEMENT_3D('',#457500,#371308,#371309); #333227=AXIS2_PLACEMENT_3D('',#457506,#371313,#371314); #333228=AXIS2_PLACEMENT_3D('',#457512,#371318,#371319); #333229=AXIS2_PLACEMENT_3D('',#457518,#371323,#371324); #333230=AXIS2_PLACEMENT_3D('',#457524,#371328,#371329); #333231=AXIS2_PLACEMENT_3D('',#457530,#371333,#371334); #333232=AXIS2_PLACEMENT_3D('',#457536,#371338,#371339); #333233=AXIS2_PLACEMENT_3D('',#457542,#371343,#371344); #333234=AXIS2_PLACEMENT_3D('',#457548,#371348,#371349); #333235=AXIS2_PLACEMENT_3D('',#457554,#371353,#371354); #333236=AXIS2_PLACEMENT_3D('',#457560,#371358,#371359); #333237=AXIS2_PLACEMENT_3D('',#457566,#371363,#371364); #333238=AXIS2_PLACEMENT_3D('',#457572,#371368,#371369); #333239=AXIS2_PLACEMENT_3D('',#457578,#371373,#371374); #333240=AXIS2_PLACEMENT_3D('',#457584,#371378,#371379); #333241=AXIS2_PLACEMENT_3D('',#457590,#371383,#371384); #333242=AXIS2_PLACEMENT_3D('',#457596,#371388,#371389); #333243=AXIS2_PLACEMENT_3D('',#457602,#371393,#371394); #333244=AXIS2_PLACEMENT_3D('',#457608,#371398,#371399); #333245=AXIS2_PLACEMENT_3D('',#457614,#371403,#371404); #333246=AXIS2_PLACEMENT_3D('',#457620,#371408,#371409); #333247=AXIS2_PLACEMENT_3D('',#457626,#371413,#371414); #333248=AXIS2_PLACEMENT_3D('',#457632,#371418,#371419); #333249=AXIS2_PLACEMENT_3D('',#457638,#371423,#371424); #333250=AXIS2_PLACEMENT_3D('',#457644,#371428,#371429); #333251=AXIS2_PLACEMENT_3D('',#457650,#371433,#371434); #333252=AXIS2_PLACEMENT_3D('',#457656,#371438,#371439); #333253=AXIS2_PLACEMENT_3D('',#457662,#371443,#371444); #333254=AXIS2_PLACEMENT_3D('',#457668,#371448,#371449); #333255=AXIS2_PLACEMENT_3D('',#457674,#371453,#371454); #333256=AXIS2_PLACEMENT_3D('',#457680,#371458,#371459); #333257=AXIS2_PLACEMENT_3D('',#457686,#371463,#371464); #333258=AXIS2_PLACEMENT_3D('',#457692,#371468,#371469); #333259=AXIS2_PLACEMENT_3D('',#457698,#371473,#371474); #333260=AXIS2_PLACEMENT_3D('',#457704,#371478,#371479); #333261=AXIS2_PLACEMENT_3D('',#457710,#371483,#371484); #333262=AXIS2_PLACEMENT_3D('',#457716,#371488,#371489); #333263=AXIS2_PLACEMENT_3D('',#457722,#371493,#371494); #333264=AXIS2_PLACEMENT_3D('',#457728,#371498,#371499); #333265=AXIS2_PLACEMENT_3D('',#457734,#371503,#371504); #333266=AXIS2_PLACEMENT_3D('',#457740,#371508,#371509); #333267=AXIS2_PLACEMENT_3D('',#457746,#371513,#371514); #333268=AXIS2_PLACEMENT_3D('',#457752,#371518,#371519); #333269=AXIS2_PLACEMENT_3D('',#457758,#371523,#371524); #333270=AXIS2_PLACEMENT_3D('',#457764,#371528,#371529); #333271=AXIS2_PLACEMENT_3D('',#457770,#371533,#371534); #333272=AXIS2_PLACEMENT_3D('',#457776,#371538,#371539); #333273=AXIS2_PLACEMENT_3D('',#457782,#371543,#371544); #333274=AXIS2_PLACEMENT_3D('',#457788,#371548,#371549); #333275=AXIS2_PLACEMENT_3D('',#457794,#371553,#371554); #333276=AXIS2_PLACEMENT_3D('',#457800,#371558,#371559); #333277=AXIS2_PLACEMENT_3D('',#457806,#371563,#371564); #333278=AXIS2_PLACEMENT_3D('',#457812,#371568,#371569); #333279=AXIS2_PLACEMENT_3D('',#457818,#371573,#371574); #333280=AXIS2_PLACEMENT_3D('',#457824,#371578,#371579); #333281=AXIS2_PLACEMENT_3D('',#457830,#371583,#371584); #333282=AXIS2_PLACEMENT_3D('',#457836,#371588,#371589); #333283=AXIS2_PLACEMENT_3D('',#457842,#371593,#371594); #333284=AXIS2_PLACEMENT_3D('',#457848,#371598,#371599); #333285=AXIS2_PLACEMENT_3D('',#457854,#371603,#371604); #333286=AXIS2_PLACEMENT_3D('',#457860,#371608,#371609); #333287=AXIS2_PLACEMENT_3D('',#457866,#371613,#371614); #333288=AXIS2_PLACEMENT_3D('',#457872,#371618,#371619); #333289=AXIS2_PLACEMENT_3D('',#457878,#371623,#371624); #333290=AXIS2_PLACEMENT_3D('',#457884,#371628,#371629); #333291=AXIS2_PLACEMENT_3D('',#457890,#371633,#371634); #333292=AXIS2_PLACEMENT_3D('',#457896,#371638,#371639); #333293=AXIS2_PLACEMENT_3D('',#457902,#371643,#371644); #333294=AXIS2_PLACEMENT_3D('',#457908,#371648,#371649); #333295=AXIS2_PLACEMENT_3D('',#457914,#371653,#371654); #333296=AXIS2_PLACEMENT_3D('',#457920,#371658,#371659); #333297=AXIS2_PLACEMENT_3D('',#457926,#371663,#371664); #333298=AXIS2_PLACEMENT_3D('',#457932,#371668,#371669); #333299=AXIS2_PLACEMENT_3D('',#457938,#371673,#371674); #333300=AXIS2_PLACEMENT_3D('',#457944,#371678,#371679); #333301=AXIS2_PLACEMENT_3D('',#457950,#371683,#371684); #333302=AXIS2_PLACEMENT_3D('',#457956,#371688,#371689); #333303=AXIS2_PLACEMENT_3D('',#457962,#371693,#371694); #333304=AXIS2_PLACEMENT_3D('',#457968,#371698,#371699); #333305=AXIS2_PLACEMENT_3D('',#457974,#371703,#371704); #333306=AXIS2_PLACEMENT_3D('',#457980,#371708,#371709); #333307=AXIS2_PLACEMENT_3D('',#457986,#371713,#371714); #333308=AXIS2_PLACEMENT_3D('',#457992,#371718,#371719); #333309=AXIS2_PLACEMENT_3D('',#457998,#371723,#371724); #333310=AXIS2_PLACEMENT_3D('',#458004,#371728,#371729); #333311=AXIS2_PLACEMENT_3D('',#458010,#371733,#371734); #333312=AXIS2_PLACEMENT_3D('',#458016,#371738,#371739); #333313=AXIS2_PLACEMENT_3D('',#458022,#371743,#371744); #333314=AXIS2_PLACEMENT_3D('',#458028,#371748,#371749); #333315=AXIS2_PLACEMENT_3D('',#458034,#371753,#371754); #333316=AXIS2_PLACEMENT_3D('',#458040,#371758,#371759); #333317=AXIS2_PLACEMENT_3D('',#458046,#371763,#371764); #333318=AXIS2_PLACEMENT_3D('',#458052,#371768,#371769); #333319=AXIS2_PLACEMENT_3D('',#458058,#371773,#371774); #333320=AXIS2_PLACEMENT_3D('',#458064,#371778,#371779); #333321=AXIS2_PLACEMENT_3D('',#458070,#371783,#371784); #333322=AXIS2_PLACEMENT_3D('',#458076,#371788,#371789); #333323=AXIS2_PLACEMENT_3D('',#458082,#371793,#371794); #333324=AXIS2_PLACEMENT_3D('',#458088,#371798,#371799); #333325=AXIS2_PLACEMENT_3D('',#458094,#371803,#371804); #333326=AXIS2_PLACEMENT_3D('',#458100,#371808,#371809); #333327=AXIS2_PLACEMENT_3D('',#458106,#371813,#371814); #333328=AXIS2_PLACEMENT_3D('',#458112,#371818,#371819); #333329=AXIS2_PLACEMENT_3D('',#458118,#371823,#371824); #333330=AXIS2_PLACEMENT_3D('',#458124,#371828,#371829); #333331=AXIS2_PLACEMENT_3D('',#458130,#371833,#371834); #333332=AXIS2_PLACEMENT_3D('',#458136,#371838,#371839); #333333=AXIS2_PLACEMENT_3D('',#458142,#371843,#371844); #333334=AXIS2_PLACEMENT_3D('',#458148,#371848,#371849); #333335=AXIS2_PLACEMENT_3D('',#458154,#371853,#371854); #333336=AXIS2_PLACEMENT_3D('',#458160,#371858,#371859); #333337=AXIS2_PLACEMENT_3D('',#458166,#371863,#371864); #333338=AXIS2_PLACEMENT_3D('',#458172,#371868,#371869); #333339=AXIS2_PLACEMENT_3D('',#458178,#371873,#371874); #333340=AXIS2_PLACEMENT_3D('',#458184,#371878,#371879); #333341=AXIS2_PLACEMENT_3D('',#458190,#371883,#371884); #333342=AXIS2_PLACEMENT_3D('',#458196,#371888,#371889); #333343=AXIS2_PLACEMENT_3D('',#458202,#371893,#371894); #333344=AXIS2_PLACEMENT_3D('',#458208,#371898,#371899); #333345=AXIS2_PLACEMENT_3D('',#458214,#371903,#371904); #333346=AXIS2_PLACEMENT_3D('',#458220,#371908,#371909); #333347=AXIS2_PLACEMENT_3D('',#458226,#371913,#371914); #333348=AXIS2_PLACEMENT_3D('',#458232,#371918,#371919); #333349=AXIS2_PLACEMENT_3D('',#458238,#371923,#371924); #333350=AXIS2_PLACEMENT_3D('',#458244,#371928,#371929); #333351=AXIS2_PLACEMENT_3D('',#458250,#371933,#371934); #333352=AXIS2_PLACEMENT_3D('',#458256,#371938,#371939); #333353=AXIS2_PLACEMENT_3D('',#458262,#371943,#371944); #333354=AXIS2_PLACEMENT_3D('',#458268,#371948,#371949); #333355=AXIS2_PLACEMENT_3D('',#458274,#371953,#371954); #333356=AXIS2_PLACEMENT_3D('',#458280,#371958,#371959); #333357=AXIS2_PLACEMENT_3D('',#458286,#371963,#371964); #333358=AXIS2_PLACEMENT_3D('',#458292,#371968,#371969); #333359=AXIS2_PLACEMENT_3D('',#458298,#371973,#371974); #333360=AXIS2_PLACEMENT_3D('',#458304,#371978,#371979); #333361=AXIS2_PLACEMENT_3D('',#458310,#371983,#371984); #333362=AXIS2_PLACEMENT_3D('',#458316,#371988,#371989); #333363=AXIS2_PLACEMENT_3D('',#458322,#371993,#371994); #333364=AXIS2_PLACEMENT_3D('',#458328,#371998,#371999); #333365=AXIS2_PLACEMENT_3D('',#458334,#372003,#372004); #333366=AXIS2_PLACEMENT_3D('',#458340,#372008,#372009); #333367=AXIS2_PLACEMENT_3D('',#458346,#372013,#372014); #333368=AXIS2_PLACEMENT_3D('',#458352,#372018,#372019); #333369=AXIS2_PLACEMENT_3D('',#458358,#372023,#372024); #333370=AXIS2_PLACEMENT_3D('',#458364,#372028,#372029); #333371=AXIS2_PLACEMENT_3D('',#458370,#372033,#372034); #333372=AXIS2_PLACEMENT_3D('',#458376,#372038,#372039); #333373=AXIS2_PLACEMENT_3D('',#458382,#372043,#372044); #333374=AXIS2_PLACEMENT_3D('',#458388,#372048,#372049); #333375=AXIS2_PLACEMENT_3D('',#458394,#372053,#372054); #333376=AXIS2_PLACEMENT_3D('',#458400,#372058,#372059); #333377=AXIS2_PLACEMENT_3D('',#458406,#372063,#372064); #333378=AXIS2_PLACEMENT_3D('',#458412,#372068,#372069); #333379=AXIS2_PLACEMENT_3D('',#458418,#372073,#372074); #333380=AXIS2_PLACEMENT_3D('',#458424,#372078,#372079); #333381=AXIS2_PLACEMENT_3D('',#458430,#372083,#372084); #333382=AXIS2_PLACEMENT_3D('',#458436,#372088,#372089); #333383=AXIS2_PLACEMENT_3D('',#458442,#372093,#372094); #333384=AXIS2_PLACEMENT_3D('',#458448,#372098,#372099); #333385=AXIS2_PLACEMENT_3D('',#458454,#372103,#372104); #333386=AXIS2_PLACEMENT_3D('',#458460,#372108,#372109); #333387=AXIS2_PLACEMENT_3D('',#458466,#372113,#372114); #333388=AXIS2_PLACEMENT_3D('',#458472,#372118,#372119); #333389=AXIS2_PLACEMENT_3D('',#458478,#372123,#372124); #333390=AXIS2_PLACEMENT_3D('',#458484,#372128,#372129); #333391=AXIS2_PLACEMENT_3D('',#458490,#372133,#372134); #333392=AXIS2_PLACEMENT_3D('',#458496,#372138,#372139); #333393=AXIS2_PLACEMENT_3D('',#458502,#372143,#372144); #333394=AXIS2_PLACEMENT_3D('',#458508,#372148,#372149); #333395=AXIS2_PLACEMENT_3D('',#458514,#372153,#372154); #333396=AXIS2_PLACEMENT_3D('',#458520,#372158,#372159); #333397=AXIS2_PLACEMENT_3D('',#458526,#372163,#372164); #333398=AXIS2_PLACEMENT_3D('',#458532,#372168,#372169); #333399=AXIS2_PLACEMENT_3D('',#458538,#372173,#372174); #333400=AXIS2_PLACEMENT_3D('',#458544,#372178,#372179); #333401=AXIS2_PLACEMENT_3D('',#458550,#372183,#372184); #333402=AXIS2_PLACEMENT_3D('',#458556,#372188,#372189); #333403=AXIS2_PLACEMENT_3D('',#458562,#372193,#372194); #333404=AXIS2_PLACEMENT_3D('',#458568,#372198,#372199); #333405=AXIS2_PLACEMENT_3D('',#458574,#372203,#372204); #333406=AXIS2_PLACEMENT_3D('',#458580,#372208,#372209); #333407=AXIS2_PLACEMENT_3D('',#458586,#372213,#372214); #333408=AXIS2_PLACEMENT_3D('',#458592,#372218,#372219); #333409=AXIS2_PLACEMENT_3D('',#458598,#372223,#372224); #333410=AXIS2_PLACEMENT_3D('',#458604,#372228,#372229); #333411=AXIS2_PLACEMENT_3D('',#458610,#372233,#372234); #333412=AXIS2_PLACEMENT_3D('',#458616,#372238,#372239); #333413=AXIS2_PLACEMENT_3D('',#458622,#372243,#372244); #333414=AXIS2_PLACEMENT_3D('',#458628,#372248,#372249); #333415=AXIS2_PLACEMENT_3D('',#458634,#372253,#372254); #333416=AXIS2_PLACEMENT_3D('',#458640,#372258,#372259); #333417=AXIS2_PLACEMENT_3D('',#458646,#372263,#372264); #333418=AXIS2_PLACEMENT_3D('',#458652,#372268,#372269); #333419=AXIS2_PLACEMENT_3D('',#458658,#372273,#372274); #333420=AXIS2_PLACEMENT_3D('',#458664,#372278,#372279); #333421=AXIS2_PLACEMENT_3D('',#458670,#372283,#372284); #333422=AXIS2_PLACEMENT_3D('',#458676,#372288,#372289); #333423=AXIS2_PLACEMENT_3D('',#458682,#372293,#372294); #333424=AXIS2_PLACEMENT_3D('',#458688,#372298,#372299); #333425=AXIS2_PLACEMENT_3D('',#458694,#372303,#372304); #333426=AXIS2_PLACEMENT_3D('',#458700,#372308,#372309); #333427=AXIS2_PLACEMENT_3D('',#458706,#372313,#372314); #333428=AXIS2_PLACEMENT_3D('',#458712,#372318,#372319); #333429=AXIS2_PLACEMENT_3D('',#458718,#372323,#372324); #333430=AXIS2_PLACEMENT_3D('',#458724,#372328,#372329); #333431=AXIS2_PLACEMENT_3D('',#458730,#372333,#372334); #333432=AXIS2_PLACEMENT_3D('',#458736,#372338,#372339); #333433=AXIS2_PLACEMENT_3D('',#458742,#372343,#372344); #333434=AXIS2_PLACEMENT_3D('',#458748,#372348,#372349); #333435=AXIS2_PLACEMENT_3D('',#458754,#372353,#372354); #333436=AXIS2_PLACEMENT_3D('',#458760,#372358,#372359); #333437=AXIS2_PLACEMENT_3D('',#458766,#372363,#372364); #333438=AXIS2_PLACEMENT_3D('',#458772,#372368,#372369); #333439=AXIS2_PLACEMENT_3D('',#458778,#372373,#372374); #333440=AXIS2_PLACEMENT_3D('',#458784,#372378,#372379); #333441=AXIS2_PLACEMENT_3D('',#458790,#372383,#372384); #333442=AXIS2_PLACEMENT_3D('',#458796,#372388,#372389); #333443=AXIS2_PLACEMENT_3D('',#458802,#372393,#372394); #333444=AXIS2_PLACEMENT_3D('',#458808,#372398,#372399); #333445=AXIS2_PLACEMENT_3D('',#458814,#372403,#372404); #333446=AXIS2_PLACEMENT_3D('',#458820,#372408,#372409); #333447=AXIS2_PLACEMENT_3D('',#458826,#372413,#372414); #333448=AXIS2_PLACEMENT_3D('',#458832,#372418,#372419); #333449=AXIS2_PLACEMENT_3D('',#458838,#372423,#372424); #333450=AXIS2_PLACEMENT_3D('',#458844,#372428,#372429); #333451=AXIS2_PLACEMENT_3D('',#458850,#372433,#372434); #333452=AXIS2_PLACEMENT_3D('',#458856,#372438,#372439); #333453=AXIS2_PLACEMENT_3D('',#458862,#372443,#372444); #333454=AXIS2_PLACEMENT_3D('',#458868,#372448,#372449); #333455=AXIS2_PLACEMENT_3D('',#458874,#372453,#372454); #333456=AXIS2_PLACEMENT_3D('',#458880,#372458,#372459); #333457=AXIS2_PLACEMENT_3D('',#458886,#372463,#372464); #333458=AXIS2_PLACEMENT_3D('',#458892,#372468,#372469); #333459=AXIS2_PLACEMENT_3D('',#458898,#372473,#372474); #333460=AXIS2_PLACEMENT_3D('',#458904,#372478,#372479); #333461=AXIS2_PLACEMENT_3D('',#458910,#372483,#372484); #333462=AXIS2_PLACEMENT_3D('',#458916,#372488,#372489); #333463=AXIS2_PLACEMENT_3D('',#458922,#372493,#372494); #333464=AXIS2_PLACEMENT_3D('',#458928,#372498,#372499); #333465=AXIS2_PLACEMENT_3D('',#458934,#372503,#372504); #333466=AXIS2_PLACEMENT_3D('',#458940,#372508,#372509); #333467=AXIS2_PLACEMENT_3D('',#458946,#372513,#372514); #333468=AXIS2_PLACEMENT_3D('',#458952,#372518,#372519); #333469=AXIS2_PLACEMENT_3D('',#458958,#372523,#372524); #333470=AXIS2_PLACEMENT_3D('',#458964,#372528,#372529); #333471=AXIS2_PLACEMENT_3D('',#458970,#372533,#372534); #333472=AXIS2_PLACEMENT_3D('',#458976,#372538,#372539); #333473=AXIS2_PLACEMENT_3D('',#458982,#372543,#372544); #333474=AXIS2_PLACEMENT_3D('',#458988,#372548,#372549); #333475=AXIS2_PLACEMENT_3D('',#458994,#372553,#372554); #333476=AXIS2_PLACEMENT_3D('',#459000,#372558,#372559); #333477=AXIS2_PLACEMENT_3D('',#459006,#372563,#372564); #333478=AXIS2_PLACEMENT_3D('',#459012,#372568,#372569); #333479=AXIS2_PLACEMENT_3D('',#459018,#372573,#372574); #333480=AXIS2_PLACEMENT_3D('',#459024,#372578,#372579); #333481=AXIS2_PLACEMENT_3D('',#459030,#372583,#372584); #333482=AXIS2_PLACEMENT_3D('',#459036,#372588,#372589); #333483=AXIS2_PLACEMENT_3D('',#459042,#372593,#372594); #333484=AXIS2_PLACEMENT_3D('',#459048,#372598,#372599); #333485=AXIS2_PLACEMENT_3D('',#459054,#372603,#372604); #333486=AXIS2_PLACEMENT_3D('',#459060,#372608,#372609); #333487=AXIS2_PLACEMENT_3D('',#459066,#372613,#372614); #333488=AXIS2_PLACEMENT_3D('',#459072,#372618,#372619); #333489=AXIS2_PLACEMENT_3D('',#459078,#372623,#372624); #333490=AXIS2_PLACEMENT_3D('',#459084,#372628,#372629); #333491=AXIS2_PLACEMENT_3D('',#459090,#372633,#372634); #333492=AXIS2_PLACEMENT_3D('',#459096,#372638,#372639); #333493=AXIS2_PLACEMENT_3D('',#459102,#372643,#372644); #333494=AXIS2_PLACEMENT_3D('',#459108,#372648,#372649); #333495=AXIS2_PLACEMENT_3D('',#459114,#372653,#372654); #333496=AXIS2_PLACEMENT_3D('',#459120,#372658,#372659); #333497=AXIS2_PLACEMENT_3D('',#459126,#372663,#372664); #333498=AXIS2_PLACEMENT_3D('',#459132,#372668,#372669); #333499=AXIS2_PLACEMENT_3D('',#459138,#372673,#372674); #333500=AXIS2_PLACEMENT_3D('',#459144,#372678,#372679); #333501=AXIS2_PLACEMENT_3D('',#459150,#372683,#372684); #333502=AXIS2_PLACEMENT_3D('',#459156,#372688,#372689); #333503=AXIS2_PLACEMENT_3D('',#459162,#372693,#372694); #333504=AXIS2_PLACEMENT_3D('',#459168,#372698,#372699); #333505=AXIS2_PLACEMENT_3D('',#459174,#372703,#372704); #333506=AXIS2_PLACEMENT_3D('',#459180,#372708,#372709); #333507=AXIS2_PLACEMENT_3D('',#459186,#372713,#372714); #333508=AXIS2_PLACEMENT_3D('',#459192,#372718,#372719); #333509=AXIS2_PLACEMENT_3D('',#459198,#372723,#372724); #333510=AXIS2_PLACEMENT_3D('',#459204,#372728,#372729); #333511=AXIS2_PLACEMENT_3D('',#459210,#372733,#372734); #333512=AXIS2_PLACEMENT_3D('',#459216,#372738,#372739); #333513=AXIS2_PLACEMENT_3D('',#459222,#372743,#372744); #333514=AXIS2_PLACEMENT_3D('',#459228,#372748,#372749); #333515=AXIS2_PLACEMENT_3D('',#459234,#372753,#372754); #333516=AXIS2_PLACEMENT_3D('',#459240,#372758,#372759); #333517=AXIS2_PLACEMENT_3D('',#459246,#372763,#372764); #333518=AXIS2_PLACEMENT_3D('',#459252,#372768,#372769); #333519=AXIS2_PLACEMENT_3D('',#459258,#372773,#372774); #333520=AXIS2_PLACEMENT_3D('',#459264,#372778,#372779); #333521=AXIS2_PLACEMENT_3D('',#459270,#372783,#372784); #333522=AXIS2_PLACEMENT_3D('',#459276,#372788,#372789); #333523=AXIS2_PLACEMENT_3D('',#459282,#372793,#372794); #333524=AXIS2_PLACEMENT_3D('',#459288,#372798,#372799); #333525=AXIS2_PLACEMENT_3D('',#459294,#372803,#372804); #333526=AXIS2_PLACEMENT_3D('',#459300,#372808,#372809); #333527=AXIS2_PLACEMENT_3D('',#459306,#372813,#372814); #333528=AXIS2_PLACEMENT_3D('',#459312,#372818,#372819); #333529=AXIS2_PLACEMENT_3D('',#459318,#372823,#372824); #333530=AXIS2_PLACEMENT_3D('',#459324,#372828,#372829); #333531=AXIS2_PLACEMENT_3D('',#459330,#372833,#372834); #333532=AXIS2_PLACEMENT_3D('',#459336,#372838,#372839); #333533=AXIS2_PLACEMENT_3D('',#459342,#372843,#372844); #333534=AXIS2_PLACEMENT_3D('',#459348,#372848,#372849); #333535=AXIS2_PLACEMENT_3D('',#459354,#372853,#372854); #333536=AXIS2_PLACEMENT_3D('',#459360,#372858,#372859); #333537=AXIS2_PLACEMENT_3D('',#459366,#372863,#372864); #333538=AXIS2_PLACEMENT_3D('',#459372,#372868,#372869); #333539=AXIS2_PLACEMENT_3D('',#459378,#372873,#372874); #333540=AXIS2_PLACEMENT_3D('',#459384,#372878,#372879); #333541=AXIS2_PLACEMENT_3D('',#459390,#372883,#372884); #333542=AXIS2_PLACEMENT_3D('',#459396,#372888,#372889); #333543=AXIS2_PLACEMENT_3D('',#459402,#372893,#372894); #333544=AXIS2_PLACEMENT_3D('',#459408,#372898,#372899); #333545=AXIS2_PLACEMENT_3D('',#459414,#372903,#372904); #333546=AXIS2_PLACEMENT_3D('',#459420,#372908,#372909); #333547=AXIS2_PLACEMENT_3D('',#459426,#372913,#372914); #333548=AXIS2_PLACEMENT_3D('',#459432,#372918,#372919); #333549=AXIS2_PLACEMENT_3D('',#459438,#372923,#372924); #333550=AXIS2_PLACEMENT_3D('',#459444,#372928,#372929); #333551=AXIS2_PLACEMENT_3D('',#459450,#372933,#372934); #333552=AXIS2_PLACEMENT_3D('',#459456,#372938,#372939); #333553=AXIS2_PLACEMENT_3D('',#459462,#372943,#372944); #333554=AXIS2_PLACEMENT_3D('',#459468,#372948,#372949); #333555=AXIS2_PLACEMENT_3D('',#459474,#372953,#372954); #333556=AXIS2_PLACEMENT_3D('',#459480,#372958,#372959); #333557=AXIS2_PLACEMENT_3D('',#459486,#372963,#372964); #333558=AXIS2_PLACEMENT_3D('',#459492,#372968,#372969); #333559=AXIS2_PLACEMENT_3D('',#459498,#372973,#372974); #333560=AXIS2_PLACEMENT_3D('',#459504,#372978,#372979); #333561=AXIS2_PLACEMENT_3D('',#459510,#372983,#372984); #333562=AXIS2_PLACEMENT_3D('',#459516,#372988,#372989); #333563=AXIS2_PLACEMENT_3D('',#459522,#372993,#372994); #333564=AXIS2_PLACEMENT_3D('',#459528,#372998,#372999); #333565=AXIS2_PLACEMENT_3D('',#459534,#373003,#373004); #333566=AXIS2_PLACEMENT_3D('',#459540,#373008,#373009); #333567=AXIS2_PLACEMENT_3D('',#459546,#373013,#373014); #333568=AXIS2_PLACEMENT_3D('',#459552,#373018,#373019); #333569=AXIS2_PLACEMENT_3D('',#459558,#373023,#373024); #333570=AXIS2_PLACEMENT_3D('',#459564,#373028,#373029); #333571=AXIS2_PLACEMENT_3D('',#459570,#373033,#373034); #333572=AXIS2_PLACEMENT_3D('',#459576,#373038,#373039); #333573=AXIS2_PLACEMENT_3D('',#459582,#373043,#373044); #333574=AXIS2_PLACEMENT_3D('',#459588,#373048,#373049); #333575=AXIS2_PLACEMENT_3D('',#459594,#373053,#373054); #333576=AXIS2_PLACEMENT_3D('',#459600,#373058,#373059); #333577=AXIS2_PLACEMENT_3D('',#459606,#373063,#373064); #333578=AXIS2_PLACEMENT_3D('',#459612,#373068,#373069); #333579=AXIS2_PLACEMENT_3D('',#459618,#373073,#373074); #333580=AXIS2_PLACEMENT_3D('',#459624,#373078,#373079); #333581=AXIS2_PLACEMENT_3D('',#459630,#373083,#373084); #333582=AXIS2_PLACEMENT_3D('',#459636,#373088,#373089); #333583=AXIS2_PLACEMENT_3D('',#459642,#373093,#373094); #333584=AXIS2_PLACEMENT_3D('',#459648,#373098,#373099); #333585=AXIS2_PLACEMENT_3D('',#459654,#373103,#373104); #333586=AXIS2_PLACEMENT_3D('',#459660,#373108,#373109); #333587=AXIS2_PLACEMENT_3D('',#459666,#373113,#373114); #333588=AXIS2_PLACEMENT_3D('',#459672,#373118,#373119); #333589=AXIS2_PLACEMENT_3D('',#459678,#373123,#373124); #333590=AXIS2_PLACEMENT_3D('',#459684,#373128,#373129); #333591=AXIS2_PLACEMENT_3D('',#459690,#373133,#373134); #333592=AXIS2_PLACEMENT_3D('',#459696,#373138,#373139); #333593=AXIS2_PLACEMENT_3D('',#459702,#373143,#373144); #333594=AXIS2_PLACEMENT_3D('',#459708,#373148,#373149); #333595=AXIS2_PLACEMENT_3D('',#459714,#373153,#373154); #333596=AXIS2_PLACEMENT_3D('',#459720,#373158,#373159); #333597=AXIS2_PLACEMENT_3D('',#459726,#373163,#373164); #333598=AXIS2_PLACEMENT_3D('',#459732,#373168,#373169); #333599=AXIS2_PLACEMENT_3D('',#459738,#373173,#373174); #333600=AXIS2_PLACEMENT_3D('',#459744,#373178,#373179); #333601=AXIS2_PLACEMENT_3D('',#459750,#373183,#373184); #333602=AXIS2_PLACEMENT_3D('',#459756,#373188,#373189); #333603=AXIS2_PLACEMENT_3D('',#459762,#373193,#373194); #333604=AXIS2_PLACEMENT_3D('',#459768,#373198,#373199); #333605=AXIS2_PLACEMENT_3D('',#459774,#373203,#373204); #333606=AXIS2_PLACEMENT_3D('',#459780,#373208,#373209); #333607=AXIS2_PLACEMENT_3D('',#459786,#373213,#373214); #333608=AXIS2_PLACEMENT_3D('',#459792,#373218,#373219); #333609=AXIS2_PLACEMENT_3D('',#459798,#373223,#373224); #333610=AXIS2_PLACEMENT_3D('',#459804,#373228,#373229); #333611=AXIS2_PLACEMENT_3D('',#459810,#373233,#373234); #333612=AXIS2_PLACEMENT_3D('',#459816,#373238,#373239); #333613=AXIS2_PLACEMENT_3D('',#459822,#373243,#373244); #333614=AXIS2_PLACEMENT_3D('',#459828,#373248,#373249); #333615=AXIS2_PLACEMENT_3D('',#459834,#373253,#373254); #333616=AXIS2_PLACEMENT_3D('',#459837,#373257,#373258); #333617=AXIS2_PLACEMENT_3D('',#459846,#373263,#373264); #333618=AXIS2_PLACEMENT_3D('',#459852,#373268,#373269); #333619=AXIS2_PLACEMENT_3D('',#459858,#373273,#373274); #333620=AXIS2_PLACEMENT_3D('',#459864,#373278,#373279); #333621=AXIS2_PLACEMENT_3D('',#459870,#373283,#373284); #333622=AXIS2_PLACEMENT_3D('',#459876,#373288,#373289); #333623=AXIS2_PLACEMENT_3D('',#459882,#373293,#373294); #333624=AXIS2_PLACEMENT_3D('',#459888,#373298,#373299); #333625=AXIS2_PLACEMENT_3D('',#459894,#373303,#373304); #333626=AXIS2_PLACEMENT_3D('',#459900,#373308,#373309); #333627=AXIS2_PLACEMENT_3D('',#459906,#373313,#373314); #333628=AXIS2_PLACEMENT_3D('',#459912,#373318,#373319); #333629=AXIS2_PLACEMENT_3D('',#459918,#373323,#373324); #333630=AXIS2_PLACEMENT_3D('',#459924,#373328,#373329); #333631=AXIS2_PLACEMENT_3D('',#459930,#373333,#373334); #333632=AXIS2_PLACEMENT_3D('',#459936,#373338,#373339); #333633=AXIS2_PLACEMENT_3D('',#459942,#373343,#373344); #333634=AXIS2_PLACEMENT_3D('',#459948,#373348,#373349); #333635=AXIS2_PLACEMENT_3D('',#459954,#373353,#373354); #333636=AXIS2_PLACEMENT_3D('',#459960,#373358,#373359); #333637=AXIS2_PLACEMENT_3D('',#459966,#373363,#373364); #333638=AXIS2_PLACEMENT_3D('',#459972,#373368,#373369); #333639=AXIS2_PLACEMENT_3D('',#459978,#373373,#373374); #333640=AXIS2_PLACEMENT_3D('',#459984,#373378,#373379); #333641=AXIS2_PLACEMENT_3D('',#459990,#373383,#373384); #333642=AXIS2_PLACEMENT_3D('',#459996,#373388,#373389); #333643=AXIS2_PLACEMENT_3D('',#460002,#373393,#373394); #333644=AXIS2_PLACEMENT_3D('',#460008,#373398,#373399); #333645=AXIS2_PLACEMENT_3D('',#460014,#373403,#373404); #333646=AXIS2_PLACEMENT_3D('',#460020,#373408,#373409); #333647=AXIS2_PLACEMENT_3D('',#460026,#373413,#373414); #333648=AXIS2_PLACEMENT_3D('',#460032,#373418,#373419); #333649=AXIS2_PLACEMENT_3D('',#460038,#373423,#373424); #333650=AXIS2_PLACEMENT_3D('',#460044,#373428,#373429); #333651=AXIS2_PLACEMENT_3D('',#460050,#373433,#373434); #333652=AXIS2_PLACEMENT_3D('',#460056,#373438,#373439); #333653=AXIS2_PLACEMENT_3D('',#460062,#373443,#373444); #333654=AXIS2_PLACEMENT_3D('',#460068,#373448,#373449); #333655=AXIS2_PLACEMENT_3D('',#460074,#373453,#373454); #333656=AXIS2_PLACEMENT_3D('',#460080,#373458,#373459); #333657=AXIS2_PLACEMENT_3D('',#460086,#373463,#373464); #333658=AXIS2_PLACEMENT_3D('',#460092,#373468,#373469); #333659=AXIS2_PLACEMENT_3D('',#460098,#373473,#373474); #333660=AXIS2_PLACEMENT_3D('',#460104,#373478,#373479); #333661=AXIS2_PLACEMENT_3D('',#460110,#373483,#373484); #333662=AXIS2_PLACEMENT_3D('',#460116,#373488,#373489); #333663=AXIS2_PLACEMENT_3D('',#460122,#373493,#373494); #333664=AXIS2_PLACEMENT_3D('',#460128,#373498,#373499); #333665=AXIS2_PLACEMENT_3D('',#460134,#373503,#373504); #333666=AXIS2_PLACEMENT_3D('',#460140,#373508,#373509); #333667=AXIS2_PLACEMENT_3D('',#460146,#373513,#373514); #333668=AXIS2_PLACEMENT_3D('',#460152,#373518,#373519); #333669=AXIS2_PLACEMENT_3D('',#460158,#373523,#373524); #333670=AXIS2_PLACEMENT_3D('',#460164,#373528,#373529); #333671=AXIS2_PLACEMENT_3D('',#460170,#373533,#373534); #333672=AXIS2_PLACEMENT_3D('',#460176,#373538,#373539); #333673=AXIS2_PLACEMENT_3D('',#460182,#373543,#373544); #333674=AXIS2_PLACEMENT_3D('',#460188,#373548,#373549); #333675=AXIS2_PLACEMENT_3D('',#460194,#373553,#373554); #333676=AXIS2_PLACEMENT_3D('',#460200,#373558,#373559); #333677=AXIS2_PLACEMENT_3D('',#460206,#373563,#373564); #333678=AXIS2_PLACEMENT_3D('',#460212,#373568,#373569); #333679=AXIS2_PLACEMENT_3D('',#460218,#373573,#373574); #333680=AXIS2_PLACEMENT_3D('',#460224,#373578,#373579); #333681=AXIS2_PLACEMENT_3D('',#460230,#373583,#373584); #333682=AXIS2_PLACEMENT_3D('',#460236,#373588,#373589); #333683=AXIS2_PLACEMENT_3D('',#460242,#373593,#373594); #333684=AXIS2_PLACEMENT_3D('',#460248,#373598,#373599); #333685=AXIS2_PLACEMENT_3D('',#460254,#373603,#373604); #333686=AXIS2_PLACEMENT_3D('',#460260,#373608,#373609); #333687=AXIS2_PLACEMENT_3D('',#460266,#373613,#373614); #333688=AXIS2_PLACEMENT_3D('',#460272,#373618,#373619); #333689=AXIS2_PLACEMENT_3D('',#460278,#373623,#373624); #333690=AXIS2_PLACEMENT_3D('',#460284,#373628,#373629); #333691=AXIS2_PLACEMENT_3D('',#460290,#373633,#373634); #333692=AXIS2_PLACEMENT_3D('',#460296,#373638,#373639); #333693=AXIS2_PLACEMENT_3D('',#460302,#373643,#373644); #333694=AXIS2_PLACEMENT_3D('',#460308,#373648,#373649); #333695=AXIS2_PLACEMENT_3D('',#460314,#373653,#373654); #333696=AXIS2_PLACEMENT_3D('',#460320,#373658,#373659); #333697=AXIS2_PLACEMENT_3D('',#460326,#373663,#373664); #333698=AXIS2_PLACEMENT_3D('',#460332,#373668,#373669); #333699=AXIS2_PLACEMENT_3D('',#460338,#373673,#373674); #333700=AXIS2_PLACEMENT_3D('',#460344,#373678,#373679); #333701=AXIS2_PLACEMENT_3D('',#460350,#373683,#373684); #333702=AXIS2_PLACEMENT_3D('',#460356,#373688,#373689); #333703=AXIS2_PLACEMENT_3D('',#460362,#373693,#373694); #333704=AXIS2_PLACEMENT_3D('',#460368,#373698,#373699); #333705=AXIS2_PLACEMENT_3D('',#460374,#373703,#373704); #333706=AXIS2_PLACEMENT_3D('',#460380,#373708,#373709); #333707=AXIS2_PLACEMENT_3D('',#460386,#373713,#373714); #333708=AXIS2_PLACEMENT_3D('',#460392,#373718,#373719); #333709=AXIS2_PLACEMENT_3D('',#460398,#373723,#373724); #333710=AXIS2_PLACEMENT_3D('',#460404,#373728,#373729); #333711=AXIS2_PLACEMENT_3D('',#460410,#373733,#373734); #333712=AXIS2_PLACEMENT_3D('',#460416,#373738,#373739); #333713=AXIS2_PLACEMENT_3D('',#460422,#373743,#373744); #333714=AXIS2_PLACEMENT_3D('',#460428,#373748,#373749); #333715=AXIS2_PLACEMENT_3D('',#460434,#373753,#373754); #333716=AXIS2_PLACEMENT_3D('',#460440,#373758,#373759); #333717=AXIS2_PLACEMENT_3D('',#460446,#373763,#373764); #333718=AXIS2_PLACEMENT_3D('',#460452,#373768,#373769); #333719=AXIS2_PLACEMENT_3D('',#460458,#373773,#373774); #333720=AXIS2_PLACEMENT_3D('',#460464,#373778,#373779); #333721=AXIS2_PLACEMENT_3D('',#460470,#373783,#373784); #333722=AXIS2_PLACEMENT_3D('',#460476,#373788,#373789); #333723=AXIS2_PLACEMENT_3D('',#460482,#373793,#373794); #333724=AXIS2_PLACEMENT_3D('',#460488,#373798,#373799); #333725=AXIS2_PLACEMENT_3D('',#460494,#373803,#373804); #333726=AXIS2_PLACEMENT_3D('',#460500,#373808,#373809); #333727=AXIS2_PLACEMENT_3D('',#460506,#373813,#373814); #333728=AXIS2_PLACEMENT_3D('',#460512,#373818,#373819); #333729=AXIS2_PLACEMENT_3D('',#460518,#373823,#373824); #333730=AXIS2_PLACEMENT_3D('',#460524,#373828,#373829); #333731=AXIS2_PLACEMENT_3D('',#460530,#373833,#373834); #333732=AXIS2_PLACEMENT_3D('',#460536,#373838,#373839); #333733=AXIS2_PLACEMENT_3D('',#460542,#373843,#373844); #333734=AXIS2_PLACEMENT_3D('',#460548,#373848,#373849); #333735=AXIS2_PLACEMENT_3D('',#460551,#373852,#373853); #333736=AXIS2_PLACEMENT_3D('',#460560,#373858,#373859); #333737=AXIS2_PLACEMENT_3D('',#460566,#373863,#373864); #333738=AXIS2_PLACEMENT_3D('',#460572,#373868,#373869); #333739=AXIS2_PLACEMENT_3D('',#460578,#373873,#373874); #333740=AXIS2_PLACEMENT_3D('',#460584,#373878,#373879); #333741=AXIS2_PLACEMENT_3D('',#460590,#373883,#373884); #333742=AXIS2_PLACEMENT_3D('',#460596,#373888,#373889); #333743=AXIS2_PLACEMENT_3D('',#460599,#373892,#373893); #333744=AXIS2_PLACEMENT_3D('',#460608,#373898,#373899); #333745=AXIS2_PLACEMENT_3D('',#460614,#373903,#373904); #333746=AXIS2_PLACEMENT_3D('',#460620,#373908,#373909); #333747=AXIS2_PLACEMENT_3D('',#460626,#373913,#373914); #333748=AXIS2_PLACEMENT_3D('',#460632,#373918,#373919); #333749=AXIS2_PLACEMENT_3D('',#460638,#373923,#373924); #333750=AXIS2_PLACEMENT_3D('',#460644,#373928,#373929); #333751=AXIS2_PLACEMENT_3D('',#460650,#373933,#373934); #333752=AXIS2_PLACEMENT_3D('',#460656,#373938,#373939); #333753=AXIS2_PLACEMENT_3D('',#460662,#373943,#373944); #333754=AXIS2_PLACEMENT_3D('',#460668,#373948,#373949); #333755=AXIS2_PLACEMENT_3D('',#460674,#373953,#373954); #333756=AXIS2_PLACEMENT_3D('',#460680,#373958,#373959); #333757=AXIS2_PLACEMENT_3D('',#460686,#373963,#373964); #333758=AXIS2_PLACEMENT_3D('',#460692,#373968,#373969); #333759=AXIS2_PLACEMENT_3D('',#460698,#373973,#373974); #333760=AXIS2_PLACEMENT_3D('',#460704,#373978,#373979); #333761=AXIS2_PLACEMENT_3D('',#460710,#373983,#373984); #333762=AXIS2_PLACEMENT_3D('',#460716,#373988,#373989); #333763=AXIS2_PLACEMENT_3D('',#460722,#373993,#373994); #333764=AXIS2_PLACEMENT_3D('',#460728,#373998,#373999); #333765=AXIS2_PLACEMENT_3D('',#460734,#374003,#374004); #333766=AXIS2_PLACEMENT_3D('',#460740,#374008,#374009); #333767=AXIS2_PLACEMENT_3D('',#460746,#374013,#374014); #333768=AXIS2_PLACEMENT_3D('',#460752,#374018,#374019); #333769=AXIS2_PLACEMENT_3D('',#460758,#374023,#374024); #333770=AXIS2_PLACEMENT_3D('',#460764,#374028,#374029); #333771=AXIS2_PLACEMENT_3D('',#460770,#374033,#374034); #333772=AXIS2_PLACEMENT_3D('',#460776,#374038,#374039); #333773=AXIS2_PLACEMENT_3D('',#460782,#374043,#374044); #333774=AXIS2_PLACEMENT_3D('',#460788,#374048,#374049); #333775=AXIS2_PLACEMENT_3D('',#460794,#374053,#374054); #333776=AXIS2_PLACEMENT_3D('',#460800,#374058,#374059); #333777=AXIS2_PLACEMENT_3D('',#460806,#374063,#374064); #333778=AXIS2_PLACEMENT_3D('',#460812,#374068,#374069); #333779=AXIS2_PLACEMENT_3D('',#460818,#374073,#374074); #333780=AXIS2_PLACEMENT_3D('',#460824,#374078,#374079); #333781=AXIS2_PLACEMENT_3D('',#460830,#374083,#374084); #333782=AXIS2_PLACEMENT_3D('',#460836,#374088,#374089); #333783=AXIS2_PLACEMENT_3D('',#460842,#374093,#374094); #333784=AXIS2_PLACEMENT_3D('',#460848,#374098,#374099); #333785=AXIS2_PLACEMENT_3D('',#460854,#374103,#374104); #333786=AXIS2_PLACEMENT_3D('',#460860,#374108,#374109); #333787=AXIS2_PLACEMENT_3D('',#460866,#374113,#374114); #333788=AXIS2_PLACEMENT_3D('',#460872,#374118,#374119); #333789=AXIS2_PLACEMENT_3D('',#460878,#374123,#374124); #333790=AXIS2_PLACEMENT_3D('',#460884,#374128,#374129); #333791=AXIS2_PLACEMENT_3D('',#460890,#374133,#374134); #333792=AXIS2_PLACEMENT_3D('',#460896,#374138,#374139); #333793=AXIS2_PLACEMENT_3D('',#460902,#374143,#374144); #333794=AXIS2_PLACEMENT_3D('',#460908,#374148,#374149); #333795=AXIS2_PLACEMENT_3D('',#460914,#374153,#374154); #333796=AXIS2_PLACEMENT_3D('',#460920,#374158,#374159); #333797=AXIS2_PLACEMENT_3D('',#460926,#374163,#374164); #333798=AXIS2_PLACEMENT_3D('',#460932,#374168,#374169); #333799=AXIS2_PLACEMENT_3D('',#460938,#374173,#374174); #333800=AXIS2_PLACEMENT_3D('',#460944,#374178,#374179); #333801=AXIS2_PLACEMENT_3D('',#460950,#374183,#374184); #333802=AXIS2_PLACEMENT_3D('',#460956,#374188,#374189); #333803=AXIS2_PLACEMENT_3D('',#460962,#374193,#374194); #333804=AXIS2_PLACEMENT_3D('',#460968,#374198,#374199); #333805=AXIS2_PLACEMENT_3D('',#460974,#374203,#374204); #333806=AXIS2_PLACEMENT_3D('',#460980,#374208,#374209); #333807=AXIS2_PLACEMENT_3D('',#460986,#374213,#374214); #333808=AXIS2_PLACEMENT_3D('',#460992,#374218,#374219); #333809=AXIS2_PLACEMENT_3D('',#460998,#374223,#374224); #333810=AXIS2_PLACEMENT_3D('',#461004,#374228,#374229); #333811=AXIS2_PLACEMENT_3D('',#461010,#374233,#374234); #333812=AXIS2_PLACEMENT_3D('',#461016,#374238,#374239); #333813=AXIS2_PLACEMENT_3D('',#461022,#374243,#374244); #333814=AXIS2_PLACEMENT_3D('',#461028,#374248,#374249); #333815=AXIS2_PLACEMENT_3D('',#461034,#374253,#374254); #333816=AXIS2_PLACEMENT_3D('',#461040,#374258,#374259); #333817=AXIS2_PLACEMENT_3D('',#461046,#374263,#374264); #333818=AXIS2_PLACEMENT_3D('',#461052,#374268,#374269); #333819=AXIS2_PLACEMENT_3D('',#461058,#374273,#374274); #333820=AXIS2_PLACEMENT_3D('',#461064,#374278,#374279); #333821=AXIS2_PLACEMENT_3D('',#461070,#374283,#374284); #333822=AXIS2_PLACEMENT_3D('',#461076,#374288,#374289); #333823=AXIS2_PLACEMENT_3D('',#461082,#374293,#374294); #333824=AXIS2_PLACEMENT_3D('',#461088,#374298,#374299); #333825=AXIS2_PLACEMENT_3D('',#461094,#374303,#374304); #333826=AXIS2_PLACEMENT_3D('',#461100,#374308,#374309); #333827=AXIS2_PLACEMENT_3D('',#461106,#374313,#374314); #333828=AXIS2_PLACEMENT_3D('',#461112,#374318,#374319); #333829=AXIS2_PLACEMENT_3D('',#461118,#374323,#374324); #333830=AXIS2_PLACEMENT_3D('',#461124,#374328,#374329); #333831=AXIS2_PLACEMENT_3D('',#461130,#374333,#374334); #333832=AXIS2_PLACEMENT_3D('',#461136,#374338,#374339); #333833=AXIS2_PLACEMENT_3D('',#461142,#374343,#374344); #333834=AXIS2_PLACEMENT_3D('',#461148,#374348,#374349); #333835=AXIS2_PLACEMENT_3D('',#461154,#374353,#374354); #333836=AXIS2_PLACEMENT_3D('',#461160,#374358,#374359); #333837=AXIS2_PLACEMENT_3D('',#461166,#374363,#374364); #333838=AXIS2_PLACEMENT_3D('',#461172,#374368,#374369); #333839=AXIS2_PLACEMENT_3D('',#461178,#374373,#374374); #333840=AXIS2_PLACEMENT_3D('',#461184,#374378,#374379); #333841=AXIS2_PLACEMENT_3D('',#461190,#374383,#374384); #333842=AXIS2_PLACEMENT_3D('',#461196,#374388,#374389); #333843=AXIS2_PLACEMENT_3D('',#461202,#374393,#374394); #333844=AXIS2_PLACEMENT_3D('',#461208,#374398,#374399); #333845=AXIS2_PLACEMENT_3D('',#461214,#374403,#374404); #333846=AXIS2_PLACEMENT_3D('',#461220,#374408,#374409); #333847=AXIS2_PLACEMENT_3D('',#461226,#374413,#374414); #333848=AXIS2_PLACEMENT_3D('',#461232,#374418,#374419); #333849=AXIS2_PLACEMENT_3D('',#461238,#374423,#374424); #333850=AXIS2_PLACEMENT_3D('',#461244,#374428,#374429); #333851=AXIS2_PLACEMENT_3D('',#461250,#374433,#374434); #333852=AXIS2_PLACEMENT_3D('',#461256,#374438,#374439); #333853=AXIS2_PLACEMENT_3D('',#461262,#374443,#374444); #333854=AXIS2_PLACEMENT_3D('',#461268,#374448,#374449); #333855=AXIS2_PLACEMENT_3D('',#461274,#374453,#374454); #333856=AXIS2_PLACEMENT_3D('',#461280,#374458,#374459); #333857=AXIS2_PLACEMENT_3D('',#461286,#374463,#374464); #333858=AXIS2_PLACEMENT_3D('',#461292,#374468,#374469); #333859=AXIS2_PLACEMENT_3D('',#461298,#374473,#374474); #333860=AXIS2_PLACEMENT_3D('',#461304,#374478,#374479); #333861=AXIS2_PLACEMENT_3D('',#461310,#374483,#374484); #333862=AXIS2_PLACEMENT_3D('',#461316,#374488,#374489); #333863=AXIS2_PLACEMENT_3D('',#461319,#374492,#374493); #333864=AXIS2_PLACEMENT_3D('',#461320,#374494,#374495); #333865=AXIS2_PLACEMENT_3D('',#461321,#374496,#374497); #333866=AXIS2_PLACEMENT_3D('',#461330,#374502,#374503); #333867=AXIS2_PLACEMENT_3D('',#461336,#374507,#374508); #333868=AXIS2_PLACEMENT_3D('',#461342,#374512,#374513); #333869=AXIS2_PLACEMENT_3D('',#461348,#374517,#374518); #333870=AXIS2_PLACEMENT_3D('',#461354,#374522,#374523); #333871=AXIS2_PLACEMENT_3D('',#461360,#374527,#374528); #333872=AXIS2_PLACEMENT_3D('',#461366,#374532,#374533); #333873=AXIS2_PLACEMENT_3D('',#461372,#374537,#374538); #333874=AXIS2_PLACEMENT_3D('',#461378,#374542,#374543); #333875=AXIS2_PLACEMENT_3D('',#461384,#374547,#374548); #333876=AXIS2_PLACEMENT_3D('',#461390,#374552,#374553); #333877=AXIS2_PLACEMENT_3D('',#461396,#374557,#374558); #333878=AXIS2_PLACEMENT_3D('',#461402,#374562,#374563); #333879=AXIS2_PLACEMENT_3D('',#461408,#374567,#374568); #333880=AXIS2_PLACEMENT_3D('',#461414,#374572,#374573); #333881=AXIS2_PLACEMENT_3D('',#461420,#374577,#374578); #333882=AXIS2_PLACEMENT_3D('',#461426,#374582,#374583); #333883=AXIS2_PLACEMENT_3D('',#461432,#374587,#374588); #333884=AXIS2_PLACEMENT_3D('',#461438,#374592,#374593); #333885=AXIS2_PLACEMENT_3D('',#461444,#374597,#374598); #333886=AXIS2_PLACEMENT_3D('',#461447,#374601,#374602); #333887=AXIS2_PLACEMENT_3D('',#461448,#374603,#374604); #333888=AXIS2_PLACEMENT_3D('',#461449,#374605,#374606); #333889=AXIS2_PLACEMENT_3D('',#461458,#374611,#374612); #333890=AXIS2_PLACEMENT_3D('',#461464,#374616,#374617); #333891=AXIS2_PLACEMENT_3D('',#461470,#374621,#374622); #333892=AXIS2_PLACEMENT_3D('',#461476,#374626,#374627); #333893=AXIS2_PLACEMENT_3D('',#461482,#374631,#374632); #333894=AXIS2_PLACEMENT_3D('',#461488,#374636,#374637); #333895=AXIS2_PLACEMENT_3D('',#461494,#374641,#374642); #333896=AXIS2_PLACEMENT_3D('',#461500,#374646,#374647); #333897=AXIS2_PLACEMENT_3D('',#461506,#374651,#374652); #333898=AXIS2_PLACEMENT_3D('',#461512,#374656,#374657); #333899=AXIS2_PLACEMENT_3D('',#461518,#374661,#374662); #333900=AXIS2_PLACEMENT_3D('',#461524,#374666,#374667); #333901=AXIS2_PLACEMENT_3D('',#461530,#374671,#374672); #333902=AXIS2_PLACEMENT_3D('',#461536,#374676,#374677); #333903=AXIS2_PLACEMENT_3D('',#461542,#374681,#374682); #333904=AXIS2_PLACEMENT_3D('',#461548,#374686,#374687); #333905=AXIS2_PLACEMENT_3D('',#461554,#374691,#374692); #333906=AXIS2_PLACEMENT_3D('',#461560,#374696,#374697); #333907=AXIS2_PLACEMENT_3D('',#461566,#374701,#374702); #333908=AXIS2_PLACEMENT_3D('',#461572,#374706,#374707); #333909=AXIS2_PLACEMENT_3D('',#461578,#374711,#374712); #333910=AXIS2_PLACEMENT_3D('',#461584,#374716,#374717); #333911=AXIS2_PLACEMENT_3D('',#461590,#374721,#374722); #333912=AXIS2_PLACEMENT_3D('',#461596,#374726,#374727); #333913=AXIS2_PLACEMENT_3D('',#461602,#374731,#374732); #333914=AXIS2_PLACEMENT_3D('',#461605,#374735,#374736); #333915=AXIS2_PLACEMENT_3D('',#461606,#374737,#374738); #333916=AXIS2_PLACEMENT_3D('',#461607,#374739,#374740); #333917=AXIS2_PLACEMENT_3D('',#461616,#374745,#374746); #333918=AXIS2_PLACEMENT_3D('',#461622,#374750,#374751); #333919=AXIS2_PLACEMENT_3D('',#461628,#374755,#374756); #333920=AXIS2_PLACEMENT_3D('',#461634,#374760,#374761); #333921=AXIS2_PLACEMENT_3D('',#461640,#374765,#374766); #333922=AXIS2_PLACEMENT_3D('',#461646,#374770,#374771); #333923=AXIS2_PLACEMENT_3D('',#461652,#374775,#374776); #333924=AXIS2_PLACEMENT_3D('',#461658,#374780,#374781); #333925=AXIS2_PLACEMENT_3D('',#461664,#374785,#374786); #333926=AXIS2_PLACEMENT_3D('',#461670,#374790,#374791); #333927=AXIS2_PLACEMENT_3D('',#461676,#374795,#374796); #333928=AXIS2_PLACEMENT_3D('',#461682,#374800,#374801); #333929=AXIS2_PLACEMENT_3D('',#461688,#374805,#374806); #333930=AXIS2_PLACEMENT_3D('',#461694,#374810,#374811); #333931=AXIS2_PLACEMENT_3D('',#461700,#374815,#374816); #333932=AXIS2_PLACEMENT_3D('',#461706,#374820,#374821); #333933=AXIS2_PLACEMENT_3D('',#461712,#374825,#374826); #333934=AXIS2_PLACEMENT_3D('',#461718,#374830,#374831); #333935=AXIS2_PLACEMENT_3D('',#461724,#374835,#374836); #333936=AXIS2_PLACEMENT_3D('',#461727,#374839,#374840); #333937=AXIS2_PLACEMENT_3D('',#461728,#374841,#374842); #333938=AXIS2_PLACEMENT_3D('',#461729,#374843,#374844); #333939=AXIS2_PLACEMENT_3D('',#461738,#374849,#374850); #333940=AXIS2_PLACEMENT_3D('',#461744,#374854,#374855); #333941=AXIS2_PLACEMENT_3D('',#461750,#374859,#374860); #333942=AXIS2_PLACEMENT_3D('',#461756,#374864,#374865); #333943=AXIS2_PLACEMENT_3D('',#461762,#374869,#374870); #333944=AXIS2_PLACEMENT_3D('',#461768,#374874,#374875); #333945=AXIS2_PLACEMENT_3D('',#461774,#374879,#374880); #333946=AXIS2_PLACEMENT_3D('',#461780,#374884,#374885); #333947=AXIS2_PLACEMENT_3D('',#461786,#374889,#374890); #333948=AXIS2_PLACEMENT_3D('',#461792,#374894,#374895); #333949=AXIS2_PLACEMENT_3D('',#461798,#374899,#374900); #333950=AXIS2_PLACEMENT_3D('',#461804,#374904,#374905); #333951=AXIS2_PLACEMENT_3D('',#461810,#374909,#374910); #333952=AXIS2_PLACEMENT_3D('',#461816,#374914,#374915); #333953=AXIS2_PLACEMENT_3D('',#461822,#374919,#374920); #333954=AXIS2_PLACEMENT_3D('',#461828,#374924,#374925); #333955=AXIS2_PLACEMENT_3D('',#461834,#374929,#374930); #333956=AXIS2_PLACEMENT_3D('',#461840,#374934,#374935); #333957=AXIS2_PLACEMENT_3D('',#461846,#374939,#374940); #333958=AXIS2_PLACEMENT_3D('',#461852,#374944,#374945); #333959=AXIS2_PLACEMENT_3D('',#461858,#374949,#374950); #333960=AXIS2_PLACEMENT_3D('',#461864,#374954,#374955); #333961=AXIS2_PLACEMENT_3D('',#461867,#374958,#374959); #333962=AXIS2_PLACEMENT_3D('',#461868,#374960,#374961); #333963=AXIS2_PLACEMENT_3D('',#461869,#374962,#374963); #333964=AXIS2_PLACEMENT_3D('',#461878,#374968,#374969); #333965=AXIS2_PLACEMENT_3D('',#461884,#374973,#374974); #333966=AXIS2_PLACEMENT_3D('',#461890,#374978,#374979); #333967=AXIS2_PLACEMENT_3D('',#461896,#374983,#374984); #333968=AXIS2_PLACEMENT_3D('',#461902,#374988,#374989); #333969=AXIS2_PLACEMENT_3D('',#461908,#374993,#374994); #333970=AXIS2_PLACEMENT_3D('',#461914,#374998,#374999); #333971=AXIS2_PLACEMENT_3D('',#461920,#375003,#375004); #333972=AXIS2_PLACEMENT_3D('',#461926,#375008,#375009); #333973=AXIS2_PLACEMENT_3D('',#461932,#375013,#375014); #333974=AXIS2_PLACEMENT_3D('',#461938,#375018,#375019); #333975=AXIS2_PLACEMENT_3D('',#461944,#375023,#375024); #333976=AXIS2_PLACEMENT_3D('',#461950,#375028,#375029); #333977=AXIS2_PLACEMENT_3D('',#461956,#375033,#375034); #333978=AXIS2_PLACEMENT_3D('',#461962,#375038,#375039); #333979=AXIS2_PLACEMENT_3D('',#461968,#375043,#375044); #333980=AXIS2_PLACEMENT_3D('',#461974,#375048,#375049); #333981=AXIS2_PLACEMENT_3D('',#461980,#375053,#375054); #333982=AXIS2_PLACEMENT_3D('',#461986,#375058,#375059); #333983=AXIS2_PLACEMENT_3D('',#461992,#375063,#375064); #333984=AXIS2_PLACEMENT_3D('',#461995,#375067,#375068); #333985=AXIS2_PLACEMENT_3D('',#461996,#375069,#375070); #333986=AXIS2_PLACEMENT_3D('',#461997,#375071,#375072); #333987=AXIS2_PLACEMENT_3D('',#462006,#375077,#375078); #333988=AXIS2_PLACEMENT_3D('',#462012,#375082,#375083); #333989=AXIS2_PLACEMENT_3D('',#462018,#375087,#375088); #333990=AXIS2_PLACEMENT_3D('',#462024,#375092,#375093); #333991=AXIS2_PLACEMENT_3D('',#462030,#375097,#375098); #333992=AXIS2_PLACEMENT_3D('',#462036,#375102,#375103); #333993=AXIS2_PLACEMENT_3D('',#462042,#375107,#375108); #333994=AXIS2_PLACEMENT_3D('',#462048,#375112,#375113); #333995=AXIS2_PLACEMENT_3D('',#462054,#375117,#375118); #333996=AXIS2_PLACEMENT_3D('',#462060,#375122,#375123); #333997=AXIS2_PLACEMENT_3D('',#462066,#375127,#375128); #333998=AXIS2_PLACEMENT_3D('',#462072,#375132,#375133); #333999=AXIS2_PLACEMENT_3D('',#462078,#375137,#375138); #334000=AXIS2_PLACEMENT_3D('',#462084,#375142,#375143); #334001=AXIS2_PLACEMENT_3D('',#462090,#375147,#375148); #334002=AXIS2_PLACEMENT_3D('',#462096,#375152,#375153); #334003=AXIS2_PLACEMENT_3D('',#462102,#375157,#375158); #334004=AXIS2_PLACEMENT_3D('',#462108,#375162,#375163); #334005=AXIS2_PLACEMENT_3D('',#462114,#375167,#375168); #334006=AXIS2_PLACEMENT_3D('',#462120,#375172,#375173); #334007=AXIS2_PLACEMENT_3D('',#462126,#375177,#375178); #334008=AXIS2_PLACEMENT_3D('',#462132,#375182,#375183); #334009=AXIS2_PLACEMENT_3D('',#462138,#375187,#375188); #334010=AXIS2_PLACEMENT_3D('',#462144,#375192,#375193); #334011=AXIS2_PLACEMENT_3D('',#462150,#375197,#375198); #334012=AXIS2_PLACEMENT_3D('',#462156,#375202,#375203); #334013=AXIS2_PLACEMENT_3D('',#462162,#375207,#375208); #334014=AXIS2_PLACEMENT_3D('',#462168,#375212,#375213); #334015=AXIS2_PLACEMENT_3D('',#462174,#375217,#375218); #334016=AXIS2_PLACEMENT_3D('',#462177,#375221,#375222); #334017=AXIS2_PLACEMENT_3D('',#462178,#375223,#375224); #334018=AXIS2_PLACEMENT_3D('',#462179,#375225,#375226); #334019=AXIS2_PLACEMENT_3D('',#462188,#375231,#375232); #334020=AXIS2_PLACEMENT_3D('',#462194,#375236,#375237); #334021=AXIS2_PLACEMENT_3D('',#462200,#375241,#375242); #334022=AXIS2_PLACEMENT_3D('',#462206,#375246,#375247); #334023=AXIS2_PLACEMENT_3D('',#462212,#375251,#375252); #334024=AXIS2_PLACEMENT_3D('',#462218,#375256,#375257); #334025=AXIS2_PLACEMENT_3D('',#462224,#375261,#375262); #334026=AXIS2_PLACEMENT_3D('',#462230,#375266,#375267); #334027=AXIS2_PLACEMENT_3D('',#462236,#375271,#375272); #334028=AXIS2_PLACEMENT_3D('',#462242,#375276,#375277); #334029=AXIS2_PLACEMENT_3D('',#462248,#375281,#375282); #334030=AXIS2_PLACEMENT_3D('',#462254,#375286,#375287); #334031=AXIS2_PLACEMENT_3D('',#462260,#375291,#375292); #334032=AXIS2_PLACEMENT_3D('',#462266,#375296,#375297); #334033=AXIS2_PLACEMENT_3D('',#462272,#375301,#375302); #334034=AXIS2_PLACEMENT_3D('',#462278,#375306,#375307); #334035=AXIS2_PLACEMENT_3D('',#462284,#375311,#375312); #334036=AXIS2_PLACEMENT_3D('',#462290,#375316,#375317); #334037=AXIS2_PLACEMENT_3D('',#462296,#375321,#375322); #334038=AXIS2_PLACEMENT_3D('',#462299,#375325,#375326); #334039=AXIS2_PLACEMENT_3D('',#462300,#375327,#375328); #334040=AXIS2_PLACEMENT_3D('',#462301,#375329,#375330); #334041=AXIS2_PLACEMENT_3D('',#462310,#375335,#375336); #334042=AXIS2_PLACEMENT_3D('',#462316,#375340,#375341); #334043=AXIS2_PLACEMENT_3D('',#462322,#375345,#375346); #334044=AXIS2_PLACEMENT_3D('',#462328,#375350,#375351); #334045=AXIS2_PLACEMENT_3D('',#462334,#375355,#375356); #334046=AXIS2_PLACEMENT_3D('',#462340,#375360,#375361); #334047=AXIS2_PLACEMENT_3D('',#462346,#375365,#375366); #334048=AXIS2_PLACEMENT_3D('',#462352,#375370,#375371); #334049=AXIS2_PLACEMENT_3D('',#462358,#375375,#375376); #334050=AXIS2_PLACEMENT_3D('',#462364,#375380,#375381); #334051=AXIS2_PLACEMENT_3D('',#462370,#375385,#375386); #334052=AXIS2_PLACEMENT_3D('',#462376,#375390,#375391); #334053=AXIS2_PLACEMENT_3D('',#462382,#375395,#375396); #334054=AXIS2_PLACEMENT_3D('',#462388,#375400,#375401); #334055=AXIS2_PLACEMENT_3D('',#462394,#375405,#375406); #334056=AXIS2_PLACEMENT_3D('',#462400,#375410,#375411); #334057=AXIS2_PLACEMENT_3D('',#462406,#375415,#375416); #334058=AXIS2_PLACEMENT_3D('',#462412,#375420,#375421); #334059=AXIS2_PLACEMENT_3D('',#462418,#375425,#375426); #334060=AXIS2_PLACEMENT_3D('',#462424,#375430,#375431); #334061=AXIS2_PLACEMENT_3D('',#462430,#375435,#375436); #334062=AXIS2_PLACEMENT_3D('',#462436,#375440,#375441); #334063=AXIS2_PLACEMENT_3D('',#462442,#375445,#375446); #334064=AXIS2_PLACEMENT_3D('',#462448,#375450,#375451); #334065=AXIS2_PLACEMENT_3D('',#462454,#375455,#375456); #334066=AXIS2_PLACEMENT_3D('',#462460,#375460,#375461); #334067=AXIS2_PLACEMENT_3D('',#462466,#375465,#375466); #334068=AXIS2_PLACEMENT_3D('',#462472,#375470,#375471); #334069=AXIS2_PLACEMENT_3D('',#462478,#375475,#375476); #334070=AXIS2_PLACEMENT_3D('',#462484,#375480,#375481); #334071=AXIS2_PLACEMENT_3D('',#462490,#375485,#375486); #334072=AXIS2_PLACEMENT_3D('',#462496,#375490,#375491); #334073=AXIS2_PLACEMENT_3D('',#462499,#375494,#375495); #334074=AXIS2_PLACEMENT_3D('',#462500,#375496,#375497); #334075=AXIS2_PLACEMENT_3D('',#462501,#375498,#375499); #334076=AXIS2_PLACEMENT_3D('',#462503,#375500,#375501); #334077=AXIS2_PLACEMENT_3D('',#462506,#375503,#375504); #334078=AXIS2_PLACEMENT_3D('',#462507,#375505,#375506); #334079=AXIS2_PLACEMENT_3D('',#462509,#375507,#375508); #334080=AXIS2_PLACEMENT_3D('',#462512,#375510,#375511); #334081=AXIS2_PLACEMENT_3D('',#462513,#375512,#375513); #334082=AXIS2_PLACEMENT_3D('',#462515,#375514,#375515); #334083=AXIS2_PLACEMENT_3D('',#462518,#375517,#375518); #334084=AXIS2_PLACEMENT_3D('',#462519,#375519,#375520); #334085=AXIS2_PLACEMENT_3D('',#462528,#375525,#375526); #334086=AXIS2_PLACEMENT_3D('',#462534,#375530,#375531); #334087=AXIS2_PLACEMENT_3D('',#462540,#375535,#375536); #334088=AXIS2_PLACEMENT_3D('',#462546,#375540,#375541); #334089=AXIS2_PLACEMENT_3D('',#462552,#375545,#375546); #334090=AXIS2_PLACEMENT_3D('',#462558,#375550,#375551); #334091=AXIS2_PLACEMENT_3D('',#462564,#375555,#375556); #334092=AXIS2_PLACEMENT_3D('',#462570,#375560,#375561); #334093=AXIS2_PLACEMENT_3D('',#462576,#375565,#375566); #334094=AXIS2_PLACEMENT_3D('',#462582,#375570,#375571); #334095=AXIS2_PLACEMENT_3D('',#462588,#375575,#375576); #334096=AXIS2_PLACEMENT_3D('',#462594,#375580,#375581); #334097=AXIS2_PLACEMENT_3D('',#462600,#375585,#375586); #334098=AXIS2_PLACEMENT_3D('',#462606,#375590,#375591); #334099=AXIS2_PLACEMENT_3D('',#462612,#375595,#375596); #334100=AXIS2_PLACEMENT_3D('',#462618,#375600,#375601); #334101=AXIS2_PLACEMENT_3D('',#462624,#375605,#375606); #334102=AXIS2_PLACEMENT_3D('',#462630,#375610,#375611); #334103=AXIS2_PLACEMENT_3D('',#462636,#375615,#375616); #334104=AXIS2_PLACEMENT_3D('',#462642,#375620,#375621); #334105=AXIS2_PLACEMENT_3D('',#462648,#375625,#375626); #334106=AXIS2_PLACEMENT_3D('',#462654,#375630,#375631); #334107=AXIS2_PLACEMENT_3D('',#462660,#375635,#375636); #334108=AXIS2_PLACEMENT_3D('',#462666,#375640,#375641); #334109=AXIS2_PLACEMENT_3D('',#462672,#375645,#375646); #334110=AXIS2_PLACEMENT_3D('',#462678,#375650,#375651); #334111=AXIS2_PLACEMENT_3D('',#462684,#375655,#375656); #334112=AXIS2_PLACEMENT_3D('',#462690,#375660,#375661); #334113=AXIS2_PLACEMENT_3D('',#462696,#375665,#375666); #334114=AXIS2_PLACEMENT_3D('',#462702,#375670,#375671); #334115=AXIS2_PLACEMENT_3D('',#462708,#375675,#375676); #334116=AXIS2_PLACEMENT_3D('',#462714,#375680,#375681); #334117=AXIS2_PLACEMENT_3D('',#462720,#375685,#375686); #334118=AXIS2_PLACEMENT_3D('',#462726,#375690,#375691); #334119=AXIS2_PLACEMENT_3D('',#462732,#375695,#375696); #334120=AXIS2_PLACEMENT_3D('',#462738,#375700,#375701); #334121=AXIS2_PLACEMENT_3D('',#462744,#375705,#375706); #334122=AXIS2_PLACEMENT_3D('',#462750,#375710,#375711); #334123=AXIS2_PLACEMENT_3D('',#462756,#375715,#375716); #334124=AXIS2_PLACEMENT_3D('',#462762,#375720,#375721); #334125=AXIS2_PLACEMENT_3D('',#462768,#375725,#375726); #334126=AXIS2_PLACEMENT_3D('',#462774,#375730,#375731); #334127=AXIS2_PLACEMENT_3D('',#462780,#375735,#375736); #334128=AXIS2_PLACEMENT_3D('',#462786,#375740,#375741); #334129=AXIS2_PLACEMENT_3D('',#462792,#375745,#375746); #334130=AXIS2_PLACEMENT_3D('',#462798,#375750,#375751); #334131=AXIS2_PLACEMENT_3D('',#462804,#375755,#375756); #334132=AXIS2_PLACEMENT_3D('',#462810,#375760,#375761); #334133=AXIS2_PLACEMENT_3D('',#462816,#375765,#375766); #334134=AXIS2_PLACEMENT_3D('',#462822,#375770,#375771); #334135=AXIS2_PLACEMENT_3D('',#462828,#375775,#375776); #334136=AXIS2_PLACEMENT_3D('',#462834,#375780,#375781); #334137=AXIS2_PLACEMENT_3D('',#462840,#375785,#375786); #334138=AXIS2_PLACEMENT_3D('',#462846,#375790,#375791); #334139=AXIS2_PLACEMENT_3D('',#462852,#375795,#375796); #334140=AXIS2_PLACEMENT_3D('',#462858,#375800,#375801); #334141=AXIS2_PLACEMENT_3D('',#462864,#375805,#375806); #334142=AXIS2_PLACEMENT_3D('',#462870,#375810,#375811); #334143=AXIS2_PLACEMENT_3D('',#462876,#375815,#375816); #334144=AXIS2_PLACEMENT_3D('',#462882,#375820,#375821); #334145=AXIS2_PLACEMENT_3D('',#462888,#375825,#375826); #334146=AXIS2_PLACEMENT_3D('',#462894,#375830,#375831); #334147=AXIS2_PLACEMENT_3D('',#462900,#375835,#375836); #334148=AXIS2_PLACEMENT_3D('',#462906,#375840,#375841); #334149=AXIS2_PLACEMENT_3D('',#462912,#375845,#375846); #334150=AXIS2_PLACEMENT_3D('',#462918,#375850,#375851); #334151=AXIS2_PLACEMENT_3D('',#462924,#375855,#375856); #334152=AXIS2_PLACEMENT_3D('',#462930,#375860,#375861); #334153=AXIS2_PLACEMENT_3D('',#462936,#375865,#375866); #334154=AXIS2_PLACEMENT_3D('',#462942,#375870,#375871); #334155=AXIS2_PLACEMENT_3D('',#462948,#375875,#375876); #334156=AXIS2_PLACEMENT_3D('',#462954,#375880,#375881); #334157=AXIS2_PLACEMENT_3D('',#462960,#375885,#375886); #334158=AXIS2_PLACEMENT_3D('',#462966,#375890,#375891); #334159=AXIS2_PLACEMENT_3D('',#462972,#375895,#375896); #334160=AXIS2_PLACEMENT_3D('',#462978,#375900,#375901); #334161=AXIS2_PLACEMENT_3D('',#462984,#375905,#375906); #334162=AXIS2_PLACEMENT_3D('',#462990,#375910,#375911); #334163=AXIS2_PLACEMENT_3D('',#462996,#375915,#375916); #334164=AXIS2_PLACEMENT_3D('',#463002,#375920,#375921); #334165=AXIS2_PLACEMENT_3D('',#463008,#375925,#375926); #334166=AXIS2_PLACEMENT_3D('',#463014,#375930,#375931); #334167=AXIS2_PLACEMENT_3D('',#463020,#375935,#375936); #334168=AXIS2_PLACEMENT_3D('',#463026,#375940,#375941); #334169=AXIS2_PLACEMENT_3D('',#463032,#375945,#375946); #334170=AXIS2_PLACEMENT_3D('',#463038,#375950,#375951); #334171=AXIS2_PLACEMENT_3D('',#463041,#375954,#375955); #334172=AXIS2_PLACEMENT_3D('',#463042,#375956,#375957); #334173=AXIS2_PLACEMENT_3D('',#463043,#375958,#375959); #334174=AXIS2_PLACEMENT_3D('',#463052,#375964,#375965); #334175=AXIS2_PLACEMENT_3D('',#463058,#375969,#375970); #334176=AXIS2_PLACEMENT_3D('',#463064,#375974,#375975); #334177=AXIS2_PLACEMENT_3D('',#463070,#375979,#375980); #334178=AXIS2_PLACEMENT_3D('',#463076,#375984,#375985); #334179=AXIS2_PLACEMENT_3D('',#463082,#375989,#375990); #334180=AXIS2_PLACEMENT_3D('',#463088,#375994,#375995); #334181=AXIS2_PLACEMENT_3D('',#463094,#375999,#376000); #334182=AXIS2_PLACEMENT_3D('',#463100,#376004,#376005); #334183=AXIS2_PLACEMENT_3D('',#463106,#376009,#376010); #334184=AXIS2_PLACEMENT_3D('',#463112,#376014,#376015); #334185=AXIS2_PLACEMENT_3D('',#463118,#376019,#376020); #334186=AXIS2_PLACEMENT_3D('',#463124,#376024,#376025); #334187=AXIS2_PLACEMENT_3D('',#463130,#376029,#376030); #334188=AXIS2_PLACEMENT_3D('',#463136,#376034,#376035); #334189=AXIS2_PLACEMENT_3D('',#463142,#376039,#376040); #334190=AXIS2_PLACEMENT_3D('',#463148,#376044,#376045); #334191=AXIS2_PLACEMENT_3D('',#463154,#376049,#376050); #334192=AXIS2_PLACEMENT_3D('',#463160,#376054,#376055); #334193=AXIS2_PLACEMENT_3D('',#463166,#376059,#376060); #334194=AXIS2_PLACEMENT_3D('',#463172,#376064,#376065); #334195=AXIS2_PLACEMENT_3D('',#463178,#376069,#376070); #334196=AXIS2_PLACEMENT_3D('',#463184,#376074,#376075); #334197=AXIS2_PLACEMENT_3D('',#463190,#376079,#376080); #334198=AXIS2_PLACEMENT_3D('',#463196,#376084,#376085); #334199=AXIS2_PLACEMENT_3D('',#463202,#376089,#376090); #334200=AXIS2_PLACEMENT_3D('',#463208,#376094,#376095); #334201=AXIS2_PLACEMENT_3D('',#463214,#376099,#376100); #334202=AXIS2_PLACEMENT_3D('',#463217,#376103,#376104); #334203=AXIS2_PLACEMENT_3D('',#463218,#376105,#376106); #334204=AXIS2_PLACEMENT_3D('',#463219,#376107,#376108); #334205=AXIS2_PLACEMENT_3D('',#463228,#376113,#376114); #334206=AXIS2_PLACEMENT_3D('',#463234,#376118,#376119); #334207=AXIS2_PLACEMENT_3D('',#463240,#376123,#376124); #334208=AXIS2_PLACEMENT_3D('',#463246,#376128,#376129); #334209=AXIS2_PLACEMENT_3D('',#463252,#376133,#376134); #334210=AXIS2_PLACEMENT_3D('',#463258,#376138,#376139); #334211=AXIS2_PLACEMENT_3D('',#463264,#376143,#376144); #334212=AXIS2_PLACEMENT_3D('',#463270,#376148,#376149); #334213=AXIS2_PLACEMENT_3D('',#463276,#376153,#376154); #334214=AXIS2_PLACEMENT_3D('',#463282,#376158,#376159); #334215=AXIS2_PLACEMENT_3D('',#463288,#376163,#376164); #334216=AXIS2_PLACEMENT_3D('',#463294,#376168,#376169); #334217=AXIS2_PLACEMENT_3D('',#463300,#376173,#376174); #334218=AXIS2_PLACEMENT_3D('',#463306,#376178,#376179); #334219=AXIS2_PLACEMENT_3D('',#463312,#376183,#376184); #334220=AXIS2_PLACEMENT_3D('',#463318,#376188,#376189); #334221=AXIS2_PLACEMENT_3D('',#463324,#376193,#376194); #334222=AXIS2_PLACEMENT_3D('',#463330,#376198,#376199); #334223=AXIS2_PLACEMENT_3D('',#463333,#376202,#376203); #334224=AXIS2_PLACEMENT_3D('',#463334,#376204,#376205); #334225=AXIS2_PLACEMENT_3D('',#463335,#376206,#376207); #334226=AXIS2_PLACEMENT_3D('',#463337,#376208,#376209); #334227=AXIS2_PLACEMENT_3D('',#463340,#376211,#376212); #334228=AXIS2_PLACEMENT_3D('',#463341,#376213,#376214); #334229=AXIS2_PLACEMENT_3D('',#463343,#376215,#376216); #334230=AXIS2_PLACEMENT_3D('',#463346,#376218,#376219); #334231=AXIS2_PLACEMENT_3D('',#463347,#376220,#376221); #334232=AXIS2_PLACEMENT_3D('',#463349,#376222,#376223); #334233=AXIS2_PLACEMENT_3D('',#463352,#376225,#376226); #334234=AXIS2_PLACEMENT_3D('',#463353,#376227,#376228); #334235=AXIS2_PLACEMENT_3D('',#463355,#376229,#376230); #334236=AXIS2_PLACEMENT_3D('',#463358,#376232,#376233); #334237=AXIS2_PLACEMENT_3D('',#463359,#376234,#376235); #334238=AXIS2_PLACEMENT_3D('',#463361,#376236,#376237); #334239=AXIS2_PLACEMENT_3D('',#463364,#376239,#376240); #334240=AXIS2_PLACEMENT_3D('',#463365,#376241,#376242); #334241=AXIS2_PLACEMENT_3D('',#463367,#376243,#376244); #334242=AXIS2_PLACEMENT_3D('',#463370,#376246,#376247); #334243=AXIS2_PLACEMENT_3D('',#463371,#376248,#376249); #334244=AXIS2_PLACEMENT_3D('',#463373,#376250,#376251); #334245=AXIS2_PLACEMENT_3D('',#463376,#376253,#376254); #334246=AXIS2_PLACEMENT_3D('',#463377,#376255,#376256); #334247=AXIS2_PLACEMENT_3D('',#463379,#376257,#376258); #334248=AXIS2_PLACEMENT_3D('',#463382,#376260,#376261); #334249=AXIS2_PLACEMENT_3D('',#463383,#376262,#376263); #334250=AXIS2_PLACEMENT_3D('',#463385,#376264,#376265); #334251=AXIS2_PLACEMENT_3D('',#463388,#376267,#376268); #334252=AXIS2_PLACEMENT_3D('',#463389,#376269,#376270); #334253=AXIS2_PLACEMENT_3D('',#463391,#376271,#376272); #334254=AXIS2_PLACEMENT_3D('',#463394,#376274,#376275); #334255=AXIS2_PLACEMENT_3D('',#463395,#376276,#376277); #334256=AXIS2_PLACEMENT_3D('',#463397,#376278,#376279); #334257=AXIS2_PLACEMENT_3D('',#463400,#376281,#376282); #334258=AXIS2_PLACEMENT_3D('',#463401,#376283,#376284); #334259=AXIS2_PLACEMENT_3D('',#463403,#376285,#376286); #334260=AXIS2_PLACEMENT_3D('',#463406,#376288,#376289); #334261=AXIS2_PLACEMENT_3D('',#463407,#376290,#376291); #334262=AXIS2_PLACEMENT_3D('',#463409,#376292,#376293); #334263=AXIS2_PLACEMENT_3D('',#463412,#376295,#376296); #334264=AXIS2_PLACEMENT_3D('',#463413,#376297,#376298); #334265=AXIS2_PLACEMENT_3D('',#463415,#376299,#376300); #334266=AXIS2_PLACEMENT_3D('',#463418,#376302,#376303); #334267=AXIS2_PLACEMENT_3D('',#463419,#376304,#376305); #334268=AXIS2_PLACEMENT_3D('',#463421,#376306,#376307); #334269=AXIS2_PLACEMENT_3D('',#463424,#376309,#376310); #334270=AXIS2_PLACEMENT_3D('',#463425,#376311,#376312); #334271=AXIS2_PLACEMENT_3D('',#463427,#376313,#376314); #334272=AXIS2_PLACEMENT_3D('',#463430,#376316,#376317); #334273=AXIS2_PLACEMENT_3D('',#463431,#376318,#376319); #334274=AXIS2_PLACEMENT_3D('',#463433,#376320,#376321); #334275=AXIS2_PLACEMENT_3D('',#463436,#376323,#376324); #334276=AXIS2_PLACEMENT_3D('',#463437,#376325,#376326); #334277=AXIS2_PLACEMENT_3D('',#463439,#376327,#376328); #334278=AXIS2_PLACEMENT_3D('',#463442,#376330,#376331); #334279=AXIS2_PLACEMENT_3D('',#463443,#376332,#376333); #334280=AXIS2_PLACEMENT_3D('',#463445,#376334,#376335); #334281=AXIS2_PLACEMENT_3D('',#463448,#376337,#376338); #334282=AXIS2_PLACEMENT_3D('',#463449,#376339,#376340); #334283=AXIS2_PLACEMENT_3D('',#463451,#376341,#376342); #334284=AXIS2_PLACEMENT_3D('',#463454,#376344,#376345); #334285=AXIS2_PLACEMENT_3D('',#463455,#376346,#376347); #334286=AXIS2_PLACEMENT_3D('',#463457,#376348,#376349); #334287=AXIS2_PLACEMENT_3D('',#463460,#376351,#376352); #334288=AXIS2_PLACEMENT_3D('',#463461,#376353,#376354); #334289=AXIS2_PLACEMENT_3D('',#463463,#376355,#376356); #334290=AXIS2_PLACEMENT_3D('',#463466,#376358,#376359); #334291=AXIS2_PLACEMENT_3D('',#463467,#376360,#376361); #334292=AXIS2_PLACEMENT_3D('',#463469,#376362,#376363); #334293=AXIS2_PLACEMENT_3D('',#463472,#376365,#376366); #334294=AXIS2_PLACEMENT_3D('',#463473,#376367,#376368); #334295=AXIS2_PLACEMENT_3D('',#463475,#376369,#376370); #334296=AXIS2_PLACEMENT_3D('',#463478,#376372,#376373); #334297=AXIS2_PLACEMENT_3D('',#463479,#376374,#376375); #334298=AXIS2_PLACEMENT_3D('',#463481,#376376,#376377); #334299=AXIS2_PLACEMENT_3D('',#463484,#376379,#376380); #334300=AXIS2_PLACEMENT_3D('',#463485,#376381,#376382); #334301=AXIS2_PLACEMENT_3D('',#463487,#376383,#376384); #334302=AXIS2_PLACEMENT_3D('',#463490,#376386,#376387); #334303=AXIS2_PLACEMENT_3D('',#463491,#376388,#376389); #334304=AXIS2_PLACEMENT_3D('',#463493,#376390,#376391); #334305=AXIS2_PLACEMENT_3D('',#463496,#376393,#376394); #334306=AXIS2_PLACEMENT_3D('',#463497,#376395,#376396); #334307=AXIS2_PLACEMENT_3D('',#463499,#376397,#376398); #334308=AXIS2_PLACEMENT_3D('',#463502,#376400,#376401); #334309=AXIS2_PLACEMENT_3D('',#463503,#376402,#376403); #334310=AXIS2_PLACEMENT_3D('',#463505,#376404,#376405); #334311=AXIS2_PLACEMENT_3D('',#463508,#376407,#376408); #334312=AXIS2_PLACEMENT_3D('',#463509,#376409,#376410); #334313=AXIS2_PLACEMENT_3D('',#463511,#376411,#376412); #334314=AXIS2_PLACEMENT_3D('',#463514,#376414,#376415); #334315=AXIS2_PLACEMENT_3D('',#463515,#376416,#376417); #334316=AXIS2_PLACEMENT_3D('',#463517,#376418,#376419); #334317=AXIS2_PLACEMENT_3D('',#463520,#376421,#376422); #334318=AXIS2_PLACEMENT_3D('',#463521,#376423,#376424); #334319=AXIS2_PLACEMENT_3D('',#463523,#376425,#376426); #334320=AXIS2_PLACEMENT_3D('',#463526,#376428,#376429); #334321=AXIS2_PLACEMENT_3D('',#463527,#376430,#376431); #334322=AXIS2_PLACEMENT_3D('',#463529,#376432,#376433); #334323=AXIS2_PLACEMENT_3D('',#463532,#376435,#376436); #334324=AXIS2_PLACEMENT_3D('',#463533,#376437,#376438); #334325=AXIS2_PLACEMENT_3D('',#463535,#376439,#376440); #334326=AXIS2_PLACEMENT_3D('',#463538,#376442,#376443); #334327=AXIS2_PLACEMENT_3D('',#463539,#376444,#376445); #334328=AXIS2_PLACEMENT_3D('',#463541,#376446,#376447); #334329=AXIS2_PLACEMENT_3D('',#463544,#376449,#376450); #334330=AXIS2_PLACEMENT_3D('',#463545,#376451,#376452); #334331=AXIS2_PLACEMENT_3D('',#463547,#376453,#376454); #334332=AXIS2_PLACEMENT_3D('',#463550,#376456,#376457); #334333=AXIS2_PLACEMENT_3D('',#463551,#376458,#376459); #334334=AXIS2_PLACEMENT_3D('',#463553,#376460,#376461); #334335=AXIS2_PLACEMENT_3D('',#463556,#376463,#376464); #334336=AXIS2_PLACEMENT_3D('',#463557,#376465,#376466); #334337=AXIS2_PLACEMENT_3D('',#463559,#376467,#376468); #334338=AXIS2_PLACEMENT_3D('',#463562,#376470,#376471); #334339=AXIS2_PLACEMENT_3D('',#463563,#376472,#376473); #334340=AXIS2_PLACEMENT_3D('',#463565,#376474,#376475); #334341=AXIS2_PLACEMENT_3D('',#463568,#376477,#376478); #334342=AXIS2_PLACEMENT_3D('',#463569,#376479,#376480); #334343=AXIS2_PLACEMENT_3D('',#463571,#376481,#376482); #334344=AXIS2_PLACEMENT_3D('',#463574,#376484,#376485); #334345=AXIS2_PLACEMENT_3D('',#463575,#376486,#376487); #334346=AXIS2_PLACEMENT_3D('',#463577,#376488,#376489); #334347=AXIS2_PLACEMENT_3D('',#463580,#376491,#376492); #334348=AXIS2_PLACEMENT_3D('',#463581,#376493,#376494); #334349=AXIS2_PLACEMENT_3D('',#463583,#376495,#376496); #334350=AXIS2_PLACEMENT_3D('',#463586,#376498,#376499); #334351=AXIS2_PLACEMENT_3D('',#463587,#376500,#376501); #334352=AXIS2_PLACEMENT_3D('',#463589,#376502,#376503); #334353=AXIS2_PLACEMENT_3D('',#463592,#376505,#376506); #334354=AXIS2_PLACEMENT_3D('',#463593,#376507,#376508); #334355=AXIS2_PLACEMENT_3D('',#463595,#376509,#376510); #334356=AXIS2_PLACEMENT_3D('',#463598,#376512,#376513); #334357=AXIS2_PLACEMENT_3D('',#463599,#376514,#376515); #334358=AXIS2_PLACEMENT_3D('',#463601,#376516,#376517); #334359=AXIS2_PLACEMENT_3D('',#463604,#376519,#376520); #334360=AXIS2_PLACEMENT_3D('',#463605,#376521,#376522); #334361=AXIS2_PLACEMENT_3D('',#463607,#376523,#376524); #334362=AXIS2_PLACEMENT_3D('',#463610,#376526,#376527); #334363=AXIS2_PLACEMENT_3D('',#463611,#376528,#376529); #334364=AXIS2_PLACEMENT_3D('',#463613,#376530,#376531); #334365=AXIS2_PLACEMENT_3D('',#463616,#376533,#376534); #334366=AXIS2_PLACEMENT_3D('',#463617,#376535,#376536); #334367=AXIS2_PLACEMENT_3D('',#463619,#376537,#376538); #334368=AXIS2_PLACEMENT_3D('',#463622,#376540,#376541); #334369=AXIS2_PLACEMENT_3D('',#463623,#376542,#376543); #334370=AXIS2_PLACEMENT_3D('',#463625,#376544,#376545); #334371=AXIS2_PLACEMENT_3D('',#463628,#376547,#376548); #334372=AXIS2_PLACEMENT_3D('',#463629,#376549,#376550); #334373=AXIS2_PLACEMENT_3D('',#463638,#376555,#376556); #334374=AXIS2_PLACEMENT_3D('',#463644,#376560,#376561); #334375=AXIS2_PLACEMENT_3D('',#463650,#376565,#376566); #334376=AXIS2_PLACEMENT_3D('',#463656,#376570,#376571); #334377=AXIS2_PLACEMENT_3D('',#463662,#376575,#376576); #334378=AXIS2_PLACEMENT_3D('',#463668,#376580,#376581); #334379=AXIS2_PLACEMENT_3D('',#463674,#376585,#376586); #334380=AXIS2_PLACEMENT_3D('',#463680,#376590,#376591); #334381=AXIS2_PLACEMENT_3D('',#463686,#376595,#376596); #334382=AXIS2_PLACEMENT_3D('',#463692,#376600,#376601); #334383=AXIS2_PLACEMENT_3D('',#463698,#376605,#376606); #334384=AXIS2_PLACEMENT_3D('',#463704,#376610,#376611); #334385=AXIS2_PLACEMENT_3D('',#463710,#376615,#376616); #334386=AXIS2_PLACEMENT_3D('',#463716,#376620,#376621); #334387=AXIS2_PLACEMENT_3D('',#463722,#376625,#376626); #334388=AXIS2_PLACEMENT_3D('',#463728,#376630,#376631); #334389=AXIS2_PLACEMENT_3D('',#463734,#376635,#376636); #334390=AXIS2_PLACEMENT_3D('',#463740,#376640,#376641); #334391=AXIS2_PLACEMENT_3D('',#463746,#376645,#376646); #334392=AXIS2_PLACEMENT_3D('',#463752,#376650,#376651); #334393=AXIS2_PLACEMENT_3D('',#463758,#376655,#376656); #334394=AXIS2_PLACEMENT_3D('',#463764,#376660,#376661); #334395=AXIS2_PLACEMENT_3D('',#463770,#376665,#376666); #334396=AXIS2_PLACEMENT_3D('',#463776,#376670,#376671); #334397=AXIS2_PLACEMENT_3D('',#463782,#376675,#376676); #334398=AXIS2_PLACEMENT_3D('',#463788,#376680,#376681); #334399=AXIS2_PLACEMENT_3D('',#463794,#376685,#376686); #334400=AXIS2_PLACEMENT_3D('',#463800,#376690,#376691); #334401=AXIS2_PLACEMENT_3D('',#463806,#376695,#376696); #334402=AXIS2_PLACEMENT_3D('',#463812,#376700,#376701); #334403=AXIS2_PLACEMENT_3D('',#463818,#376705,#376706); #334404=AXIS2_PLACEMENT_3D('',#463824,#376710,#376711); #334405=AXIS2_PLACEMENT_3D('',#463830,#376715,#376716); #334406=AXIS2_PLACEMENT_3D('',#463836,#376720,#376721); #334407=AXIS2_PLACEMENT_3D('',#463842,#376725,#376726); #334408=AXIS2_PLACEMENT_3D('',#463848,#376730,#376731); #334409=AXIS2_PLACEMENT_3D('',#463854,#376735,#376736); #334410=AXIS2_PLACEMENT_3D('',#463860,#376740,#376741); #334411=AXIS2_PLACEMENT_3D('',#463866,#376745,#376746); #334412=AXIS2_PLACEMENT_3D('',#463872,#376750,#376751); #334413=AXIS2_PLACEMENT_3D('',#463878,#376755,#376756); #334414=AXIS2_PLACEMENT_3D('',#463884,#376760,#376761); #334415=AXIS2_PLACEMENT_3D('',#463890,#376765,#376766); #334416=AXIS2_PLACEMENT_3D('',#463896,#376770,#376771); #334417=AXIS2_PLACEMENT_3D('',#463902,#376775,#376776); #334418=AXIS2_PLACEMENT_3D('',#463908,#376780,#376781); #334419=AXIS2_PLACEMENT_3D('',#463914,#376785,#376786); #334420=AXIS2_PLACEMENT_3D('',#463920,#376790,#376791); #334421=AXIS2_PLACEMENT_3D('',#463926,#376795,#376796); #334422=AXIS2_PLACEMENT_3D('',#463932,#376800,#376801); #334423=AXIS2_PLACEMENT_3D('',#463938,#376805,#376806); #334424=AXIS2_PLACEMENT_3D('',#463944,#376810,#376811); #334425=AXIS2_PLACEMENT_3D('',#463950,#376815,#376816); #334426=AXIS2_PLACEMENT_3D('',#463956,#376820,#376821); #334427=AXIS2_PLACEMENT_3D('',#463962,#376825,#376826); #334428=AXIS2_PLACEMENT_3D('',#463968,#376830,#376831); #334429=AXIS2_PLACEMENT_3D('',#463974,#376835,#376836); #334430=AXIS2_PLACEMENT_3D('',#463980,#376840,#376841); #334431=AXIS2_PLACEMENT_3D('',#463986,#376845,#376846); #334432=AXIS2_PLACEMENT_3D('',#463992,#376850,#376851); #334433=AXIS2_PLACEMENT_3D('',#463998,#376855,#376856); #334434=AXIS2_PLACEMENT_3D('',#464004,#376860,#376861); #334435=AXIS2_PLACEMENT_3D('',#464010,#376865,#376866); #334436=AXIS2_PLACEMENT_3D('',#464016,#376870,#376871); #334437=AXIS2_PLACEMENT_3D('',#464022,#376875,#376876); #334438=AXIS2_PLACEMENT_3D('',#464028,#376880,#376881); #334439=AXIS2_PLACEMENT_3D('',#464034,#376885,#376886); #334440=AXIS2_PLACEMENT_3D('',#464040,#376890,#376891); #334441=AXIS2_PLACEMENT_3D('',#464046,#376895,#376896); #334442=AXIS2_PLACEMENT_3D('',#464052,#376900,#376901); #334443=AXIS2_PLACEMENT_3D('',#464058,#376905,#376906); #334444=AXIS2_PLACEMENT_3D('',#464064,#376910,#376911); #334445=AXIS2_PLACEMENT_3D('',#464070,#376915,#376916); #334446=AXIS2_PLACEMENT_3D('',#464076,#376920,#376921); #334447=AXIS2_PLACEMENT_3D('',#464082,#376925,#376926); #334448=AXIS2_PLACEMENT_3D('',#464088,#376930,#376931); #334449=AXIS2_PLACEMENT_3D('',#464094,#376935,#376936); #334450=AXIS2_PLACEMENT_3D('',#464100,#376940,#376941); #334451=AXIS2_PLACEMENT_3D('',#464106,#376945,#376946); #334452=AXIS2_PLACEMENT_3D('',#464112,#376950,#376951); #334453=AXIS2_PLACEMENT_3D('',#464118,#376955,#376956); #334454=AXIS2_PLACEMENT_3D('',#464124,#376960,#376961); #334455=AXIS2_PLACEMENT_3D('',#464130,#376965,#376966); #334456=AXIS2_PLACEMENT_3D('',#464136,#376970,#376971); #334457=AXIS2_PLACEMENT_3D('',#464142,#376975,#376976); #334458=AXIS2_PLACEMENT_3D('',#464148,#376980,#376981); #334459=AXIS2_PLACEMENT_3D('',#464154,#376985,#376986); #334460=AXIS2_PLACEMENT_3D('',#464160,#376990,#376991); #334461=AXIS2_PLACEMENT_3D('',#464166,#376995,#376996); #334462=AXIS2_PLACEMENT_3D('',#464172,#377000,#377001); #334463=AXIS2_PLACEMENT_3D('',#464178,#377005,#377006); #334464=AXIS2_PLACEMENT_3D('',#464184,#377010,#377011); #334465=AXIS2_PLACEMENT_3D('',#464190,#377015,#377016); #334466=AXIS2_PLACEMENT_3D('',#464196,#377020,#377021); #334467=AXIS2_PLACEMENT_3D('',#464202,#377025,#377026); #334468=AXIS2_PLACEMENT_3D('',#464208,#377030,#377031); #334469=AXIS2_PLACEMENT_3D('',#464214,#377035,#377036); #334470=AXIS2_PLACEMENT_3D('',#464220,#377040,#377041); #334471=AXIS2_PLACEMENT_3D('',#464226,#377045,#377046); #334472=AXIS2_PLACEMENT_3D('',#464232,#377050,#377051); #334473=AXIS2_PLACEMENT_3D('',#464238,#377055,#377056); #334474=AXIS2_PLACEMENT_3D('',#464244,#377060,#377061); #334475=AXIS2_PLACEMENT_3D('',#464250,#377065,#377066); #334476=AXIS2_PLACEMENT_3D('',#464256,#377070,#377071); #334477=AXIS2_PLACEMENT_3D('',#464262,#377075,#377076); #334478=AXIS2_PLACEMENT_3D('',#464268,#377080,#377081); #334479=AXIS2_PLACEMENT_3D('',#464274,#377085,#377086); #334480=AXIS2_PLACEMENT_3D('',#464280,#377090,#377091); #334481=AXIS2_PLACEMENT_3D('',#464286,#377095,#377096); #334482=AXIS2_PLACEMENT_3D('',#464292,#377100,#377101); #334483=AXIS2_PLACEMENT_3D('',#464298,#377105,#377106); #334484=AXIS2_PLACEMENT_3D('',#464304,#377110,#377111); #334485=AXIS2_PLACEMENT_3D('',#464310,#377115,#377116); #334486=AXIS2_PLACEMENT_3D('',#464316,#377120,#377121); #334487=AXIS2_PLACEMENT_3D('',#464322,#377125,#377126); #334488=AXIS2_PLACEMENT_3D('',#464328,#377130,#377131); #334489=AXIS2_PLACEMENT_3D('',#464334,#377135,#377136); #334490=AXIS2_PLACEMENT_3D('',#464340,#377140,#377141); #334491=AXIS2_PLACEMENT_3D('',#464346,#377145,#377146); #334492=AXIS2_PLACEMENT_3D('',#464352,#377150,#377151); #334493=AXIS2_PLACEMENT_3D('',#464358,#377155,#377156); #334494=AXIS2_PLACEMENT_3D('',#464364,#377160,#377161); #334495=AXIS2_PLACEMENT_3D('',#464370,#377165,#377166); #334496=AXIS2_PLACEMENT_3D('',#464376,#377170,#377171); #334497=AXIS2_PLACEMENT_3D('',#464382,#377175,#377176); #334498=AXIS2_PLACEMENT_3D('',#464388,#377180,#377181); #334499=AXIS2_PLACEMENT_3D('',#464394,#377185,#377186); #334500=AXIS2_PLACEMENT_3D('',#464400,#377190,#377191); #334501=AXIS2_PLACEMENT_3D('',#464406,#377195,#377196); #334502=AXIS2_PLACEMENT_3D('',#464412,#377200,#377201); #334503=AXIS2_PLACEMENT_3D('',#464418,#377205,#377206); #334504=AXIS2_PLACEMENT_3D('',#464424,#377210,#377211); #334505=AXIS2_PLACEMENT_3D('',#464430,#377215,#377216); #334506=AXIS2_PLACEMENT_3D('',#464436,#377220,#377221); #334507=AXIS2_PLACEMENT_3D('',#464442,#377225,#377226); #334508=AXIS2_PLACEMENT_3D('',#464448,#377230,#377231); #334509=AXIS2_PLACEMENT_3D('',#464454,#377235,#377236); #334510=AXIS2_PLACEMENT_3D('',#464460,#377240,#377241); #334511=AXIS2_PLACEMENT_3D('',#464466,#377245,#377246); #334512=AXIS2_PLACEMENT_3D('',#464472,#377250,#377251); #334513=AXIS2_PLACEMENT_3D('',#464478,#377255,#377256); #334514=AXIS2_PLACEMENT_3D('',#464484,#377260,#377261); #334515=AXIS2_PLACEMENT_3D('',#464490,#377265,#377266); #334516=AXIS2_PLACEMENT_3D('',#464496,#377270,#377271); #334517=AXIS2_PLACEMENT_3D('',#464502,#377275,#377276); #334518=AXIS2_PLACEMENT_3D('',#464508,#377280,#377281); #334519=AXIS2_PLACEMENT_3D('',#464514,#377285,#377286); #334520=AXIS2_PLACEMENT_3D('',#464520,#377290,#377291); #334521=AXIS2_PLACEMENT_3D('',#464526,#377295,#377296); #334522=AXIS2_PLACEMENT_3D('',#464532,#377300,#377301); #334523=AXIS2_PLACEMENT_3D('',#464538,#377305,#377306); #334524=AXIS2_PLACEMENT_3D('',#464544,#377310,#377311); #334525=AXIS2_PLACEMENT_3D('',#464550,#377315,#377316); #334526=AXIS2_PLACEMENT_3D('',#464556,#377320,#377321); #334527=AXIS2_PLACEMENT_3D('',#464562,#377325,#377326); #334528=AXIS2_PLACEMENT_3D('',#464568,#377330,#377331); #334529=AXIS2_PLACEMENT_3D('',#464574,#377335,#377336); #334530=AXIS2_PLACEMENT_3D('',#464580,#377340,#377341); #334531=AXIS2_PLACEMENT_3D('',#464586,#377345,#377346); #334532=AXIS2_PLACEMENT_3D('',#464592,#377350,#377351); #334533=AXIS2_PLACEMENT_3D('',#464598,#377355,#377356); #334534=AXIS2_PLACEMENT_3D('',#464604,#377360,#377361); #334535=AXIS2_PLACEMENT_3D('',#464610,#377365,#377366); #334536=AXIS2_PLACEMENT_3D('',#464616,#377370,#377371); #334537=AXIS2_PLACEMENT_3D('',#464622,#377375,#377376); #334538=AXIS2_PLACEMENT_3D('',#464628,#377380,#377381); #334539=AXIS2_PLACEMENT_3D('',#464634,#377385,#377386); #334540=AXIS2_PLACEMENT_3D('',#464640,#377390,#377391); #334541=AXIS2_PLACEMENT_3D('',#464646,#377395,#377396); #334542=AXIS2_PLACEMENT_3D('',#464652,#377400,#377401); #334543=AXIS2_PLACEMENT_3D('',#464658,#377405,#377406); #334544=AXIS2_PLACEMENT_3D('',#464664,#377410,#377411); #334545=AXIS2_PLACEMENT_3D('',#464670,#377415,#377416); #334546=AXIS2_PLACEMENT_3D('',#464676,#377420,#377421); #334547=AXIS2_PLACEMENT_3D('',#464682,#377425,#377426); #334548=AXIS2_PLACEMENT_3D('',#464688,#377430,#377431); #334549=AXIS2_PLACEMENT_3D('',#464694,#377435,#377436); #334550=AXIS2_PLACEMENT_3D('',#464700,#377440,#377441); #334551=AXIS2_PLACEMENT_3D('',#464706,#377445,#377446); #334552=AXIS2_PLACEMENT_3D('',#464712,#377450,#377451); #334553=AXIS2_PLACEMENT_3D('',#464718,#377455,#377456); #334554=AXIS2_PLACEMENT_3D('',#464724,#377460,#377461); #334555=AXIS2_PLACEMENT_3D('',#464730,#377465,#377466); #334556=AXIS2_PLACEMENT_3D('',#464736,#377470,#377471); #334557=AXIS2_PLACEMENT_3D('',#464742,#377475,#377476); #334558=AXIS2_PLACEMENT_3D('',#464748,#377480,#377481); #334559=AXIS2_PLACEMENT_3D('',#464754,#377485,#377486); #334560=AXIS2_PLACEMENT_3D('',#464760,#377490,#377491); #334561=AXIS2_PLACEMENT_3D('',#464766,#377495,#377496); #334562=AXIS2_PLACEMENT_3D('',#464772,#377500,#377501); #334563=AXIS2_PLACEMENT_3D('',#464778,#377505,#377506); #334564=AXIS2_PLACEMENT_3D('',#464784,#377510,#377511); #334565=AXIS2_PLACEMENT_3D('',#464790,#377515,#377516); #334566=AXIS2_PLACEMENT_3D('',#464796,#377520,#377521); #334567=AXIS2_PLACEMENT_3D('',#464802,#377525,#377526); #334568=AXIS2_PLACEMENT_3D('',#464808,#377530,#377531); #334569=AXIS2_PLACEMENT_3D('',#464814,#377535,#377536); #334570=AXIS2_PLACEMENT_3D('',#464820,#377540,#377541); #334571=AXIS2_PLACEMENT_3D('',#464826,#377545,#377546); #334572=AXIS2_PLACEMENT_3D('',#464832,#377550,#377551); #334573=AXIS2_PLACEMENT_3D('',#464838,#377555,#377556); #334574=AXIS2_PLACEMENT_3D('',#464844,#377560,#377561); #334575=AXIS2_PLACEMENT_3D('',#464850,#377565,#377566); #334576=AXIS2_PLACEMENT_3D('',#464856,#377570,#377571); #334577=AXIS2_PLACEMENT_3D('',#464862,#377575,#377576); #334578=AXIS2_PLACEMENT_3D('',#464868,#377580,#377581); #334579=AXIS2_PLACEMENT_3D('',#464874,#377585,#377586); #334580=AXIS2_PLACEMENT_3D('',#464880,#377590,#377591); #334581=AXIS2_PLACEMENT_3D('',#464886,#377595,#377596); #334582=AXIS2_PLACEMENT_3D('',#464892,#377600,#377601); #334583=AXIS2_PLACEMENT_3D('',#464898,#377605,#377606); #334584=AXIS2_PLACEMENT_3D('',#464904,#377610,#377611); #334585=AXIS2_PLACEMENT_3D('',#464910,#377615,#377616); #334586=AXIS2_PLACEMENT_3D('',#464916,#377620,#377621); #334587=AXIS2_PLACEMENT_3D('',#464922,#377625,#377626); #334588=AXIS2_PLACEMENT_3D('',#464928,#377630,#377631); #334589=AXIS2_PLACEMENT_3D('',#464934,#377635,#377636); #334590=AXIS2_PLACEMENT_3D('',#464940,#377640,#377641); #334591=AXIS2_PLACEMENT_3D('',#464946,#377645,#377646); #334592=AXIS2_PLACEMENT_3D('',#464952,#377650,#377651); #334593=AXIS2_PLACEMENT_3D('',#464958,#377655,#377656); #334594=AXIS2_PLACEMENT_3D('',#464964,#377660,#377661); #334595=AXIS2_PLACEMENT_3D('',#464970,#377665,#377666); #334596=AXIS2_PLACEMENT_3D('',#464976,#377670,#377671); #334597=AXIS2_PLACEMENT_3D('',#464982,#377675,#377676); #334598=AXIS2_PLACEMENT_3D('',#464988,#377680,#377681); #334599=AXIS2_PLACEMENT_3D('',#464994,#377685,#377686); #334600=AXIS2_PLACEMENT_3D('',#465000,#377690,#377691); #334601=AXIS2_PLACEMENT_3D('',#465006,#377695,#377696); #334602=AXIS2_PLACEMENT_3D('',#465012,#377700,#377701); #334603=AXIS2_PLACEMENT_3D('',#465018,#377705,#377706); #334604=AXIS2_PLACEMENT_3D('',#465024,#377710,#377711); #334605=AXIS2_PLACEMENT_3D('',#465030,#377715,#377716); #334606=AXIS2_PLACEMENT_3D('',#465036,#377720,#377721); #334607=AXIS2_PLACEMENT_3D('',#465042,#377725,#377726); #334608=AXIS2_PLACEMENT_3D('',#465048,#377730,#377731); #334609=AXIS2_PLACEMENT_3D('',#465054,#377735,#377736); #334610=AXIS2_PLACEMENT_3D('',#465060,#377740,#377741); #334611=AXIS2_PLACEMENT_3D('',#465066,#377745,#377746); #334612=AXIS2_PLACEMENT_3D('',#465072,#377750,#377751); #334613=AXIS2_PLACEMENT_3D('',#465078,#377755,#377756); #334614=AXIS2_PLACEMENT_3D('',#465084,#377760,#377761); #334615=AXIS2_PLACEMENT_3D('',#465090,#377765,#377766); #334616=AXIS2_PLACEMENT_3D('',#465096,#377770,#377771); #334617=AXIS2_PLACEMENT_3D('',#465102,#377775,#377776); #334618=AXIS2_PLACEMENT_3D('',#465108,#377780,#377781); #334619=AXIS2_PLACEMENT_3D('',#465114,#377785,#377786); #334620=AXIS2_PLACEMENT_3D('',#465120,#377790,#377791); #334621=AXIS2_PLACEMENT_3D('',#465126,#377795,#377796); #334622=AXIS2_PLACEMENT_3D('',#465132,#377800,#377801); #334623=AXIS2_PLACEMENT_3D('',#465138,#377805,#377806); #334624=AXIS2_PLACEMENT_3D('',#465144,#377810,#377811); #334625=AXIS2_PLACEMENT_3D('',#465150,#377815,#377816); #334626=AXIS2_PLACEMENT_3D('',#465156,#377820,#377821); #334627=AXIS2_PLACEMENT_3D('',#465162,#377825,#377826); #334628=AXIS2_PLACEMENT_3D('',#465168,#377830,#377831); #334629=AXIS2_PLACEMENT_3D('',#465174,#377835,#377836); #334630=AXIS2_PLACEMENT_3D('',#465180,#377840,#377841); #334631=AXIS2_PLACEMENT_3D('',#465186,#377845,#377846); #334632=AXIS2_PLACEMENT_3D('',#465192,#377850,#377851); #334633=AXIS2_PLACEMENT_3D('',#465198,#377855,#377856); #334634=AXIS2_PLACEMENT_3D('',#465204,#377860,#377861); #334635=AXIS2_PLACEMENT_3D('',#465210,#377865,#377866); #334636=AXIS2_PLACEMENT_3D('',#465216,#377870,#377871); #334637=AXIS2_PLACEMENT_3D('',#465222,#377875,#377876); #334638=AXIS2_PLACEMENT_3D('',#465228,#377880,#377881); #334639=AXIS2_PLACEMENT_3D('',#465234,#377885,#377886); #334640=AXIS2_PLACEMENT_3D('',#465240,#377890,#377891); #334641=AXIS2_PLACEMENT_3D('',#465246,#377895,#377896); #334642=AXIS2_PLACEMENT_3D('',#465252,#377900,#377901); #334643=AXIS2_PLACEMENT_3D('',#465258,#377905,#377906); #334644=AXIS2_PLACEMENT_3D('',#465264,#377910,#377911); #334645=AXIS2_PLACEMENT_3D('',#465270,#377915,#377916); #334646=AXIS2_PLACEMENT_3D('',#465276,#377920,#377921); #334647=AXIS2_PLACEMENT_3D('',#465282,#377925,#377926); #334648=AXIS2_PLACEMENT_3D('',#465288,#377930,#377931); #334649=AXIS2_PLACEMENT_3D('',#465294,#377935,#377936); #334650=AXIS2_PLACEMENT_3D('',#465300,#377940,#377941); #334651=AXIS2_PLACEMENT_3D('',#465306,#377945,#377946); #334652=AXIS2_PLACEMENT_3D('',#465312,#377950,#377951); #334653=AXIS2_PLACEMENT_3D('',#465318,#377955,#377956); #334654=AXIS2_PLACEMENT_3D('',#465324,#377960,#377961); #334655=AXIS2_PLACEMENT_3D('',#465330,#377965,#377966); #334656=AXIS2_PLACEMENT_3D('',#465336,#377970,#377971); #334657=AXIS2_PLACEMENT_3D('',#465342,#377975,#377976); #334658=AXIS2_PLACEMENT_3D('',#465348,#377980,#377981); #334659=AXIS2_PLACEMENT_3D('',#465354,#377985,#377986); #334660=AXIS2_PLACEMENT_3D('',#465360,#377990,#377991); #334661=AXIS2_PLACEMENT_3D('',#465366,#377995,#377996); #334662=AXIS2_PLACEMENT_3D('',#465372,#378000,#378001); #334663=AXIS2_PLACEMENT_3D('',#465378,#378005,#378006); #334664=AXIS2_PLACEMENT_3D('',#465384,#378010,#378011); #334665=AXIS2_PLACEMENT_3D('',#465390,#378015,#378016); #334666=AXIS2_PLACEMENT_3D('',#465396,#378020,#378021); #334667=AXIS2_PLACEMENT_3D('',#465402,#378025,#378026); #334668=AXIS2_PLACEMENT_3D('',#465405,#378029,#378030); #334669=AXIS2_PLACEMENT_3D('',#465406,#378031,#378032); #334670=AXIS2_PLACEMENT_3D('',#465407,#378033,#378034); #334671=AXIS2_PLACEMENT_3D('',#465416,#378039,#378040); #334672=AXIS2_PLACEMENT_3D('',#465422,#378044,#378045); #334673=AXIS2_PLACEMENT_3D('',#465428,#378049,#378050); #334674=AXIS2_PLACEMENT_3D('',#465434,#378054,#378055); #334675=AXIS2_PLACEMENT_3D('',#465440,#378059,#378060); #334676=AXIS2_PLACEMENT_3D('',#465446,#378064,#378065); #334677=AXIS2_PLACEMENT_3D('',#465452,#378069,#378070); #334678=AXIS2_PLACEMENT_3D('',#465458,#378074,#378075); #334679=AXIS2_PLACEMENT_3D('',#465464,#378079,#378080); #334680=AXIS2_PLACEMENT_3D('',#465470,#378084,#378085); #334681=AXIS2_PLACEMENT_3D('',#465476,#378089,#378090); #334682=AXIS2_PLACEMENT_3D('',#465482,#378094,#378095); #334683=AXIS2_PLACEMENT_3D('',#465488,#378099,#378100); #334684=AXIS2_PLACEMENT_3D('',#465494,#378104,#378105); #334685=AXIS2_PLACEMENT_3D('',#465500,#378109,#378110); #334686=AXIS2_PLACEMENT_3D('',#465506,#378114,#378115); #334687=AXIS2_PLACEMENT_3D('',#465512,#378119,#378120); #334688=AXIS2_PLACEMENT_3D('',#465518,#378124,#378125); #334689=AXIS2_PLACEMENT_3D('',#465524,#378129,#378130); #334690=AXIS2_PLACEMENT_3D('',#465530,#378134,#378135); #334691=AXIS2_PLACEMENT_3D('',#465533,#378138,#378139); #334692=AXIS2_PLACEMENT_3D('',#465534,#378140,#378141); #334693=AXIS2_PLACEMENT_3D('',#465535,#378142,#378143); #334694=AXIS2_PLACEMENT_3D('',#465544,#378148,#378149); #334695=AXIS2_PLACEMENT_3D('',#465550,#378153,#378154); #334696=AXIS2_PLACEMENT_3D('',#465556,#378158,#378159); #334697=AXIS2_PLACEMENT_3D('',#465562,#378163,#378164); #334698=AXIS2_PLACEMENT_3D('',#465568,#378168,#378169); #334699=AXIS2_PLACEMENT_3D('',#465574,#378173,#378174); #334700=AXIS2_PLACEMENT_3D('',#465580,#378178,#378179); #334701=AXIS2_PLACEMENT_3D('',#465586,#378183,#378184); #334702=AXIS2_PLACEMENT_3D('',#465592,#378188,#378189); #334703=AXIS2_PLACEMENT_3D('',#465598,#378193,#378194); #334704=AXIS2_PLACEMENT_3D('',#465604,#378198,#378199); #334705=AXIS2_PLACEMENT_3D('',#465610,#378203,#378204); #334706=AXIS2_PLACEMENT_3D('',#465616,#378208,#378209); #334707=AXIS2_PLACEMENT_3D('',#465622,#378213,#378214); #334708=AXIS2_PLACEMENT_3D('',#465628,#378218,#378219); #334709=AXIS2_PLACEMENT_3D('',#465634,#378223,#378224); #334710=AXIS2_PLACEMENT_3D('',#465640,#378228,#378229); #334711=AXIS2_PLACEMENT_3D('',#465646,#378233,#378234); #334712=AXIS2_PLACEMENT_3D('',#465652,#378238,#378239); #334713=AXIS2_PLACEMENT_3D('',#465658,#378243,#378244); #334714=AXIS2_PLACEMENT_3D('',#465661,#378247,#378248); #334715=AXIS2_PLACEMENT_3D('',#465662,#378249,#378250); #334716=AXIS2_PLACEMENT_3D('',#465663,#378251,#378252); #334717=AXIS2_PLACEMENT_3D('',#465672,#378257,#378258); #334718=AXIS2_PLACEMENT_3D('',#465678,#378262,#378263); #334719=AXIS2_PLACEMENT_3D('',#465684,#378267,#378268); #334720=AXIS2_PLACEMENT_3D('',#465690,#378272,#378273); #334721=AXIS2_PLACEMENT_3D('',#465696,#378277,#378278); #334722=AXIS2_PLACEMENT_3D('',#465702,#378282,#378283); #334723=AXIS2_PLACEMENT_3D('',#465708,#378287,#378288); #334724=AXIS2_PLACEMENT_3D('',#465714,#378292,#378293); #334725=AXIS2_PLACEMENT_3D('',#465720,#378297,#378298); #334726=AXIS2_PLACEMENT_3D('',#465726,#378302,#378303); #334727=AXIS2_PLACEMENT_3D('',#465732,#378307,#378308); #334728=AXIS2_PLACEMENT_3D('',#465738,#378312,#378313); #334729=AXIS2_PLACEMENT_3D('',#465744,#378317,#378318); #334730=AXIS2_PLACEMENT_3D('',#465750,#378322,#378323); #334731=AXIS2_PLACEMENT_3D('',#465756,#378327,#378328); #334732=AXIS2_PLACEMENT_3D('',#465762,#378332,#378333); #334733=AXIS2_PLACEMENT_3D('',#465768,#378337,#378338); #334734=AXIS2_PLACEMENT_3D('',#465774,#378342,#378343); #334735=AXIS2_PLACEMENT_3D('',#465777,#378346,#378347); #334736=AXIS2_PLACEMENT_3D('',#465778,#378348,#378349); #334737=AXIS2_PLACEMENT_3D('',#465779,#378350,#378351); #334738=AXIS2_PLACEMENT_3D('',#465788,#378356,#378357); #334739=AXIS2_PLACEMENT_3D('',#465794,#378361,#378362); #334740=AXIS2_PLACEMENT_3D('',#465800,#378366,#378367); #334741=AXIS2_PLACEMENT_3D('',#465806,#378371,#378372); #334742=AXIS2_PLACEMENT_3D('',#465812,#378376,#378377); #334743=AXIS2_PLACEMENT_3D('',#465818,#378381,#378382); #334744=AXIS2_PLACEMENT_3D('',#465824,#378386,#378387); #334745=AXIS2_PLACEMENT_3D('',#465830,#378391,#378392); #334746=AXIS2_PLACEMENT_3D('',#465836,#378396,#378397); #334747=AXIS2_PLACEMENT_3D('',#465842,#378401,#378402); #334748=AXIS2_PLACEMENT_3D('',#465848,#378406,#378407); #334749=AXIS2_PLACEMENT_3D('',#465854,#378411,#378412); #334750=AXIS2_PLACEMENT_3D('',#465860,#378416,#378417); #334751=AXIS2_PLACEMENT_3D('',#465866,#378421,#378422); #334752=AXIS2_PLACEMENT_3D('',#465872,#378426,#378427); #334753=AXIS2_PLACEMENT_3D('',#465878,#378431,#378432); #334754=AXIS2_PLACEMENT_3D('',#465884,#378436,#378437); #334755=AXIS2_PLACEMENT_3D('',#465890,#378441,#378442); #334756=AXIS2_PLACEMENT_3D('',#465893,#378445,#378446); #334757=AXIS2_PLACEMENT_3D('',#465894,#378447,#378448); #334758=AXIS2_PLACEMENT_3D('',#465895,#378449,#378450); #334759=AXIS2_PLACEMENT_3D('',#465904,#378455,#378456); #334760=AXIS2_PLACEMENT_3D('',#465910,#378460,#378461); #334761=AXIS2_PLACEMENT_3D('',#465916,#378465,#378466); #334762=AXIS2_PLACEMENT_3D('',#465922,#378470,#378471); #334763=AXIS2_PLACEMENT_3D('',#465928,#378475,#378476); #334764=AXIS2_PLACEMENT_3D('',#465934,#378480,#378481); #334765=AXIS2_PLACEMENT_3D('',#465940,#378485,#378486); #334766=AXIS2_PLACEMENT_3D('',#465946,#378490,#378491); #334767=AXIS2_PLACEMENT_3D('',#465952,#378495,#378496); #334768=AXIS2_PLACEMENT_3D('',#465958,#378500,#378501); #334769=AXIS2_PLACEMENT_3D('',#465964,#378505,#378506); #334770=AXIS2_PLACEMENT_3D('',#465970,#378510,#378511); #334771=AXIS2_PLACEMENT_3D('',#465976,#378515,#378516); #334772=AXIS2_PLACEMENT_3D('',#465982,#378520,#378521); #334773=AXIS2_PLACEMENT_3D('',#465988,#378525,#378526); #334774=AXIS2_PLACEMENT_3D('',#465994,#378530,#378531); #334775=AXIS2_PLACEMENT_3D('',#466000,#378535,#378536); #334776=AXIS2_PLACEMENT_3D('',#466006,#378540,#378541); #334777=AXIS2_PLACEMENT_3D('',#466009,#378544,#378545); #334778=AXIS2_PLACEMENT_3D('',#466010,#378546,#378547); #334779=AXIS2_PLACEMENT_3D('',#466011,#378548,#378549); #334780=AXIS2_PLACEMENT_3D('',#466020,#378554,#378555); #334781=AXIS2_PLACEMENT_3D('',#466026,#378559,#378560); #334782=AXIS2_PLACEMENT_3D('',#466032,#378564,#378565); #334783=AXIS2_PLACEMENT_3D('',#466038,#378569,#378570); #334784=AXIS2_PLACEMENT_3D('',#466044,#378574,#378575); #334785=AXIS2_PLACEMENT_3D('',#466050,#378579,#378580); #334786=AXIS2_PLACEMENT_3D('',#466056,#378584,#378585); #334787=AXIS2_PLACEMENT_3D('',#466062,#378589,#378590); #334788=AXIS2_PLACEMENT_3D('',#466068,#378594,#378595); #334789=AXIS2_PLACEMENT_3D('',#466074,#378599,#378600); #334790=AXIS2_PLACEMENT_3D('',#466080,#378604,#378605); #334791=AXIS2_PLACEMENT_3D('',#466086,#378609,#378610); #334792=AXIS2_PLACEMENT_3D('',#466092,#378614,#378615); #334793=AXIS2_PLACEMENT_3D('',#466098,#378619,#378620); #334794=AXIS2_PLACEMENT_3D('',#466104,#378624,#378625); #334795=AXIS2_PLACEMENT_3D('',#466110,#378629,#378630); #334796=AXIS2_PLACEMENT_3D('',#466116,#378634,#378635); #334797=AXIS2_PLACEMENT_3D('',#466122,#378639,#378640); #334798=AXIS2_PLACEMENT_3D('',#466128,#378644,#378645); #334799=AXIS2_PLACEMENT_3D('',#466134,#378649,#378650); #334800=AXIS2_PLACEMENT_3D('',#466140,#378654,#378655); #334801=AXIS2_PLACEMENT_3D('',#466146,#378659,#378660); #334802=AXIS2_PLACEMENT_3D('',#466152,#378664,#378665); #334803=AXIS2_PLACEMENT_3D('',#466158,#378669,#378670); #334804=AXIS2_PLACEMENT_3D('',#466164,#378674,#378675); #334805=AXIS2_PLACEMENT_3D('',#466170,#378679,#378680); #334806=AXIS2_PLACEMENT_3D('',#466176,#378684,#378685); #334807=AXIS2_PLACEMENT_3D('',#466182,#378689,#378690); #334808=AXIS2_PLACEMENT_3D('',#466188,#378694,#378695); #334809=AXIS2_PLACEMENT_3D('',#466194,#378699,#378700); #334810=AXIS2_PLACEMENT_3D('',#466200,#378704,#378705); #334811=AXIS2_PLACEMENT_3D('',#466206,#378709,#378710); #334812=AXIS2_PLACEMENT_3D('',#466212,#378714,#378715); #334813=AXIS2_PLACEMENT_3D('',#466218,#378719,#378720); #334814=AXIS2_PLACEMENT_3D('',#466224,#378724,#378725); #334815=AXIS2_PLACEMENT_3D('',#466230,#378729,#378730); #334816=AXIS2_PLACEMENT_3D('',#466233,#378733,#378734); #334817=AXIS2_PLACEMENT_3D('',#466234,#378735,#378736); #334818=AXIS2_PLACEMENT_3D('',#466235,#378737,#378738); #334819=AXIS2_PLACEMENT_3D('',#466244,#378743,#378744); #334820=AXIS2_PLACEMENT_3D('',#466250,#378748,#378749); #334821=AXIS2_PLACEMENT_3D('',#466256,#378753,#378754); #334822=AXIS2_PLACEMENT_3D('',#466262,#378758,#378759); #334823=AXIS2_PLACEMENT_3D('',#466268,#378763,#378764); #334824=AXIS2_PLACEMENT_3D('',#466274,#378768,#378769); #334825=AXIS2_PLACEMENT_3D('',#466280,#378773,#378774); #334826=AXIS2_PLACEMENT_3D('',#466286,#378778,#378779); #334827=AXIS2_PLACEMENT_3D('',#466292,#378783,#378784); #334828=AXIS2_PLACEMENT_3D('',#466298,#378788,#378789); #334829=AXIS2_PLACEMENT_3D('',#466304,#378793,#378794); #334830=AXIS2_PLACEMENT_3D('',#466310,#378798,#378799); #334831=AXIS2_PLACEMENT_3D('',#466316,#378803,#378804); #334832=AXIS2_PLACEMENT_3D('',#466322,#378808,#378809); #334833=AXIS2_PLACEMENT_3D('',#466328,#378813,#378814); #334834=AXIS2_PLACEMENT_3D('',#466334,#378818,#378819); #334835=AXIS2_PLACEMENT_3D('',#466340,#378823,#378824); #334836=AXIS2_PLACEMENT_3D('',#466346,#378828,#378829); #334837=AXIS2_PLACEMENT_3D('',#466352,#378833,#378834); #334838=AXIS2_PLACEMENT_3D('',#466355,#378837,#378838); #334839=AXIS2_PLACEMENT_3D('',#466356,#378839,#378840); #334840=AXIS2_PLACEMENT_3D('',#466357,#378841,#378842); #334841=AXIS2_PLACEMENT_3D('',#466366,#378847,#378848); #334842=AXIS2_PLACEMENT_3D('',#466372,#378852,#378853); #334843=AXIS2_PLACEMENT_3D('',#466378,#378857,#378858); #334844=AXIS2_PLACEMENT_3D('',#466384,#378862,#378863); #334845=AXIS2_PLACEMENT_3D('',#466390,#378867,#378868); #334846=AXIS2_PLACEMENT_3D('',#466396,#378872,#378873); #334847=AXIS2_PLACEMENT_3D('',#466402,#378877,#378878); #334848=AXIS2_PLACEMENT_3D('',#466408,#378882,#378883); #334849=AXIS2_PLACEMENT_3D('',#466414,#378887,#378888); #334850=AXIS2_PLACEMENT_3D('',#466420,#378892,#378893); #334851=AXIS2_PLACEMENT_3D('',#466426,#378897,#378898); #334852=AXIS2_PLACEMENT_3D('',#466432,#378902,#378903); #334853=AXIS2_PLACEMENT_3D('',#466438,#378907,#378908); #334854=AXIS2_PLACEMENT_3D('',#466444,#378912,#378913); #334855=AXIS2_PLACEMENT_3D('',#466450,#378917,#378918); #334856=AXIS2_PLACEMENT_3D('',#466456,#378922,#378923); #334857=AXIS2_PLACEMENT_3D('',#466462,#378927,#378928); #334858=AXIS2_PLACEMENT_3D('',#466468,#378932,#378933); #334859=AXIS2_PLACEMENT_3D('',#466474,#378937,#378938); #334860=AXIS2_PLACEMENT_3D('',#466480,#378942,#378943); #334861=AXIS2_PLACEMENT_3D('',#466486,#378947,#378948); #334862=AXIS2_PLACEMENT_3D('',#466492,#378952,#378953); #334863=AXIS2_PLACEMENT_3D('',#466498,#378957,#378958); #334864=AXIS2_PLACEMENT_3D('',#466504,#378962,#378963); #334865=AXIS2_PLACEMENT_3D('',#466510,#378967,#378968); #334866=AXIS2_PLACEMENT_3D('',#466516,#378972,#378973); #334867=AXIS2_PLACEMENT_3D('',#466522,#378977,#378978); #334868=AXIS2_PLACEMENT_3D('',#466528,#378982,#378983); #334869=AXIS2_PLACEMENT_3D('',#466534,#378987,#378988); #334870=AXIS2_PLACEMENT_3D('',#466540,#378992,#378993); #334871=AXIS2_PLACEMENT_3D('',#466546,#378997,#378998); #334872=AXIS2_PLACEMENT_3D('',#466552,#379002,#379003); #334873=AXIS2_PLACEMENT_3D('',#466558,#379007,#379008); #334874=AXIS2_PLACEMENT_3D('',#466564,#379012,#379013); #334875=AXIS2_PLACEMENT_3D('',#466570,#379017,#379018); #334876=AXIS2_PLACEMENT_3D('',#466576,#379022,#379023); #334877=AXIS2_PLACEMENT_3D('',#466582,#379027,#379028); #334878=AXIS2_PLACEMENT_3D('',#466588,#379032,#379033); #334879=AXIS2_PLACEMENT_3D('',#466594,#379037,#379038); #334880=AXIS2_PLACEMENT_3D('',#466600,#379042,#379043); #334881=AXIS2_PLACEMENT_3D('',#466606,#379047,#379048); #334882=AXIS2_PLACEMENT_3D('',#466612,#379052,#379053); #334883=AXIS2_PLACEMENT_3D('',#466618,#379057,#379058); #334884=AXIS2_PLACEMENT_3D('',#466624,#379062,#379063); #334885=AXIS2_PLACEMENT_3D('',#466630,#379067,#379068); #334886=AXIS2_PLACEMENT_3D('',#466636,#379072,#379073); #334887=AXIS2_PLACEMENT_3D('',#466642,#379077,#379078); #334888=AXIS2_PLACEMENT_3D('',#466645,#379081,#379082); #334889=AXIS2_PLACEMENT_3D('',#466646,#379083,#379084); #334890=AXIS2_PLACEMENT_3D('',#466647,#379085,#379086); #334891=AXIS2_PLACEMENT_3D('',#466656,#379091,#379092); #334892=AXIS2_PLACEMENT_3D('',#466662,#379096,#379097); #334893=AXIS2_PLACEMENT_3D('',#466668,#379101,#379102); #334894=AXIS2_PLACEMENT_3D('',#466674,#379106,#379107); #334895=AXIS2_PLACEMENT_3D('',#466680,#379111,#379112); #334896=AXIS2_PLACEMENT_3D('',#466686,#379116,#379117); #334897=AXIS2_PLACEMENT_3D('',#466692,#379121,#379122); #334898=AXIS2_PLACEMENT_3D('',#466698,#379126,#379127); #334899=AXIS2_PLACEMENT_3D('',#466704,#379131,#379132); #334900=AXIS2_PLACEMENT_3D('',#466710,#379136,#379137); #334901=AXIS2_PLACEMENT_3D('',#466716,#379141,#379142); #334902=AXIS2_PLACEMENT_3D('',#466722,#379146,#379147); #334903=AXIS2_PLACEMENT_3D('',#466728,#379151,#379152); #334904=AXIS2_PLACEMENT_3D('',#466734,#379156,#379157); #334905=AXIS2_PLACEMENT_3D('',#466740,#379161,#379162); #334906=AXIS2_PLACEMENT_3D('',#466746,#379166,#379167); #334907=AXIS2_PLACEMENT_3D('',#466752,#379171,#379172); #334908=AXIS2_PLACEMENT_3D('',#466758,#379176,#379177); #334909=AXIS2_PLACEMENT_3D('',#466764,#379181,#379182); #334910=AXIS2_PLACEMENT_3D('',#466767,#379185,#379186); #334911=AXIS2_PLACEMENT_3D('',#466768,#379187,#379188); #334912=AXIS2_PLACEMENT_3D('',#466769,#379189,#379190); #334913=AXIS2_PLACEMENT_3D('',#466778,#379195,#379196); #334914=AXIS2_PLACEMENT_3D('',#466784,#379200,#379201); #334915=AXIS2_PLACEMENT_3D('',#466790,#379205,#379206); #334916=AXIS2_PLACEMENT_3D('',#466796,#379210,#379211); #334917=AXIS2_PLACEMENT_3D('',#466802,#379215,#379216); #334918=AXIS2_PLACEMENT_3D('',#466808,#379220,#379221); #334919=AXIS2_PLACEMENT_3D('',#466814,#379225,#379226); #334920=AXIS2_PLACEMENT_3D('',#466820,#379230,#379231); #334921=AXIS2_PLACEMENT_3D('',#466826,#379235,#379236); #334922=AXIS2_PLACEMENT_3D('',#466832,#379240,#379241); #334923=AXIS2_PLACEMENT_3D('',#466838,#379245,#379246); #334924=AXIS2_PLACEMENT_3D('',#466844,#379250,#379251); #334925=AXIS2_PLACEMENT_3D('',#466850,#379255,#379256); #334926=AXIS2_PLACEMENT_3D('',#466856,#379260,#379261); #334927=AXIS2_PLACEMENT_3D('',#466862,#379265,#379266); #334928=AXIS2_PLACEMENT_3D('',#466868,#379270,#379271); #334929=AXIS2_PLACEMENT_3D('',#466874,#379275,#379276); #334930=AXIS2_PLACEMENT_3D('',#466880,#379280,#379281); #334931=AXIS2_PLACEMENT_3D('',#466886,#379285,#379286); #334932=AXIS2_PLACEMENT_3D('',#466892,#379290,#379291); #334933=AXIS2_PLACEMENT_3D('',#466898,#379295,#379296); #334934=AXIS2_PLACEMENT_3D('',#466904,#379300,#379301); #334935=AXIS2_PLACEMENT_3D('',#466910,#379305,#379306); #334936=AXIS2_PLACEMENT_3D('',#466916,#379310,#379311); #334937=AXIS2_PLACEMENT_3D('',#466922,#379315,#379316); #334938=AXIS2_PLACEMENT_3D('',#466928,#379320,#379321); #334939=AXIS2_PLACEMENT_3D('',#466934,#379325,#379326); #334940=AXIS2_PLACEMENT_3D('',#466940,#379330,#379331); #334941=AXIS2_PLACEMENT_3D('',#466946,#379335,#379336); #334942=AXIS2_PLACEMENT_3D('',#466952,#379340,#379341); #334943=AXIS2_PLACEMENT_3D('',#466955,#379344,#379345); #334944=AXIS2_PLACEMENT_3D('',#466956,#379346,#379347); #334945=AXIS2_PLACEMENT_3D('',#466957,#379348,#379349); #334946=AXIS2_PLACEMENT_3D('',#466966,#379354,#379355); #334947=AXIS2_PLACEMENT_3D('',#466972,#379359,#379360); #334948=AXIS2_PLACEMENT_3D('',#466978,#379364,#379365); #334949=AXIS2_PLACEMENT_3D('',#466984,#379369,#379370); #334950=AXIS2_PLACEMENT_3D('',#466990,#379374,#379375); #334951=AXIS2_PLACEMENT_3D('',#466996,#379379,#379380); #334952=AXIS2_PLACEMENT_3D('',#467002,#379384,#379385); #334953=AXIS2_PLACEMENT_3D('',#467008,#379389,#379390); #334954=AXIS2_PLACEMENT_3D('',#467014,#379394,#379395); #334955=AXIS2_PLACEMENT_3D('',#467020,#379399,#379400); #334956=AXIS2_PLACEMENT_3D('',#467026,#379404,#379405); #334957=AXIS2_PLACEMENT_3D('',#467032,#379409,#379410); #334958=AXIS2_PLACEMENT_3D('',#467038,#379414,#379415); #334959=AXIS2_PLACEMENT_3D('',#467044,#379419,#379420); #334960=AXIS2_PLACEMENT_3D('',#467050,#379424,#379425); #334961=AXIS2_PLACEMENT_3D('',#467056,#379429,#379430); #334962=AXIS2_PLACEMENT_3D('',#467062,#379434,#379435); #334963=AXIS2_PLACEMENT_3D('',#467068,#379439,#379440); #334964=AXIS2_PLACEMENT_3D('',#467074,#379444,#379445); #334965=AXIS2_PLACEMENT_3D('',#467077,#379448,#379449); #334966=AXIS2_PLACEMENT_3D('',#467078,#379450,#379451); #334967=AXIS2_PLACEMENT_3D('',#467079,#379452,#379453); #334968=AXIS2_PLACEMENT_3D('',#467088,#379458,#379459); #334969=AXIS2_PLACEMENT_3D('',#467094,#379463,#379464); #334970=AXIS2_PLACEMENT_3D('',#467100,#379468,#379469); #334971=AXIS2_PLACEMENT_3D('',#467106,#379473,#379474); #334972=AXIS2_PLACEMENT_3D('',#467112,#379478,#379479); #334973=AXIS2_PLACEMENT_3D('',#467118,#379483,#379484); #334974=AXIS2_PLACEMENT_3D('',#467124,#379488,#379489); #334975=AXIS2_PLACEMENT_3D('',#467130,#379493,#379494); #334976=AXIS2_PLACEMENT_3D('',#467136,#379498,#379499); #334977=AXIS2_PLACEMENT_3D('',#467142,#379503,#379504); #334978=AXIS2_PLACEMENT_3D('',#467148,#379508,#379509); #334979=AXIS2_PLACEMENT_3D('',#467154,#379513,#379514); #334980=AXIS2_PLACEMENT_3D('',#467160,#379518,#379519); #334981=AXIS2_PLACEMENT_3D('',#467166,#379523,#379524); #334982=AXIS2_PLACEMENT_3D('',#467172,#379528,#379529); #334983=AXIS2_PLACEMENT_3D('',#467178,#379533,#379534); #334984=AXIS2_PLACEMENT_3D('',#467184,#379538,#379539); #334985=AXIS2_PLACEMENT_3D('',#467190,#379543,#379544); #334986=AXIS2_PLACEMENT_3D('',#467196,#379548,#379549); #334987=AXIS2_PLACEMENT_3D('',#467202,#379553,#379554); #334988=AXIS2_PLACEMENT_3D('',#467208,#379558,#379559); #334989=AXIS2_PLACEMENT_3D('',#467214,#379563,#379564); #334990=AXIS2_PLACEMENT_3D('',#467220,#379568,#379569); #334991=AXIS2_PLACEMENT_3D('',#467226,#379573,#379574); #334992=AXIS2_PLACEMENT_3D('',#467232,#379578,#379579); #334993=AXIS2_PLACEMENT_3D('',#467238,#379583,#379584); #334994=AXIS2_PLACEMENT_3D('',#467244,#379588,#379589); #334995=AXIS2_PLACEMENT_3D('',#467250,#379593,#379594); #334996=AXIS2_PLACEMENT_3D('',#467256,#379598,#379599); #334997=AXIS2_PLACEMENT_3D('',#467262,#379603,#379604); #334998=AXIS2_PLACEMENT_3D('',#467265,#379607,#379608); #334999=AXIS2_PLACEMENT_3D('',#467266,#379609,#379610); #335000=AXIS2_PLACEMENT_3D('',#467267,#379611,#379612); #335001=AXIS2_PLACEMENT_3D('',#467276,#379617,#379618); #335002=AXIS2_PLACEMENT_3D('',#467282,#379622,#379623); #335003=AXIS2_PLACEMENT_3D('',#467288,#379627,#379628); #335004=AXIS2_PLACEMENT_3D('',#467294,#379632,#379633); #335005=AXIS2_PLACEMENT_3D('',#467300,#379637,#379638); #335006=AXIS2_PLACEMENT_3D('',#467306,#379642,#379643); #335007=AXIS2_PLACEMENT_3D('',#467312,#379647,#379648); #335008=AXIS2_PLACEMENT_3D('',#467318,#379652,#379653); #335009=AXIS2_PLACEMENT_3D('',#467324,#379657,#379658); #335010=AXIS2_PLACEMENT_3D('',#467330,#379662,#379663); #335011=AXIS2_PLACEMENT_3D('',#467336,#379667,#379668); #335012=AXIS2_PLACEMENT_3D('',#467342,#379672,#379673); #335013=AXIS2_PLACEMENT_3D('',#467348,#379677,#379678); #335014=AXIS2_PLACEMENT_3D('',#467354,#379682,#379683); #335015=AXIS2_PLACEMENT_3D('',#467360,#379687,#379688); #335016=AXIS2_PLACEMENT_3D('',#467366,#379692,#379693); #335017=AXIS2_PLACEMENT_3D('',#467372,#379697,#379698); #335018=AXIS2_PLACEMENT_3D('',#467378,#379702,#379703); #335019=AXIS2_PLACEMENT_3D('',#467384,#379707,#379708); #335020=AXIS2_PLACEMENT_3D('',#467390,#379712,#379713); #335021=AXIS2_PLACEMENT_3D('',#467393,#379716,#379717); #335022=AXIS2_PLACEMENT_3D('',#467394,#379718,#379719); #335023=AXIS2_PLACEMENT_3D('',#467395,#379720,#379721); #335024=AXIS2_PLACEMENT_3D('',#467404,#379726,#379727); #335025=AXIS2_PLACEMENT_3D('',#467410,#379731,#379732); #335026=AXIS2_PLACEMENT_3D('',#467416,#379736,#379737); #335027=AXIS2_PLACEMENT_3D('',#467422,#379741,#379742); #335028=AXIS2_PLACEMENT_3D('',#467428,#379746,#379747); #335029=AXIS2_PLACEMENT_3D('',#467434,#379751,#379752); #335030=AXIS2_PLACEMENT_3D('',#467440,#379756,#379757); #335031=AXIS2_PLACEMENT_3D('',#467446,#379761,#379762); #335032=AXIS2_PLACEMENT_3D('',#467452,#379766,#379767); #335033=AXIS2_PLACEMENT_3D('',#467458,#379771,#379772); #335034=AXIS2_PLACEMENT_3D('',#467464,#379776,#379777); #335035=AXIS2_PLACEMENT_3D('',#467470,#379781,#379782); #335036=AXIS2_PLACEMENT_3D('',#467476,#379786,#379787); #335037=AXIS2_PLACEMENT_3D('',#467482,#379791,#379792); #335038=AXIS2_PLACEMENT_3D('',#467488,#379796,#379797); #335039=AXIS2_PLACEMENT_3D('',#467494,#379801,#379802); #335040=AXIS2_PLACEMENT_3D('',#467500,#379806,#379807); #335041=AXIS2_PLACEMENT_3D('',#467506,#379811,#379812); #335042=AXIS2_PLACEMENT_3D('',#467512,#379816,#379817); #335043=AXIS2_PLACEMENT_3D('',#467518,#379821,#379822); #335044=AXIS2_PLACEMENT_3D('',#467524,#379826,#379827); #335045=AXIS2_PLACEMENT_3D('',#467530,#379831,#379832); #335046=AXIS2_PLACEMENT_3D('',#467536,#379836,#379837); #335047=AXIS2_PLACEMENT_3D('',#467542,#379841,#379842); #335048=AXIS2_PLACEMENT_3D('',#467548,#379846,#379847); #335049=AXIS2_PLACEMENT_3D('',#467554,#379851,#379852); #335050=AXIS2_PLACEMENT_3D('',#467560,#379856,#379857); #335051=AXIS2_PLACEMENT_3D('',#467566,#379861,#379862); #335052=AXIS2_PLACEMENT_3D('',#467572,#379866,#379867); #335053=AXIS2_PLACEMENT_3D('',#467578,#379871,#379872); #335054=AXIS2_PLACEMENT_3D('',#467584,#379876,#379877); #335055=AXIS2_PLACEMENT_3D('',#467590,#379881,#379882); #335056=AXIS2_PLACEMENT_3D('',#467596,#379886,#379887); #335057=AXIS2_PLACEMENT_3D('',#467602,#379891,#379892); #335058=AXIS2_PLACEMENT_3D('',#467608,#379896,#379897); #335059=AXIS2_PLACEMENT_3D('',#467614,#379901,#379902); #335060=AXIS2_PLACEMENT_3D('',#467620,#379906,#379907); #335061=AXIS2_PLACEMENT_3D('',#467626,#379911,#379912); #335062=AXIS2_PLACEMENT_3D('',#467632,#379916,#379917); #335063=AXIS2_PLACEMENT_3D('',#467635,#379920,#379921); #335064=AXIS2_PLACEMENT_3D('',#467636,#379922,#379923); #335065=AXIS2_PLACEMENT_3D('',#467637,#379924,#379925); #335066=AXIS2_PLACEMENT_3D('',#467646,#379930,#379931); #335067=AXIS2_PLACEMENT_3D('',#467652,#379935,#379936); #335068=AXIS2_PLACEMENT_3D('',#467658,#379940,#379941); #335069=AXIS2_PLACEMENT_3D('',#467664,#379945,#379946); #335070=AXIS2_PLACEMENT_3D('',#467670,#379950,#379951); #335071=AXIS2_PLACEMENT_3D('',#467676,#379955,#379956); #335072=AXIS2_PLACEMENT_3D('',#467682,#379960,#379961); #335073=AXIS2_PLACEMENT_3D('',#467688,#379965,#379966); #335074=AXIS2_PLACEMENT_3D('',#467694,#379970,#379971); #335075=AXIS2_PLACEMENT_3D('',#467700,#379975,#379976); #335076=AXIS2_PLACEMENT_3D('',#467706,#379980,#379981); #335077=AXIS2_PLACEMENT_3D('',#467712,#379985,#379986); #335078=AXIS2_PLACEMENT_3D('',#467718,#379990,#379991); #335079=AXIS2_PLACEMENT_3D('',#467724,#379995,#379996); #335080=AXIS2_PLACEMENT_3D('',#467730,#380000,#380001); #335081=AXIS2_PLACEMENT_3D('',#467736,#380005,#380006); #335082=AXIS2_PLACEMENT_3D('',#467742,#380010,#380011); #335083=AXIS2_PLACEMENT_3D('',#467748,#380015,#380016); #335084=AXIS2_PLACEMENT_3D('',#467754,#380020,#380021); #335085=AXIS2_PLACEMENT_3D('',#467757,#380024,#380025); #335086=AXIS2_PLACEMENT_3D('',#467758,#380026,#380027); #335087=AXIS2_PLACEMENT_3D('',#467759,#380028,#380029); #335088=AXIS2_PLACEMENT_3D('',#467768,#380034,#380035); #335089=AXIS2_PLACEMENT_3D('',#467774,#380039,#380040); #335090=AXIS2_PLACEMENT_3D('',#467780,#380044,#380045); #335091=AXIS2_PLACEMENT_3D('',#467786,#380049,#380050); #335092=AXIS2_PLACEMENT_3D('',#467792,#380054,#380055); #335093=AXIS2_PLACEMENT_3D('',#467798,#380059,#380060); #335094=AXIS2_PLACEMENT_3D('',#467804,#380064,#380065); #335095=AXIS2_PLACEMENT_3D('',#467810,#380069,#380070); #335096=AXIS2_PLACEMENT_3D('',#467816,#380074,#380075); #335097=AXIS2_PLACEMENT_3D('',#467822,#380079,#380080); #335098=AXIS2_PLACEMENT_3D('',#467828,#380084,#380085); #335099=AXIS2_PLACEMENT_3D('',#467834,#380089,#380090); #335100=AXIS2_PLACEMENT_3D('',#467840,#380094,#380095); #335101=AXIS2_PLACEMENT_3D('',#467846,#380099,#380100); #335102=AXIS2_PLACEMENT_3D('',#467852,#380104,#380105); #335103=AXIS2_PLACEMENT_3D('',#467858,#380109,#380110); #335104=AXIS2_PLACEMENT_3D('',#467864,#380114,#380115); #335105=AXIS2_PLACEMENT_3D('',#467870,#380119,#380120); #335106=AXIS2_PLACEMENT_3D('',#467876,#380124,#380125); #335107=AXIS2_PLACEMENT_3D('',#467879,#380128,#380129); #335108=AXIS2_PLACEMENT_3D('',#467880,#380130,#380131); #335109=AXIS2_PLACEMENT_3D('',#467881,#380132,#380133); #335110=AXIS2_PLACEMENT_3D('',#467890,#380138,#380139); #335111=AXIS2_PLACEMENT_3D('',#467896,#380143,#380144); #335112=AXIS2_PLACEMENT_3D('',#467902,#380148,#380149); #335113=AXIS2_PLACEMENT_3D('',#467908,#380153,#380154); #335114=AXIS2_PLACEMENT_3D('',#467914,#380158,#380159); #335115=AXIS2_PLACEMENT_3D('',#467920,#380163,#380164); #335116=AXIS2_PLACEMENT_3D('',#467926,#380168,#380169); #335117=AXIS2_PLACEMENT_3D('',#467932,#380173,#380174); #335118=AXIS2_PLACEMENT_3D('',#467938,#380178,#380179); #335119=AXIS2_PLACEMENT_3D('',#467944,#380183,#380184); #335120=AXIS2_PLACEMENT_3D('',#467950,#380188,#380189); #335121=AXIS2_PLACEMENT_3D('',#467956,#380193,#380194); #335122=AXIS2_PLACEMENT_3D('',#467962,#380198,#380199); #335123=AXIS2_PLACEMENT_3D('',#467968,#380203,#380204); #335124=AXIS2_PLACEMENT_3D('',#467974,#380208,#380209); #335125=AXIS2_PLACEMENT_3D('',#467980,#380213,#380214); #335126=AXIS2_PLACEMENT_3D('',#467986,#380218,#380219); #335127=AXIS2_PLACEMENT_3D('',#467992,#380223,#380224); #335128=AXIS2_PLACEMENT_3D('',#467998,#380228,#380229); #335129=AXIS2_PLACEMENT_3D('',#468001,#380232,#380233); #335130=AXIS2_PLACEMENT_3D('',#468002,#380234,#380235); #335131=AXIS2_PLACEMENT_3D('',#468003,#380236,#380237); #335132=AXIS2_PLACEMENT_3D('',#468012,#380242,#380243); #335133=AXIS2_PLACEMENT_3D('',#468018,#380247,#380248); #335134=AXIS2_PLACEMENT_3D('',#468024,#380252,#380253); #335135=AXIS2_PLACEMENT_3D('',#468030,#380257,#380258); #335136=AXIS2_PLACEMENT_3D('',#468036,#380262,#380263); #335137=AXIS2_PLACEMENT_3D('',#468042,#380267,#380268); #335138=AXIS2_PLACEMENT_3D('',#468048,#380272,#380273); #335139=AXIS2_PLACEMENT_3D('',#468054,#380277,#380278); #335140=AXIS2_PLACEMENT_3D('',#468060,#380282,#380283); #335141=AXIS2_PLACEMENT_3D('',#468066,#380287,#380288); #335142=AXIS2_PLACEMENT_3D('',#468072,#380292,#380293); #335143=AXIS2_PLACEMENT_3D('',#468078,#380297,#380298); #335144=AXIS2_PLACEMENT_3D('',#468084,#380302,#380303); #335145=AXIS2_PLACEMENT_3D('',#468090,#380307,#380308); #335146=AXIS2_PLACEMENT_3D('',#468096,#380312,#380313); #335147=AXIS2_PLACEMENT_3D('',#468102,#380317,#380318); #335148=AXIS2_PLACEMENT_3D('',#468108,#380322,#380323); #335149=AXIS2_PLACEMENT_3D('',#468114,#380327,#380328); #335150=AXIS2_PLACEMENT_3D('',#468120,#380332,#380333); #335151=AXIS2_PLACEMENT_3D('',#468126,#380337,#380338); #335152=AXIS2_PLACEMENT_3D('',#468132,#380342,#380343); #335153=AXIS2_PLACEMENT_3D('',#468138,#380347,#380348); #335154=AXIS2_PLACEMENT_3D('',#468144,#380352,#380353); #335155=AXIS2_PLACEMENT_3D('',#468150,#380357,#380358); #335156=AXIS2_PLACEMENT_3D('',#468156,#380362,#380363); #335157=AXIS2_PLACEMENT_3D('',#468162,#380367,#380368); #335158=AXIS2_PLACEMENT_3D('',#468168,#380372,#380373); #335159=AXIS2_PLACEMENT_3D('',#468174,#380377,#380378); #335160=AXIS2_PLACEMENT_3D('',#468180,#380382,#380383); #335161=AXIS2_PLACEMENT_3D('',#468186,#380387,#380388); #335162=AXIS2_PLACEMENT_3D('',#468192,#380392,#380393); #335163=AXIS2_PLACEMENT_3D('',#468198,#380397,#380398); #335164=AXIS2_PLACEMENT_3D('',#468204,#380402,#380403); #335165=AXIS2_PLACEMENT_3D('',#468210,#380407,#380408); #335166=AXIS2_PLACEMENT_3D('',#468216,#380412,#380413); #335167=AXIS2_PLACEMENT_3D('',#468222,#380417,#380418); #335168=AXIS2_PLACEMENT_3D('',#468228,#380422,#380423); #335169=AXIS2_PLACEMENT_3D('',#468234,#380427,#380428); #335170=AXIS2_PLACEMENT_3D('',#468240,#380432,#380433); #335171=AXIS2_PLACEMENT_3D('',#468243,#380436,#380437); #335172=AXIS2_PLACEMENT_3D('',#468244,#380438,#380439); #335173=AXIS2_PLACEMENT_3D('',#468245,#380440,#380441); #335174=AXIS2_PLACEMENT_3D('',#468247,#380442,#380443); #335175=AXIS2_PLACEMENT_3D('',#468250,#380445,#380446); #335176=AXIS2_PLACEMENT_3D('',#468251,#380447,#380448); #335177=AXIS2_PLACEMENT_3D('',#468260,#380453,#380454); #335178=AXIS2_PLACEMENT_3D('',#468262,#380455,#380456); #335179=AXIS2_PLACEMENT_3D('',#468264,#380457,#380458); #335180=AXIS2_PLACEMENT_3D('',#468266,#380460,#380461); #335181=AXIS2_PLACEMENT_3D('',#468272,#380465,#380466); #335182=AXIS2_PLACEMENT_3D('',#468273,#380467,#380468); #335183=AXIS2_PLACEMENT_3D('',#468274,#380469,#380470); #335184=AXIS2_PLACEMENT_3D('',#468275,#380471,#380472); #335185=AXIS2_PLACEMENT_3D('',#468284,#380477,#380478); #335186=AXIS2_PLACEMENT_3D('',#468290,#380482,#380483); #335187=AXIS2_PLACEMENT_3D('',#468296,#380487,#380488); #335188=AXIS2_PLACEMENT_3D('',#468302,#380492,#380493); #335189=AXIS2_PLACEMENT_3D('',#468308,#380497,#380498); #335190=AXIS2_PLACEMENT_3D('',#468314,#380502,#380503); #335191=AXIS2_PLACEMENT_3D('',#468320,#380507,#380508); #335192=AXIS2_PLACEMENT_3D('',#468326,#380512,#380513); #335193=AXIS2_PLACEMENT_3D('',#468332,#380517,#380518); #335194=AXIS2_PLACEMENT_3D('',#468338,#380522,#380523); #335195=AXIS2_PLACEMENT_3D('',#468344,#380527,#380528); #335196=AXIS2_PLACEMENT_3D('',#468350,#380532,#380533); #335197=AXIS2_PLACEMENT_3D('',#468356,#380537,#380538); #335198=AXIS2_PLACEMENT_3D('',#468362,#380542,#380543); #335199=AXIS2_PLACEMENT_3D('',#468368,#380547,#380548); #335200=AXIS2_PLACEMENT_3D('',#468374,#380552,#380553); #335201=AXIS2_PLACEMENT_3D('',#468380,#380557,#380558); #335202=AXIS2_PLACEMENT_3D('',#468386,#380562,#380563); #335203=AXIS2_PLACEMENT_3D('',#468392,#380567,#380568); #335204=AXIS2_PLACEMENT_3D('',#468398,#380572,#380573); #335205=AXIS2_PLACEMENT_3D('',#468404,#380577,#380578); #335206=AXIS2_PLACEMENT_3D('',#468410,#380582,#380583); #335207=AXIS2_PLACEMENT_3D('',#468416,#380587,#380588); #335208=AXIS2_PLACEMENT_3D('',#468422,#380592,#380593); #335209=AXIS2_PLACEMENT_3D('',#468428,#380597,#380598); #335210=AXIS2_PLACEMENT_3D('',#468434,#380602,#380603); #335211=AXIS2_PLACEMENT_3D('',#468440,#380607,#380608); #335212=AXIS2_PLACEMENT_3D('',#468446,#380612,#380613); #335213=AXIS2_PLACEMENT_3D('',#468452,#380617,#380618); #335214=AXIS2_PLACEMENT_3D('',#468458,#380622,#380623); #335215=AXIS2_PLACEMENT_3D('',#468464,#380627,#380628); #335216=AXIS2_PLACEMENT_3D('',#468470,#380632,#380633); #335217=AXIS2_PLACEMENT_3D('',#468476,#380637,#380638); #335218=AXIS2_PLACEMENT_3D('',#468482,#380642,#380643); #335219=AXIS2_PLACEMENT_3D('',#468488,#380647,#380648); #335220=AXIS2_PLACEMENT_3D('',#468494,#380652,#380653); #335221=AXIS2_PLACEMENT_3D('',#468500,#380657,#380658); #335222=AXIS2_PLACEMENT_3D('',#468506,#380662,#380663); #335223=AXIS2_PLACEMENT_3D('',#468512,#380667,#380668); #335224=AXIS2_PLACEMENT_3D('',#468518,#380672,#380673); #335225=AXIS2_PLACEMENT_3D('',#468524,#380677,#380678); #335226=AXIS2_PLACEMENT_3D('',#468530,#380682,#380683); #335227=AXIS2_PLACEMENT_3D('',#468536,#380687,#380688); #335228=AXIS2_PLACEMENT_3D('',#468542,#380692,#380693); #335229=AXIS2_PLACEMENT_3D('',#468548,#380697,#380698); #335230=AXIS2_PLACEMENT_3D('',#468554,#380702,#380703); #335231=AXIS2_PLACEMENT_3D('',#468560,#380707,#380708); #335232=AXIS2_PLACEMENT_3D('',#468566,#380712,#380713); #335233=AXIS2_PLACEMENT_3D('',#468572,#380717,#380718); #335234=AXIS2_PLACEMENT_3D('',#468578,#380722,#380723); #335235=AXIS2_PLACEMENT_3D('',#468584,#380727,#380728); #335236=AXIS2_PLACEMENT_3D('',#468590,#380732,#380733); #335237=AXIS2_PLACEMENT_3D('',#468596,#380737,#380738); #335238=AXIS2_PLACEMENT_3D('',#468602,#380742,#380743); #335239=AXIS2_PLACEMENT_3D('',#468608,#380747,#380748); #335240=AXIS2_PLACEMENT_3D('',#468614,#380752,#380753); #335241=AXIS2_PLACEMENT_3D('',#468620,#380757,#380758); #335242=AXIS2_PLACEMENT_3D('',#468626,#380762,#380763); #335243=AXIS2_PLACEMENT_3D('',#468632,#380767,#380768); #335244=AXIS2_PLACEMENT_3D('',#468638,#380772,#380773); #335245=AXIS2_PLACEMENT_3D('',#468644,#380777,#380778); #335246=AXIS2_PLACEMENT_3D('',#468650,#380782,#380783); #335247=AXIS2_PLACEMENT_3D('',#468656,#380787,#380788); #335248=AXIS2_PLACEMENT_3D('',#468662,#380792,#380793); #335249=AXIS2_PLACEMENT_3D('',#468668,#380797,#380798); #335250=AXIS2_PLACEMENT_3D('',#468674,#380802,#380803); #335251=AXIS2_PLACEMENT_3D('',#468680,#380807,#380808); #335252=AXIS2_PLACEMENT_3D('',#468686,#380812,#380813); #335253=AXIS2_PLACEMENT_3D('',#468692,#380817,#380818); #335254=AXIS2_PLACEMENT_3D('',#468698,#380822,#380823); #335255=AXIS2_PLACEMENT_3D('',#468704,#380827,#380828); #335256=AXIS2_PLACEMENT_3D('',#468710,#380832,#380833); #335257=AXIS2_PLACEMENT_3D('',#468716,#380837,#380838); #335258=AXIS2_PLACEMENT_3D('',#468722,#380842,#380843); #335259=AXIS2_PLACEMENT_3D('',#468728,#380847,#380848); #335260=AXIS2_PLACEMENT_3D('',#468734,#380852,#380853); #335261=AXIS2_PLACEMENT_3D('',#468740,#380857,#380858); #335262=AXIS2_PLACEMENT_3D('',#468746,#380862,#380863); #335263=AXIS2_PLACEMENT_3D('',#468752,#380867,#380868); #335264=AXIS2_PLACEMENT_3D('',#468758,#380872,#380873); #335265=AXIS2_PLACEMENT_3D('',#468764,#380877,#380878); #335266=AXIS2_PLACEMENT_3D('',#468770,#380882,#380883); #335267=AXIS2_PLACEMENT_3D('',#468776,#380887,#380888); #335268=AXIS2_PLACEMENT_3D('',#468782,#380892,#380893); #335269=AXIS2_PLACEMENT_3D('',#468788,#380897,#380898); #335270=AXIS2_PLACEMENT_3D('',#468794,#380902,#380903); #335271=AXIS2_PLACEMENT_3D('',#468800,#380907,#380908); #335272=AXIS2_PLACEMENT_3D('',#468806,#380912,#380913); #335273=AXIS2_PLACEMENT_3D('',#468812,#380917,#380918); #335274=AXIS2_PLACEMENT_3D('',#468818,#380922,#380923); #335275=AXIS2_PLACEMENT_3D('',#468824,#380927,#380928); #335276=AXIS2_PLACEMENT_3D('',#468830,#380932,#380933); #335277=AXIS2_PLACEMENT_3D('',#468833,#380936,#380937); #335278=AXIS2_PLACEMENT_3D('',#468834,#380938,#380939); #335279=AXIS2_PLACEMENT_3D('',#468835,#380940,#380941); #335280=AXIS2_PLACEMENT_3D('',#468844,#380946,#380947); #335281=AXIS2_PLACEMENT_3D('',#468850,#380951,#380952); #335282=AXIS2_PLACEMENT_3D('',#468856,#380956,#380957); #335283=AXIS2_PLACEMENT_3D('',#468862,#380961,#380962); #335284=AXIS2_PLACEMENT_3D('',#468868,#380966,#380967); #335285=AXIS2_PLACEMENT_3D('',#468874,#380971,#380972); #335286=AXIS2_PLACEMENT_3D('',#468880,#380976,#380977); #335287=AXIS2_PLACEMENT_3D('',#468886,#380981,#380982); #335288=AXIS2_PLACEMENT_3D('',#468892,#380986,#380987); #335289=AXIS2_PLACEMENT_3D('',#468898,#380991,#380992); #335290=AXIS2_PLACEMENT_3D('',#468904,#380996,#380997); #335291=AXIS2_PLACEMENT_3D('',#468910,#381001,#381002); #335292=AXIS2_PLACEMENT_3D('',#468916,#381006,#381007); #335293=AXIS2_PLACEMENT_3D('',#468922,#381011,#381012); #335294=AXIS2_PLACEMENT_3D('',#468928,#381016,#381017); #335295=AXIS2_PLACEMENT_3D('',#468934,#381021,#381022); #335296=AXIS2_PLACEMENT_3D('',#468940,#381026,#381027); #335297=AXIS2_PLACEMENT_3D('',#468946,#381031,#381032); #335298=AXIS2_PLACEMENT_3D('',#468952,#381036,#381037); #335299=AXIS2_PLACEMENT_3D('',#468955,#381040,#381041); #335300=AXIS2_PLACEMENT_3D('',#468956,#381042,#381043); #335301=AXIS2_PLACEMENT_3D('',#468957,#381044,#381045); #335302=AXIS2_PLACEMENT_3D('',#468959,#381046,#381047); #335303=AXIS2_PLACEMENT_3D('',#468962,#381049,#381050); #335304=AXIS2_PLACEMENT_3D('',#468963,#381051,#381052); #335305=AXIS2_PLACEMENT_3D('',#468965,#381053,#381054); #335306=AXIS2_PLACEMENT_3D('',#468968,#381056,#381057); #335307=AXIS2_PLACEMENT_3D('',#468969,#381058,#381059); #335308=AXIS2_PLACEMENT_3D('',#468971,#381060,#381061); #335309=AXIS2_PLACEMENT_3D('',#468974,#381063,#381064); #335310=AXIS2_PLACEMENT_3D('',#468975,#381065,#381066); #335311=AXIS2_PLACEMENT_3D('',#468977,#381067,#381068); #335312=AXIS2_PLACEMENT_3D('',#468980,#381070,#381071); #335313=AXIS2_PLACEMENT_3D('',#468981,#381072,#381073); #335314=AXIS2_PLACEMENT_3D('',#468983,#381074,#381075); #335315=AXIS2_PLACEMENT_3D('',#468986,#381077,#381078); #335316=AXIS2_PLACEMENT_3D('',#468987,#381079,#381080); #335317=AXIS2_PLACEMENT_3D('',#468989,#381081,#381082); #335318=AXIS2_PLACEMENT_3D('',#468992,#381084,#381085); #335319=AXIS2_PLACEMENT_3D('',#468993,#381086,#381087); #335320=AXIS2_PLACEMENT_3D('',#468995,#381088,#381089); #335321=AXIS2_PLACEMENT_3D('',#468998,#381091,#381092); #335322=AXIS2_PLACEMENT_3D('',#468999,#381093,#381094); #335323=AXIS2_PLACEMENT_3D('',#469001,#381095,#381096); #335324=AXIS2_PLACEMENT_3D('',#469004,#381098,#381099); #335325=AXIS2_PLACEMENT_3D('',#469005,#381100,#381101); #335326=AXIS2_PLACEMENT_3D('',#469007,#381102,#381103); #335327=AXIS2_PLACEMENT_3D('',#469010,#381105,#381106); #335328=AXIS2_PLACEMENT_3D('',#469011,#381107,#381108); #335329=AXIS2_PLACEMENT_3D('',#469013,#381109,#381110); #335330=AXIS2_PLACEMENT_3D('',#469016,#381112,#381113); #335331=AXIS2_PLACEMENT_3D('',#469017,#381114,#381115); #335332=AXIS2_PLACEMENT_3D('',#469019,#381116,#381117); #335333=AXIS2_PLACEMENT_3D('',#469022,#381119,#381120); #335334=AXIS2_PLACEMENT_3D('',#469023,#381121,#381122); #335335=AXIS2_PLACEMENT_3D('',#469025,#381123,#381124); #335336=AXIS2_PLACEMENT_3D('',#469028,#381126,#381127); #335337=AXIS2_PLACEMENT_3D('',#469029,#381128,#381129); #335338=AXIS2_PLACEMENT_3D('',#469031,#381130,#381131); #335339=AXIS2_PLACEMENT_3D('',#469034,#381133,#381134); #335340=AXIS2_PLACEMENT_3D('',#469035,#381135,#381136); #335341=AXIS2_PLACEMENT_3D('',#469037,#381137,#381138); #335342=AXIS2_PLACEMENT_3D('',#469040,#381140,#381141); #335343=AXIS2_PLACEMENT_3D('',#469041,#381142,#381143); #335344=AXIS2_PLACEMENT_3D('',#469043,#381144,#381145); #335345=AXIS2_PLACEMENT_3D('',#469046,#381147,#381148); #335346=AXIS2_PLACEMENT_3D('',#469047,#381149,#381150); #335347=AXIS2_PLACEMENT_3D('',#469056,#381155,#381156); #335348=AXIS2_PLACEMENT_3D('',#469062,#381160,#381161); #335349=AXIS2_PLACEMENT_3D('',#469068,#381165,#381166); #335350=AXIS2_PLACEMENT_3D('',#469074,#381170,#381171); #335351=AXIS2_PLACEMENT_3D('',#469080,#381175,#381176); #335352=AXIS2_PLACEMENT_3D('',#469086,#381180,#381181); #335353=AXIS2_PLACEMENT_3D('',#469092,#381185,#381186); #335354=AXIS2_PLACEMENT_3D('',#469098,#381190,#381191); #335355=AXIS2_PLACEMENT_3D('',#469104,#381195,#381196); #335356=AXIS2_PLACEMENT_3D('',#469110,#381200,#381201); #335357=AXIS2_PLACEMENT_3D('',#469116,#381205,#381206); #335358=AXIS2_PLACEMENT_3D('',#469122,#381210,#381211); #335359=AXIS2_PLACEMENT_3D('',#469128,#381215,#381216); #335360=AXIS2_PLACEMENT_3D('',#469134,#381220,#381221); #335361=AXIS2_PLACEMENT_3D('',#469140,#381225,#381226); #335362=AXIS2_PLACEMENT_3D('',#469146,#381230,#381231); #335363=AXIS2_PLACEMENT_3D('',#469152,#381235,#381236); #335364=AXIS2_PLACEMENT_3D('',#469158,#381240,#381241); #335365=AXIS2_PLACEMENT_3D('',#469164,#381245,#381246); #335366=AXIS2_PLACEMENT_3D('',#469170,#381250,#381251); #335367=AXIS2_PLACEMENT_3D('',#469176,#381255,#381256); #335368=AXIS2_PLACEMENT_3D('',#469182,#381260,#381261); #335369=AXIS2_PLACEMENT_3D('',#469188,#381265,#381266); #335370=AXIS2_PLACEMENT_3D('',#469194,#381270,#381271); #335371=AXIS2_PLACEMENT_3D('',#469200,#381275,#381276); #335372=AXIS2_PLACEMENT_3D('',#469206,#381280,#381281); #335373=AXIS2_PLACEMENT_3D('',#469212,#381285,#381286); #335374=AXIS2_PLACEMENT_3D('',#469218,#381290,#381291); #335375=AXIS2_PLACEMENT_3D('',#469224,#381295,#381296); #335376=AXIS2_PLACEMENT_3D('',#469230,#381300,#381301); #335377=AXIS2_PLACEMENT_3D('',#469236,#381305,#381306); #335378=AXIS2_PLACEMENT_3D('',#469242,#381310,#381311); #335379=AXIS2_PLACEMENT_3D('',#469248,#381315,#381316); #335380=AXIS2_PLACEMENT_3D('',#469254,#381320,#381321); #335381=AXIS2_PLACEMENT_3D('',#469260,#381325,#381326); #335382=AXIS2_PLACEMENT_3D('',#469266,#381330,#381331); #335383=AXIS2_PLACEMENT_3D('',#469272,#381335,#381336); #335384=AXIS2_PLACEMENT_3D('',#469278,#381340,#381341); #335385=AXIS2_PLACEMENT_3D('',#469284,#381345,#381346); #335386=AXIS2_PLACEMENT_3D('',#469290,#381350,#381351); #335387=AXIS2_PLACEMENT_3D('',#469293,#381354,#381355); #335388=AXIS2_PLACEMENT_3D('',#469294,#381356,#381357); #335389=AXIS2_PLACEMENT_3D('',#469295,#381358,#381359); #335390=AXIS2_PLACEMENT_3D('',#469297,#381360,#381361); #335391=AXIS2_PLACEMENT_3D('',#469300,#381363,#381364); #335392=AXIS2_PLACEMENT_3D('',#469301,#381365,#381366); #335393=AXIS2_PLACEMENT_3D('',#469310,#381371,#381372); #335394=AXIS2_PLACEMENT_3D('',#469316,#381376,#381377); #335395=AXIS2_PLACEMENT_3D('',#469322,#381381,#381382); #335396=AXIS2_PLACEMENT_3D('',#469328,#381386,#381387); #335397=AXIS2_PLACEMENT_3D('',#469334,#381391,#381392); #335398=AXIS2_PLACEMENT_3D('',#469336,#381393,#381394); #335399=AXIS2_PLACEMENT_3D('',#469338,#381395,#381396); #335400=AXIS2_PLACEMENT_3D('',#469340,#381398,#381399); #335401=AXIS2_PLACEMENT_3D('',#469346,#381403,#381404); #335402=AXIS2_PLACEMENT_3D('',#469352,#381408,#381409); #335403=AXIS2_PLACEMENT_3D('',#469354,#381410,#381411); #335404=AXIS2_PLACEMENT_3D('',#469356,#381412,#381413); #335405=AXIS2_PLACEMENT_3D('',#469358,#381415,#381416); #335406=AXIS2_PLACEMENT_3D('',#469364,#381420,#381421); #335407=AXIS2_PLACEMENT_3D('',#469370,#381425,#381426); #335408=AXIS2_PLACEMENT_3D('',#469376,#381430,#381431); #335409=AXIS2_PLACEMENT_3D('',#469382,#381435,#381436); #335410=AXIS2_PLACEMENT_3D('',#469388,#381440,#381441); #335411=AXIS2_PLACEMENT_3D('',#469394,#381445,#381446); #335412=AXIS2_PLACEMENT_3D('',#469400,#381450,#381451); #335413=AXIS2_PLACEMENT_3D('',#469406,#381455,#381456); #335414=AXIS2_PLACEMENT_3D('',#469412,#381460,#381461); #335415=AXIS2_PLACEMENT_3D('',#469418,#381465,#381466); #335416=AXIS2_PLACEMENT_3D('',#469424,#381470,#381471); #335417=AXIS2_PLACEMENT_3D('',#469430,#381475,#381476); #335418=AXIS2_PLACEMENT_3D('',#469436,#381480,#381481); #335419=AXIS2_PLACEMENT_3D('',#469442,#381485,#381486); #335420=AXIS2_PLACEMENT_3D('',#469448,#381490,#381491); #335421=AXIS2_PLACEMENT_3D('',#469454,#381495,#381496); #335422=AXIS2_PLACEMENT_3D('',#469456,#381497,#381498); #335423=AXIS2_PLACEMENT_3D('',#469458,#381499,#381500); #335424=AXIS2_PLACEMENT_3D('',#469460,#381502,#381503); #335425=AXIS2_PLACEMENT_3D('',#469466,#381507,#381508); #335426=AXIS2_PLACEMENT_3D('',#469468,#381509,#381510); #335427=AXIS2_PLACEMENT_3D('',#469470,#381511,#381512); #335428=AXIS2_PLACEMENT_3D('',#469472,#381514,#381515); #335429=AXIS2_PLACEMENT_3D('',#469478,#381519,#381520); #335430=AXIS2_PLACEMENT_3D('',#469480,#381521,#381522); #335431=AXIS2_PLACEMENT_3D('',#469482,#381523,#381524); #335432=AXIS2_PLACEMENT_3D('',#469484,#381526,#381527); #335433=AXIS2_PLACEMENT_3D('',#469490,#381531,#381532); #335434=AXIS2_PLACEMENT_3D('',#469496,#381536,#381537); #335435=AXIS2_PLACEMENT_3D('',#469502,#381541,#381542); #335436=AXIS2_PLACEMENT_3D('',#469504,#381543,#381544); #335437=AXIS2_PLACEMENT_3D('',#469506,#381545,#381546); #335438=AXIS2_PLACEMENT_3D('',#469508,#381548,#381549); #335439=AXIS2_PLACEMENT_3D('',#469514,#381553,#381554); #335440=AXIS2_PLACEMENT_3D('',#469516,#381555,#381556); #335441=AXIS2_PLACEMENT_3D('',#469518,#381557,#381558); #335442=AXIS2_PLACEMENT_3D('',#469520,#381560,#381561); #335443=AXIS2_PLACEMENT_3D('',#469526,#381565,#381566); #335444=AXIS2_PLACEMENT_3D('',#469532,#381570,#381571); #335445=AXIS2_PLACEMENT_3D('',#469534,#381572,#381573); #335446=AXIS2_PLACEMENT_3D('',#469536,#381574,#381575); #335447=AXIS2_PLACEMENT_3D('',#469538,#381577,#381578); #335448=AXIS2_PLACEMENT_3D('',#469544,#381582,#381583); #335449=AXIS2_PLACEMENT_3D('',#469550,#381587,#381588); #335450=AXIS2_PLACEMENT_3D('',#469553,#381591,#381592); #335451=AXIS2_PLACEMENT_3D('',#469554,#381593,#381594); #335452=AXIS2_PLACEMENT_3D('',#469555,#381595,#381596); #335453=AXIS2_PLACEMENT_3D('',#469557,#381597,#381598); #335454=AXIS2_PLACEMENT_3D('',#469560,#381600,#381601); #335455=AXIS2_PLACEMENT_3D('',#469561,#381602,#381603); #335456=AXIS2_PLACEMENT_3D('',#469563,#381604,#381605); #335457=AXIS2_PLACEMENT_3D('',#469566,#381607,#381608); #335458=AXIS2_PLACEMENT_3D('',#469567,#381609,#381610); #335459=AXIS2_PLACEMENT_3D('',#469569,#381611,#381612); #335460=AXIS2_PLACEMENT_3D('',#469572,#381614,#381615); #335461=AXIS2_PLACEMENT_3D('',#469573,#381616,#381617); #335462=AXIS2_PLACEMENT_3D('',#469575,#381618,#381619); #335463=AXIS2_PLACEMENT_3D('',#469578,#381621,#381622); #335464=AXIS2_PLACEMENT_3D('',#469579,#381623,#381624); #335465=AXIS2_PLACEMENT_3D('',#469581,#381625,#381626); #335466=AXIS2_PLACEMENT_3D('',#469584,#381628,#381629); #335467=AXIS2_PLACEMENT_3D('',#469585,#381630,#381631); #335468=AXIS2_PLACEMENT_3D('',#469587,#381632,#381633); #335469=AXIS2_PLACEMENT_3D('',#469590,#381635,#381636); #335470=AXIS2_PLACEMENT_3D('',#469591,#381637,#381638); #335471=AXIS2_PLACEMENT_3D('',#469593,#381639,#381640); #335472=AXIS2_PLACEMENT_3D('',#469596,#381642,#381643); #335473=AXIS2_PLACEMENT_3D('',#469597,#381644,#381645); #335474=AXIS2_PLACEMENT_3D('',#469599,#381646,#381647); #335475=AXIS2_PLACEMENT_3D('',#469602,#381649,#381650); #335476=AXIS2_PLACEMENT_3D('',#469603,#381651,#381652); #335477=AXIS2_PLACEMENT_3D('',#469605,#381653,#381654); #335478=AXIS2_PLACEMENT_3D('',#469608,#381656,#381657); #335479=AXIS2_PLACEMENT_3D('',#469609,#381658,#381659); #335480=AXIS2_PLACEMENT_3D('',#469611,#381660,#381661); #335481=AXIS2_PLACEMENT_3D('',#469614,#381663,#381664); #335482=AXIS2_PLACEMENT_3D('',#469615,#381665,#381666); #335483=AXIS2_PLACEMENT_3D('',#469617,#381667,#381668); #335484=AXIS2_PLACEMENT_3D('',#469620,#381670,#381671); #335485=AXIS2_PLACEMENT_3D('',#469621,#381672,#381673); #335486=AXIS2_PLACEMENT_3D('',#469623,#381674,#381675); #335487=AXIS2_PLACEMENT_3D('',#469626,#381677,#381678); #335488=AXIS2_PLACEMENT_3D('',#469627,#381679,#381680); #335489=AXIS2_PLACEMENT_3D('',#469629,#381681,#381682); #335490=AXIS2_PLACEMENT_3D('',#469632,#381684,#381685); #335491=AXIS2_PLACEMENT_3D('',#469633,#381686,#381687); #335492=AXIS2_PLACEMENT_3D('',#469635,#381688,#381689); #335493=AXIS2_PLACEMENT_3D('',#469638,#381691,#381692); #335494=AXIS2_PLACEMENT_3D('',#469639,#381693,#381694); #335495=AXIS2_PLACEMENT_3D('',#469641,#381695,#381696); #335496=AXIS2_PLACEMENT_3D('',#469644,#381698,#381699); #335497=AXIS2_PLACEMENT_3D('',#469645,#381700,#381701); #335498=AXIS2_PLACEMENT_3D('',#469654,#381706,#381707); #335499=AXIS2_PLACEMENT_3D('',#469660,#381711,#381712); #335500=AXIS2_PLACEMENT_3D('',#469666,#381716,#381717); #335501=AXIS2_PLACEMENT_3D('',#469672,#381721,#381722); #335502=AXIS2_PLACEMENT_3D('',#469678,#381726,#381727); #335503=AXIS2_PLACEMENT_3D('',#469684,#381731,#381732); #335504=AXIS2_PLACEMENT_3D('',#469690,#381736,#381737); #335505=AXIS2_PLACEMENT_3D('',#469696,#381741,#381742); #335506=AXIS2_PLACEMENT_3D('',#469702,#381746,#381747); #335507=AXIS2_PLACEMENT_3D('',#469708,#381751,#381752); #335508=AXIS2_PLACEMENT_3D('',#469714,#381756,#381757); #335509=AXIS2_PLACEMENT_3D('',#469720,#381761,#381762); #335510=AXIS2_PLACEMENT_3D('',#469726,#381766,#381767); #335511=AXIS2_PLACEMENT_3D('',#469732,#381771,#381772); #335512=AXIS2_PLACEMENT_3D('',#469738,#381776,#381777); #335513=AXIS2_PLACEMENT_3D('',#469744,#381781,#381782); #335514=AXIS2_PLACEMENT_3D('',#469750,#381786,#381787); #335515=AXIS2_PLACEMENT_3D('',#469756,#381791,#381792); #335516=AXIS2_PLACEMENT_3D('',#469762,#381796,#381797); #335517=AXIS2_PLACEMENT_3D('',#469768,#381801,#381802); #335518=AXIS2_PLACEMENT_3D('',#469774,#381806,#381807); #335519=AXIS2_PLACEMENT_3D('',#469780,#381811,#381812); #335520=AXIS2_PLACEMENT_3D('',#469786,#381816,#381817); #335521=AXIS2_PLACEMENT_3D('',#469792,#381821,#381822); #335522=AXIS2_PLACEMENT_3D('',#469798,#381826,#381827); #335523=AXIS2_PLACEMENT_3D('',#469804,#381831,#381832); #335524=AXIS2_PLACEMENT_3D('',#469810,#381836,#381837); #335525=AXIS2_PLACEMENT_3D('',#469816,#381841,#381842); #335526=AXIS2_PLACEMENT_3D('',#469822,#381846,#381847); #335527=AXIS2_PLACEMENT_3D('',#469828,#381851,#381852); #335528=AXIS2_PLACEMENT_3D('',#469834,#381856,#381857); #335529=AXIS2_PLACEMENT_3D('',#469840,#381861,#381862); #335530=AXIS2_PLACEMENT_3D('',#469846,#381866,#381867); #335531=AXIS2_PLACEMENT_3D('',#469852,#381871,#381872); #335532=AXIS2_PLACEMENT_3D('',#469858,#381876,#381877); #335533=AXIS2_PLACEMENT_3D('',#469864,#381881,#381882); #335534=AXIS2_PLACEMENT_3D('',#469870,#381886,#381887); #335535=AXIS2_PLACEMENT_3D('',#469876,#381891,#381892); #335536=AXIS2_PLACEMENT_3D('',#469882,#381896,#381897); #335537=AXIS2_PLACEMENT_3D('',#469888,#381901,#381902); #335538=AXIS2_PLACEMENT_3D('',#469894,#381906,#381907); #335539=AXIS2_PLACEMENT_3D('',#469900,#381911,#381912); #335540=AXIS2_PLACEMENT_3D('',#469906,#381916,#381917); #335541=AXIS2_PLACEMENT_3D('',#469912,#381921,#381922); #335542=AXIS2_PLACEMENT_3D('',#469918,#381926,#381927); #335543=AXIS2_PLACEMENT_3D('',#469924,#381931,#381932); #335544=AXIS2_PLACEMENT_3D('',#469930,#381936,#381937); #335545=AXIS2_PLACEMENT_3D('',#469936,#381941,#381942); #335546=AXIS2_PLACEMENT_3D('',#469939,#381945,#381946); #335547=AXIS2_PLACEMENT_3D('',#469940,#381947,#381948); #335548=AXIS2_PLACEMENT_3D('',#469941,#381949,#381950); #335549=AXIS2_PLACEMENT_3D('',#469943,#381951,#381952); #335550=AXIS2_PLACEMENT_3D('',#469946,#381954,#381955); #335551=AXIS2_PLACEMENT_3D('',#469947,#381956,#381957); #335552=AXIS2_PLACEMENT_3D('',#469956,#381962,#381963); #335553=AXIS2_PLACEMENT_3D('',#469962,#381967,#381968); #335554=AXIS2_PLACEMENT_3D('',#469968,#381972,#381973); #335555=AXIS2_PLACEMENT_3D('',#469974,#381977,#381978); #335556=AXIS2_PLACEMENT_3D('',#469980,#381982,#381983); #335557=AXIS2_PLACEMENT_3D('',#469986,#381987,#381988); #335558=AXIS2_PLACEMENT_3D('',#469988,#381989,#381990); #335559=AXIS2_PLACEMENT_3D('',#469990,#381991,#381992); #335560=AXIS2_PLACEMENT_3D('',#469992,#381994,#381995); #335561=AXIS2_PLACEMENT_3D('',#469998,#381999,#382000); #335562=AXIS2_PLACEMENT_3D('',#470004,#382004,#382005); #335563=AXIS2_PLACEMENT_3D('',#470006,#382006,#382007); #335564=AXIS2_PLACEMENT_3D('',#470008,#382008,#382009); #335565=AXIS2_PLACEMENT_3D('',#470010,#382011,#382012); #335566=AXIS2_PLACEMENT_3D('',#470016,#382016,#382017); #335567=AXIS2_PLACEMENT_3D('',#470018,#382018,#382019); #335568=AXIS2_PLACEMENT_3D('',#470020,#382020,#382021); #335569=AXIS2_PLACEMENT_3D('',#470022,#382023,#382024); #335570=AXIS2_PLACEMENT_3D('',#470028,#382028,#382029); #335571=AXIS2_PLACEMENT_3D('',#470034,#382033,#382034); #335572=AXIS2_PLACEMENT_3D('',#470040,#382038,#382039); #335573=AXIS2_PLACEMENT_3D('',#470042,#382040,#382041); #335574=AXIS2_PLACEMENT_3D('',#470044,#382042,#382043); #335575=AXIS2_PLACEMENT_3D('',#470046,#382045,#382046); #335576=AXIS2_PLACEMENT_3D('',#470052,#382050,#382051); #335577=AXIS2_PLACEMENT_3D('',#470054,#382052,#382053); #335578=AXIS2_PLACEMENT_3D('',#470056,#382054,#382055); #335579=AXIS2_PLACEMENT_3D('',#470058,#382057,#382058); #335580=AXIS2_PLACEMENT_3D('',#470064,#382062,#382063); #335581=AXIS2_PLACEMENT_3D('',#470066,#382064,#382065); #335582=AXIS2_PLACEMENT_3D('',#470068,#382066,#382067); #335583=AXIS2_PLACEMENT_3D('',#470070,#382069,#382070); #335584=AXIS2_PLACEMENT_3D('',#470076,#382074,#382075); #335585=AXIS2_PLACEMENT_3D('',#470082,#382079,#382080); #335586=AXIS2_PLACEMENT_3D('',#470088,#382084,#382085); #335587=AXIS2_PLACEMENT_3D('',#470094,#382089,#382090); #335588=AXIS2_PLACEMENT_3D('',#470100,#382094,#382095); #335589=AXIS2_PLACEMENT_3D('',#470106,#382099,#382100); #335590=AXIS2_PLACEMENT_3D('',#470112,#382104,#382105); #335591=AXIS2_PLACEMENT_3D('',#470118,#382109,#382110); #335592=AXIS2_PLACEMENT_3D('',#470124,#382114,#382115); #335593=AXIS2_PLACEMENT_3D('',#470130,#382119,#382120); #335594=AXIS2_PLACEMENT_3D('',#470136,#382124,#382125); #335595=AXIS2_PLACEMENT_3D('',#470142,#382129,#382130); #335596=AXIS2_PLACEMENT_3D('',#470148,#382134,#382135); #335597=AXIS2_PLACEMENT_3D('',#470154,#382139,#382140); #335598=AXIS2_PLACEMENT_3D('',#470160,#382144,#382145); #335599=AXIS2_PLACEMENT_3D('',#470166,#382149,#382150); #335600=AXIS2_PLACEMENT_3D('',#470168,#382151,#382152); #335601=AXIS2_PLACEMENT_3D('',#470170,#382153,#382154); #335602=AXIS2_PLACEMENT_3D('',#470172,#382156,#382157); #335603=AXIS2_PLACEMENT_3D('',#470178,#382161,#382162); #335604=AXIS2_PLACEMENT_3D('',#470184,#382166,#382167); #335605=AXIS2_PLACEMENT_3D('',#470186,#382168,#382169); #335606=AXIS2_PLACEMENT_3D('',#470188,#382170,#382171); #335607=AXIS2_PLACEMENT_3D('',#470190,#382173,#382174); #335608=AXIS2_PLACEMENT_3D('',#470196,#382178,#382179); #335609=AXIS2_PLACEMENT_3D('',#470199,#382182,#382183); #335610=AXIS2_PLACEMENT_3D('',#470200,#382184,#382185); #335611=AXIS2_PLACEMENT_3D('',#470201,#382186,#382187); #335612=AXIS2_PLACEMENT_3D('',#470203,#382188,#382189); #335613=AXIS2_PLACEMENT_3D('',#470206,#382191,#382192); #335614=AXIS2_PLACEMENT_3D('',#470207,#382193,#382194); #335615=AXIS2_PLACEMENT_3D('',#470209,#382195,#382196); #335616=AXIS2_PLACEMENT_3D('',#470212,#382198,#382199); #335617=AXIS2_PLACEMENT_3D('',#470213,#382200,#382201); #335618=AXIS2_PLACEMENT_3D('',#470215,#382202,#382203); #335619=AXIS2_PLACEMENT_3D('',#470218,#382205,#382206); #335620=AXIS2_PLACEMENT_3D('',#470219,#382207,#382208); #335621=AXIS2_PLACEMENT_3D('',#470221,#382209,#382210); #335622=AXIS2_PLACEMENT_3D('',#470224,#382212,#382213); #335623=AXIS2_PLACEMENT_3D('',#470225,#382214,#382215); #335624=AXIS2_PLACEMENT_3D('',#470227,#382216,#382217); #335625=AXIS2_PLACEMENT_3D('',#470230,#382219,#382220); #335626=AXIS2_PLACEMENT_3D('',#470231,#382221,#382222); #335627=AXIS2_PLACEMENT_3D('',#470233,#382223,#382224); #335628=AXIS2_PLACEMENT_3D('',#470236,#382226,#382227); #335629=AXIS2_PLACEMENT_3D('',#470237,#382228,#382229); #335630=AXIS2_PLACEMENT_3D('',#470239,#382230,#382231); #335631=AXIS2_PLACEMENT_3D('',#470242,#382233,#382234); #335632=AXIS2_PLACEMENT_3D('',#470243,#382235,#382236); #335633=AXIS2_PLACEMENT_3D('',#470245,#382237,#382238); #335634=AXIS2_PLACEMENT_3D('',#470248,#382240,#382241); #335635=AXIS2_PLACEMENT_3D('',#470249,#382242,#382243); #335636=AXIS2_PLACEMENT_3D('',#470251,#382244,#382245); #335637=AXIS2_PLACEMENT_3D('',#470254,#382247,#382248); #335638=AXIS2_PLACEMENT_3D('',#470255,#382249,#382250); #335639=AXIS2_PLACEMENT_3D('',#470257,#382251,#382252); #335640=AXIS2_PLACEMENT_3D('',#470260,#382254,#382255); #335641=AXIS2_PLACEMENT_3D('',#470261,#382256,#382257); #335642=AXIS2_PLACEMENT_3D('',#470263,#382258,#382259); #335643=AXIS2_PLACEMENT_3D('',#470266,#382261,#382262); #335644=AXIS2_PLACEMENT_3D('',#470267,#382263,#382264); #335645=AXIS2_PLACEMENT_3D('',#470269,#382265,#382266); #335646=AXIS2_PLACEMENT_3D('',#470272,#382268,#382269); #335647=AXIS2_PLACEMENT_3D('',#470273,#382270,#382271); #335648=AXIS2_PLACEMENT_3D('',#470275,#382272,#382273); #335649=AXIS2_PLACEMENT_3D('',#470278,#382275,#382276); #335650=AXIS2_PLACEMENT_3D('',#470279,#382277,#382278); #335651=AXIS2_PLACEMENT_3D('',#470281,#382279,#382280); #335652=AXIS2_PLACEMENT_3D('',#470284,#382282,#382283); #335653=AXIS2_PLACEMENT_3D('',#470285,#382284,#382285); #335654=AXIS2_PLACEMENT_3D('',#470287,#382286,#382287); #335655=AXIS2_PLACEMENT_3D('',#470290,#382289,#382290); #335656=AXIS2_PLACEMENT_3D('',#470291,#382291,#382292); #335657=AXIS2_PLACEMENT_3D('',#470293,#382293,#382294); #335658=AXIS2_PLACEMENT_3D('',#470296,#382296,#382297); #335659=AXIS2_PLACEMENT_3D('',#470297,#382298,#382299); #335660=AXIS2_PLACEMENT_3D('',#470299,#382300,#382301); #335661=AXIS2_PLACEMENT_3D('',#470302,#382303,#382304); #335662=AXIS2_PLACEMENT_3D('',#470303,#382305,#382306); #335663=AXIS2_PLACEMENT_3D('',#470305,#382307,#382308); #335664=AXIS2_PLACEMENT_3D('',#470308,#382310,#382311); #335665=AXIS2_PLACEMENT_3D('',#470309,#382312,#382313); #335666=AXIS2_PLACEMENT_3D('',#470311,#382314,#382315); #335667=AXIS2_PLACEMENT_3D('',#470314,#382317,#382318); #335668=AXIS2_PLACEMENT_3D('',#470315,#382319,#382320); #335669=AXIS2_PLACEMENT_3D('',#470317,#382321,#382322); #335670=AXIS2_PLACEMENT_3D('',#470320,#382324,#382325); #335671=AXIS2_PLACEMENT_3D('',#470321,#382326,#382327); #335672=AXIS2_PLACEMENT_3D('',#470323,#382328,#382329); #335673=AXIS2_PLACEMENT_3D('',#470326,#382331,#382332); #335674=AXIS2_PLACEMENT_3D('',#470327,#382333,#382334); #335675=AXIS2_PLACEMENT_3D('',#470329,#382335,#382336); #335676=AXIS2_PLACEMENT_3D('',#470332,#382338,#382339); #335677=AXIS2_PLACEMENT_3D('',#470333,#382340,#382341); #335678=AXIS2_PLACEMENT_3D('',#470335,#382342,#382343); #335679=AXIS2_PLACEMENT_3D('',#470338,#382345,#382346); #335680=AXIS2_PLACEMENT_3D('',#470339,#382347,#382348); #335681=AXIS2_PLACEMENT_3D('',#470341,#382349,#382350); #335682=AXIS2_PLACEMENT_3D('',#470344,#382352,#382353); #335683=AXIS2_PLACEMENT_3D('',#470345,#382354,#382355); #335684=AXIS2_PLACEMENT_3D('',#470347,#382356,#382357); #335685=AXIS2_PLACEMENT_3D('',#470350,#382359,#382360); #335686=AXIS2_PLACEMENT_3D('',#470351,#382361,#382362); #335687=AXIS2_PLACEMENT_3D('',#470353,#382363,#382364); #335688=AXIS2_PLACEMENT_3D('',#470356,#382366,#382367); #335689=AXIS2_PLACEMENT_3D('',#470357,#382368,#382369); #335690=AXIS2_PLACEMENT_3D('',#470359,#382370,#382371); #335691=AXIS2_PLACEMENT_3D('',#470362,#382373,#382374); #335692=AXIS2_PLACEMENT_3D('',#470363,#382375,#382376); #335693=AXIS2_PLACEMENT_3D('',#470365,#382377,#382378); #335694=AXIS2_PLACEMENT_3D('',#470368,#382380,#382381); #335695=AXIS2_PLACEMENT_3D('',#470369,#382382,#382383); #335696=AXIS2_PLACEMENT_3D('',#470371,#382384,#382385); #335697=AXIS2_PLACEMENT_3D('',#470374,#382387,#382388); #335698=AXIS2_PLACEMENT_3D('',#470375,#382389,#382390); #335699=AXIS2_PLACEMENT_3D('',#470377,#382391,#382392); #335700=AXIS2_PLACEMENT_3D('',#470380,#382394,#382395); #335701=AXIS2_PLACEMENT_3D('',#470381,#382396,#382397); #335702=AXIS2_PLACEMENT_3D('',#470383,#382398,#382399); #335703=AXIS2_PLACEMENT_3D('',#470386,#382401,#382402); #335704=AXIS2_PLACEMENT_3D('',#470387,#382403,#382404); #335705=AXIS2_PLACEMENT_3D('',#470396,#382409,#382410); #335706=AXIS2_PLACEMENT_3D('',#470398,#382411,#382412); #335707=AXIS2_PLACEMENT_3D('',#470400,#382413,#382414); #335708=AXIS2_PLACEMENT_3D('',#470402,#382416,#382417); #335709=AXIS2_PLACEMENT_3D('',#470408,#382421,#382422); #335710=AXIS2_PLACEMENT_3D('',#470414,#382426,#382427); #335711=AXIS2_PLACEMENT_3D('',#470420,#382431,#382432); #335712=AXIS2_PLACEMENT_3D('',#470422,#382433,#382434); #335713=AXIS2_PLACEMENT_3D('',#470424,#382435,#382436); #335714=AXIS2_PLACEMENT_3D('',#470426,#382438,#382439); #335715=AXIS2_PLACEMENT_3D('',#470432,#382443,#382444); #335716=AXIS2_PLACEMENT_3D('',#470434,#382445,#382446); #335717=AXIS2_PLACEMENT_3D('',#470436,#382447,#382448); #335718=AXIS2_PLACEMENT_3D('',#470438,#382450,#382451); #335719=AXIS2_PLACEMENT_3D('',#470444,#382455,#382456); #335720=AXIS2_PLACEMENT_3D('',#470450,#382460,#382461); #335721=AXIS2_PLACEMENT_3D('',#470456,#382465,#382466); #335722=AXIS2_PLACEMENT_3D('',#470462,#382470,#382471); #335723=AXIS2_PLACEMENT_3D('',#470468,#382475,#382476); #335724=AXIS2_PLACEMENT_3D('',#470474,#382480,#382481); #335725=AXIS2_PLACEMENT_3D('',#470480,#382485,#382486); #335726=AXIS2_PLACEMENT_3D('',#470486,#382490,#382491); #335727=AXIS2_PLACEMENT_3D('',#470492,#382495,#382496); #335728=AXIS2_PLACEMENT_3D('',#470498,#382500,#382501); #335729=AXIS2_PLACEMENT_3D('',#470504,#382505,#382506); #335730=AXIS2_PLACEMENT_3D('',#470510,#382510,#382511); #335731=AXIS2_PLACEMENT_3D('',#470516,#382515,#382516); #335732=AXIS2_PLACEMENT_3D('',#470522,#382520,#382521); #335733=AXIS2_PLACEMENT_3D('',#470528,#382525,#382526); #335734=AXIS2_PLACEMENT_3D('',#470534,#382530,#382531); #335735=AXIS2_PLACEMENT_3D('',#470540,#382535,#382536); #335736=AXIS2_PLACEMENT_3D('',#470546,#382540,#382541); #335737=AXIS2_PLACEMENT_3D('',#470552,#382545,#382546); #335738=AXIS2_PLACEMENT_3D('',#470558,#382550,#382551); #335739=AXIS2_PLACEMENT_3D('',#470564,#382555,#382556); #335740=AXIS2_PLACEMENT_3D('',#470570,#382560,#382561); #335741=AXIS2_PLACEMENT_3D('',#470576,#382565,#382566); #335742=AXIS2_PLACEMENT_3D('',#470582,#382570,#382571); #335743=AXIS2_PLACEMENT_3D('',#470588,#382575,#382576); #335744=AXIS2_PLACEMENT_3D('',#470594,#382580,#382581); #335745=AXIS2_PLACEMENT_3D('',#470600,#382585,#382586); #335746=AXIS2_PLACEMENT_3D('',#470606,#382590,#382591); #335747=AXIS2_PLACEMENT_3D('',#470612,#382595,#382596); #335748=AXIS2_PLACEMENT_3D('',#470618,#382600,#382601); #335749=AXIS2_PLACEMENT_3D('',#470624,#382605,#382606); #335750=AXIS2_PLACEMENT_3D('',#470630,#382610,#382611); #335751=AXIS2_PLACEMENT_3D('',#470636,#382615,#382616); #335752=AXIS2_PLACEMENT_3D('',#470642,#382620,#382621); #335753=AXIS2_PLACEMENT_3D('',#470648,#382625,#382626); #335754=AXIS2_PLACEMENT_3D('',#470654,#382630,#382631); #335755=AXIS2_PLACEMENT_3D('',#470660,#382635,#382636); #335756=AXIS2_PLACEMENT_3D('',#470666,#382640,#382641); #335757=AXIS2_PLACEMENT_3D('',#470672,#382645,#382646); #335758=AXIS2_PLACEMENT_3D('',#470678,#382650,#382651); #335759=AXIS2_PLACEMENT_3D('',#470684,#382655,#382656); #335760=AXIS2_PLACEMENT_3D('',#470690,#382660,#382661); #335761=AXIS2_PLACEMENT_3D('',#470696,#382665,#382666); #335762=AXIS2_PLACEMENT_3D('',#470702,#382670,#382671); #335763=AXIS2_PLACEMENT_3D('',#470708,#382675,#382676); #335764=AXIS2_PLACEMENT_3D('',#470714,#382680,#382681); #335765=AXIS2_PLACEMENT_3D('',#470720,#382685,#382686); #335766=AXIS2_PLACEMENT_3D('',#470726,#382690,#382691); #335767=AXIS2_PLACEMENT_3D('',#470732,#382695,#382696); #335768=AXIS2_PLACEMENT_3D('',#470738,#382700,#382701); #335769=AXIS2_PLACEMENT_3D('',#470744,#382705,#382706); #335770=AXIS2_PLACEMENT_3D('',#470750,#382710,#382711); #335771=AXIS2_PLACEMENT_3D('',#470756,#382715,#382716); #335772=AXIS2_PLACEMENT_3D('',#470762,#382720,#382721); #335773=AXIS2_PLACEMENT_3D('',#470768,#382725,#382726); #335774=AXIS2_PLACEMENT_3D('',#470774,#382730,#382731); #335775=AXIS2_PLACEMENT_3D('',#470780,#382735,#382736); #335776=AXIS2_PLACEMENT_3D('',#470786,#382740,#382741); #335777=AXIS2_PLACEMENT_3D('',#470792,#382745,#382746); #335778=AXIS2_PLACEMENT_3D('',#470798,#382750,#382751); #335779=AXIS2_PLACEMENT_3D('',#470804,#382755,#382756); #335780=AXIS2_PLACEMENT_3D('',#470810,#382760,#382761); #335781=AXIS2_PLACEMENT_3D('',#470816,#382765,#382766); #335782=AXIS2_PLACEMENT_3D('',#470822,#382770,#382771); #335783=AXIS2_PLACEMENT_3D('',#470828,#382775,#382776); #335784=AXIS2_PLACEMENT_3D('',#470834,#382780,#382781); #335785=AXIS2_PLACEMENT_3D('',#470840,#382785,#382786); #335786=AXIS2_PLACEMENT_3D('',#470846,#382790,#382791); #335787=AXIS2_PLACEMENT_3D('',#470852,#382795,#382796); #335788=AXIS2_PLACEMENT_3D('',#470858,#382800,#382801); #335789=AXIS2_PLACEMENT_3D('',#470864,#382805,#382806); #335790=AXIS2_PLACEMENT_3D('',#470870,#382810,#382811); #335791=AXIS2_PLACEMENT_3D('',#470872,#382812,#382813); #335792=AXIS2_PLACEMENT_3D('',#470874,#382814,#382815); #335793=AXIS2_PLACEMENT_3D('',#470876,#382817,#382818); #335794=AXIS2_PLACEMENT_3D('',#470882,#382822,#382823); #335795=AXIS2_PLACEMENT_3D('',#470884,#382824,#382825); #335796=AXIS2_PLACEMENT_3D('',#470886,#382826,#382827); #335797=AXIS2_PLACEMENT_3D('',#470888,#382829,#382830); #335798=AXIS2_PLACEMENT_3D('',#470894,#382834,#382835); #335799=AXIS2_PLACEMENT_3D('',#470895,#382836,#382837); #335800=AXIS2_PLACEMENT_3D('',#470896,#382838,#382839); #335801=AXIS2_PLACEMENT_3D('',#470897,#382840,#382841); #335802=AXIS2_PLACEMENT_3D('',#470898,#382842,#382843); #335803=AXIS2_PLACEMENT_3D('',#470899,#382844,#382845); #335804=AXIS2_PLACEMENT_3D('',#470901,#382846,#382847); #335805=AXIS2_PLACEMENT_3D('',#470904,#382849,#382850); #335806=AXIS2_PLACEMENT_3D('',#470905,#382851,#382852); #335807=AXIS2_PLACEMENT_3D('',#470914,#382857,#382858); #335808=AXIS2_PLACEMENT_3D('',#470920,#382862,#382863); #335809=AXIS2_PLACEMENT_3D('',#470926,#382867,#382868); #335810=AXIS2_PLACEMENT_3D('',#470932,#382872,#382873); #335811=AXIS2_PLACEMENT_3D('',#470938,#382877,#382878); #335812=AXIS2_PLACEMENT_3D('',#470944,#382882,#382883); #335813=AXIS2_PLACEMENT_3D('',#470946,#382884,#382885); #335814=AXIS2_PLACEMENT_3D('',#470948,#382886,#382887); #335815=AXIS2_PLACEMENT_3D('',#470950,#382889,#382890); #335816=AXIS2_PLACEMENT_3D('',#470956,#382894,#382895); #335817=AXIS2_PLACEMENT_3D('',#470962,#382899,#382900); #335818=AXIS2_PLACEMENT_3D('',#470964,#382901,#382902); #335819=AXIS2_PLACEMENT_3D('',#470966,#382903,#382904); #335820=AXIS2_PLACEMENT_3D('',#470968,#382906,#382907); #335821=AXIS2_PLACEMENT_3D('',#470974,#382911,#382912); #335822=AXIS2_PLACEMENT_3D('',#470976,#382913,#382914); #335823=AXIS2_PLACEMENT_3D('',#470978,#382915,#382916); #335824=AXIS2_PLACEMENT_3D('',#470980,#382918,#382919); #335825=AXIS2_PLACEMENT_3D('',#470982,#382920,#382921); #335826=AXIS2_PLACEMENT_3D('',#470984,#382922,#382923); #335827=AXIS2_PLACEMENT_3D('',#470986,#382925,#382926); #335828=AXIS2_PLACEMENT_3D('',#470992,#382930,#382931); #335829=AXIS2_PLACEMENT_3D('',#470998,#382935,#382936); #335830=AXIS2_PLACEMENT_3D('',#471000,#382937,#382938); #335831=AXIS2_PLACEMENT_3D('',#471002,#382939,#382940); #335832=AXIS2_PLACEMENT_3D('',#471004,#382942,#382943); #335833=AXIS2_PLACEMENT_3D('',#471010,#382947,#382948); #335834=AXIS2_PLACEMENT_3D('',#471016,#382952,#382953); #335835=AXIS2_PLACEMENT_3D('',#471018,#382954,#382955); #335836=AXIS2_PLACEMENT_3D('',#471020,#382956,#382957); #335837=AXIS2_PLACEMENT_3D('',#471022,#382959,#382960); #335838=AXIS2_PLACEMENT_3D('',#471028,#382964,#382965); #335839=AXIS2_PLACEMENT_3D('',#471031,#382968,#382969); #335840=AXIS2_PLACEMENT_3D('',#471032,#382970,#382971); #335841=AXIS2_PLACEMENT_3D('',#471033,#382972,#382973); #335842=AXIS2_PLACEMENT_3D('',#471035,#382974,#382975); #335843=AXIS2_PLACEMENT_3D('',#471038,#382977,#382978); #335844=AXIS2_PLACEMENT_3D('',#471039,#382979,#382980); #335845=AXIS2_PLACEMENT_3D('',#471041,#382981,#382982); #335846=AXIS2_PLACEMENT_3D('',#471044,#382984,#382985); #335847=AXIS2_PLACEMENT_3D('',#471045,#382986,#382987); #335848=AXIS2_PLACEMENT_3D('',#471047,#382988,#382989); #335849=AXIS2_PLACEMENT_3D('',#471050,#382991,#382992); #335850=AXIS2_PLACEMENT_3D('',#471051,#382993,#382994); #335851=AXIS2_PLACEMENT_3D('',#471053,#382995,#382996); #335852=AXIS2_PLACEMENT_3D('',#471056,#382998,#382999); #335853=AXIS2_PLACEMENT_3D('',#471057,#383000,#383001); #335854=AXIS2_PLACEMENT_3D('',#471059,#383002,#383003); #335855=AXIS2_PLACEMENT_3D('',#471062,#383005,#383006); #335856=AXIS2_PLACEMENT_3D('',#471063,#383007,#383008); #335857=AXIS2_PLACEMENT_3D('',#471065,#383009,#383010); #335858=AXIS2_PLACEMENT_3D('',#471068,#383012,#383013); #335859=AXIS2_PLACEMENT_3D('',#471069,#383014,#383015); #335860=AXIS2_PLACEMENT_3D('',#471071,#383016,#383017); #335861=AXIS2_PLACEMENT_3D('',#471074,#383019,#383020); #335862=AXIS2_PLACEMENT_3D('',#471075,#383021,#383022); #335863=AXIS2_PLACEMENT_3D('',#471077,#383023,#383024); #335864=AXIS2_PLACEMENT_3D('',#471080,#383026,#383027); #335865=AXIS2_PLACEMENT_3D('',#471081,#383028,#383029); #335866=AXIS2_PLACEMENT_3D('',#471083,#383030,#383031); #335867=AXIS2_PLACEMENT_3D('',#471086,#383033,#383034); #335868=AXIS2_PLACEMENT_3D('',#471087,#383035,#383036); #335869=AXIS2_PLACEMENT_3D('',#471089,#383037,#383038); #335870=AXIS2_PLACEMENT_3D('',#471092,#383040,#383041); #335871=AXIS2_PLACEMENT_3D('',#471093,#383042,#383043); #335872=AXIS2_PLACEMENT_3D('',#471095,#383044,#383045); #335873=AXIS2_PLACEMENT_3D('',#471098,#383047,#383048); #335874=AXIS2_PLACEMENT_3D('',#471099,#383049,#383050); #335875=AXIS2_PLACEMENT_3D('',#471108,#383055,#383056); #335876=AXIS2_PLACEMENT_3D('',#471114,#383060,#383061); #335877=AXIS2_PLACEMENT_3D('',#471120,#383065,#383066); #335878=AXIS2_PLACEMENT_3D('',#471126,#383070,#383071); #335879=AXIS2_PLACEMENT_3D('',#471132,#383075,#383076); #335880=AXIS2_PLACEMENT_3D('',#471138,#383080,#383081); #335881=AXIS2_PLACEMENT_3D('',#471144,#383085,#383086); #335882=AXIS2_PLACEMENT_3D('',#471150,#383090,#383091); #335883=AXIS2_PLACEMENT_3D('',#471156,#383095,#383096); #335884=AXIS2_PLACEMENT_3D('',#471162,#383100,#383101); #335885=AXIS2_PLACEMENT_3D('',#471168,#383105,#383106); #335886=AXIS2_PLACEMENT_3D('',#471174,#383110,#383111); #335887=AXIS2_PLACEMENT_3D('',#471180,#383115,#383116); #335888=AXIS2_PLACEMENT_3D('',#471186,#383120,#383121); #335889=AXIS2_PLACEMENT_3D('',#471192,#383125,#383126); #335890=AXIS2_PLACEMENT_3D('',#471198,#383130,#383131); #335891=AXIS2_PLACEMENT_3D('',#471204,#383135,#383136); #335892=AXIS2_PLACEMENT_3D('',#471210,#383140,#383141); #335893=AXIS2_PLACEMENT_3D('',#471216,#383145,#383146); #335894=AXIS2_PLACEMENT_3D('',#471222,#383150,#383151); #335895=AXIS2_PLACEMENT_3D('',#471228,#383155,#383156); #335896=AXIS2_PLACEMENT_3D('',#471234,#383160,#383161); #335897=AXIS2_PLACEMENT_3D('',#471240,#383165,#383166); #335898=AXIS2_PLACEMENT_3D('',#471246,#383170,#383171); #335899=AXIS2_PLACEMENT_3D('',#471248,#383172,#383173); #335900=AXIS2_PLACEMENT_3D('',#471250,#383174,#383175); #335901=AXIS2_PLACEMENT_3D('',#471252,#383177,#383178); #335902=AXIS2_PLACEMENT_3D('',#471258,#383182,#383183); #335903=AXIS2_PLACEMENT_3D('',#471264,#383187,#383188); #335904=AXIS2_PLACEMENT_3D('',#471266,#383189,#383190); #335905=AXIS2_PLACEMENT_3D('',#471268,#383191,#383192); #335906=AXIS2_PLACEMENT_3D('',#471270,#383194,#383195); #335907=AXIS2_PLACEMENT_3D('',#471276,#383199,#383200); #335908=AXIS2_PLACEMENT_3D('',#471282,#383204,#383205); #335909=AXIS2_PLACEMENT_3D('',#471288,#383209,#383210); #335910=AXIS2_PLACEMENT_3D('',#471294,#383214,#383215); #335911=AXIS2_PLACEMENT_3D('',#471300,#383219,#383220); #335912=AXIS2_PLACEMENT_3D('',#471306,#383224,#383225); #335913=AXIS2_PLACEMENT_3D('',#471312,#383229,#383230); #335914=AXIS2_PLACEMENT_3D('',#471318,#383234,#383235); #335915=AXIS2_PLACEMENT_3D('',#471320,#383236,#383237); #335916=AXIS2_PLACEMENT_3D('',#471322,#383238,#383239); #335917=AXIS2_PLACEMENT_3D('',#471324,#383241,#383242); #335918=AXIS2_PLACEMENT_3D('',#471330,#383246,#383247); #335919=AXIS2_PLACEMENT_3D('',#471332,#383248,#383249); #335920=AXIS2_PLACEMENT_3D('',#471334,#383250,#383251); #335921=AXIS2_PLACEMENT_3D('',#471336,#383253,#383254); #335922=AXIS2_PLACEMENT_3D('',#471342,#383258,#383259); #335923=AXIS2_PLACEMENT_3D('',#471348,#383263,#383264); #335924=AXIS2_PLACEMENT_3D('',#471354,#383268,#383269); #335925=AXIS2_PLACEMENT_3D('',#471360,#383273,#383274); #335926=AXIS2_PLACEMENT_3D('',#471366,#383278,#383279); #335927=AXIS2_PLACEMENT_3D('',#471372,#383283,#383284); #335928=AXIS2_PLACEMENT_3D('',#471378,#383288,#383289); #335929=AXIS2_PLACEMENT_3D('',#471384,#383293,#383294); #335930=AXIS2_PLACEMENT_3D('',#471390,#383298,#383299); #335931=AXIS2_PLACEMENT_3D('',#471396,#383303,#383304); #335932=AXIS2_PLACEMENT_3D('',#471402,#383308,#383309); #335933=AXIS2_PLACEMENT_3D('',#471408,#383313,#383314); #335934=AXIS2_PLACEMENT_3D('',#471414,#383318,#383319); #335935=AXIS2_PLACEMENT_3D('',#471420,#383323,#383324); #335936=AXIS2_PLACEMENT_3D('',#471426,#383328,#383329); #335937=AXIS2_PLACEMENT_3D('',#471428,#383330,#383331); #335938=AXIS2_PLACEMENT_3D('',#471430,#383332,#383333); #335939=AXIS2_PLACEMENT_3D('',#471432,#383335,#383336); #335940=AXIS2_PLACEMENT_3D('',#471438,#383340,#383341); #335941=AXIS2_PLACEMENT_3D('',#471440,#383342,#383343); #335942=AXIS2_PLACEMENT_3D('',#471442,#383344,#383345); #335943=AXIS2_PLACEMENT_3D('',#471444,#383347,#383348); #335944=AXIS2_PLACEMENT_3D('',#471450,#383352,#383353); #335945=AXIS2_PLACEMENT_3D('',#471456,#383357,#383358); #335946=AXIS2_PLACEMENT_3D('',#471462,#383362,#383363); #335947=AXIS2_PLACEMENT_3D('',#471468,#383367,#383368); #335948=AXIS2_PLACEMENT_3D('',#471474,#383372,#383373); #335949=AXIS2_PLACEMENT_3D('',#471480,#383377,#383378); #335950=AXIS2_PLACEMENT_3D('',#471486,#383382,#383383); #335951=AXIS2_PLACEMENT_3D('',#471492,#383387,#383388); #335952=AXIS2_PLACEMENT_3D('',#471498,#383392,#383393); #335953=AXIS2_PLACEMENT_3D('',#471504,#383397,#383398); #335954=AXIS2_PLACEMENT_3D('',#471510,#383402,#383403); #335955=AXIS2_PLACEMENT_3D('',#471516,#383407,#383408); #335956=AXIS2_PLACEMENT_3D('',#471522,#383412,#383413); #335957=AXIS2_PLACEMENT_3D('',#471528,#383417,#383418); #335958=AXIS2_PLACEMENT_3D('',#471534,#383422,#383423); #335959=AXIS2_PLACEMENT_3D('',#471540,#383427,#383428); #335960=AXIS2_PLACEMENT_3D('',#471546,#383432,#383433); #335961=AXIS2_PLACEMENT_3D('',#471552,#383437,#383438); #335962=AXIS2_PLACEMENT_3D('',#471558,#383442,#383443); #335963=AXIS2_PLACEMENT_3D('',#471564,#383447,#383448); #335964=AXIS2_PLACEMENT_3D('',#471570,#383452,#383453); #335965=AXIS2_PLACEMENT_3D('',#471576,#383457,#383458); #335966=AXIS2_PLACEMENT_3D('',#471582,#383462,#383463); #335967=AXIS2_PLACEMENT_3D('',#471588,#383467,#383468); #335968=AXIS2_PLACEMENT_3D('',#471594,#383472,#383473); #335969=AXIS2_PLACEMENT_3D('',#471600,#383477,#383478); #335970=AXIS2_PLACEMENT_3D('',#471606,#383482,#383483); #335971=AXIS2_PLACEMENT_3D('',#471612,#383487,#383488); #335972=AXIS2_PLACEMENT_3D('',#471618,#383492,#383493); #335973=AXIS2_PLACEMENT_3D('',#471624,#383497,#383498); #335974=AXIS2_PLACEMENT_3D('',#471630,#383502,#383503); #335975=AXIS2_PLACEMENT_3D('',#471636,#383507,#383508); #335976=AXIS2_PLACEMENT_3D('',#471642,#383512,#383513); #335977=AXIS2_PLACEMENT_3D('',#471648,#383517,#383518); #335978=AXIS2_PLACEMENT_3D('',#471654,#383522,#383523); #335979=AXIS2_PLACEMENT_3D('',#471660,#383527,#383528); #335980=AXIS2_PLACEMENT_3D('',#471666,#383532,#383533); #335981=AXIS2_PLACEMENT_3D('',#471672,#383537,#383538); #335982=AXIS2_PLACEMENT_3D('',#471678,#383542,#383543); #335983=AXIS2_PLACEMENT_3D('',#471684,#383547,#383548); #335984=AXIS2_PLACEMENT_3D('',#471690,#383552,#383553); #335985=AXIS2_PLACEMENT_3D('',#471696,#383557,#383558); #335986=AXIS2_PLACEMENT_3D('',#471702,#383562,#383563); #335987=AXIS2_PLACEMENT_3D('',#471708,#383567,#383568); #335988=AXIS2_PLACEMENT_3D('',#471714,#383572,#383573); #335989=AXIS2_PLACEMENT_3D('',#471720,#383577,#383578); #335990=AXIS2_PLACEMENT_3D('',#471726,#383582,#383583); #335991=AXIS2_PLACEMENT_3D('',#471732,#383587,#383588); #335992=AXIS2_PLACEMENT_3D('',#471738,#383592,#383593); #335993=AXIS2_PLACEMENT_3D('',#471744,#383597,#383598); #335994=AXIS2_PLACEMENT_3D('',#471750,#383602,#383603); #335995=AXIS2_PLACEMENT_3D('',#471756,#383607,#383608); #335996=AXIS2_PLACEMENT_3D('',#471762,#383612,#383613); #335997=AXIS2_PLACEMENT_3D('',#471768,#383617,#383618); #335998=AXIS2_PLACEMENT_3D('',#471774,#383622,#383623); #335999=AXIS2_PLACEMENT_3D('',#471780,#383627,#383628); #336000=AXIS2_PLACEMENT_3D('',#471786,#383632,#383633); #336001=AXIS2_PLACEMENT_3D('',#471792,#383637,#383638); #336002=AXIS2_PLACEMENT_3D('',#471798,#383642,#383643); #336003=AXIS2_PLACEMENT_3D('',#471804,#383647,#383648); #336004=AXIS2_PLACEMENT_3D('',#471810,#383652,#383653); #336005=AXIS2_PLACEMENT_3D('',#471816,#383657,#383658); #336006=AXIS2_PLACEMENT_3D('',#471822,#383662,#383663); #336007=AXIS2_PLACEMENT_3D('',#471828,#383667,#383668); #336008=AXIS2_PLACEMENT_3D('',#471834,#383672,#383673); #336009=AXIS2_PLACEMENT_3D('',#471840,#383677,#383678); #336010=AXIS2_PLACEMENT_3D('',#471843,#383681,#383682); #336011=AXIS2_PLACEMENT_3D('',#471844,#383683,#383684); #336012=AXIS2_PLACEMENT_3D('',#471845,#383685,#383686); #336013=AXIS2_PLACEMENT_3D('',#471847,#383687,#383688); #336014=AXIS2_PLACEMENT_3D('',#471850,#383690,#383691); #336015=AXIS2_PLACEMENT_3D('',#471851,#383692,#383693); #336016=AXIS2_PLACEMENT_3D('',#471853,#383694,#383695); #336017=AXIS2_PLACEMENT_3D('',#471856,#383697,#383698); #336018=AXIS2_PLACEMENT_3D('',#471857,#383699,#383700); #336019=AXIS2_PLACEMENT_3D('',#471859,#383701,#383702); #336020=AXIS2_PLACEMENT_3D('',#471862,#383704,#383705); #336021=AXIS2_PLACEMENT_3D('',#471863,#383706,#383707); #336022=AXIS2_PLACEMENT_3D('',#471865,#383708,#383709); #336023=AXIS2_PLACEMENT_3D('',#471868,#383711,#383712); #336024=AXIS2_PLACEMENT_3D('',#471869,#383713,#383714); #336025=AXIS2_PLACEMENT_3D('',#471871,#383715,#383716); #336026=AXIS2_PLACEMENT_3D('',#471874,#383718,#383719); #336027=AXIS2_PLACEMENT_3D('',#471875,#383720,#383721); #336028=AXIS2_PLACEMENT_3D('',#471877,#383722,#383723); #336029=AXIS2_PLACEMENT_3D('',#471880,#383725,#383726); #336030=AXIS2_PLACEMENT_3D('',#471881,#383727,#383728); #336031=AXIS2_PLACEMENT_3D('',#471883,#383729,#383730); #336032=AXIS2_PLACEMENT_3D('',#471886,#383732,#383733); #336033=AXIS2_PLACEMENT_3D('',#471887,#383734,#383735); #336034=AXIS2_PLACEMENT_3D('',#471889,#383736,#383737); #336035=AXIS2_PLACEMENT_3D('',#471892,#383739,#383740); #336036=AXIS2_PLACEMENT_3D('',#471893,#383741,#383742); #336037=AXIS2_PLACEMENT_3D('',#471895,#383743,#383744); #336038=AXIS2_PLACEMENT_3D('',#471898,#383746,#383747); #336039=AXIS2_PLACEMENT_3D('',#471899,#383748,#383749); #336040=AXIS2_PLACEMENT_3D('',#471901,#383750,#383751); #336041=AXIS2_PLACEMENT_3D('',#471904,#383753,#383754); #336042=AXIS2_PLACEMENT_3D('',#471905,#383755,#383756); #336043=AXIS2_PLACEMENT_3D('',#471907,#383757,#383758); #336044=AXIS2_PLACEMENT_3D('',#471910,#383760,#383761); #336045=AXIS2_PLACEMENT_3D('',#471911,#383762,#383763); #336046=AXIS2_PLACEMENT_3D('',#471913,#383764,#383765); #336047=AXIS2_PLACEMENT_3D('',#471916,#383767,#383768); #336048=AXIS2_PLACEMENT_3D('',#471917,#383769,#383770); #336049=AXIS2_PLACEMENT_3D('',#471919,#383771,#383772); #336050=AXIS2_PLACEMENT_3D('',#471922,#383774,#383775); #336051=AXIS2_PLACEMENT_3D('',#471923,#383776,#383777); #336052=AXIS2_PLACEMENT_3D('',#471925,#383778,#383779); #336053=AXIS2_PLACEMENT_3D('',#471928,#383781,#383782); #336054=AXIS2_PLACEMENT_3D('',#471929,#383783,#383784); #336055=AXIS2_PLACEMENT_3D('',#471931,#383785,#383786); #336056=AXIS2_PLACEMENT_3D('',#471934,#383788,#383789); #336057=AXIS2_PLACEMENT_3D('',#471935,#383790,#383791); #336058=AXIS2_PLACEMENT_3D('',#471937,#383792,#383793); #336059=AXIS2_PLACEMENT_3D('',#471940,#383795,#383796); #336060=AXIS2_PLACEMENT_3D('',#471941,#383797,#383798); #336061=AXIS2_PLACEMENT_3D('',#471943,#383799,#383800); #336062=AXIS2_PLACEMENT_3D('',#471946,#383802,#383803); #336063=AXIS2_PLACEMENT_3D('',#471947,#383804,#383805); #336064=AXIS2_PLACEMENT_3D('',#471949,#383806,#383807); #336065=AXIS2_PLACEMENT_3D('',#471952,#383809,#383810); #336066=AXIS2_PLACEMENT_3D('',#471953,#383811,#383812); #336067=AXIS2_PLACEMENT_3D('',#471955,#383813,#383814); #336068=AXIS2_PLACEMENT_3D('',#471958,#383816,#383817); #336069=AXIS2_PLACEMENT_3D('',#471959,#383818,#383819); #336070=AXIS2_PLACEMENT_3D('',#471961,#383820,#383821); #336071=AXIS2_PLACEMENT_3D('',#471964,#383823,#383824); #336072=AXIS2_PLACEMENT_3D('',#471965,#383825,#383826); #336073=AXIS2_PLACEMENT_3D('',#471967,#383827,#383828); #336074=AXIS2_PLACEMENT_3D('',#471970,#383830,#383831); #336075=AXIS2_PLACEMENT_3D('',#471971,#383832,#383833); #336076=AXIS2_PLACEMENT_3D('',#471973,#383834,#383835); #336077=AXIS2_PLACEMENT_3D('',#471976,#383837,#383838); #336078=AXIS2_PLACEMENT_3D('',#471977,#383839,#383840); #336079=AXIS2_PLACEMENT_3D('',#471979,#383841,#383842); #336080=AXIS2_PLACEMENT_3D('',#471982,#383844,#383845); #336081=AXIS2_PLACEMENT_3D('',#471983,#383846,#383847); #336082=AXIS2_PLACEMENT_3D('',#471985,#383848,#383849); #336083=AXIS2_PLACEMENT_3D('',#471988,#383851,#383852); #336084=AXIS2_PLACEMENT_3D('',#471989,#383853,#383854); #336085=AXIS2_PLACEMENT_3D('',#471991,#383855,#383856); #336086=AXIS2_PLACEMENT_3D('',#471994,#383858,#383859); #336087=AXIS2_PLACEMENT_3D('',#471995,#383860,#383861); #336088=AXIS2_PLACEMENT_3D('',#471997,#383862,#383863); #336089=AXIS2_PLACEMENT_3D('',#472000,#383865,#383866); #336090=AXIS2_PLACEMENT_3D('',#472001,#383867,#383868); #336091=AXIS2_PLACEMENT_3D('',#472003,#383869,#383870); #336092=AXIS2_PLACEMENT_3D('',#472006,#383872,#383873); #336093=AXIS2_PLACEMENT_3D('',#472007,#383874,#383875); #336094=AXIS2_PLACEMENT_3D('',#472009,#383876,#383877); #336095=AXIS2_PLACEMENT_3D('',#472012,#383879,#383880); #336096=AXIS2_PLACEMENT_3D('',#472013,#383881,#383882); #336097=AXIS2_PLACEMENT_3D('',#472015,#383883,#383884); #336098=AXIS2_PLACEMENT_3D('',#472018,#383886,#383887); #336099=AXIS2_PLACEMENT_3D('',#472019,#383888,#383889); #336100=AXIS2_PLACEMENT_3D('',#472021,#383890,#383891); #336101=AXIS2_PLACEMENT_3D('',#472024,#383893,#383894); #336102=AXIS2_PLACEMENT_3D('',#472025,#383895,#383896); #336103=AXIS2_PLACEMENT_3D('',#472027,#383897,#383898); #336104=AXIS2_PLACEMENT_3D('',#472030,#383900,#383901); #336105=AXIS2_PLACEMENT_3D('',#472031,#383902,#383903); #336106=AXIS2_PLACEMENT_3D('',#472040,#383908,#383909); #336107=AXIS2_PLACEMENT_3D('',#472042,#383910,#383911); #336108=AXIS2_PLACEMENT_3D('',#472044,#383912,#383913); #336109=AXIS2_PLACEMENT_3D('',#472046,#383915,#383916); #336110=AXIS2_PLACEMENT_3D('',#472052,#383920,#383921); #336111=AXIS2_PLACEMENT_3D('',#472054,#383922,#383923); #336112=AXIS2_PLACEMENT_3D('',#472056,#383924,#383925); #336113=AXIS2_PLACEMENT_3D('',#472058,#383927,#383928); #336114=AXIS2_PLACEMENT_3D('',#472064,#383932,#383933); #336115=AXIS2_PLACEMENT_3D('',#472066,#383934,#383935); #336116=AXIS2_PLACEMENT_3D('',#472068,#383936,#383937); #336117=AXIS2_PLACEMENT_3D('',#472070,#383939,#383940); #336118=AXIS2_PLACEMENT_3D('',#472076,#383944,#383945); #336119=AXIS2_PLACEMENT_3D('',#472082,#383949,#383950); #336120=AXIS2_PLACEMENT_3D('',#472088,#383954,#383955); #336121=AXIS2_PLACEMENT_3D('',#472094,#383959,#383960); #336122=AXIS2_PLACEMENT_3D('',#472100,#383964,#383965); #336123=AXIS2_PLACEMENT_3D('',#472106,#383969,#383970); #336124=AXIS2_PLACEMENT_3D('',#472112,#383974,#383975); #336125=AXIS2_PLACEMENT_3D('',#472118,#383979,#383980); #336126=AXIS2_PLACEMENT_3D('',#472124,#383984,#383985); #336127=AXIS2_PLACEMENT_3D('',#472130,#383989,#383990); #336128=AXIS2_PLACEMENT_3D('',#472136,#383994,#383995); #336129=AXIS2_PLACEMENT_3D('',#472142,#383999,#384000); #336130=AXIS2_PLACEMENT_3D('',#472148,#384004,#384005); #336131=AXIS2_PLACEMENT_3D('',#472154,#384009,#384010); #336132=AXIS2_PLACEMENT_3D('',#472160,#384014,#384015); #336133=AXIS2_PLACEMENT_3D('',#472166,#384019,#384020); #336134=AXIS2_PLACEMENT_3D('',#472172,#384024,#384025); #336135=AXIS2_PLACEMENT_3D('',#472178,#384029,#384030); #336136=AXIS2_PLACEMENT_3D('',#472184,#384034,#384035); #336137=AXIS2_PLACEMENT_3D('',#472190,#384039,#384040); #336138=AXIS2_PLACEMENT_3D('',#472196,#384044,#384045); #336139=AXIS2_PLACEMENT_3D('',#472202,#384049,#384050); #336140=AXIS2_PLACEMENT_3D('',#472208,#384054,#384055); #336141=AXIS2_PLACEMENT_3D('',#472214,#384059,#384060); #336142=AXIS2_PLACEMENT_3D('',#472220,#384064,#384065); #336143=AXIS2_PLACEMENT_3D('',#472226,#384069,#384070); #336144=AXIS2_PLACEMENT_3D('',#472232,#384074,#384075); #336145=AXIS2_PLACEMENT_3D('',#472238,#384079,#384080); #336146=AXIS2_PLACEMENT_3D('',#472244,#384084,#384085); #336147=AXIS2_PLACEMENT_3D('',#472250,#384089,#384090); #336148=AXIS2_PLACEMENT_3D('',#472256,#384094,#384095); #336149=AXIS2_PLACEMENT_3D('',#472262,#384099,#384100); #336150=AXIS2_PLACEMENT_3D('',#472268,#384104,#384105); #336151=AXIS2_PLACEMENT_3D('',#472274,#384109,#384110); #336152=AXIS2_PLACEMENT_3D('',#472280,#384114,#384115); #336153=AXIS2_PLACEMENT_3D('',#472286,#384119,#384120); #336154=AXIS2_PLACEMENT_3D('',#472292,#384124,#384125); #336155=AXIS2_PLACEMENT_3D('',#472298,#384129,#384130); #336156=AXIS2_PLACEMENT_3D('',#472304,#384134,#384135); #336157=AXIS2_PLACEMENT_3D('',#472310,#384139,#384140); #336158=AXIS2_PLACEMENT_3D('',#472316,#384144,#384145); #336159=AXIS2_PLACEMENT_3D('',#472322,#384149,#384150); #336160=AXIS2_PLACEMENT_3D('',#472328,#384154,#384155); #336161=AXIS2_PLACEMENT_3D('',#472334,#384159,#384160); #336162=AXIS2_PLACEMENT_3D('',#472340,#384164,#384165); #336163=AXIS2_PLACEMENT_3D('',#472346,#384169,#384170); #336164=AXIS2_PLACEMENT_3D('',#472352,#384174,#384175); #336165=AXIS2_PLACEMENT_3D('',#472358,#384179,#384180); #336166=AXIS2_PLACEMENT_3D('',#472364,#384184,#384185); #336167=AXIS2_PLACEMENT_3D('',#472370,#384189,#384190); #336168=AXIS2_PLACEMENT_3D('',#472376,#384194,#384195); #336169=AXIS2_PLACEMENT_3D('',#472382,#384199,#384200); #336170=AXIS2_PLACEMENT_3D('',#472388,#384204,#384205); #336171=AXIS2_PLACEMENT_3D('',#472394,#384209,#384210); #336172=AXIS2_PLACEMENT_3D('',#472400,#384214,#384215); #336173=AXIS2_PLACEMENT_3D('',#472406,#384219,#384220); #336174=AXIS2_PLACEMENT_3D('',#472412,#384224,#384225); #336175=AXIS2_PLACEMENT_3D('',#472418,#384229,#384230); #336176=AXIS2_PLACEMENT_3D('',#472424,#384234,#384235); #336177=AXIS2_PLACEMENT_3D('',#472430,#384239,#384240); #336178=AXIS2_PLACEMENT_3D('',#472436,#384244,#384245); #336179=AXIS2_PLACEMENT_3D('',#472442,#384249,#384250); #336180=AXIS2_PLACEMENT_3D('',#472448,#384254,#384255); #336181=AXIS2_PLACEMENT_3D('',#472454,#384259,#384260); #336182=AXIS2_PLACEMENT_3D('',#472460,#384264,#384265); #336183=AXIS2_PLACEMENT_3D('',#472466,#384269,#384270); #336184=AXIS2_PLACEMENT_3D('',#472472,#384274,#384275); #336185=AXIS2_PLACEMENT_3D('',#472474,#384276,#384277); #336186=AXIS2_PLACEMENT_3D('',#472476,#384278,#384279); #336187=AXIS2_PLACEMENT_3D('',#472478,#384281,#384282); #336188=AXIS2_PLACEMENT_3D('',#472484,#384286,#384287); #336189=AXIS2_PLACEMENT_3D('',#472486,#384288,#384289); #336190=AXIS2_PLACEMENT_3D('',#472488,#384290,#384291); #336191=AXIS2_PLACEMENT_3D('',#472490,#384293,#384294); #336192=AXIS2_PLACEMENT_3D('',#472496,#384298,#384299); #336193=AXIS2_PLACEMENT_3D('',#472502,#384303,#384304); #336194=AXIS2_PLACEMENT_3D('',#472508,#384308,#384309); #336195=AXIS2_PLACEMENT_3D('',#472509,#384310,#384311); #336196=AXIS2_PLACEMENT_3D('',#472510,#384312,#384313); #336197=AXIS2_PLACEMENT_3D('',#472511,#384314,#384315); #336198=AXIS2_PLACEMENT_3D('',#472512,#384316,#384317); #336199=AXIS2_PLACEMENT_3D('',#472513,#384318,#384319); #336200=AXIS2_PLACEMENT_3D('',#472515,#384320,#384321); #336201=AXIS2_PLACEMENT_3D('',#472518,#384323,#384324); #336202=AXIS2_PLACEMENT_3D('',#472519,#384325,#384326); #336203=AXIS2_PLACEMENT_3D('',#472528,#384331,#384332); #336204=AXIS2_PLACEMENT_3D('',#472534,#384336,#384337); #336205=AXIS2_PLACEMENT_3D('',#472540,#384341,#384342); #336206=AXIS2_PLACEMENT_3D('',#472546,#384346,#384347); #336207=AXIS2_PLACEMENT_3D('',#472552,#384351,#384352); #336208=AXIS2_PLACEMENT_3D('',#472554,#384353,#384354); #336209=AXIS2_PLACEMENT_3D('',#472556,#384355,#384356); #336210=AXIS2_PLACEMENT_3D('',#472558,#384358,#384359); #336211=AXIS2_PLACEMENT_3D('',#472564,#384363,#384364); #336212=AXIS2_PLACEMENT_3D('',#472570,#384368,#384369); #336213=AXIS2_PLACEMENT_3D('',#472572,#384370,#384371); #336214=AXIS2_PLACEMENT_3D('',#472574,#384372,#384373); #336215=AXIS2_PLACEMENT_3D('',#472576,#384375,#384376); #336216=AXIS2_PLACEMENT_3D('',#472582,#384380,#384381); #336217=AXIS2_PLACEMENT_3D('',#472588,#384385,#384386); #336218=AXIS2_PLACEMENT_3D('',#472590,#384387,#384388); #336219=AXIS2_PLACEMENT_3D('',#472592,#384389,#384390); #336220=AXIS2_PLACEMENT_3D('',#472594,#384392,#384393); #336221=AXIS2_PLACEMENT_3D('',#472596,#384394,#384395); #336222=AXIS2_PLACEMENT_3D('',#472598,#384396,#384397); #336223=AXIS2_PLACEMENT_3D('',#472600,#384399,#384400); #336224=AXIS2_PLACEMENT_3D('',#472606,#384404,#384405); #336225=AXIS2_PLACEMENT_3D('',#472608,#384406,#384407); #336226=AXIS2_PLACEMENT_3D('',#472610,#384408,#384409); #336227=AXIS2_PLACEMENT_3D('',#472612,#384411,#384412); #336228=AXIS2_PLACEMENT_3D('',#472618,#384416,#384417); #336229=AXIS2_PLACEMENT_3D('',#472624,#384421,#384422); #336230=AXIS2_PLACEMENT_3D('',#472626,#384423,#384424); #336231=AXIS2_PLACEMENT_3D('',#472628,#384425,#384426); #336232=AXIS2_PLACEMENT_3D('',#472630,#384428,#384429); #336233=AXIS2_PLACEMENT_3D('',#472636,#384433,#384434); #336234=AXIS2_PLACEMENT_3D('',#472642,#384438,#384439); #336235=AXIS2_PLACEMENT_3D('',#472645,#384442,#384443); #336236=AXIS2_PLACEMENT_3D('',#472646,#384444,#384445); #336237=AXIS2_PLACEMENT_3D('',#472647,#384446,#384447); #336238=AXIS2_PLACEMENT_3D('',#472649,#384448,#384449); #336239=AXIS2_PLACEMENT_3D('',#472652,#384451,#384452); #336240=AXIS2_PLACEMENT_3D('',#472653,#384453,#384454); #336241=AXIS2_PLACEMENT_3D('',#472655,#384455,#384456); #336242=AXIS2_PLACEMENT_3D('',#472658,#384458,#384459); #336243=AXIS2_PLACEMENT_3D('',#472659,#384460,#384461); #336244=AXIS2_PLACEMENT_3D('',#472661,#384462,#384463); #336245=AXIS2_PLACEMENT_3D('',#472664,#384465,#384466); #336246=AXIS2_PLACEMENT_3D('',#472665,#384467,#384468); #336247=AXIS2_PLACEMENT_3D('',#472667,#384469,#384470); #336248=AXIS2_PLACEMENT_3D('',#472670,#384472,#384473); #336249=AXIS2_PLACEMENT_3D('',#472671,#384474,#384475); #336250=AXIS2_PLACEMENT_3D('',#472673,#384476,#384477); #336251=AXIS2_PLACEMENT_3D('',#472676,#384479,#384480); #336252=AXIS2_PLACEMENT_3D('',#472677,#384481,#384482); #336253=AXIS2_PLACEMENT_3D('',#472679,#384483,#384484); #336254=AXIS2_PLACEMENT_3D('',#472682,#384486,#384487); #336255=AXIS2_PLACEMENT_3D('',#472683,#384488,#384489); #336256=AXIS2_PLACEMENT_3D('',#472685,#384490,#384491); #336257=AXIS2_PLACEMENT_3D('',#472688,#384493,#384494); #336258=AXIS2_PLACEMENT_3D('',#472689,#384495,#384496); #336259=AXIS2_PLACEMENT_3D('',#472691,#384497,#384498); #336260=AXIS2_PLACEMENT_3D('',#472694,#384500,#384501); #336261=AXIS2_PLACEMENT_3D('',#472695,#384502,#384503); #336262=AXIS2_PLACEMENT_3D('',#472697,#384504,#384505); #336263=AXIS2_PLACEMENT_3D('',#472700,#384507,#384508); #336264=AXIS2_PLACEMENT_3D('',#472701,#384509,#384510); #336265=AXIS2_PLACEMENT_3D('',#472703,#384511,#384512); #336266=AXIS2_PLACEMENT_3D('',#472706,#384514,#384515); #336267=AXIS2_PLACEMENT_3D('',#472707,#384516,#384517); #336268=AXIS2_PLACEMENT_3D('',#472709,#384518,#384519); #336269=AXIS2_PLACEMENT_3D('',#472712,#384521,#384522); #336270=AXIS2_PLACEMENT_3D('',#472713,#384523,#384524); #336271=AXIS2_PLACEMENT_3D('',#472715,#384525,#384526); #336272=AXIS2_PLACEMENT_3D('',#472718,#384528,#384529); #336273=AXIS2_PLACEMENT_3D('',#472719,#384530,#384531); #336274=AXIS2_PLACEMENT_3D('',#472721,#384532,#384533); #336275=AXIS2_PLACEMENT_3D('',#472724,#384535,#384536); #336276=AXIS2_PLACEMENT_3D('',#472725,#384537,#384538); #336277=AXIS2_PLACEMENT_3D('',#472727,#384539,#384540); #336278=AXIS2_PLACEMENT_3D('',#472730,#384542,#384543); #336279=AXIS2_PLACEMENT_3D('',#472731,#384544,#384545); #336280=AXIS2_PLACEMENT_3D('',#472733,#384546,#384547); #336281=AXIS2_PLACEMENT_3D('',#472736,#384549,#384550); #336282=AXIS2_PLACEMENT_3D('',#472737,#384551,#384552); #336283=AXIS2_PLACEMENT_3D('',#472739,#384553,#384554); #336284=AXIS2_PLACEMENT_3D('',#472742,#384556,#384557); #336285=AXIS2_PLACEMENT_3D('',#472743,#384558,#384559); #336286=AXIS2_PLACEMENT_3D('',#472752,#384564,#384565); #336287=AXIS2_PLACEMENT_3D('',#472758,#384569,#384570); #336288=AXIS2_PLACEMENT_3D('',#472764,#384574,#384575); #336289=AXIS2_PLACEMENT_3D('',#472770,#384579,#384580); #336290=AXIS2_PLACEMENT_3D('',#472776,#384584,#384585); #336291=AXIS2_PLACEMENT_3D('',#472782,#384589,#384590); #336292=AXIS2_PLACEMENT_3D('',#472788,#384594,#384595); #336293=AXIS2_PLACEMENT_3D('',#472794,#384599,#384600); #336294=AXIS2_PLACEMENT_3D('',#472800,#384604,#384605); #336295=AXIS2_PLACEMENT_3D('',#472806,#384609,#384610); #336296=AXIS2_PLACEMENT_3D('',#472812,#384614,#384615); #336297=AXIS2_PLACEMENT_3D('',#472818,#384619,#384620); #336298=AXIS2_PLACEMENT_3D('',#472824,#384624,#384625); #336299=AXIS2_PLACEMENT_3D('',#472830,#384629,#384630); #336300=AXIS2_PLACEMENT_3D('',#472836,#384634,#384635); #336301=AXIS2_PLACEMENT_3D('',#472842,#384639,#384640); #336302=AXIS2_PLACEMENT_3D('',#472848,#384644,#384645); #336303=AXIS2_PLACEMENT_3D('',#472854,#384649,#384650); #336304=AXIS2_PLACEMENT_3D('',#472860,#384654,#384655); #336305=AXIS2_PLACEMENT_3D('',#472866,#384659,#384660); #336306=AXIS2_PLACEMENT_3D('',#472872,#384664,#384665); #336307=AXIS2_PLACEMENT_3D('',#472878,#384669,#384670); #336308=AXIS2_PLACEMENT_3D('',#472884,#384674,#384675); #336309=AXIS2_PLACEMENT_3D('',#472887,#384678,#384679); #336310=AXIS2_PLACEMENT_3D('',#472896,#384684,#384685); #336311=AXIS2_PLACEMENT_3D('',#472902,#384689,#384690); #336312=AXIS2_PLACEMENT_3D('',#472908,#384694,#384695); #336313=AXIS2_PLACEMENT_3D('',#472914,#384699,#384700); #336314=AXIS2_PLACEMENT_3D('',#472920,#384704,#384705); #336315=AXIS2_PLACEMENT_3D('',#472926,#384709,#384710); #336316=AXIS2_PLACEMENT_3D('',#472932,#384714,#384715); #336317=AXIS2_PLACEMENT_3D('',#472938,#384719,#384720); #336318=AXIS2_PLACEMENT_3D('',#472944,#384724,#384725); #336319=AXIS2_PLACEMENT_3D('',#472950,#384729,#384730); #336320=AXIS2_PLACEMENT_3D('',#472956,#384734,#384735); #336321=AXIS2_PLACEMENT_3D('',#472962,#384739,#384740); #336322=AXIS2_PLACEMENT_3D('',#472968,#384744,#384745); #336323=AXIS2_PLACEMENT_3D('',#472974,#384749,#384750); #336324=AXIS2_PLACEMENT_3D('',#472980,#384754,#384755); #336325=AXIS2_PLACEMENT_3D('',#472986,#384759,#384760); #336326=AXIS2_PLACEMENT_3D('',#472992,#384764,#384765); #336327=AXIS2_PLACEMENT_3D('',#472998,#384769,#384770); #336328=AXIS2_PLACEMENT_3D('',#473004,#384774,#384775); #336329=AXIS2_PLACEMENT_3D('',#473010,#384779,#384780); #336330=AXIS2_PLACEMENT_3D('',#473016,#384784,#384785); #336331=AXIS2_PLACEMENT_3D('',#473022,#384789,#384790); #336332=AXIS2_PLACEMENT_3D('',#473028,#384794,#384795); #336333=AXIS2_PLACEMENT_3D('',#473034,#384799,#384800); #336334=AXIS2_PLACEMENT_3D('',#473040,#384804,#384805); #336335=AXIS2_PLACEMENT_3D('',#473046,#384809,#384810); #336336=AXIS2_PLACEMENT_3D('',#473052,#384814,#384815); #336337=AXIS2_PLACEMENT_3D('',#473058,#384819,#384820); #336338=AXIS2_PLACEMENT_3D('',#473064,#384824,#384825); #336339=AXIS2_PLACEMENT_3D('',#473070,#384829,#384830); #336340=AXIS2_PLACEMENT_3D('',#473076,#384834,#384835); #336341=AXIS2_PLACEMENT_3D('',#473082,#384839,#384840); #336342=AXIS2_PLACEMENT_3D('',#473088,#384844,#384845); #336343=AXIS2_PLACEMENT_3D('',#473094,#384849,#384850); #336344=AXIS2_PLACEMENT_3D('',#473100,#384854,#384855); #336345=AXIS2_PLACEMENT_3D('',#473106,#384859,#384860); #336346=AXIS2_PLACEMENT_3D('',#473112,#384864,#384865); #336347=AXIS2_PLACEMENT_3D('',#473118,#384869,#384870); #336348=AXIS2_PLACEMENT_3D('',#473124,#384874,#384875); #336349=AXIS2_PLACEMENT_3D('',#473130,#384879,#384880); #336350=AXIS2_PLACEMENT_3D('',#473136,#384884,#384885); #336351=AXIS2_PLACEMENT_3D('',#473142,#384889,#384890); #336352=AXIS2_PLACEMENT_3D('',#473148,#384894,#384895); #336353=AXIS2_PLACEMENT_3D('',#473154,#384899,#384900); #336354=AXIS2_PLACEMENT_3D('',#473160,#384904,#384905); #336355=AXIS2_PLACEMENT_3D('',#473166,#384909,#384910); #336356=AXIS2_PLACEMENT_3D('',#473172,#384914,#384915); #336357=AXIS2_PLACEMENT_3D('',#473178,#384919,#384920); #336358=AXIS2_PLACEMENT_3D('',#473184,#384924,#384925); #336359=AXIS2_PLACEMENT_3D('',#473190,#384929,#384930); #336360=AXIS2_PLACEMENT_3D('',#473196,#384934,#384935); #336361=AXIS2_PLACEMENT_3D('',#473202,#384939,#384940); #336362=AXIS2_PLACEMENT_3D('',#473208,#384944,#384945); #336363=AXIS2_PLACEMENT_3D('',#473214,#384949,#384950); #336364=AXIS2_PLACEMENT_3D('',#473220,#384954,#384955); #336365=AXIS2_PLACEMENT_3D('',#473226,#384959,#384960); #336366=AXIS2_PLACEMENT_3D('',#473232,#384964,#384965); #336367=AXIS2_PLACEMENT_3D('',#473238,#384969,#384970); #336368=AXIS2_PLACEMENT_3D('',#473244,#384974,#384975); #336369=AXIS2_PLACEMENT_3D('',#473250,#384979,#384980); #336370=AXIS2_PLACEMENT_3D('',#473256,#384984,#384985); #336371=AXIS2_PLACEMENT_3D('',#473262,#384989,#384990); #336372=AXIS2_PLACEMENT_3D('',#473268,#384994,#384995); #336373=AXIS2_PLACEMENT_3D('',#473274,#384999,#385000); #336374=AXIS2_PLACEMENT_3D('',#473280,#385004,#385005); #336375=AXIS2_PLACEMENT_3D('',#473286,#385009,#385010); #336376=AXIS2_PLACEMENT_3D('',#473292,#385014,#385015); #336377=AXIS2_PLACEMENT_3D('',#473298,#385019,#385020); #336378=AXIS2_PLACEMENT_3D('',#473304,#385024,#385025); #336379=AXIS2_PLACEMENT_3D('',#473310,#385029,#385030); #336380=AXIS2_PLACEMENT_3D('',#473316,#385034,#385035); #336381=AXIS2_PLACEMENT_3D('',#473322,#385039,#385040); #336382=AXIS2_PLACEMENT_3D('',#473328,#385044,#385045); #336383=AXIS2_PLACEMENT_3D('',#473334,#385049,#385050); #336384=AXIS2_PLACEMENT_3D('',#473340,#385054,#385055); #336385=AXIS2_PLACEMENT_3D('',#473346,#385059,#385060); #336386=AXIS2_PLACEMENT_3D('',#473352,#385064,#385065); #336387=AXIS2_PLACEMENT_3D('',#473358,#385069,#385070); #336388=AXIS2_PLACEMENT_3D('',#473364,#385074,#385075); #336389=AXIS2_PLACEMENT_3D('',#473370,#385079,#385080); #336390=AXIS2_PLACEMENT_3D('',#473376,#385084,#385085); #336391=AXIS2_PLACEMENT_3D('',#473382,#385089,#385090); #336392=AXIS2_PLACEMENT_3D('',#473388,#385094,#385095); #336393=AXIS2_PLACEMENT_3D('',#473394,#385099,#385100); #336394=AXIS2_PLACEMENT_3D('',#473400,#385104,#385105); #336395=AXIS2_PLACEMENT_3D('',#473406,#385109,#385110); #336396=AXIS2_PLACEMENT_3D('',#473412,#385114,#385115); #336397=AXIS2_PLACEMENT_3D('',#473418,#385119,#385120); #336398=AXIS2_PLACEMENT_3D('',#473424,#385124,#385125); #336399=AXIS2_PLACEMENT_3D('',#473430,#385129,#385130); #336400=AXIS2_PLACEMENT_3D('',#473436,#385134,#385135); #336401=AXIS2_PLACEMENT_3D('',#473442,#385139,#385140); #336402=AXIS2_PLACEMENT_3D('',#473448,#385144,#385145); #336403=AXIS2_PLACEMENT_3D('',#473454,#385149,#385150); #336404=AXIS2_PLACEMENT_3D('',#473460,#385154,#385155); #336405=AXIS2_PLACEMENT_3D('',#473466,#385159,#385160); #336406=AXIS2_PLACEMENT_3D('',#473472,#385164,#385165); #336407=AXIS2_PLACEMENT_3D('',#473478,#385169,#385170); #336408=AXIS2_PLACEMENT_3D('',#473484,#385174,#385175); #336409=AXIS2_PLACEMENT_3D('',#473490,#385179,#385180); #336410=AXIS2_PLACEMENT_3D('',#473496,#385184,#385185); #336411=AXIS2_PLACEMENT_3D('',#473502,#385189,#385190); #336412=AXIS2_PLACEMENT_3D('',#473508,#385194,#385195); #336413=AXIS2_PLACEMENT_3D('',#473514,#385199,#385200); #336414=AXIS2_PLACEMENT_3D('',#473520,#385204,#385205); #336415=AXIS2_PLACEMENT_3D('',#473526,#385209,#385210); #336416=AXIS2_PLACEMENT_3D('',#473532,#385214,#385215); #336417=AXIS2_PLACEMENT_3D('',#473538,#385219,#385220); #336418=AXIS2_PLACEMENT_3D('',#473544,#385224,#385225); #336419=AXIS2_PLACEMENT_3D('',#473550,#385229,#385230); #336420=AXIS2_PLACEMENT_3D('',#473556,#385234,#385235); #336421=AXIS2_PLACEMENT_3D('',#473562,#385239,#385240); #336422=AXIS2_PLACEMENT_3D('',#473568,#385244,#385245); #336423=AXIS2_PLACEMENT_3D('',#473574,#385249,#385250); #336424=AXIS2_PLACEMENT_3D('',#473580,#385254,#385255); #336425=AXIS2_PLACEMENT_3D('',#473586,#385259,#385260); #336426=AXIS2_PLACEMENT_3D('',#473592,#385264,#385265); #336427=AXIS2_PLACEMENT_3D('',#473598,#385269,#385270); #336428=AXIS2_PLACEMENT_3D('',#473604,#385274,#385275); #336429=AXIS2_PLACEMENT_3D('',#473610,#385279,#385280); #336430=AXIS2_PLACEMENT_3D('',#473616,#385284,#385285); #336431=AXIS2_PLACEMENT_3D('',#473622,#385289,#385290); #336432=AXIS2_PLACEMENT_3D('',#473628,#385294,#385295); #336433=AXIS2_PLACEMENT_3D('',#473634,#385299,#385300); #336434=AXIS2_PLACEMENT_3D('',#473640,#385304,#385305); #336435=AXIS2_PLACEMENT_3D('',#473646,#385309,#385310); #336436=AXIS2_PLACEMENT_3D('',#473652,#385314,#385315); #336437=AXIS2_PLACEMENT_3D('',#473658,#385319,#385320); #336438=AXIS2_PLACEMENT_3D('',#473664,#385324,#385325); #336439=AXIS2_PLACEMENT_3D('',#473670,#385329,#385330); #336440=AXIS2_PLACEMENT_3D('',#473676,#385334,#385335); #336441=AXIS2_PLACEMENT_3D('',#473682,#385339,#385340); #336442=AXIS2_PLACEMENT_3D('',#473688,#385344,#385345); #336443=AXIS2_PLACEMENT_3D('',#473694,#385349,#385350); #336444=AXIS2_PLACEMENT_3D('',#473700,#385354,#385355); #336445=AXIS2_PLACEMENT_3D('',#473706,#385359,#385360); #336446=AXIS2_PLACEMENT_3D('',#473712,#385364,#385365); #336447=AXIS2_PLACEMENT_3D('',#473718,#385369,#385370); #336448=AXIS2_PLACEMENT_3D('',#473724,#385374,#385375); #336449=AXIS2_PLACEMENT_3D('',#473730,#385379,#385380); #336450=AXIS2_PLACEMENT_3D('',#473736,#385384,#385385); #336451=AXIS2_PLACEMENT_3D('',#473742,#385389,#385390); #336452=AXIS2_PLACEMENT_3D('',#473748,#385394,#385395); #336453=AXIS2_PLACEMENT_3D('',#473754,#385399,#385400); #336454=AXIS2_PLACEMENT_3D('',#473760,#385404,#385405); #336455=AXIS2_PLACEMENT_3D('',#473766,#385409,#385410); #336456=AXIS2_PLACEMENT_3D('',#473772,#385414,#385415); #336457=AXIS2_PLACEMENT_3D('',#473778,#385419,#385420); #336458=AXIS2_PLACEMENT_3D('',#473784,#385424,#385425); #336459=AXIS2_PLACEMENT_3D('',#473790,#385429,#385430); #336460=AXIS2_PLACEMENT_3D('',#473796,#385434,#385435); #336461=AXIS2_PLACEMENT_3D('',#473802,#385439,#385440); #336462=AXIS2_PLACEMENT_3D('',#473808,#385444,#385445); #336463=AXIS2_PLACEMENT_3D('',#473814,#385449,#385450); #336464=AXIS2_PLACEMENT_3D('',#473820,#385454,#385455); #336465=AXIS2_PLACEMENT_3D('',#473826,#385459,#385460); #336466=AXIS2_PLACEMENT_3D('',#473832,#385464,#385465); #336467=AXIS2_PLACEMENT_3D('',#473838,#385469,#385470); #336468=AXIS2_PLACEMENT_3D('',#473844,#385474,#385475); #336469=AXIS2_PLACEMENT_3D('',#473850,#385479,#385480); #336470=AXIS2_PLACEMENT_3D('',#473856,#385484,#385485); #336471=AXIS2_PLACEMENT_3D('',#473862,#385489,#385490); #336472=AXIS2_PLACEMENT_3D('',#473868,#385494,#385495); #336473=AXIS2_PLACEMENT_3D('',#473874,#385499,#385500); #336474=AXIS2_PLACEMENT_3D('',#473880,#385504,#385505); #336475=AXIS2_PLACEMENT_3D('',#473886,#385509,#385510); #336476=AXIS2_PLACEMENT_3D('',#473892,#385514,#385515); #336477=AXIS2_PLACEMENT_3D('',#473898,#385519,#385520); #336478=AXIS2_PLACEMENT_3D('',#473904,#385524,#385525); #336479=AXIS2_PLACEMENT_3D('',#473910,#385529,#385530); #336480=AXIS2_PLACEMENT_3D('',#473916,#385534,#385535); #336481=AXIS2_PLACEMENT_3D('',#473922,#385539,#385540); #336482=AXIS2_PLACEMENT_3D('',#473928,#385544,#385545); #336483=AXIS2_PLACEMENT_3D('',#473934,#385549,#385550); #336484=AXIS2_PLACEMENT_3D('',#473940,#385554,#385555); #336485=AXIS2_PLACEMENT_3D('',#473946,#385559,#385560); #336486=AXIS2_PLACEMENT_3D('',#473952,#385564,#385565); #336487=AXIS2_PLACEMENT_3D('',#473958,#385569,#385570); #336488=AXIS2_PLACEMENT_3D('',#473964,#385574,#385575); #336489=AXIS2_PLACEMENT_3D('',#473970,#385579,#385580); #336490=AXIS2_PLACEMENT_3D('',#473976,#385584,#385585); #336491=AXIS2_PLACEMENT_3D('',#473982,#385589,#385590); #336492=AXIS2_PLACEMENT_3D('',#473988,#385594,#385595); #336493=AXIS2_PLACEMENT_3D('',#473994,#385599,#385600); #336494=AXIS2_PLACEMENT_3D('',#474000,#385604,#385605); #336495=AXIS2_PLACEMENT_3D('',#474006,#385609,#385610); #336496=AXIS2_PLACEMENT_3D('',#474012,#385614,#385615); #336497=AXIS2_PLACEMENT_3D('',#474018,#385619,#385620); #336498=AXIS2_PLACEMENT_3D('',#474024,#385624,#385625); #336499=AXIS2_PLACEMENT_3D('',#474030,#385629,#385630); #336500=AXIS2_PLACEMENT_3D('',#474036,#385634,#385635); #336501=AXIS2_PLACEMENT_3D('',#474042,#385639,#385640); #336502=AXIS2_PLACEMENT_3D('',#474048,#385644,#385645); #336503=AXIS2_PLACEMENT_3D('',#474054,#385649,#385650); #336504=AXIS2_PLACEMENT_3D('',#474060,#385654,#385655); #336505=AXIS2_PLACEMENT_3D('',#474066,#385659,#385660); #336506=AXIS2_PLACEMENT_3D('',#474072,#385664,#385665); #336507=AXIS2_PLACEMENT_3D('',#474078,#385669,#385670); #336508=AXIS2_PLACEMENT_3D('',#474084,#385674,#385675); #336509=AXIS2_PLACEMENT_3D('',#474086,#385676,#385677); #336510=AXIS2_PLACEMENT_3D('',#474088,#385678,#385679); #336511=AXIS2_PLACEMENT_3D('',#474090,#385681,#385682); #336512=AXIS2_PLACEMENT_3D('',#474096,#385686,#385687); #336513=AXIS2_PLACEMENT_3D('',#474098,#385688,#385689); #336514=AXIS2_PLACEMENT_3D('',#474100,#385690,#385691); #336515=AXIS2_PLACEMENT_3D('',#474102,#385693,#385694); #336516=AXIS2_PLACEMENT_3D('',#474108,#385698,#385699); #336517=AXIS2_PLACEMENT_3D('',#474114,#385703,#385704); #336518=AXIS2_PLACEMENT_3D('',#474120,#385708,#385709); #336519=AXIS2_PLACEMENT_3D('',#474126,#385713,#385714); #336520=AXIS2_PLACEMENT_3D('',#474132,#385718,#385719); #336521=AXIS2_PLACEMENT_3D('',#474138,#385723,#385724); #336522=AXIS2_PLACEMENT_3D('',#474144,#385728,#385729); #336523=AXIS2_PLACEMENT_3D('',#474150,#385733,#385734); #336524=AXIS2_PLACEMENT_3D('',#474152,#385735,#385736); #336525=AXIS2_PLACEMENT_3D('',#474154,#385737,#385738); #336526=AXIS2_PLACEMENT_3D('',#474156,#385740,#385741); #336527=AXIS2_PLACEMENT_3D('',#474162,#385745,#385746); #336528=AXIS2_PLACEMENT_3D('',#474168,#385750,#385751); #336529=AXIS2_PLACEMENT_3D('',#474170,#385752,#385753); #336530=AXIS2_PLACEMENT_3D('',#474172,#385754,#385755); #336531=AXIS2_PLACEMENT_3D('',#474174,#385757,#385758); #336532=AXIS2_PLACEMENT_3D('',#474180,#385762,#385763); #336533=AXIS2_PLACEMENT_3D('',#474186,#385767,#385768); #336534=AXIS2_PLACEMENT_3D('',#474192,#385772,#385773); #336535=AXIS2_PLACEMENT_3D('',#474198,#385777,#385778); #336536=AXIS2_PLACEMENT_3D('',#474204,#385782,#385783); #336537=AXIS2_PLACEMENT_3D('',#474210,#385787,#385788); #336538=AXIS2_PLACEMENT_3D('',#474216,#385792,#385793); #336539=AXIS2_PLACEMENT_3D('',#474222,#385797,#385798); #336540=AXIS2_PLACEMENT_3D('',#474228,#385802,#385803); #336541=AXIS2_PLACEMENT_3D('',#474234,#385807,#385808); #336542=AXIS2_PLACEMENT_3D('',#474240,#385812,#385813); #336543=AXIS2_PLACEMENT_3D('',#474246,#385817,#385818); #336544=AXIS2_PLACEMENT_3D('',#474252,#385822,#385823); #336545=AXIS2_PLACEMENT_3D('',#474258,#385827,#385828); #336546=AXIS2_PLACEMENT_3D('',#474264,#385832,#385833); #336547=AXIS2_PLACEMENT_3D('',#474270,#385837,#385838); #336548=AXIS2_PLACEMENT_3D('',#474276,#385842,#385843); #336549=AXIS2_PLACEMENT_3D('',#474282,#385847,#385848); #336550=AXIS2_PLACEMENT_3D('',#474288,#385852,#385853); #336551=AXIS2_PLACEMENT_3D('',#474294,#385857,#385858); #336552=AXIS2_PLACEMENT_3D('',#474300,#385862,#385863); #336553=AXIS2_PLACEMENT_3D('',#474306,#385867,#385868); #336554=AXIS2_PLACEMENT_3D('',#474312,#385872,#385873); #336555=AXIS2_PLACEMENT_3D('',#474318,#385877,#385878); #336556=AXIS2_PLACEMENT_3D('',#474324,#385882,#385883); #336557=AXIS2_PLACEMENT_3D('',#474330,#385887,#385888); #336558=AXIS2_PLACEMENT_3D('',#474336,#385892,#385893); #336559=AXIS2_PLACEMENT_3D('',#474342,#385897,#385898); #336560=AXIS2_PLACEMENT_3D('',#474348,#385902,#385903); #336561=AXIS2_PLACEMENT_3D('',#474354,#385907,#385908); #336562=AXIS2_PLACEMENT_3D('',#474360,#385912,#385913); #336563=AXIS2_PLACEMENT_3D('',#474366,#385917,#385918); #336564=AXIS2_PLACEMENT_3D('',#474372,#385922,#385923); #336565=AXIS2_PLACEMENT_3D('',#474378,#385927,#385928); #336566=AXIS2_PLACEMENT_3D('',#474384,#385932,#385933); #336567=AXIS2_PLACEMENT_3D('',#474390,#385937,#385938); #336568=AXIS2_PLACEMENT_3D('',#474396,#385942,#385943); #336569=AXIS2_PLACEMENT_3D('',#474402,#385947,#385948); #336570=AXIS2_PLACEMENT_3D('',#474408,#385952,#385953); #336571=AXIS2_PLACEMENT_3D('',#474414,#385957,#385958); #336572=AXIS2_PLACEMENT_3D('',#474420,#385962,#385963); #336573=AXIS2_PLACEMENT_3D('',#474426,#385967,#385968); #336574=AXIS2_PLACEMENT_3D('',#474432,#385972,#385973); #336575=AXIS2_PLACEMENT_3D('',#474438,#385977,#385978); #336576=AXIS2_PLACEMENT_3D('',#474444,#385982,#385983); #336577=AXIS2_PLACEMENT_3D('',#474450,#385987,#385988); #336578=AXIS2_PLACEMENT_3D('',#474456,#385992,#385993); #336579=AXIS2_PLACEMENT_3D('',#474462,#385997,#385998); #336580=AXIS2_PLACEMENT_3D('',#474468,#386002,#386003); #336581=AXIS2_PLACEMENT_3D('',#474474,#386007,#386008); #336582=AXIS2_PLACEMENT_3D('',#474480,#386012,#386013); #336583=AXIS2_PLACEMENT_3D('',#474486,#386017,#386018); #336584=AXIS2_PLACEMENT_3D('',#474492,#386022,#386023); #336585=AXIS2_PLACEMENT_3D('',#474498,#386027,#386028); #336586=AXIS2_PLACEMENT_3D('',#474504,#386032,#386033); #336587=AXIS2_PLACEMENT_3D('',#474510,#386037,#386038); #336588=AXIS2_PLACEMENT_3D('',#474516,#386042,#386043); #336589=AXIS2_PLACEMENT_3D('',#474522,#386047,#386048); #336590=AXIS2_PLACEMENT_3D('',#474528,#386052,#386053); #336591=AXIS2_PLACEMENT_3D('',#474534,#386057,#386058); #336592=AXIS2_PLACEMENT_3D('',#474540,#386062,#386063); #336593=AXIS2_PLACEMENT_3D('',#474546,#386067,#386068); #336594=AXIS2_PLACEMENT_3D('',#474552,#386072,#386073); #336595=AXIS2_PLACEMENT_3D('',#474558,#386077,#386078); #336596=AXIS2_PLACEMENT_3D('',#474564,#386082,#386083); #336597=AXIS2_PLACEMENT_3D('',#474570,#386087,#386088); #336598=AXIS2_PLACEMENT_3D('',#474576,#386092,#386093); #336599=AXIS2_PLACEMENT_3D('',#474582,#386097,#386098); #336600=AXIS2_PLACEMENT_3D('',#474588,#386102,#386103); #336601=AXIS2_PLACEMENT_3D('',#474594,#386107,#386108); #336602=AXIS2_PLACEMENT_3D('',#474600,#386112,#386113); #336603=AXIS2_PLACEMENT_3D('',#474606,#386117,#386118); #336604=AXIS2_PLACEMENT_3D('',#474612,#386122,#386123); #336605=AXIS2_PLACEMENT_3D('',#474618,#386127,#386128); #336606=AXIS2_PLACEMENT_3D('',#474624,#386132,#386133); #336607=AXIS2_PLACEMENT_3D('',#474630,#386137,#386138); #336608=AXIS2_PLACEMENT_3D('',#474636,#386142,#386143); #336609=AXIS2_PLACEMENT_3D('',#474642,#386147,#386148); #336610=AXIS2_PLACEMENT_3D('',#474648,#386152,#386153); #336611=AXIS2_PLACEMENT_3D('',#474654,#386157,#386158); #336612=AXIS2_PLACEMENT_3D('',#474660,#386162,#386163); #336613=AXIS2_PLACEMENT_3D('',#474666,#386167,#386168); #336614=AXIS2_PLACEMENT_3D('',#474672,#386172,#386173); #336615=AXIS2_PLACEMENT_3D('',#474675,#386176,#386177); #336616=AXIS2_PLACEMENT_3D('',#474676,#386178,#386179); #336617=AXIS2_PLACEMENT_3D('',#474677,#386180,#386181); #336618=AXIS2_PLACEMENT_3D('',#474686,#386186,#386187); #336619=AXIS2_PLACEMENT_3D('',#474692,#386191,#386192); #336620=AXIS2_PLACEMENT_3D('',#474698,#386196,#386197); #336621=AXIS2_PLACEMENT_3D('',#474704,#386201,#386202); #336622=AXIS2_PLACEMENT_3D('',#474710,#386206,#386207); #336623=AXIS2_PLACEMENT_3D('',#474716,#386211,#386212); #336624=AXIS2_PLACEMENT_3D('',#474722,#386216,#386217); #336625=AXIS2_PLACEMENT_3D('',#474728,#386221,#386222); #336626=AXIS2_PLACEMENT_3D('',#474734,#386226,#386227); #336627=AXIS2_PLACEMENT_3D('',#474740,#386231,#386232); #336628=AXIS2_PLACEMENT_3D('',#474746,#386236,#386237); #336629=AXIS2_PLACEMENT_3D('',#474752,#386241,#386242); #336630=AXIS2_PLACEMENT_3D('',#474758,#386246,#386247); #336631=AXIS2_PLACEMENT_3D('',#474764,#386251,#386252); #336632=AXIS2_PLACEMENT_3D('',#474770,#386256,#386257); #336633=AXIS2_PLACEMENT_3D('',#474776,#386261,#386262); #336634=AXIS2_PLACEMENT_3D('',#474782,#386266,#386267); #336635=AXIS2_PLACEMENT_3D('',#474788,#386271,#386272); #336636=AXIS2_PLACEMENT_3D('',#474794,#386276,#386277); #336637=AXIS2_PLACEMENT_3D('',#474797,#386280,#386281); #336638=AXIS2_PLACEMENT_3D('',#474798,#386282,#386283); #336639=AXIS2_PLACEMENT_3D('',#474799,#386284,#386285); #336640=AXIS2_PLACEMENT_3D('',#474808,#386290,#386291); #336641=AXIS2_PLACEMENT_3D('',#474814,#386295,#386296); #336642=AXIS2_PLACEMENT_3D('',#474820,#386300,#386301); #336643=AXIS2_PLACEMENT_3D('',#474826,#386305,#386306); #336644=AXIS2_PLACEMENT_3D('',#474832,#386310,#386311); #336645=AXIS2_PLACEMENT_3D('',#474838,#386315,#386316); #336646=AXIS2_PLACEMENT_3D('',#474844,#386320,#386321); #336647=AXIS2_PLACEMENT_3D('',#474850,#386325,#386326); #336648=AXIS2_PLACEMENT_3D('',#474856,#386330,#386331); #336649=AXIS2_PLACEMENT_3D('',#474862,#386335,#386336); #336650=AXIS2_PLACEMENT_3D('',#474868,#386340,#386341); #336651=AXIS2_PLACEMENT_3D('',#474874,#386345,#386346); #336652=AXIS2_PLACEMENT_3D('',#474880,#386350,#386351); #336653=AXIS2_PLACEMENT_3D('',#474886,#386355,#386356); #336654=AXIS2_PLACEMENT_3D('',#474892,#386360,#386361); #336655=AXIS2_PLACEMENT_3D('',#474898,#386365,#386366); #336656=AXIS2_PLACEMENT_3D('',#474904,#386370,#386371); #336657=AXIS2_PLACEMENT_3D('',#474910,#386375,#386376); #336658=AXIS2_PLACEMENT_3D('',#474916,#386380,#386381); #336659=AXIS2_PLACEMENT_3D('',#474919,#386384,#386385); #336660=AXIS2_PLACEMENT_3D('',#474920,#386386,#386387); #336661=AXIS2_PLACEMENT_3D('',#474921,#386388,#386389); #336662=AXIS2_PLACEMENT_3D('',#474930,#386394,#386395); #336663=AXIS2_PLACEMENT_3D('',#474936,#386399,#386400); #336664=AXIS2_PLACEMENT_3D('',#474942,#386404,#386405); #336665=AXIS2_PLACEMENT_3D('',#474948,#386409,#386410); #336666=AXIS2_PLACEMENT_3D('',#474954,#386414,#386415); #336667=AXIS2_PLACEMENT_3D('',#474960,#386419,#386420); #336668=AXIS2_PLACEMENT_3D('',#474966,#386424,#386425); #336669=AXIS2_PLACEMENT_3D('',#474972,#386429,#386430); #336670=AXIS2_PLACEMENT_3D('',#474978,#386434,#386435); #336671=AXIS2_PLACEMENT_3D('',#474984,#386439,#386440); #336672=AXIS2_PLACEMENT_3D('',#474990,#386444,#386445); #336673=AXIS2_PLACEMENT_3D('',#474996,#386449,#386450); #336674=AXIS2_PLACEMENT_3D('',#475002,#386454,#386455); #336675=AXIS2_PLACEMENT_3D('',#475008,#386459,#386460); #336676=AXIS2_PLACEMENT_3D('',#475014,#386464,#386465); #336677=AXIS2_PLACEMENT_3D('',#475020,#386469,#386470); #336678=AXIS2_PLACEMENT_3D('',#475026,#386474,#386475); #336679=AXIS2_PLACEMENT_3D('',#475032,#386479,#386480); #336680=AXIS2_PLACEMENT_3D('',#475038,#386484,#386485); #336681=AXIS2_PLACEMENT_3D('',#475041,#386488,#386489); #336682=AXIS2_PLACEMENT_3D('',#475042,#386490,#386491); #336683=AXIS2_PLACEMENT_3D('',#475043,#386492,#386493); #336684=AXIS2_PLACEMENT_3D('',#475052,#386498,#386499); #336685=AXIS2_PLACEMENT_3D('',#475058,#386503,#386504); #336686=AXIS2_PLACEMENT_3D('',#475064,#386508,#386509); #336687=AXIS2_PLACEMENT_3D('',#475070,#386513,#386514); #336688=AXIS2_PLACEMENT_3D('',#475076,#386518,#386519); #336689=AXIS2_PLACEMENT_3D('',#475082,#386523,#386524); #336690=AXIS2_PLACEMENT_3D('',#475088,#386528,#386529); #336691=AXIS2_PLACEMENT_3D('',#475094,#386533,#386534); #336692=AXIS2_PLACEMENT_3D('',#475100,#386538,#386539); #336693=AXIS2_PLACEMENT_3D('',#475106,#386543,#386544); #336694=AXIS2_PLACEMENT_3D('',#475112,#386548,#386549); #336695=AXIS2_PLACEMENT_3D('',#475118,#386553,#386554); #336696=AXIS2_PLACEMENT_3D('',#475124,#386558,#386559); #336697=AXIS2_PLACEMENT_3D('',#475130,#386563,#386564); #336698=AXIS2_PLACEMENT_3D('',#475136,#386568,#386569); #336699=AXIS2_PLACEMENT_3D('',#475142,#386573,#386574); #336700=AXIS2_PLACEMENT_3D('',#475148,#386578,#386579); #336701=AXIS2_PLACEMENT_3D('',#475154,#386583,#386584); #336702=AXIS2_PLACEMENT_3D('',#475160,#386588,#386589); #336703=AXIS2_PLACEMENT_3D('',#475166,#386593,#386594); #336704=AXIS2_PLACEMENT_3D('',#475172,#386598,#386599); #336705=AXIS2_PLACEMENT_3D('',#475178,#386603,#386604); #336706=AXIS2_PLACEMENT_3D('',#475181,#386607,#386608); #336707=AXIS2_PLACEMENT_3D('',#475182,#386609,#386610); #336708=AXIS2_PLACEMENT_3D('',#475183,#386611,#386612); #336709=AXIS2_PLACEMENT_3D('',#475192,#386617,#386618); #336710=AXIS2_PLACEMENT_3D('',#475198,#386622,#386623); #336711=AXIS2_PLACEMENT_3D('',#475204,#386627,#386628); #336712=AXIS2_PLACEMENT_3D('',#475210,#386632,#386633); #336713=AXIS2_PLACEMENT_3D('',#475216,#386637,#386638); #336714=AXIS2_PLACEMENT_3D('',#475222,#386642,#386643); #336715=AXIS2_PLACEMENT_3D('',#475228,#386647,#386648); #336716=AXIS2_PLACEMENT_3D('',#475234,#386652,#386653); #336717=AXIS2_PLACEMENT_3D('',#475240,#386657,#386658); #336718=AXIS2_PLACEMENT_3D('',#475246,#386662,#386663); #336719=AXIS2_PLACEMENT_3D('',#475252,#386667,#386668); #336720=AXIS2_PLACEMENT_3D('',#475258,#386672,#386673); #336721=AXIS2_PLACEMENT_3D('',#475264,#386677,#386678); #336722=AXIS2_PLACEMENT_3D('',#475270,#386682,#386683); #336723=AXIS2_PLACEMENT_3D('',#475276,#386687,#386688); #336724=AXIS2_PLACEMENT_3D('',#475282,#386692,#386693); #336725=AXIS2_PLACEMENT_3D('',#475288,#386697,#386698); #336726=AXIS2_PLACEMENT_3D('',#475294,#386702,#386703); #336727=AXIS2_PLACEMENT_3D('',#475300,#386707,#386708); #336728=AXIS2_PLACEMENT_3D('',#475303,#386711,#386712); #336729=AXIS2_PLACEMENT_3D('',#475304,#386713,#386714); #336730=AXIS2_PLACEMENT_3D('',#475305,#386715,#386716); #336731=AXIS2_PLACEMENT_3D('',#475314,#386721,#386722); #336732=AXIS2_PLACEMENT_3D('',#475320,#386726,#386727); #336733=AXIS2_PLACEMENT_3D('',#475326,#386731,#386732); #336734=AXIS2_PLACEMENT_3D('',#475332,#386736,#386737); #336735=AXIS2_PLACEMENT_3D('',#475338,#386741,#386742); #336736=AXIS2_PLACEMENT_3D('',#475344,#386746,#386747); #336737=AXIS2_PLACEMENT_3D('',#475350,#386751,#386752); #336738=AXIS2_PLACEMENT_3D('',#475356,#386756,#386757); #336739=AXIS2_PLACEMENT_3D('',#475362,#386761,#386762); #336740=AXIS2_PLACEMENT_3D('',#475368,#386766,#386767); #336741=AXIS2_PLACEMENT_3D('',#475374,#386771,#386772); #336742=AXIS2_PLACEMENT_3D('',#475380,#386776,#386777); #336743=AXIS2_PLACEMENT_3D('',#475386,#386781,#386782); #336744=AXIS2_PLACEMENT_3D('',#475392,#386786,#386787); #336745=AXIS2_PLACEMENT_3D('',#475398,#386791,#386792); #336746=AXIS2_PLACEMENT_3D('',#475404,#386796,#386797); #336747=AXIS2_PLACEMENT_3D('',#475410,#386801,#386802); #336748=AXIS2_PLACEMENT_3D('',#475416,#386806,#386807); #336749=AXIS2_PLACEMENT_3D('',#475422,#386811,#386812); #336750=AXIS2_PLACEMENT_3D('',#475428,#386816,#386817); #336751=AXIS2_PLACEMENT_3D('',#475431,#386820,#386821); #336752=AXIS2_PLACEMENT_3D('',#475432,#386822,#386823); #336753=AXIS2_PLACEMENT_3D('',#475433,#386824,#386825); #336754=AXIS2_PLACEMENT_3D('',#475435,#386826,#386827); #336755=AXIS2_PLACEMENT_3D('',#475438,#386829,#386830); #336756=AXIS2_PLACEMENT_3D('',#475439,#386831,#386832); #336757=AXIS2_PLACEMENT_3D('',#475448,#386837,#386838); #336758=AXIS2_PLACEMENT_3D('',#475450,#386839,#386840); #336759=AXIS2_PLACEMENT_3D('',#475452,#386841,#386842); #336760=AXIS2_PLACEMENT_3D('',#475454,#386844,#386845); #336761=AXIS2_PLACEMENT_3D('',#475455,#386846,#386847); #336762=AXIS2_PLACEMENT_3D('',#475456,#386848,#386849); #336763=AXIS2_PLACEMENT_3D('',#475457,#386850,#386851); #336764=AXIS2_PLACEMENT_3D('',#475458,#386852,#386853); #336765=AXIS2_PLACEMENT_3D('',#475459,#386854,#386855); #336766=AXIS2_PLACEMENT_3D('',#475461,#386856,#386857); #336767=AXIS2_PLACEMENT_3D('',#475464,#386859,#386860); #336768=AXIS2_PLACEMENT_3D('',#475465,#386861,#386862); #336769=AXIS2_PLACEMENT_3D('',#475474,#386867,#386868); #336770=AXIS2_PLACEMENT_3D('',#475476,#386869,#386870); #336771=AXIS2_PLACEMENT_3D('',#475478,#386871,#386872); #336772=AXIS2_PLACEMENT_3D('',#475480,#386874,#386875); #336773=AXIS2_PLACEMENT_3D('',#475481,#386876,#386877); #336774=AXIS2_PLACEMENT_3D('',#475482,#386878,#386879); #336775=AXIS2_PLACEMENT_3D('',#475483,#386880,#386881); #336776=AXIS2_PLACEMENT_3D('',#475484,#386882,#386883); #336777=AXIS2_PLACEMENT_3D('',#475485,#386884,#386885); #336778=AXIS2_PLACEMENT_3D('',#475487,#386886,#386887); #336779=AXIS2_PLACEMENT_3D('',#475490,#386889,#386890); #336780=AXIS2_PLACEMENT_3D('',#475491,#386891,#386892); #336781=AXIS2_PLACEMENT_3D('',#475500,#386897,#386898); #336782=AXIS2_PLACEMENT_3D('',#475502,#386899,#386900); #336783=AXIS2_PLACEMENT_3D('',#475504,#386901,#386902); #336784=AXIS2_PLACEMENT_3D('',#475506,#386904,#386905); #336785=AXIS2_PLACEMENT_3D('',#475507,#386906,#386907); #336786=AXIS2_PLACEMENT_3D('',#475508,#386908,#386909); #336787=AXIS2_PLACEMENT_3D('',#475509,#386910,#386911); #336788=AXIS2_PLACEMENT_3D('',#475510,#386912,#386913); #336789=AXIS2_PLACEMENT_3D('',#475511,#386914,#386915); #336790=AXIS2_PLACEMENT_3D('',#475513,#386916,#386917); #336791=AXIS2_PLACEMENT_3D('',#475516,#386919,#386920); #336792=AXIS2_PLACEMENT_3D('',#475517,#386921,#386922); #336793=AXIS2_PLACEMENT_3D('',#475526,#386927,#386928); #336794=AXIS2_PLACEMENT_3D('',#475528,#386929,#386930); #336795=AXIS2_PLACEMENT_3D('',#475530,#386931,#386932); #336796=AXIS2_PLACEMENT_3D('',#475532,#386934,#386935); #336797=AXIS2_PLACEMENT_3D('',#475533,#386936,#386937); #336798=AXIS2_PLACEMENT_3D('',#475534,#386938,#386939); #336799=AXIS2_PLACEMENT_3D('',#475535,#386940,#386941); #336800=AXIS2_PLACEMENT_3D('',#475536,#386942,#386943); #336801=AXIS2_PLACEMENT_3D('',#475537,#386944,#386945); #336802=AXIS2_PLACEMENT_3D('',#475539,#386946,#386947); #336803=AXIS2_PLACEMENT_3D('',#475542,#386949,#386950); #336804=AXIS2_PLACEMENT_3D('',#475543,#386951,#386952); #336805=AXIS2_PLACEMENT_3D('',#475552,#386957,#386958); #336806=AXIS2_PLACEMENT_3D('',#475558,#386962,#386963); #336807=AXIS2_PLACEMENT_3D('',#475564,#386967,#386968); #336808=AXIS2_PLACEMENT_3D('',#475567,#386971,#386972); #336809=AXIS2_PLACEMENT_3D('',#475568,#386973,#386974); #336810=AXIS2_PLACEMENT_3D('',#475569,#386975,#386976); #336811=AXIS2_PLACEMENT_3D('',#475571,#386977,#386978); #336812=AXIS2_PLACEMENT_3D('',#475574,#386980,#386981); #336813=AXIS2_PLACEMENT_3D('',#475575,#386982,#386983); #336814=AXIS2_PLACEMENT_3D('',#475584,#386988,#386989); #336815=AXIS2_PLACEMENT_3D('',#475586,#386990,#386991); #336816=AXIS2_PLACEMENT_3D('',#475588,#386992,#386993); #336817=AXIS2_PLACEMENT_3D('',#475590,#386995,#386996); #336818=AXIS2_PLACEMENT_3D('',#475591,#386997,#386998); #336819=AXIS2_PLACEMENT_3D('',#475592,#386999,#387000); #336820=AXIS2_PLACEMENT_3D('',#475593,#387001,#387002); #336821=AXIS2_PLACEMENT_3D('',#475594,#387003,#387004); #336822=AXIS2_PLACEMENT_3D('',#475595,#387005,#387006); #336823=AXIS2_PLACEMENT_3D('',#475597,#387007,#387008); #336824=AXIS2_PLACEMENT_3D('',#475600,#387010,#387011); #336825=AXIS2_PLACEMENT_3D('',#475601,#387012,#387013); #336826=AXIS2_PLACEMENT_3D('',#475610,#387018,#387019); #336827=AXIS2_PLACEMENT_3D('',#475612,#387020,#387021); #336828=AXIS2_PLACEMENT_3D('',#475614,#387022,#387023); #336829=AXIS2_PLACEMENT_3D('',#475616,#387025,#387026); #336830=AXIS2_PLACEMENT_3D('',#475617,#387027,#387028); #336831=AXIS2_PLACEMENT_3D('',#475618,#387029,#387030); #336832=AXIS2_PLACEMENT_3D('',#475619,#387031,#387032); #336833=AXIS2_PLACEMENT_3D('',#475620,#387033,#387034); #336834=AXIS2_PLACEMENT_3D('',#475621,#387035,#387036); #336835=AXIS2_PLACEMENT_3D('',#475623,#387037,#387038); #336836=AXIS2_PLACEMENT_3D('',#475626,#387040,#387041); #336837=AXIS2_PLACEMENT_3D('',#475627,#387042,#387043); #336838=AXIS2_PLACEMENT_3D('',#475636,#387048,#387049); #336839=AXIS2_PLACEMENT_3D('',#475638,#387050,#387051); #336840=AXIS2_PLACEMENT_3D('',#475640,#387052,#387053); #336841=AXIS2_PLACEMENT_3D('',#475642,#387055,#387056); #336842=AXIS2_PLACEMENT_3D('',#475643,#387057,#387058); #336843=AXIS2_PLACEMENT_3D('',#475644,#387059,#387060); #336844=AXIS2_PLACEMENT_3D('',#475645,#387061,#387062); #336845=AXIS2_PLACEMENT_3D('',#475646,#387063,#387064); #336846=AXIS2_PLACEMENT_3D('',#475647,#387065,#387066); #336847=AXIS2_PLACEMENT_3D('',#475649,#387067,#387068); #336848=AXIS2_PLACEMENT_3D('',#475652,#387070,#387071); #336849=AXIS2_PLACEMENT_3D('',#475653,#387072,#387073); #336850=AXIS2_PLACEMENT_3D('',#475662,#387078,#387079); #336851=AXIS2_PLACEMENT_3D('',#475664,#387080,#387081); #336852=AXIS2_PLACEMENT_3D('',#475666,#387082,#387083); #336853=AXIS2_PLACEMENT_3D('',#475668,#387085,#387086); #336854=AXIS2_PLACEMENT_3D('',#475669,#387087,#387088); #336855=AXIS2_PLACEMENT_3D('',#475670,#387089,#387090); #336856=AXIS2_PLACEMENT_3D('',#475671,#387091,#387092); #336857=AXIS2_PLACEMENT_3D('',#475672,#387093,#387094); #336858=AXIS2_PLACEMENT_3D('',#475673,#387095,#387096); #336859=AXIS2_PLACEMENT_3D('',#475682,#387101,#387102); #336860=AXIS2_PLACEMENT_3D('',#475684,#387103,#387104); #336861=AXIS2_PLACEMENT_3D('',#475686,#387105,#387106); #336862=AXIS2_PLACEMENT_3D('',#475688,#387108,#387109); #336863=AXIS2_PLACEMENT_3D('',#475694,#387113,#387114); #336864=AXIS2_PLACEMENT_3D('',#475695,#387115,#387116); #336865=AXIS2_PLACEMENT_3D('',#475696,#387117,#387118); #336866=AXIS2_PLACEMENT_3D('',#475697,#387119,#387120); #336867=AXIS2_PLACEMENT_3D('',#475706,#387125,#387126); #336868=AXIS2_PLACEMENT_3D('',#475712,#387130,#387131); #336869=AXIS2_PLACEMENT_3D('',#475718,#387135,#387136); #336870=AXIS2_PLACEMENT_3D('',#475724,#387140,#387141); #336871=AXIS2_PLACEMENT_3D('',#475730,#387145,#387146); #336872=AXIS2_PLACEMENT_3D('',#475736,#387150,#387151); #336873=AXIS2_PLACEMENT_3D('',#475742,#387155,#387156); #336874=AXIS2_PLACEMENT_3D('',#475748,#387160,#387161); #336875=AXIS2_PLACEMENT_3D('',#475754,#387165,#387166); #336876=AXIS2_PLACEMENT_3D('',#475760,#387170,#387171); #336877=AXIS2_PLACEMENT_3D('',#475766,#387175,#387176); #336878=AXIS2_PLACEMENT_3D('',#475772,#387180,#387181); #336879=AXIS2_PLACEMENT_3D('',#475778,#387185,#387186); #336880=AXIS2_PLACEMENT_3D('',#475784,#387190,#387191); #336881=AXIS2_PLACEMENT_3D('',#475790,#387195,#387196); #336882=AXIS2_PLACEMENT_3D('',#475796,#387200,#387201); #336883=AXIS2_PLACEMENT_3D('',#475802,#387205,#387206); #336884=AXIS2_PLACEMENT_3D('',#475808,#387210,#387211); #336885=AXIS2_PLACEMENT_3D('',#475814,#387215,#387216); #336886=AXIS2_PLACEMENT_3D('',#475820,#387220,#387221); #336887=AXIS2_PLACEMENT_3D('',#475826,#387225,#387226); #336888=AXIS2_PLACEMENT_3D('',#475832,#387230,#387231); #336889=AXIS2_PLACEMENT_3D('',#475838,#387235,#387236); #336890=AXIS2_PLACEMENT_3D('',#475844,#387240,#387241); #336891=AXIS2_PLACEMENT_3D('',#475850,#387245,#387246); #336892=AXIS2_PLACEMENT_3D('',#475856,#387250,#387251); #336893=AXIS2_PLACEMENT_3D('',#475862,#387255,#387256); #336894=AXIS2_PLACEMENT_3D('',#475868,#387260,#387261); #336895=AXIS2_PLACEMENT_3D('',#475874,#387265,#387266); #336896=AXIS2_PLACEMENT_3D('',#475880,#387270,#387271); #336897=AXIS2_PLACEMENT_3D('',#475886,#387275,#387276); #336898=AXIS2_PLACEMENT_3D('',#475892,#387280,#387281); #336899=AXIS2_PLACEMENT_3D('',#475898,#387285,#387286); #336900=AXIS2_PLACEMENT_3D('',#475904,#387290,#387291); #336901=AXIS2_PLACEMENT_3D('',#475910,#387295,#387296); #336902=AXIS2_PLACEMENT_3D('',#475916,#387300,#387301); #336903=AXIS2_PLACEMENT_3D('',#475922,#387305,#387306); #336904=AXIS2_PLACEMENT_3D('',#475928,#387310,#387311); #336905=AXIS2_PLACEMENT_3D('',#475934,#387315,#387316); #336906=AXIS2_PLACEMENT_3D('',#475940,#387320,#387321); #336907=AXIS2_PLACEMENT_3D('',#475946,#387325,#387326); #336908=AXIS2_PLACEMENT_3D('',#475952,#387330,#387331); #336909=AXIS2_PLACEMENT_3D('',#475958,#387335,#387336); #336910=AXIS2_PLACEMENT_3D('',#475964,#387340,#387341); #336911=AXIS2_PLACEMENT_3D('',#475970,#387345,#387346); #336912=AXIS2_PLACEMENT_3D('',#475976,#387350,#387351); #336913=AXIS2_PLACEMENT_3D('',#475982,#387355,#387356); #336914=AXIS2_PLACEMENT_3D('',#475988,#387360,#387361); #336915=AXIS2_PLACEMENT_3D('',#475994,#387365,#387366); #336916=AXIS2_PLACEMENT_3D('',#476000,#387370,#387371); #336917=AXIS2_PLACEMENT_3D('',#476006,#387375,#387376); #336918=AXIS2_PLACEMENT_3D('',#476012,#387380,#387381); #336919=AXIS2_PLACEMENT_3D('',#476018,#387385,#387386); #336920=AXIS2_PLACEMENT_3D('',#476024,#387390,#387391); #336921=AXIS2_PLACEMENT_3D('',#476030,#387395,#387396); #336922=AXIS2_PLACEMENT_3D('',#476033,#387399,#387400); #336923=AXIS2_PLACEMENT_3D('',#476034,#387401,#387402); #336924=AXIS2_PLACEMENT_3D('',#476035,#387403,#387404); #336925=AXIS2_PLACEMENT_3D('',#476044,#387409,#387410); #336926=AXIS2_PLACEMENT_3D('',#476046,#387411,#387412); #336927=AXIS2_PLACEMENT_3D('',#476048,#387413,#387414); #336928=AXIS2_PLACEMENT_3D('',#476050,#387416,#387417); #336929=AXIS2_PLACEMENT_3D('',#476056,#387421,#387422); #336930=AXIS2_PLACEMENT_3D('',#476057,#387423,#387424); #336931=AXIS2_PLACEMENT_3D('',#476058,#387425,#387426); #336932=AXIS2_PLACEMENT_3D('',#476059,#387427,#387428); #336933=AXIS2_PLACEMENT_3D('',#476068,#387433,#387434); #336934=AXIS2_PLACEMENT_3D('',#476074,#387438,#387439); #336935=AXIS2_PLACEMENT_3D('',#476080,#387443,#387444); #336936=AXIS2_PLACEMENT_3D('',#476086,#387448,#387449); #336937=AXIS2_PLACEMENT_3D('',#476092,#387453,#387454); #336938=AXIS2_PLACEMENT_3D('',#476098,#387458,#387459); #336939=AXIS2_PLACEMENT_3D('',#476104,#387463,#387464); #336940=AXIS2_PLACEMENT_3D('',#476110,#387468,#387469); #336941=AXIS2_PLACEMENT_3D('',#476116,#387473,#387474); #336942=AXIS2_PLACEMENT_3D('',#476122,#387478,#387479); #336943=AXIS2_PLACEMENT_3D('',#476128,#387483,#387484); #336944=AXIS2_PLACEMENT_3D('',#476134,#387488,#387489); #336945=AXIS2_PLACEMENT_3D('',#476140,#387493,#387494); #336946=AXIS2_PLACEMENT_3D('',#476146,#387498,#387499); #336947=AXIS2_PLACEMENT_3D('',#476152,#387503,#387504); #336948=AXIS2_PLACEMENT_3D('',#476158,#387508,#387509); #336949=AXIS2_PLACEMENT_3D('',#476164,#387513,#387514); #336950=AXIS2_PLACEMENT_3D('',#476170,#387518,#387519); #336951=AXIS2_PLACEMENT_3D('',#476176,#387523,#387524); #336952=AXIS2_PLACEMENT_3D('',#476182,#387528,#387529); #336953=AXIS2_PLACEMENT_3D('',#476188,#387533,#387534); #336954=AXIS2_PLACEMENT_3D('',#476194,#387538,#387539); #336955=AXIS2_PLACEMENT_3D('',#476200,#387543,#387544); #336956=AXIS2_PLACEMENT_3D('',#476206,#387548,#387549); #336957=AXIS2_PLACEMENT_3D('',#476212,#387553,#387554); #336958=AXIS2_PLACEMENT_3D('',#476218,#387558,#387559); #336959=AXIS2_PLACEMENT_3D('',#476224,#387563,#387564); #336960=AXIS2_PLACEMENT_3D('',#476230,#387568,#387569); #336961=AXIS2_PLACEMENT_3D('',#476236,#387573,#387574); #336962=AXIS2_PLACEMENT_3D('',#476242,#387578,#387579); #336963=AXIS2_PLACEMENT_3D('',#476248,#387583,#387584); #336964=AXIS2_PLACEMENT_3D('',#476254,#387588,#387589); #336965=AXIS2_PLACEMENT_3D('',#476260,#387593,#387594); #336966=AXIS2_PLACEMENT_3D('',#476266,#387598,#387599); #336967=AXIS2_PLACEMENT_3D('',#476272,#387603,#387604); #336968=AXIS2_PLACEMENT_3D('',#476278,#387608,#387609); #336969=AXIS2_PLACEMENT_3D('',#476284,#387613,#387614); #336970=AXIS2_PLACEMENT_3D('',#476290,#387618,#387619); #336971=AXIS2_PLACEMENT_3D('',#476296,#387623,#387624); #336972=AXIS2_PLACEMENT_3D('',#476302,#387628,#387629); #336973=AXIS2_PLACEMENT_3D('',#476308,#387633,#387634); #336974=AXIS2_PLACEMENT_3D('',#476314,#387638,#387639); #336975=AXIS2_PLACEMENT_3D('',#476320,#387643,#387644); #336976=AXIS2_PLACEMENT_3D('',#476326,#387648,#387649); #336977=AXIS2_PLACEMENT_3D('',#476332,#387653,#387654); #336978=AXIS2_PLACEMENT_3D('',#476338,#387658,#387659); #336979=AXIS2_PLACEMENT_3D('',#476344,#387663,#387664); #336980=AXIS2_PLACEMENT_3D('',#476350,#387668,#387669); #336981=AXIS2_PLACEMENT_3D('',#476356,#387673,#387674); #336982=AXIS2_PLACEMENT_3D('',#476362,#387678,#387679); #336983=AXIS2_PLACEMENT_3D('',#476368,#387683,#387684); #336984=AXIS2_PLACEMENT_3D('',#476374,#387688,#387689); #336985=AXIS2_PLACEMENT_3D('',#476380,#387693,#387694); #336986=AXIS2_PLACEMENT_3D('',#476386,#387698,#387699); #336987=AXIS2_PLACEMENT_3D('',#476392,#387703,#387704); #336988=AXIS2_PLACEMENT_3D('',#476398,#387708,#387709); #336989=AXIS2_PLACEMENT_3D('',#476404,#387713,#387714); #336990=AXIS2_PLACEMENT_3D('',#476410,#387718,#387719); #336991=AXIS2_PLACEMENT_3D('',#476416,#387723,#387724); #336992=AXIS2_PLACEMENT_3D('',#476422,#387728,#387729); #336993=AXIS2_PLACEMENT_3D('',#476428,#387733,#387734); #336994=AXIS2_PLACEMENT_3D('',#476434,#387738,#387739); #336995=AXIS2_PLACEMENT_3D('',#476440,#387743,#387744); #336996=AXIS2_PLACEMENT_3D('',#476446,#387748,#387749); #336997=AXIS2_PLACEMENT_3D('',#476452,#387753,#387754); #336998=AXIS2_PLACEMENT_3D('',#476458,#387758,#387759); #336999=AXIS2_PLACEMENT_3D('',#476464,#387763,#387764); #337000=AXIS2_PLACEMENT_3D('',#476470,#387768,#387769); #337001=AXIS2_PLACEMENT_3D('',#476476,#387773,#387774); #337002=AXIS2_PLACEMENT_3D('',#476482,#387778,#387779); #337003=AXIS2_PLACEMENT_3D('',#476488,#387783,#387784); #337004=AXIS2_PLACEMENT_3D('',#476491,#387787,#387788); #337005=AXIS2_PLACEMENT_3D('',#476492,#387789,#387790); #337006=AXIS2_PLACEMENT_3D('',#476493,#387791,#387792); #337007=AXIS2_PLACEMENT_3D('',#476502,#387797,#387798); #337008=AXIS2_PLACEMENT_3D('',#476504,#387799,#387800); #337009=AXIS2_PLACEMENT_3D('',#476506,#387801,#387802); #337010=AXIS2_PLACEMENT_3D('',#476508,#387804,#387805); #337011=AXIS2_PLACEMENT_3D('',#476514,#387809,#387810); #337012=AXIS2_PLACEMENT_3D('',#476515,#387811,#387812); #337013=AXIS2_PLACEMENT_3D('',#476516,#387813,#387814); #337014=AXIS2_PLACEMENT_3D('',#476517,#387815,#387816); #337015=AXIS2_PLACEMENT_3D('',#476526,#387821,#387822); #337016=AXIS2_PLACEMENT_3D('',#476532,#387826,#387827); #337017=AXIS2_PLACEMENT_3D('',#476538,#387831,#387832); #337018=AXIS2_PLACEMENT_3D('',#476544,#387836,#387837); #337019=AXIS2_PLACEMENT_3D('',#476550,#387841,#387842); #337020=AXIS2_PLACEMENT_3D('',#476556,#387846,#387847); #337021=AXIS2_PLACEMENT_3D('',#476562,#387851,#387852); #337022=AXIS2_PLACEMENT_3D('',#476568,#387856,#387857); #337023=AXIS2_PLACEMENT_3D('',#476574,#387861,#387862); #337024=AXIS2_PLACEMENT_3D('',#476580,#387866,#387867); #337025=AXIS2_PLACEMENT_3D('',#476586,#387871,#387872); #337026=AXIS2_PLACEMENT_3D('',#476592,#387876,#387877); #337027=AXIS2_PLACEMENT_3D('',#476598,#387881,#387882); #337028=AXIS2_PLACEMENT_3D('',#476604,#387886,#387887); #337029=AXIS2_PLACEMENT_3D('',#476610,#387891,#387892); #337030=AXIS2_PLACEMENT_3D('',#476616,#387896,#387897); #337031=AXIS2_PLACEMENT_3D('',#476622,#387901,#387902); #337032=AXIS2_PLACEMENT_3D('',#476628,#387906,#387907); #337033=AXIS2_PLACEMENT_3D('',#476634,#387911,#387912); #337034=AXIS2_PLACEMENT_3D('',#476640,#387916,#387917); #337035=AXIS2_PLACEMENT_3D('',#476646,#387921,#387922); #337036=AXIS2_PLACEMENT_3D('',#476652,#387926,#387927); #337037=AXIS2_PLACEMENT_3D('',#476658,#387931,#387932); #337038=AXIS2_PLACEMENT_3D('',#476664,#387936,#387937); #337039=AXIS2_PLACEMENT_3D('',#476670,#387941,#387942); #337040=AXIS2_PLACEMENT_3D('',#476676,#387946,#387947); #337041=AXIS2_PLACEMENT_3D('',#476682,#387951,#387952); #337042=AXIS2_PLACEMENT_3D('',#476688,#387956,#387957); #337043=AXIS2_PLACEMENT_3D('',#476694,#387961,#387962); #337044=AXIS2_PLACEMENT_3D('',#476700,#387966,#387967); #337045=AXIS2_PLACEMENT_3D('',#476706,#387971,#387972); #337046=AXIS2_PLACEMENT_3D('',#476712,#387976,#387977); #337047=AXIS2_PLACEMENT_3D('',#476718,#387981,#387982); #337048=AXIS2_PLACEMENT_3D('',#476724,#387986,#387987); #337049=AXIS2_PLACEMENT_3D('',#476730,#387991,#387992); #337050=AXIS2_PLACEMENT_3D('',#476736,#387996,#387997); #337051=AXIS2_PLACEMENT_3D('',#476742,#388001,#388002); #337052=AXIS2_PLACEMENT_3D('',#476748,#388006,#388007); #337053=AXIS2_PLACEMENT_3D('',#476754,#388011,#388012); #337054=AXIS2_PLACEMENT_3D('',#476760,#388016,#388017); #337055=AXIS2_PLACEMENT_3D('',#476766,#388021,#388022); #337056=AXIS2_PLACEMENT_3D('',#476772,#388026,#388027); #337057=AXIS2_PLACEMENT_3D('',#476778,#388031,#388032); #337058=AXIS2_PLACEMENT_3D('',#476784,#388036,#388037); #337059=AXIS2_PLACEMENT_3D('',#476790,#388041,#388042); #337060=AXIS2_PLACEMENT_3D('',#476796,#388046,#388047); #337061=AXIS2_PLACEMENT_3D('',#476802,#388051,#388052); #337062=AXIS2_PLACEMENT_3D('',#476808,#388056,#388057); #337063=AXIS2_PLACEMENT_3D('',#476814,#388061,#388062); #337064=AXIS2_PLACEMENT_3D('',#476820,#388066,#388067); #337065=AXIS2_PLACEMENT_3D('',#476826,#388071,#388072); #337066=AXIS2_PLACEMENT_3D('',#476832,#388076,#388077); #337067=AXIS2_PLACEMENT_3D('',#476838,#388081,#388082); #337068=AXIS2_PLACEMENT_3D('',#476844,#388086,#388087); #337069=AXIS2_PLACEMENT_3D('',#476850,#388091,#388092); #337070=AXIS2_PLACEMENT_3D('',#476853,#388095,#388096); #337071=AXIS2_PLACEMENT_3D('',#476854,#388097,#388098); #337072=AXIS2_PLACEMENT_3D('',#476855,#388099,#388100); #337073=AXIS2_PLACEMENT_3D('',#476857,#388101,#388102); #337074=AXIS2_PLACEMENT_3D('',#476860,#388104,#388105); #337075=AXIS2_PLACEMENT_3D('',#476861,#388106,#388107); #337076=AXIS2_PLACEMENT_3D('',#476870,#388112,#388113); #337077=AXIS2_PLACEMENT_3D('',#476876,#388117,#388118); #337078=AXIS2_PLACEMENT_3D('',#476882,#388122,#388123); #337079=AXIS2_PLACEMENT_3D('',#476885,#388126,#388127); #337080=AXIS2_PLACEMENT_3D('',#476886,#388128,#388129); #337081=AXIS2_PLACEMENT_3D('',#476887,#388130,#388131); #337082=AXIS2_PLACEMENT_3D('',#476896,#388136,#388137); #337083=AXIS2_PLACEMENT_3D('',#476898,#388138,#388139); #337084=AXIS2_PLACEMENT_3D('',#476900,#388140,#388141); #337085=AXIS2_PLACEMENT_3D('',#476902,#388143,#388144); #337086=AXIS2_PLACEMENT_3D('',#476908,#388148,#388149); #337087=AXIS2_PLACEMENT_3D('',#476909,#388150,#388151); #337088=AXIS2_PLACEMENT_3D('',#476910,#388152,#388153); #337089=AXIS2_PLACEMENT_3D('',#476911,#388154,#388155); #337090=AXIS2_PLACEMENT_3D('',#476920,#388160,#388161); #337091=AXIS2_PLACEMENT_3D('',#476926,#388165,#388166); #337092=AXIS2_PLACEMENT_3D('',#476932,#388170,#388171); #337093=AXIS2_PLACEMENT_3D('',#476938,#388175,#388176); #337094=AXIS2_PLACEMENT_3D('',#476944,#388180,#388181); #337095=AXIS2_PLACEMENT_3D('',#476950,#388185,#388186); #337096=AXIS2_PLACEMENT_3D('',#476956,#388190,#388191); #337097=AXIS2_PLACEMENT_3D('',#476962,#388195,#388196); #337098=AXIS2_PLACEMENT_3D('',#476968,#388200,#388201); #337099=AXIS2_PLACEMENT_3D('',#476974,#388205,#388206); #337100=AXIS2_PLACEMENT_3D('',#476980,#388210,#388211); #337101=AXIS2_PLACEMENT_3D('',#476986,#388215,#388216); #337102=AXIS2_PLACEMENT_3D('',#476992,#388220,#388221); #337103=AXIS2_PLACEMENT_3D('',#476998,#388225,#388226); #337104=AXIS2_PLACEMENT_3D('',#477004,#388230,#388231); #337105=AXIS2_PLACEMENT_3D('',#477010,#388235,#388236); #337106=AXIS2_PLACEMENT_3D('',#477016,#388240,#388241); #337107=AXIS2_PLACEMENT_3D('',#477022,#388245,#388246); #337108=AXIS2_PLACEMENT_3D('',#477028,#388250,#388251); #337109=AXIS2_PLACEMENT_3D('',#477034,#388255,#388256); #337110=AXIS2_PLACEMENT_3D('',#477040,#388260,#388261); #337111=AXIS2_PLACEMENT_3D('',#477046,#388265,#388266); #337112=AXIS2_PLACEMENT_3D('',#477052,#388270,#388271); #337113=AXIS2_PLACEMENT_3D('',#477058,#388275,#388276); #337114=AXIS2_PLACEMENT_3D('',#477064,#388280,#388281); #337115=AXIS2_PLACEMENT_3D('',#477070,#388285,#388286); #337116=AXIS2_PLACEMENT_3D('',#477076,#388290,#388291); #337117=AXIS2_PLACEMENT_3D('',#477082,#388295,#388296); #337118=AXIS2_PLACEMENT_3D('',#477088,#388300,#388301); #337119=AXIS2_PLACEMENT_3D('',#477094,#388305,#388306); #337120=AXIS2_PLACEMENT_3D('',#477100,#388310,#388311); #337121=AXIS2_PLACEMENT_3D('',#477106,#388315,#388316); #337122=AXIS2_PLACEMENT_3D('',#477112,#388320,#388321); #337123=AXIS2_PLACEMENT_3D('',#477118,#388325,#388326); #337124=AXIS2_PLACEMENT_3D('',#477124,#388330,#388331); #337125=AXIS2_PLACEMENT_3D('',#477130,#388335,#388336); #337126=AXIS2_PLACEMENT_3D('',#477136,#388340,#388341); #337127=AXIS2_PLACEMENT_3D('',#477142,#388345,#388346); #337128=AXIS2_PLACEMENT_3D('',#477148,#388350,#388351); #337129=AXIS2_PLACEMENT_3D('',#477154,#388355,#388356); #337130=AXIS2_PLACEMENT_3D('',#477160,#388360,#388361); #337131=AXIS2_PLACEMENT_3D('',#477166,#388365,#388366); #337132=AXIS2_PLACEMENT_3D('',#477172,#388370,#388371); #337133=AXIS2_PLACEMENT_3D('',#477178,#388375,#388376); #337134=AXIS2_PLACEMENT_3D('',#477184,#388380,#388381); #337135=AXIS2_PLACEMENT_3D('',#477190,#388385,#388386); #337136=AXIS2_PLACEMENT_3D('',#477196,#388390,#388391); #337137=AXIS2_PLACEMENT_3D('',#477202,#388395,#388396); #337138=AXIS2_PLACEMENT_3D('',#477208,#388400,#388401); #337139=AXIS2_PLACEMENT_3D('',#477214,#388405,#388406); #337140=AXIS2_PLACEMENT_3D('',#477220,#388410,#388411); #337141=AXIS2_PLACEMENT_3D('',#477226,#388415,#388416); #337142=AXIS2_PLACEMENT_3D('',#477232,#388420,#388421); #337143=AXIS2_PLACEMENT_3D('',#477238,#388425,#388426); #337144=AXIS2_PLACEMENT_3D('',#477244,#388430,#388431); #337145=AXIS2_PLACEMENT_3D('',#477247,#388434,#388435); #337146=AXIS2_PLACEMENT_3D('',#477248,#388436,#388437); #337147=AXIS2_PLACEMENT_3D('',#477249,#388438,#388439); #337148=AXIS2_PLACEMENT_3D('',#477258,#388444,#388445); #337149=AXIS2_PLACEMENT_3D('',#477260,#388446,#388447); #337150=AXIS2_PLACEMENT_3D('',#477262,#388448,#388449); #337151=AXIS2_PLACEMENT_3D('',#477264,#388451,#388452); #337152=AXIS2_PLACEMENT_3D('',#477270,#388456,#388457); #337153=AXIS2_PLACEMENT_3D('',#477271,#388458,#388459); #337154=AXIS2_PLACEMENT_3D('',#477272,#388460,#388461); #337155=AXIS2_PLACEMENT_3D('',#477273,#388462,#388463); #337156=AXIS2_PLACEMENT_3D('',#477282,#388468,#388469); #337157=AXIS2_PLACEMENT_3D('',#477288,#388473,#388474); #337158=AXIS2_PLACEMENT_3D('',#477294,#388478,#388479); #337159=AXIS2_PLACEMENT_3D('',#477300,#388483,#388484); #337160=AXIS2_PLACEMENT_3D('',#477306,#388488,#388489); #337161=AXIS2_PLACEMENT_3D('',#477312,#388493,#388494); #337162=AXIS2_PLACEMENT_3D('',#477318,#388498,#388499); #337163=AXIS2_PLACEMENT_3D('',#477324,#388503,#388504); #337164=AXIS2_PLACEMENT_3D('',#477330,#388508,#388509); #337165=AXIS2_PLACEMENT_3D('',#477336,#388513,#388514); #337166=AXIS2_PLACEMENT_3D('',#477342,#388518,#388519); #337167=AXIS2_PLACEMENT_3D('',#477348,#388523,#388524); #337168=AXIS2_PLACEMENT_3D('',#477354,#388528,#388529); #337169=AXIS2_PLACEMENT_3D('',#477360,#388533,#388534); #337170=AXIS2_PLACEMENT_3D('',#477366,#388538,#388539); #337171=AXIS2_PLACEMENT_3D('',#477372,#388543,#388544); #337172=AXIS2_PLACEMENT_3D('',#477378,#388548,#388549); #337173=AXIS2_PLACEMENT_3D('',#477384,#388553,#388554); #337174=AXIS2_PLACEMENT_3D('',#477390,#388558,#388559); #337175=AXIS2_PLACEMENT_3D('',#477396,#388563,#388564); #337176=AXIS2_PLACEMENT_3D('',#477402,#388568,#388569); #337177=AXIS2_PLACEMENT_3D('',#477408,#388573,#388574); #337178=AXIS2_PLACEMENT_3D('',#477414,#388578,#388579); #337179=AXIS2_PLACEMENT_3D('',#477420,#388583,#388584); #337180=AXIS2_PLACEMENT_3D('',#477426,#388588,#388589); #337181=AXIS2_PLACEMENT_3D('',#477432,#388593,#388594); #337182=AXIS2_PLACEMENT_3D('',#477438,#388598,#388599); #337183=AXIS2_PLACEMENT_3D('',#477444,#388603,#388604); #337184=AXIS2_PLACEMENT_3D('',#477450,#388608,#388609); #337185=AXIS2_PLACEMENT_3D('',#477456,#388613,#388614); #337186=AXIS2_PLACEMENT_3D('',#477462,#388618,#388619); #337187=AXIS2_PLACEMENT_3D('',#477468,#388623,#388624); #337188=AXIS2_PLACEMENT_3D('',#477474,#388628,#388629); #337189=AXIS2_PLACEMENT_3D('',#477480,#388633,#388634); #337190=AXIS2_PLACEMENT_3D('',#477486,#388638,#388639); #337191=AXIS2_PLACEMENT_3D('',#477492,#388643,#388644); #337192=AXIS2_PLACEMENT_3D('',#477498,#388648,#388649); #337193=AXIS2_PLACEMENT_3D('',#477504,#388653,#388654); #337194=AXIS2_PLACEMENT_3D('',#477510,#388658,#388659); #337195=AXIS2_PLACEMENT_3D('',#477516,#388663,#388664); #337196=AXIS2_PLACEMENT_3D('',#477522,#388668,#388669); #337197=AXIS2_PLACEMENT_3D('',#477528,#388673,#388674); #337198=AXIS2_PLACEMENT_3D('',#477534,#388678,#388679); #337199=AXIS2_PLACEMENT_3D('',#477540,#388683,#388684); #337200=AXIS2_PLACEMENT_3D('',#477546,#388688,#388689); #337201=AXIS2_PLACEMENT_3D('',#477552,#388693,#388694); #337202=AXIS2_PLACEMENT_3D('',#477558,#388698,#388699); #337203=AXIS2_PLACEMENT_3D('',#477564,#388703,#388704); #337204=AXIS2_PLACEMENT_3D('',#477570,#388708,#388709); #337205=AXIS2_PLACEMENT_3D('',#477576,#388713,#388714); #337206=AXIS2_PLACEMENT_3D('',#477582,#388718,#388719); #337207=AXIS2_PLACEMENT_3D('',#477588,#388723,#388724); #337208=AXIS2_PLACEMENT_3D('',#477594,#388728,#388729); #337209=AXIS2_PLACEMENT_3D('',#477600,#388733,#388734); #337210=AXIS2_PLACEMENT_3D('',#477606,#388738,#388739); #337211=AXIS2_PLACEMENT_3D('',#477612,#388743,#388744); #337212=AXIS2_PLACEMENT_3D('',#477618,#388748,#388749); #337213=AXIS2_PLACEMENT_3D('',#477624,#388753,#388754); #337214=AXIS2_PLACEMENT_3D('',#477630,#388758,#388759); #337215=AXIS2_PLACEMENT_3D('',#477636,#388763,#388764); #337216=AXIS2_PLACEMENT_3D('',#477642,#388768,#388769); #337217=AXIS2_PLACEMENT_3D('',#477648,#388773,#388774); #337218=AXIS2_PLACEMENT_3D('',#477654,#388778,#388779); #337219=AXIS2_PLACEMENT_3D('',#477660,#388783,#388784); #337220=AXIS2_PLACEMENT_3D('',#477666,#388788,#388789); #337221=AXIS2_PLACEMENT_3D('',#477672,#388793,#388794); #337222=AXIS2_PLACEMENT_3D('',#477678,#388798,#388799); #337223=AXIS2_PLACEMENT_3D('',#477684,#388803,#388804); #337224=AXIS2_PLACEMENT_3D('',#477690,#388808,#388809); #337225=AXIS2_PLACEMENT_3D('',#477696,#388813,#388814); #337226=AXIS2_PLACEMENT_3D('',#477702,#388818,#388819); #337227=AXIS2_PLACEMENT_3D('',#477705,#388822,#388823); #337228=AXIS2_PLACEMENT_3D('',#477706,#388824,#388825); #337229=AXIS2_PLACEMENT_3D('',#477707,#388826,#388827); #337230=AXIS2_PLACEMENT_3D('',#477709,#388828,#388829); #337231=AXIS2_PLACEMENT_3D('',#477712,#388831,#388832); #337232=AXIS2_PLACEMENT_3D('',#477713,#388833,#388834); #337233=AXIS2_PLACEMENT_3D('',#477722,#388839,#388840); #337234=AXIS2_PLACEMENT_3D('',#477724,#388841,#388842); #337235=AXIS2_PLACEMENT_3D('',#477726,#388843,#388844); #337236=AXIS2_PLACEMENT_3D('',#477728,#388846,#388847); #337237=AXIS2_PLACEMENT_3D('',#477729,#388848,#388849); #337238=AXIS2_PLACEMENT_3D('',#477730,#388850,#388851); #337239=AXIS2_PLACEMENT_3D('',#477731,#388852,#388853); #337240=AXIS2_PLACEMENT_3D('',#477732,#388854,#388855); #337241=AXIS2_PLACEMENT_3D('',#477733,#388856,#388857); #337242=AXIS2_PLACEMENT_3D('',#477735,#388858,#388859); #337243=AXIS2_PLACEMENT_3D('',#477738,#388861,#388862); #337244=AXIS2_PLACEMENT_3D('',#477739,#388863,#388864); #337245=AXIS2_PLACEMENT_3D('',#477748,#388869,#388870); #337246=AXIS2_PLACEMENT_3D('',#477750,#388871,#388872); #337247=AXIS2_PLACEMENT_3D('',#477752,#388873,#388874); #337248=AXIS2_PLACEMENT_3D('',#477754,#388876,#388877); #337249=AXIS2_PLACEMENT_3D('',#477755,#388878,#388879); #337250=AXIS2_PLACEMENT_3D('',#477756,#388880,#388881); #337251=AXIS2_PLACEMENT_3D('',#477757,#388882,#388883); #337252=AXIS2_PLACEMENT_3D('',#477758,#388884,#388885); #337253=AXIS2_PLACEMENT_3D('',#477759,#388886,#388887); #337254=AXIS2_PLACEMENT_3D('',#477768,#388892,#388893); #337255=AXIS2_PLACEMENT_3D('',#477770,#388894,#388895); #337256=AXIS2_PLACEMENT_3D('',#477772,#388896,#388897); #337257=AXIS2_PLACEMENT_3D('',#477774,#388899,#388900); #337258=AXIS2_PLACEMENT_3D('',#477780,#388904,#388905); #337259=AXIS2_PLACEMENT_3D('',#477781,#388906,#388907); #337260=AXIS2_PLACEMENT_3D('',#477782,#388908,#388909); #337261=AXIS2_PLACEMENT_3D('',#477783,#388910,#388911); #337262=AXIS2_PLACEMENT_3D('',#477792,#388916,#388917); #337263=AXIS2_PLACEMENT_3D('',#477798,#388921,#388922); #337264=AXIS2_PLACEMENT_3D('',#477804,#388926,#388927); #337265=AXIS2_PLACEMENT_3D('',#477810,#388931,#388932); #337266=AXIS2_PLACEMENT_3D('',#477816,#388936,#388937); #337267=AXIS2_PLACEMENT_3D('',#477822,#388941,#388942); #337268=AXIS2_PLACEMENT_3D('',#477828,#388946,#388947); #337269=AXIS2_PLACEMENT_3D('',#477834,#388951,#388952); #337270=AXIS2_PLACEMENT_3D('',#477840,#388956,#388957); #337271=AXIS2_PLACEMENT_3D('',#477846,#388961,#388962); #337272=AXIS2_PLACEMENT_3D('',#477852,#388966,#388967); #337273=AXIS2_PLACEMENT_3D('',#477858,#388971,#388972); #337274=AXIS2_PLACEMENT_3D('',#477864,#388976,#388977); #337275=AXIS2_PLACEMENT_3D('',#477870,#388981,#388982); #337276=AXIS2_PLACEMENT_3D('',#477876,#388986,#388987); #337277=AXIS2_PLACEMENT_3D('',#477882,#388991,#388992); #337278=AXIS2_PLACEMENT_3D('',#477888,#388996,#388997); #337279=AXIS2_PLACEMENT_3D('',#477894,#389001,#389002); #337280=AXIS2_PLACEMENT_3D('',#477900,#389006,#389007); #337281=AXIS2_PLACEMENT_3D('',#477906,#389011,#389012); #337282=AXIS2_PLACEMENT_3D('',#477912,#389016,#389017); #337283=AXIS2_PLACEMENT_3D('',#477918,#389021,#389022); #337284=AXIS2_PLACEMENT_3D('',#477924,#389026,#389027); #337285=AXIS2_PLACEMENT_3D('',#477930,#389031,#389032); #337286=AXIS2_PLACEMENT_3D('',#477936,#389036,#389037); #337287=AXIS2_PLACEMENT_3D('',#477942,#389041,#389042); #337288=AXIS2_PLACEMENT_3D('',#477948,#389046,#389047); #337289=AXIS2_PLACEMENT_3D('',#477954,#389051,#389052); #337290=AXIS2_PLACEMENT_3D('',#477960,#389056,#389057); #337291=AXIS2_PLACEMENT_3D('',#477966,#389061,#389062); #337292=AXIS2_PLACEMENT_3D('',#477972,#389066,#389067); #337293=AXIS2_PLACEMENT_3D('',#477978,#389071,#389072); #337294=AXIS2_PLACEMENT_3D('',#477984,#389076,#389077); #337295=AXIS2_PLACEMENT_3D('',#477990,#389081,#389082); #337296=AXIS2_PLACEMENT_3D('',#477996,#389086,#389087); #337297=AXIS2_PLACEMENT_3D('',#478002,#389091,#389092); #337298=AXIS2_PLACEMENT_3D('',#478008,#389096,#389097); #337299=AXIS2_PLACEMENT_3D('',#478014,#389101,#389102); #337300=AXIS2_PLACEMENT_3D('',#478020,#389106,#389107); #337301=AXIS2_PLACEMENT_3D('',#478026,#389111,#389112); #337302=AXIS2_PLACEMENT_3D('',#478032,#389116,#389117); #337303=AXIS2_PLACEMENT_3D('',#478038,#389121,#389122); #337304=AXIS2_PLACEMENT_3D('',#478044,#389126,#389127); #337305=AXIS2_PLACEMENT_3D('',#478050,#389131,#389132); #337306=AXIS2_PLACEMENT_3D('',#478056,#389136,#389137); #337307=AXIS2_PLACEMENT_3D('',#478062,#389141,#389142); #337308=AXIS2_PLACEMENT_3D('',#478068,#389146,#389147); #337309=AXIS2_PLACEMENT_3D('',#478074,#389151,#389152); #337310=AXIS2_PLACEMENT_3D('',#478080,#389156,#389157); #337311=AXIS2_PLACEMENT_3D('',#478086,#389161,#389162); #337312=AXIS2_PLACEMENT_3D('',#478092,#389166,#389167); #337313=AXIS2_PLACEMENT_3D('',#478098,#389171,#389172); #337314=AXIS2_PLACEMENT_3D('',#478104,#389176,#389177); #337315=AXIS2_PLACEMENT_3D('',#478110,#389181,#389182); #337316=AXIS2_PLACEMENT_3D('',#478116,#389186,#389187); #337317=AXIS2_PLACEMENT_3D('',#478119,#389190,#389191); #337318=AXIS2_PLACEMENT_3D('',#478120,#389192,#389193); #337319=AXIS2_PLACEMENT_3D('',#478121,#389194,#389195); #337320=AXIS2_PLACEMENT_3D('',#478123,#389196,#389197); #337321=AXIS2_PLACEMENT_3D('',#478126,#389199,#389200); #337322=AXIS2_PLACEMENT_3D('',#478127,#389201,#389202); #337323=AXIS2_PLACEMENT_3D('',#478136,#389207,#389208); #337324=AXIS2_PLACEMENT_3D('',#478138,#389209,#389210); #337325=AXIS2_PLACEMENT_3D('',#478140,#389211,#389212); #337326=AXIS2_PLACEMENT_3D('',#478142,#389214,#389215); #337327=AXIS2_PLACEMENT_3D('',#478143,#389216,#389217); #337328=AXIS2_PLACEMENT_3D('',#478144,#389218,#389219); #337329=AXIS2_PLACEMENT_3D('',#478145,#389220,#389221); #337330=AXIS2_PLACEMENT_3D('',#478146,#389222,#389223); #337331=AXIS2_PLACEMENT_3D('',#478147,#389224,#389225); #337332=AXIS2_PLACEMENT_3D('',#478149,#389226,#389227); #337333=AXIS2_PLACEMENT_3D('',#478152,#389229,#389230); #337334=AXIS2_PLACEMENT_3D('',#478153,#389231,#389232); #337335=AXIS2_PLACEMENT_3D('',#478162,#389237,#389238); #337336=AXIS2_PLACEMENT_3D('',#478164,#389239,#389240); #337337=AXIS2_PLACEMENT_3D('',#478166,#389241,#389242); #337338=AXIS2_PLACEMENT_3D('',#478168,#389244,#389245); #337339=AXIS2_PLACEMENT_3D('',#478169,#389246,#389247); #337340=AXIS2_PLACEMENT_3D('',#478170,#389248,#389249); #337341=AXIS2_PLACEMENT_3D('',#478171,#389250,#389251); #337342=AXIS2_PLACEMENT_3D('',#478172,#389252,#389253); #337343=AXIS2_PLACEMENT_3D('',#478173,#389254,#389255); #337344=AXIS2_PLACEMENT_3D('',#478175,#389256,#389257); #337345=AXIS2_PLACEMENT_3D('',#478178,#389259,#389260); #337346=AXIS2_PLACEMENT_3D('',#478179,#389261,#389262); #337347=AXIS2_PLACEMENT_3D('',#478188,#389267,#389268); #337348=AXIS2_PLACEMENT_3D('',#478190,#389269,#389270); #337349=AXIS2_PLACEMENT_3D('',#478192,#389271,#389272); #337350=AXIS2_PLACEMENT_3D('',#478194,#389274,#389275); #337351=AXIS2_PLACEMENT_3D('',#478195,#389276,#389277); #337352=AXIS2_PLACEMENT_3D('',#478196,#389278,#389279); #337353=AXIS2_PLACEMENT_3D('',#478197,#389280,#389281); #337354=AXIS2_PLACEMENT_3D('',#478198,#389282,#389283); #337355=AXIS2_PLACEMENT_3D('',#478199,#389284,#389285); #337356=AXIS2_PLACEMENT_3D('',#478201,#389286,#389287); #337357=AXIS2_PLACEMENT_3D('',#478204,#389289,#389290); #337358=AXIS2_PLACEMENT_3D('',#478205,#389291,#389292); #337359=AXIS2_PLACEMENT_3D('',#478214,#389297,#389298); #337360=AXIS2_PLACEMENT_3D('',#478220,#389302,#389303); #337361=AXIS2_PLACEMENT_3D('',#478226,#389307,#389308); #337362=AXIS2_PLACEMENT_3D('',#478229,#389311,#389312); #337363=AXIS2_PLACEMENT_3D('',#478230,#389313,#389314); #337364=AXIS2_PLACEMENT_3D('',#478231,#389315,#389316); #337365=AXIS2_PLACEMENT_3D('',#478233,#389317,#389318); #337366=AXIS2_PLACEMENT_3D('',#478236,#389320,#389321); #337367=AXIS2_PLACEMENT_3D('',#478237,#389322,#389323); #337368=AXIS2_PLACEMENT_3D('',#478246,#389328,#389329); #337369=AXIS2_PLACEMENT_3D('',#478248,#389330,#389331); #337370=AXIS2_PLACEMENT_3D('',#478250,#389332,#389333); #337371=AXIS2_PLACEMENT_3D('',#478252,#389335,#389336); #337372=AXIS2_PLACEMENT_3D('',#478253,#389337,#389338); #337373=AXIS2_PLACEMENT_3D('',#478254,#389339,#389340); #337374=AXIS2_PLACEMENT_3D('',#478255,#389341,#389342); #337375=AXIS2_PLACEMENT_3D('',#478256,#389343,#389344); #337376=AXIS2_PLACEMENT_3D('',#478257,#389345,#389346); #337377=AXIS2_PLACEMENT_3D('',#478266,#389351,#389352); #337378=AXIS2_PLACEMENT_3D('',#478272,#389356,#389357); #337379=AXIS2_PLACEMENT_3D('',#478278,#389361,#389362); #337380=AXIS2_PLACEMENT_3D('',#478281,#389365,#389366); #337381=AXIS2_PLACEMENT_3D('',#478282,#389367,#389368); #337382=AXIS2_PLACEMENT_3D('',#478283,#389369,#389370); #337383=AXIS2_PLACEMENT_3D('',#478292,#389375,#389376); #337384=AXIS2_PLACEMENT_3D('',#478298,#389380,#389381); #337385=AXIS2_PLACEMENT_3D('',#478304,#389385,#389386); #337386=AXIS2_PLACEMENT_3D('',#478307,#389389,#389390); #337387=AXIS2_PLACEMENT_3D('',#478308,#389391,#389392); #337388=AXIS2_PLACEMENT_3D('',#478309,#389393,#389394); #337389=AXIS2_PLACEMENT_3D('',#478318,#389399,#389400); #337390=AXIS2_PLACEMENT_3D('',#478324,#389404,#389405); #337391=AXIS2_PLACEMENT_3D('',#478330,#389409,#389410); #337392=AXIS2_PLACEMENT_3D('',#478333,#389413,#389414); #337393=AXIS2_PLACEMENT_3D('',#478334,#389415,#389416); #337394=AXIS2_PLACEMENT_3D('',#478335,#389417,#389418); #337395=AXIS2_PLACEMENT_3D('',#478344,#389423,#389424); #337396=AXIS2_PLACEMENT_3D('',#478350,#389428,#389429); #337397=AXIS2_PLACEMENT_3D('',#478356,#389433,#389434); #337398=AXIS2_PLACEMENT_3D('',#478359,#389437,#389438); #337399=AXIS2_PLACEMENT_3D('',#478360,#389439,#389440); #337400=AXIS2_PLACEMENT_3D('',#478361,#389441,#389442); #337401=AXIS2_PLACEMENT_3D('',#478370,#389447,#389448); #337402=AXIS2_PLACEMENT_3D('',#478376,#389452,#389453); #337403=AXIS2_PLACEMENT_3D('',#478382,#389457,#389458); #337404=AXIS2_PLACEMENT_3D('',#478385,#389461,#389462); #337405=AXIS2_PLACEMENT_3D('',#478386,#389463,#389464); #337406=AXIS2_PLACEMENT_3D('',#478387,#389465,#389466); #337407=AXIS2_PLACEMENT_3D('',#478396,#389471,#389472); #337408=AXIS2_PLACEMENT_3D('',#478402,#389476,#389477); #337409=AXIS2_PLACEMENT_3D('',#478408,#389481,#389482); #337410=AXIS2_PLACEMENT_3D('',#478411,#389485,#389486); #337411=AXIS2_PLACEMENT_3D('',#478412,#389487,#389488); #337412=AXIS2_PLACEMENT_3D('',#478413,#389489,#389490); #337413=AXIS2_PLACEMENT_3D('',#478422,#389495,#389496); #337414=AXIS2_PLACEMENT_3D('',#478428,#389500,#389501); #337415=AXIS2_PLACEMENT_3D('',#478434,#389505,#389506); #337416=AXIS2_PLACEMENT_3D('',#478437,#389509,#389510); #337417=AXIS2_PLACEMENT_3D('',#478438,#389511,#389512); #337418=AXIS2_PLACEMENT_3D('',#478439,#389513,#389514); #337419=AXIS2_PLACEMENT_3D('',#478448,#389519,#389520); #337420=AXIS2_PLACEMENT_3D('',#478454,#389524,#389525); #337421=AXIS2_PLACEMENT_3D('',#478460,#389529,#389530); #337422=AXIS2_PLACEMENT_3D('',#478463,#389533,#389534); #337423=AXIS2_PLACEMENT_3D('',#478464,#389535,#389536); #337424=AXIS2_PLACEMENT_3D('',#478465,#389537,#389538); #337425=AXIS2_PLACEMENT_3D('',#478474,#389543,#389544); #337426=AXIS2_PLACEMENT_3D('',#478480,#389548,#389549); #337427=AXIS2_PLACEMENT_3D('',#478486,#389553,#389554); #337428=AXIS2_PLACEMENT_3D('',#478489,#389557,#389558); #337429=AXIS2_PLACEMENT_3D('',#478490,#389559,#389560); #337430=AXIS2_PLACEMENT_3D('',#478491,#389561,#389562); #337431=AXIS2_PLACEMENT_3D('',#478500,#389567,#389568); #337432=AXIS2_PLACEMENT_3D('',#478506,#389572,#389573); #337433=AXIS2_PLACEMENT_3D('',#478512,#389577,#389578); #337434=AXIS2_PLACEMENT_3D('',#478515,#389581,#389582); #337435=AXIS2_PLACEMENT_3D('',#478516,#389583,#389584); #337436=AXIS2_PLACEMENT_3D('',#478517,#389585,#389586); #337437=AXIS2_PLACEMENT_3D('',#478526,#389591,#389592); #337438=AXIS2_PLACEMENT_3D('',#478532,#389596,#389597); #337439=AXIS2_PLACEMENT_3D('',#478538,#389601,#389602); #337440=AXIS2_PLACEMENT_3D('',#478541,#389605,#389606); #337441=AXIS2_PLACEMENT_3D('',#478542,#389607,#389608); #337442=AXIS2_PLACEMENT_3D('',#478543,#389609,#389610); #337443=AXIS2_PLACEMENT_3D('',#478552,#389615,#389616); #337444=AXIS2_PLACEMENT_3D('',#478558,#389620,#389621); #337445=AXIS2_PLACEMENT_3D('',#478564,#389625,#389626); #337446=AXIS2_PLACEMENT_3D('',#478567,#389629,#389630); #337447=AXIS2_PLACEMENT_3D('',#478568,#389631,#389632); #337448=AXIS2_PLACEMENT_3D('',#478569,#389633,#389634); #337449=AXIS2_PLACEMENT_3D('',#478578,#389639,#389640); #337450=AXIS2_PLACEMENT_3D('',#478584,#389644,#389645); #337451=AXIS2_PLACEMENT_3D('',#478590,#389649,#389650); #337452=AXIS2_PLACEMENT_3D('',#478593,#389653,#389654); #337453=AXIS2_PLACEMENT_3D('',#478594,#389655,#389656); #337454=AXIS2_PLACEMENT_3D('',#478595,#389657,#389658); #337455=AXIS2_PLACEMENT_3D('',#478597,#389659,#389660); #337456=AXIS2_PLACEMENT_3D('',#478600,#389662,#389663); #337457=AXIS2_PLACEMENT_3D('',#478601,#389664,#389665); #337458=AXIS2_PLACEMENT_3D('',#478603,#389666,#389667); #337459=AXIS2_PLACEMENT_3D('',#478606,#389669,#389670); #337460=AXIS2_PLACEMENT_3D('',#478607,#389671,#389672); #337461=AXIS2_PLACEMENT_3D('',#478609,#389673,#389674); #337462=AXIS2_PLACEMENT_3D('',#478612,#389676,#389677); #337463=AXIS2_PLACEMENT_3D('',#478613,#389678,#389679); #337464=AXIS2_PLACEMENT_3D('',#478615,#389680,#389681); #337465=AXIS2_PLACEMENT_3D('',#478618,#389683,#389684); #337466=AXIS2_PLACEMENT_3D('',#478619,#389685,#389686); #337467=AXIS2_PLACEMENT_3D('',#478621,#389687,#389688); #337468=AXIS2_PLACEMENT_3D('',#478624,#389690,#389691); #337469=AXIS2_PLACEMENT_3D('',#478625,#389692,#389693); #337470=AXIS2_PLACEMENT_3D('',#478627,#389694,#389695); #337471=AXIS2_PLACEMENT_3D('',#478630,#389697,#389698); #337472=AXIS2_PLACEMENT_3D('',#478631,#389699,#389700); #337473=AXIS2_PLACEMENT_3D('',#478640,#389705,#389706); #337474=AXIS2_PLACEMENT_3D('',#478646,#389710,#389711); #337475=AXIS2_PLACEMENT_3D('',#478652,#389715,#389716); #337476=AXIS2_PLACEMENT_3D('',#478655,#389719,#389720); #337477=AXIS2_PLACEMENT_3D('',#478656,#389721,#389722); #337478=AXIS2_PLACEMENT_3D('',#478657,#389723,#389724); #337479=AXIS2_PLACEMENT_3D('',#478666,#389729,#389730); #337480=AXIS2_PLACEMENT_3D('',#478672,#389734,#389735); #337481=AXIS2_PLACEMENT_3D('',#478678,#389739,#389740); #337482=AXIS2_PLACEMENT_3D('',#478681,#389743,#389744); #337483=AXIS2_PLACEMENT_3D('',#478682,#389745,#389746); #337484=AXIS2_PLACEMENT_3D('',#478683,#389747,#389748); #337485=AXIS2_PLACEMENT_3D('',#478692,#389753,#389754); #337486=AXIS2_PLACEMENT_3D('',#478698,#389758,#389759); #337487=AXIS2_PLACEMENT_3D('',#478704,#389763,#389764); #337488=AXIS2_PLACEMENT_3D('',#478707,#389767,#389768); #337489=AXIS2_PLACEMENT_3D('',#478708,#389769,#389770); #337490=AXIS2_PLACEMENT_3D('',#478709,#389771,#389772); #337491=AXIS2_PLACEMENT_3D('',#478718,#389777,#389778); #337492=AXIS2_PLACEMENT_3D('',#478724,#389782,#389783); #337493=AXIS2_PLACEMENT_3D('',#478730,#389787,#389788); #337494=AXIS2_PLACEMENT_3D('',#478733,#389791,#389792); #337495=AXIS2_PLACEMENT_3D('',#478734,#389793,#389794); #337496=AXIS2_PLACEMENT_3D('',#478735,#389795,#389796); #337497=AXIS2_PLACEMENT_3D('',#478744,#389801,#389802); #337498=AXIS2_PLACEMENT_3D('',#478750,#389806,#389807); #337499=AXIS2_PLACEMENT_3D('',#478756,#389811,#389812); #337500=AXIS2_PLACEMENT_3D('',#478759,#389815,#389816); #337501=AXIS2_PLACEMENT_3D('',#478760,#389817,#389818); #337502=AXIS2_PLACEMENT_3D('',#478761,#389819,#389820); #337503=AXIS2_PLACEMENT_3D('',#478770,#389825,#389826); #337504=AXIS2_PLACEMENT_3D('',#478776,#389830,#389831); #337505=AXIS2_PLACEMENT_3D('',#478782,#389835,#389836); #337506=AXIS2_PLACEMENT_3D('',#478785,#389839,#389840); #337507=AXIS2_PLACEMENT_3D('',#478786,#389841,#389842); #337508=AXIS2_PLACEMENT_3D('',#478787,#389843,#389844); #337509=AXIS2_PLACEMENT_3D('',#478796,#389849,#389850); #337510=AXIS2_PLACEMENT_3D('',#478802,#389854,#389855); #337511=AXIS2_PLACEMENT_3D('',#478808,#389859,#389860); #337512=AXIS2_PLACEMENT_3D('',#478811,#389863,#389864); #337513=AXIS2_PLACEMENT_3D('',#478812,#389865,#389866); #337514=AXIS2_PLACEMENT_3D('',#478813,#389867,#389868); #337515=AXIS2_PLACEMENT_3D('',#478822,#389873,#389874); #337516=AXIS2_PLACEMENT_3D('',#478828,#389878,#389879); #337517=AXIS2_PLACEMENT_3D('',#478834,#389883,#389884); #337518=AXIS2_PLACEMENT_3D('',#478837,#389887,#389888); #337519=AXIS2_PLACEMENT_3D('',#478838,#389889,#389890); #337520=AXIS2_PLACEMENT_3D('',#478839,#389891,#389892); #337521=AXIS2_PLACEMENT_3D('',#478848,#389897,#389898); #337522=AXIS2_PLACEMENT_3D('',#478854,#389902,#389903); #337523=AXIS2_PLACEMENT_3D('',#478860,#389907,#389908); #337524=AXIS2_PLACEMENT_3D('',#478863,#389911,#389912); #337525=AXIS2_PLACEMENT_3D('',#478864,#389913,#389914); #337526=AXIS2_PLACEMENT_3D('',#478865,#389915,#389916); #337527=AXIS2_PLACEMENT_3D('',#478874,#389921,#389922); #337528=AXIS2_PLACEMENT_3D('',#478880,#389926,#389927); #337529=AXIS2_PLACEMENT_3D('',#478886,#389931,#389932); #337530=AXIS2_PLACEMENT_3D('',#478889,#389935,#389936); #337531=AXIS2_PLACEMENT_3D('',#478890,#389937,#389938); #337532=AXIS2_PLACEMENT_3D('',#478891,#389939,#389940); #337533=AXIS2_PLACEMENT_3D('',#478900,#389945,#389946); #337534=AXIS2_PLACEMENT_3D('',#478906,#389950,#389951); #337535=AXIS2_PLACEMENT_3D('',#478912,#389955,#389956); #337536=AXIS2_PLACEMENT_3D('',#478915,#389959,#389960); #337537=AXIS2_PLACEMENT_3D('',#478916,#389961,#389962); #337538=AXIS2_PLACEMENT_3D('',#478917,#389963,#389964); #337539=AXIS2_PLACEMENT_3D('',#478926,#389969,#389970); #337540=AXIS2_PLACEMENT_3D('',#478932,#389974,#389975); #337541=AXIS2_PLACEMENT_3D('',#478938,#389979,#389980); #337542=AXIS2_PLACEMENT_3D('',#478941,#389983,#389984); #337543=AXIS2_PLACEMENT_3D('',#478942,#389985,#389986); #337544=AXIS2_PLACEMENT_3D('',#478943,#389987,#389988); #337545=AXIS2_PLACEMENT_3D('',#478952,#389993,#389994); #337546=AXIS2_PLACEMENT_3D('',#478958,#389998,#389999); #337547=AXIS2_PLACEMENT_3D('',#478964,#390003,#390004); #337548=AXIS2_PLACEMENT_3D('',#478967,#390007,#390008); #337549=AXIS2_PLACEMENT_3D('',#478968,#390009,#390010); #337550=AXIS2_PLACEMENT_3D('',#478969,#390011,#390012); #337551=AXIS2_PLACEMENT_3D('',#478978,#390017,#390018); #337552=AXIS2_PLACEMENT_3D('',#478984,#390022,#390023); #337553=AXIS2_PLACEMENT_3D('',#478990,#390027,#390028); #337554=AXIS2_PLACEMENT_3D('',#478993,#390031,#390032); #337555=AXIS2_PLACEMENT_3D('',#478994,#390033,#390034); #337556=AXIS2_PLACEMENT_3D('',#478995,#390035,#390036); #337557=AXIS2_PLACEMENT_3D('',#479004,#390041,#390042); #337558=AXIS2_PLACEMENT_3D('',#479010,#390046,#390047); #337559=AXIS2_PLACEMENT_3D('',#479016,#390051,#390052); #337560=AXIS2_PLACEMENT_3D('',#479019,#390055,#390056); #337561=AXIS2_PLACEMENT_3D('',#479020,#390057,#390058); #337562=AXIS2_PLACEMENT_3D('',#479021,#390059,#390060); #337563=AXIS2_PLACEMENT_3D('',#479030,#390065,#390066); #337564=AXIS2_PLACEMENT_3D('',#479036,#390070,#390071); #337565=AXIS2_PLACEMENT_3D('',#479042,#390075,#390076); #337566=AXIS2_PLACEMENT_3D('',#479045,#390079,#390080); #337567=AXIS2_PLACEMENT_3D('',#479046,#390081,#390082); #337568=AXIS2_PLACEMENT_3D('',#479047,#390083,#390084); #337569=AXIS2_PLACEMENT_3D('',#479056,#390089,#390090); #337570=AXIS2_PLACEMENT_3D('',#479062,#390094,#390095); #337571=AXIS2_PLACEMENT_3D('',#479068,#390099,#390100); #337572=AXIS2_PLACEMENT_3D('',#479071,#390103,#390104); #337573=AXIS2_PLACEMENT_3D('',#479072,#390105,#390106); #337574=AXIS2_PLACEMENT_3D('',#479073,#390107,#390108); #337575=AXIS2_PLACEMENT_3D('',#479082,#390113,#390114); #337576=AXIS2_PLACEMENT_3D('',#479088,#390118,#390119); #337577=AXIS2_PLACEMENT_3D('',#479094,#390123,#390124); #337578=AXIS2_PLACEMENT_3D('',#479097,#390127,#390128); #337579=AXIS2_PLACEMENT_3D('',#479098,#390129,#390130); #337580=AXIS2_PLACEMENT_3D('',#479099,#390131,#390132); #337581=AXIS2_PLACEMENT_3D('',#479108,#390137,#390138); #337582=AXIS2_PLACEMENT_3D('',#479114,#390142,#390143); #337583=AXIS2_PLACEMENT_3D('',#479120,#390147,#390148); #337584=AXIS2_PLACEMENT_3D('',#479123,#390151,#390152); #337585=AXIS2_PLACEMENT_3D('',#479124,#390153,#390154); #337586=AXIS2_PLACEMENT_3D('',#479125,#390155,#390156); #337587=AXIS2_PLACEMENT_3D('',#479134,#390161,#390162); #337588=AXIS2_PLACEMENT_3D('',#479140,#390166,#390167); #337589=AXIS2_PLACEMENT_3D('',#479146,#390171,#390172); #337590=AXIS2_PLACEMENT_3D('',#479149,#390175,#390176); #337591=AXIS2_PLACEMENT_3D('',#479150,#390177,#390178); #337592=AXIS2_PLACEMENT_3D('',#479151,#390179,#390180); #337593=AXIS2_PLACEMENT_3D('',#479160,#390185,#390186); #337594=AXIS2_PLACEMENT_3D('',#479166,#390190,#390191); #337595=AXIS2_PLACEMENT_3D('',#479172,#390195,#390196); #337596=AXIS2_PLACEMENT_3D('',#479175,#390199,#390200); #337597=AXIS2_PLACEMENT_3D('',#479176,#390201,#390202); #337598=AXIS2_PLACEMENT_3D('',#479177,#390203,#390204); #337599=AXIS2_PLACEMENT_3D('',#479186,#390209,#390210); #337600=AXIS2_PLACEMENT_3D('',#479192,#390214,#390215); #337601=AXIS2_PLACEMENT_3D('',#479198,#390219,#390220); #337602=AXIS2_PLACEMENT_3D('',#479201,#390223,#390224); #337603=AXIS2_PLACEMENT_3D('',#479202,#390225,#390226); #337604=AXIS2_PLACEMENT_3D('',#479203,#390227,#390228); #337605=AXIS2_PLACEMENT_3D('',#479212,#390233,#390234); #337606=AXIS2_PLACEMENT_3D('',#479218,#390238,#390239); #337607=AXIS2_PLACEMENT_3D('',#479224,#390243,#390244); #337608=AXIS2_PLACEMENT_3D('',#479227,#390247,#390248); #337609=AXIS2_PLACEMENT_3D('',#479228,#390249,#390250); #337610=AXIS2_PLACEMENT_3D('',#479229,#390251,#390252); #337611=AXIS2_PLACEMENT_3D('',#479238,#390257,#390258); #337612=AXIS2_PLACEMENT_3D('',#479244,#390262,#390263); #337613=AXIS2_PLACEMENT_3D('',#479250,#390267,#390268); #337614=AXIS2_PLACEMENT_3D('',#479253,#390271,#390272); #337615=AXIS2_PLACEMENT_3D('',#479254,#390273,#390274); #337616=AXIS2_PLACEMENT_3D('',#479255,#390275,#390276); #337617=AXIS2_PLACEMENT_3D('',#479264,#390281,#390282); #337618=AXIS2_PLACEMENT_3D('',#479270,#390286,#390287); #337619=AXIS2_PLACEMENT_3D('',#479276,#390291,#390292); #337620=AXIS2_PLACEMENT_3D('',#479279,#390295,#390296); #337621=AXIS2_PLACEMENT_3D('',#479280,#390297,#390298); #337622=AXIS2_PLACEMENT_3D('',#479281,#390299,#390300); #337623=AXIS2_PLACEMENT_3D('',#479290,#390305,#390306); #337624=AXIS2_PLACEMENT_3D('',#479296,#390310,#390311); #337625=AXIS2_PLACEMENT_3D('',#479302,#390315,#390316); #337626=AXIS2_PLACEMENT_3D('',#479305,#390319,#390320); #337627=AXIS2_PLACEMENT_3D('',#479306,#390321,#390322); #337628=AXIS2_PLACEMENT_3D('',#479307,#390323,#390324); #337629=AXIS2_PLACEMENT_3D('',#479316,#390329,#390330); #337630=AXIS2_PLACEMENT_3D('',#479322,#390334,#390335); #337631=AXIS2_PLACEMENT_3D('',#479328,#390339,#390340); #337632=AXIS2_PLACEMENT_3D('',#479331,#390343,#390344); #337633=AXIS2_PLACEMENT_3D('',#479332,#390345,#390346); #337634=AXIS2_PLACEMENT_3D('',#479333,#390347,#390348); #337635=AXIS2_PLACEMENT_3D('',#479335,#390349,#390350); #337636=AXIS2_PLACEMENT_3D('',#479338,#390352,#390353); #337637=AXIS2_PLACEMENT_3D('',#479339,#390354,#390355); #337638=AXIS2_PLACEMENT_3D('',#479341,#390356,#390357); #337639=AXIS2_PLACEMENT_3D('',#479344,#390359,#390360); #337640=AXIS2_PLACEMENT_3D('',#479345,#390361,#390362); #337641=AXIS2_PLACEMENT_3D('',#479347,#390363,#390364); #337642=AXIS2_PLACEMENT_3D('',#479350,#390366,#390367); #337643=AXIS2_PLACEMENT_3D('',#479351,#390368,#390369); #337644=AXIS2_PLACEMENT_3D('',#479353,#390370,#390371); #337645=AXIS2_PLACEMENT_3D('',#479356,#390373,#390374); #337646=AXIS2_PLACEMENT_3D('',#479357,#390375,#390376); #337647=AXIS2_PLACEMENT_3D('',#479359,#390377,#390378); #337648=AXIS2_PLACEMENT_3D('',#479362,#390380,#390381); #337649=AXIS2_PLACEMENT_3D('',#479363,#390382,#390383); #337650=AXIS2_PLACEMENT_3D('',#479365,#390384,#390385); #337651=AXIS2_PLACEMENT_3D('',#479368,#390387,#390388); #337652=AXIS2_PLACEMENT_3D('',#479369,#390389,#390390); #337653=AXIS2_PLACEMENT_3D('',#479378,#390395,#390396); #337654=AXIS2_PLACEMENT_3D('',#479384,#390400,#390401); #337655=AXIS2_PLACEMENT_3D('',#479390,#390405,#390406); #337656=AXIS2_PLACEMENT_3D('',#479393,#390409,#390410); #337657=AXIS2_PLACEMENT_3D('',#479394,#390411,#390412); #337658=AXIS2_PLACEMENT_3D('',#479395,#390413,#390414); #337659=AXIS2_PLACEMENT_3D('',#479404,#390419,#390420); #337660=AXIS2_PLACEMENT_3D('',#479410,#390424,#390425); #337661=AXIS2_PLACEMENT_3D('',#479416,#390429,#390430); #337662=AXIS2_PLACEMENT_3D('',#479419,#390433,#390434); #337663=AXIS2_PLACEMENT_3D('',#479420,#390435,#390436); #337664=AXIS2_PLACEMENT_3D('',#479421,#390437,#390438); #337665=AXIS2_PLACEMENT_3D('',#479430,#390443,#390444); #337666=AXIS2_PLACEMENT_3D('',#479436,#390448,#390449); #337667=AXIS2_PLACEMENT_3D('',#479442,#390453,#390454); #337668=AXIS2_PLACEMENT_3D('',#479445,#390457,#390458); #337669=AXIS2_PLACEMENT_3D('',#479446,#390459,#390460); #337670=AXIS2_PLACEMENT_3D('',#479447,#390461,#390462); #337671=AXIS2_PLACEMENT_3D('',#479456,#390467,#390468); #337672=AXIS2_PLACEMENT_3D('',#479462,#390472,#390473); #337673=AXIS2_PLACEMENT_3D('',#479468,#390477,#390478); #337674=AXIS2_PLACEMENT_3D('',#479471,#390481,#390482); #337675=AXIS2_PLACEMENT_3D('',#479472,#390483,#390484); #337676=AXIS2_PLACEMENT_3D('',#479473,#390485,#390486); #337677=AXIS2_PLACEMENT_3D('',#479482,#390491,#390492); #337678=AXIS2_PLACEMENT_3D('',#479488,#390496,#390497); #337679=AXIS2_PLACEMENT_3D('',#479494,#390501,#390502); #337680=AXIS2_PLACEMENT_3D('',#479497,#390505,#390506); #337681=AXIS2_PLACEMENT_3D('',#479498,#390507,#390508); #337682=AXIS2_PLACEMENT_3D('',#479499,#390509,#390510); #337683=AXIS2_PLACEMENT_3D('',#479508,#390515,#390516); #337684=AXIS2_PLACEMENT_3D('',#479514,#390520,#390521); #337685=AXIS2_PLACEMENT_3D('',#479520,#390525,#390526); #337686=AXIS2_PLACEMENT_3D('',#479523,#390529,#390530); #337687=AXIS2_PLACEMENT_3D('',#479524,#390531,#390532); #337688=AXIS2_PLACEMENT_3D('',#479525,#390533,#390534); #337689=AXIS2_PLACEMENT_3D('',#479534,#390539,#390540); #337690=AXIS2_PLACEMENT_3D('',#479540,#390544,#390545); #337691=AXIS2_PLACEMENT_3D('',#479546,#390549,#390550); #337692=AXIS2_PLACEMENT_3D('',#479549,#390553,#390554); #337693=AXIS2_PLACEMENT_3D('',#479550,#390555,#390556); #337694=AXIS2_PLACEMENT_3D('',#479551,#390557,#390558); #337695=AXIS2_PLACEMENT_3D('',#479553,#390559,#390560); #337696=AXIS2_PLACEMENT_3D('',#479556,#390562,#390563); #337697=AXIS2_PLACEMENT_3D('',#479557,#390564,#390565); #337698=AXIS2_PLACEMENT_3D('',#479559,#390566,#390567); #337699=AXIS2_PLACEMENT_3D('',#479562,#390569,#390570); #337700=AXIS2_PLACEMENT_3D('',#479563,#390571,#390572); #337701=AXIS2_PLACEMENT_3D('',#479565,#390573,#390574); #337702=AXIS2_PLACEMENT_3D('',#479568,#390576,#390577); #337703=AXIS2_PLACEMENT_3D('',#479569,#390578,#390579); #337704=AXIS2_PLACEMENT_3D('',#479571,#390580,#390581); #337705=AXIS2_PLACEMENT_3D('',#479574,#390583,#390584); #337706=AXIS2_PLACEMENT_3D('',#479575,#390585,#390586); #337707=AXIS2_PLACEMENT_3D('',#479577,#390587,#390588); #337708=AXIS2_PLACEMENT_3D('',#479580,#390590,#390591); #337709=AXIS2_PLACEMENT_3D('',#479581,#390592,#390593); #337710=AXIS2_PLACEMENT_3D('',#479583,#390594,#390595); #337711=AXIS2_PLACEMENT_3D('',#479586,#390597,#390598); #337712=AXIS2_PLACEMENT_3D('',#479587,#390599,#390600); #337713=AXIS2_PLACEMENT_3D('',#479596,#390605,#390606); #337714=AXIS2_PLACEMENT_3D('',#479602,#390610,#390611); #337715=AXIS2_PLACEMENT_3D('',#479608,#390615,#390616); #337716=AXIS2_PLACEMENT_3D('',#479611,#390619,#390620); #337717=AXIS2_PLACEMENT_3D('',#479612,#390621,#390622); #337718=AXIS2_PLACEMENT_3D('',#479613,#390623,#390624); #337719=AXIS2_PLACEMENT_3D('',#479622,#390629,#390630); #337720=AXIS2_PLACEMENT_3D('',#479628,#390634,#390635); #337721=AXIS2_PLACEMENT_3D('',#479634,#390639,#390640); #337722=AXIS2_PLACEMENT_3D('',#479637,#390643,#390644); #337723=AXIS2_PLACEMENT_3D('',#479638,#390645,#390646); #337724=AXIS2_PLACEMENT_3D('',#479639,#390647,#390648); #337725=AXIS2_PLACEMENT_3D('',#479648,#390653,#390654); #337726=AXIS2_PLACEMENT_3D('',#479654,#390658,#390659); #337727=AXIS2_PLACEMENT_3D('',#479660,#390663,#390664); #337728=AXIS2_PLACEMENT_3D('',#479663,#390667,#390668); #337729=AXIS2_PLACEMENT_3D('',#479664,#390669,#390670); #337730=AXIS2_PLACEMENT_3D('',#479665,#390671,#390672); #337731=AXIS2_PLACEMENT_3D('',#479667,#390673,#390674); #337732=AXIS2_PLACEMENT_3D('',#479670,#390676,#390677); #337733=AXIS2_PLACEMENT_3D('',#479671,#390678,#390679); #337734=AXIS2_PLACEMENT_3D('',#479673,#390680,#390681); #337735=AXIS2_PLACEMENT_3D('',#479676,#390683,#390684); #337736=AXIS2_PLACEMENT_3D('',#479677,#390685,#390686); #337737=AXIS2_PLACEMENT_3D('',#479679,#390687,#390688); #337738=AXIS2_PLACEMENT_3D('',#479682,#390690,#390691); #337739=AXIS2_PLACEMENT_3D('',#479683,#390692,#390693); #337740=AXIS2_PLACEMENT_3D('',#479685,#390694,#390695); #337741=AXIS2_PLACEMENT_3D('',#479688,#390697,#390698); #337742=AXIS2_PLACEMENT_3D('',#479689,#390699,#390700); #337743=AXIS2_PLACEMENT_3D('',#479691,#390701,#390702); #337744=AXIS2_PLACEMENT_3D('',#479694,#390704,#390705); #337745=AXIS2_PLACEMENT_3D('',#479695,#390706,#390707); #337746=AXIS2_PLACEMENT_3D('',#479697,#390708,#390709); #337747=AXIS2_PLACEMENT_3D('',#479700,#390711,#390712); #337748=AXIS2_PLACEMENT_3D('',#479701,#390713,#390714); #337749=AXIS2_PLACEMENT_3D('',#479703,#390715,#390716); #337750=AXIS2_PLACEMENT_3D('',#479706,#390718,#390719); #337751=AXIS2_PLACEMENT_3D('',#479707,#390720,#390721); #337752=AXIS2_PLACEMENT_3D('',#479709,#390722,#390723); #337753=AXIS2_PLACEMENT_3D('',#479712,#390725,#390726); #337754=AXIS2_PLACEMENT_3D('',#479713,#390727,#390728); #337755=AXIS2_PLACEMENT_3D('',#479715,#390729,#390730); #337756=AXIS2_PLACEMENT_3D('',#479718,#390732,#390733); #337757=AXIS2_PLACEMENT_3D('',#479719,#390734,#390735); #337758=AXIS2_PLACEMENT_3D('',#479721,#390736,#390737); #337759=AXIS2_PLACEMENT_3D('',#479724,#390739,#390740); #337760=AXIS2_PLACEMENT_3D('',#479725,#390741,#390742); #337761=AXIS2_PLACEMENT_3D('',#479727,#390743,#390744); #337762=AXIS2_PLACEMENT_3D('',#479730,#390746,#390747); #337763=AXIS2_PLACEMENT_3D('',#479731,#390748,#390749); #337764=AXIS2_PLACEMENT_3D('',#479733,#390750,#390751); #337765=AXIS2_PLACEMENT_3D('',#479736,#390753,#390754); #337766=AXIS2_PLACEMENT_3D('',#479737,#390755,#390756); #337767=AXIS2_PLACEMENT_3D('',#479739,#390757,#390758); #337768=AXIS2_PLACEMENT_3D('',#479742,#390760,#390761); #337769=AXIS2_PLACEMENT_3D('',#479743,#390762,#390763); #337770=AXIS2_PLACEMENT_3D('',#479745,#390764,#390765); #337771=AXIS2_PLACEMENT_3D('',#479748,#390767,#390768); #337772=AXIS2_PLACEMENT_3D('',#479749,#390769,#390770); #337773=AXIS2_PLACEMENT_3D('',#479751,#390771,#390772); #337774=AXIS2_PLACEMENT_3D('',#479754,#390774,#390775); #337775=AXIS2_PLACEMENT_3D('',#479755,#390776,#390777); #337776=AXIS2_PLACEMENT_3D('',#479757,#390778,#390779); #337777=AXIS2_PLACEMENT_3D('',#479760,#390781,#390782); #337778=AXIS2_PLACEMENT_3D('',#479761,#390783,#390784); #337779=AXIS2_PLACEMENT_3D('',#479763,#390785,#390786); #337780=AXIS2_PLACEMENT_3D('',#479766,#390788,#390789); #337781=AXIS2_PLACEMENT_3D('',#479767,#390790,#390791); #337782=AXIS2_PLACEMENT_3D('',#479769,#390792,#390793); #337783=AXIS2_PLACEMENT_3D('',#479772,#390795,#390796); #337784=AXIS2_PLACEMENT_3D('',#479773,#390797,#390798); #337785=AXIS2_PLACEMENT_3D('',#479775,#390799,#390800); #337786=AXIS2_PLACEMENT_3D('',#479778,#390802,#390803); #337787=AXIS2_PLACEMENT_3D('',#479779,#390804,#390805); #337788=AXIS2_PLACEMENT_3D('',#479781,#390806,#390807); #337789=AXIS2_PLACEMENT_3D('',#479784,#390809,#390810); #337790=AXIS2_PLACEMENT_3D('',#479785,#390811,#390812); #337791=AXIS2_PLACEMENT_3D('',#479787,#390813,#390814); #337792=AXIS2_PLACEMENT_3D('',#479790,#390816,#390817); #337793=AXIS2_PLACEMENT_3D('',#479791,#390818,#390819); #337794=AXIS2_PLACEMENT_3D('',#479793,#390820,#390821); #337795=AXIS2_PLACEMENT_3D('',#479796,#390823,#390824); #337796=AXIS2_PLACEMENT_3D('',#479797,#390825,#390826); #337797=AXIS2_PLACEMENT_3D('',#479799,#390827,#390828); #337798=AXIS2_PLACEMENT_3D('',#479802,#390830,#390831); #337799=AXIS2_PLACEMENT_3D('',#479803,#390832,#390833); #337800=AXIS2_PLACEMENT_3D('',#479805,#390834,#390835); #337801=AXIS2_PLACEMENT_3D('',#479808,#390837,#390838); #337802=AXIS2_PLACEMENT_3D('',#479809,#390839,#390840); #337803=AXIS2_PLACEMENT_3D('',#479811,#390841,#390842); #337804=AXIS2_PLACEMENT_3D('',#479814,#390844,#390845); #337805=AXIS2_PLACEMENT_3D('',#479815,#390846,#390847); #337806=AXIS2_PLACEMENT_3D('',#479817,#390848,#390849); #337807=AXIS2_PLACEMENT_3D('',#479820,#390851,#390852); #337808=AXIS2_PLACEMENT_3D('',#479821,#390853,#390854); #337809=AXIS2_PLACEMENT_3D('',#479823,#390855,#390856); #337810=AXIS2_PLACEMENT_3D('',#479826,#390858,#390859); #337811=AXIS2_PLACEMENT_3D('',#479827,#390860,#390861); #337812=AXIS2_PLACEMENT_3D('',#479829,#390862,#390863); #337813=AXIS2_PLACEMENT_3D('',#479832,#390865,#390866); #337814=AXIS2_PLACEMENT_3D('',#479833,#390867,#390868); #337815=AXIS2_PLACEMENT_3D('',#479835,#390869,#390870); #337816=AXIS2_PLACEMENT_3D('',#479838,#390872,#390873); #337817=AXIS2_PLACEMENT_3D('',#479839,#390874,#390875); #337818=AXIS2_PLACEMENT_3D('',#479841,#390876,#390877); #337819=AXIS2_PLACEMENT_3D('',#479844,#390879,#390880); #337820=AXIS2_PLACEMENT_3D('',#479845,#390881,#390882); #337821=AXIS2_PLACEMENT_3D('',#479847,#390883,#390884); #337822=AXIS2_PLACEMENT_3D('',#479850,#390886,#390887); #337823=AXIS2_PLACEMENT_3D('',#479851,#390888,#390889); #337824=AXIS2_PLACEMENT_3D('',#479853,#390890,#390891); #337825=AXIS2_PLACEMENT_3D('',#479856,#390893,#390894); #337826=AXIS2_PLACEMENT_3D('',#479857,#390895,#390896); #337827=AXIS2_PLACEMENT_3D('',#479859,#390897,#390898); #337828=AXIS2_PLACEMENT_3D('',#479862,#390900,#390901); #337829=AXIS2_PLACEMENT_3D('',#479863,#390902,#390903); #337830=AXIS2_PLACEMENT_3D('',#479865,#390904,#390905); #337831=AXIS2_PLACEMENT_3D('',#479868,#390907,#390908); #337832=AXIS2_PLACEMENT_3D('',#479869,#390909,#390910); #337833=AXIS2_PLACEMENT_3D('',#479871,#390911,#390912); #337834=AXIS2_PLACEMENT_3D('',#479874,#390914,#390915); #337835=AXIS2_PLACEMENT_3D('',#479875,#390916,#390917); #337836=AXIS2_PLACEMENT_3D('',#479877,#390918,#390919); #337837=AXIS2_PLACEMENT_3D('',#479880,#390921,#390922); #337838=AXIS2_PLACEMENT_3D('',#479881,#390923,#390924); #337839=AXIS2_PLACEMENT_3D('',#479883,#390925,#390926); #337840=AXIS2_PLACEMENT_3D('',#479886,#390928,#390929); #337841=AXIS2_PLACEMENT_3D('',#479887,#390930,#390931); #337842=AXIS2_PLACEMENT_3D('',#479889,#390932,#390933); #337843=AXIS2_PLACEMENT_3D('',#479892,#390935,#390936); #337844=AXIS2_PLACEMENT_3D('',#479893,#390937,#390938); #337845=AXIS2_PLACEMENT_3D('',#479895,#390939,#390940); #337846=AXIS2_PLACEMENT_3D('',#479898,#390942,#390943); #337847=AXIS2_PLACEMENT_3D('',#479899,#390944,#390945); #337848=AXIS2_PLACEMENT_3D('',#479901,#390946,#390947); #337849=AXIS2_PLACEMENT_3D('',#479904,#390949,#390950); #337850=AXIS2_PLACEMENT_3D('',#479905,#390951,#390952); #337851=AXIS2_PLACEMENT_3D('',#479907,#390953,#390954); #337852=AXIS2_PLACEMENT_3D('',#479910,#390956,#390957); #337853=AXIS2_PLACEMENT_3D('',#479911,#390958,#390959); #337854=AXIS2_PLACEMENT_3D('',#479913,#390960,#390961); #337855=AXIS2_PLACEMENT_3D('',#479916,#390963,#390964); #337856=AXIS2_PLACEMENT_3D('',#479917,#390965,#390966); #337857=AXIS2_PLACEMENT_3D('',#479919,#390967,#390968); #337858=AXIS2_PLACEMENT_3D('',#479922,#390970,#390971); #337859=AXIS2_PLACEMENT_3D('',#479923,#390972,#390973); #337860=AXIS2_PLACEMENT_3D('',#479925,#390974,#390975); #337861=AXIS2_PLACEMENT_3D('',#479928,#390977,#390978); #337862=AXIS2_PLACEMENT_3D('',#479929,#390979,#390980); #337863=AXIS2_PLACEMENT_3D('',#479931,#390981,#390982); #337864=AXIS2_PLACEMENT_3D('',#479934,#390984,#390985); #337865=AXIS2_PLACEMENT_3D('',#479935,#390986,#390987); #337866=AXIS2_PLACEMENT_3D('',#479937,#390988,#390989); #337867=AXIS2_PLACEMENT_3D('',#479940,#390991,#390992); #337868=AXIS2_PLACEMENT_3D('',#479941,#390993,#390994); #337869=AXIS2_PLACEMENT_3D('',#479943,#390995,#390996); #337870=AXIS2_PLACEMENT_3D('',#479946,#390998,#390999); #337871=AXIS2_PLACEMENT_3D('',#479947,#391000,#391001); #337872=AXIS2_PLACEMENT_3D('',#479949,#391002,#391003); #337873=AXIS2_PLACEMENT_3D('',#479952,#391005,#391006); #337874=AXIS2_PLACEMENT_3D('',#479953,#391007,#391008); #337875=AXIS2_PLACEMENT_3D('',#479955,#391009,#391010); #337876=AXIS2_PLACEMENT_3D('',#479958,#391012,#391013); #337877=AXIS2_PLACEMENT_3D('',#479959,#391014,#391015); #337878=AXIS2_PLACEMENT_3D('',#479968,#391020,#391021); #337879=AXIS2_PLACEMENT_3D('',#479974,#391025,#391026); #337880=AXIS2_PLACEMENT_3D('',#479980,#391030,#391031); #337881=AXIS2_PLACEMENT_3D('',#479983,#391034,#391035); #337882=AXIS2_PLACEMENT_3D('',#479984,#391036,#391037); #337883=AXIS2_PLACEMENT_3D('',#479985,#391038,#391039); #337884=AXIS2_PLACEMENT_3D('',#479994,#391044,#391045); #337885=AXIS2_PLACEMENT_3D('',#480000,#391049,#391050); #337886=AXIS2_PLACEMENT_3D('',#480006,#391054,#391055); #337887=AXIS2_PLACEMENT_3D('',#480009,#391058,#391059); #337888=AXIS2_PLACEMENT_3D('',#480010,#391060,#391061); #337889=AXIS2_PLACEMENT_3D('',#480011,#391062,#391063); #337890=AXIS2_PLACEMENT_3D('',#480020,#391068,#391069); #337891=AXIS2_PLACEMENT_3D('',#480026,#391073,#391074); #337892=AXIS2_PLACEMENT_3D('',#480032,#391078,#391079); #337893=AXIS2_PLACEMENT_3D('',#480035,#391082,#391083); #337894=AXIS2_PLACEMENT_3D('',#480036,#391084,#391085); #337895=AXIS2_PLACEMENT_3D('',#480037,#391086,#391087); #337896=AXIS2_PLACEMENT_3D('',#480046,#391092,#391093); #337897=AXIS2_PLACEMENT_3D('',#480052,#391097,#391098); #337898=AXIS2_PLACEMENT_3D('',#480058,#391102,#391103); #337899=AXIS2_PLACEMENT_3D('',#480061,#391106,#391107); #337900=AXIS2_PLACEMENT_3D('',#480062,#391108,#391109); #337901=AXIS2_PLACEMENT_3D('',#480063,#391110,#391111); #337902=AXIS2_PLACEMENT_3D('',#480072,#391116,#391117); #337903=AXIS2_PLACEMENT_3D('',#480078,#391121,#391122); #337904=AXIS2_PLACEMENT_3D('',#480084,#391126,#391127); #337905=AXIS2_PLACEMENT_3D('',#480087,#391130,#391131); #337906=AXIS2_PLACEMENT_3D('',#480088,#391132,#391133); #337907=AXIS2_PLACEMENT_3D('',#480089,#391134,#391135); #337908=AXIS2_PLACEMENT_3D('',#480098,#391140,#391141); #337909=AXIS2_PLACEMENT_3D('',#480104,#391145,#391146); #337910=AXIS2_PLACEMENT_3D('',#480110,#391150,#391151); #337911=AXIS2_PLACEMENT_3D('',#480113,#391154,#391155); #337912=AXIS2_PLACEMENT_3D('',#480114,#391156,#391157); #337913=AXIS2_PLACEMENT_3D('',#480115,#391158,#391159); #337914=AXIS2_PLACEMENT_3D('',#480124,#391164,#391165); #337915=AXIS2_PLACEMENT_3D('',#480130,#391169,#391170); #337916=AXIS2_PLACEMENT_3D('',#480136,#391174,#391175); #337917=AXIS2_PLACEMENT_3D('',#480139,#391178,#391179); #337918=AXIS2_PLACEMENT_3D('',#480140,#391180,#391181); #337919=AXIS2_PLACEMENT_3D('',#480141,#391182,#391183); #337920=AXIS2_PLACEMENT_3D('',#480150,#391188,#391189); #337921=AXIS2_PLACEMENT_3D('',#480156,#391193,#391194); #337922=AXIS2_PLACEMENT_3D('',#480162,#391198,#391199); #337923=AXIS2_PLACEMENT_3D('',#480165,#391202,#391203); #337924=AXIS2_PLACEMENT_3D('',#480166,#391204,#391205); #337925=AXIS2_PLACEMENT_3D('',#480167,#391206,#391207); #337926=AXIS2_PLACEMENT_3D('',#480176,#391212,#391213); #337927=AXIS2_PLACEMENT_3D('',#480182,#391217,#391218); #337928=AXIS2_PLACEMENT_3D('',#480188,#391222,#391223); #337929=AXIS2_PLACEMENT_3D('',#480191,#391226,#391227); #337930=AXIS2_PLACEMENT_3D('',#480192,#391228,#391229); #337931=AXIS2_PLACEMENT_3D('',#480193,#391230,#391231); #337932=AXIS2_PLACEMENT_3D('',#480202,#391236,#391237); #337933=AXIS2_PLACEMENT_3D('',#480208,#391241,#391242); #337934=AXIS2_PLACEMENT_3D('',#480214,#391246,#391247); #337935=AXIS2_PLACEMENT_3D('',#480217,#391250,#391251); #337936=AXIS2_PLACEMENT_3D('',#480218,#391252,#391253); #337937=AXIS2_PLACEMENT_3D('',#480219,#391254,#391255); #337938=AXIS2_PLACEMENT_3D('',#480228,#391260,#391261); #337939=AXIS2_PLACEMENT_3D('',#480234,#391265,#391266); #337940=AXIS2_PLACEMENT_3D('',#480240,#391270,#391271); #337941=AXIS2_PLACEMENT_3D('',#480243,#391274,#391275); #337942=AXIS2_PLACEMENT_3D('',#480244,#391276,#391277); #337943=AXIS2_PLACEMENT_3D('',#480245,#391278,#391279); #337944=AXIS2_PLACEMENT_3D('',#480254,#391284,#391285); #337945=AXIS2_PLACEMENT_3D('',#480260,#391289,#391290); #337946=AXIS2_PLACEMENT_3D('',#480266,#391294,#391295); #337947=AXIS2_PLACEMENT_3D('',#480269,#391298,#391299); #337948=AXIS2_PLACEMENT_3D('',#480270,#391300,#391301); #337949=AXIS2_PLACEMENT_3D('',#480271,#391302,#391303); #337950=AXIS2_PLACEMENT_3D('',#480280,#391308,#391309); #337951=AXIS2_PLACEMENT_3D('',#480286,#391313,#391314); #337952=AXIS2_PLACEMENT_3D('',#480292,#391318,#391319); #337953=AXIS2_PLACEMENT_3D('',#480295,#391322,#391323); #337954=AXIS2_PLACEMENT_3D('',#480296,#391324,#391325); #337955=AXIS2_PLACEMENT_3D('',#480297,#391326,#391327); #337956=AXIS2_PLACEMENT_3D('',#480306,#391332,#391333); #337957=AXIS2_PLACEMENT_3D('',#480312,#391337,#391338); #337958=AXIS2_PLACEMENT_3D('',#480318,#391342,#391343); #337959=AXIS2_PLACEMENT_3D('',#480321,#391346,#391347); #337960=AXIS2_PLACEMENT_3D('',#480322,#391348,#391349); #337961=AXIS2_PLACEMENT_3D('',#480323,#391350,#391351); #337962=AXIS2_PLACEMENT_3D('',#480332,#391356,#391357); #337963=AXIS2_PLACEMENT_3D('',#480338,#391361,#391362); #337964=AXIS2_PLACEMENT_3D('',#480344,#391366,#391367); #337965=AXIS2_PLACEMENT_3D('',#480347,#391370,#391371); #337966=AXIS2_PLACEMENT_3D('',#480348,#391372,#391373); #337967=AXIS2_PLACEMENT_3D('',#480349,#391374,#391375); #337968=AXIS2_PLACEMENT_3D('',#480358,#391380,#391381); #337969=AXIS2_PLACEMENT_3D('',#480364,#391385,#391386); #337970=AXIS2_PLACEMENT_3D('',#480370,#391390,#391391); #337971=AXIS2_PLACEMENT_3D('',#480373,#391394,#391395); #337972=AXIS2_PLACEMENT_3D('',#480374,#391396,#391397); #337973=AXIS2_PLACEMENT_3D('',#480375,#391398,#391399); #337974=AXIS2_PLACEMENT_3D('',#480384,#391404,#391405); #337975=AXIS2_PLACEMENT_3D('',#480390,#391409,#391410); #337976=AXIS2_PLACEMENT_3D('',#480396,#391414,#391415); #337977=AXIS2_PLACEMENT_3D('',#480399,#391418,#391419); #337978=AXIS2_PLACEMENT_3D('',#480400,#391420,#391421); #337979=AXIS2_PLACEMENT_3D('',#480401,#391422,#391423); #337980=AXIS2_PLACEMENT_3D('',#480410,#391428,#391429); #337981=AXIS2_PLACEMENT_3D('',#480416,#391433,#391434); #337982=AXIS2_PLACEMENT_3D('',#480422,#391438,#391439); #337983=AXIS2_PLACEMENT_3D('',#480425,#391442,#391443); #337984=AXIS2_PLACEMENT_3D('',#480426,#391444,#391445); #337985=AXIS2_PLACEMENT_3D('',#480427,#391446,#391447); #337986=AXIS2_PLACEMENT_3D('',#480436,#391452,#391453); #337987=AXIS2_PLACEMENT_3D('',#480442,#391457,#391458); #337988=AXIS2_PLACEMENT_3D('',#480448,#391462,#391463); #337989=AXIS2_PLACEMENT_3D('',#480451,#391466,#391467); #337990=AXIS2_PLACEMENT_3D('',#480452,#391468,#391469); #337991=AXIS2_PLACEMENT_3D('',#480453,#391470,#391471); #337992=AXIS2_PLACEMENT_3D('',#480455,#391472,#391473); #337993=AXIS2_PLACEMENT_3D('',#480458,#391475,#391476); #337994=AXIS2_PLACEMENT_3D('',#480459,#391477,#391478); #337995=AXIS2_PLACEMENT_3D('',#480468,#391483,#391484); #337996=AXIS2_PLACEMENT_3D('',#480474,#391488,#391489); #337997=AXIS2_PLACEMENT_3D('',#480480,#391493,#391494); #337998=AXIS2_PLACEMENT_3D('',#480483,#391497,#391498); #337999=AXIS2_PLACEMENT_3D('',#480484,#391499,#391500); #338000=AXIS2_PLACEMENT_3D('',#480485,#391501,#391502); #338001=AXIS2_PLACEMENT_3D('',#480494,#391507,#391508); #338002=AXIS2_PLACEMENT_3D('',#480500,#391512,#391513); #338003=AXIS2_PLACEMENT_3D('',#480506,#391517,#391518); #338004=AXIS2_PLACEMENT_3D('',#480509,#391521,#391522); #338005=AXIS2_PLACEMENT_3D('',#480510,#391523,#391524); #338006=AXIS2_PLACEMENT_3D('',#480511,#391525,#391526); #338007=AXIS2_PLACEMENT_3D('',#480520,#391531,#391532); #338008=AXIS2_PLACEMENT_3D('',#480526,#391536,#391537); #338009=AXIS2_PLACEMENT_3D('',#480532,#391541,#391542); #338010=AXIS2_PLACEMENT_3D('',#480535,#391545,#391546); #338011=AXIS2_PLACEMENT_3D('',#480536,#391547,#391548); #338012=AXIS2_PLACEMENT_3D('',#480537,#391549,#391550); #338013=AXIS2_PLACEMENT_3D('',#480539,#391551,#391552); #338014=AXIS2_PLACEMENT_3D('',#480542,#391554,#391555); #338015=AXIS2_PLACEMENT_3D('',#480543,#391556,#391557); #338016=AXIS2_PLACEMENT_3D('',#480552,#391562,#391563); #338017=AXIS2_PLACEMENT_3D('',#480558,#391567,#391568); #338018=AXIS2_PLACEMENT_3D('',#480564,#391572,#391573); #338019=AXIS2_PLACEMENT_3D('',#480567,#391576,#391577); #338020=AXIS2_PLACEMENT_3D('',#480568,#391578,#391579); #338021=AXIS2_PLACEMENT_3D('',#480569,#391580,#391581); #338022=AXIS2_PLACEMENT_3D('',#480578,#391586,#391587); #338023=AXIS2_PLACEMENT_3D('',#480584,#391591,#391592); #338024=AXIS2_PLACEMENT_3D('',#480590,#391596,#391597); #338025=AXIS2_PLACEMENT_3D('',#480593,#391600,#391601); #338026=AXIS2_PLACEMENT_3D('',#480594,#391602,#391603); #338027=AXIS2_PLACEMENT_3D('',#480595,#391604,#391605); #338028=AXIS2_PLACEMENT_3D('',#480604,#391610,#391611); #338029=AXIS2_PLACEMENT_3D('',#480610,#391615,#391616); #338030=AXIS2_PLACEMENT_3D('',#480616,#391620,#391621); #338031=AXIS2_PLACEMENT_3D('',#480619,#391624,#391625); #338032=AXIS2_PLACEMENT_3D('',#480620,#391626,#391627); #338033=AXIS2_PLACEMENT_3D('',#480621,#391628,#391629); #338034=AXIS2_PLACEMENT_3D('',#480630,#391634,#391635); #338035=AXIS2_PLACEMENT_3D('',#480636,#391639,#391640); #338036=AXIS2_PLACEMENT_3D('',#480642,#391644,#391645); #338037=AXIS2_PLACEMENT_3D('',#480645,#391648,#391649); #338038=AXIS2_PLACEMENT_3D('',#480646,#391650,#391651); #338039=AXIS2_PLACEMENT_3D('',#480647,#391652,#391653); #338040=AXIS2_PLACEMENT_3D('',#480656,#391658,#391659); #338041=AXIS2_PLACEMENT_3D('',#480662,#391663,#391664); #338042=AXIS2_PLACEMENT_3D('',#480668,#391668,#391669); #338043=AXIS2_PLACEMENT_3D('',#480671,#391672,#391673); #338044=AXIS2_PLACEMENT_3D('',#480672,#391674,#391675); #338045=AXIS2_PLACEMENT_3D('',#480673,#391676,#391677); #338046=AXIS2_PLACEMENT_3D('',#480682,#391682,#391683); #338047=AXIS2_PLACEMENT_3D('',#480688,#391687,#391688); #338048=AXIS2_PLACEMENT_3D('',#480694,#391692,#391693); #338049=AXIS2_PLACEMENT_3D('',#480697,#391696,#391697); #338050=AXIS2_PLACEMENT_3D('',#480698,#391698,#391699); #338051=AXIS2_PLACEMENT_3D('',#480699,#391700,#391701); #338052=AXIS2_PLACEMENT_3D('',#480708,#391706,#391707); #338053=AXIS2_PLACEMENT_3D('',#480714,#391711,#391712); #338054=AXIS2_PLACEMENT_3D('',#480720,#391716,#391717); #338055=AXIS2_PLACEMENT_3D('',#480723,#391720,#391721); #338056=AXIS2_PLACEMENT_3D('',#480724,#391722,#391723); #338057=AXIS2_PLACEMENT_3D('',#480725,#391724,#391725); #338058=AXIS2_PLACEMENT_3D('',#480734,#391730,#391731); #338059=AXIS2_PLACEMENT_3D('',#480740,#391735,#391736); #338060=AXIS2_PLACEMENT_3D('',#480746,#391740,#391741); #338061=AXIS2_PLACEMENT_3D('',#480749,#391744,#391745); #338062=AXIS2_PLACEMENT_3D('',#480750,#391746,#391747); #338063=AXIS2_PLACEMENT_3D('',#480751,#391748,#391749); #338064=AXIS2_PLACEMENT_3D('',#480760,#391754,#391755); #338065=AXIS2_PLACEMENT_3D('',#480766,#391759,#391760); #338066=AXIS2_PLACEMENT_3D('',#480772,#391764,#391765); #338067=AXIS2_PLACEMENT_3D('',#480775,#391768,#391769); #338068=AXIS2_PLACEMENT_3D('',#480776,#391770,#391771); #338069=AXIS2_PLACEMENT_3D('',#480777,#391772,#391773); #338070=AXIS2_PLACEMENT_3D('',#480786,#391778,#391779); #338071=AXIS2_PLACEMENT_3D('',#480792,#391783,#391784); #338072=AXIS2_PLACEMENT_3D('',#480798,#391788,#391789); #338073=AXIS2_PLACEMENT_3D('',#480801,#391792,#391793); #338074=AXIS2_PLACEMENT_3D('',#480802,#391794,#391795); #338075=AXIS2_PLACEMENT_3D('',#480803,#391796,#391797); #338076=AXIS2_PLACEMENT_3D('',#480812,#391802,#391803); #338077=AXIS2_PLACEMENT_3D('',#480818,#391807,#391808); #338078=AXIS2_PLACEMENT_3D('',#480824,#391812,#391813); #338079=AXIS2_PLACEMENT_3D('',#480827,#391816,#391817); #338080=AXIS2_PLACEMENT_3D('',#480828,#391818,#391819); #338081=AXIS2_PLACEMENT_3D('',#480829,#391820,#391821); #338082=AXIS2_PLACEMENT_3D('',#480838,#391826,#391827); #338083=AXIS2_PLACEMENT_3D('',#480844,#391831,#391832); #338084=AXIS2_PLACEMENT_3D('',#480850,#391836,#391837); #338085=AXIS2_PLACEMENT_3D('',#480853,#391840,#391841); #338086=AXIS2_PLACEMENT_3D('',#480854,#391842,#391843); #338087=AXIS2_PLACEMENT_3D('',#480855,#391844,#391845); #338088=AXIS2_PLACEMENT_3D('',#480860,#391847,#391848); #338089=AXIS2_PLACEMENT_3D('',#480863,#391850,#391851); #338090=AXIS2_PLACEMENT_3D('',#480864,#391852,#391853); #338091=AXIS2_PLACEMENT_3D('',#480869,#391855,#391856); #338092=AXIS2_PLACEMENT_3D('',#480872,#391858,#391859); #338093=AXIS2_PLACEMENT_3D('',#480873,#391860,#391861); #338094=AXIS2_PLACEMENT_3D('',#480875,#391862,#391863); #338095=AXIS2_PLACEMENT_3D('',#480878,#391865,#391866); #338096=AXIS2_PLACEMENT_3D('',#480879,#391867,#391868); #338097=AXIS2_PLACEMENT_3D('',#480884,#391870,#391871); #338098=AXIS2_PLACEMENT_3D('',#480887,#391873,#391874); #338099=AXIS2_PLACEMENT_3D('',#480888,#391875,#391876); #338100=AXIS2_PLACEMENT_3D('',#480893,#391878,#391879); #338101=AXIS2_PLACEMENT_3D('',#480896,#391881,#391882); #338102=AXIS2_PLACEMENT_3D('',#480897,#391883,#391884); #338103=AXIS2_PLACEMENT_3D('',#480902,#391886,#391887); #338104=AXIS2_PLACEMENT_3D('',#480905,#391889,#391890); #338105=AXIS2_PLACEMENT_3D('',#480906,#391891,#391892); #338106=AXIS2_PLACEMENT_3D('',#480911,#391894,#391895); #338107=AXIS2_PLACEMENT_3D('',#480914,#391897,#391898); #338108=AXIS2_PLACEMENT_3D('',#480915,#391899,#391900); #338109=AXIS2_PLACEMENT_3D('',#480920,#391902,#391903); #338110=AXIS2_PLACEMENT_3D('',#480923,#391905,#391906); #338111=AXIS2_PLACEMENT_3D('',#480924,#391907,#391908); #338112=AXIS2_PLACEMENT_3D('',#480929,#391910,#391911); #338113=AXIS2_PLACEMENT_3D('',#480932,#391913,#391914); #338114=AXIS2_PLACEMENT_3D('',#480933,#391915,#391916); #338115=AXIS2_PLACEMENT_3D('',#480939,#391920,#391921); #338116=AXIS2_PLACEMENT_3D('',#480942,#391924,#391925); #338117=AXIS2_PLACEMENT_3D('',#480948,#391929,#391930); #338118=AXIS2_PLACEMENT_3D('',#480951,#391933,#391934); #338119=AXIS2_PLACEMENT_3D('',#480954,#391937,#391938); #338120=AXIS2_PLACEMENT_3D('',#480957,#391941,#391942); #338121=AXIS2_PLACEMENT_3D('',#480960,#391945,#391946); #338122=AXIS2_PLACEMENT_3D('',#480963,#391949,#391950); #338123=AXIS2_PLACEMENT_3D('',#480966,#391953,#391954); #338124=AXIS2_PLACEMENT_3D('',#480969,#391957,#391958); #338125=AXIS2_PLACEMENT_3D('',#480970,#391959,#391960); #338126=AXIS2_PLACEMENT_3D('',#480971,#391961,#391962); #338127=AXIS2_PLACEMENT_3D('',#480973,#391963,#391964); #338128=AXIS2_PLACEMENT_3D('',#480976,#391966,#391967); #338129=AXIS2_PLACEMENT_3D('',#480977,#391968,#391969); #338130=AXIS2_PLACEMENT_3D('',#480986,#391974,#391975); #338131=AXIS2_PLACEMENT_3D('',#480992,#391979,#391980); #338132=AXIS2_PLACEMENT_3D('',#480998,#391984,#391985); #338133=AXIS2_PLACEMENT_3D('',#481001,#391988,#391989); #338134=AXIS2_PLACEMENT_3D('',#481002,#391990,#391991); #338135=AXIS2_PLACEMENT_3D('',#481003,#391992,#391993); #338136=AXIS2_PLACEMENT_3D('',#481012,#391998,#391999); #338137=AXIS2_PLACEMENT_3D('',#481018,#392003,#392004); #338138=AXIS2_PLACEMENT_3D('',#481024,#392008,#392009); #338139=AXIS2_PLACEMENT_3D('',#481027,#392012,#392013); #338140=AXIS2_PLACEMENT_3D('',#481028,#392014,#392015); #338141=AXIS2_PLACEMENT_3D('',#481029,#392016,#392017); #338142=AXIS2_PLACEMENT_3D('',#481038,#392022,#392023); #338143=AXIS2_PLACEMENT_3D('',#481044,#392027,#392028); #338144=AXIS2_PLACEMENT_3D('',#481050,#392032,#392033); #338145=AXIS2_PLACEMENT_3D('',#481053,#392036,#392037); #338146=AXIS2_PLACEMENT_3D('',#481054,#392038,#392039); #338147=AXIS2_PLACEMENT_3D('',#481055,#392040,#392041); #338148=AXIS2_PLACEMENT_3D('',#481064,#392046,#392047); #338149=AXIS2_PLACEMENT_3D('',#481070,#392051,#392052); #338150=AXIS2_PLACEMENT_3D('',#481076,#392056,#392057); #338151=AXIS2_PLACEMENT_3D('',#481079,#392060,#392061); #338152=AXIS2_PLACEMENT_3D('',#481080,#392062,#392063); #338153=AXIS2_PLACEMENT_3D('',#481081,#392064,#392065); #338154=AXIS2_PLACEMENT_3D('',#481090,#392070,#392071); #338155=AXIS2_PLACEMENT_3D('',#481096,#392075,#392076); #338156=AXIS2_PLACEMENT_3D('',#481102,#392080,#392081); #338157=AXIS2_PLACEMENT_3D('',#481105,#392084,#392085); #338158=AXIS2_PLACEMENT_3D('',#481106,#392086,#392087); #338159=AXIS2_PLACEMENT_3D('',#481107,#392088,#392089); #338160=AXIS2_PLACEMENT_3D('',#481116,#392094,#392095); #338161=AXIS2_PLACEMENT_3D('',#481122,#392099,#392100); #338162=AXIS2_PLACEMENT_3D('',#481128,#392104,#392105); #338163=AXIS2_PLACEMENT_3D('',#481131,#392108,#392109); #338164=AXIS2_PLACEMENT_3D('',#481132,#392110,#392111); #338165=AXIS2_PLACEMENT_3D('',#481133,#392112,#392113); #338166=AXIS2_PLACEMENT_3D('',#481135,#392114,#392115); #338167=AXIS2_PLACEMENT_3D('',#481138,#392117,#392118); #338168=AXIS2_PLACEMENT_3D('',#481139,#392119,#392120); #338169=AXIS2_PLACEMENT_3D('',#481148,#392125,#392126); #338170=AXIS2_PLACEMENT_3D('',#481154,#392130,#392131); #338171=AXIS2_PLACEMENT_3D('',#481160,#392135,#392136); #338172=AXIS2_PLACEMENT_3D('',#481163,#392139,#392140); #338173=AXIS2_PLACEMENT_3D('',#481164,#392141,#392142); #338174=AXIS2_PLACEMENT_3D('',#481165,#392143,#392144); #338175=AXIS2_PLACEMENT_3D('',#481167,#392145,#392146); #338176=AXIS2_PLACEMENT_3D('',#481170,#392148,#392149); #338177=AXIS2_PLACEMENT_3D('',#481171,#392150,#392151); #338178=AXIS2_PLACEMENT_3D('',#481180,#392156,#392157); #338179=AXIS2_PLACEMENT_3D('',#481186,#392161,#392162); #338180=AXIS2_PLACEMENT_3D('',#481192,#392166,#392167); #338181=AXIS2_PLACEMENT_3D('',#481195,#392170,#392171); #338182=AXIS2_PLACEMENT_3D('',#481196,#392172,#392173); #338183=AXIS2_PLACEMENT_3D('',#481197,#392174,#392175); #338184=AXIS2_PLACEMENT_3D('',#481206,#392180,#392181); #338185=AXIS2_PLACEMENT_3D('',#481212,#392185,#392186); #338186=AXIS2_PLACEMENT_3D('',#481218,#392190,#392191); #338187=AXIS2_PLACEMENT_3D('',#481221,#392194,#392195); #338188=AXIS2_PLACEMENT_3D('',#481222,#392196,#392197); #338189=AXIS2_PLACEMENT_3D('',#481223,#392198,#392199); #338190=AXIS2_PLACEMENT_3D('',#481232,#392204,#392205); #338191=AXIS2_PLACEMENT_3D('',#481238,#392209,#392210); #338192=AXIS2_PLACEMENT_3D('',#481244,#392214,#392215); #338193=AXIS2_PLACEMENT_3D('',#481247,#392218,#392219); #338194=AXIS2_PLACEMENT_3D('',#481248,#392220,#392221); #338195=AXIS2_PLACEMENT_3D('',#481249,#392222,#392223); #338196=AXIS2_PLACEMENT_3D('',#481258,#392228,#392229); #338197=AXIS2_PLACEMENT_3D('',#481264,#392233,#392234); #338198=AXIS2_PLACEMENT_3D('',#481270,#392238,#392239); #338199=AXIS2_PLACEMENT_3D('',#481273,#392242,#392243); #338200=AXIS2_PLACEMENT_3D('',#481274,#392244,#392245); #338201=AXIS2_PLACEMENT_3D('',#481275,#392246,#392247); #338202=AXIS2_PLACEMENT_3D('',#481284,#392252,#392253); #338203=AXIS2_PLACEMENT_3D('',#481290,#392257,#392258); #338204=AXIS2_PLACEMENT_3D('',#481296,#392262,#392263); #338205=AXIS2_PLACEMENT_3D('',#481299,#392266,#392267); #338206=AXIS2_PLACEMENT_3D('',#481300,#392268,#392269); #338207=AXIS2_PLACEMENT_3D('',#481301,#392270,#392271); #338208=AXIS2_PLACEMENT_3D('',#481310,#392276,#392277); #338209=AXIS2_PLACEMENT_3D('',#481316,#392281,#392282); #338210=AXIS2_PLACEMENT_3D('',#481322,#392286,#392287); #338211=AXIS2_PLACEMENT_3D('',#481325,#392290,#392291); #338212=AXIS2_PLACEMENT_3D('',#481326,#392292,#392293); #338213=AXIS2_PLACEMENT_3D('',#481327,#392294,#392295); #338214=AXIS2_PLACEMENT_3D('',#481336,#392300,#392301); #338215=AXIS2_PLACEMENT_3D('',#481342,#392305,#392306); #338216=AXIS2_PLACEMENT_3D('',#481348,#392310,#392311); #338217=AXIS2_PLACEMENT_3D('',#481351,#392314,#392315); #338218=AXIS2_PLACEMENT_3D('',#481352,#392316,#392317); #338219=AXIS2_PLACEMENT_3D('',#481353,#392318,#392319); #338220=AXIS2_PLACEMENT_3D('',#481362,#392324,#392325); #338221=AXIS2_PLACEMENT_3D('',#481368,#392329,#392330); #338222=AXIS2_PLACEMENT_3D('',#481374,#392334,#392335); #338223=AXIS2_PLACEMENT_3D('',#481377,#392338,#392339); #338224=AXIS2_PLACEMENT_3D('',#481378,#392340,#392341); #338225=AXIS2_PLACEMENT_3D('',#481379,#392342,#392343); #338226=AXIS2_PLACEMENT_3D('',#481388,#392348,#392349); #338227=AXIS2_PLACEMENT_3D('',#481394,#392353,#392354); #338228=AXIS2_PLACEMENT_3D('',#481400,#392358,#392359); #338229=AXIS2_PLACEMENT_3D('',#481403,#392362,#392363); #338230=AXIS2_PLACEMENT_3D('',#481404,#392364,#392365); #338231=AXIS2_PLACEMENT_3D('',#481405,#392366,#392367); #338232=AXIS2_PLACEMENT_3D('',#481414,#392372,#392373); #338233=AXIS2_PLACEMENT_3D('',#481420,#392377,#392378); #338234=AXIS2_PLACEMENT_3D('',#481426,#392382,#392383); #338235=AXIS2_PLACEMENT_3D('',#481429,#392386,#392387); #338236=AXIS2_PLACEMENT_3D('',#481430,#392388,#392389); #338237=AXIS2_PLACEMENT_3D('',#481431,#392390,#392391); #338238=AXIS2_PLACEMENT_3D('',#481440,#392396,#392397); #338239=AXIS2_PLACEMENT_3D('',#481446,#392401,#392402); #338240=AXIS2_PLACEMENT_3D('',#481452,#392406,#392407); #338241=AXIS2_PLACEMENT_3D('',#481455,#392410,#392411); #338242=AXIS2_PLACEMENT_3D('',#481456,#392412,#392413); #338243=AXIS2_PLACEMENT_3D('',#481457,#392414,#392415); #338244=AXIS2_PLACEMENT_3D('',#481466,#392420,#392421); #338245=AXIS2_PLACEMENT_3D('',#481472,#392425,#392426); #338246=AXIS2_PLACEMENT_3D('',#481478,#392430,#392431); #338247=AXIS2_PLACEMENT_3D('',#481481,#392434,#392435); #338248=AXIS2_PLACEMENT_3D('',#481482,#392436,#392437); #338249=AXIS2_PLACEMENT_3D('',#481483,#392438,#392439); #338250=AXIS2_PLACEMENT_3D('',#481485,#392440,#392441); #338251=AXIS2_PLACEMENT_3D('',#481488,#392443,#392444); #338252=AXIS2_PLACEMENT_3D('',#481489,#392445,#392446); #338253=AXIS2_PLACEMENT_3D('',#481491,#392447,#392448); #338254=AXIS2_PLACEMENT_3D('',#481494,#392450,#392451); #338255=AXIS2_PLACEMENT_3D('',#481495,#392452,#392453); #338256=AXIS2_PLACEMENT_3D('',#481497,#392454,#392455); #338257=AXIS2_PLACEMENT_3D('',#481500,#392457,#392458); #338258=AXIS2_PLACEMENT_3D('',#481501,#392459,#392460); #338259=AXIS2_PLACEMENT_3D('',#481503,#392461,#392462); #338260=AXIS2_PLACEMENT_3D('',#481506,#392464,#392465); #338261=AXIS2_PLACEMENT_3D('',#481507,#392466,#392467); #338262=AXIS2_PLACEMENT_3D('',#481509,#392468,#392469); #338263=AXIS2_PLACEMENT_3D('',#481512,#392471,#392472); #338264=AXIS2_PLACEMENT_3D('',#481513,#392473,#392474); #338265=AXIS2_PLACEMENT_3D('',#481515,#392475,#392476); #338266=AXIS2_PLACEMENT_3D('',#481518,#392478,#392479); #338267=AXIS2_PLACEMENT_3D('',#481519,#392480,#392481); #338268=AXIS2_PLACEMENT_3D('',#481528,#392486,#392487); #338269=AXIS2_PLACEMENT_3D('',#481534,#392491,#392492); #338270=AXIS2_PLACEMENT_3D('',#481540,#392496,#392497); #338271=AXIS2_PLACEMENT_3D('',#481543,#392500,#392501); #338272=AXIS2_PLACEMENT_3D('',#481544,#392502,#392503); #338273=AXIS2_PLACEMENT_3D('',#481545,#392504,#392505); #338274=AXIS2_PLACEMENT_3D('',#481554,#392510,#392511); #338275=AXIS2_PLACEMENT_3D('',#481560,#392515,#392516); #338276=AXIS2_PLACEMENT_3D('',#481566,#392520,#392521); #338277=AXIS2_PLACEMENT_3D('',#481569,#392524,#392525); #338278=AXIS2_PLACEMENT_3D('',#481570,#392526,#392527); #338279=AXIS2_PLACEMENT_3D('',#481571,#392528,#392529); #338280=AXIS2_PLACEMENT_3D('',#481580,#392534,#392535); #338281=AXIS2_PLACEMENT_3D('',#481586,#392539,#392540); #338282=AXIS2_PLACEMENT_3D('',#481592,#392544,#392545); #338283=AXIS2_PLACEMENT_3D('',#481595,#392548,#392549); #338284=AXIS2_PLACEMENT_3D('',#481596,#392550,#392551); #338285=AXIS2_PLACEMENT_3D('',#481597,#392552,#392553); #338286=AXIS2_PLACEMENT_3D('',#481606,#392558,#392559); #338287=AXIS2_PLACEMENT_3D('',#481612,#392563,#392564); #338288=AXIS2_PLACEMENT_3D('',#481618,#392568,#392569); #338289=AXIS2_PLACEMENT_3D('',#481621,#392572,#392573); #338290=AXIS2_PLACEMENT_3D('',#481622,#392574,#392575); #338291=AXIS2_PLACEMENT_3D('',#481623,#392576,#392577); #338292=AXIS2_PLACEMENT_3D('',#481628,#392579,#392580); #338293=AXIS2_PLACEMENT_3D('',#481631,#392582,#392583); #338294=AXIS2_PLACEMENT_3D('',#481632,#392584,#392585); #338295=AXIS2_PLACEMENT_3D('',#481634,#392586,#392587); #338296=AXIS2_PLACEMENT_3D('',#481637,#392589,#392590); #338297=AXIS2_PLACEMENT_3D('',#481638,#392591,#392592); #338298=AXIS2_PLACEMENT_3D('',#481643,#392594,#392595); #338299=AXIS2_PLACEMENT_3D('',#481646,#392597,#392598); #338300=AXIS2_PLACEMENT_3D('',#481647,#392599,#392600); #338301=AXIS2_PLACEMENT_3D('',#481652,#392602,#392603); #338302=AXIS2_PLACEMENT_3D('',#481655,#392605,#392606); #338303=AXIS2_PLACEMENT_3D('',#481656,#392607,#392608); #338304=AXIS2_PLACEMENT_3D('',#481661,#392610,#392611); #338305=AXIS2_PLACEMENT_3D('',#481664,#392613,#392614); #338306=AXIS2_PLACEMENT_3D('',#481665,#392615,#392616); #338307=AXIS2_PLACEMENT_3D('',#481667,#392617,#392618); #338308=AXIS2_PLACEMENT_3D('',#481670,#392620,#392621); #338309=AXIS2_PLACEMENT_3D('',#481671,#392622,#392623); #338310=AXIS2_PLACEMENT_3D('',#481677,#392627,#392628); #338311=AXIS2_PLACEMENT_3D('',#481683,#392632,#392633); #338312=AXIS2_PLACEMENT_3D('',#481686,#392636,#392637); #338313=AXIS2_PLACEMENT_3D('',#481692,#392641,#392642); #338314=AXIS2_PLACEMENT_3D('',#481698,#392646,#392647); #338315=AXIS2_PLACEMENT_3D('',#481701,#392650,#392651); #338316=AXIS2_PLACEMENT_3D('',#481704,#392654,#392655); #338317=AXIS2_PLACEMENT_3D('',#481706,#392657,#392658); #338318=AXIS2_PLACEMENT_3D('',#481708,#392660,#392661); #338319=AXIS2_PLACEMENT_3D('',#481709,#392662,#392663); #338320=AXIS2_PLACEMENT_3D('',#481718,#392668,#392669); #338321=AXIS2_PLACEMENT_3D('',#481724,#392673,#392674); #338322=AXIS2_PLACEMENT_3D('',#481730,#392678,#392679); #338323=AXIS2_PLACEMENT_3D('',#481733,#392682,#392683); #338324=AXIS2_PLACEMENT_3D('',#481734,#392684,#392685); #338325=AXIS2_PLACEMENT_3D('',#481735,#392686,#392687); #338326=AXIS2_PLACEMENT_3D('',#481744,#392692,#392693); #338327=AXIS2_PLACEMENT_3D('',#481750,#392697,#392698); #338328=AXIS2_PLACEMENT_3D('',#481756,#392702,#392703); #338329=AXIS2_PLACEMENT_3D('',#481759,#392706,#392707); #338330=AXIS2_PLACEMENT_3D('',#481760,#392708,#392709); #338331=AXIS2_PLACEMENT_3D('',#481761,#392710,#392711); #338332=AXIS2_PLACEMENT_3D('',#481770,#392716,#392717); #338333=AXIS2_PLACEMENT_3D('',#481776,#392721,#392722); #338334=AXIS2_PLACEMENT_3D('',#481782,#392726,#392727); #338335=AXIS2_PLACEMENT_3D('',#481785,#392730,#392731); #338336=AXIS2_PLACEMENT_3D('',#481786,#392732,#392733); #338337=AXIS2_PLACEMENT_3D('',#481787,#392734,#392735); #338338=AXIS2_PLACEMENT_3D('',#481796,#392740,#392741); #338339=AXIS2_PLACEMENT_3D('',#481802,#392745,#392746); #338340=AXIS2_PLACEMENT_3D('',#481808,#392750,#392751); #338341=AXIS2_PLACEMENT_3D('',#481811,#392754,#392755); #338342=AXIS2_PLACEMENT_3D('',#481812,#392756,#392757); #338343=AXIS2_PLACEMENT_3D('',#481813,#392758,#392759); #338344=AXIS2_PLACEMENT_3D('',#481822,#392764,#392765); #338345=AXIS2_PLACEMENT_3D('',#481828,#392769,#392770); #338346=AXIS2_PLACEMENT_3D('',#481834,#392774,#392775); #338347=AXIS2_PLACEMENT_3D('',#481837,#392778,#392779); #338348=AXIS2_PLACEMENT_3D('',#481838,#392780,#392781); #338349=AXIS2_PLACEMENT_3D('',#481839,#392782,#392783); #338350=AXIS2_PLACEMENT_3D('',#481848,#392788,#392789); #338351=AXIS2_PLACEMENT_3D('',#481854,#392793,#392794); #338352=AXIS2_PLACEMENT_3D('',#481860,#392798,#392799); #338353=AXIS2_PLACEMENT_3D('',#481863,#392802,#392803); #338354=AXIS2_PLACEMENT_3D('',#481864,#392804,#392805); #338355=AXIS2_PLACEMENT_3D('',#481865,#392806,#392807); #338356=AXIS2_PLACEMENT_3D('',#481874,#392812,#392813); #338357=AXIS2_PLACEMENT_3D('',#481880,#392817,#392818); #338358=AXIS2_PLACEMENT_3D('',#481886,#392822,#392823); #338359=AXIS2_PLACEMENT_3D('',#481889,#392826,#392827); #338360=AXIS2_PLACEMENT_3D('',#481890,#392828,#392829); #338361=AXIS2_PLACEMENT_3D('',#481891,#392830,#392831); #338362=AXIS2_PLACEMENT_3D('',#481900,#392836,#392837); #338363=AXIS2_PLACEMENT_3D('',#481906,#392841,#392842); #338364=AXIS2_PLACEMENT_3D('',#481912,#392846,#392847); #338365=AXIS2_PLACEMENT_3D('',#481915,#392850,#392851); #338366=AXIS2_PLACEMENT_3D('',#481916,#392852,#392853); #338367=AXIS2_PLACEMENT_3D('',#481917,#392854,#392855); #338368=AXIS2_PLACEMENT_3D('',#481926,#392860,#392861); #338369=AXIS2_PLACEMENT_3D('',#481932,#392865,#392866); #338370=AXIS2_PLACEMENT_3D('',#481938,#392870,#392871); #338371=AXIS2_PLACEMENT_3D('',#481941,#392874,#392875); #338372=AXIS2_PLACEMENT_3D('',#481942,#392876,#392877); #338373=AXIS2_PLACEMENT_3D('',#481943,#392878,#392879); #338374=AXIS2_PLACEMENT_3D('',#481952,#392884,#392885); #338375=AXIS2_PLACEMENT_3D('',#481958,#392889,#392890); #338376=AXIS2_PLACEMENT_3D('',#481964,#392894,#392895); #338377=AXIS2_PLACEMENT_3D('',#481967,#392898,#392899); #338378=AXIS2_PLACEMENT_3D('',#481968,#392900,#392901); #338379=AXIS2_PLACEMENT_3D('',#481969,#392902,#392903); #338380=AXIS2_PLACEMENT_3D('',#481978,#392908,#392909); #338381=AXIS2_PLACEMENT_3D('',#481984,#392913,#392914); #338382=AXIS2_PLACEMENT_3D('',#481990,#392918,#392919); #338383=AXIS2_PLACEMENT_3D('',#481993,#392922,#392923); #338384=AXIS2_PLACEMENT_3D('',#481994,#392924,#392925); #338385=AXIS2_PLACEMENT_3D('',#481995,#392926,#392927); #338386=AXIS2_PLACEMENT_3D('',#482004,#392932,#392933); #338387=AXIS2_PLACEMENT_3D('',#482010,#392937,#392938); #338388=AXIS2_PLACEMENT_3D('',#482016,#392942,#392943); #338389=AXIS2_PLACEMENT_3D('',#482019,#392946,#392947); #338390=AXIS2_PLACEMENT_3D('',#482020,#392948,#392949); #338391=AXIS2_PLACEMENT_3D('',#482021,#392950,#392951); #338392=AXIS2_PLACEMENT_3D('',#482030,#392956,#392957); #338393=AXIS2_PLACEMENT_3D('',#482036,#392961,#392962); #338394=AXIS2_PLACEMENT_3D('',#482042,#392966,#392967); #338395=AXIS2_PLACEMENT_3D('',#482045,#392970,#392971); #338396=AXIS2_PLACEMENT_3D('',#482046,#392972,#392973); #338397=AXIS2_PLACEMENT_3D('',#482047,#392974,#392975); #338398=AXIS2_PLACEMENT_3D('',#482056,#392980,#392981); #338399=AXIS2_PLACEMENT_3D('',#482062,#392985,#392986); #338400=AXIS2_PLACEMENT_3D('',#482068,#392990,#392991); #338401=AXIS2_PLACEMENT_3D('',#482071,#392994,#392995); #338402=AXIS2_PLACEMENT_3D('',#482072,#392996,#392997); #338403=AXIS2_PLACEMENT_3D('',#482073,#392998,#392999); #338404=AXIS2_PLACEMENT_3D('',#482082,#393004,#393005); #338405=AXIS2_PLACEMENT_3D('',#482088,#393009,#393010); #338406=AXIS2_PLACEMENT_3D('',#482094,#393014,#393015); #338407=AXIS2_PLACEMENT_3D('',#482097,#393018,#393019); #338408=AXIS2_PLACEMENT_3D('',#482098,#393020,#393021); #338409=AXIS2_PLACEMENT_3D('',#482099,#393022,#393023); #338410=AXIS2_PLACEMENT_3D('',#482108,#393028,#393029); #338411=AXIS2_PLACEMENT_3D('',#482114,#393033,#393034); #338412=AXIS2_PLACEMENT_3D('',#482120,#393038,#393039); #338413=AXIS2_PLACEMENT_3D('',#482123,#393042,#393043); #338414=AXIS2_PLACEMENT_3D('',#482124,#393044,#393045); #338415=AXIS2_PLACEMENT_3D('',#482125,#393046,#393047); #338416=AXIS2_PLACEMENT_3D('',#482134,#393052,#393053); #338417=AXIS2_PLACEMENT_3D('',#482140,#393057,#393058); #338418=AXIS2_PLACEMENT_3D('',#482146,#393062,#393063); #338419=AXIS2_PLACEMENT_3D('',#482149,#393066,#393067); #338420=AXIS2_PLACEMENT_3D('',#482150,#393068,#393069); #338421=AXIS2_PLACEMENT_3D('',#482151,#393070,#393071); #338422=AXIS2_PLACEMENT_3D('',#482160,#393076,#393077); #338423=AXIS2_PLACEMENT_3D('',#482166,#393081,#393082); #338424=AXIS2_PLACEMENT_3D('',#482172,#393086,#393087); #338425=AXIS2_PLACEMENT_3D('',#482175,#393090,#393091); #338426=AXIS2_PLACEMENT_3D('',#482176,#393092,#393093); #338427=AXIS2_PLACEMENT_3D('',#482177,#393094,#393095); #338428=AXIS2_PLACEMENT_3D('',#482186,#393100,#393101); #338429=AXIS2_PLACEMENT_3D('',#482192,#393105,#393106); #338430=AXIS2_PLACEMENT_3D('',#482198,#393110,#393111); #338431=AXIS2_PLACEMENT_3D('',#482201,#393114,#393115); #338432=AXIS2_PLACEMENT_3D('',#482202,#393116,#393117); #338433=AXIS2_PLACEMENT_3D('',#482203,#393118,#393119); #338434=AXIS2_PLACEMENT_3D('',#482212,#393124,#393125); #338435=AXIS2_PLACEMENT_3D('',#482218,#393129,#393130); #338436=AXIS2_PLACEMENT_3D('',#482224,#393134,#393135); #338437=AXIS2_PLACEMENT_3D('',#482227,#393138,#393139); #338438=AXIS2_PLACEMENT_3D('',#482228,#393140,#393141); #338439=AXIS2_PLACEMENT_3D('',#482229,#393142,#393143); #338440=AXIS2_PLACEMENT_3D('',#482238,#393148,#393149); #338441=AXIS2_PLACEMENT_3D('',#482244,#393153,#393154); #338442=AXIS2_PLACEMENT_3D('',#482250,#393158,#393159); #338443=AXIS2_PLACEMENT_3D('',#482253,#393162,#393163); #338444=AXIS2_PLACEMENT_3D('',#482254,#393164,#393165); #338445=AXIS2_PLACEMENT_3D('',#482255,#393166,#393167); #338446=AXIS2_PLACEMENT_3D('',#482256,#393168,#393169); #338447=AXIS2_PLACEMENT_3D('',#482258,#393170,#393171); #338448=AXIS2_PLACEMENT_3D('',#482261,#393173,#393174); #338449=AXIS2_PLACEMENT_3D('',#482262,#393175,#393176); #338450=AXIS2_PLACEMENT_3D('',#482271,#393181,#393182); #338451=AXIS2_PLACEMENT_3D('',#482273,#393183,#393184); #338452=AXIS2_PLACEMENT_3D('',#482275,#393185,#393186); #338453=AXIS2_PLACEMENT_3D('',#482277,#393188,#393189); #338454=AXIS2_PLACEMENT_3D('',#482278,#393190,#393191); #338455=AXIS2_PLACEMENT_3D('',#482279,#393192,#393193); #338456=AXIS2_PLACEMENT_3D('',#482280,#393194,#393195); #338457=AXIS2_PLACEMENT_3D('',#482281,#393196,#393197); #338458=AXIS2_PLACEMENT_3D('',#482282,#393198,#393199); #338459=AXIS2_PLACEMENT_3D('',#482291,#393204,#393205); #338460=AXIS2_PLACEMENT_3D('',#482297,#393209,#393210); #338461=AXIS2_PLACEMENT_3D('',#482303,#393214,#393215); #338462=AXIS2_PLACEMENT_3D('',#482306,#393218,#393219); #338463=AXIS2_PLACEMENT_3D('',#482307,#393220,#393221); #338464=AXIS2_PLACEMENT_3D('',#482308,#393222,#393223); #338465=AXIS2_PLACEMENT_3D('',#482317,#393228,#393229); #338466=AXIS2_PLACEMENT_3D('',#482323,#393233,#393234); #338467=AXIS2_PLACEMENT_3D('',#482329,#393238,#393239); #338468=AXIS2_PLACEMENT_3D('',#482332,#393242,#393243); #338469=AXIS2_PLACEMENT_3D('',#482333,#393244,#393245); #338470=AXIS2_PLACEMENT_3D('',#482334,#393246,#393247); #338471=AXIS2_PLACEMENT_3D('',#482336,#393248,#393249); #338472=AXIS2_PLACEMENT_3D('',#482339,#393251,#393252); #338473=AXIS2_PLACEMENT_3D('',#482340,#393253,#393254); #338474=AXIS2_PLACEMENT_3D('',#482342,#393255,#393256); #338475=AXIS2_PLACEMENT_3D('',#482345,#393258,#393259); #338476=AXIS2_PLACEMENT_3D('',#482346,#393260,#393261); #338477=AXIS2_PLACEMENT_3D('',#482355,#393266,#393267); #338478=AXIS2_PLACEMENT_3D('',#482361,#393271,#393272); #338479=AXIS2_PLACEMENT_3D('',#482367,#393276,#393277); #338480=AXIS2_PLACEMENT_3D('',#482370,#393280,#393281); #338481=AXIS2_PLACEMENT_3D('',#482371,#393282,#393283); #338482=AXIS2_PLACEMENT_3D('',#482372,#393284,#393285); #338483=AXIS2_PLACEMENT_3D('',#482381,#393290,#393291); #338484=AXIS2_PLACEMENT_3D('',#482387,#393295,#393296); #338485=AXIS2_PLACEMENT_3D('',#482393,#393300,#393301); #338486=AXIS2_PLACEMENT_3D('',#482396,#393304,#393305); #338487=AXIS2_PLACEMENT_3D('',#482397,#393306,#393307); #338488=AXIS2_PLACEMENT_3D('',#482398,#393308,#393309); #338489=AXIS2_PLACEMENT_3D('',#482400,#393310,#393311); #338490=AXIS2_PLACEMENT_3D('',#482403,#393313,#393314); #338491=AXIS2_PLACEMENT_3D('',#482404,#393315,#393316); #338492=AXIS2_PLACEMENT_3D('',#482406,#393317,#393318); #338493=AXIS2_PLACEMENT_3D('',#482409,#393320,#393321); #338494=AXIS2_PLACEMENT_3D('',#482410,#393322,#393323); #338495=AXIS2_PLACEMENT_3D('',#482419,#393328,#393329); #338496=AXIS2_PLACEMENT_3D('',#482425,#393333,#393334); #338497=AXIS2_PLACEMENT_3D('',#482431,#393338,#393339); #338498=AXIS2_PLACEMENT_3D('',#482434,#393342,#393343); #338499=AXIS2_PLACEMENT_3D('',#482435,#393344,#393345); #338500=AXIS2_PLACEMENT_3D('',#482436,#393346,#393347); #338501=AXIS2_PLACEMENT_3D('',#482445,#393352,#393353); #338502=AXIS2_PLACEMENT_3D('',#482451,#393357,#393358); #338503=AXIS2_PLACEMENT_3D('',#482457,#393362,#393363); #338504=AXIS2_PLACEMENT_3D('',#482460,#393366,#393367); #338505=AXIS2_PLACEMENT_3D('',#482461,#393368,#393369); #338506=AXIS2_PLACEMENT_3D('',#482462,#393370,#393371); #338507=AXIS2_PLACEMENT_3D('',#482464,#393372,#393373); #338508=AXIS2_PLACEMENT_3D('',#482467,#393375,#393376); #338509=AXIS2_PLACEMENT_3D('',#482468,#393377,#393378); #338510=AXIS2_PLACEMENT_3D('',#482470,#393379,#393380); #338511=AXIS2_PLACEMENT_3D('',#482473,#393382,#393383); #338512=AXIS2_PLACEMENT_3D('',#482474,#393384,#393385); #338513=AXIS2_PLACEMENT_3D('',#482483,#393390,#393391); #338514=AXIS2_PLACEMENT_3D('',#482489,#393395,#393396); #338515=AXIS2_PLACEMENT_3D('',#482495,#393400,#393401); #338516=AXIS2_PLACEMENT_3D('',#482498,#393404,#393405); #338517=AXIS2_PLACEMENT_3D('',#482499,#393406,#393407); #338518=AXIS2_PLACEMENT_3D('',#482500,#393408,#393409); #338519=AXIS2_PLACEMENT_3D('',#482509,#393414,#393415); #338520=AXIS2_PLACEMENT_3D('',#482515,#393419,#393420); #338521=AXIS2_PLACEMENT_3D('',#482521,#393424,#393425); #338522=AXIS2_PLACEMENT_3D('',#482524,#393428,#393429); #338523=AXIS2_PLACEMENT_3D('',#482525,#393430,#393431); #338524=AXIS2_PLACEMENT_3D('',#482526,#393432,#393433); #338525=AXIS2_PLACEMENT_3D('',#482528,#393434,#393435); #338526=AXIS2_PLACEMENT_3D('',#482531,#393437,#393438); #338527=AXIS2_PLACEMENT_3D('',#482532,#393439,#393440); #338528=AXIS2_PLACEMENT_3D('',#482534,#393441,#393442); #338529=AXIS2_PLACEMENT_3D('',#482537,#393444,#393445); #338530=AXIS2_PLACEMENT_3D('',#482538,#393446,#393447); #338531=AXIS2_PLACEMENT_3D('',#482547,#393452,#393453); #338532=AXIS2_PLACEMENT_3D('',#482553,#393457,#393458); #338533=AXIS2_PLACEMENT_3D('',#482559,#393462,#393463); #338534=AXIS2_PLACEMENT_3D('',#482562,#393466,#393467); #338535=AXIS2_PLACEMENT_3D('',#482563,#393468,#393469); #338536=AXIS2_PLACEMENT_3D('',#482564,#393470,#393471); #338537=AXIS2_PLACEMENT_3D('',#482573,#393476,#393477); #338538=AXIS2_PLACEMENT_3D('',#482579,#393481,#393482); #338539=AXIS2_PLACEMENT_3D('',#482585,#393486,#393487); #338540=AXIS2_PLACEMENT_3D('',#482588,#393490,#393491); #338541=AXIS2_PLACEMENT_3D('',#482589,#393492,#393493); #338542=AXIS2_PLACEMENT_3D('',#482590,#393494,#393495); #338543=AXIS2_PLACEMENT_3D('',#482599,#393500,#393501); #338544=AXIS2_PLACEMENT_3D('',#482605,#393505,#393506); #338545=AXIS2_PLACEMENT_3D('',#482611,#393510,#393511); #338546=AXIS2_PLACEMENT_3D('',#482617,#393515,#393516); #338547=AXIS2_PLACEMENT_3D('',#482623,#393520,#393521); #338548=AXIS2_PLACEMENT_3D('',#482629,#393525,#393526); #338549=AXIS2_PLACEMENT_3D('',#482631,#393527,#393528); #338550=AXIS2_PLACEMENT_3D('',#482633,#393529,#393530); #338551=AXIS2_PLACEMENT_3D('',#482635,#393532,#393533); #338552=AXIS2_PLACEMENT_3D('',#482641,#393537,#393538); #338553=AXIS2_PLACEMENT_3D('',#482647,#393542,#393543); #338554=AXIS2_PLACEMENT_3D('',#482653,#393547,#393548); #338555=AXIS2_PLACEMENT_3D('',#482659,#393552,#393553); #338556=AXIS2_PLACEMENT_3D('',#482665,#393557,#393558); #338557=AXIS2_PLACEMENT_3D('',#482667,#393559,#393560); #338558=AXIS2_PLACEMENT_3D('',#482669,#393561,#393562); #338559=AXIS2_PLACEMENT_3D('',#482671,#393564,#393565); #338560=AXIS2_PLACEMENT_3D('',#482677,#393569,#393570); #338561=AXIS2_PLACEMENT_3D('',#482683,#393574,#393575); #338562=AXIS2_PLACEMENT_3D('',#482685,#393576,#393577); #338563=AXIS2_PLACEMENT_3D('',#482687,#393578,#393579); #338564=AXIS2_PLACEMENT_3D('',#482689,#393581,#393582); #338565=AXIS2_PLACEMENT_3D('',#482695,#393586,#393587); #338566=AXIS2_PLACEMENT_3D('',#482701,#393591,#393592); #338567=AXIS2_PLACEMENT_3D('',#482707,#393596,#393597); #338568=AXIS2_PLACEMENT_3D('',#482713,#393601,#393602); #338569=AXIS2_PLACEMENT_3D('',#482719,#393606,#393607); #338570=AXIS2_PLACEMENT_3D('',#482725,#393611,#393612); #338571=AXIS2_PLACEMENT_3D('',#482731,#393616,#393617); #338572=AXIS2_PLACEMENT_3D('',#482733,#393618,#393619); #338573=AXIS2_PLACEMENT_3D('',#482735,#393620,#393621); #338574=AXIS2_PLACEMENT_3D('',#482737,#393623,#393624); #338575=AXIS2_PLACEMENT_3D('',#482743,#393628,#393629); #338576=AXIS2_PLACEMENT_3D('',#482745,#393630,#393631); #338577=AXIS2_PLACEMENT_3D('',#482747,#393632,#393633); #338578=AXIS2_PLACEMENT_3D('',#482749,#393635,#393636); #338579=AXIS2_PLACEMENT_3D('',#482755,#393640,#393641); #338580=AXIS2_PLACEMENT_3D('',#482761,#393645,#393646); #338581=AXIS2_PLACEMENT_3D('',#482767,#393650,#393651); #338582=AXIS2_PLACEMENT_3D('',#482773,#393655,#393656); #338583=AXIS2_PLACEMENT_3D('',#482779,#393660,#393661); #338584=AXIS2_PLACEMENT_3D('',#482785,#393665,#393666); #338585=AXIS2_PLACEMENT_3D('',#482791,#393670,#393671); #338586=AXIS2_PLACEMENT_3D('',#482797,#393675,#393676); #338587=AXIS2_PLACEMENT_3D('',#482799,#393677,#393678); #338588=AXIS2_PLACEMENT_3D('',#482801,#393679,#393680); #338589=AXIS2_PLACEMENT_3D('',#482803,#393682,#393683); #338590=AXIS2_PLACEMENT_3D('',#482809,#393687,#393688); #338591=AXIS2_PLACEMENT_3D('',#482811,#393689,#393690); #338592=AXIS2_PLACEMENT_3D('',#482813,#393691,#393692); #338593=AXIS2_PLACEMENT_3D('',#482815,#393694,#393695); #338594=AXIS2_PLACEMENT_3D('',#482821,#393699,#393700); #338595=AXIS2_PLACEMENT_3D('',#482827,#393704,#393705); #338596=AXIS2_PLACEMENT_3D('',#482833,#393709,#393710); #338597=AXIS2_PLACEMENT_3D('',#482835,#393711,#393712); #338598=AXIS2_PLACEMENT_3D('',#482837,#393713,#393714); #338599=AXIS2_PLACEMENT_3D('',#482839,#393716,#393717); #338600=AXIS2_PLACEMENT_3D('',#482845,#393721,#393722); #338601=AXIS2_PLACEMENT_3D('',#482847,#393723,#393724); #338602=AXIS2_PLACEMENT_3D('',#482849,#393725,#393726); #338603=AXIS2_PLACEMENT_3D('',#482851,#393728,#393729); #338604=AXIS2_PLACEMENT_3D('',#482857,#393733,#393734); #338605=AXIS2_PLACEMENT_3D('',#482863,#393738,#393739); #338606=AXIS2_PLACEMENT_3D('',#482869,#393743,#393744); #338607=AXIS2_PLACEMENT_3D('',#482875,#393748,#393749); #338608=AXIS2_PLACEMENT_3D('',#482881,#393753,#393754); #338609=AXIS2_PLACEMENT_3D('',#482883,#393755,#393756); #338610=AXIS2_PLACEMENT_3D('',#482885,#393757,#393758); #338611=AXIS2_PLACEMENT_3D('',#482887,#393760,#393761); #338612=AXIS2_PLACEMENT_3D('',#482893,#393765,#393766); #338613=AXIS2_PLACEMENT_3D('',#482899,#393770,#393771); #338614=AXIS2_PLACEMENT_3D('',#482905,#393775,#393776); #338615=AXIS2_PLACEMENT_3D('',#482911,#393780,#393781); #338616=AXIS2_PLACEMENT_3D('',#482917,#393785,#393786); #338617=AXIS2_PLACEMENT_3D('',#482923,#393790,#393791); #338618=AXIS2_PLACEMENT_3D('',#482925,#393792,#393793); #338619=AXIS2_PLACEMENT_3D('',#482927,#393794,#393795); #338620=AXIS2_PLACEMENT_3D('',#482929,#393797,#393798); #338621=AXIS2_PLACEMENT_3D('',#482935,#393802,#393803); #338622=AXIS2_PLACEMENT_3D('',#482938,#393806,#393807); #338623=AXIS2_PLACEMENT_3D('',#482939,#393808,#393809); #338624=AXIS2_PLACEMENT_3D('',#482940,#393810,#393811); #338625=AXIS2_PLACEMENT_3D('',#482942,#393812,#393813); #338626=AXIS2_PLACEMENT_3D('',#482945,#393815,#393816); #338627=AXIS2_PLACEMENT_3D('',#482946,#393817,#393818); #338628=AXIS2_PLACEMENT_3D('',#482948,#393819,#393820); #338629=AXIS2_PLACEMENT_3D('',#482951,#393822,#393823); #338630=AXIS2_PLACEMENT_3D('',#482952,#393824,#393825); #338631=AXIS2_PLACEMENT_3D('',#482961,#393830,#393831); #338632=AXIS2_PLACEMENT_3D('',#482963,#393832,#393833); #338633=AXIS2_PLACEMENT_3D('',#482965,#393834,#393835); #338634=AXIS2_PLACEMENT_3D('',#482967,#393837,#393838); #338635=AXIS2_PLACEMENT_3D('',#482969,#393839,#393840); #338636=AXIS2_PLACEMENT_3D('',#482971,#393841,#393842); #338637=AXIS2_PLACEMENT_3D('',#482973,#393844,#393845); #338638=AXIS2_PLACEMENT_3D('',#482979,#393849,#393850); #338639=AXIS2_PLACEMENT_3D('',#482981,#393851,#393852); #338640=AXIS2_PLACEMENT_3D('',#482983,#393853,#393854); #338641=AXIS2_PLACEMENT_3D('',#482985,#393856,#393857); #338642=AXIS2_PLACEMENT_3D('',#482987,#393858,#393859); #338643=AXIS2_PLACEMENT_3D('',#482989,#393860,#393861); #338644=AXIS2_PLACEMENT_3D('',#482991,#393863,#393864); #338645=AXIS2_PLACEMENT_3D('',#482997,#393868,#393869); #338646=AXIS2_PLACEMENT_3D('',#482998,#393870,#393871); #338647=AXIS2_PLACEMENT_3D('',#482999,#393872,#393873); #338648=AXIS2_PLACEMENT_3D('',#483000,#393874,#393875); #338649=AXIS2_PLACEMENT_3D('',#483001,#393876,#393877); #338650=AXIS2_PLACEMENT_3D('',#483002,#393878,#393879); #338651=AXIS2_PLACEMENT_3D('',#483004,#393880,#393881); #338652=AXIS2_PLACEMENT_3D('',#483007,#393883,#393884); #338653=AXIS2_PLACEMENT_3D('',#483008,#393885,#393886); #338654=AXIS2_PLACEMENT_3D('',#483017,#393891,#393892); #338655=AXIS2_PLACEMENT_3D('',#483019,#393893,#393894); #338656=AXIS2_PLACEMENT_3D('',#483021,#393895,#393896); #338657=AXIS2_PLACEMENT_3D('',#483023,#393898,#393899); #338658=AXIS2_PLACEMENT_3D('',#483029,#393903,#393904); #338659=AXIS2_PLACEMENT_3D('',#483035,#393908,#393909); #338660=AXIS2_PLACEMENT_3D('',#483041,#393913,#393914); #338661=AXIS2_PLACEMENT_3D('',#483047,#393918,#393919); #338662=AXIS2_PLACEMENT_3D('',#483053,#393923,#393924); #338663=AXIS2_PLACEMENT_3D('',#483059,#393928,#393929); #338664=AXIS2_PLACEMENT_3D('',#483065,#393933,#393934); #338665=AXIS2_PLACEMENT_3D('',#483066,#393935,#393936); #338666=AXIS2_PLACEMENT_3D('',#483067,#393937,#393938); #338667=AXIS2_PLACEMENT_3D('',#483068,#393939,#393940); #338668=AXIS2_PLACEMENT_3D('',#483069,#393941,#393942); #338669=AXIS2_PLACEMENT_3D('',#483070,#393943,#393944); #338670=AXIS2_PLACEMENT_3D('',#483072,#393945,#393946); #338671=AXIS2_PLACEMENT_3D('',#483075,#393948,#393949); #338672=AXIS2_PLACEMENT_3D('',#483076,#393950,#393951); #338673=AXIS2_PLACEMENT_3D('',#483085,#393956,#393957); #338674=AXIS2_PLACEMENT_3D('',#483091,#393961,#393962); #338675=AXIS2_PLACEMENT_3D('',#483097,#393966,#393967); #338676=AXIS2_PLACEMENT_3D('',#483103,#393971,#393972); #338677=AXIS2_PLACEMENT_3D('',#483109,#393976,#393977); #338678=AXIS2_PLACEMENT_3D('',#483111,#393978,#393979); #338679=AXIS2_PLACEMENT_3D('',#483113,#393980,#393981); #338680=AXIS2_PLACEMENT_3D('',#483115,#393983,#393984); #338681=AXIS2_PLACEMENT_3D('',#483121,#393988,#393989); #338682=AXIS2_PLACEMENT_3D('',#483123,#393990,#393991); #338683=AXIS2_PLACEMENT_3D('',#483125,#393992,#393993); #338684=AXIS2_PLACEMENT_3D('',#483127,#393995,#393996); #338685=AXIS2_PLACEMENT_3D('',#483129,#393997,#393998); #338686=AXIS2_PLACEMENT_3D('',#483131,#393999,#394000); #338687=AXIS2_PLACEMENT_3D('',#483133,#394002,#394003); #338688=AXIS2_PLACEMENT_3D('',#483135,#394004,#394005); #338689=AXIS2_PLACEMENT_3D('',#483137,#394006,#394007); #338690=AXIS2_PLACEMENT_3D('',#483139,#394009,#394010); #338691=AXIS2_PLACEMENT_3D('',#483145,#394014,#394015); #338692=AXIS2_PLACEMENT_3D('',#483151,#394019,#394020); #338693=AXIS2_PLACEMENT_3D('',#483154,#394023,#394024); #338694=AXIS2_PLACEMENT_3D('',#483155,#394025,#394026); #338695=AXIS2_PLACEMENT_3D('',#483156,#394027,#394028); #338696=AXIS2_PLACEMENT_3D('',#483158,#394029,#394030); #338697=AXIS2_PLACEMENT_3D('',#483161,#394032,#394033); #338698=AXIS2_PLACEMENT_3D('',#483162,#394034,#394035); #338699=AXIS2_PLACEMENT_3D('',#483164,#394036,#394037); #338700=AXIS2_PLACEMENT_3D('',#483167,#394039,#394040); #338701=AXIS2_PLACEMENT_3D('',#483168,#394041,#394042); #338702=AXIS2_PLACEMENT_3D('',#483177,#394047,#394048); #338703=AXIS2_PLACEMENT_3D('',#483179,#394049,#394050); #338704=AXIS2_PLACEMENT_3D('',#483181,#394051,#394052); #338705=AXIS2_PLACEMENT_3D('',#483183,#394054,#394055); #338706=AXIS2_PLACEMENT_3D('',#483185,#394056,#394057); #338707=AXIS2_PLACEMENT_3D('',#483187,#394058,#394059); #338708=AXIS2_PLACEMENT_3D('',#483189,#394061,#394062); #338709=AXIS2_PLACEMENT_3D('',#483195,#394066,#394067); #338710=AXIS2_PLACEMENT_3D('',#483201,#394071,#394072); #338711=AXIS2_PLACEMENT_3D('',#483207,#394076,#394077); #338712=AXIS2_PLACEMENT_3D('',#483213,#394081,#394082); #338713=AXIS2_PLACEMENT_3D('',#483219,#394086,#394087); #338714=AXIS2_PLACEMENT_3D('',#483221,#394088,#394089); #338715=AXIS2_PLACEMENT_3D('',#483223,#394090,#394091); #338716=AXIS2_PLACEMENT_3D('',#483225,#394093,#394094); #338717=AXIS2_PLACEMENT_3D('',#483231,#394098,#394099); #338718=AXIS2_PLACEMENT_3D('',#483237,#394103,#394104); #338719=AXIS2_PLACEMENT_3D('',#483239,#394105,#394106); #338720=AXIS2_PLACEMENT_3D('',#483241,#394107,#394108); #338721=AXIS2_PLACEMENT_3D('',#483243,#394110,#394111); #338722=AXIS2_PLACEMENT_3D('',#483249,#394115,#394116); #338723=AXIS2_PLACEMENT_3D('',#483251,#394117,#394118); #338724=AXIS2_PLACEMENT_3D('',#483253,#394119,#394120); #338725=AXIS2_PLACEMENT_3D('',#483255,#394122,#394123); #338726=AXIS2_PLACEMENT_3D('',#483261,#394127,#394128); #338727=AXIS2_PLACEMENT_3D('',#483267,#394132,#394133); #338728=AXIS2_PLACEMENT_3D('',#483273,#394137,#394138); #338729=AXIS2_PLACEMENT_3D('',#483275,#394139,#394140); #338730=AXIS2_PLACEMENT_3D('',#483277,#394141,#394142); #338731=AXIS2_PLACEMENT_3D('',#483279,#394144,#394145); #338732=AXIS2_PLACEMENT_3D('',#483285,#394149,#394150); #338733=AXIS2_PLACEMENT_3D('',#483287,#394151,#394152); #338734=AXIS2_PLACEMENT_3D('',#483289,#394153,#394154); #338735=AXIS2_PLACEMENT_3D('',#483291,#394156,#394157); #338736=AXIS2_PLACEMENT_3D('',#483297,#394161,#394162); #338737=AXIS2_PLACEMENT_3D('',#483299,#394163,#394164); #338738=AXIS2_PLACEMENT_3D('',#483301,#394165,#394166); #338739=AXIS2_PLACEMENT_3D('',#483303,#394168,#394169); #338740=AXIS2_PLACEMENT_3D('',#483305,#394170,#394171); #338741=AXIS2_PLACEMENT_3D('',#483307,#394172,#394173); #338742=AXIS2_PLACEMENT_3D('',#483309,#394175,#394176); #338743=AXIS2_PLACEMENT_3D('',#483315,#394180,#394181); #338744=AXIS2_PLACEMENT_3D('',#483321,#394185,#394186); #338745=AXIS2_PLACEMENT_3D('',#483327,#394190,#394191); #338746=AXIS2_PLACEMENT_3D('',#483329,#394192,#394193); #338747=AXIS2_PLACEMENT_3D('',#483331,#394194,#394195); #338748=AXIS2_PLACEMENT_3D('',#483333,#394197,#394198); #338749=AXIS2_PLACEMENT_3D('',#483339,#394202,#394203); #338750=AXIS2_PLACEMENT_3D('',#483341,#394204,#394205); #338751=AXIS2_PLACEMENT_3D('',#483343,#394206,#394207); #338752=AXIS2_PLACEMENT_3D('',#483345,#394209,#394210); #338753=AXIS2_PLACEMENT_3D('',#483351,#394214,#394215); #338754=AXIS2_PLACEMENT_3D('',#483357,#394219,#394220); #338755=AXIS2_PLACEMENT_3D('',#483363,#394224,#394225); #338756=AXIS2_PLACEMENT_3D('',#483365,#394226,#394227); #338757=AXIS2_PLACEMENT_3D('',#483367,#394228,#394229); #338758=AXIS2_PLACEMENT_3D('',#483369,#394231,#394232); #338759=AXIS2_PLACEMENT_3D('',#483375,#394236,#394237); #338760=AXIS2_PLACEMENT_3D('',#483381,#394241,#394242); #338761=AXIS2_PLACEMENT_3D('',#483383,#394243,#394244); #338762=AXIS2_PLACEMENT_3D('',#483385,#394245,#394246); #338763=AXIS2_PLACEMENT_3D('',#483387,#394248,#394249); #338764=AXIS2_PLACEMENT_3D('',#483393,#394253,#394254); #338765=AXIS2_PLACEMENT_3D('',#483395,#394255,#394256); #338766=AXIS2_PLACEMENT_3D('',#483397,#394257,#394258); #338767=AXIS2_PLACEMENT_3D('',#483399,#394260,#394261); #338768=AXIS2_PLACEMENT_3D('',#483405,#394265,#394266); #338769=AXIS2_PLACEMENT_3D('',#483407,#394267,#394268); #338770=AXIS2_PLACEMENT_3D('',#483409,#394269,#394270); #338771=AXIS2_PLACEMENT_3D('',#483411,#394272,#394273); #338772=AXIS2_PLACEMENT_3D('',#483417,#394277,#394278); #338773=AXIS2_PLACEMENT_3D('',#483419,#394279,#394280); #338774=AXIS2_PLACEMENT_3D('',#483421,#394281,#394282); #338775=AXIS2_PLACEMENT_3D('',#483423,#394284,#394285); #338776=AXIS2_PLACEMENT_3D('',#483429,#394289,#394290); #338777=AXIS2_PLACEMENT_3D('',#483430,#394291,#394292); #338778=AXIS2_PLACEMENT_3D('',#483431,#394293,#394294); #338779=AXIS2_PLACEMENT_3D('',#483432,#394295,#394296); #338780=AXIS2_PLACEMENT_3D('',#483433,#394297,#394298); #338781=AXIS2_PLACEMENT_3D('',#483434,#394299,#394300); #338782=AXIS2_PLACEMENT_3D('',#483436,#394301,#394302); #338783=AXIS2_PLACEMENT_3D('',#483439,#394304,#394305); #338784=AXIS2_PLACEMENT_3D('',#483440,#394306,#394307); #338785=AXIS2_PLACEMENT_3D('',#483442,#394308,#394309); #338786=AXIS2_PLACEMENT_3D('',#483445,#394311,#394312); #338787=AXIS2_PLACEMENT_3D('',#483446,#394313,#394314); #338788=AXIS2_PLACEMENT_3D('',#483455,#394319,#394320); #338789=AXIS2_PLACEMENT_3D('',#483457,#394321,#394322); #338790=AXIS2_PLACEMENT_3D('',#483459,#394323,#394324); #338791=AXIS2_PLACEMENT_3D('',#483461,#394326,#394327); #338792=AXIS2_PLACEMENT_3D('',#483463,#394328,#394329); #338793=AXIS2_PLACEMENT_3D('',#483465,#394330,#394331); #338794=AXIS2_PLACEMENT_3D('',#483467,#394333,#394334); #338795=AXIS2_PLACEMENT_3D('',#483473,#394338,#394339); #338796=AXIS2_PLACEMENT_3D('',#483479,#394343,#394344); #338797=AXIS2_PLACEMENT_3D('',#483481,#394345,#394346); #338798=AXIS2_PLACEMENT_3D('',#483483,#394347,#394348); #338799=AXIS2_PLACEMENT_3D('',#483485,#394350,#394351); #338800=AXIS2_PLACEMENT_3D('',#483487,#394352,#394353); #338801=AXIS2_PLACEMENT_3D('',#483489,#394354,#394355); #338802=AXIS2_PLACEMENT_3D('',#483491,#394357,#394358); #338803=AXIS2_PLACEMENT_3D('',#483497,#394362,#394363); #338804=AXIS2_PLACEMENT_3D('',#483499,#394364,#394365); #338805=AXIS2_PLACEMENT_3D('',#483501,#394366,#394367); #338806=AXIS2_PLACEMENT_3D('',#483503,#394369,#394370); #338807=AXIS2_PLACEMENT_3D('',#483509,#394374,#394375); #338808=AXIS2_PLACEMENT_3D('',#483510,#394376,#394377); #338809=AXIS2_PLACEMENT_3D('',#483511,#394378,#394379); #338810=AXIS2_PLACEMENT_3D('',#483512,#394380,#394381); #338811=AXIS2_PLACEMENT_3D('',#483513,#394382,#394383); #338812=AXIS2_PLACEMENT_3D('',#483514,#394384,#394385); #338813=AXIS2_PLACEMENT_3D('',#483516,#394386,#394387); #338814=AXIS2_PLACEMENT_3D('',#483519,#394389,#394390); #338815=AXIS2_PLACEMENT_3D('',#483520,#394391,#394392); #338816=AXIS2_PLACEMENT_3D('',#483529,#394397,#394398); #338817=AXIS2_PLACEMENT_3D('',#483535,#394402,#394403); #338818=AXIS2_PLACEMENT_3D('',#483541,#394407,#394408); #338819=AXIS2_PLACEMENT_3D('',#483547,#394412,#394413); #338820=AXIS2_PLACEMENT_3D('',#483553,#394417,#394418); #338821=AXIS2_PLACEMENT_3D('',#483555,#394419,#394420); #338822=AXIS2_PLACEMENT_3D('',#483557,#394421,#394422); #338823=AXIS2_PLACEMENT_3D('',#483559,#394424,#394425); #338824=AXIS2_PLACEMENT_3D('',#483565,#394429,#394430); #338825=AXIS2_PLACEMENT_3D('',#483567,#394431,#394432); #338826=AXIS2_PLACEMENT_3D('',#483569,#394433,#394434); #338827=AXIS2_PLACEMENT_3D('',#483571,#394436,#394437); #338828=AXIS2_PLACEMENT_3D('',#483573,#394438,#394439); #338829=AXIS2_PLACEMENT_3D('',#483575,#394440,#394441); #338830=AXIS2_PLACEMENT_3D('',#483577,#394443,#394444); #338831=AXIS2_PLACEMENT_3D('',#483579,#394445,#394446); #338832=AXIS2_PLACEMENT_3D('',#483581,#394447,#394448); #338833=AXIS2_PLACEMENT_3D('',#483583,#394450,#394451); #338834=AXIS2_PLACEMENT_3D('',#483589,#394455,#394456); #338835=AXIS2_PLACEMENT_3D('',#483591,#394457,#394458); #338836=AXIS2_PLACEMENT_3D('',#483593,#394459,#394460); #338837=AXIS2_PLACEMENT_3D('',#483595,#394462,#394463); #338838=AXIS2_PLACEMENT_3D('',#483601,#394467,#394468); #338839=AXIS2_PLACEMENT_3D('',#483607,#394472,#394473); #338840=AXIS2_PLACEMENT_3D('',#483613,#394477,#394478); #338841=AXIS2_PLACEMENT_3D('',#483619,#394482,#394483); #338842=AXIS2_PLACEMENT_3D('',#483625,#394487,#394488); #338843=AXIS2_PLACEMENT_3D('',#483631,#394492,#394493); #338844=AXIS2_PLACEMENT_3D('',#483637,#394497,#394498); #338845=AXIS2_PLACEMENT_3D('',#483643,#394502,#394503); #338846=AXIS2_PLACEMENT_3D('',#483645,#394504,#394505); #338847=AXIS2_PLACEMENT_3D('',#483647,#394506,#394507); #338848=AXIS2_PLACEMENT_3D('',#483649,#394509,#394510); #338849=AXIS2_PLACEMENT_3D('',#483655,#394514,#394515); #338850=AXIS2_PLACEMENT_3D('',#483661,#394519,#394520); #338851=AXIS2_PLACEMENT_3D('',#483664,#394523,#394524); #338852=AXIS2_PLACEMENT_3D('',#483665,#394525,#394526); #338853=AXIS2_PLACEMENT_3D('',#483666,#394527,#394528); #338854=AXIS2_PLACEMENT_3D('',#483668,#394529,#394530); #338855=AXIS2_PLACEMENT_3D('',#483671,#394532,#394533); #338856=AXIS2_PLACEMENT_3D('',#483672,#394534,#394535); #338857=AXIS2_PLACEMENT_3D('',#483681,#394540,#394541); #338858=AXIS2_PLACEMENT_3D('',#483683,#394542,#394543); #338859=AXIS2_PLACEMENT_3D('',#483685,#394544,#394545); #338860=AXIS2_PLACEMENT_3D('',#483687,#394547,#394548); #338861=AXIS2_PLACEMENT_3D('',#483689,#394549,#394550); #338862=AXIS2_PLACEMENT_3D('',#483691,#394551,#394552); #338863=AXIS2_PLACEMENT_3D('',#483693,#394554,#394555); #338864=AXIS2_PLACEMENT_3D('',#483699,#394559,#394560); #338865=AXIS2_PLACEMENT_3D('',#483701,#394561,#394562); #338866=AXIS2_PLACEMENT_3D('',#483703,#394563,#394564); #338867=AXIS2_PLACEMENT_3D('',#483705,#394566,#394567); #338868=AXIS2_PLACEMENT_3D('',#483711,#394571,#394572); #338869=AXIS2_PLACEMENT_3D('',#483717,#394576,#394577); #338870=AXIS2_PLACEMENT_3D('',#483723,#394581,#394582); #338871=AXIS2_PLACEMENT_3D('',#483729,#394586,#394587); #338872=AXIS2_PLACEMENT_3D('',#483735,#394591,#394592); #338873=AXIS2_PLACEMENT_3D('',#483741,#394596,#394597); #338874=AXIS2_PLACEMENT_3D('',#483747,#394601,#394602); #338875=AXIS2_PLACEMENT_3D('',#483753,#394606,#394607); #338876=AXIS2_PLACEMENT_3D('',#483755,#394608,#394609); #338877=AXIS2_PLACEMENT_3D('',#483757,#394610,#394611); #338878=AXIS2_PLACEMENT_3D('',#483759,#394613,#394614); #338879=AXIS2_PLACEMENT_3D('',#483765,#394618,#394619); #338880=AXIS2_PLACEMENT_3D('',#483771,#394623,#394624); #338881=AXIS2_PLACEMENT_3D('',#483777,#394628,#394629); #338882=AXIS2_PLACEMENT_3D('',#483783,#394633,#394634); #338883=AXIS2_PLACEMENT_3D('',#483789,#394638,#394639); #338884=AXIS2_PLACEMENT_3D('',#483795,#394643,#394644); #338885=AXIS2_PLACEMENT_3D('',#483801,#394648,#394649); #338886=AXIS2_PLACEMENT_3D('',#483807,#394653,#394654); #338887=AXIS2_PLACEMENT_3D('',#483809,#394655,#394656); #338888=AXIS2_PLACEMENT_3D('',#483811,#394657,#394658); #338889=AXIS2_PLACEMENT_3D('',#483813,#394660,#394661); #338890=AXIS2_PLACEMENT_3D('',#483819,#394665,#394666); #338891=AXIS2_PLACEMENT_3D('',#483821,#394667,#394668); #338892=AXIS2_PLACEMENT_3D('',#483823,#394669,#394670); #338893=AXIS2_PLACEMENT_3D('',#483825,#394672,#394673); #338894=AXIS2_PLACEMENT_3D('',#483826,#394674,#394675); #338895=AXIS2_PLACEMENT_3D('',#483827,#394676,#394677); #338896=AXIS2_PLACEMENT_3D('',#483828,#394678,#394679); #338897=AXIS2_PLACEMENT_3D('',#483829,#394680,#394681); #338898=AXIS2_PLACEMENT_3D('',#483830,#394682,#394683); #338899=AXIS2_PLACEMENT_3D('',#483832,#394684,#394685); #338900=AXIS2_PLACEMENT_3D('',#483835,#394687,#394688); #338901=AXIS2_PLACEMENT_3D('',#483836,#394689,#394690); #338902=AXIS2_PLACEMENT_3D('',#483838,#394691,#394692); #338903=AXIS2_PLACEMENT_3D('',#483841,#394694,#394695); #338904=AXIS2_PLACEMENT_3D('',#483842,#394696,#394697); #338905=AXIS2_PLACEMENT_3D('',#483851,#394702,#394703); #338906=AXIS2_PLACEMENT_3D('',#483857,#394707,#394708); #338907=AXIS2_PLACEMENT_3D('',#483859,#394709,#394710); #338908=AXIS2_PLACEMENT_3D('',#483861,#394711,#394712); #338909=AXIS2_PLACEMENT_3D('',#483863,#394714,#394715); #338910=AXIS2_PLACEMENT_3D('',#483869,#394719,#394720); #338911=AXIS2_PLACEMENT_3D('',#483875,#394724,#394725); #338912=AXIS2_PLACEMENT_3D('',#483877,#394726,#394727); #338913=AXIS2_PLACEMENT_3D('',#483879,#394728,#394729); #338914=AXIS2_PLACEMENT_3D('',#483881,#394731,#394732); #338915=AXIS2_PLACEMENT_3D('',#483883,#394733,#394734); #338916=AXIS2_PLACEMENT_3D('',#483885,#394735,#394736); #338917=AXIS2_PLACEMENT_3D('',#483887,#394738,#394739); #338918=AXIS2_PLACEMENT_3D('',#483893,#394743,#394744); #338919=AXIS2_PLACEMENT_3D('',#483895,#394745,#394746); #338920=AXIS2_PLACEMENT_3D('',#483897,#394747,#394748); #338921=AXIS2_PLACEMENT_3D('',#483899,#394750,#394751); #338922=AXIS2_PLACEMENT_3D('',#483905,#394755,#394756); #338923=AXIS2_PLACEMENT_3D('',#483911,#394760,#394761); #338924=AXIS2_PLACEMENT_3D('',#483917,#394765,#394766); #338925=AXIS2_PLACEMENT_3D('',#483919,#394767,#394768); #338926=AXIS2_PLACEMENT_3D('',#483921,#394769,#394770); #338927=AXIS2_PLACEMENT_3D('',#483923,#394772,#394773); #338928=AXIS2_PLACEMENT_3D('',#483929,#394777,#394778); #338929=AXIS2_PLACEMENT_3D('',#483935,#394782,#394783); #338930=AXIS2_PLACEMENT_3D('',#483941,#394787,#394788); #338931=AXIS2_PLACEMENT_3D('',#483947,#394792,#394793); #338932=AXIS2_PLACEMENT_3D('',#483953,#394797,#394798); #338933=AXIS2_PLACEMENT_3D('',#483955,#394799,#394800); #338934=AXIS2_PLACEMENT_3D('',#483957,#394801,#394802); #338935=AXIS2_PLACEMENT_3D('',#483959,#394804,#394805); #338936=AXIS2_PLACEMENT_3D('',#483965,#394809,#394810); #338937=AXIS2_PLACEMENT_3D('',#483971,#394814,#394815); #338938=AXIS2_PLACEMENT_3D('',#483973,#394816,#394817); #338939=AXIS2_PLACEMENT_3D('',#483975,#394818,#394819); #338940=AXIS2_PLACEMENT_3D('',#483977,#394821,#394822); #338941=AXIS2_PLACEMENT_3D('',#483983,#394826,#394827); #338942=AXIS2_PLACEMENT_3D('',#483985,#394828,#394829); #338943=AXIS2_PLACEMENT_3D('',#483987,#394830,#394831); #338944=AXIS2_PLACEMENT_3D('',#483989,#394833,#394834); #338945=AXIS2_PLACEMENT_3D('',#483995,#394838,#394839); #338946=AXIS2_PLACEMENT_3D('',#484001,#394843,#394844); #338947=AXIS2_PLACEMENT_3D('',#484007,#394848,#394849); #338948=AXIS2_PLACEMENT_3D('',#484009,#394850,#394851); #338949=AXIS2_PLACEMENT_3D('',#484011,#394852,#394853); #338950=AXIS2_PLACEMENT_3D('',#484013,#394855,#394856); #338951=AXIS2_PLACEMENT_3D('',#484019,#394860,#394861); #338952=AXIS2_PLACEMENT_3D('',#484021,#394862,#394863); #338953=AXIS2_PLACEMENT_3D('',#484023,#394864,#394865); #338954=AXIS2_PLACEMENT_3D('',#484025,#394867,#394868); #338955=AXIS2_PLACEMENT_3D('',#484031,#394872,#394873); #338956=AXIS2_PLACEMENT_3D('',#484033,#394874,#394875); #338957=AXIS2_PLACEMENT_3D('',#484035,#394876,#394877); #338958=AXIS2_PLACEMENT_3D('',#484037,#394879,#394880); #338959=AXIS2_PLACEMENT_3D('',#484043,#394884,#394885); #338960=AXIS2_PLACEMENT_3D('',#484049,#394889,#394890); #338961=AXIS2_PLACEMENT_3D('',#484051,#394891,#394892); #338962=AXIS2_PLACEMENT_3D('',#484053,#394893,#394894); #338963=AXIS2_PLACEMENT_3D('',#484055,#394896,#394897); #338964=AXIS2_PLACEMENT_3D('',#484057,#394898,#394899); #338965=AXIS2_PLACEMENT_3D('',#484059,#394900,#394901); #338966=AXIS2_PLACEMENT_3D('',#484061,#394903,#394904); #338967=AXIS2_PLACEMENT_3D('',#484067,#394908,#394909); #338968=AXIS2_PLACEMENT_3D('',#484069,#394910,#394911); #338969=AXIS2_PLACEMENT_3D('',#484071,#394912,#394913); #338970=AXIS2_PLACEMENT_3D('',#484073,#394915,#394916); #338971=AXIS2_PLACEMENT_3D('',#484079,#394920,#394921); #338972=AXIS2_PLACEMENT_3D('',#484085,#394925,#394926); #338973=AXIS2_PLACEMENT_3D('',#484091,#394930,#394931); #338974=AXIS2_PLACEMENT_3D('',#484097,#394935,#394936); #338975=AXIS2_PLACEMENT_3D('',#484099,#394937,#394938); #338976=AXIS2_PLACEMENT_3D('',#484101,#394939,#394940); #338977=AXIS2_PLACEMENT_3D('',#484103,#394942,#394943); #338978=AXIS2_PLACEMENT_3D('',#484109,#394947,#394948); #338979=AXIS2_PLACEMENT_3D('',#484111,#394949,#394950); #338980=AXIS2_PLACEMENT_3D('',#484113,#394951,#394952); #338981=AXIS2_PLACEMENT_3D('',#484115,#394954,#394955); #338982=AXIS2_PLACEMENT_3D('',#484121,#394959,#394960); #338983=AXIS2_PLACEMENT_3D('',#484127,#394964,#394965); #338984=AXIS2_PLACEMENT_3D('',#484133,#394969,#394970); #338985=AXIS2_PLACEMENT_3D('',#484135,#394971,#394972); #338986=AXIS2_PLACEMENT_3D('',#484137,#394973,#394974); #338987=AXIS2_PLACEMENT_3D('',#484139,#394976,#394977); #338988=AXIS2_PLACEMENT_3D('',#484145,#394981,#394982); #338989=AXIS2_PLACEMENT_3D('',#484151,#394986,#394987); #338990=AXIS2_PLACEMENT_3D('',#484153,#394988,#394989); #338991=AXIS2_PLACEMENT_3D('',#484155,#394990,#394991); #338992=AXIS2_PLACEMENT_3D('',#484157,#394993,#394994); #338993=AXIS2_PLACEMENT_3D('',#484163,#394998,#394999); #338994=AXIS2_PLACEMENT_3D('',#484165,#395000,#395001); #338995=AXIS2_PLACEMENT_3D('',#484167,#395002,#395003); #338996=AXIS2_PLACEMENT_3D('',#484169,#395005,#395006); #338997=AXIS2_PLACEMENT_3D('',#484175,#395010,#395011); #338998=AXIS2_PLACEMENT_3D('',#484177,#395012,#395013); #338999=AXIS2_PLACEMENT_3D('',#484179,#395014,#395015); #339000=AXIS2_PLACEMENT_3D('',#484181,#395017,#395018); #339001=AXIS2_PLACEMENT_3D('',#484187,#395022,#395023); #339002=AXIS2_PLACEMENT_3D('',#484189,#395024,#395025); #339003=AXIS2_PLACEMENT_3D('',#484191,#395026,#395027); #339004=AXIS2_PLACEMENT_3D('',#484193,#395029,#395030); #339005=AXIS2_PLACEMENT_3D('',#484199,#395034,#395035); #339006=AXIS2_PLACEMENT_3D('',#484205,#395039,#395040); #339007=AXIS2_PLACEMENT_3D('',#484206,#395041,#395042); #339008=AXIS2_PLACEMENT_3D('',#484207,#395043,#395044); #339009=AXIS2_PLACEMENT_3D('',#484208,#395045,#395046); #339010=AXIS2_PLACEMENT_3D('',#484209,#395047,#395048); #339011=AXIS2_PLACEMENT_3D('',#484210,#395049,#395050); #339012=AXIS2_PLACEMENT_3D('',#484212,#395051,#395052); #339013=AXIS2_PLACEMENT_3D('',#484215,#395054,#395055); #339014=AXIS2_PLACEMENT_3D('',#484216,#395056,#395057); #339015=AXIS2_PLACEMENT_3D('',#484218,#395058,#395059); #339016=AXIS2_PLACEMENT_3D('',#484221,#395061,#395062); #339017=AXIS2_PLACEMENT_3D('',#484222,#395063,#395064); #339018=AXIS2_PLACEMENT_3D('',#484231,#395069,#395070); #339019=AXIS2_PLACEMENT_3D('',#484233,#395071,#395072); #339020=AXIS2_PLACEMENT_3D('',#484235,#395073,#395074); #339021=AXIS2_PLACEMENT_3D('',#484237,#395076,#395077); #339022=AXIS2_PLACEMENT_3D('',#484239,#395078,#395079); #339023=AXIS2_PLACEMENT_3D('',#484241,#395080,#395081); #339024=AXIS2_PLACEMENT_3D('',#484243,#395083,#395084); #339025=AXIS2_PLACEMENT_3D('',#484249,#395088,#395089); #339026=AXIS2_PLACEMENT_3D('',#484251,#395090,#395091); #339027=AXIS2_PLACEMENT_3D('',#484253,#395092,#395093); #339028=AXIS2_PLACEMENT_3D('',#484255,#395095,#395096); #339029=AXIS2_PLACEMENT_3D('',#484257,#395097,#395098); #339030=AXIS2_PLACEMENT_3D('',#484259,#395099,#395100); #339031=AXIS2_PLACEMENT_3D('',#484261,#395102,#395103); #339032=AXIS2_PLACEMENT_3D('',#484267,#395107,#395108); #339033=AXIS2_PLACEMENT_3D('',#484268,#395109,#395110); #339034=AXIS2_PLACEMENT_3D('',#484269,#395111,#395112); #339035=AXIS2_PLACEMENT_3D('',#484270,#395113,#395114); #339036=AXIS2_PLACEMENT_3D('',#484271,#395115,#395116); #339037=AXIS2_PLACEMENT_3D('',#484272,#395117,#395118); #339038=AXIS2_PLACEMENT_3D('',#484274,#395119,#395120); #339039=AXIS2_PLACEMENT_3D('',#484277,#395122,#395123); #339040=AXIS2_PLACEMENT_3D('',#484278,#395124,#395125); #339041=AXIS2_PLACEMENT_3D('',#484280,#395126,#395127); #339042=AXIS2_PLACEMENT_3D('',#484283,#395129,#395130); #339043=AXIS2_PLACEMENT_3D('',#484284,#395131,#395132); #339044=AXIS2_PLACEMENT_3D('',#484293,#395137,#395138); #339045=AXIS2_PLACEMENT_3D('',#484295,#395139,#395140); #339046=AXIS2_PLACEMENT_3D('',#484297,#395141,#395142); #339047=AXIS2_PLACEMENT_3D('',#484299,#395144,#395145); #339048=AXIS2_PLACEMENT_3D('',#484301,#395146,#395147); #339049=AXIS2_PLACEMENT_3D('',#484303,#395148,#395149); #339050=AXIS2_PLACEMENT_3D('',#484305,#395151,#395152); #339051=AXIS2_PLACEMENT_3D('',#484311,#395156,#395157); #339052=AXIS2_PLACEMENT_3D('',#484313,#395158,#395159); #339053=AXIS2_PLACEMENT_3D('',#484315,#395160,#395161); #339054=AXIS2_PLACEMENT_3D('',#484317,#395163,#395164); #339055=AXIS2_PLACEMENT_3D('',#484319,#395165,#395166); #339056=AXIS2_PLACEMENT_3D('',#484321,#395167,#395168); #339057=AXIS2_PLACEMENT_3D('',#484323,#395170,#395171); #339058=AXIS2_PLACEMENT_3D('',#484329,#395175,#395176); #339059=AXIS2_PLACEMENT_3D('',#484330,#395177,#395178); #339060=AXIS2_PLACEMENT_3D('',#484331,#395179,#395180); #339061=AXIS2_PLACEMENT_3D('',#484332,#395181,#395182); #339062=AXIS2_PLACEMENT_3D('',#484333,#395183,#395184); #339063=AXIS2_PLACEMENT_3D('',#484334,#395185,#395186); #339064=AXIS2_PLACEMENT_3D('',#484336,#395187,#395188); #339065=AXIS2_PLACEMENT_3D('',#484339,#395190,#395191); #339066=AXIS2_PLACEMENT_3D('',#484340,#395192,#395193); #339067=AXIS2_PLACEMENT_3D('',#484342,#395194,#395195); #339068=AXIS2_PLACEMENT_3D('',#484345,#395197,#395198); #339069=AXIS2_PLACEMENT_3D('',#484346,#395199,#395200); #339070=AXIS2_PLACEMENT_3D('',#484355,#395205,#395206); #339071=AXIS2_PLACEMENT_3D('',#484357,#395207,#395208); #339072=AXIS2_PLACEMENT_3D('',#484359,#395209,#395210); #339073=AXIS2_PLACEMENT_3D('',#484361,#395212,#395213); #339074=AXIS2_PLACEMENT_3D('',#484363,#395214,#395215); #339075=AXIS2_PLACEMENT_3D('',#484365,#395216,#395217); #339076=AXIS2_PLACEMENT_3D('',#484367,#395219,#395220); #339077=AXIS2_PLACEMENT_3D('',#484373,#395224,#395225); #339078=AXIS2_PLACEMENT_3D('',#484375,#395226,#395227); #339079=AXIS2_PLACEMENT_3D('',#484377,#395228,#395229); #339080=AXIS2_PLACEMENT_3D('',#484379,#395231,#395232); #339081=AXIS2_PLACEMENT_3D('',#484381,#395233,#395234); #339082=AXIS2_PLACEMENT_3D('',#484383,#395235,#395236); #339083=AXIS2_PLACEMENT_3D('',#484385,#395238,#395239); #339084=AXIS2_PLACEMENT_3D('',#484391,#395243,#395244); #339085=AXIS2_PLACEMENT_3D('',#484392,#395245,#395246); #339086=AXIS2_PLACEMENT_3D('',#484393,#395247,#395248); #339087=AXIS2_PLACEMENT_3D('',#484394,#395249,#395250); #339088=AXIS2_PLACEMENT_3D('',#484395,#395251,#395252); #339089=AXIS2_PLACEMENT_3D('',#484396,#395253,#395254); #339090=AXIS2_PLACEMENT_3D('',#484398,#395255,#395256); #339091=AXIS2_PLACEMENT_3D('',#484401,#395258,#395259); #339092=AXIS2_PLACEMENT_3D('',#484402,#395260,#395261); #339093=AXIS2_PLACEMENT_3D('',#484404,#395262,#395263); #339094=AXIS2_PLACEMENT_3D('',#484407,#395265,#395266); #339095=AXIS2_PLACEMENT_3D('',#484408,#395267,#395268); #339096=AXIS2_PLACEMENT_3D('',#484417,#395273,#395274); #339097=AXIS2_PLACEMENT_3D('',#484419,#395275,#395276); #339098=AXIS2_PLACEMENT_3D('',#484421,#395277,#395278); #339099=AXIS2_PLACEMENT_3D('',#484423,#395280,#395281); #339100=AXIS2_PLACEMENT_3D('',#484429,#395285,#395286); #339101=AXIS2_PLACEMENT_3D('',#484435,#395290,#395291); #339102=AXIS2_PLACEMENT_3D('',#484441,#395295,#395296); #339103=AXIS2_PLACEMENT_3D('',#484443,#395297,#395298); #339104=AXIS2_PLACEMENT_3D('',#484445,#395299,#395300); #339105=AXIS2_PLACEMENT_3D('',#484447,#395302,#395303); #339106=AXIS2_PLACEMENT_3D('',#484449,#395304,#395305); #339107=AXIS2_PLACEMENT_3D('',#484451,#395306,#395307); #339108=AXIS2_PLACEMENT_3D('',#484453,#395309,#395310); #339109=AXIS2_PLACEMENT_3D('',#484459,#395314,#395315); #339110=AXIS2_PLACEMENT_3D('',#484461,#395316,#395317); #339111=AXIS2_PLACEMENT_3D('',#484463,#395318,#395319); #339112=AXIS2_PLACEMENT_3D('',#484465,#395321,#395322); #339113=AXIS2_PLACEMENT_3D('',#484471,#395326,#395327); #339114=AXIS2_PLACEMENT_3D('',#484473,#395328,#395329); #339115=AXIS2_PLACEMENT_3D('',#484475,#395330,#395331); #339116=AXIS2_PLACEMENT_3D('',#484477,#395333,#395334); #339117=AXIS2_PLACEMENT_3D('',#484483,#395338,#395339); #339118=AXIS2_PLACEMENT_3D('',#484484,#395340,#395341); #339119=AXIS2_PLACEMENT_3D('',#484485,#395342,#395343); #339120=AXIS2_PLACEMENT_3D('',#484486,#395344,#395345); #339121=AXIS2_PLACEMENT_3D('',#484487,#395346,#395347); #339122=AXIS2_PLACEMENT_3D('',#484488,#395348,#395349); #339123=AXIS2_PLACEMENT_3D('',#484490,#395350,#395351); #339124=AXIS2_PLACEMENT_3D('',#484493,#395353,#395354); #339125=AXIS2_PLACEMENT_3D('',#484494,#395355,#395356); #339126=AXIS2_PLACEMENT_3D('',#484503,#395361,#395362); #339127=AXIS2_PLACEMENT_3D('',#484509,#395366,#395367); #339128=AXIS2_PLACEMENT_3D('',#484515,#395371,#395372); #339129=AXIS2_PLACEMENT_3D('',#484518,#395375,#395376); #339130=AXIS2_PLACEMENT_3D('',#484519,#395377,#395378); #339131=AXIS2_PLACEMENT_3D('',#484520,#395379,#395380); #339132=AXIS2_PLACEMENT_3D('',#484522,#395381,#395382); #339133=AXIS2_PLACEMENT_3D('',#484525,#395384,#395385); #339134=AXIS2_PLACEMENT_3D('',#484526,#395386,#395387); #339135=AXIS2_PLACEMENT_3D('',#484535,#395392,#395393); #339136=AXIS2_PLACEMENT_3D('',#484541,#395397,#395398); #339137=AXIS2_PLACEMENT_3D('',#484547,#395402,#395403); #339138=AXIS2_PLACEMENT_3D('',#484550,#395406,#395407); #339139=AXIS2_PLACEMENT_3D('',#484551,#395408,#395409); #339140=AXIS2_PLACEMENT_3D('',#484552,#395410,#395411); #339141=AXIS2_PLACEMENT_3D('',#484554,#395412,#395413); #339142=AXIS2_PLACEMENT_3D('',#484557,#395415,#395416); #339143=AXIS2_PLACEMENT_3D('',#484558,#395417,#395418); #339144=AXIS2_PLACEMENT_3D('',#484567,#395423,#395424); #339145=AXIS2_PLACEMENT_3D('',#484573,#395428,#395429); #339146=AXIS2_PLACEMENT_3D('',#484579,#395433,#395434); #339147=AXIS2_PLACEMENT_3D('',#484582,#395437,#395438); #339148=AXIS2_PLACEMENT_3D('',#484583,#395439,#395440); #339149=AXIS2_PLACEMENT_3D('',#484584,#395441,#395442); #339150=AXIS2_PLACEMENT_3D('',#484586,#395443,#395444); #339151=AXIS2_PLACEMENT_3D('',#484589,#395446,#395447); #339152=AXIS2_PLACEMENT_3D('',#484590,#395448,#395449); #339153=AXIS2_PLACEMENT_3D('',#484599,#395454,#395455); #339154=AXIS2_PLACEMENT_3D('',#484601,#395456,#395457); #339155=AXIS2_PLACEMENT_3D('',#484603,#395458,#395459); #339156=AXIS2_PLACEMENT_3D('',#484605,#395461,#395462); #339157=AXIS2_PLACEMENT_3D('',#484611,#395466,#395467); #339158=AXIS2_PLACEMENT_3D('',#484617,#395471,#395472); #339159=AXIS2_PLACEMENT_3D('',#484623,#395476,#395477); #339160=AXIS2_PLACEMENT_3D('',#484629,#395481,#395482); #339161=AXIS2_PLACEMENT_3D('',#484635,#395486,#395487); #339162=AXIS2_PLACEMENT_3D('',#484641,#395491,#395492); #339163=AXIS2_PLACEMENT_3D('',#484647,#395496,#395497); #339164=AXIS2_PLACEMENT_3D('',#484648,#395498,#395499); #339165=AXIS2_PLACEMENT_3D('',#484649,#395500,#395501); #339166=AXIS2_PLACEMENT_3D('',#484650,#395502,#395503); #339167=AXIS2_PLACEMENT_3D('',#484651,#395504,#395505); #339168=AXIS2_PLACEMENT_3D('',#484652,#395506,#395507); #339169=AXIS2_PLACEMENT_3D('',#484654,#395508,#395509); #339170=AXIS2_PLACEMENT_3D('',#484657,#395511,#395512); #339171=AXIS2_PLACEMENT_3D('',#484658,#395513,#395514); #339172=AXIS2_PLACEMENT_3D('',#484660,#395515,#395516); #339173=AXIS2_PLACEMENT_3D('',#484663,#395518,#395519); #339174=AXIS2_PLACEMENT_3D('',#484664,#395520,#395521); #339175=AXIS2_PLACEMENT_3D('',#484673,#395526,#395527); #339176=AXIS2_PLACEMENT_3D('',#484679,#395531,#395532); #339177=AXIS2_PLACEMENT_3D('',#484681,#395533,#395534); #339178=AXIS2_PLACEMENT_3D('',#484683,#395535,#395536); #339179=AXIS2_PLACEMENT_3D('',#484685,#395538,#395539); #339180=AXIS2_PLACEMENT_3D('',#484691,#395543,#395544); #339181=AXIS2_PLACEMENT_3D('',#484697,#395548,#395549); #339182=AXIS2_PLACEMENT_3D('',#484699,#395550,#395551); #339183=AXIS2_PLACEMENT_3D('',#484701,#395552,#395553); #339184=AXIS2_PLACEMENT_3D('',#484703,#395555,#395556); #339185=AXIS2_PLACEMENT_3D('',#484709,#395560,#395561); #339186=AXIS2_PLACEMENT_3D('',#484715,#395565,#395566); #339187=AXIS2_PLACEMENT_3D('',#484717,#395567,#395568); #339188=AXIS2_PLACEMENT_3D('',#484719,#395569,#395570); #339189=AXIS2_PLACEMENT_3D('',#484721,#395572,#395573); #339190=AXIS2_PLACEMENT_3D('',#484723,#395574,#395575); #339191=AXIS2_PLACEMENT_3D('',#484725,#395576,#395577); #339192=AXIS2_PLACEMENT_3D('',#484727,#395579,#395580); #339193=AXIS2_PLACEMENT_3D('',#484733,#395584,#395585); #339194=AXIS2_PLACEMENT_3D('',#484735,#395586,#395587); #339195=AXIS2_PLACEMENT_3D('',#484737,#395588,#395589); #339196=AXIS2_PLACEMENT_3D('',#484739,#395591,#395592); #339197=AXIS2_PLACEMENT_3D('',#484745,#395596,#395597); #339198=AXIS2_PLACEMENT_3D('',#484751,#395601,#395602); #339199=AXIS2_PLACEMENT_3D('',#484753,#395603,#395604); #339200=AXIS2_PLACEMENT_3D('',#484755,#395605,#395606); #339201=AXIS2_PLACEMENT_3D('',#484757,#395608,#395609); #339202=AXIS2_PLACEMENT_3D('',#484763,#395613,#395614); #339203=AXIS2_PLACEMENT_3D('',#484769,#395618,#395619); #339204=AXIS2_PLACEMENT_3D('',#484775,#395623,#395624); #339205=AXIS2_PLACEMENT_3D('',#484781,#395628,#395629); #339206=AXIS2_PLACEMENT_3D('',#484787,#395633,#395634); #339207=AXIS2_PLACEMENT_3D('',#484793,#395638,#395639); #339208=AXIS2_PLACEMENT_3D('',#484795,#395640,#395641); #339209=AXIS2_PLACEMENT_3D('',#484797,#395642,#395643); #339210=AXIS2_PLACEMENT_3D('',#484799,#395645,#395646); #339211=AXIS2_PLACEMENT_3D('',#484805,#395650,#395651); #339212=AXIS2_PLACEMENT_3D('',#484811,#395655,#395656); #339213=AXIS2_PLACEMENT_3D('',#484813,#395657,#395658); #339214=AXIS2_PLACEMENT_3D('',#484815,#395659,#395660); #339215=AXIS2_PLACEMENT_3D('',#484817,#395662,#395663); #339216=AXIS2_PLACEMENT_3D('',#484823,#395667,#395668); #339217=AXIS2_PLACEMENT_3D('',#484825,#395669,#395670); #339218=AXIS2_PLACEMENT_3D('',#484827,#395671,#395672); #339219=AXIS2_PLACEMENT_3D('',#484829,#395674,#395675); #339220=AXIS2_PLACEMENT_3D('',#484835,#395679,#395680); #339221=AXIS2_PLACEMENT_3D('',#484841,#395684,#395685); #339222=AXIS2_PLACEMENT_3D('',#484847,#395689,#395690); #339223=AXIS2_PLACEMENT_3D('',#484849,#395691,#395692); #339224=AXIS2_PLACEMENT_3D('',#484851,#395693,#395694); #339225=AXIS2_PLACEMENT_3D('',#484853,#395696,#395697); #339226=AXIS2_PLACEMENT_3D('',#484859,#395701,#395702); #339227=AXIS2_PLACEMENT_3D('',#484861,#395703,#395704); #339228=AXIS2_PLACEMENT_3D('',#484863,#395705,#395706); #339229=AXIS2_PLACEMENT_3D('',#484865,#395708,#395709); #339230=AXIS2_PLACEMENT_3D('',#484871,#395713,#395714); #339231=AXIS2_PLACEMENT_3D('',#484877,#395718,#395719); #339232=AXIS2_PLACEMENT_3D('',#484879,#395720,#395721); #339233=AXIS2_PLACEMENT_3D('',#484881,#395722,#395723); #339234=AXIS2_PLACEMENT_3D('',#484883,#395725,#395726); #339235=AXIS2_PLACEMENT_3D('',#484889,#395730,#395731); #339236=AXIS2_PLACEMENT_3D('',#484891,#395732,#395733); #339237=AXIS2_PLACEMENT_3D('',#484893,#395734,#395735); #339238=AXIS2_PLACEMENT_3D('',#484895,#395737,#395738); #339239=AXIS2_PLACEMENT_3D('',#484897,#395739,#395740); #339240=AXIS2_PLACEMENT_3D('',#484899,#395741,#395742); #339241=AXIS2_PLACEMENT_3D('',#484901,#395744,#395745); #339242=AXIS2_PLACEMENT_3D('',#484907,#395749,#395750); #339243=AXIS2_PLACEMENT_3D('',#484913,#395754,#395755); #339244=AXIS2_PLACEMENT_3D('',#484915,#395756,#395757); #339245=AXIS2_PLACEMENT_3D('',#484917,#395758,#395759); #339246=AXIS2_PLACEMENT_3D('',#484919,#395761,#395762); #339247=AXIS2_PLACEMENT_3D('',#484925,#395766,#395767); #339248=AXIS2_PLACEMENT_3D('',#484931,#395771,#395772); #339249=AXIS2_PLACEMENT_3D('',#484937,#395776,#395777); #339250=AXIS2_PLACEMENT_3D('',#484939,#395778,#395779); #339251=AXIS2_PLACEMENT_3D('',#484941,#395780,#395781); #339252=AXIS2_PLACEMENT_3D('',#484943,#395783,#395784); #339253=AXIS2_PLACEMENT_3D('',#484949,#395788,#395789); #339254=AXIS2_PLACEMENT_3D('',#484951,#395790,#395791); #339255=AXIS2_PLACEMENT_3D('',#484953,#395792,#395793); #339256=AXIS2_PLACEMENT_3D('',#484955,#395795,#395796); #339257=AXIS2_PLACEMENT_3D('',#484961,#395800,#395801); #339258=AXIS2_PLACEMENT_3D('',#484967,#395805,#395806); #339259=AXIS2_PLACEMENT_3D('',#484973,#395810,#395811); #339260=AXIS2_PLACEMENT_3D('',#484975,#395812,#395813); #339261=AXIS2_PLACEMENT_3D('',#484977,#395814,#395815); #339262=AXIS2_PLACEMENT_3D('',#484979,#395817,#395818); #339263=AXIS2_PLACEMENT_3D('',#484985,#395822,#395823); #339264=AXIS2_PLACEMENT_3D('',#484991,#395827,#395828); #339265=AXIS2_PLACEMENT_3D('',#484993,#395829,#395830); #339266=AXIS2_PLACEMENT_3D('',#484995,#395831,#395832); #339267=AXIS2_PLACEMENT_3D('',#484997,#395834,#395835); #339268=AXIS2_PLACEMENT_3D('',#485003,#395839,#395840); #339269=AXIS2_PLACEMENT_3D('',#485005,#395841,#395842); #339270=AXIS2_PLACEMENT_3D('',#485007,#395843,#395844); #339271=AXIS2_PLACEMENT_3D('',#485009,#395846,#395847); #339272=AXIS2_PLACEMENT_3D('',#485015,#395851,#395852); #339273=AXIS2_PLACEMENT_3D('',#485017,#395853,#395854); #339274=AXIS2_PLACEMENT_3D('',#485019,#395855,#395856); #339275=AXIS2_PLACEMENT_3D('',#485021,#395858,#395859); #339276=AXIS2_PLACEMENT_3D('',#485027,#395863,#395864); #339277=AXIS2_PLACEMENT_3D('',#485028,#395865,#395866); #339278=AXIS2_PLACEMENT_3D('',#485029,#395867,#395868); #339279=AXIS2_PLACEMENT_3D('',#485030,#395869,#395870); #339280=AXIS2_PLACEMENT_3D('',#485031,#395871,#395872); #339281=AXIS2_PLACEMENT_3D('',#485032,#395873,#395874); #339282=AXIS2_PLACEMENT_3D('',#485034,#395875,#395876); #339283=AXIS2_PLACEMENT_3D('',#485037,#395878,#395879); #339284=AXIS2_PLACEMENT_3D('',#485038,#395880,#395881); #339285=AXIS2_PLACEMENT_3D('',#485040,#395882,#395883); #339286=AXIS2_PLACEMENT_3D('',#485043,#395885,#395886); #339287=AXIS2_PLACEMENT_3D('',#485044,#395887,#395888); #339288=AXIS2_PLACEMENT_3D('',#485053,#395893,#395894); #339289=AXIS2_PLACEMENT_3D('',#485055,#395895,#395896); #339290=AXIS2_PLACEMENT_3D('',#485057,#395897,#395898); #339291=AXIS2_PLACEMENT_3D('',#485059,#395900,#395901); #339292=AXIS2_PLACEMENT_3D('',#485065,#395905,#395906); #339293=AXIS2_PLACEMENT_3D('',#485067,#395907,#395908); #339294=AXIS2_PLACEMENT_3D('',#485069,#395909,#395910); #339295=AXIS2_PLACEMENT_3D('',#485071,#395912,#395913); #339296=AXIS2_PLACEMENT_3D('',#485073,#395914,#395915); #339297=AXIS2_PLACEMENT_3D('',#485075,#395916,#395917); #339298=AXIS2_PLACEMENT_3D('',#485077,#395919,#395920); #339299=AXIS2_PLACEMENT_3D('',#485083,#395924,#395925); #339300=AXIS2_PLACEMENT_3D('',#485084,#395926,#395927); #339301=AXIS2_PLACEMENT_3D('',#485085,#395928,#395929); #339302=AXIS2_PLACEMENT_3D('',#485086,#395930,#395931); #339303=AXIS2_PLACEMENT_3D('',#485087,#395932,#395933); #339304=AXIS2_PLACEMENT_3D('',#485088,#395934,#395935); #339305=AXIS2_PLACEMENT_3D('',#485090,#395936,#395937); #339306=AXIS2_PLACEMENT_3D('',#485093,#395939,#395940); #339307=AXIS2_PLACEMENT_3D('',#485094,#395941,#395942); #339308=AXIS2_PLACEMENT_3D('',#485103,#395947,#395948); #339309=AXIS2_PLACEMENT_3D('',#485105,#395949,#395950); #339310=AXIS2_PLACEMENT_3D('',#485107,#395951,#395952); #339311=AXIS2_PLACEMENT_3D('',#485109,#395954,#395955); #339312=AXIS2_PLACEMENT_3D('',#485115,#395959,#395960); #339313=AXIS2_PLACEMENT_3D('',#485121,#395964,#395965); #339314=AXIS2_PLACEMENT_3D('',#485127,#395969,#395970); #339315=AXIS2_PLACEMENT_3D('',#485133,#395974,#395975); #339316=AXIS2_PLACEMENT_3D('',#485139,#395979,#395980); #339317=AXIS2_PLACEMENT_3D('',#485145,#395984,#395985); #339318=AXIS2_PLACEMENT_3D('',#485151,#395989,#395990); #339319=AXIS2_PLACEMENT_3D('',#485152,#395991,#395992); #339320=AXIS2_PLACEMENT_3D('',#485153,#395993,#395994); #339321=AXIS2_PLACEMENT_3D('',#485154,#395995,#395996); #339322=AXIS2_PLACEMENT_3D('',#485155,#395997,#395998); #339323=AXIS2_PLACEMENT_3D('',#485156,#395999,#396000); #339324=AXIS2_PLACEMENT_3D('',#485158,#396001,#396002); #339325=AXIS2_PLACEMENT_3D('',#485161,#396004,#396005); #339326=AXIS2_PLACEMENT_3D('',#485162,#396006,#396007); #339327=AXIS2_PLACEMENT_3D('',#485171,#396012,#396013); #339328=AXIS2_PLACEMENT_3D('',#485173,#396014,#396015); #339329=AXIS2_PLACEMENT_3D('',#485175,#396016,#396017); #339330=AXIS2_PLACEMENT_3D('',#485177,#396019,#396020); #339331=AXIS2_PLACEMENT_3D('',#485183,#396024,#396025); #339332=AXIS2_PLACEMENT_3D('',#485189,#396029,#396030); #339333=AXIS2_PLACEMENT_3D('',#485195,#396034,#396035); #339334=AXIS2_PLACEMENT_3D('',#485201,#396039,#396040); #339335=AXIS2_PLACEMENT_3D('',#485207,#396044,#396045); #339336=AXIS2_PLACEMENT_3D('',#485213,#396049,#396050); #339337=AXIS2_PLACEMENT_3D('',#485219,#396054,#396055); #339338=AXIS2_PLACEMENT_3D('',#485220,#396056,#396057); #339339=AXIS2_PLACEMENT_3D('',#485221,#396058,#396059); #339340=AXIS2_PLACEMENT_3D('',#485222,#396060,#396061); #339341=AXIS2_PLACEMENT_3D('',#485223,#396062,#396063); #339342=AXIS2_PLACEMENT_3D('',#485224,#396064,#396065); #339343=AXIS2_PLACEMENT_3D('',#485226,#396066,#396067); #339344=AXIS2_PLACEMENT_3D('',#485229,#396069,#396070); #339345=AXIS2_PLACEMENT_3D('',#485230,#396071,#396072); #339346=AXIS2_PLACEMENT_3D('',#485239,#396077,#396078); #339347=AXIS2_PLACEMENT_3D('',#485241,#396079,#396080); #339348=AXIS2_PLACEMENT_3D('',#485243,#396081,#396082); #339349=AXIS2_PLACEMENT_3D('',#485245,#396084,#396085); #339350=AXIS2_PLACEMENT_3D('',#485251,#396089,#396090); #339351=AXIS2_PLACEMENT_3D('',#485253,#396091,#396092); #339352=AXIS2_PLACEMENT_3D('',#485255,#396093,#396094); #339353=AXIS2_PLACEMENT_3D('',#485257,#396096,#396097); #339354=AXIS2_PLACEMENT_3D('',#485263,#396101,#396102); #339355=AXIS2_PLACEMENT_3D('',#485265,#396103,#396104); #339356=AXIS2_PLACEMENT_3D('',#485267,#396105,#396106); #339357=AXIS2_PLACEMENT_3D('',#485269,#396108,#396109); #339358=AXIS2_PLACEMENT_3D('',#485275,#396113,#396114); #339359=AXIS2_PLACEMENT_3D('',#485281,#396118,#396119); #339360=AXIS2_PLACEMENT_3D('',#485287,#396123,#396124); #339361=AXIS2_PLACEMENT_3D('',#485293,#396128,#396129); #339362=AXIS2_PLACEMENT_3D('',#485299,#396133,#396134); #339363=AXIS2_PLACEMENT_3D('',#485305,#396138,#396139); #339364=AXIS2_PLACEMENT_3D('',#485311,#396143,#396144); #339365=AXIS2_PLACEMENT_3D('',#485317,#396148,#396149); #339366=AXIS2_PLACEMENT_3D('',#485323,#396153,#396154); #339367=AXIS2_PLACEMENT_3D('',#485325,#396155,#396156); #339368=AXIS2_PLACEMENT_3D('',#485327,#396157,#396158); #339369=AXIS2_PLACEMENT_3D('',#485329,#396160,#396161); #339370=AXIS2_PLACEMENT_3D('',#485330,#396162,#396163); #339371=AXIS2_PLACEMENT_3D('',#485331,#396164,#396165); #339372=AXIS2_PLACEMENT_3D('',#485332,#396166,#396167); #339373=AXIS2_PLACEMENT_3D('',#485333,#396168,#396169); #339374=AXIS2_PLACEMENT_3D('',#485334,#396170,#396171); #339375=AXIS2_PLACEMENT_3D('',#485336,#396172,#396173); #339376=AXIS2_PLACEMENT_3D('',#485339,#396175,#396176); #339377=AXIS2_PLACEMENT_3D('',#485340,#396177,#396178); #339378=AXIS2_PLACEMENT_3D('',#485349,#396183,#396184); #339379=AXIS2_PLACEMENT_3D('',#485355,#396188,#396189); #339380=AXIS2_PLACEMENT_3D('',#485361,#396193,#396194); #339381=AXIS2_PLACEMENT_3D('',#485367,#396198,#396199); #339382=AXIS2_PLACEMENT_3D('',#485373,#396203,#396204); #339383=AXIS2_PLACEMENT_3D('',#485379,#396208,#396209); #339384=AXIS2_PLACEMENT_3D('',#485385,#396213,#396214); #339385=AXIS2_PLACEMENT_3D('',#485391,#396218,#396219); #339386=AXIS2_PLACEMENT_3D('',#485393,#396220,#396221); #339387=AXIS2_PLACEMENT_3D('',#485395,#396222,#396223); #339388=AXIS2_PLACEMENT_3D('',#485397,#396225,#396226); #339389=AXIS2_PLACEMENT_3D('',#485399,#396227,#396228); #339390=AXIS2_PLACEMENT_3D('',#485401,#396229,#396230); #339391=AXIS2_PLACEMENT_3D('',#485403,#396232,#396233); #339392=AXIS2_PLACEMENT_3D('',#485409,#396237,#396238); #339393=AXIS2_PLACEMENT_3D('',#485411,#396239,#396240); #339394=AXIS2_PLACEMENT_3D('',#485413,#396241,#396242); #339395=AXIS2_PLACEMENT_3D('',#485415,#396244,#396245); #339396=AXIS2_PLACEMENT_3D('',#485421,#396249,#396250); #339397=AXIS2_PLACEMENT_3D('',#485423,#396251,#396252); #339398=AXIS2_PLACEMENT_3D('',#485425,#396253,#396254); #339399=AXIS2_PLACEMENT_3D('',#485427,#396256,#396257); #339400=AXIS2_PLACEMENT_3D('',#485430,#396260,#396261); #339401=AXIS2_PLACEMENT_3D('',#485431,#396262,#396263); #339402=AXIS2_PLACEMENT_3D('',#485432,#396264,#396265); #339403=AXIS2_PLACEMENT_3D('',#485434,#396266,#396267); #339404=AXIS2_PLACEMENT_3D('',#485437,#396269,#396270); #339405=AXIS2_PLACEMENT_3D('',#485438,#396271,#396272); #339406=AXIS2_PLACEMENT_3D('',#485447,#396277,#396278); #339407=AXIS2_PLACEMENT_3D('',#485449,#396279,#396280); #339408=AXIS2_PLACEMENT_3D('',#485451,#396281,#396282); #339409=AXIS2_PLACEMENT_3D('',#485453,#396284,#396285); #339410=AXIS2_PLACEMENT_3D('',#485454,#396286,#396287); #339411=AXIS2_PLACEMENT_3D('',#485455,#396288,#396289); #339412=AXIS2_PLACEMENT_3D('',#485456,#396290,#396291); #339413=AXIS2_PLACEMENT_3D('',#485457,#396292,#396293); #339414=AXIS2_PLACEMENT_3D('',#485458,#396294,#396295); #339415=AXIS2_PLACEMENT_3D('',#485460,#396296,#396297); #339416=AXIS2_PLACEMENT_3D('',#485463,#396299,#396300); #339417=AXIS2_PLACEMENT_3D('',#485464,#396301,#396302); #339418=AXIS2_PLACEMENT_3D('',#485473,#396307,#396308); #339419=AXIS2_PLACEMENT_3D('',#485479,#396312,#396313); #339420=AXIS2_PLACEMENT_3D('',#485485,#396317,#396318); #339421=AXIS2_PLACEMENT_3D('',#485491,#396322,#396323); #339422=AXIS2_PLACEMENT_3D('',#485497,#396327,#396328); #339423=AXIS2_PLACEMENT_3D('',#485503,#396332,#396333); #339424=AXIS2_PLACEMENT_3D('',#485509,#396337,#396338); #339425=AXIS2_PLACEMENT_3D('',#485511,#396339,#396340); #339426=AXIS2_PLACEMENT_3D('',#485513,#396341,#396342); #339427=AXIS2_PLACEMENT_3D('',#485515,#396344,#396345); #339428=AXIS2_PLACEMENT_3D('',#485521,#396349,#396350); #339429=AXIS2_PLACEMENT_3D('',#485527,#396354,#396355); #339430=AXIS2_PLACEMENT_3D('',#485529,#396356,#396357); #339431=AXIS2_PLACEMENT_3D('',#485531,#396358,#396359); #339432=AXIS2_PLACEMENT_3D('',#485533,#396361,#396362); #339433=AXIS2_PLACEMENT_3D('',#485535,#396363,#396364); #339434=AXIS2_PLACEMENT_3D('',#485537,#396365,#396366); #339435=AXIS2_PLACEMENT_3D('',#485539,#396368,#396369); #339436=AXIS2_PLACEMENT_3D('',#485545,#396373,#396374); #339437=AXIS2_PLACEMENT_3D('',#485547,#396375,#396376); #339438=AXIS2_PLACEMENT_3D('',#485549,#396377,#396378); #339439=AXIS2_PLACEMENT_3D('',#485551,#396380,#396381); #339440=AXIS2_PLACEMENT_3D('',#485557,#396385,#396386); #339441=AXIS2_PLACEMENT_3D('',#485563,#396390,#396391); #339442=AXIS2_PLACEMENT_3D('',#485565,#396392,#396393); #339443=AXIS2_PLACEMENT_3D('',#485567,#396394,#396395); #339444=AXIS2_PLACEMENT_3D('',#485569,#396397,#396398); #339445=AXIS2_PLACEMENT_3D('',#485575,#396402,#396403); #339446=AXIS2_PLACEMENT_3D('',#485577,#396404,#396405); #339447=AXIS2_PLACEMENT_3D('',#485579,#396406,#396407); #339448=AXIS2_PLACEMENT_3D('',#485581,#396409,#396410); #339449=AXIS2_PLACEMENT_3D('',#485587,#396414,#396415); #339450=AXIS2_PLACEMENT_3D('',#485590,#396418,#396419); #339451=AXIS2_PLACEMENT_3D('',#485591,#396420,#396421); #339452=AXIS2_PLACEMENT_3D('',#485592,#396422,#396423); #339453=AXIS2_PLACEMENT_3D('',#485594,#396424,#396425); #339454=AXIS2_PLACEMENT_3D('',#485597,#396427,#396428); #339455=AXIS2_PLACEMENT_3D('',#485598,#396429,#396430); #339456=AXIS2_PLACEMENT_3D('',#485607,#396435,#396436); #339457=AXIS2_PLACEMENT_3D('',#485613,#396440,#396441); #339458=AXIS2_PLACEMENT_3D('',#485619,#396445,#396446); #339459=AXIS2_PLACEMENT_3D('',#485625,#396450,#396451); #339460=AXIS2_PLACEMENT_3D('',#485627,#396452,#396453); #339461=AXIS2_PLACEMENT_3D('',#485629,#396454,#396455); #339462=AXIS2_PLACEMENT_3D('',#485631,#396457,#396458); #339463=AXIS2_PLACEMENT_3D('',#485637,#396462,#396463); #339464=AXIS2_PLACEMENT_3D('',#485639,#396464,#396465); #339465=AXIS2_PLACEMENT_3D('',#485641,#396466,#396467); #339466=AXIS2_PLACEMENT_3D('',#485643,#396469,#396470); #339467=AXIS2_PLACEMENT_3D('',#485649,#396474,#396475); #339468=AXIS2_PLACEMENT_3D('',#485651,#396476,#396477); #339469=AXIS2_PLACEMENT_3D('',#485653,#396478,#396479); #339470=AXIS2_PLACEMENT_3D('',#485655,#396481,#396482); #339471=AXIS2_PLACEMENT_3D('',#485657,#396483,#396484); #339472=AXIS2_PLACEMENT_3D('',#485659,#396485,#396486); #339473=AXIS2_PLACEMENT_3D('',#485661,#396488,#396489); #339474=AXIS2_PLACEMENT_3D('',#485667,#396493,#396494); #339475=AXIS2_PLACEMENT_3D('',#485673,#396498,#396499); #339476=AXIS2_PLACEMENT_3D('',#485679,#396503,#396504); #339477=AXIS2_PLACEMENT_3D('',#485685,#396508,#396509); #339478=AXIS2_PLACEMENT_3D('',#485688,#396512,#396513); #339479=AXIS2_PLACEMENT_3D('',#485689,#396514,#396515); #339480=AXIS2_PLACEMENT_3D('',#485690,#396516,#396517); #339481=AXIS2_PLACEMENT_3D('',#485699,#396522,#396523); #339482=AXIS2_PLACEMENT_3D('',#485705,#396527,#396528); #339483=AXIS2_PLACEMENT_3D('',#485711,#396532,#396533); #339484=AXIS2_PLACEMENT_3D('',#485717,#396537,#396538); #339485=AXIS2_PLACEMENT_3D('',#485723,#396542,#396543); #339486=AXIS2_PLACEMENT_3D('',#485725,#396544,#396545); #339487=AXIS2_PLACEMENT_3D('',#485727,#396546,#396547); #339488=AXIS2_PLACEMENT_3D('',#485729,#396549,#396550); #339489=AXIS2_PLACEMENT_3D('',#485735,#396554,#396555); #339490=AXIS2_PLACEMENT_3D('',#485741,#396559,#396560); #339491=AXIS2_PLACEMENT_3D('',#485747,#396564,#396565); #339492=AXIS2_PLACEMENT_3D('',#485753,#396569,#396570); #339493=AXIS2_PLACEMENT_3D('',#485759,#396574,#396575); #339494=AXIS2_PLACEMENT_3D('',#485765,#396579,#396580); #339495=AXIS2_PLACEMENT_3D('',#485771,#396584,#396585); #339496=AXIS2_PLACEMENT_3D('',#485774,#396588,#396589); #339497=AXIS2_PLACEMENT_3D('',#485775,#396590,#396591); #339498=AXIS2_PLACEMENT_3D('',#485776,#396592,#396593); #339499=AXIS2_PLACEMENT_3D('',#485785,#396598,#396599); #339500=AXIS2_PLACEMENT_3D('',#485791,#396603,#396604); #339501=AXIS2_PLACEMENT_3D('',#485797,#396608,#396609); #339502=AXIS2_PLACEMENT_3D('',#485803,#396613,#396614); #339503=AXIS2_PLACEMENT_3D('',#485809,#396618,#396619); #339504=AXIS2_PLACEMENT_3D('',#485815,#396623,#396624); #339505=AXIS2_PLACEMENT_3D('',#485821,#396628,#396629); #339506=AXIS2_PLACEMENT_3D('',#485827,#396633,#396634); #339507=AXIS2_PLACEMENT_3D('',#485833,#396638,#396639); #339508=AXIS2_PLACEMENT_3D('',#485839,#396643,#396644); #339509=AXIS2_PLACEMENT_3D('',#485845,#396648,#396649); #339510=AXIS2_PLACEMENT_3D('',#485847,#396650,#396651); #339511=AXIS2_PLACEMENT_3D('',#485849,#396652,#396653); #339512=AXIS2_PLACEMENT_3D('',#485851,#396655,#396656); #339513=AXIS2_PLACEMENT_3D('',#485857,#396660,#396661); #339514=AXIS2_PLACEMENT_3D('',#485860,#396664,#396665); #339515=AXIS2_PLACEMENT_3D('',#485861,#396666,#396667); #339516=AXIS2_PLACEMENT_3D('',#485862,#396668,#396669); #339517=AXIS2_PLACEMENT_3D('',#485864,#396670,#396671); #339518=AXIS2_PLACEMENT_3D('',#485867,#396673,#396674); #339519=AXIS2_PLACEMENT_3D('',#485868,#396675,#396676); #339520=AXIS2_PLACEMENT_3D('',#485877,#396681,#396682); #339521=AXIS2_PLACEMENT_3D('',#485883,#396686,#396687); #339522=AXIS2_PLACEMENT_3D('',#485885,#396688,#396689); #339523=AXIS2_PLACEMENT_3D('',#485887,#396690,#396691); #339524=AXIS2_PLACEMENT_3D('',#485889,#396693,#396694); #339525=AXIS2_PLACEMENT_3D('',#485895,#396698,#396699); #339526=AXIS2_PLACEMENT_3D('',#485897,#396700,#396701); #339527=AXIS2_PLACEMENT_3D('',#485899,#396702,#396703); #339528=AXIS2_PLACEMENT_3D('',#485901,#396705,#396706); #339529=AXIS2_PLACEMENT_3D('',#485907,#396710,#396711); #339530=AXIS2_PLACEMENT_3D('',#485913,#396715,#396716); #339531=AXIS2_PLACEMENT_3D('',#485915,#396717,#396718); #339532=AXIS2_PLACEMENT_3D('',#485917,#396719,#396720); #339533=AXIS2_PLACEMENT_3D('',#485919,#396722,#396723); #339534=AXIS2_PLACEMENT_3D('',#485925,#396727,#396728); #339535=AXIS2_PLACEMENT_3D('',#485931,#396732,#396733); #339536=AXIS2_PLACEMENT_3D('',#485937,#396737,#396738); #339537=AXIS2_PLACEMENT_3D('',#485943,#396742,#396743); #339538=AXIS2_PLACEMENT_3D('',#485949,#396747,#396748); #339539=AXIS2_PLACEMENT_3D('',#485955,#396752,#396753); #339540=AXIS2_PLACEMENT_3D('',#485961,#396757,#396758); #339541=AXIS2_PLACEMENT_3D('',#485967,#396762,#396763); #339542=AXIS2_PLACEMENT_3D('',#485969,#396764,#396765); #339543=AXIS2_PLACEMENT_3D('',#485971,#396766,#396767); #339544=AXIS2_PLACEMENT_3D('',#485973,#396769,#396770); #339545=AXIS2_PLACEMENT_3D('',#485979,#396774,#396775); #339546=AXIS2_PLACEMENT_3D('',#485985,#396779,#396780); #339547=AXIS2_PLACEMENT_3D('',#485991,#396784,#396785); #339548=AXIS2_PLACEMENT_3D('',#485997,#396789,#396790); #339549=AXIS2_PLACEMENT_3D('',#485999,#396791,#396792); #339550=AXIS2_PLACEMENT_3D('',#486001,#396793,#396794); #339551=AXIS2_PLACEMENT_3D('',#486003,#396796,#396797); #339552=AXIS2_PLACEMENT_3D('',#486009,#396801,#396802); #339553=AXIS2_PLACEMENT_3D('',#486015,#396806,#396807); #339554=AXIS2_PLACEMENT_3D('',#486021,#396811,#396812); #339555=AXIS2_PLACEMENT_3D('',#486027,#396816,#396817); #339556=AXIS2_PLACEMENT_3D('',#486033,#396821,#396822); #339557=AXIS2_PLACEMENT_3D('',#486039,#396826,#396827); #339558=AXIS2_PLACEMENT_3D('',#486045,#396831,#396832); #339559=AXIS2_PLACEMENT_3D('',#486051,#396836,#396837); #339560=AXIS2_PLACEMENT_3D('',#486057,#396841,#396842); #339561=AXIS2_PLACEMENT_3D('',#486063,#396846,#396847); #339562=AXIS2_PLACEMENT_3D('',#486069,#396851,#396852); #339563=AXIS2_PLACEMENT_3D('',#486075,#396856,#396857); #339564=AXIS2_PLACEMENT_3D('',#486081,#396861,#396862); #339565=AXIS2_PLACEMENT_3D('',#486087,#396866,#396867); #339566=AXIS2_PLACEMENT_3D('',#486093,#396871,#396872); #339567=AXIS2_PLACEMENT_3D('',#486099,#396876,#396877); #339568=AXIS2_PLACEMENT_3D('',#486100,#396878,#396879); #339569=AXIS2_PLACEMENT_3D('',#486101,#396880,#396881); #339570=AXIS2_PLACEMENT_3D('',#486102,#396882,#396883); #339571=AXIS2_PLACEMENT_3D('',#486103,#396884,#396885); #339572=AXIS2_PLACEMENT_3D('',#486104,#396886,#396887); #339573=AXIS2_PLACEMENT_3D('',#486106,#396888,#396889); #339574=AXIS2_PLACEMENT_3D('',#486109,#396891,#396892); #339575=AXIS2_PLACEMENT_3D('',#486110,#396893,#396894); #339576=AXIS2_PLACEMENT_3D('',#486112,#396895,#396896); #339577=AXIS2_PLACEMENT_3D('',#486115,#396898,#396899); #339578=AXIS2_PLACEMENT_3D('',#486116,#396900,#396901); #339579=AXIS2_PLACEMENT_3D('',#486125,#396906,#396907); #339580=AXIS2_PLACEMENT_3D('',#486127,#396908,#396909); #339581=AXIS2_PLACEMENT_3D('',#486129,#396910,#396911); #339582=AXIS2_PLACEMENT_3D('',#486131,#396913,#396914); #339583=AXIS2_PLACEMENT_3D('',#486137,#396918,#396919); #339584=AXIS2_PLACEMENT_3D('',#486139,#396920,#396921); #339585=AXIS2_PLACEMENT_3D('',#486141,#396922,#396923); #339586=AXIS2_PLACEMENT_3D('',#486143,#396925,#396926); #339587=AXIS2_PLACEMENT_3D('',#486145,#396927,#396928); #339588=AXIS2_PLACEMENT_3D('',#486147,#396929,#396930); #339589=AXIS2_PLACEMENT_3D('',#486149,#396932,#396933); #339590=AXIS2_PLACEMENT_3D('',#486155,#396937,#396938); #339591=AXIS2_PLACEMENT_3D('',#486156,#396939,#396940); #339592=AXIS2_PLACEMENT_3D('',#486157,#396941,#396942); #339593=AXIS2_PLACEMENT_3D('',#486158,#396943,#396944); #339594=AXIS2_PLACEMENT_3D('',#486159,#396945,#396946); #339595=AXIS2_PLACEMENT_3D('',#486160,#396947,#396948); #339596=AXIS2_PLACEMENT_3D('',#486162,#396949,#396950); #339597=AXIS2_PLACEMENT_3D('',#486165,#396952,#396953); #339598=AXIS2_PLACEMENT_3D('',#486166,#396954,#396955); #339599=AXIS2_PLACEMENT_3D('',#486175,#396960,#396961); #339600=AXIS2_PLACEMENT_3D('',#486181,#396965,#396966); #339601=AXIS2_PLACEMENT_3D('',#486187,#396970,#396971); #339602=AXIS2_PLACEMENT_3D('',#486190,#396974,#396975); #339603=AXIS2_PLACEMENT_3D('',#486191,#396976,#396977); #339604=AXIS2_PLACEMENT_3D('',#486192,#396978,#396979); #339605=AXIS2_PLACEMENT_3D('',#486194,#396980,#396981); #339606=AXIS2_PLACEMENT_3D('',#486197,#396983,#396984); #339607=AXIS2_PLACEMENT_3D('',#486198,#396985,#396986); #339608=AXIS2_PLACEMENT_3D('',#486200,#396987,#396988); #339609=AXIS2_PLACEMENT_3D('',#486203,#396990,#396991); #339610=AXIS2_PLACEMENT_3D('',#486204,#396992,#396993); #339611=AXIS2_PLACEMENT_3D('',#486213,#396998,#396999); #339612=AXIS2_PLACEMENT_3D('',#486215,#397000,#397001); #339613=AXIS2_PLACEMENT_3D('',#486217,#397002,#397003); #339614=AXIS2_PLACEMENT_3D('',#486219,#397005,#397006); #339615=AXIS2_PLACEMENT_3D('',#486225,#397010,#397011); #339616=AXIS2_PLACEMENT_3D('',#486227,#397012,#397013); #339617=AXIS2_PLACEMENT_3D('',#486229,#397014,#397015); #339618=AXIS2_PLACEMENT_3D('',#486231,#397017,#397018); #339619=AXIS2_PLACEMENT_3D('',#486237,#397022,#397023); #339620=AXIS2_PLACEMENT_3D('',#486239,#397024,#397025); #339621=AXIS2_PLACEMENT_3D('',#486241,#397026,#397027); #339622=AXIS2_PLACEMENT_3D('',#486243,#397029,#397030); #339623=AXIS2_PLACEMENT_3D('',#486249,#397034,#397035); #339624=AXIS2_PLACEMENT_3D('',#486251,#397036,#397037); #339625=AXIS2_PLACEMENT_3D('',#486253,#397038,#397039); #339626=AXIS2_PLACEMENT_3D('',#486255,#397041,#397042); #339627=AXIS2_PLACEMENT_3D('',#486261,#397046,#397047); #339628=AXIS2_PLACEMENT_3D('',#486263,#397048,#397049); #339629=AXIS2_PLACEMENT_3D('',#486265,#397050,#397051); #339630=AXIS2_PLACEMENT_3D('',#486267,#397053,#397054); #339631=AXIS2_PLACEMENT_3D('',#486273,#397058,#397059); #339632=AXIS2_PLACEMENT_3D('',#486275,#397060,#397061); #339633=AXIS2_PLACEMENT_3D('',#486277,#397062,#397063); #339634=AXIS2_PLACEMENT_3D('',#486279,#397065,#397066); #339635=AXIS2_PLACEMENT_3D('',#486285,#397070,#397071); #339636=AXIS2_PLACEMENT_3D('',#486287,#397072,#397073); #339637=AXIS2_PLACEMENT_3D('',#486289,#397074,#397075); #339638=AXIS2_PLACEMENT_3D('',#486291,#397077,#397078); #339639=AXIS2_PLACEMENT_3D('',#486293,#397079,#397080); #339640=AXIS2_PLACEMENT_3D('',#486295,#397081,#397082); #339641=AXIS2_PLACEMENT_3D('',#486297,#397084,#397085); #339642=AXIS2_PLACEMENT_3D('',#486303,#397089,#397090); #339643=AXIS2_PLACEMENT_3D('',#486309,#397094,#397095); #339644=AXIS2_PLACEMENT_3D('',#486315,#397099,#397100); #339645=AXIS2_PLACEMENT_3D('',#486321,#397104,#397105); #339646=AXIS2_PLACEMENT_3D('',#486327,#397109,#397110); #339647=AXIS2_PLACEMENT_3D('',#486333,#397114,#397115); #339648=AXIS2_PLACEMENT_3D('',#486335,#397116,#397117); #339649=AXIS2_PLACEMENT_3D('',#486337,#397118,#397119); #339650=AXIS2_PLACEMENT_3D('',#486339,#397121,#397122); #339651=AXIS2_PLACEMENT_3D('',#486340,#397123,#397124); #339652=AXIS2_PLACEMENT_3D('',#486341,#397125,#397126); #339653=AXIS2_PLACEMENT_3D('',#486342,#397127,#397128); #339654=AXIS2_PLACEMENT_3D('',#486343,#397129,#397130); #339655=AXIS2_PLACEMENT_3D('',#486344,#397131,#397132); #339656=AXIS2_PLACEMENT_3D('',#486346,#397133,#397134); #339657=AXIS2_PLACEMENT_3D('',#486349,#397136,#397137); #339658=AXIS2_PLACEMENT_3D('',#486350,#397138,#397139); #339659=AXIS2_PLACEMENT_3D('',#486352,#397140,#397141); #339660=AXIS2_PLACEMENT_3D('',#486355,#397143,#397144); #339661=AXIS2_PLACEMENT_3D('',#486356,#397145,#397146); #339662=AXIS2_PLACEMENT_3D('',#486365,#397151,#397152); #339663=AXIS2_PLACEMENT_3D('',#486367,#397153,#397154); #339664=AXIS2_PLACEMENT_3D('',#486369,#397155,#397156); #339665=AXIS2_PLACEMENT_3D('',#486371,#397158,#397159); #339666=AXIS2_PLACEMENT_3D('',#486377,#397163,#397164); #339667=AXIS2_PLACEMENT_3D('',#486379,#397165,#397166); #339668=AXIS2_PLACEMENT_3D('',#486381,#397167,#397168); #339669=AXIS2_PLACEMENT_3D('',#486383,#397170,#397171); #339670=AXIS2_PLACEMENT_3D('',#486385,#397172,#397173); #339671=AXIS2_PLACEMENT_3D('',#486387,#397174,#397175); #339672=AXIS2_PLACEMENT_3D('',#486389,#397177,#397178); #339673=AXIS2_PLACEMENT_3D('',#486395,#397182,#397183); #339674=AXIS2_PLACEMENT_3D('',#486401,#397187,#397188); #339675=AXIS2_PLACEMENT_3D('',#486402,#397189,#397190); #339676=AXIS2_PLACEMENT_3D('',#486403,#397191,#397192); #339677=AXIS2_PLACEMENT_3D('',#486404,#397193,#397194); #339678=AXIS2_PLACEMENT_3D('',#486405,#397195,#397196); #339679=AXIS2_PLACEMENT_3D('',#486406,#397197,#397198); #339680=AXIS2_PLACEMENT_3D('',#486408,#397199,#397200); #339681=AXIS2_PLACEMENT_3D('',#486411,#397202,#397203); #339682=AXIS2_PLACEMENT_3D('',#486412,#397204,#397205); #339683=AXIS2_PLACEMENT_3D('',#486421,#397210,#397211); #339684=AXIS2_PLACEMENT_3D('',#486427,#397215,#397216); #339685=AXIS2_PLACEMENT_3D('',#486433,#397220,#397221); #339686=AXIS2_PLACEMENT_3D('',#486436,#397224,#397225); #339687=AXIS2_PLACEMENT_3D('',#486437,#397226,#397227); #339688=AXIS2_PLACEMENT_3D('',#486438,#397228,#397229); #339689=AXIS2_PLACEMENT_3D('',#486440,#397230,#397231); #339690=AXIS2_PLACEMENT_3D('',#486443,#397233,#397234); #339691=AXIS2_PLACEMENT_3D('',#486444,#397235,#397236); #339692=AXIS2_PLACEMENT_3D('',#486446,#397237,#397238); #339693=AXIS2_PLACEMENT_3D('',#486449,#397240,#397241); #339694=AXIS2_PLACEMENT_3D('',#486450,#397242,#397243); #339695=AXIS2_PLACEMENT_3D('',#486452,#397244,#397245); #339696=AXIS2_PLACEMENT_3D('',#486455,#397247,#397248); #339697=AXIS2_PLACEMENT_3D('',#486456,#397249,#397250); #339698=AXIS2_PLACEMENT_3D('',#486458,#397251,#397252); #339699=AXIS2_PLACEMENT_3D('',#486461,#397254,#397255); #339700=AXIS2_PLACEMENT_3D('',#486462,#397256,#397257); #339701=AXIS2_PLACEMENT_3D('',#486471,#397262,#397263); #339702=AXIS2_PLACEMENT_3D('',#486477,#397267,#397268); #339703=AXIS2_PLACEMENT_3D('',#486483,#397272,#397273); #339704=AXIS2_PLACEMENT_3D('',#486489,#397277,#397278); #339705=AXIS2_PLACEMENT_3D('',#486495,#397282,#397283); #339706=AXIS2_PLACEMENT_3D('',#486501,#397287,#397288); #339707=AXIS2_PLACEMENT_3D('',#486507,#397292,#397293); #339708=AXIS2_PLACEMENT_3D('',#486513,#397297,#397298); #339709=AXIS2_PLACEMENT_3D('',#486519,#397302,#397303); #339710=AXIS2_PLACEMENT_3D('',#486525,#397307,#397308); #339711=AXIS2_PLACEMENT_3D('',#486531,#397312,#397313); #339712=AXIS2_PLACEMENT_3D('',#486537,#397317,#397318); #339713=AXIS2_PLACEMENT_3D('',#486543,#397322,#397323); #339714=AXIS2_PLACEMENT_3D('',#486549,#397327,#397328); #339715=AXIS2_PLACEMENT_3D('',#486555,#397332,#397333); #339716=AXIS2_PLACEMENT_3D('',#486558,#397336,#397337); #339717=AXIS2_PLACEMENT_3D('',#486567,#397342,#397343); #339718=AXIS2_PLACEMENT_3D('',#486573,#397347,#397348); #339719=AXIS2_PLACEMENT_3D('',#486579,#397352,#397353); #339720=AXIS2_PLACEMENT_3D('',#486585,#397357,#397358); #339721=AXIS2_PLACEMENT_3D('',#486591,#397362,#397363); #339722=AXIS2_PLACEMENT_3D('',#486597,#397367,#397368); #339723=AXIS2_PLACEMENT_3D('',#486603,#397372,#397373); #339724=AXIS2_PLACEMENT_3D('',#486609,#397377,#397378); #339725=AXIS2_PLACEMENT_3D('',#486615,#397382,#397383); #339726=AXIS2_PLACEMENT_3D('',#486621,#397387,#397388); #339727=AXIS2_PLACEMENT_3D('',#486627,#397392,#397393); #339728=AXIS2_PLACEMENT_3D('',#486633,#397397,#397398); #339729=AXIS2_PLACEMENT_3D('',#486639,#397402,#397403); #339730=AXIS2_PLACEMENT_3D('',#486645,#397407,#397408); #339731=AXIS2_PLACEMENT_3D('',#486651,#397412,#397413); #339732=AXIS2_PLACEMENT_3D('',#486657,#397417,#397418); #339733=AXIS2_PLACEMENT_3D('',#486663,#397422,#397423); #339734=AXIS2_PLACEMENT_3D('',#486669,#397427,#397428); #339735=AXIS2_PLACEMENT_3D('',#486675,#397432,#397433); #339736=AXIS2_PLACEMENT_3D('',#486681,#397437,#397438); #339737=AXIS2_PLACEMENT_3D('',#486687,#397442,#397443); #339738=AXIS2_PLACEMENT_3D('',#486693,#397447,#397448); #339739=AXIS2_PLACEMENT_3D('',#486699,#397452,#397453); #339740=AXIS2_PLACEMENT_3D('',#486705,#397457,#397458); #339741=AXIS2_PLACEMENT_3D('',#486711,#397462,#397463); #339742=AXIS2_PLACEMENT_3D('',#486717,#397467,#397468); #339743=AXIS2_PLACEMENT_3D('',#486723,#397472,#397473); #339744=AXIS2_PLACEMENT_3D('',#486729,#397477,#397478); #339745=AXIS2_PLACEMENT_3D('',#486735,#397482,#397483); #339746=AXIS2_PLACEMENT_3D('',#486741,#397487,#397488); #339747=AXIS2_PLACEMENT_3D('',#486747,#397492,#397493); #339748=AXIS2_PLACEMENT_3D('',#486753,#397497,#397498); #339749=AXIS2_PLACEMENT_3D('',#486759,#397502,#397503); #339750=AXIS2_PLACEMENT_3D('',#486765,#397507,#397508); #339751=AXIS2_PLACEMENT_3D('',#486771,#397512,#397513); #339752=AXIS2_PLACEMENT_3D('',#486777,#397517,#397518); #339753=AXIS2_PLACEMENT_3D('',#486783,#397522,#397523); #339754=AXIS2_PLACEMENT_3D('',#486789,#397527,#397528); #339755=AXIS2_PLACEMENT_3D('',#486795,#397532,#397533); #339756=AXIS2_PLACEMENT_3D('',#486801,#397537,#397538); #339757=AXIS2_PLACEMENT_3D('',#486807,#397542,#397543); #339758=AXIS2_PLACEMENT_3D('',#486813,#397547,#397548); #339759=AXIS2_PLACEMENT_3D('',#486819,#397552,#397553); #339760=AXIS2_PLACEMENT_3D('',#486825,#397557,#397558); #339761=AXIS2_PLACEMENT_3D('',#486831,#397562,#397563); #339762=AXIS2_PLACEMENT_3D('',#486837,#397567,#397568); #339763=AXIS2_PLACEMENT_3D('',#486843,#397572,#397573); #339764=AXIS2_PLACEMENT_3D('',#486849,#397577,#397578); #339765=AXIS2_PLACEMENT_3D('',#486855,#397582,#397583); #339766=AXIS2_PLACEMENT_3D('',#486861,#397587,#397588); #339767=AXIS2_PLACEMENT_3D('',#486867,#397592,#397593); #339768=AXIS2_PLACEMENT_3D('',#486873,#397597,#397598); #339769=AXIS2_PLACEMENT_3D('',#486879,#397602,#397603); #339770=AXIS2_PLACEMENT_3D('',#486885,#397607,#397608); #339771=AXIS2_PLACEMENT_3D('',#486887,#397609,#397610); #339772=AXIS2_PLACEMENT_3D('',#486889,#397611,#397612); #339773=AXIS2_PLACEMENT_3D('',#486891,#397614,#397615); #339774=AXIS2_PLACEMENT_3D('',#486897,#397619,#397620); #339775=AXIS2_PLACEMENT_3D('',#486903,#397624,#397625); #339776=AXIS2_PLACEMENT_3D('',#486909,#397629,#397630); #339777=AXIS2_PLACEMENT_3D('',#486911,#397631,#397632); #339778=AXIS2_PLACEMENT_3D('',#486913,#397633,#397634); #339779=AXIS2_PLACEMENT_3D('',#486915,#397636,#397637); #339780=AXIS2_PLACEMENT_3D('',#486917,#397638,#397639); #339781=AXIS2_PLACEMENT_3D('',#486919,#397640,#397641); #339782=AXIS2_PLACEMENT_3D('',#486921,#397643,#397644); #339783=AXIS2_PLACEMENT_3D('',#486927,#397648,#397649); #339784=AXIS2_PLACEMENT_3D('',#486929,#397650,#397651); #339785=AXIS2_PLACEMENT_3D('',#486931,#397652,#397653); #339786=AXIS2_PLACEMENT_3D('',#486933,#397655,#397656); #339787=AXIS2_PLACEMENT_3D('',#486939,#397660,#397661); #339788=AXIS2_PLACEMENT_3D('',#486941,#397662,#397663); #339789=AXIS2_PLACEMENT_3D('',#486943,#397664,#397665); #339790=AXIS2_PLACEMENT_3D('',#486945,#397667,#397668); #339791=AXIS2_PLACEMENT_3D('',#486951,#397672,#397673); #339792=AXIS2_PLACEMENT_3D('',#486953,#397674,#397675); #339793=AXIS2_PLACEMENT_3D('',#486955,#397676,#397677); #339794=AXIS2_PLACEMENT_3D('',#486957,#397679,#397680); #339795=AXIS2_PLACEMENT_3D('',#486963,#397684,#397685); #339796=AXIS2_PLACEMENT_3D('',#486969,#397689,#397690); #339797=AXIS2_PLACEMENT_3D('',#486975,#397694,#397695); #339798=AXIS2_PLACEMENT_3D('',#486981,#397699,#397700); #339799=AXIS2_PLACEMENT_3D('',#486987,#397704,#397705); #339800=AXIS2_PLACEMENT_3D('',#486993,#397709,#397710); #339801=AXIS2_PLACEMENT_3D('',#486999,#397714,#397715); #339802=AXIS2_PLACEMENT_3D('',#487002,#397718,#397719); #339803=AXIS2_PLACEMENT_3D('',#487003,#397720,#397721); #339804=AXIS2_PLACEMENT_3D('',#487004,#397722,#397723); #339805=AXIS2_PLACEMENT_3D('',#487006,#397724,#397725); #339806=AXIS2_PLACEMENT_3D('',#487009,#397727,#397728); #339807=AXIS2_PLACEMENT_3D('',#487010,#397729,#397730); #339808=AXIS2_PLACEMENT_3D('',#487019,#397735,#397736); #339809=AXIS2_PLACEMENT_3D('',#487025,#397740,#397741); #339810=AXIS2_PLACEMENT_3D('',#487027,#397742,#397743); #339811=AXIS2_PLACEMENT_3D('',#487029,#397744,#397745); #339812=AXIS2_PLACEMENT_3D('',#487031,#397747,#397748); #339813=AXIS2_PLACEMENT_3D('',#487037,#397752,#397753); #339814=AXIS2_PLACEMENT_3D('',#487043,#397757,#397758); #339815=AXIS2_PLACEMENT_3D('',#487049,#397762,#397763); #339816=AXIS2_PLACEMENT_3D('',#487055,#397767,#397768); #339817=AXIS2_PLACEMENT_3D('',#487061,#397772,#397773); #339818=AXIS2_PLACEMENT_3D('',#487067,#397777,#397778); #339819=AXIS2_PLACEMENT_3D('',#487073,#397782,#397783); #339820=AXIS2_PLACEMENT_3D('',#487079,#397787,#397788); #339821=AXIS2_PLACEMENT_3D('',#487085,#397792,#397793); #339822=AXIS2_PLACEMENT_3D('',#487091,#397797,#397798); #339823=AXIS2_PLACEMENT_3D('',#487097,#397802,#397803); #339824=AXIS2_PLACEMENT_3D('',#487103,#397807,#397808); #339825=AXIS2_PLACEMENT_3D('',#487109,#397812,#397813); #339826=AXIS2_PLACEMENT_3D('',#487115,#397817,#397818); #339827=AXIS2_PLACEMENT_3D('',#487121,#397822,#397823); #339828=AXIS2_PLACEMENT_3D('',#487127,#397827,#397828); #339829=AXIS2_PLACEMENT_3D('',#487133,#397832,#397833); #339830=AXIS2_PLACEMENT_3D('',#487139,#397837,#397838); #339831=AXIS2_PLACEMENT_3D('',#487145,#397842,#397843); #339832=AXIS2_PLACEMENT_3D('',#487151,#397847,#397848); #339833=AXIS2_PLACEMENT_3D('',#487153,#397849,#397850); #339834=AXIS2_PLACEMENT_3D('',#487155,#397851,#397852); #339835=AXIS2_PLACEMENT_3D('',#487157,#397854,#397855); #339836=AXIS2_PLACEMENT_3D('',#487163,#397859,#397860); #339837=AXIS2_PLACEMENT_3D('',#487169,#397864,#397865); #339838=AXIS2_PLACEMENT_3D('',#487175,#397869,#397870); #339839=AXIS2_PLACEMENT_3D('',#487177,#397871,#397872); #339840=AXIS2_PLACEMENT_3D('',#487179,#397873,#397874); #339841=AXIS2_PLACEMENT_3D('',#487181,#397876,#397877); #339842=AXIS2_PLACEMENT_3D('',#487187,#397881,#397882); #339843=AXIS2_PLACEMENT_3D('',#487193,#397886,#397887); #339844=AXIS2_PLACEMENT_3D('',#487195,#397888,#397889); #339845=AXIS2_PLACEMENT_3D('',#487197,#397890,#397891); #339846=AXIS2_PLACEMENT_3D('',#487199,#397893,#397894); #339847=AXIS2_PLACEMENT_3D('',#487205,#397898,#397899); #339848=AXIS2_PLACEMENT_3D('',#487207,#397900,#397901); #339849=AXIS2_PLACEMENT_3D('',#487209,#397902,#397903); #339850=AXIS2_PLACEMENT_3D('',#487211,#397905,#397906); #339851=AXIS2_PLACEMENT_3D('',#487213,#397907,#397908); #339852=AXIS2_PLACEMENT_3D('',#487215,#397909,#397910); #339853=AXIS2_PLACEMENT_3D('',#487217,#397912,#397913); #339854=AXIS2_PLACEMENT_3D('',#487223,#397917,#397918); #339855=AXIS2_PLACEMENT_3D('',#487229,#397922,#397923); #339856=AXIS2_PLACEMENT_3D('',#487231,#397924,#397925); #339857=AXIS2_PLACEMENT_3D('',#487233,#397926,#397927); #339858=AXIS2_PLACEMENT_3D('',#487235,#397929,#397930); #339859=AXIS2_PLACEMENT_3D('',#487241,#397934,#397935); #339860=AXIS2_PLACEMENT_3D('',#487247,#397939,#397940); #339861=AXIS2_PLACEMENT_3D('',#487249,#397941,#397942); #339862=AXIS2_PLACEMENT_3D('',#487251,#397943,#397944); #339863=AXIS2_PLACEMENT_3D('',#487253,#397946,#397947); #339864=AXIS2_PLACEMENT_3D('',#487259,#397951,#397952); #339865=AXIS2_PLACEMENT_3D('',#487261,#397953,#397954); #339866=AXIS2_PLACEMENT_3D('',#487263,#397955,#397956); #339867=AXIS2_PLACEMENT_3D('',#487265,#397958,#397959); #339868=AXIS2_PLACEMENT_3D('',#487271,#397963,#397964); #339869=AXIS2_PLACEMENT_3D('',#487277,#397968,#397969); #339870=AXIS2_PLACEMENT_3D('',#487283,#397973,#397974); #339871=AXIS2_PLACEMENT_3D('',#487289,#397978,#397979); #339872=AXIS2_PLACEMENT_3D('',#487295,#397983,#397984); #339873=AXIS2_PLACEMENT_3D('',#487296,#397985,#397986); #339874=AXIS2_PLACEMENT_3D('',#487297,#397987,#397988); #339875=AXIS2_PLACEMENT_3D('',#487298,#397989,#397990); #339876=AXIS2_PLACEMENT_3D('',#487299,#397991,#397992); #339877=AXIS2_PLACEMENT_3D('',#487300,#397993,#397994); #339878=AXIS2_PLACEMENT_3D('',#487302,#397995,#397996); #339879=AXIS2_PLACEMENT_3D('',#487305,#397998,#397999); #339880=AXIS2_PLACEMENT_3D('',#487306,#398000,#398001); #339881=AXIS2_PLACEMENT_3D('',#487308,#398002,#398003); #339882=AXIS2_PLACEMENT_3D('',#487311,#398005,#398006); #339883=AXIS2_PLACEMENT_3D('',#487312,#398007,#398008); #339884=AXIS2_PLACEMENT_3D('',#487314,#398009,#398010); #339885=AXIS2_PLACEMENT_3D('',#487317,#398012,#398013); #339886=AXIS2_PLACEMENT_3D('',#487318,#398014,#398015); #339887=AXIS2_PLACEMENT_3D('',#487320,#398016,#398017); #339888=AXIS2_PLACEMENT_3D('',#487323,#398019,#398020); #339889=AXIS2_PLACEMENT_3D('',#487324,#398021,#398022); #339890=AXIS2_PLACEMENT_3D('',#487326,#398023,#398024); #339891=AXIS2_PLACEMENT_3D('',#487329,#398026,#398027); #339892=AXIS2_PLACEMENT_3D('',#487330,#398028,#398029); #339893=AXIS2_PLACEMENT_3D('',#487332,#398030,#398031); #339894=AXIS2_PLACEMENT_3D('',#487335,#398033,#398034); #339895=AXIS2_PLACEMENT_3D('',#487336,#398035,#398036); #339896=AXIS2_PLACEMENT_3D('',#487338,#398037,#398038); #339897=AXIS2_PLACEMENT_3D('',#487341,#398040,#398041); #339898=AXIS2_PLACEMENT_3D('',#487342,#398042,#398043); #339899=AXIS2_PLACEMENT_3D('',#487344,#398044,#398045); #339900=AXIS2_PLACEMENT_3D('',#487347,#398047,#398048); #339901=AXIS2_PLACEMENT_3D('',#487348,#398049,#398050); #339902=AXIS2_PLACEMENT_3D('',#487350,#398051,#398052); #339903=AXIS2_PLACEMENT_3D('',#487353,#398054,#398055); #339904=AXIS2_PLACEMENT_3D('',#487354,#398056,#398057); #339905=AXIS2_PLACEMENT_3D('',#487356,#398058,#398059); #339906=AXIS2_PLACEMENT_3D('',#487359,#398061,#398062); #339907=AXIS2_PLACEMENT_3D('',#487360,#398063,#398064); #339908=AXIS2_PLACEMENT_3D('',#487362,#398065,#398066); #339909=AXIS2_PLACEMENT_3D('',#487365,#398068,#398069); #339910=AXIS2_PLACEMENT_3D('',#487366,#398070,#398071); #339911=AXIS2_PLACEMENT_3D('',#487368,#398072,#398073); #339912=AXIS2_PLACEMENT_3D('',#487371,#398075,#398076); #339913=AXIS2_PLACEMENT_3D('',#487372,#398077,#398078); #339914=AXIS2_PLACEMENT_3D('',#487381,#398083,#398084); #339915=AXIS2_PLACEMENT_3D('',#487387,#398088,#398089); #339916=AXIS2_PLACEMENT_3D('',#487393,#398093,#398094); #339917=AXIS2_PLACEMENT_3D('',#487399,#398098,#398099); #339918=AXIS2_PLACEMENT_3D('',#487405,#398103,#398104); #339919=AXIS2_PLACEMENT_3D('',#487411,#398108,#398109); #339920=AXIS2_PLACEMENT_3D('',#487417,#398113,#398114); #339921=AXIS2_PLACEMENT_3D('',#487423,#398118,#398119); #339922=AXIS2_PLACEMENT_3D('',#487429,#398123,#398124); #339923=AXIS2_PLACEMENT_3D('',#487435,#398128,#398129); #339924=AXIS2_PLACEMENT_3D('',#487441,#398133,#398134); #339925=AXIS2_PLACEMENT_3D('',#487447,#398138,#398139); #339926=AXIS2_PLACEMENT_3D('',#487453,#398143,#398144); #339927=AXIS2_PLACEMENT_3D('',#487459,#398148,#398149); #339928=AXIS2_PLACEMENT_3D('',#487465,#398153,#398154); #339929=AXIS2_PLACEMENT_3D('',#487471,#398158,#398159); #339930=AXIS2_PLACEMENT_3D('',#487477,#398163,#398164); #339931=AXIS2_PLACEMENT_3D('',#487483,#398168,#398169); #339932=AXIS2_PLACEMENT_3D('',#487489,#398173,#398174); #339933=AXIS2_PLACEMENT_3D('',#487495,#398178,#398179); #339934=AXIS2_PLACEMENT_3D('',#487501,#398183,#398184); #339935=AXIS2_PLACEMENT_3D('',#487507,#398188,#398189); #339936=AXIS2_PLACEMENT_3D('',#487513,#398193,#398194); #339937=AXIS2_PLACEMENT_3D('',#487519,#398198,#398199); #339938=AXIS2_PLACEMENT_3D('',#487525,#398203,#398204); #339939=AXIS2_PLACEMENT_3D('',#487531,#398208,#398209); #339940=AXIS2_PLACEMENT_3D('',#487537,#398213,#398214); #339941=AXIS2_PLACEMENT_3D('',#487543,#398218,#398219); #339942=AXIS2_PLACEMENT_3D('',#487549,#398223,#398224); #339943=AXIS2_PLACEMENT_3D('',#487555,#398228,#398229); #339944=AXIS2_PLACEMENT_3D('',#487561,#398233,#398234); #339945=AXIS2_PLACEMENT_3D('',#487567,#398238,#398239); #339946=AXIS2_PLACEMENT_3D('',#487573,#398243,#398244); #339947=AXIS2_PLACEMENT_3D('',#487579,#398248,#398249); #339948=AXIS2_PLACEMENT_3D('',#487585,#398253,#398254); #339949=AXIS2_PLACEMENT_3D('',#487591,#398258,#398259); #339950=AXIS2_PLACEMENT_3D('',#487597,#398263,#398264); #339951=AXIS2_PLACEMENT_3D('',#487603,#398268,#398269); #339952=AXIS2_PLACEMENT_3D('',#487609,#398273,#398274); #339953=AXIS2_PLACEMENT_3D('',#487615,#398278,#398279); #339954=AXIS2_PLACEMENT_3D('',#487621,#398283,#398284); #339955=AXIS2_PLACEMENT_3D('',#487627,#398288,#398289); #339956=AXIS2_PLACEMENT_3D('',#487633,#398293,#398294); #339957=AXIS2_PLACEMENT_3D('',#487639,#398298,#398299); #339958=AXIS2_PLACEMENT_3D('',#487645,#398303,#398304); #339959=AXIS2_PLACEMENT_3D('',#487651,#398308,#398309); #339960=AXIS2_PLACEMENT_3D('',#487657,#398313,#398314); #339961=AXIS2_PLACEMENT_3D('',#487663,#398318,#398319); #339962=AXIS2_PLACEMENT_3D('',#487669,#398323,#398324); #339963=AXIS2_PLACEMENT_3D('',#487675,#398328,#398329); #339964=AXIS2_PLACEMENT_3D('',#487681,#398333,#398334); #339965=AXIS2_PLACEMENT_3D('',#487687,#398338,#398339); #339966=AXIS2_PLACEMENT_3D('',#487693,#398343,#398344); #339967=AXIS2_PLACEMENT_3D('',#487699,#398348,#398349); #339968=AXIS2_PLACEMENT_3D('',#487705,#398353,#398354); #339969=AXIS2_PLACEMENT_3D('',#487711,#398358,#398359); #339970=AXIS2_PLACEMENT_3D('',#487717,#398363,#398364); #339971=AXIS2_PLACEMENT_3D('',#487723,#398368,#398369); #339972=AXIS2_PLACEMENT_3D('',#487729,#398373,#398374); #339973=AXIS2_PLACEMENT_3D('',#487735,#398378,#398379); #339974=AXIS2_PLACEMENT_3D('',#487741,#398383,#398384); #339975=AXIS2_PLACEMENT_3D('',#487747,#398388,#398389); #339976=AXIS2_PLACEMENT_3D('',#487753,#398393,#398394); #339977=AXIS2_PLACEMENT_3D('',#487759,#398398,#398399); #339978=AXIS2_PLACEMENT_3D('',#487765,#398403,#398404); #339979=AXIS2_PLACEMENT_3D('',#487771,#398408,#398409); #339980=AXIS2_PLACEMENT_3D('',#487777,#398413,#398414); #339981=AXIS2_PLACEMENT_3D('',#487783,#398418,#398419); #339982=AXIS2_PLACEMENT_3D('',#487789,#398423,#398424); #339983=AXIS2_PLACEMENT_3D('',#487795,#398428,#398429); #339984=AXIS2_PLACEMENT_3D('',#487801,#398433,#398434); #339985=AXIS2_PLACEMENT_3D('',#487807,#398438,#398439); #339986=AXIS2_PLACEMENT_3D('',#487813,#398443,#398444); #339987=AXIS2_PLACEMENT_3D('',#487819,#398448,#398449); #339988=AXIS2_PLACEMENT_3D('',#487825,#398453,#398454); #339989=AXIS2_PLACEMENT_3D('',#487831,#398458,#398459); #339990=AXIS2_PLACEMENT_3D('',#487837,#398463,#398464); #339991=AXIS2_PLACEMENT_3D('',#487843,#398468,#398469); #339992=AXIS2_PLACEMENT_3D('',#487849,#398473,#398474); #339993=AXIS2_PLACEMENT_3D('',#487855,#398478,#398479); #339994=AXIS2_PLACEMENT_3D('',#487861,#398483,#398484); #339995=AXIS2_PLACEMENT_3D('',#487867,#398488,#398489); #339996=AXIS2_PLACEMENT_3D('',#487873,#398493,#398494); #339997=AXIS2_PLACEMENT_3D('',#487879,#398498,#398499); #339998=AXIS2_PLACEMENT_3D('',#487885,#398503,#398504); #339999=AXIS2_PLACEMENT_3D('',#487891,#398508,#398509); #340000=AXIS2_PLACEMENT_3D('',#487897,#398513,#398514); #340001=AXIS2_PLACEMENT_3D('',#487903,#398518,#398519); #340002=AXIS2_PLACEMENT_3D('',#487909,#398523,#398524); #340003=AXIS2_PLACEMENT_3D('',#487915,#398528,#398529); #340004=AXIS2_PLACEMENT_3D('',#487921,#398533,#398534); #340005=AXIS2_PLACEMENT_3D('',#487927,#398538,#398539); #340006=AXIS2_PLACEMENT_3D('',#487933,#398543,#398544); #340007=AXIS2_PLACEMENT_3D('',#487939,#398548,#398549); #340008=AXIS2_PLACEMENT_3D('',#487945,#398553,#398554); #340009=AXIS2_PLACEMENT_3D('',#487951,#398558,#398559); #340010=AXIS2_PLACEMENT_3D('',#487954,#398562,#398563); #340011=AXIS2_PLACEMENT_3D('',#487955,#398564,#398565); #340012=AXIS2_PLACEMENT_3D('',#487956,#398566,#398567); #340013=AXIS2_PLACEMENT_3D('',#487965,#398572,#398573); #340014=AXIS2_PLACEMENT_3D('',#487971,#398577,#398578); #340015=AXIS2_PLACEMENT_3D('',#487977,#398582,#398583); #340016=AXIS2_PLACEMENT_3D('',#487983,#398587,#398588); #340017=AXIS2_PLACEMENT_3D('',#487989,#398592,#398593); #340018=AXIS2_PLACEMENT_3D('',#487995,#398597,#398598); #340019=AXIS2_PLACEMENT_3D('',#488001,#398602,#398603); #340020=AXIS2_PLACEMENT_3D('',#488007,#398607,#398608); #340021=AXIS2_PLACEMENT_3D('',#488013,#398612,#398613); #340022=AXIS2_PLACEMENT_3D('',#488019,#398617,#398618); #340023=AXIS2_PLACEMENT_3D('',#488025,#398622,#398623); #340024=AXIS2_PLACEMENT_3D('',#488031,#398627,#398628); #340025=AXIS2_PLACEMENT_3D('',#488037,#398632,#398633); #340026=AXIS2_PLACEMENT_3D('',#488043,#398637,#398638); #340027=AXIS2_PLACEMENT_3D('',#488049,#398642,#398643); #340028=AXIS2_PLACEMENT_3D('',#488055,#398647,#398648); #340029=AXIS2_PLACEMENT_3D('',#488061,#398652,#398653); #340030=AXIS2_PLACEMENT_3D('',#488067,#398657,#398658); #340031=AXIS2_PLACEMENT_3D('',#488073,#398662,#398663); #340032=AXIS2_PLACEMENT_3D('',#488079,#398667,#398668); #340033=AXIS2_PLACEMENT_3D('',#488082,#398671,#398672); #340034=AXIS2_PLACEMENT_3D('',#488083,#398673,#398674); #340035=AXIS2_PLACEMENT_3D('',#488084,#398675,#398676); #340036=AXIS2_PLACEMENT_3D('',#488093,#398681,#398682); #340037=AXIS2_PLACEMENT_3D('',#488099,#398686,#398687); #340038=AXIS2_PLACEMENT_3D('',#488105,#398691,#398692); #340039=AXIS2_PLACEMENT_3D('',#488111,#398696,#398697); #340040=AXIS2_PLACEMENT_3D('',#488117,#398701,#398702); #340041=AXIS2_PLACEMENT_3D('',#488123,#398706,#398707); #340042=AXIS2_PLACEMENT_3D('',#488129,#398711,#398712); #340043=AXIS2_PLACEMENT_3D('',#488135,#398716,#398717); #340044=AXIS2_PLACEMENT_3D('',#488141,#398721,#398722); #340045=AXIS2_PLACEMENT_3D('',#488147,#398726,#398727); #340046=AXIS2_PLACEMENT_3D('',#488153,#398731,#398732); #340047=AXIS2_PLACEMENT_3D('',#488159,#398736,#398737); #340048=AXIS2_PLACEMENT_3D('',#488165,#398741,#398742); #340049=AXIS2_PLACEMENT_3D('',#488171,#398746,#398747); #340050=AXIS2_PLACEMENT_3D('',#488177,#398751,#398752); #340051=AXIS2_PLACEMENT_3D('',#488183,#398756,#398757); #340052=AXIS2_PLACEMENT_3D('',#488189,#398761,#398762); #340053=AXIS2_PLACEMENT_3D('',#488195,#398766,#398767); #340054=AXIS2_PLACEMENT_3D('',#488201,#398771,#398772); #340055=AXIS2_PLACEMENT_3D('',#488204,#398775,#398776); #340056=AXIS2_PLACEMENT_3D('',#488205,#398777,#398778); #340057=AXIS2_PLACEMENT_3D('',#488206,#398779,#398780); #340058=AXIS2_PLACEMENT_3D('',#488215,#398785,#398786); #340059=AXIS2_PLACEMENT_3D('',#488221,#398790,#398791); #340060=AXIS2_PLACEMENT_3D('',#488227,#398795,#398796); #340061=AXIS2_PLACEMENT_3D('',#488233,#398800,#398801); #340062=AXIS2_PLACEMENT_3D('',#488239,#398805,#398806); #340063=AXIS2_PLACEMENT_3D('',#488245,#398810,#398811); #340064=AXIS2_PLACEMENT_3D('',#488251,#398815,#398816); #340065=AXIS2_PLACEMENT_3D('',#488257,#398820,#398821); #340066=AXIS2_PLACEMENT_3D('',#488263,#398825,#398826); #340067=AXIS2_PLACEMENT_3D('',#488269,#398830,#398831); #340068=AXIS2_PLACEMENT_3D('',#488275,#398835,#398836); #340069=AXIS2_PLACEMENT_3D('',#488281,#398840,#398841); #340070=AXIS2_PLACEMENT_3D('',#488287,#398845,#398846); #340071=AXIS2_PLACEMENT_3D('',#488293,#398850,#398851); #340072=AXIS2_PLACEMENT_3D('',#488299,#398855,#398856); #340073=AXIS2_PLACEMENT_3D('',#488305,#398860,#398861); #340074=AXIS2_PLACEMENT_3D('',#488311,#398865,#398866); #340075=AXIS2_PLACEMENT_3D('',#488317,#398870,#398871); #340076=AXIS2_PLACEMENT_3D('',#488323,#398875,#398876); #340077=AXIS2_PLACEMENT_3D('',#488329,#398880,#398881); #340078=AXIS2_PLACEMENT_3D('',#488335,#398885,#398886); #340079=AXIS2_PLACEMENT_3D('',#488341,#398890,#398891); #340080=AXIS2_PLACEMENT_3D('',#488347,#398895,#398896); #340081=AXIS2_PLACEMENT_3D('',#488350,#398899,#398900); #340082=AXIS2_PLACEMENT_3D('',#488351,#398901,#398902); #340083=AXIS2_PLACEMENT_3D('',#488352,#398903,#398904); #340084=AXIS2_PLACEMENT_3D('',#488361,#398909,#398910); #340085=AXIS2_PLACEMENT_3D('',#488367,#398914,#398915); #340086=AXIS2_PLACEMENT_3D('',#488373,#398919,#398920); #340087=AXIS2_PLACEMENT_3D('',#488379,#398924,#398925); #340088=AXIS2_PLACEMENT_3D('',#488385,#398929,#398930); #340089=AXIS2_PLACEMENT_3D('',#488391,#398934,#398935); #340090=AXIS2_PLACEMENT_3D('',#488397,#398939,#398940); #340091=AXIS2_PLACEMENT_3D('',#488403,#398944,#398945); #340092=AXIS2_PLACEMENT_3D('',#488409,#398949,#398950); #340093=AXIS2_PLACEMENT_3D('',#488415,#398954,#398955); #340094=AXIS2_PLACEMENT_3D('',#488421,#398959,#398960); #340095=AXIS2_PLACEMENT_3D('',#488427,#398964,#398965); #340096=AXIS2_PLACEMENT_3D('',#488433,#398969,#398970); #340097=AXIS2_PLACEMENT_3D('',#488439,#398974,#398975); #340098=AXIS2_PLACEMENT_3D('',#488445,#398979,#398980); #340099=AXIS2_PLACEMENT_3D('',#488451,#398984,#398985); #340100=AXIS2_PLACEMENT_3D('',#488457,#398989,#398990); #340101=AXIS2_PLACEMENT_3D('',#488463,#398994,#398995); #340102=AXIS2_PLACEMENT_3D('',#488469,#398999,#399000); #340103=AXIS2_PLACEMENT_3D('',#488475,#399004,#399005); #340104=AXIS2_PLACEMENT_3D('',#488478,#399008,#399009); #340105=AXIS2_PLACEMENT_3D('',#488479,#399010,#399011); #340106=AXIS2_PLACEMENT_3D('',#488480,#399012,#399013); #340107=AXIS2_PLACEMENT_3D('',#488489,#399018,#399019); #340108=AXIS2_PLACEMENT_3D('',#488495,#399023,#399024); #340109=AXIS2_PLACEMENT_3D('',#488501,#399028,#399029); #340110=AXIS2_PLACEMENT_3D('',#488507,#399033,#399034); #340111=AXIS2_PLACEMENT_3D('',#488513,#399038,#399039); #340112=AXIS2_PLACEMENT_3D('',#488519,#399043,#399044); #340113=AXIS2_PLACEMENT_3D('',#488525,#399048,#399049); #340114=AXIS2_PLACEMENT_3D('',#488531,#399053,#399054); #340115=AXIS2_PLACEMENT_3D('',#488537,#399058,#399059); #340116=AXIS2_PLACEMENT_3D('',#488543,#399063,#399064); #340117=AXIS2_PLACEMENT_3D('',#488549,#399068,#399069); #340118=AXIS2_PLACEMENT_3D('',#488555,#399073,#399074); #340119=AXIS2_PLACEMENT_3D('',#488561,#399078,#399079); #340120=AXIS2_PLACEMENT_3D('',#488567,#399083,#399084); #340121=AXIS2_PLACEMENT_3D('',#488573,#399088,#399089); #340122=AXIS2_PLACEMENT_3D('',#488579,#399093,#399094); #340123=AXIS2_PLACEMENT_3D('',#488585,#399098,#399099); #340124=AXIS2_PLACEMENT_3D('',#488591,#399103,#399104); #340125=AXIS2_PLACEMENT_3D('',#488597,#399108,#399109); #340126=AXIS2_PLACEMENT_3D('',#488603,#399113,#399114); #340127=AXIS2_PLACEMENT_3D('',#488609,#399118,#399119); #340128=AXIS2_PLACEMENT_3D('',#488615,#399123,#399124); #340129=AXIS2_PLACEMENT_3D('',#488621,#399128,#399129); #340130=AXIS2_PLACEMENT_3D('',#488627,#399133,#399134); #340131=AXIS2_PLACEMENT_3D('',#488633,#399138,#399139); #340132=AXIS2_PLACEMENT_3D('',#488639,#399143,#399144); #340133=AXIS2_PLACEMENT_3D('',#488645,#399148,#399149); #340134=AXIS2_PLACEMENT_3D('',#488651,#399153,#399154); #340135=AXIS2_PLACEMENT_3D('',#488657,#399158,#399159); #340136=AXIS2_PLACEMENT_3D('',#488663,#399163,#399164); #340137=AXIS2_PLACEMENT_3D('',#488669,#399168,#399169); #340138=AXIS2_PLACEMENT_3D('',#488675,#399173,#399174); #340139=AXIS2_PLACEMENT_3D('',#488681,#399178,#399179); #340140=AXIS2_PLACEMENT_3D('',#488687,#399183,#399184); #340141=AXIS2_PLACEMENT_3D('',#488690,#399187,#399188); #340142=AXIS2_PLACEMENT_3D('',#488691,#399189,#399190); #340143=AXIS2_PLACEMENT_3D('',#488692,#399191,#399192); #340144=AXIS2_PLACEMENT_3D('',#488701,#399197,#399198); #340145=AXIS2_PLACEMENT_3D('',#488707,#399202,#399203); #340146=AXIS2_PLACEMENT_3D('',#488713,#399207,#399208); #340147=AXIS2_PLACEMENT_3D('',#488719,#399212,#399213); #340148=AXIS2_PLACEMENT_3D('',#488725,#399217,#399218); #340149=AXIS2_PLACEMENT_3D('',#488731,#399222,#399223); #340150=AXIS2_PLACEMENT_3D('',#488737,#399227,#399228); #340151=AXIS2_PLACEMENT_3D('',#488743,#399232,#399233); #340152=AXIS2_PLACEMENT_3D('',#488749,#399237,#399238); #340153=AXIS2_PLACEMENT_3D('',#488755,#399242,#399243); #340154=AXIS2_PLACEMENT_3D('',#488761,#399247,#399248); #340155=AXIS2_PLACEMENT_3D('',#488767,#399252,#399253); #340156=AXIS2_PLACEMENT_3D('',#488773,#399257,#399258); #340157=AXIS2_PLACEMENT_3D('',#488779,#399262,#399263); #340158=AXIS2_PLACEMENT_3D('',#488785,#399267,#399268); #340159=AXIS2_PLACEMENT_3D('',#488791,#399272,#399273); #340160=AXIS2_PLACEMENT_3D('',#488797,#399277,#399278); #340161=AXIS2_PLACEMENT_3D('',#488803,#399282,#399283); #340162=AXIS2_PLACEMENT_3D('',#488809,#399287,#399288); #340163=AXIS2_PLACEMENT_3D('',#488815,#399292,#399293); #340164=AXIS2_PLACEMENT_3D('',#488818,#399296,#399297); #340165=AXIS2_PLACEMENT_3D('',#488819,#399298,#399299); #340166=AXIS2_PLACEMENT_3D('',#488820,#399300,#399301); #340167=AXIS2_PLACEMENT_3D('',#488829,#399306,#399307); #340168=AXIS2_PLACEMENT_3D('',#488835,#399311,#399312); #340169=AXIS2_PLACEMENT_3D('',#488841,#399316,#399317); #340170=AXIS2_PLACEMENT_3D('',#488847,#399321,#399322); #340171=AXIS2_PLACEMENT_3D('',#488853,#399326,#399327); #340172=AXIS2_PLACEMENT_3D('',#488859,#399331,#399332); #340173=AXIS2_PLACEMENT_3D('',#488865,#399336,#399337); #340174=AXIS2_PLACEMENT_3D('',#488871,#399341,#399342); #340175=AXIS2_PLACEMENT_3D('',#488877,#399346,#399347); #340176=AXIS2_PLACEMENT_3D('',#488883,#399351,#399352); #340177=AXIS2_PLACEMENT_3D('',#488889,#399356,#399357); #340178=AXIS2_PLACEMENT_3D('',#488895,#399361,#399362); #340179=AXIS2_PLACEMENT_3D('',#488901,#399366,#399367); #340180=AXIS2_PLACEMENT_3D('',#488907,#399371,#399372); #340181=AXIS2_PLACEMENT_3D('',#488913,#399376,#399377); #340182=AXIS2_PLACEMENT_3D('',#488919,#399381,#399382); #340183=AXIS2_PLACEMENT_3D('',#488925,#399386,#399387); #340184=AXIS2_PLACEMENT_3D('',#488931,#399391,#399392); #340185=AXIS2_PLACEMENT_3D('',#488937,#399396,#399397); #340186=AXIS2_PLACEMENT_3D('',#488943,#399401,#399402); #340187=AXIS2_PLACEMENT_3D('',#488949,#399406,#399407); #340188=AXIS2_PLACEMENT_3D('',#488955,#399411,#399412); #340189=AXIS2_PLACEMENT_3D('',#488961,#399416,#399417); #340190=AXIS2_PLACEMENT_3D('',#488967,#399421,#399422); #340191=AXIS2_PLACEMENT_3D('',#488973,#399426,#399427); #340192=AXIS2_PLACEMENT_3D('',#488979,#399431,#399432); #340193=AXIS2_PLACEMENT_3D('',#488985,#399436,#399437); #340194=AXIS2_PLACEMENT_3D('',#488991,#399441,#399442); #340195=AXIS2_PLACEMENT_3D('',#488997,#399446,#399447); #340196=AXIS2_PLACEMENT_3D('',#489003,#399451,#399452); #340197=AXIS2_PLACEMENT_3D('',#489006,#399455,#399456); #340198=AXIS2_PLACEMENT_3D('',#489007,#399457,#399458); #340199=AXIS2_PLACEMENT_3D('',#489008,#399459,#399460); #340200=AXIS2_PLACEMENT_3D('',#489017,#399465,#399466); #340201=AXIS2_PLACEMENT_3D('',#489023,#399470,#399471); #340202=AXIS2_PLACEMENT_3D('',#489029,#399475,#399476); #340203=AXIS2_PLACEMENT_3D('',#489035,#399480,#399481); #340204=AXIS2_PLACEMENT_3D('',#489041,#399485,#399486); #340205=AXIS2_PLACEMENT_3D('',#489047,#399490,#399491); #340206=AXIS2_PLACEMENT_3D('',#489053,#399495,#399496); #340207=AXIS2_PLACEMENT_3D('',#489059,#399500,#399501); #340208=AXIS2_PLACEMENT_3D('',#489065,#399505,#399506); #340209=AXIS2_PLACEMENT_3D('',#489071,#399510,#399511); #340210=AXIS2_PLACEMENT_3D('',#489077,#399515,#399516); #340211=AXIS2_PLACEMENT_3D('',#489083,#399520,#399521); #340212=AXIS2_PLACEMENT_3D('',#489089,#399525,#399526); #340213=AXIS2_PLACEMENT_3D('',#489095,#399530,#399531); #340214=AXIS2_PLACEMENT_3D('',#489101,#399535,#399536); #340215=AXIS2_PLACEMENT_3D('',#489107,#399540,#399541); #340216=AXIS2_PLACEMENT_3D('',#489113,#399545,#399546); #340217=AXIS2_PLACEMENT_3D('',#489119,#399550,#399551); #340218=AXIS2_PLACEMENT_3D('',#489125,#399555,#399556); #340219=AXIS2_PLACEMENT_3D('',#489131,#399560,#399561); #340220=AXIS2_PLACEMENT_3D('',#489137,#399565,#399566); #340221=AXIS2_PLACEMENT_3D('',#489140,#399569,#399570); #340222=AXIS2_PLACEMENT_3D('',#489141,#399571,#399572); #340223=AXIS2_PLACEMENT_3D('',#489142,#399573,#399574); #340224=AXIS2_PLACEMENT_3D('',#489151,#399579,#399580); #340225=AXIS2_PLACEMENT_3D('',#489157,#399584,#399585); #340226=AXIS2_PLACEMENT_3D('',#489163,#399589,#399590); #340227=AXIS2_PLACEMENT_3D('',#489169,#399594,#399595); #340228=AXIS2_PLACEMENT_3D('',#489175,#399599,#399600); #340229=AXIS2_PLACEMENT_3D('',#489181,#399604,#399605); #340230=AXIS2_PLACEMENT_3D('',#489187,#399609,#399610); #340231=AXIS2_PLACEMENT_3D('',#489193,#399614,#399615); #340232=AXIS2_PLACEMENT_3D('',#489199,#399619,#399620); #340233=AXIS2_PLACEMENT_3D('',#489205,#399624,#399625); #340234=AXIS2_PLACEMENT_3D('',#489211,#399629,#399630); #340235=AXIS2_PLACEMENT_3D('',#489217,#399634,#399635); #340236=AXIS2_PLACEMENT_3D('',#489223,#399639,#399640); #340237=AXIS2_PLACEMENT_3D('',#489229,#399644,#399645); #340238=AXIS2_PLACEMENT_3D('',#489235,#399649,#399650); #340239=AXIS2_PLACEMENT_3D('',#489241,#399654,#399655); #340240=AXIS2_PLACEMENT_3D('',#489247,#399659,#399660); #340241=AXIS2_PLACEMENT_3D('',#489253,#399664,#399665); #340242=AXIS2_PLACEMENT_3D('',#489259,#399669,#399670); #340243=AXIS2_PLACEMENT_3D('',#489262,#399673,#399674); #340244=AXIS2_PLACEMENT_3D('',#489263,#399675,#399676); #340245=AXIS2_PLACEMENT_3D('',#489264,#399677,#399678); #340246=AXIS2_PLACEMENT_3D('',#489266,#399679,#399680); #340247=AXIS2_PLACEMENT_3D('',#489269,#399682,#399683); #340248=AXIS2_PLACEMENT_3D('',#489270,#399684,#399685); #340249=AXIS2_PLACEMENT_3D('',#489272,#399686,#399687); #340250=AXIS2_PLACEMENT_3D('',#489275,#399689,#399690); #340251=AXIS2_PLACEMENT_3D('',#489276,#399691,#399692); #340252=AXIS2_PLACEMENT_3D('',#489278,#399693,#399694); #340253=AXIS2_PLACEMENT_3D('',#489281,#399696,#399697); #340254=AXIS2_PLACEMENT_3D('',#489282,#399698,#399699); #340255=AXIS2_PLACEMENT_3D('',#489284,#399700,#399701); #340256=AXIS2_PLACEMENT_3D('',#489287,#399703,#399704); #340257=AXIS2_PLACEMENT_3D('',#489288,#399705,#399706); #340258=AXIS2_PLACEMENT_3D('',#489290,#399707,#399708); #340259=AXIS2_PLACEMENT_3D('',#489293,#399710,#399711); #340260=AXIS2_PLACEMENT_3D('',#489294,#399712,#399713); #340261=AXIS2_PLACEMENT_3D('',#489296,#399714,#399715); #340262=AXIS2_PLACEMENT_3D('',#489299,#399717,#399718); #340263=AXIS2_PLACEMENT_3D('',#489300,#399719,#399720); #340264=AXIS2_PLACEMENT_3D('',#489302,#399721,#399722); #340265=AXIS2_PLACEMENT_3D('',#489305,#399724,#399725); #340266=AXIS2_PLACEMENT_3D('',#489306,#399726,#399727); #340267=AXIS2_PLACEMENT_3D('',#489308,#399728,#399729); #340268=AXIS2_PLACEMENT_3D('',#489311,#399731,#399732); #340269=AXIS2_PLACEMENT_3D('',#489312,#399733,#399734); #340270=AXIS2_PLACEMENT_3D('',#489314,#399735,#399736); #340271=AXIS2_PLACEMENT_3D('',#489317,#399738,#399739); #340272=AXIS2_PLACEMENT_3D('',#489318,#399740,#399741); #340273=AXIS2_PLACEMENT_3D('',#489320,#399742,#399743); #340274=AXIS2_PLACEMENT_3D('',#489323,#399745,#399746); #340275=AXIS2_PLACEMENT_3D('',#489324,#399747,#399748); #340276=AXIS2_PLACEMENT_3D('',#489326,#399749,#399750); #340277=AXIS2_PLACEMENT_3D('',#489329,#399752,#399753); #340278=AXIS2_PLACEMENT_3D('',#489330,#399754,#399755); #340279=AXIS2_PLACEMENT_3D('',#489332,#399756,#399757); #340280=AXIS2_PLACEMENT_3D('',#489335,#399759,#399760); #340281=AXIS2_PLACEMENT_3D('',#489336,#399761,#399762); #340282=AXIS2_PLACEMENT_3D('',#489338,#399763,#399764); #340283=AXIS2_PLACEMENT_3D('',#489341,#399766,#399767); #340284=AXIS2_PLACEMENT_3D('',#489342,#399768,#399769); #340285=AXIS2_PLACEMENT_3D('',#489344,#399770,#399771); #340286=AXIS2_PLACEMENT_3D('',#489347,#399773,#399774); #340287=AXIS2_PLACEMENT_3D('',#489348,#399775,#399776); #340288=AXIS2_PLACEMENT_3D('',#489350,#399777,#399778); #340289=AXIS2_PLACEMENT_3D('',#489353,#399780,#399781); #340290=AXIS2_PLACEMENT_3D('',#489354,#399782,#399783); #340291=AXIS2_PLACEMENT_3D('',#489356,#399784,#399785); #340292=AXIS2_PLACEMENT_3D('',#489359,#399787,#399788); #340293=AXIS2_PLACEMENT_3D('',#489360,#399789,#399790); #340294=AXIS2_PLACEMENT_3D('',#489362,#399791,#399792); #340295=AXIS2_PLACEMENT_3D('',#489365,#399794,#399795); #340296=AXIS2_PLACEMENT_3D('',#489366,#399796,#399797); #340297=AXIS2_PLACEMENT_3D('',#489368,#399798,#399799); #340298=AXIS2_PLACEMENT_3D('',#489371,#399801,#399802); #340299=AXIS2_PLACEMENT_3D('',#489372,#399803,#399804); #340300=AXIS2_PLACEMENT_3D('',#489374,#399805,#399806); #340301=AXIS2_PLACEMENT_3D('',#489377,#399808,#399809); #340302=AXIS2_PLACEMENT_3D('',#489378,#399810,#399811); #340303=AXIS2_PLACEMENT_3D('',#489380,#399812,#399813); #340304=AXIS2_PLACEMENT_3D('',#489383,#399815,#399816); #340305=AXIS2_PLACEMENT_3D('',#489384,#399817,#399818); #340306=AXIS2_PLACEMENT_3D('',#489386,#399819,#399820); #340307=AXIS2_PLACEMENT_3D('',#489389,#399822,#399823); #340308=AXIS2_PLACEMENT_3D('',#489390,#399824,#399825); #340309=AXIS2_PLACEMENT_3D('',#489392,#399826,#399827); #340310=AXIS2_PLACEMENT_3D('',#489395,#399829,#399830); #340311=AXIS2_PLACEMENT_3D('',#489396,#399831,#399832); #340312=AXIS2_PLACEMENT_3D('',#489398,#399833,#399834); #340313=AXIS2_PLACEMENT_3D('',#489401,#399836,#399837); #340314=AXIS2_PLACEMENT_3D('',#489402,#399838,#399839); #340315=AXIS2_PLACEMENT_3D('',#489404,#399840,#399841); #340316=AXIS2_PLACEMENT_3D('',#489407,#399843,#399844); #340317=AXIS2_PLACEMENT_3D('',#489408,#399845,#399846); #340318=AXIS2_PLACEMENT_3D('',#489410,#399847,#399848); #340319=AXIS2_PLACEMENT_3D('',#489413,#399850,#399851); #340320=AXIS2_PLACEMENT_3D('',#489414,#399852,#399853); #340321=AXIS2_PLACEMENT_3D('',#489416,#399854,#399855); #340322=AXIS2_PLACEMENT_3D('',#489419,#399857,#399858); #340323=AXIS2_PLACEMENT_3D('',#489420,#399859,#399860); #340324=AXIS2_PLACEMENT_3D('',#489422,#399861,#399862); #340325=AXIS2_PLACEMENT_3D('',#489425,#399864,#399865); #340326=AXIS2_PLACEMENT_3D('',#489426,#399866,#399867); #340327=AXIS2_PLACEMENT_3D('',#489428,#399868,#399869); #340328=AXIS2_PLACEMENT_3D('',#489431,#399871,#399872); #340329=AXIS2_PLACEMENT_3D('',#489432,#399873,#399874); #340330=AXIS2_PLACEMENT_3D('',#489434,#399875,#399876); #340331=AXIS2_PLACEMENT_3D('',#489437,#399878,#399879); #340332=AXIS2_PLACEMENT_3D('',#489438,#399880,#399881); #340333=AXIS2_PLACEMENT_3D('',#489440,#399882,#399883); #340334=AXIS2_PLACEMENT_3D('',#489443,#399885,#399886); #340335=AXIS2_PLACEMENT_3D('',#489444,#399887,#399888); #340336=AXIS2_PLACEMENT_3D('',#489446,#399889,#399890); #340337=AXIS2_PLACEMENT_3D('',#489449,#399892,#399893); #340338=AXIS2_PLACEMENT_3D('',#489450,#399894,#399895); #340339=AXIS2_PLACEMENT_3D('',#489452,#399896,#399897); #340340=AXIS2_PLACEMENT_3D('',#489455,#399899,#399900); #340341=AXIS2_PLACEMENT_3D('',#489456,#399901,#399902); #340342=AXIS2_PLACEMENT_3D('',#489458,#399903,#399904); #340343=AXIS2_PLACEMENT_3D('',#489461,#399906,#399907); #340344=AXIS2_PLACEMENT_3D('',#489462,#399908,#399909); #340345=AXIS2_PLACEMENT_3D('',#489464,#399910,#399911); #340346=AXIS2_PLACEMENT_3D('',#489467,#399913,#399914); #340347=AXIS2_PLACEMENT_3D('',#489468,#399915,#399916); #340348=AXIS2_PLACEMENT_3D('',#489470,#399917,#399918); #340349=AXIS2_PLACEMENT_3D('',#489473,#399920,#399921); #340350=AXIS2_PLACEMENT_3D('',#489474,#399922,#399923); #340351=AXIS2_PLACEMENT_3D('',#489476,#399924,#399925); #340352=AXIS2_PLACEMENT_3D('',#489479,#399927,#399928); #340353=AXIS2_PLACEMENT_3D('',#489480,#399929,#399930); #340354=AXIS2_PLACEMENT_3D('',#489482,#399931,#399932); #340355=AXIS2_PLACEMENT_3D('',#489485,#399934,#399935); #340356=AXIS2_PLACEMENT_3D('',#489486,#399936,#399937); #340357=AXIS2_PLACEMENT_3D('',#489488,#399938,#399939); #340358=AXIS2_PLACEMENT_3D('',#489491,#399941,#399942); #340359=AXIS2_PLACEMENT_3D('',#489492,#399943,#399944); #340360=AXIS2_PLACEMENT_3D('',#489494,#399945,#399946); #340361=AXIS2_PLACEMENT_3D('',#489497,#399948,#399949); #340362=AXIS2_PLACEMENT_3D('',#489498,#399950,#399951); #340363=AXIS2_PLACEMENT_3D('',#489500,#399952,#399953); #340364=AXIS2_PLACEMENT_3D('',#489503,#399955,#399956); #340365=AXIS2_PLACEMENT_3D('',#489504,#399957,#399958); #340366=AXIS2_PLACEMENT_3D('',#489506,#399959,#399960); #340367=AXIS2_PLACEMENT_3D('',#489509,#399962,#399963); #340368=AXIS2_PLACEMENT_3D('',#489510,#399964,#399965); #340369=AXIS2_PLACEMENT_3D('',#489512,#399966,#399967); #340370=AXIS2_PLACEMENT_3D('',#489515,#399969,#399970); #340371=AXIS2_PLACEMENT_3D('',#489516,#399971,#399972); #340372=AXIS2_PLACEMENT_3D('',#489518,#399973,#399974); #340373=AXIS2_PLACEMENT_3D('',#489521,#399976,#399977); #340374=AXIS2_PLACEMENT_3D('',#489522,#399978,#399979); #340375=AXIS2_PLACEMENT_3D('',#489524,#399980,#399981); #340376=AXIS2_PLACEMENT_3D('',#489527,#399983,#399984); #340377=AXIS2_PLACEMENT_3D('',#489528,#399985,#399986); #340378=AXIS2_PLACEMENT_3D('',#489530,#399987,#399988); #340379=AXIS2_PLACEMENT_3D('',#489533,#399990,#399991); #340380=AXIS2_PLACEMENT_3D('',#489534,#399992,#399993); #340381=AXIS2_PLACEMENT_3D('',#489536,#399994,#399995); #340382=AXIS2_PLACEMENT_3D('',#489539,#399997,#399998); #340383=AXIS2_PLACEMENT_3D('',#489540,#399999,#400000); #340384=AXIS2_PLACEMENT_3D('',#489542,#400001,#400002); #340385=AXIS2_PLACEMENT_3D('',#489545,#400004,#400005); #340386=AXIS2_PLACEMENT_3D('',#489546,#400006,#400007); #340387=AXIS2_PLACEMENT_3D('',#489548,#400008,#400009); #340388=AXIS2_PLACEMENT_3D('',#489551,#400011,#400012); #340389=AXIS2_PLACEMENT_3D('',#489552,#400013,#400014); #340390=AXIS2_PLACEMENT_3D('',#489554,#400015,#400016); #340391=AXIS2_PLACEMENT_3D('',#489557,#400018,#400019); #340392=AXIS2_PLACEMENT_3D('',#489558,#400020,#400021); #340393=AXIS2_PLACEMENT_3D('',#489560,#400022,#400023); #340394=AXIS2_PLACEMENT_3D('',#489563,#400025,#400026); #340395=AXIS2_PLACEMENT_3D('',#489564,#400027,#400028); #340396=AXIS2_PLACEMENT_3D('',#489566,#400029,#400030); #340397=AXIS2_PLACEMENT_3D('',#489569,#400032,#400033); #340398=AXIS2_PLACEMENT_3D('',#489570,#400034,#400035); #340399=AXIS2_PLACEMENT_3D('',#489572,#400036,#400037); #340400=AXIS2_PLACEMENT_3D('',#489575,#400039,#400040); #340401=AXIS2_PLACEMENT_3D('',#489576,#400041,#400042); #340402=AXIS2_PLACEMENT_3D('',#489578,#400043,#400044); #340403=AXIS2_PLACEMENT_3D('',#489581,#400046,#400047); #340404=AXIS2_PLACEMENT_3D('',#489582,#400048,#400049); #340405=AXIS2_PLACEMENT_3D('',#489584,#400050,#400051); #340406=AXIS2_PLACEMENT_3D('',#489587,#400053,#400054); #340407=AXIS2_PLACEMENT_3D('',#489588,#400055,#400056); #340408=AXIS2_PLACEMENT_3D('',#489590,#400057,#400058); #340409=AXIS2_PLACEMENT_3D('',#489593,#400060,#400061); #340410=AXIS2_PLACEMENT_3D('',#489594,#400062,#400063); #340411=AXIS2_PLACEMENT_3D('',#489596,#400064,#400065); #340412=AXIS2_PLACEMENT_3D('',#489599,#400067,#400068); #340413=AXIS2_PLACEMENT_3D('',#489600,#400069,#400070); #340414=AXIS2_PLACEMENT_3D('',#489602,#400071,#400072); #340415=AXIS2_PLACEMENT_3D('',#489605,#400074,#400075); #340416=AXIS2_PLACEMENT_3D('',#489606,#400076,#400077); #340417=AXIS2_PLACEMENT_3D('',#489608,#400078,#400079); #340418=AXIS2_PLACEMENT_3D('',#489611,#400081,#400082); #340419=AXIS2_PLACEMENT_3D('',#489612,#400083,#400084); #340420=AXIS2_PLACEMENT_3D('',#489614,#400085,#400086); #340421=AXIS2_PLACEMENT_3D('',#489617,#400088,#400089); #340422=AXIS2_PLACEMENT_3D('',#489618,#400090,#400091); #340423=AXIS2_PLACEMENT_3D('',#489620,#400092,#400093); #340424=AXIS2_PLACEMENT_3D('',#489623,#400095,#400096); #340425=AXIS2_PLACEMENT_3D('',#489624,#400097,#400098); #340426=AXIS2_PLACEMENT_3D('',#489626,#400099,#400100); #340427=AXIS2_PLACEMENT_3D('',#489629,#400102,#400103); #340428=AXIS2_PLACEMENT_3D('',#489630,#400104,#400105); #340429=AXIS2_PLACEMENT_3D('',#489632,#400106,#400107); #340430=AXIS2_PLACEMENT_3D('',#489635,#400109,#400110); #340431=AXIS2_PLACEMENT_3D('',#489636,#400111,#400112); #340432=AXIS2_PLACEMENT_3D('',#489638,#400113,#400114); #340433=AXIS2_PLACEMENT_3D('',#489641,#400116,#400117); #340434=AXIS2_PLACEMENT_3D('',#489642,#400118,#400119); #340435=AXIS2_PLACEMENT_3D('',#489644,#400120,#400121); #340436=AXIS2_PLACEMENT_3D('',#489647,#400123,#400124); #340437=AXIS2_PLACEMENT_3D('',#489648,#400125,#400126); #340438=AXIS2_PLACEMENT_3D('',#489657,#400131,#400132); #340439=AXIS2_PLACEMENT_3D('',#489659,#400133,#400134); #340440=AXIS2_PLACEMENT_3D('',#489661,#400135,#400136); #340441=AXIS2_PLACEMENT_3D('',#489663,#400138,#400139); #340442=AXIS2_PLACEMENT_3D('',#489669,#400143,#400144); #340443=AXIS2_PLACEMENT_3D('',#489670,#400145,#400146); #340444=AXIS2_PLACEMENT_3D('',#489671,#400147,#400148); #340445=AXIS2_PLACEMENT_3D('',#489672,#400149,#400150); #340446=AXIS2_PLACEMENT_3D('',#489681,#400155,#400156); #340447=AXIS2_PLACEMENT_3D('',#489683,#400157,#400158); #340448=AXIS2_PLACEMENT_3D('',#489685,#400159,#400160); #340449=AXIS2_PLACEMENT_3D('',#489687,#400162,#400163); #340450=AXIS2_PLACEMENT_3D('',#489693,#400167,#400168); #340451=AXIS2_PLACEMENT_3D('',#489694,#400169,#400170); #340452=AXIS2_PLACEMENT_3D('',#489695,#400171,#400172); #340453=AXIS2_PLACEMENT_3D('',#489696,#400173,#400174); #340454=AXIS2_PLACEMENT_3D('',#489698,#400175,#400176); #340455=AXIS2_PLACEMENT_3D('',#489701,#400178,#400179); #340456=AXIS2_PLACEMENT_3D('',#489702,#400180,#400181); #340457=AXIS2_PLACEMENT_3D('',#489704,#400182,#400183); #340458=AXIS2_PLACEMENT_3D('',#489707,#400185,#400186); #340459=AXIS2_PLACEMENT_3D('',#489708,#400187,#400188); #340460=AXIS2_PLACEMENT_3D('',#489717,#400193,#400194); #340461=AXIS2_PLACEMENT_3D('',#489719,#400195,#400196); #340462=AXIS2_PLACEMENT_3D('',#489721,#400197,#400198); #340463=AXIS2_PLACEMENT_3D('',#489723,#400200,#400201); #340464=AXIS2_PLACEMENT_3D('',#489729,#400205,#400206); #340465=AXIS2_PLACEMENT_3D('',#489730,#400207,#400208); #340466=AXIS2_PLACEMENT_3D('',#489731,#400209,#400210); #340467=AXIS2_PLACEMENT_3D('',#489732,#400211,#400212); #340468=AXIS2_PLACEMENT_3D('',#489734,#400213,#400214); #340469=AXIS2_PLACEMENT_3D('',#489737,#400216,#400217); #340470=AXIS2_PLACEMENT_3D('',#489738,#400218,#400219); #340471=AXIS2_PLACEMENT_3D('',#489740,#400220,#400221); #340472=AXIS2_PLACEMENT_3D('',#489743,#400223,#400224); #340473=AXIS2_PLACEMENT_3D('',#489744,#400225,#400226); #340474=AXIS2_PLACEMENT_3D('',#489746,#400227,#400228); #340475=AXIS2_PLACEMENT_3D('',#489749,#400230,#400231); #340476=AXIS2_PLACEMENT_3D('',#489750,#400232,#400233); #340477=AXIS2_PLACEMENT_3D('',#489752,#400234,#400235); #340478=AXIS2_PLACEMENT_3D('',#489755,#400237,#400238); #340479=AXIS2_PLACEMENT_3D('',#489756,#400239,#400240); #340480=AXIS2_PLACEMENT_3D('',#489758,#400241,#400242); #340481=AXIS2_PLACEMENT_3D('',#489761,#400244,#400245); #340482=AXIS2_PLACEMENT_3D('',#489762,#400246,#400247); #340483=AXIS2_PLACEMENT_3D('',#489771,#400252,#400253); #340484=AXIS2_PLACEMENT_3D('',#489773,#400254,#400255); #340485=AXIS2_PLACEMENT_3D('',#489775,#400256,#400257); #340486=AXIS2_PLACEMENT_3D('',#489777,#400259,#400260); #340487=AXIS2_PLACEMENT_3D('',#489783,#400264,#400265); #340488=AXIS2_PLACEMENT_3D('',#489784,#400266,#400267); #340489=AXIS2_PLACEMENT_3D('',#489785,#400268,#400269); #340490=AXIS2_PLACEMENT_3D('',#489786,#400270,#400271); #340491=AXIS2_PLACEMENT_3D('',#489788,#400272,#400273); #340492=AXIS2_PLACEMENT_3D('',#489791,#400275,#400276); #340493=AXIS2_PLACEMENT_3D('',#489792,#400277,#400278); #340494=AXIS2_PLACEMENT_3D('',#489801,#400283,#400284); #340495=AXIS2_PLACEMENT_3D('',#489803,#400285,#400286); #340496=AXIS2_PLACEMENT_3D('',#489805,#400287,#400288); #340497=AXIS2_PLACEMENT_3D('',#489807,#400290,#400291); #340498=AXIS2_PLACEMENT_3D('',#489813,#400295,#400296); #340499=AXIS2_PLACEMENT_3D('',#489814,#400297,#400298); #340500=AXIS2_PLACEMENT_3D('',#489815,#400299,#400300); #340501=AXIS2_PLACEMENT_3D('',#489816,#400301,#400302); #340502=AXIS2_PLACEMENT_3D('',#489825,#400307,#400308); #340503=AXIS2_PLACEMENT_3D('',#489827,#400309,#400310); #340504=AXIS2_PLACEMENT_3D('',#489829,#400311,#400312); #340505=AXIS2_PLACEMENT_3D('',#489831,#400314,#400315); #340506=AXIS2_PLACEMENT_3D('',#489837,#400319,#400320); #340507=AXIS2_PLACEMENT_3D('',#489838,#400321,#400322); #340508=AXIS2_PLACEMENT_3D('',#489839,#400323,#400324); #340509=AXIS2_PLACEMENT_3D('',#489840,#400325,#400326); #340510=AXIS2_PLACEMENT_3D('',#489842,#400327,#400328); #340511=AXIS2_PLACEMENT_3D('',#489845,#400330,#400331); #340512=AXIS2_PLACEMENT_3D('',#489846,#400332,#400333); #340513=AXIS2_PLACEMENT_3D('',#489848,#400334,#400335); #340514=AXIS2_PLACEMENT_3D('',#489851,#400337,#400338); #340515=AXIS2_PLACEMENT_3D('',#489852,#400339,#400340); #340516=AXIS2_PLACEMENT_3D('',#489854,#400341,#400342); #340517=AXIS2_PLACEMENT_3D('',#489857,#400344,#400345); #340518=AXIS2_PLACEMENT_3D('',#489858,#400346,#400347); #340519=AXIS2_PLACEMENT_3D('',#489860,#400348,#400349); #340520=AXIS2_PLACEMENT_3D('',#489863,#400351,#400352); #340521=AXIS2_PLACEMENT_3D('',#489864,#400353,#400354); #340522=AXIS2_PLACEMENT_3D('',#489866,#400355,#400356); #340523=AXIS2_PLACEMENT_3D('',#489869,#400358,#400359); #340524=AXIS2_PLACEMENT_3D('',#489870,#400360,#400361); #340525=AXIS2_PLACEMENT_3D('',#489872,#400362,#400363); #340526=AXIS2_PLACEMENT_3D('',#489875,#400365,#400366); #340527=AXIS2_PLACEMENT_3D('',#489876,#400367,#400368); #340528=AXIS2_PLACEMENT_3D('',#489878,#400369,#400370); #340529=AXIS2_PLACEMENT_3D('',#489881,#400372,#400373); #340530=AXIS2_PLACEMENT_3D('',#489882,#400374,#400375); #340531=AXIS2_PLACEMENT_3D('',#489884,#400376,#400377); #340532=AXIS2_PLACEMENT_3D('',#489887,#400379,#400380); #340533=AXIS2_PLACEMENT_3D('',#489888,#400381,#400382); #340534=AXIS2_PLACEMENT_3D('',#489890,#400383,#400384); #340535=AXIS2_PLACEMENT_3D('',#489893,#400386,#400387); #340536=AXIS2_PLACEMENT_3D('',#489894,#400388,#400389); #340537=AXIS2_PLACEMENT_3D('',#489896,#400390,#400391); #340538=AXIS2_PLACEMENT_3D('',#489899,#400393,#400394); #340539=AXIS2_PLACEMENT_3D('',#489900,#400395,#400396); #340540=AXIS2_PLACEMENT_3D('',#489902,#400397,#400398); #340541=AXIS2_PLACEMENT_3D('',#489905,#400400,#400401); #340542=AXIS2_PLACEMENT_3D('',#489906,#400402,#400403); #340543=AXIS2_PLACEMENT_3D('',#489908,#400404,#400405); #340544=AXIS2_PLACEMENT_3D('',#489911,#400407,#400408); #340545=AXIS2_PLACEMENT_3D('',#489912,#400409,#400410); #340546=AXIS2_PLACEMENT_3D('',#489914,#400411,#400412); #340547=AXIS2_PLACEMENT_3D('',#489917,#400414,#400415); #340548=AXIS2_PLACEMENT_3D('',#489918,#400416,#400417); #340549=AXIS2_PLACEMENT_3D('',#489920,#400418,#400419); #340550=AXIS2_PLACEMENT_3D('',#489923,#400421,#400422); #340551=AXIS2_PLACEMENT_3D('',#489924,#400423,#400424); #340552=AXIS2_PLACEMENT_3D('',#489926,#400425,#400426); #340553=AXIS2_PLACEMENT_3D('',#489929,#400428,#400429); #340554=AXIS2_PLACEMENT_3D('',#489930,#400430,#400431); #340555=AXIS2_PLACEMENT_3D('',#489932,#400432,#400433); #340556=AXIS2_PLACEMENT_3D('',#489935,#400435,#400436); #340557=AXIS2_PLACEMENT_3D('',#489936,#400437,#400438); #340558=AXIS2_PLACEMENT_3D('',#489938,#400439,#400440); #340559=AXIS2_PLACEMENT_3D('',#489941,#400442,#400443); #340560=AXIS2_PLACEMENT_3D('',#489942,#400444,#400445); #340561=AXIS2_PLACEMENT_3D('',#489944,#400446,#400447); #340562=AXIS2_PLACEMENT_3D('',#489947,#400449,#400450); #340563=AXIS2_PLACEMENT_3D('',#489948,#400451,#400452); #340564=AXIS2_PLACEMENT_3D('',#489950,#400453,#400454); #340565=AXIS2_PLACEMENT_3D('',#489953,#400456,#400457); #340566=AXIS2_PLACEMENT_3D('',#489954,#400458,#400459); #340567=AXIS2_PLACEMENT_3D('',#489956,#400460,#400461); #340568=AXIS2_PLACEMENT_3D('',#489959,#400463,#400464); #340569=AXIS2_PLACEMENT_3D('',#489960,#400465,#400466); #340570=AXIS2_PLACEMENT_3D('',#489962,#400467,#400468); #340571=AXIS2_PLACEMENT_3D('',#489965,#400470,#400471); #340572=AXIS2_PLACEMENT_3D('',#489966,#400472,#400473); #340573=AXIS2_PLACEMENT_3D('',#489968,#400474,#400475); #340574=AXIS2_PLACEMENT_3D('',#489971,#400477,#400478); #340575=AXIS2_PLACEMENT_3D('',#489972,#400479,#400480); #340576=AXIS2_PLACEMENT_3D('',#489974,#400481,#400482); #340577=AXIS2_PLACEMENT_3D('',#489977,#400484,#400485); #340578=AXIS2_PLACEMENT_3D('',#489978,#400486,#400487); #340579=AXIS2_PLACEMENT_3D('',#489980,#400488,#400489); #340580=AXIS2_PLACEMENT_3D('',#489983,#400491,#400492); #340581=AXIS2_PLACEMENT_3D('',#489984,#400493,#400494); #340582=AXIS2_PLACEMENT_3D('',#489986,#400495,#400496); #340583=AXIS2_PLACEMENT_3D('',#489989,#400498,#400499); #340584=AXIS2_PLACEMENT_3D('',#489990,#400500,#400501); #340585=AXIS2_PLACEMENT_3D('',#489992,#400502,#400503); #340586=AXIS2_PLACEMENT_3D('',#489995,#400505,#400506); #340587=AXIS2_PLACEMENT_3D('',#489996,#400507,#400508); #340588=AXIS2_PLACEMENT_3D('',#489998,#400509,#400510); #340589=AXIS2_PLACEMENT_3D('',#490001,#400512,#400513); #340590=AXIS2_PLACEMENT_3D('',#490002,#400514,#400515); #340591=AXIS2_PLACEMENT_3D('',#490004,#400516,#400517); #340592=AXIS2_PLACEMENT_3D('',#490007,#400519,#400520); #340593=AXIS2_PLACEMENT_3D('',#490008,#400521,#400522); #340594=AXIS2_PLACEMENT_3D('',#490010,#400523,#400524); #340595=AXIS2_PLACEMENT_3D('',#490013,#400526,#400527); #340596=AXIS2_PLACEMENT_3D('',#490014,#400528,#400529); #340597=AXIS2_PLACEMENT_3D('',#490016,#400530,#400531); #340598=AXIS2_PLACEMENT_3D('',#490019,#400533,#400534); #340599=AXIS2_PLACEMENT_3D('',#490020,#400535,#400536); #340600=AXIS2_PLACEMENT_3D('',#490022,#400537,#400538); #340601=AXIS2_PLACEMENT_3D('',#490025,#400540,#400541); #340602=AXIS2_PLACEMENT_3D('',#490026,#400542,#400543); #340603=AXIS2_PLACEMENT_3D('',#490028,#400544,#400545); #340604=AXIS2_PLACEMENT_3D('',#490031,#400547,#400548); #340605=AXIS2_PLACEMENT_3D('',#490032,#400549,#400550); #340606=AXIS2_PLACEMENT_3D('',#490034,#400551,#400552); #340607=AXIS2_PLACEMENT_3D('',#490037,#400554,#400555); #340608=AXIS2_PLACEMENT_3D('',#490038,#400556,#400557); #340609=AXIS2_PLACEMENT_3D('',#490040,#400558,#400559); #340610=AXIS2_PLACEMENT_3D('',#490043,#400561,#400562); #340611=AXIS2_PLACEMENT_3D('',#490044,#400563,#400564); #340612=AXIS2_PLACEMENT_3D('',#490046,#400565,#400566); #340613=AXIS2_PLACEMENT_3D('',#490049,#400568,#400569); #340614=AXIS2_PLACEMENT_3D('',#490050,#400570,#400571); #340615=AXIS2_PLACEMENT_3D('',#490052,#400572,#400573); #340616=AXIS2_PLACEMENT_3D('',#490055,#400575,#400576); #340617=AXIS2_PLACEMENT_3D('',#490056,#400577,#400578); #340618=AXIS2_PLACEMENT_3D('',#490058,#400579,#400580); #340619=AXIS2_PLACEMENT_3D('',#490061,#400582,#400583); #340620=AXIS2_PLACEMENT_3D('',#490062,#400584,#400585); #340621=AXIS2_PLACEMENT_3D('',#490064,#400586,#400587); #340622=AXIS2_PLACEMENT_3D('',#490067,#400589,#400590); #340623=AXIS2_PLACEMENT_3D('',#490068,#400591,#400592); #340624=AXIS2_PLACEMENT_3D('',#490070,#400593,#400594); #340625=AXIS2_PLACEMENT_3D('',#490073,#400596,#400597); #340626=AXIS2_PLACEMENT_3D('',#490074,#400598,#400599); #340627=AXIS2_PLACEMENT_3D('',#490076,#400600,#400601); #340628=AXIS2_PLACEMENT_3D('',#490079,#400603,#400604); #340629=AXIS2_PLACEMENT_3D('',#490080,#400605,#400606); #340630=AXIS2_PLACEMENT_3D('',#490082,#400607,#400608); #340631=AXIS2_PLACEMENT_3D('',#490085,#400610,#400611); #340632=AXIS2_PLACEMENT_3D('',#490086,#400612,#400613); #340633=AXIS2_PLACEMENT_3D('',#490088,#400614,#400615); #340634=AXIS2_PLACEMENT_3D('',#490091,#400617,#400618); #340635=AXIS2_PLACEMENT_3D('',#490092,#400619,#400620); #340636=AXIS2_PLACEMENT_3D('',#490094,#400621,#400622); #340637=AXIS2_PLACEMENT_3D('',#490097,#400624,#400625); #340638=AXIS2_PLACEMENT_3D('',#490098,#400626,#400627); #340639=AXIS2_PLACEMENT_3D('',#490100,#400628,#400629); #340640=AXIS2_PLACEMENT_3D('',#490103,#400631,#400632); #340641=AXIS2_PLACEMENT_3D('',#490104,#400633,#400634); #340642=AXIS2_PLACEMENT_3D('',#490106,#400635,#400636); #340643=AXIS2_PLACEMENT_3D('',#490109,#400638,#400639); #340644=AXIS2_PLACEMENT_3D('',#490110,#400640,#400641); #340645=AXIS2_PLACEMENT_3D('',#490112,#400642,#400643); #340646=AXIS2_PLACEMENT_3D('',#490115,#400645,#400646); #340647=AXIS2_PLACEMENT_3D('',#490116,#400647,#400648); #340648=AXIS2_PLACEMENT_3D('',#490118,#400649,#400650); #340649=AXIS2_PLACEMENT_3D('',#490121,#400652,#400653); #340650=AXIS2_PLACEMENT_3D('',#490122,#400654,#400655); #340651=AXIS2_PLACEMENT_3D('',#490124,#400656,#400657); #340652=AXIS2_PLACEMENT_3D('',#490127,#400659,#400660); #340653=AXIS2_PLACEMENT_3D('',#490128,#400661,#400662); #340654=AXIS2_PLACEMENT_3D('',#490130,#400663,#400664); #340655=AXIS2_PLACEMENT_3D('',#490133,#400666,#400667); #340656=AXIS2_PLACEMENT_3D('',#490134,#400668,#400669); #340657=AXIS2_PLACEMENT_3D('',#490136,#400670,#400671); #340658=AXIS2_PLACEMENT_3D('',#490139,#400673,#400674); #340659=AXIS2_PLACEMENT_3D('',#490140,#400675,#400676); #340660=AXIS2_PLACEMENT_3D('',#490142,#400677,#400678); #340661=AXIS2_PLACEMENT_3D('',#490145,#400680,#400681); #340662=AXIS2_PLACEMENT_3D('',#490146,#400682,#400683); #340663=AXIS2_PLACEMENT_3D('',#490148,#400684,#400685); #340664=AXIS2_PLACEMENT_3D('',#490151,#400687,#400688); #340665=AXIS2_PLACEMENT_3D('',#490152,#400689,#400690); #340666=AXIS2_PLACEMENT_3D('',#490161,#400695,#400696); #340667=AXIS2_PLACEMENT_3D('',#490167,#400700,#400701); #340668=AXIS2_PLACEMENT_3D('',#490173,#400705,#400706); #340669=AXIS2_PLACEMENT_3D('',#490179,#400710,#400711); #340670=AXIS2_PLACEMENT_3D('',#490185,#400715,#400716); #340671=AXIS2_PLACEMENT_3D('',#490191,#400720,#400721); #340672=AXIS2_PLACEMENT_3D('',#490197,#400725,#400726); #340673=AXIS2_PLACEMENT_3D('',#490203,#400730,#400731); #340674=AXIS2_PLACEMENT_3D('',#490209,#400735,#400736); #340675=AXIS2_PLACEMENT_3D('',#490215,#400740,#400741); #340676=AXIS2_PLACEMENT_3D('',#490221,#400745,#400746); #340677=AXIS2_PLACEMENT_3D('',#490227,#400750,#400751); #340678=AXIS2_PLACEMENT_3D('',#490233,#400755,#400756); #340679=AXIS2_PLACEMENT_3D('',#490239,#400760,#400761); #340680=AXIS2_PLACEMENT_3D('',#490245,#400765,#400766); #340681=AXIS2_PLACEMENT_3D('',#490251,#400770,#400771); #340682=AXIS2_PLACEMENT_3D('',#490257,#400775,#400776); #340683=AXIS2_PLACEMENT_3D('',#490263,#400780,#400781); #340684=AXIS2_PLACEMENT_3D('',#490269,#400785,#400786); #340685=AXIS2_PLACEMENT_3D('',#490275,#400790,#400791); #340686=AXIS2_PLACEMENT_3D('',#490281,#400795,#400796); #340687=AXIS2_PLACEMENT_3D('',#490287,#400800,#400801); #340688=AXIS2_PLACEMENT_3D('',#490293,#400805,#400806); #340689=AXIS2_PLACEMENT_3D('',#490299,#400810,#400811); #340690=AXIS2_PLACEMENT_3D('',#490305,#400815,#400816); #340691=AXIS2_PLACEMENT_3D('',#490311,#400820,#400821); #340692=AXIS2_PLACEMENT_3D('',#490317,#400825,#400826); #340693=AXIS2_PLACEMENT_3D('',#490323,#400830,#400831); #340694=AXIS2_PLACEMENT_3D('',#490329,#400835,#400836); #340695=AXIS2_PLACEMENT_3D('',#490335,#400840,#400841); #340696=AXIS2_PLACEMENT_3D('',#490341,#400845,#400846); #340697=AXIS2_PLACEMENT_3D('',#490347,#400850,#400851); #340698=AXIS2_PLACEMENT_3D('',#490353,#400855,#400856); #340699=AXIS2_PLACEMENT_3D('',#490359,#400860,#400861); #340700=AXIS2_PLACEMENT_3D('',#490365,#400865,#400866); #340701=AXIS2_PLACEMENT_3D('',#490371,#400870,#400871); #340702=AXIS2_PLACEMENT_3D('',#490377,#400875,#400876); #340703=AXIS2_PLACEMENT_3D('',#490383,#400880,#400881); #340704=AXIS2_PLACEMENT_3D('',#490389,#400885,#400886); #340705=AXIS2_PLACEMENT_3D('',#490395,#400890,#400891); #340706=AXIS2_PLACEMENT_3D('',#490401,#400895,#400896); #340707=AXIS2_PLACEMENT_3D('',#490407,#400900,#400901); #340708=AXIS2_PLACEMENT_3D('',#490413,#400905,#400906); #340709=AXIS2_PLACEMENT_3D('',#490419,#400910,#400911); #340710=AXIS2_PLACEMENT_3D('',#490425,#400915,#400916); #340711=AXIS2_PLACEMENT_3D('',#490431,#400920,#400921); #340712=AXIS2_PLACEMENT_3D('',#490437,#400925,#400926); #340713=AXIS2_PLACEMENT_3D('',#490443,#400930,#400931); #340714=AXIS2_PLACEMENT_3D('',#490449,#400935,#400936); #340715=AXIS2_PLACEMENT_3D('',#490455,#400940,#400941); #340716=AXIS2_PLACEMENT_3D('',#490461,#400945,#400946); #340717=AXIS2_PLACEMENT_3D('',#490467,#400950,#400951); #340718=AXIS2_PLACEMENT_3D('',#490473,#400955,#400956); #340719=AXIS2_PLACEMENT_3D('',#490479,#400960,#400961); #340720=AXIS2_PLACEMENT_3D('',#490485,#400965,#400966); #340721=AXIS2_PLACEMENT_3D('',#490491,#400970,#400971); #340722=AXIS2_PLACEMENT_3D('',#490497,#400975,#400976); #340723=AXIS2_PLACEMENT_3D('',#490503,#400980,#400981); #340724=AXIS2_PLACEMENT_3D('',#490509,#400985,#400986); #340725=AXIS2_PLACEMENT_3D('',#490515,#400990,#400991); #340726=AXIS2_PLACEMENT_3D('',#490521,#400995,#400996); #340727=AXIS2_PLACEMENT_3D('',#490527,#401000,#401001); #340728=AXIS2_PLACEMENT_3D('',#490533,#401005,#401006); #340729=AXIS2_PLACEMENT_3D('',#490539,#401010,#401011); #340730=AXIS2_PLACEMENT_3D('',#490545,#401015,#401016); #340731=AXIS2_PLACEMENT_3D('',#490551,#401020,#401021); #340732=AXIS2_PLACEMENT_3D('',#490557,#401025,#401026); #340733=AXIS2_PLACEMENT_3D('',#490563,#401030,#401031); #340734=AXIS2_PLACEMENT_3D('',#490569,#401035,#401036); #340735=AXIS2_PLACEMENT_3D('',#490575,#401040,#401041); #340736=AXIS2_PLACEMENT_3D('',#490581,#401045,#401046); #340737=AXIS2_PLACEMENT_3D('',#490587,#401050,#401051); #340738=AXIS2_PLACEMENT_3D('',#490593,#401055,#401056); #340739=AXIS2_PLACEMENT_3D('',#490599,#401060,#401061); #340740=AXIS2_PLACEMENT_3D('',#490605,#401065,#401066); #340741=AXIS2_PLACEMENT_3D('',#490611,#401070,#401071); #340742=AXIS2_PLACEMENT_3D('',#490617,#401075,#401076); #340743=AXIS2_PLACEMENT_3D('',#490623,#401080,#401081); #340744=AXIS2_PLACEMENT_3D('',#490629,#401085,#401086); #340745=AXIS2_PLACEMENT_3D('',#490635,#401090,#401091); #340746=AXIS2_PLACEMENT_3D('',#490641,#401095,#401096); #340747=AXIS2_PLACEMENT_3D('',#490647,#401100,#401101); #340748=AXIS2_PLACEMENT_3D('',#490653,#401105,#401106); #340749=AXIS2_PLACEMENT_3D('',#490659,#401110,#401111); #340750=AXIS2_PLACEMENT_3D('',#490665,#401115,#401116); #340751=AXIS2_PLACEMENT_3D('',#490671,#401120,#401121); #340752=AXIS2_PLACEMENT_3D('',#490677,#401125,#401126); #340753=AXIS2_PLACEMENT_3D('',#490683,#401130,#401131); #340754=AXIS2_PLACEMENT_3D('',#490689,#401135,#401136); #340755=AXIS2_PLACEMENT_3D('',#490695,#401140,#401141); #340756=AXIS2_PLACEMENT_3D('',#490701,#401145,#401146); #340757=AXIS2_PLACEMENT_3D('',#490707,#401150,#401151); #340758=AXIS2_PLACEMENT_3D('',#490713,#401155,#401156); #340759=AXIS2_PLACEMENT_3D('',#490719,#401160,#401161); #340760=AXIS2_PLACEMENT_3D('',#490725,#401165,#401166); #340761=AXIS2_PLACEMENT_3D('',#490731,#401170,#401171); #340762=AXIS2_PLACEMENT_3D('',#490737,#401175,#401176); #340763=AXIS2_PLACEMENT_3D('',#490743,#401180,#401181); #340764=AXIS2_PLACEMENT_3D('',#490749,#401185,#401186); #340765=AXIS2_PLACEMENT_3D('',#490755,#401190,#401191); #340766=AXIS2_PLACEMENT_3D('',#490761,#401195,#401196); #340767=AXIS2_PLACEMENT_3D('',#490767,#401200,#401201); #340768=AXIS2_PLACEMENT_3D('',#490773,#401205,#401206); #340769=AXIS2_PLACEMENT_3D('',#490779,#401210,#401211); #340770=AXIS2_PLACEMENT_3D('',#490785,#401215,#401216); #340771=AXIS2_PLACEMENT_3D('',#490791,#401220,#401221); #340772=AXIS2_PLACEMENT_3D('',#490797,#401225,#401226); #340773=AXIS2_PLACEMENT_3D('',#490803,#401230,#401231); #340774=AXIS2_PLACEMENT_3D('',#490809,#401235,#401236); #340775=AXIS2_PLACEMENT_3D('',#490815,#401240,#401241); #340776=AXIS2_PLACEMENT_3D('',#490821,#401245,#401246); #340777=AXIS2_PLACEMENT_3D('',#490827,#401250,#401251); #340778=AXIS2_PLACEMENT_3D('',#490833,#401255,#401256); #340779=AXIS2_PLACEMENT_3D('',#490839,#401260,#401261); #340780=AXIS2_PLACEMENT_3D('',#490845,#401265,#401266); #340781=AXIS2_PLACEMENT_3D('',#490851,#401270,#401271); #340782=AXIS2_PLACEMENT_3D('',#490857,#401275,#401276); #340783=AXIS2_PLACEMENT_3D('',#490863,#401280,#401281); #340784=AXIS2_PLACEMENT_3D('',#490869,#401285,#401286); #340785=AXIS2_PLACEMENT_3D('',#490875,#401290,#401291); #340786=AXIS2_PLACEMENT_3D('',#490881,#401295,#401296); #340787=AXIS2_PLACEMENT_3D('',#490887,#401300,#401301); #340788=AXIS2_PLACEMENT_3D('',#490893,#401305,#401306); #340789=AXIS2_PLACEMENT_3D('',#490899,#401310,#401311); #340790=AXIS2_PLACEMENT_3D('',#490905,#401315,#401316); #340791=AXIS2_PLACEMENT_3D('',#490911,#401320,#401321); #340792=AXIS2_PLACEMENT_3D('',#490917,#401325,#401326); #340793=AXIS2_PLACEMENT_3D('',#490923,#401330,#401331); #340794=AXIS2_PLACEMENT_3D('',#490929,#401335,#401336); #340795=AXIS2_PLACEMENT_3D('',#490935,#401340,#401341); #340796=AXIS2_PLACEMENT_3D('',#490941,#401345,#401346); #340797=AXIS2_PLACEMENT_3D('',#490944,#401349,#401350); #340798=AXIS2_PLACEMENT_3D('',#490953,#401355,#401356); #340799=AXIS2_PLACEMENT_3D('',#490959,#401360,#401361); #340800=AXIS2_PLACEMENT_3D('',#490965,#401365,#401366); #340801=AXIS2_PLACEMENT_3D('',#490971,#401370,#401371); #340802=AXIS2_PLACEMENT_3D('',#490977,#401375,#401376); #340803=AXIS2_PLACEMENT_3D('',#490983,#401380,#401381); #340804=AXIS2_PLACEMENT_3D('',#490989,#401385,#401386); #340805=AXIS2_PLACEMENT_3D('',#490992,#401389,#401390); #340806=AXIS2_PLACEMENT_3D('',#491001,#401395,#401396); #340807=AXIS2_PLACEMENT_3D('',#491007,#401400,#401401); #340808=AXIS2_PLACEMENT_3D('',#491013,#401405,#401406); #340809=AXIS2_PLACEMENT_3D('',#491019,#401410,#401411); #340810=AXIS2_PLACEMENT_3D('',#491025,#401415,#401416); #340811=AXIS2_PLACEMENT_3D('',#491031,#401420,#401421); #340812=AXIS2_PLACEMENT_3D('',#491037,#401425,#401426); #340813=AXIS2_PLACEMENT_3D('',#491040,#401429,#401430); #340814=AXIS2_PLACEMENT_3D('',#491049,#401435,#401436); #340815=AXIS2_PLACEMENT_3D('',#491055,#401440,#401441); #340816=AXIS2_PLACEMENT_3D('',#491061,#401445,#401446); #340817=AXIS2_PLACEMENT_3D('',#491067,#401450,#401451); #340818=AXIS2_PLACEMENT_3D('',#491073,#401455,#401456); #340819=AXIS2_PLACEMENT_3D('',#491079,#401460,#401461); #340820=AXIS2_PLACEMENT_3D('',#491085,#401465,#401466); #340821=AXIS2_PLACEMENT_3D('',#491088,#401469,#401470); #340822=AXIS2_PLACEMENT_3D('',#491097,#401475,#401476); #340823=AXIS2_PLACEMENT_3D('',#491103,#401480,#401481); #340824=AXIS2_PLACEMENT_3D('',#491109,#401485,#401486); #340825=AXIS2_PLACEMENT_3D('',#491115,#401490,#401491); #340826=AXIS2_PLACEMENT_3D('',#491121,#401495,#401496); #340827=AXIS2_PLACEMENT_3D('',#491127,#401500,#401501); #340828=AXIS2_PLACEMENT_3D('',#491133,#401505,#401506); #340829=AXIS2_PLACEMENT_3D('',#491139,#401510,#401511); #340830=AXIS2_PLACEMENT_3D('',#491145,#401515,#401516); #340831=AXIS2_PLACEMENT_3D('',#491151,#401520,#401521); #340832=AXIS2_PLACEMENT_3D('',#491157,#401525,#401526); #340833=AXIS2_PLACEMENT_3D('',#491163,#401530,#401531); #340834=AXIS2_PLACEMENT_3D('',#491169,#401535,#401536); #340835=AXIS2_PLACEMENT_3D('',#491175,#401540,#401541); #340836=AXIS2_PLACEMENT_3D('',#491181,#401545,#401546); #340837=AXIS2_PLACEMENT_3D('',#491187,#401550,#401551); #340838=AXIS2_PLACEMENT_3D('',#491193,#401555,#401556); #340839=AXIS2_PLACEMENT_3D('',#491199,#401560,#401561); #340840=AXIS2_PLACEMENT_3D('',#491205,#401565,#401566); #340841=AXIS2_PLACEMENT_3D('',#491211,#401570,#401571); #340842=AXIS2_PLACEMENT_3D('',#491217,#401575,#401576); #340843=AXIS2_PLACEMENT_3D('',#491223,#401580,#401581); #340844=AXIS2_PLACEMENT_3D('',#491229,#401585,#401586); #340845=AXIS2_PLACEMENT_3D('',#491235,#401590,#401591); #340846=AXIS2_PLACEMENT_3D('',#491241,#401595,#401596); #340847=AXIS2_PLACEMENT_3D('',#491247,#401600,#401601); #340848=AXIS2_PLACEMENT_3D('',#491253,#401605,#401606); #340849=AXIS2_PLACEMENT_3D('',#491259,#401610,#401611); #340850=AXIS2_PLACEMENT_3D('',#491265,#401615,#401616); #340851=AXIS2_PLACEMENT_3D('',#491271,#401620,#401621); #340852=AXIS2_PLACEMENT_3D('',#491277,#401625,#401626); #340853=AXIS2_PLACEMENT_3D('',#491283,#401630,#401631); #340854=AXIS2_PLACEMENT_3D('',#491289,#401635,#401636); #340855=AXIS2_PLACEMENT_3D('',#491292,#401639,#401640); #340856=AXIS2_PLACEMENT_3D('',#491301,#401645,#401646); #340857=AXIS2_PLACEMENT_3D('',#491307,#401650,#401651); #340858=AXIS2_PLACEMENT_3D('',#491313,#401655,#401656); #340859=AXIS2_PLACEMENT_3D('',#491319,#401660,#401661); #340860=AXIS2_PLACEMENT_3D('',#491325,#401665,#401666); #340861=AXIS2_PLACEMENT_3D('',#491331,#401670,#401671); #340862=AXIS2_PLACEMENT_3D('',#491337,#401675,#401676); #340863=AXIS2_PLACEMENT_3D('',#491343,#401680,#401681); #340864=AXIS2_PLACEMENT_3D('',#491349,#401685,#401686); #340865=AXIS2_PLACEMENT_3D('',#491355,#401690,#401691); #340866=AXIS2_PLACEMENT_3D('',#491361,#401695,#401696); #340867=AXIS2_PLACEMENT_3D('',#491367,#401700,#401701); #340868=AXIS2_PLACEMENT_3D('',#491373,#401705,#401706); #340869=AXIS2_PLACEMENT_3D('',#491379,#401710,#401711); #340870=AXIS2_PLACEMENT_3D('',#491385,#401715,#401716); #340871=AXIS2_PLACEMENT_3D('',#491391,#401720,#401721); #340872=AXIS2_PLACEMENT_3D('',#491397,#401725,#401726); #340873=AXIS2_PLACEMENT_3D('',#491403,#401730,#401731); #340874=AXIS2_PLACEMENT_3D('',#491409,#401735,#401736); #340875=AXIS2_PLACEMENT_3D('',#491415,#401740,#401741); #340876=AXIS2_PLACEMENT_3D('',#491421,#401745,#401746); #340877=AXIS2_PLACEMENT_3D('',#491427,#401750,#401751); #340878=AXIS2_PLACEMENT_3D('',#491433,#401755,#401756); #340879=AXIS2_PLACEMENT_3D('',#491439,#401760,#401761); #340880=AXIS2_PLACEMENT_3D('',#491445,#401765,#401766); #340881=AXIS2_PLACEMENT_3D('',#491451,#401770,#401771); #340882=AXIS2_PLACEMENT_3D('',#491457,#401775,#401776); #340883=AXIS2_PLACEMENT_3D('',#491463,#401780,#401781); #340884=AXIS2_PLACEMENT_3D('',#491469,#401785,#401786); #340885=AXIS2_PLACEMENT_3D('',#491475,#401790,#401791); #340886=AXIS2_PLACEMENT_3D('',#491481,#401795,#401796); #340887=AXIS2_PLACEMENT_3D('',#491487,#401800,#401801); #340888=AXIS2_PLACEMENT_3D('',#491493,#401805,#401806); #340889=AXIS2_PLACEMENT_3D('',#491496,#401809,#401810); #340890=AXIS2_PLACEMENT_3D('',#491505,#401815,#401816); #340891=AXIS2_PLACEMENT_3D('',#491511,#401820,#401821); #340892=AXIS2_PLACEMENT_3D('',#491517,#401825,#401826); #340893=AXIS2_PLACEMENT_3D('',#491523,#401830,#401831); #340894=AXIS2_PLACEMENT_3D('',#491529,#401835,#401836); #340895=AXIS2_PLACEMENT_3D('',#491535,#401840,#401841); #340896=AXIS2_PLACEMENT_3D('',#491541,#401845,#401846); #340897=AXIS2_PLACEMENT_3D('',#491547,#401850,#401851); #340898=AXIS2_PLACEMENT_3D('',#491553,#401855,#401856); #340899=AXIS2_PLACEMENT_3D('',#491559,#401860,#401861); #340900=AXIS2_PLACEMENT_3D('',#491565,#401865,#401866); #340901=AXIS2_PLACEMENT_3D('',#491571,#401870,#401871); #340902=AXIS2_PLACEMENT_3D('',#491577,#401875,#401876); #340903=AXIS2_PLACEMENT_3D('',#491583,#401880,#401881); #340904=AXIS2_PLACEMENT_3D('',#491589,#401885,#401886); #340905=AXIS2_PLACEMENT_3D('',#491595,#401890,#401891); #340906=AXIS2_PLACEMENT_3D('',#491601,#401895,#401896); #340907=AXIS2_PLACEMENT_3D('',#491607,#401900,#401901); #340908=AXIS2_PLACEMENT_3D('',#491613,#401905,#401906); #340909=AXIS2_PLACEMENT_3D('',#491619,#401910,#401911); #340910=AXIS2_PLACEMENT_3D('',#491625,#401915,#401916); #340911=AXIS2_PLACEMENT_3D('',#491631,#401920,#401921); #340912=AXIS2_PLACEMENT_3D('',#491637,#401925,#401926); #340913=AXIS2_PLACEMENT_3D('',#491643,#401930,#401931); #340914=AXIS2_PLACEMENT_3D('',#491649,#401935,#401936); #340915=AXIS2_PLACEMENT_3D('',#491655,#401940,#401941); #340916=AXIS2_PLACEMENT_3D('',#491661,#401945,#401946); #340917=AXIS2_PLACEMENT_3D('',#491667,#401950,#401951); #340918=AXIS2_PLACEMENT_3D('',#491673,#401955,#401956); #340919=AXIS2_PLACEMENT_3D('',#491679,#401960,#401961); #340920=AXIS2_PLACEMENT_3D('',#491685,#401965,#401966); #340921=AXIS2_PLACEMENT_3D('',#491691,#401970,#401971); #340922=AXIS2_PLACEMENT_3D('',#491697,#401975,#401976); #340923=AXIS2_PLACEMENT_3D('',#491703,#401980,#401981); #340924=AXIS2_PLACEMENT_3D('',#491709,#401985,#401986); #340925=AXIS2_PLACEMENT_3D('',#491715,#401990,#401991); #340926=AXIS2_PLACEMENT_3D('',#491721,#401995,#401996); #340927=AXIS2_PLACEMENT_3D('',#491727,#402000,#402001); #340928=AXIS2_PLACEMENT_3D('',#491733,#402005,#402006); #340929=AXIS2_PLACEMENT_3D('',#491736,#402009,#402010); #340930=AXIS2_PLACEMENT_3D('',#491745,#402015,#402016); #340931=AXIS2_PLACEMENT_3D('',#491751,#402020,#402021); #340932=AXIS2_PLACEMENT_3D('',#491757,#402025,#402026); #340933=AXIS2_PLACEMENT_3D('',#491763,#402030,#402031); #340934=AXIS2_PLACEMENT_3D('',#491769,#402035,#402036); #340935=AXIS2_PLACEMENT_3D('',#491775,#402040,#402041); #340936=AXIS2_PLACEMENT_3D('',#491781,#402045,#402046); #340937=AXIS2_PLACEMENT_3D('',#491787,#402050,#402051); #340938=AXIS2_PLACEMENT_3D('',#491793,#402055,#402056); #340939=AXIS2_PLACEMENT_3D('',#491799,#402060,#402061); #340940=AXIS2_PLACEMENT_3D('',#491805,#402065,#402066); #340941=AXIS2_PLACEMENT_3D('',#491811,#402070,#402071); #340942=AXIS2_PLACEMENT_3D('',#491817,#402075,#402076); #340943=AXIS2_PLACEMENT_3D('',#491823,#402080,#402081); #340944=AXIS2_PLACEMENT_3D('',#491829,#402085,#402086); #340945=AXIS2_PLACEMENT_3D('',#491835,#402090,#402091); #340946=AXIS2_PLACEMENT_3D('',#491841,#402095,#402096); #340947=AXIS2_PLACEMENT_3D('',#491847,#402100,#402101); #340948=AXIS2_PLACEMENT_3D('',#491853,#402105,#402106); #340949=AXIS2_PLACEMENT_3D('',#491859,#402110,#402111); #340950=AXIS2_PLACEMENT_3D('',#491865,#402115,#402116); #340951=AXIS2_PLACEMENT_3D('',#491871,#402120,#402121); #340952=AXIS2_PLACEMENT_3D('',#491877,#402125,#402126); #340953=AXIS2_PLACEMENT_3D('',#491883,#402130,#402131); #340954=AXIS2_PLACEMENT_3D('',#491889,#402135,#402136); #340955=AXIS2_PLACEMENT_3D('',#491895,#402140,#402141); #340956=AXIS2_PLACEMENT_3D('',#491901,#402145,#402146); #340957=AXIS2_PLACEMENT_3D('',#491907,#402150,#402151); #340958=AXIS2_PLACEMENT_3D('',#491913,#402155,#402156); #340959=AXIS2_PLACEMENT_3D('',#491919,#402160,#402161); #340960=AXIS2_PLACEMENT_3D('',#491925,#402165,#402166); #340961=AXIS2_PLACEMENT_3D('',#491931,#402170,#402171); #340962=AXIS2_PLACEMENT_3D('',#491937,#402175,#402176); #340963=AXIS2_PLACEMENT_3D('',#491943,#402180,#402181); #340964=AXIS2_PLACEMENT_3D('',#491949,#402185,#402186); #340965=AXIS2_PLACEMENT_3D('',#491955,#402190,#402191); #340966=AXIS2_PLACEMENT_3D('',#491961,#402195,#402196); #340967=AXIS2_PLACEMENT_3D('',#491964,#402199,#402200); #340968=AXIS2_PLACEMENT_3D('',#491973,#402205,#402206); #340969=AXIS2_PLACEMENT_3D('',#491979,#402210,#402211); #340970=AXIS2_PLACEMENT_3D('',#491985,#402215,#402216); #340971=AXIS2_PLACEMENT_3D('',#491991,#402220,#402221); #340972=AXIS2_PLACEMENT_3D('',#491997,#402225,#402226); #340973=AXIS2_PLACEMENT_3D('',#492003,#402230,#402231); #340974=AXIS2_PLACEMENT_3D('',#492009,#402235,#402236); #340975=AXIS2_PLACEMENT_3D('',#492015,#402240,#402241); #340976=AXIS2_PLACEMENT_3D('',#492021,#402245,#402246); #340977=AXIS2_PLACEMENT_3D('',#492027,#402250,#402251); #340978=AXIS2_PLACEMENT_3D('',#492033,#402255,#402256); #340979=AXIS2_PLACEMENT_3D('',#492039,#402260,#402261); #340980=AXIS2_PLACEMENT_3D('',#492045,#402265,#402266); #340981=AXIS2_PLACEMENT_3D('',#492051,#402270,#402271); #340982=AXIS2_PLACEMENT_3D('',#492057,#402275,#402276); #340983=AXIS2_PLACEMENT_3D('',#492063,#402280,#402281); #340984=AXIS2_PLACEMENT_3D('',#492069,#402285,#402286); #340985=AXIS2_PLACEMENT_3D('',#492075,#402290,#402291); #340986=AXIS2_PLACEMENT_3D('',#492081,#402295,#402296); #340987=AXIS2_PLACEMENT_3D('',#492087,#402300,#402301); #340988=AXIS2_PLACEMENT_3D('',#492093,#402305,#402306); #340989=AXIS2_PLACEMENT_3D('',#492099,#402310,#402311); #340990=AXIS2_PLACEMENT_3D('',#492105,#402315,#402316); #340991=AXIS2_PLACEMENT_3D('',#492108,#402319,#402320); #340992=AXIS2_PLACEMENT_3D('',#492117,#402325,#402326); #340993=AXIS2_PLACEMENT_3D('',#492123,#402330,#402331); #340994=AXIS2_PLACEMENT_3D('',#492129,#402335,#402336); #340995=AXIS2_PLACEMENT_3D('',#492135,#402340,#402341); #340996=AXIS2_PLACEMENT_3D('',#492141,#402345,#402346); #340997=AXIS2_PLACEMENT_3D('',#492147,#402350,#402351); #340998=AXIS2_PLACEMENT_3D('',#492153,#402355,#402356); #340999=AXIS2_PLACEMENT_3D('',#492159,#402360,#402361); #341000=AXIS2_PLACEMENT_3D('',#492165,#402365,#402366); #341001=AXIS2_PLACEMENT_3D('',#492171,#402370,#402371); #341002=AXIS2_PLACEMENT_3D('',#492177,#402375,#402376); #341003=AXIS2_PLACEMENT_3D('',#492183,#402380,#402381); #341004=AXIS2_PLACEMENT_3D('',#492189,#402385,#402386); #341005=AXIS2_PLACEMENT_3D('',#492195,#402390,#402391); #341006=AXIS2_PLACEMENT_3D('',#492201,#402395,#402396); #341007=AXIS2_PLACEMENT_3D('',#492207,#402400,#402401); #341008=AXIS2_PLACEMENT_3D('',#492213,#402405,#402406); #341009=AXIS2_PLACEMENT_3D('',#492219,#402410,#402411); #341010=AXIS2_PLACEMENT_3D('',#492225,#402415,#402416); #341011=AXIS2_PLACEMENT_3D('',#492231,#402420,#402421); #341012=AXIS2_PLACEMENT_3D('',#492237,#402425,#402426); #341013=AXIS2_PLACEMENT_3D('',#492243,#402430,#402431); #341014=AXIS2_PLACEMENT_3D('',#492249,#402435,#402436); #341015=AXIS2_PLACEMENT_3D('',#492255,#402440,#402441); #341016=AXIS2_PLACEMENT_3D('',#492261,#402445,#402446); #341017=AXIS2_PLACEMENT_3D('',#492267,#402450,#402451); #341018=AXIS2_PLACEMENT_3D('',#492273,#402455,#402456); #341019=AXIS2_PLACEMENT_3D('',#492279,#402460,#402461); #341020=AXIS2_PLACEMENT_3D('',#492285,#402465,#402466); #341021=AXIS2_PLACEMENT_3D('',#492291,#402470,#402471); #341022=AXIS2_PLACEMENT_3D('',#492297,#402475,#402476); #341023=AXIS2_PLACEMENT_3D('',#492303,#402480,#402481); #341024=AXIS2_PLACEMENT_3D('',#492309,#402485,#402486); #341025=AXIS2_PLACEMENT_3D('',#492315,#402490,#402491); #341026=AXIS2_PLACEMENT_3D('',#492321,#402495,#402496); #341027=AXIS2_PLACEMENT_3D('',#492327,#402500,#402501); #341028=AXIS2_PLACEMENT_3D('',#492333,#402505,#402506); #341029=AXIS2_PLACEMENT_3D('',#492339,#402510,#402511); #341030=AXIS2_PLACEMENT_3D('',#492345,#402515,#402516); #341031=AXIS2_PLACEMENT_3D('',#492351,#402520,#402521); #341032=AXIS2_PLACEMENT_3D('',#492357,#402525,#402526); #341033=AXIS2_PLACEMENT_3D('',#492363,#402530,#402531); #341034=AXIS2_PLACEMENT_3D('',#492369,#402535,#402536); #341035=AXIS2_PLACEMENT_3D('',#492375,#402540,#402541); #341036=AXIS2_PLACEMENT_3D('',#492381,#402545,#402546); #341037=AXIS2_PLACEMENT_3D('',#492387,#402550,#402551); #341038=AXIS2_PLACEMENT_3D('',#492393,#402555,#402556); #341039=AXIS2_PLACEMENT_3D('',#492399,#402560,#402561); #341040=AXIS2_PLACEMENT_3D('',#492405,#402565,#402566); #341041=AXIS2_PLACEMENT_3D('',#492411,#402570,#402571); #341042=AXIS2_PLACEMENT_3D('',#492417,#402575,#402576); #341043=AXIS2_PLACEMENT_3D('',#492423,#402580,#402581); #341044=AXIS2_PLACEMENT_3D('',#492429,#402585,#402586); #341045=AXIS2_PLACEMENT_3D('',#492435,#402590,#402591); #341046=AXIS2_PLACEMENT_3D('',#492441,#402595,#402596); #341047=AXIS2_PLACEMENT_3D('',#492447,#402600,#402601); #341048=AXIS2_PLACEMENT_3D('',#492453,#402605,#402606); #341049=AXIS2_PLACEMENT_3D('',#492459,#402610,#402611); #341050=AXIS2_PLACEMENT_3D('',#492465,#402615,#402616); #341051=AXIS2_PLACEMENT_3D('',#492471,#402620,#402621); #341052=AXIS2_PLACEMENT_3D('',#492477,#402625,#402626); #341053=AXIS2_PLACEMENT_3D('',#492483,#402630,#402631); #341054=AXIS2_PLACEMENT_3D('',#492489,#402635,#402636); #341055=AXIS2_PLACEMENT_3D('',#492495,#402640,#402641); #341056=AXIS2_PLACEMENT_3D('',#492501,#402645,#402646); #341057=AXIS2_PLACEMENT_3D('',#492507,#402650,#402651); #341058=AXIS2_PLACEMENT_3D('',#492513,#402655,#402656); #341059=AXIS2_PLACEMENT_3D('',#492519,#402660,#402661); #341060=AXIS2_PLACEMENT_3D('',#492525,#402665,#402666); #341061=AXIS2_PLACEMENT_3D('',#492531,#402670,#402671); #341062=AXIS2_PLACEMENT_3D('',#492537,#402675,#402676); #341063=AXIS2_PLACEMENT_3D('',#492543,#402680,#402681); #341064=AXIS2_PLACEMENT_3D('',#492549,#402685,#402686); #341065=AXIS2_PLACEMENT_3D('',#492555,#402690,#402691); #341066=AXIS2_PLACEMENT_3D('',#492561,#402695,#402696); #341067=AXIS2_PLACEMENT_3D('',#492567,#402700,#402701); #341068=AXIS2_PLACEMENT_3D('',#492573,#402705,#402706); #341069=AXIS2_PLACEMENT_3D('',#492579,#402710,#402711); #341070=AXIS2_PLACEMENT_3D('',#492585,#402715,#402716); #341071=AXIS2_PLACEMENT_3D('',#492591,#402720,#402721); #341072=AXIS2_PLACEMENT_3D('',#492597,#402725,#402726); #341073=AXIS2_PLACEMENT_3D('',#492603,#402730,#402731); #341074=AXIS2_PLACEMENT_3D('',#492609,#402735,#402736); #341075=AXIS2_PLACEMENT_3D('',#492615,#402740,#402741); #341076=AXIS2_PLACEMENT_3D('',#492621,#402745,#402746); #341077=AXIS2_PLACEMENT_3D('',#492627,#402750,#402751); #341078=AXIS2_PLACEMENT_3D('',#492633,#402755,#402756); #341079=AXIS2_PLACEMENT_3D('',#492639,#402760,#402761); #341080=AXIS2_PLACEMENT_3D('',#492645,#402765,#402766); #341081=AXIS2_PLACEMENT_3D('',#492651,#402770,#402771); #341082=AXIS2_PLACEMENT_3D('',#492657,#402775,#402776); #341083=AXIS2_PLACEMENT_3D('',#492663,#402780,#402781); #341084=AXIS2_PLACEMENT_3D('',#492669,#402785,#402786); #341085=AXIS2_PLACEMENT_3D('',#492675,#402790,#402791); #341086=AXIS2_PLACEMENT_3D('',#492681,#402795,#402796); #341087=AXIS2_PLACEMENT_3D('',#492687,#402800,#402801); #341088=AXIS2_PLACEMENT_3D('',#492693,#402805,#402806); #341089=AXIS2_PLACEMENT_3D('',#492699,#402810,#402811); #341090=AXIS2_PLACEMENT_3D('',#492705,#402815,#402816); #341091=AXIS2_PLACEMENT_3D('',#492711,#402820,#402821); #341092=AXIS2_PLACEMENT_3D('',#492717,#402825,#402826); #341093=AXIS2_PLACEMENT_3D('',#492723,#402830,#402831); #341094=AXIS2_PLACEMENT_3D('',#492729,#402835,#402836); #341095=AXIS2_PLACEMENT_3D('',#492735,#402840,#402841); #341096=AXIS2_PLACEMENT_3D('',#492741,#402845,#402846); #341097=AXIS2_PLACEMENT_3D('',#492747,#402850,#402851); #341098=AXIS2_PLACEMENT_3D('',#492753,#402855,#402856); #341099=AXIS2_PLACEMENT_3D('',#492759,#402860,#402861); #341100=AXIS2_PLACEMENT_3D('',#492765,#402865,#402866); #341101=AXIS2_PLACEMENT_3D('',#492771,#402870,#402871); #341102=AXIS2_PLACEMENT_3D('',#492777,#402875,#402876); #341103=AXIS2_PLACEMENT_3D('',#492783,#402880,#402881); #341104=AXIS2_PLACEMENT_3D('',#492789,#402885,#402886); #341105=AXIS2_PLACEMENT_3D('',#492795,#402890,#402891); #341106=AXIS2_PLACEMENT_3D('',#492801,#402895,#402896); #341107=AXIS2_PLACEMENT_3D('',#492807,#402900,#402901); #341108=AXIS2_PLACEMENT_3D('',#492813,#402905,#402906); #341109=AXIS2_PLACEMENT_3D('',#492819,#402910,#402911); #341110=AXIS2_PLACEMENT_3D('',#492825,#402915,#402916); #341111=AXIS2_PLACEMENT_3D('',#492831,#402920,#402921); #341112=AXIS2_PLACEMENT_3D('',#492837,#402925,#402926); #341113=AXIS2_PLACEMENT_3D('',#492843,#402930,#402931); #341114=AXIS2_PLACEMENT_3D('',#492849,#402935,#402936); #341115=AXIS2_PLACEMENT_3D('',#492855,#402940,#402941); #341116=AXIS2_PLACEMENT_3D('',#492861,#402945,#402946); #341117=AXIS2_PLACEMENT_3D('',#492867,#402950,#402951); #341118=AXIS2_PLACEMENT_3D('',#492873,#402955,#402956); #341119=AXIS2_PLACEMENT_3D('',#492879,#402960,#402961); #341120=AXIS2_PLACEMENT_3D('',#492885,#402965,#402966); #341121=AXIS2_PLACEMENT_3D('',#492891,#402970,#402971); #341122=AXIS2_PLACEMENT_3D('',#492897,#402975,#402976); #341123=AXIS2_PLACEMENT_3D('',#492903,#402980,#402981); #341124=AXIS2_PLACEMENT_3D('',#492909,#402985,#402986); #341125=AXIS2_PLACEMENT_3D('',#492915,#402990,#402991); #341126=AXIS2_PLACEMENT_3D('',#492921,#402995,#402996); #341127=AXIS2_PLACEMENT_3D('',#492927,#403000,#403001); #341128=AXIS2_PLACEMENT_3D('',#492933,#403005,#403006); #341129=AXIS2_PLACEMENT_3D('',#492939,#403010,#403011); #341130=AXIS2_PLACEMENT_3D('',#492945,#403015,#403016); #341131=AXIS2_PLACEMENT_3D('',#492951,#403020,#403021); #341132=AXIS2_PLACEMENT_3D('',#492957,#403025,#403026); #341133=AXIS2_PLACEMENT_3D('',#492963,#403030,#403031); #341134=AXIS2_PLACEMENT_3D('',#492969,#403035,#403036); #341135=AXIS2_PLACEMENT_3D('',#492975,#403040,#403041); #341136=AXIS2_PLACEMENT_3D('',#492981,#403045,#403046); #341137=AXIS2_PLACEMENT_3D('',#492987,#403050,#403051); #341138=AXIS2_PLACEMENT_3D('',#492993,#403055,#403056); #341139=AXIS2_PLACEMENT_3D('',#492999,#403060,#403061); #341140=AXIS2_PLACEMENT_3D('',#493005,#403065,#403066); #341141=AXIS2_PLACEMENT_3D('',#493011,#403070,#403071); #341142=AXIS2_PLACEMENT_3D('',#493017,#403075,#403076); #341143=AXIS2_PLACEMENT_3D('',#493023,#403080,#403081); #341144=AXIS2_PLACEMENT_3D('',#493029,#403085,#403086); #341145=AXIS2_PLACEMENT_3D('',#493035,#403090,#403091); #341146=AXIS2_PLACEMENT_3D('',#493041,#403095,#403096); #341147=AXIS2_PLACEMENT_3D('',#493047,#403100,#403101); #341148=AXIS2_PLACEMENT_3D('',#493053,#403105,#403106); #341149=AXIS2_PLACEMENT_3D('',#493059,#403110,#403111); #341150=AXIS2_PLACEMENT_3D('',#493065,#403115,#403116); #341151=AXIS2_PLACEMENT_3D('',#493071,#403120,#403121); #341152=AXIS2_PLACEMENT_3D('',#493077,#403125,#403126); #341153=AXIS2_PLACEMENT_3D('',#493083,#403130,#403131); #341154=AXIS2_PLACEMENT_3D('',#493089,#403135,#403136); #341155=AXIS2_PLACEMENT_3D('',#493095,#403140,#403141); #341156=AXIS2_PLACEMENT_3D('',#493101,#403145,#403146); #341157=AXIS2_PLACEMENT_3D('',#493107,#403150,#403151); #341158=AXIS2_PLACEMENT_3D('',#493113,#403155,#403156); #341159=AXIS2_PLACEMENT_3D('',#493119,#403160,#403161); #341160=AXIS2_PLACEMENT_3D('',#493125,#403165,#403166); #341161=AXIS2_PLACEMENT_3D('',#493131,#403170,#403171); #341162=AXIS2_PLACEMENT_3D('',#493137,#403175,#403176); #341163=AXIS2_PLACEMENT_3D('',#493143,#403180,#403181); #341164=AXIS2_PLACEMENT_3D('',#493149,#403185,#403186); #341165=AXIS2_PLACEMENT_3D('',#493155,#403190,#403191); #341166=AXIS2_PLACEMENT_3D('',#493161,#403195,#403196); #341167=AXIS2_PLACEMENT_3D('',#493167,#403200,#403201); #341168=AXIS2_PLACEMENT_3D('',#493173,#403205,#403206); #341169=AXIS2_PLACEMENT_3D('',#493179,#403210,#403211); #341170=AXIS2_PLACEMENT_3D('',#493185,#403215,#403216); #341171=AXIS2_PLACEMENT_3D('',#493191,#403220,#403221); #341172=AXIS2_PLACEMENT_3D('',#493197,#403225,#403226); #341173=AXIS2_PLACEMENT_3D('',#493203,#403230,#403231); #341174=AXIS2_PLACEMENT_3D('',#493209,#403235,#403236); #341175=AXIS2_PLACEMENT_3D('',#493215,#403240,#403241); #341176=AXIS2_PLACEMENT_3D('',#493221,#403245,#403246); #341177=AXIS2_PLACEMENT_3D('',#493227,#403250,#403251); #341178=AXIS2_PLACEMENT_3D('',#493233,#403255,#403256); #341179=AXIS2_PLACEMENT_3D('',#493239,#403260,#403261); #341180=AXIS2_PLACEMENT_3D('',#493245,#403265,#403266); #341181=AXIS2_PLACEMENT_3D('',#493251,#403270,#403271); #341182=AXIS2_PLACEMENT_3D('',#493257,#403275,#403276); #341183=AXIS2_PLACEMENT_3D('',#493263,#403280,#403281); #341184=AXIS2_PLACEMENT_3D('',#493269,#403285,#403286); #341185=AXIS2_PLACEMENT_3D('',#493275,#403290,#403291); #341186=AXIS2_PLACEMENT_3D('',#493281,#403295,#403296); #341187=AXIS2_PLACEMENT_3D('',#493287,#403300,#403301); #341188=AXIS2_PLACEMENT_3D('',#493293,#403305,#403306); #341189=AXIS2_PLACEMENT_3D('',#493299,#403310,#403311); #341190=AXIS2_PLACEMENT_3D('',#493305,#403315,#403316); #341191=AXIS2_PLACEMENT_3D('',#493311,#403320,#403321); #341192=AXIS2_PLACEMENT_3D('',#493317,#403325,#403326); #341193=AXIS2_PLACEMENT_3D('',#493323,#403330,#403331); #341194=AXIS2_PLACEMENT_3D('',#493329,#403335,#403336); #341195=AXIS2_PLACEMENT_3D('',#493335,#403340,#403341); #341196=AXIS2_PLACEMENT_3D('',#493341,#403345,#403346); #341197=AXIS2_PLACEMENT_3D('',#493347,#403350,#403351); #341198=AXIS2_PLACEMENT_3D('',#493353,#403355,#403356); #341199=AXIS2_PLACEMENT_3D('',#493359,#403360,#403361); #341200=AXIS2_PLACEMENT_3D('',#493365,#403365,#403366); #341201=AXIS2_PLACEMENT_3D('',#493371,#403370,#403371); #341202=AXIS2_PLACEMENT_3D('',#493377,#403375,#403376); #341203=AXIS2_PLACEMENT_3D('',#493383,#403380,#403381); #341204=AXIS2_PLACEMENT_3D('',#493389,#403385,#403386); #341205=AXIS2_PLACEMENT_3D('',#493395,#403390,#403391); #341206=AXIS2_PLACEMENT_3D('',#493401,#403395,#403396); #341207=AXIS2_PLACEMENT_3D('',#493407,#403400,#403401); #341208=AXIS2_PLACEMENT_3D('',#493413,#403405,#403406); #341209=AXIS2_PLACEMENT_3D('',#493419,#403410,#403411); #341210=AXIS2_PLACEMENT_3D('',#493425,#403415,#403416); #341211=AXIS2_PLACEMENT_3D('',#493431,#403420,#403421); #341212=AXIS2_PLACEMENT_3D('',#493437,#403425,#403426); #341213=AXIS2_PLACEMENT_3D('',#493443,#403430,#403431); #341214=AXIS2_PLACEMENT_3D('',#493449,#403435,#403436); #341215=AXIS2_PLACEMENT_3D('',#493455,#403440,#403441); #341216=AXIS2_PLACEMENT_3D('',#493461,#403445,#403446); #341217=AXIS2_PLACEMENT_3D('',#493467,#403450,#403451); #341218=AXIS2_PLACEMENT_3D('',#493473,#403455,#403456); #341219=AXIS2_PLACEMENT_3D('',#493479,#403460,#403461); #341220=AXIS2_PLACEMENT_3D('',#493485,#403465,#403466); #341221=AXIS2_PLACEMENT_3D('',#493491,#403470,#403471); #341222=AXIS2_PLACEMENT_3D('',#493497,#403475,#403476); #341223=AXIS2_PLACEMENT_3D('',#493503,#403480,#403481); #341224=AXIS2_PLACEMENT_3D('',#493509,#403485,#403486); #341225=AXIS2_PLACEMENT_3D('',#493515,#403490,#403491); #341226=AXIS2_PLACEMENT_3D('',#493521,#403495,#403496); #341227=AXIS2_PLACEMENT_3D('',#493527,#403500,#403501); #341228=AXIS2_PLACEMENT_3D('',#493533,#403505,#403506); #341229=AXIS2_PLACEMENT_3D('',#493539,#403510,#403511); #341230=AXIS2_PLACEMENT_3D('',#493545,#403515,#403516); #341231=AXIS2_PLACEMENT_3D('',#493551,#403520,#403521); #341232=AXIS2_PLACEMENT_3D('',#493557,#403525,#403526); #341233=AXIS2_PLACEMENT_3D('',#493563,#403530,#403531); #341234=AXIS2_PLACEMENT_3D('',#493569,#403535,#403536); #341235=AXIS2_PLACEMENT_3D('',#493575,#403540,#403541); #341236=AXIS2_PLACEMENT_3D('',#493581,#403545,#403546); #341237=AXIS2_PLACEMENT_3D('',#493587,#403550,#403551); #341238=AXIS2_PLACEMENT_3D('',#493593,#403555,#403556); #341239=AXIS2_PLACEMENT_3D('',#493599,#403560,#403561); #341240=AXIS2_PLACEMENT_3D('',#493605,#403565,#403566); #341241=AXIS2_PLACEMENT_3D('',#493611,#403570,#403571); #341242=AXIS2_PLACEMENT_3D('',#493617,#403575,#403576); #341243=AXIS2_PLACEMENT_3D('',#493623,#403580,#403581); #341244=AXIS2_PLACEMENT_3D('',#493629,#403585,#403586); #341245=AXIS2_PLACEMENT_3D('',#493635,#403590,#403591); #341246=AXIS2_PLACEMENT_3D('',#493641,#403595,#403596); #341247=AXIS2_PLACEMENT_3D('',#493647,#403600,#403601); #341248=AXIS2_PLACEMENT_3D('',#493653,#403605,#403606); #341249=AXIS2_PLACEMENT_3D('',#493659,#403610,#403611); #341250=AXIS2_PLACEMENT_3D('',#493665,#403615,#403616); #341251=AXIS2_PLACEMENT_3D('',#493671,#403620,#403621); #341252=AXIS2_PLACEMENT_3D('',#493677,#403625,#403626); #341253=AXIS2_PLACEMENT_3D('',#493683,#403630,#403631); #341254=AXIS2_PLACEMENT_3D('',#493689,#403635,#403636); #341255=AXIS2_PLACEMENT_3D('',#493695,#403640,#403641); #341256=AXIS2_PLACEMENT_3D('',#493701,#403645,#403646); #341257=AXIS2_PLACEMENT_3D('',#493707,#403650,#403651); #341258=AXIS2_PLACEMENT_3D('',#493713,#403655,#403656); #341259=AXIS2_PLACEMENT_3D('',#493719,#403660,#403661); #341260=AXIS2_PLACEMENT_3D('',#493725,#403665,#403666); #341261=AXIS2_PLACEMENT_3D('',#493731,#403670,#403671); #341262=AXIS2_PLACEMENT_3D('',#493737,#403675,#403676); #341263=AXIS2_PLACEMENT_3D('',#493743,#403680,#403681); #341264=AXIS2_PLACEMENT_3D('',#493749,#403685,#403686); #341265=AXIS2_PLACEMENT_3D('',#493755,#403690,#403691); #341266=AXIS2_PLACEMENT_3D('',#493761,#403695,#403696); #341267=AXIS2_PLACEMENT_3D('',#493767,#403700,#403701); #341268=AXIS2_PLACEMENT_3D('',#493773,#403705,#403706); #341269=AXIS2_PLACEMENT_3D('',#493779,#403710,#403711); #341270=AXIS2_PLACEMENT_3D('',#493785,#403715,#403716); #341271=AXIS2_PLACEMENT_3D('',#493791,#403720,#403721); #341272=AXIS2_PLACEMENT_3D('',#493797,#403725,#403726); #341273=AXIS2_PLACEMENT_3D('',#493803,#403730,#403731); #341274=AXIS2_PLACEMENT_3D('',#493809,#403735,#403736); #341275=AXIS2_PLACEMENT_3D('',#493815,#403740,#403741); #341276=AXIS2_PLACEMENT_3D('',#493821,#403745,#403746); #341277=AXIS2_PLACEMENT_3D('',#493827,#403750,#403751); #341278=AXIS2_PLACEMENT_3D('',#493833,#403755,#403756); #341279=AXIS2_PLACEMENT_3D('',#493839,#403760,#403761); #341280=AXIS2_PLACEMENT_3D('',#493845,#403765,#403766); #341281=AXIS2_PLACEMENT_3D('',#493851,#403770,#403771); #341282=AXIS2_PLACEMENT_3D('',#493857,#403775,#403776); #341283=AXIS2_PLACEMENT_3D('',#493863,#403780,#403781); #341284=AXIS2_PLACEMENT_3D('',#493869,#403785,#403786); #341285=AXIS2_PLACEMENT_3D('',#493875,#403790,#403791); #341286=AXIS2_PLACEMENT_3D('',#493881,#403795,#403796); #341287=AXIS2_PLACEMENT_3D('',#493887,#403800,#403801); #341288=AXIS2_PLACEMENT_3D('',#493893,#403805,#403806); #341289=AXIS2_PLACEMENT_3D('',#493899,#403810,#403811); #341290=AXIS2_PLACEMENT_3D('',#493905,#403815,#403816); #341291=AXIS2_PLACEMENT_3D('',#493911,#403820,#403821); #341292=AXIS2_PLACEMENT_3D('',#493917,#403825,#403826); #341293=AXIS2_PLACEMENT_3D('',#493923,#403830,#403831); #341294=AXIS2_PLACEMENT_3D('',#493929,#403835,#403836); #341295=AXIS2_PLACEMENT_3D('',#493935,#403840,#403841); #341296=AXIS2_PLACEMENT_3D('',#493941,#403845,#403846); #341297=AXIS2_PLACEMENT_3D('',#493947,#403850,#403851); #341298=AXIS2_PLACEMENT_3D('',#493953,#403855,#403856); #341299=AXIS2_PLACEMENT_3D('',#493959,#403860,#403861); #341300=AXIS2_PLACEMENT_3D('',#493965,#403865,#403866); #341301=AXIS2_PLACEMENT_3D('',#493971,#403870,#403871); #341302=AXIS2_PLACEMENT_3D('',#493977,#403875,#403876); #341303=AXIS2_PLACEMENT_3D('',#493983,#403880,#403881); #341304=AXIS2_PLACEMENT_3D('',#493989,#403885,#403886); #341305=AXIS2_PLACEMENT_3D('',#493995,#403890,#403891); #341306=AXIS2_PLACEMENT_3D('',#494001,#403895,#403896); #341307=AXIS2_PLACEMENT_3D('',#494007,#403900,#403901); #341308=AXIS2_PLACEMENT_3D('',#494013,#403905,#403906); #341309=AXIS2_PLACEMENT_3D('',#494019,#403910,#403911); #341310=AXIS2_PLACEMENT_3D('',#494025,#403915,#403916); #341311=AXIS2_PLACEMENT_3D('',#494031,#403920,#403921); #341312=AXIS2_PLACEMENT_3D('',#494037,#403925,#403926); #341313=AXIS2_PLACEMENT_3D('',#494043,#403930,#403931); #341314=AXIS2_PLACEMENT_3D('',#494049,#403935,#403936); #341315=AXIS2_PLACEMENT_3D('',#494055,#403940,#403941); #341316=AXIS2_PLACEMENT_3D('',#494061,#403945,#403946); #341317=AXIS2_PLACEMENT_3D('',#494067,#403950,#403951); #341318=AXIS2_PLACEMENT_3D('',#494073,#403955,#403956); #341319=AXIS2_PLACEMENT_3D('',#494079,#403960,#403961); #341320=AXIS2_PLACEMENT_3D('',#494085,#403965,#403966); #341321=AXIS2_PLACEMENT_3D('',#494091,#403970,#403971); #341322=AXIS2_PLACEMENT_3D('',#494097,#403975,#403976); #341323=AXIS2_PLACEMENT_3D('',#494103,#403980,#403981); #341324=AXIS2_PLACEMENT_3D('',#494109,#403985,#403986); #341325=AXIS2_PLACEMENT_3D('',#494115,#403990,#403991); #341326=AXIS2_PLACEMENT_3D('',#494121,#403995,#403996); #341327=AXIS2_PLACEMENT_3D('',#494127,#404000,#404001); #341328=AXIS2_PLACEMENT_3D('',#494133,#404005,#404006); #341329=AXIS2_PLACEMENT_3D('',#494139,#404010,#404011); #341330=AXIS2_PLACEMENT_3D('',#494145,#404015,#404016); #341331=AXIS2_PLACEMENT_3D('',#494151,#404020,#404021); #341332=AXIS2_PLACEMENT_3D('',#494157,#404025,#404026); #341333=AXIS2_PLACEMENT_3D('',#494163,#404030,#404031); #341334=AXIS2_PLACEMENT_3D('',#494169,#404035,#404036); #341335=AXIS2_PLACEMENT_3D('',#494175,#404040,#404041); #341336=AXIS2_PLACEMENT_3D('',#494181,#404045,#404046); #341337=AXIS2_PLACEMENT_3D('',#494187,#404050,#404051); #341338=AXIS2_PLACEMENT_3D('',#494193,#404055,#404056); #341339=AXIS2_PLACEMENT_3D('',#494199,#404060,#404061); #341340=AXIS2_PLACEMENT_3D('',#494205,#404065,#404066); #341341=AXIS2_PLACEMENT_3D('',#494211,#404070,#404071); #341342=AXIS2_PLACEMENT_3D('',#494217,#404075,#404076); #341343=AXIS2_PLACEMENT_3D('',#494223,#404080,#404081); #341344=AXIS2_PLACEMENT_3D('',#494229,#404085,#404086); #341345=AXIS2_PLACEMENT_3D('',#494235,#404090,#404091); #341346=AXIS2_PLACEMENT_3D('',#494241,#404095,#404096); #341347=AXIS2_PLACEMENT_3D('',#494247,#404100,#404101); #341348=AXIS2_PLACEMENT_3D('',#494253,#404105,#404106); #341349=AXIS2_PLACEMENT_3D('',#494259,#404110,#404111); #341350=AXIS2_PLACEMENT_3D('',#494265,#404115,#404116); #341351=AXIS2_PLACEMENT_3D('',#494271,#404120,#404121); #341352=AXIS2_PLACEMENT_3D('',#494277,#404125,#404126); #341353=AXIS2_PLACEMENT_3D('',#494283,#404130,#404131); #341354=AXIS2_PLACEMENT_3D('',#494289,#404135,#404136); #341355=AXIS2_PLACEMENT_3D('',#494295,#404140,#404141); #341356=AXIS2_PLACEMENT_3D('',#494301,#404145,#404146); #341357=AXIS2_PLACEMENT_3D('',#494307,#404150,#404151); #341358=AXIS2_PLACEMENT_3D('',#494313,#404155,#404156); #341359=AXIS2_PLACEMENT_3D('',#494319,#404160,#404161); #341360=AXIS2_PLACEMENT_3D('',#494325,#404165,#404166); #341361=AXIS2_PLACEMENT_3D('',#494331,#404170,#404171); #341362=AXIS2_PLACEMENT_3D('',#494337,#404175,#404176); #341363=AXIS2_PLACEMENT_3D('',#494343,#404180,#404181); #341364=AXIS2_PLACEMENT_3D('',#494349,#404185,#404186); #341365=AXIS2_PLACEMENT_3D('',#494355,#404190,#404191); #341366=AXIS2_PLACEMENT_3D('',#494361,#404195,#404196); #341367=AXIS2_PLACEMENT_3D('',#494367,#404200,#404201); #341368=AXIS2_PLACEMENT_3D('',#494373,#404205,#404206); #341369=AXIS2_PLACEMENT_3D('',#494379,#404210,#404211); #341370=AXIS2_PLACEMENT_3D('',#494385,#404215,#404216); #341371=AXIS2_PLACEMENT_3D('',#494391,#404220,#404221); #341372=AXIS2_PLACEMENT_3D('',#494397,#404225,#404226); #341373=AXIS2_PLACEMENT_3D('',#494403,#404230,#404231); #341374=AXIS2_PLACEMENT_3D('',#494409,#404235,#404236); #341375=AXIS2_PLACEMENT_3D('',#494415,#404240,#404241); #341376=AXIS2_PLACEMENT_3D('',#494421,#404245,#404246); #341377=AXIS2_PLACEMENT_3D('',#494427,#404250,#404251); #341378=AXIS2_PLACEMENT_3D('',#494433,#404255,#404256); #341379=AXIS2_PLACEMENT_3D('',#494439,#404260,#404261); #341380=AXIS2_PLACEMENT_3D('',#494445,#404265,#404266); #341381=AXIS2_PLACEMENT_3D('',#494451,#404270,#404271); #341382=AXIS2_PLACEMENT_3D('',#494457,#404275,#404276); #341383=AXIS2_PLACEMENT_3D('',#494463,#404280,#404281); #341384=AXIS2_PLACEMENT_3D('',#494469,#404285,#404286); #341385=AXIS2_PLACEMENT_3D('',#494475,#404290,#404291); #341386=AXIS2_PLACEMENT_3D('',#494481,#404295,#404296); #341387=AXIS2_PLACEMENT_3D('',#494487,#404300,#404301); #341388=AXIS2_PLACEMENT_3D('',#494493,#404305,#404306); #341389=AXIS2_PLACEMENT_3D('',#494499,#404310,#404311); #341390=AXIS2_PLACEMENT_3D('',#494505,#404315,#404316); #341391=AXIS2_PLACEMENT_3D('',#494511,#404320,#404321); #341392=AXIS2_PLACEMENT_3D('',#494517,#404325,#404326); #341393=AXIS2_PLACEMENT_3D('',#494523,#404330,#404331); #341394=AXIS2_PLACEMENT_3D('',#494529,#404335,#404336); #341395=AXIS2_PLACEMENT_3D('',#494535,#404340,#404341); #341396=AXIS2_PLACEMENT_3D('',#494541,#404345,#404346); #341397=AXIS2_PLACEMENT_3D('',#494547,#404350,#404351); #341398=AXIS2_PLACEMENT_3D('',#494553,#404355,#404356); #341399=AXIS2_PLACEMENT_3D('',#494559,#404360,#404361); #341400=AXIS2_PLACEMENT_3D('',#494565,#404365,#404366); #341401=AXIS2_PLACEMENT_3D('',#494571,#404370,#404371); #341402=AXIS2_PLACEMENT_3D('',#494577,#404375,#404376); #341403=AXIS2_PLACEMENT_3D('',#494583,#404380,#404381); #341404=AXIS2_PLACEMENT_3D('',#494589,#404385,#404386); #341405=AXIS2_PLACEMENT_3D('',#494595,#404390,#404391); #341406=AXIS2_PLACEMENT_3D('',#494601,#404395,#404396); #341407=AXIS2_PLACEMENT_3D('',#494607,#404400,#404401); #341408=AXIS2_PLACEMENT_3D('',#494613,#404405,#404406); #341409=AXIS2_PLACEMENT_3D('',#494619,#404410,#404411); #341410=AXIS2_PLACEMENT_3D('',#494625,#404415,#404416); #341411=AXIS2_PLACEMENT_3D('',#494631,#404420,#404421); #341412=AXIS2_PLACEMENT_3D('',#494637,#404425,#404426); #341413=AXIS2_PLACEMENT_3D('',#494643,#404430,#404431); #341414=AXIS2_PLACEMENT_3D('',#494649,#404435,#404436); #341415=AXIS2_PLACEMENT_3D('',#494655,#404440,#404441); #341416=AXIS2_PLACEMENT_3D('',#494661,#404445,#404446); #341417=AXIS2_PLACEMENT_3D('',#494667,#404450,#404451); #341418=AXIS2_PLACEMENT_3D('',#494673,#404455,#404456); #341419=AXIS2_PLACEMENT_3D('',#494679,#404460,#404461); #341420=AXIS2_PLACEMENT_3D('',#494685,#404465,#404466); #341421=AXIS2_PLACEMENT_3D('',#494691,#404470,#404471); #341422=AXIS2_PLACEMENT_3D('',#494697,#404475,#404476); #341423=AXIS2_PLACEMENT_3D('',#494703,#404480,#404481); #341424=AXIS2_PLACEMENT_3D('',#494709,#404485,#404486); #341425=AXIS2_PLACEMENT_3D('',#494715,#404490,#404491); #341426=AXIS2_PLACEMENT_3D('',#494721,#404495,#404496); #341427=AXIS2_PLACEMENT_3D('',#494727,#404500,#404501); #341428=AXIS2_PLACEMENT_3D('',#494733,#404505,#404506); #341429=AXIS2_PLACEMENT_3D('',#494739,#404510,#404511); #341430=AXIS2_PLACEMENT_3D('',#494745,#404515,#404516); #341431=AXIS2_PLACEMENT_3D('',#494751,#404520,#404521); #341432=AXIS2_PLACEMENT_3D('',#494757,#404525,#404526); #341433=AXIS2_PLACEMENT_3D('',#494763,#404530,#404531); #341434=AXIS2_PLACEMENT_3D('',#494769,#404535,#404536); #341435=AXIS2_PLACEMENT_3D('',#494775,#404540,#404541); #341436=AXIS2_PLACEMENT_3D('',#494781,#404545,#404546); #341437=AXIS2_PLACEMENT_3D('',#494787,#404550,#404551); #341438=AXIS2_PLACEMENT_3D('',#494793,#404555,#404556); #341439=AXIS2_PLACEMENT_3D('',#494799,#404560,#404561); #341440=AXIS2_PLACEMENT_3D('',#494805,#404565,#404566); #341441=AXIS2_PLACEMENT_3D('',#494811,#404570,#404571); #341442=AXIS2_PLACEMENT_3D('',#494817,#404575,#404576); #341443=AXIS2_PLACEMENT_3D('',#494823,#404580,#404581); #341444=AXIS2_PLACEMENT_3D('',#494829,#404585,#404586); #341445=AXIS2_PLACEMENT_3D('',#494835,#404590,#404591); #341446=AXIS2_PLACEMENT_3D('',#494841,#404595,#404596); #341447=AXIS2_PLACEMENT_3D('',#494847,#404600,#404601); #341448=AXIS2_PLACEMENT_3D('',#494853,#404605,#404606); #341449=AXIS2_PLACEMENT_3D('',#494859,#404610,#404611); #341450=AXIS2_PLACEMENT_3D('',#494865,#404615,#404616); #341451=AXIS2_PLACEMENT_3D('',#494871,#404620,#404621); #341452=AXIS2_PLACEMENT_3D('',#494877,#404625,#404626); #341453=AXIS2_PLACEMENT_3D('',#494883,#404630,#404631); #341454=AXIS2_PLACEMENT_3D('',#494889,#404635,#404636); #341455=AXIS2_PLACEMENT_3D('',#494895,#404640,#404641); #341456=AXIS2_PLACEMENT_3D('',#494901,#404645,#404646); #341457=AXIS2_PLACEMENT_3D('',#494907,#404650,#404651); #341458=AXIS2_PLACEMENT_3D('',#494913,#404655,#404656); #341459=AXIS2_PLACEMENT_3D('',#494919,#404660,#404661); #341460=AXIS2_PLACEMENT_3D('',#494925,#404665,#404666); #341461=AXIS2_PLACEMENT_3D('',#494931,#404670,#404671); #341462=AXIS2_PLACEMENT_3D('',#494937,#404675,#404676); #341463=AXIS2_PLACEMENT_3D('',#494943,#404680,#404681); #341464=AXIS2_PLACEMENT_3D('',#494949,#404685,#404686); #341465=AXIS2_PLACEMENT_3D('',#494955,#404690,#404691); #341466=AXIS2_PLACEMENT_3D('',#494961,#404695,#404696); #341467=AXIS2_PLACEMENT_3D('',#494967,#404700,#404701); #341468=AXIS2_PLACEMENT_3D('',#494973,#404705,#404706); #341469=AXIS2_PLACEMENT_3D('',#494979,#404710,#404711); #341470=AXIS2_PLACEMENT_3D('',#494985,#404715,#404716); #341471=AXIS2_PLACEMENT_3D('',#494991,#404720,#404721); #341472=AXIS2_PLACEMENT_3D('',#494997,#404725,#404726); #341473=AXIS2_PLACEMENT_3D('',#495003,#404730,#404731); #341474=AXIS2_PLACEMENT_3D('',#495009,#404735,#404736); #341475=AXIS2_PLACEMENT_3D('',#495015,#404740,#404741); #341476=AXIS2_PLACEMENT_3D('',#495021,#404745,#404746); #341477=AXIS2_PLACEMENT_3D('',#495027,#404750,#404751); #341478=AXIS2_PLACEMENT_3D('',#495033,#404755,#404756); #341479=AXIS2_PLACEMENT_3D('',#495039,#404760,#404761); #341480=AXIS2_PLACEMENT_3D('',#495045,#404765,#404766); #341481=AXIS2_PLACEMENT_3D('',#495051,#404770,#404771); #341482=AXIS2_PLACEMENT_3D('',#495057,#404775,#404776); #341483=AXIS2_PLACEMENT_3D('',#495063,#404780,#404781); #341484=AXIS2_PLACEMENT_3D('',#495069,#404785,#404786); #341485=AXIS2_PLACEMENT_3D('',#495075,#404790,#404791); #341486=AXIS2_PLACEMENT_3D('',#495081,#404795,#404796); #341487=AXIS2_PLACEMENT_3D('',#495087,#404800,#404801); #341488=AXIS2_PLACEMENT_3D('',#495093,#404805,#404806); #341489=AXIS2_PLACEMENT_3D('',#495099,#404810,#404811); #341490=AXIS2_PLACEMENT_3D('',#495105,#404815,#404816); #341491=AXIS2_PLACEMENT_3D('',#495111,#404820,#404821); #341492=AXIS2_PLACEMENT_3D('',#495117,#404825,#404826); #341493=AXIS2_PLACEMENT_3D('',#495123,#404830,#404831); #341494=AXIS2_PLACEMENT_3D('',#495129,#404835,#404836); #341495=AXIS2_PLACEMENT_3D('',#495135,#404840,#404841); #341496=AXIS2_PLACEMENT_3D('',#495141,#404845,#404846); #341497=AXIS2_PLACEMENT_3D('',#495147,#404850,#404851); #341498=AXIS2_PLACEMENT_3D('',#495153,#404855,#404856); #341499=AXIS2_PLACEMENT_3D('',#495159,#404860,#404861); #341500=AXIS2_PLACEMENT_3D('',#495165,#404865,#404866); #341501=AXIS2_PLACEMENT_3D('',#495171,#404870,#404871); #341502=AXIS2_PLACEMENT_3D('',#495177,#404875,#404876); #341503=AXIS2_PLACEMENT_3D('',#495183,#404880,#404881); #341504=AXIS2_PLACEMENT_3D('',#495189,#404885,#404886); #341505=AXIS2_PLACEMENT_3D('',#495195,#404890,#404891); #341506=AXIS2_PLACEMENT_3D('',#495201,#404895,#404896); #341507=AXIS2_PLACEMENT_3D('',#495207,#404900,#404901); #341508=AXIS2_PLACEMENT_3D('',#495213,#404905,#404906); #341509=AXIS2_PLACEMENT_3D('',#495219,#404910,#404911); #341510=AXIS2_PLACEMENT_3D('',#495225,#404915,#404916); #341511=AXIS2_PLACEMENT_3D('',#495231,#404920,#404921); #341512=AXIS2_PLACEMENT_3D('',#495237,#404925,#404926); #341513=AXIS2_PLACEMENT_3D('',#495243,#404930,#404931); #341514=AXIS2_PLACEMENT_3D('',#495249,#404935,#404936); #341515=AXIS2_PLACEMENT_3D('',#495255,#404940,#404941); #341516=AXIS2_PLACEMENT_3D('',#495261,#404945,#404946); #341517=AXIS2_PLACEMENT_3D('',#495267,#404950,#404951); #341518=AXIS2_PLACEMENT_3D('',#495273,#404955,#404956); #341519=AXIS2_PLACEMENT_3D('',#495279,#404960,#404961); #341520=AXIS2_PLACEMENT_3D('',#495285,#404965,#404966); #341521=AXIS2_PLACEMENT_3D('',#495291,#404970,#404971); #341522=AXIS2_PLACEMENT_3D('',#495297,#404975,#404976); #341523=AXIS2_PLACEMENT_3D('',#495303,#404980,#404981); #341524=AXIS2_PLACEMENT_3D('',#495309,#404985,#404986); #341525=AXIS2_PLACEMENT_3D('',#495315,#404990,#404991); #341526=AXIS2_PLACEMENT_3D('',#495321,#404995,#404996); #341527=AXIS2_PLACEMENT_3D('',#495327,#405000,#405001); #341528=AXIS2_PLACEMENT_3D('',#495333,#405005,#405006); #341529=AXIS2_PLACEMENT_3D('',#495339,#405010,#405011); #341530=AXIS2_PLACEMENT_3D('',#495345,#405015,#405016); #341531=AXIS2_PLACEMENT_3D('',#495351,#405020,#405021); #341532=AXIS2_PLACEMENT_3D('',#495357,#405025,#405026); #341533=AXIS2_PLACEMENT_3D('',#495363,#405030,#405031); #341534=AXIS2_PLACEMENT_3D('',#495369,#405035,#405036); #341535=AXIS2_PLACEMENT_3D('',#495375,#405040,#405041); #341536=AXIS2_PLACEMENT_3D('',#495381,#405045,#405046); #341537=AXIS2_PLACEMENT_3D('',#495387,#405050,#405051); #341538=AXIS2_PLACEMENT_3D('',#495393,#405055,#405056); #341539=AXIS2_PLACEMENT_3D('',#495399,#405060,#405061); #341540=AXIS2_PLACEMENT_3D('',#495405,#405065,#405066); #341541=AXIS2_PLACEMENT_3D('',#495411,#405070,#405071); #341542=AXIS2_PLACEMENT_3D('',#495417,#405075,#405076); #341543=AXIS2_PLACEMENT_3D('',#495423,#405080,#405081); #341544=AXIS2_PLACEMENT_3D('',#495429,#405085,#405086); #341545=AXIS2_PLACEMENT_3D('',#495435,#405090,#405091); #341546=AXIS2_PLACEMENT_3D('',#495441,#405095,#405096); #341547=AXIS2_PLACEMENT_3D('',#495447,#405100,#405101); #341548=AXIS2_PLACEMENT_3D('',#495453,#405105,#405106); #341549=AXIS2_PLACEMENT_3D('',#495459,#405110,#405111); #341550=AXIS2_PLACEMENT_3D('',#495465,#405115,#405116); #341551=AXIS2_PLACEMENT_3D('',#495471,#405120,#405121); #341552=AXIS2_PLACEMENT_3D('',#495477,#405125,#405126); #341553=AXIS2_PLACEMENT_3D('',#495483,#405130,#405131); #341554=AXIS2_PLACEMENT_3D('',#495489,#405135,#405136); #341555=AXIS2_PLACEMENT_3D('',#495495,#405140,#405141); #341556=AXIS2_PLACEMENT_3D('',#495501,#405145,#405146); #341557=AXIS2_PLACEMENT_3D('',#495507,#405150,#405151); #341558=AXIS2_PLACEMENT_3D('',#495513,#405155,#405156); #341559=AXIS2_PLACEMENT_3D('',#495519,#405160,#405161); #341560=AXIS2_PLACEMENT_3D('',#495525,#405165,#405166); #341561=AXIS2_PLACEMENT_3D('',#495531,#405170,#405171); #341562=AXIS2_PLACEMENT_3D('',#495537,#405175,#405176); #341563=AXIS2_PLACEMENT_3D('',#495543,#405180,#405181); #341564=AXIS2_PLACEMENT_3D('',#495549,#405185,#405186); #341565=AXIS2_PLACEMENT_3D('',#495555,#405190,#405191); #341566=AXIS2_PLACEMENT_3D('',#495561,#405195,#405196); #341567=AXIS2_PLACEMENT_3D('',#495567,#405200,#405201); #341568=AXIS2_PLACEMENT_3D('',#495573,#405205,#405206); #341569=AXIS2_PLACEMENT_3D('',#495579,#405210,#405211); #341570=AXIS2_PLACEMENT_3D('',#495585,#405215,#405216); #341571=AXIS2_PLACEMENT_3D('',#495591,#405220,#405221); #341572=AXIS2_PLACEMENT_3D('',#495597,#405225,#405226); #341573=AXIS2_PLACEMENT_3D('',#495603,#405230,#405231); #341574=AXIS2_PLACEMENT_3D('',#495609,#405235,#405236); #341575=AXIS2_PLACEMENT_3D('',#495615,#405240,#405241); #341576=AXIS2_PLACEMENT_3D('',#495621,#405245,#405246); #341577=AXIS2_PLACEMENT_3D('',#495627,#405250,#405251); #341578=AXIS2_PLACEMENT_3D('',#495633,#405255,#405256); #341579=AXIS2_PLACEMENT_3D('',#495639,#405260,#405261); #341580=AXIS2_PLACEMENT_3D('',#495645,#405265,#405266); #341581=AXIS2_PLACEMENT_3D('',#495651,#405270,#405271); #341582=AXIS2_PLACEMENT_3D('',#495657,#405275,#405276); #341583=AXIS2_PLACEMENT_3D('',#495663,#405280,#405281); #341584=AXIS2_PLACEMENT_3D('',#495669,#405285,#405286); #341585=AXIS2_PLACEMENT_3D('',#495675,#405290,#405291); #341586=AXIS2_PLACEMENT_3D('',#495681,#405295,#405296); #341587=AXIS2_PLACEMENT_3D('',#495687,#405300,#405301); #341588=AXIS2_PLACEMENT_3D('',#495693,#405305,#405306); #341589=AXIS2_PLACEMENT_3D('',#495699,#405310,#405311); #341590=AXIS2_PLACEMENT_3D('',#495705,#405315,#405316); #341591=AXIS2_PLACEMENT_3D('',#495711,#405320,#405321); #341592=AXIS2_PLACEMENT_3D('',#495717,#405325,#405326); #341593=AXIS2_PLACEMENT_3D('',#495723,#405330,#405331); #341594=AXIS2_PLACEMENT_3D('',#495729,#405335,#405336); #341595=AXIS2_PLACEMENT_3D('',#495735,#405340,#405341); #341596=AXIS2_PLACEMENT_3D('',#495741,#405345,#405346); #341597=AXIS2_PLACEMENT_3D('',#495747,#405350,#405351); #341598=AXIS2_PLACEMENT_3D('',#495753,#405355,#405356); #341599=AXIS2_PLACEMENT_3D('',#495759,#405360,#405361); #341600=AXIS2_PLACEMENT_3D('',#495765,#405365,#405366); #341601=AXIS2_PLACEMENT_3D('',#495771,#405370,#405371); #341602=AXIS2_PLACEMENT_3D('',#495777,#405375,#405376); #341603=AXIS2_PLACEMENT_3D('',#495783,#405380,#405381); #341604=AXIS2_PLACEMENT_3D('',#495789,#405385,#405386); #341605=AXIS2_PLACEMENT_3D('',#495795,#405390,#405391); #341606=AXIS2_PLACEMENT_3D('',#495801,#405395,#405396); #341607=AXIS2_PLACEMENT_3D('',#495807,#405400,#405401); #341608=AXIS2_PLACEMENT_3D('',#495813,#405405,#405406); #341609=AXIS2_PLACEMENT_3D('',#495819,#405410,#405411); #341610=AXIS2_PLACEMENT_3D('',#495825,#405415,#405416); #341611=AXIS2_PLACEMENT_3D('',#495831,#405420,#405421); #341612=AXIS2_PLACEMENT_3D('',#495837,#405425,#405426); #341613=AXIS2_PLACEMENT_3D('',#495843,#405430,#405431); #341614=AXIS2_PLACEMENT_3D('',#495849,#405435,#405436); #341615=AXIS2_PLACEMENT_3D('',#495855,#405440,#405441); #341616=AXIS2_PLACEMENT_3D('',#495861,#405445,#405446); #341617=AXIS2_PLACEMENT_3D('',#495867,#405450,#405451); #341618=AXIS2_PLACEMENT_3D('',#495873,#405455,#405456); #341619=AXIS2_PLACEMENT_3D('',#495879,#405460,#405461); #341620=AXIS2_PLACEMENT_3D('',#495885,#405465,#405466); #341621=AXIS2_PLACEMENT_3D('',#495891,#405470,#405471); #341622=AXIS2_PLACEMENT_3D('',#495897,#405475,#405476); #341623=AXIS2_PLACEMENT_3D('',#495903,#405480,#405481); #341624=AXIS2_PLACEMENT_3D('',#495909,#405485,#405486); #341625=AXIS2_PLACEMENT_3D('',#495915,#405490,#405491); #341626=AXIS2_PLACEMENT_3D('',#495921,#405495,#405496); #341627=AXIS2_PLACEMENT_3D('',#495927,#405500,#405501); #341628=AXIS2_PLACEMENT_3D('',#495933,#405505,#405506); #341629=AXIS2_PLACEMENT_3D('',#495939,#405510,#405511); #341630=AXIS2_PLACEMENT_3D('',#495945,#405515,#405516); #341631=AXIS2_PLACEMENT_3D('',#495951,#405520,#405521); #341632=AXIS2_PLACEMENT_3D('',#495957,#405525,#405526); #341633=AXIS2_PLACEMENT_3D('',#495963,#405530,#405531); #341634=AXIS2_PLACEMENT_3D('',#495969,#405535,#405536); #341635=AXIS2_PLACEMENT_3D('',#495975,#405540,#405541); #341636=AXIS2_PLACEMENT_3D('',#495981,#405545,#405546); #341637=AXIS2_PLACEMENT_3D('',#495984,#405549,#405550); #341638=AXIS2_PLACEMENT_3D('',#495993,#405555,#405556); #341639=AXIS2_PLACEMENT_3D('',#495999,#405560,#405561); #341640=AXIS2_PLACEMENT_3D('',#496005,#405565,#405566); #341641=AXIS2_PLACEMENT_3D('',#496011,#405570,#405571); #341642=AXIS2_PLACEMENT_3D('',#496017,#405575,#405576); #341643=AXIS2_PLACEMENT_3D('',#496023,#405580,#405581); #341644=AXIS2_PLACEMENT_3D('',#496029,#405585,#405586); #341645=AXIS2_PLACEMENT_3D('',#496035,#405590,#405591); #341646=AXIS2_PLACEMENT_3D('',#496041,#405595,#405596); #341647=AXIS2_PLACEMENT_3D('',#496047,#405600,#405601); #341648=AXIS2_PLACEMENT_3D('',#496053,#405605,#405606); #341649=AXIS2_PLACEMENT_3D('',#496059,#405610,#405611); #341650=AXIS2_PLACEMENT_3D('',#496065,#405615,#405616); #341651=AXIS2_PLACEMENT_3D('',#496071,#405620,#405621); #341652=AXIS2_PLACEMENT_3D('',#496077,#405625,#405626); #341653=AXIS2_PLACEMENT_3D('',#496083,#405630,#405631); #341654=AXIS2_PLACEMENT_3D('',#496089,#405635,#405636); #341655=AXIS2_PLACEMENT_3D('',#496095,#405640,#405641); #341656=AXIS2_PLACEMENT_3D('',#496101,#405645,#405646); #341657=AXIS2_PLACEMENT_3D('',#496107,#405650,#405651); #341658=AXIS2_PLACEMENT_3D('',#496113,#405655,#405656); #341659=AXIS2_PLACEMENT_3D('',#496119,#405660,#405661); #341660=AXIS2_PLACEMENT_3D('',#496125,#405665,#405666); #341661=AXIS2_PLACEMENT_3D('',#496131,#405670,#405671); #341662=AXIS2_PLACEMENT_3D('',#496137,#405675,#405676); #341663=AXIS2_PLACEMENT_3D('',#496143,#405680,#405681); #341664=AXIS2_PLACEMENT_3D('',#496149,#405685,#405686); #341665=AXIS2_PLACEMENT_3D('',#496155,#405690,#405691); #341666=AXIS2_PLACEMENT_3D('',#496161,#405695,#405696); #341667=AXIS2_PLACEMENT_3D('',#496167,#405700,#405701); #341668=AXIS2_PLACEMENT_3D('',#496173,#405705,#405706); #341669=AXIS2_PLACEMENT_3D('',#496179,#405710,#405711); #341670=AXIS2_PLACEMENT_3D('',#496185,#405715,#405716); #341671=AXIS2_PLACEMENT_3D('',#496191,#405720,#405721); #341672=AXIS2_PLACEMENT_3D('',#496197,#405725,#405726); #341673=AXIS2_PLACEMENT_3D('',#496203,#405730,#405731); #341674=AXIS2_PLACEMENT_3D('',#496209,#405735,#405736); #341675=AXIS2_PLACEMENT_3D('',#496215,#405740,#405741); #341676=AXIS2_PLACEMENT_3D('',#496221,#405745,#405746); #341677=AXIS2_PLACEMENT_3D('',#496227,#405750,#405751); #341678=AXIS2_PLACEMENT_3D('',#496233,#405755,#405756); #341679=AXIS2_PLACEMENT_3D('',#496239,#405760,#405761); #341680=AXIS2_PLACEMENT_3D('',#496245,#405765,#405766); #341681=AXIS2_PLACEMENT_3D('',#496251,#405770,#405771); #341682=AXIS2_PLACEMENT_3D('',#496257,#405775,#405776); #341683=AXIS2_PLACEMENT_3D('',#496263,#405780,#405781); #341684=AXIS2_PLACEMENT_3D('',#496269,#405785,#405786); #341685=AXIS2_PLACEMENT_3D('',#496275,#405790,#405791); #341686=AXIS2_PLACEMENT_3D('',#496281,#405795,#405796); #341687=AXIS2_PLACEMENT_3D('',#496287,#405800,#405801); #341688=AXIS2_PLACEMENT_3D('',#496293,#405805,#405806); #341689=AXIS2_PLACEMENT_3D('',#496299,#405810,#405811); #341690=AXIS2_PLACEMENT_3D('',#496305,#405815,#405816); #341691=AXIS2_PLACEMENT_3D('',#496311,#405820,#405821); #341692=AXIS2_PLACEMENT_3D('',#496317,#405825,#405826); #341693=AXIS2_PLACEMENT_3D('',#496323,#405830,#405831); #341694=AXIS2_PLACEMENT_3D('',#496329,#405835,#405836); #341695=AXIS2_PLACEMENT_3D('',#496335,#405840,#405841); #341696=AXIS2_PLACEMENT_3D('',#496341,#405845,#405846); #341697=AXIS2_PLACEMENT_3D('',#496347,#405850,#405851); #341698=AXIS2_PLACEMENT_3D('',#496353,#405855,#405856); #341699=AXIS2_PLACEMENT_3D('',#496359,#405860,#405861); #341700=AXIS2_PLACEMENT_3D('',#496365,#405865,#405866); #341701=AXIS2_PLACEMENT_3D('',#496371,#405870,#405871); #341702=AXIS2_PLACEMENT_3D('',#496377,#405875,#405876); #341703=AXIS2_PLACEMENT_3D('',#496383,#405880,#405881); #341704=AXIS2_PLACEMENT_3D('',#496389,#405885,#405886); #341705=AXIS2_PLACEMENT_3D('',#496395,#405890,#405891); #341706=AXIS2_PLACEMENT_3D('',#496401,#405895,#405896); #341707=AXIS2_PLACEMENT_3D('',#496407,#405900,#405901); #341708=AXIS2_PLACEMENT_3D('',#496413,#405905,#405906); #341709=AXIS2_PLACEMENT_3D('',#496419,#405910,#405911); #341710=AXIS2_PLACEMENT_3D('',#496425,#405915,#405916); #341711=AXIS2_PLACEMENT_3D('',#496431,#405920,#405921); #341712=AXIS2_PLACEMENT_3D('',#496437,#405925,#405926); #341713=AXIS2_PLACEMENT_3D('',#496443,#405930,#405931); #341714=AXIS2_PLACEMENT_3D('',#496449,#405935,#405936); #341715=AXIS2_PLACEMENT_3D('',#496455,#405940,#405941); #341716=AXIS2_PLACEMENT_3D('',#496461,#405945,#405946); #341717=AXIS2_PLACEMENT_3D('',#496467,#405950,#405951); #341718=AXIS2_PLACEMENT_3D('',#496473,#405955,#405956); #341719=AXIS2_PLACEMENT_3D('',#496479,#405960,#405961); #341720=AXIS2_PLACEMENT_3D('',#496485,#405965,#405966); #341721=AXIS2_PLACEMENT_3D('',#496491,#405970,#405971); #341722=AXIS2_PLACEMENT_3D('',#496497,#405975,#405976); #341723=AXIS2_PLACEMENT_3D('',#496503,#405980,#405981); #341724=AXIS2_PLACEMENT_3D('',#496509,#405985,#405986); #341725=AXIS2_PLACEMENT_3D('',#496515,#405990,#405991); #341726=AXIS2_PLACEMENT_3D('',#496521,#405995,#405996); #341727=AXIS2_PLACEMENT_3D('',#496527,#406000,#406001); #341728=AXIS2_PLACEMENT_3D('',#496533,#406005,#406006); #341729=AXIS2_PLACEMENT_3D('',#496539,#406010,#406011); #341730=AXIS2_PLACEMENT_3D('',#496545,#406015,#406016); #341731=AXIS2_PLACEMENT_3D('',#496551,#406020,#406021); #341732=AXIS2_PLACEMENT_3D('',#496557,#406025,#406026); #341733=AXIS2_PLACEMENT_3D('',#496563,#406030,#406031); #341734=AXIS2_PLACEMENT_3D('',#496569,#406035,#406036); #341735=AXIS2_PLACEMENT_3D('',#496575,#406040,#406041); #341736=AXIS2_PLACEMENT_3D('',#496581,#406045,#406046); #341737=AXIS2_PLACEMENT_3D('',#496584,#406049,#406050); #341738=AXIS2_PLACEMENT_3D('',#496593,#406055,#406056); #341739=AXIS2_PLACEMENT_3D('',#496599,#406060,#406061); #341740=AXIS2_PLACEMENT_3D('',#496605,#406065,#406066); #341741=AXIS2_PLACEMENT_3D('',#496611,#406070,#406071); #341742=AXIS2_PLACEMENT_3D('',#496617,#406075,#406076); #341743=AXIS2_PLACEMENT_3D('',#496623,#406080,#406081); #341744=AXIS2_PLACEMENT_3D('',#496629,#406085,#406086); #341745=AXIS2_PLACEMENT_3D('',#496635,#406090,#406091); #341746=AXIS2_PLACEMENT_3D('',#496641,#406095,#406096); #341747=AXIS2_PLACEMENT_3D('',#496647,#406100,#406101); #341748=AXIS2_PLACEMENT_3D('',#496653,#406105,#406106); #341749=AXIS2_PLACEMENT_3D('',#496659,#406110,#406111); #341750=AXIS2_PLACEMENT_3D('',#496665,#406115,#406116); #341751=AXIS2_PLACEMENT_3D('',#496671,#406120,#406121); #341752=AXIS2_PLACEMENT_3D('',#496677,#406125,#406126); #341753=AXIS2_PLACEMENT_3D('',#496683,#406130,#406131); #341754=AXIS2_PLACEMENT_3D('',#496689,#406135,#406136); #341755=AXIS2_PLACEMENT_3D('',#496695,#406140,#406141); #341756=AXIS2_PLACEMENT_3D('',#496701,#406145,#406146); #341757=AXIS2_PLACEMENT_3D('',#496707,#406150,#406151); #341758=AXIS2_PLACEMENT_3D('',#496713,#406155,#406156); #341759=AXIS2_PLACEMENT_3D('',#496719,#406160,#406161); #341760=AXIS2_PLACEMENT_3D('',#496725,#406165,#406166); #341761=AXIS2_PLACEMENT_3D('',#496731,#406170,#406171); #341762=AXIS2_PLACEMENT_3D('',#496737,#406175,#406176); #341763=AXIS2_PLACEMENT_3D('',#496743,#406180,#406181); #341764=AXIS2_PLACEMENT_3D('',#496749,#406185,#406186); #341765=AXIS2_PLACEMENT_3D('',#496755,#406190,#406191); #341766=AXIS2_PLACEMENT_3D('',#496761,#406195,#406196); #341767=AXIS2_PLACEMENT_3D('',#496767,#406200,#406201); #341768=AXIS2_PLACEMENT_3D('',#496773,#406205,#406206); #341769=AXIS2_PLACEMENT_3D('',#496779,#406210,#406211); #341770=AXIS2_PLACEMENT_3D('',#496785,#406215,#406216); #341771=AXIS2_PLACEMENT_3D('',#496791,#406220,#406221); #341772=AXIS2_PLACEMENT_3D('',#496797,#406225,#406226); #341773=AXIS2_PLACEMENT_3D('',#496803,#406230,#406231); #341774=AXIS2_PLACEMENT_3D('',#496809,#406235,#406236); #341775=AXIS2_PLACEMENT_3D('',#496815,#406240,#406241); #341776=AXIS2_PLACEMENT_3D('',#496821,#406245,#406246); #341777=AXIS2_PLACEMENT_3D('',#496827,#406250,#406251); #341778=AXIS2_PLACEMENT_3D('',#496833,#406255,#406256); #341779=AXIS2_PLACEMENT_3D('',#496839,#406260,#406261); #341780=AXIS2_PLACEMENT_3D('',#496845,#406265,#406266); #341781=AXIS2_PLACEMENT_3D('',#496851,#406270,#406271); #341782=AXIS2_PLACEMENT_3D('',#496857,#406275,#406276); #341783=AXIS2_PLACEMENT_3D('',#496863,#406280,#406281); #341784=AXIS2_PLACEMENT_3D('',#496869,#406285,#406286); #341785=AXIS2_PLACEMENT_3D('',#496875,#406290,#406291); #341786=AXIS2_PLACEMENT_3D('',#496881,#406295,#406296); #341787=AXIS2_PLACEMENT_3D('',#496887,#406300,#406301); #341788=AXIS2_PLACEMENT_3D('',#496893,#406305,#406306); #341789=AXIS2_PLACEMENT_3D('',#496899,#406310,#406311); #341790=AXIS2_PLACEMENT_3D('',#496905,#406315,#406316); #341791=AXIS2_PLACEMENT_3D('',#496911,#406320,#406321); #341792=AXIS2_PLACEMENT_3D('',#496917,#406325,#406326); #341793=AXIS2_PLACEMENT_3D('',#496923,#406330,#406331); #341794=AXIS2_PLACEMENT_3D('',#496929,#406335,#406336); #341795=AXIS2_PLACEMENT_3D('',#496935,#406340,#406341); #341796=AXIS2_PLACEMENT_3D('',#496941,#406345,#406346); #341797=AXIS2_PLACEMENT_3D('',#496947,#406350,#406351); #341798=AXIS2_PLACEMENT_3D('',#496953,#406355,#406356); #341799=AXIS2_PLACEMENT_3D('',#496959,#406360,#406361); #341800=AXIS2_PLACEMENT_3D('',#496965,#406365,#406366); #341801=AXIS2_PLACEMENT_3D('',#496971,#406370,#406371); #341802=AXIS2_PLACEMENT_3D('',#496977,#406375,#406376); #341803=AXIS2_PLACEMENT_3D('',#496983,#406380,#406381); #341804=AXIS2_PLACEMENT_3D('',#496989,#406385,#406386); #341805=AXIS2_PLACEMENT_3D('',#496995,#406390,#406391); #341806=AXIS2_PLACEMENT_3D('',#497001,#406395,#406396); #341807=AXIS2_PLACEMENT_3D('',#497007,#406400,#406401); #341808=AXIS2_PLACEMENT_3D('',#497013,#406405,#406406); #341809=AXIS2_PLACEMENT_3D('',#497019,#406410,#406411); #341810=AXIS2_PLACEMENT_3D('',#497025,#406415,#406416); #341811=AXIS2_PLACEMENT_3D('',#497031,#406420,#406421); #341812=AXIS2_PLACEMENT_3D('',#497037,#406425,#406426); #341813=AXIS2_PLACEMENT_3D('',#497043,#406430,#406431); #341814=AXIS2_PLACEMENT_3D('',#497049,#406435,#406436); #341815=AXIS2_PLACEMENT_3D('',#497055,#406440,#406441); #341816=AXIS2_PLACEMENT_3D('',#497061,#406445,#406446); #341817=AXIS2_PLACEMENT_3D('',#497067,#406450,#406451); #341818=AXIS2_PLACEMENT_3D('',#497073,#406455,#406456); #341819=AXIS2_PLACEMENT_3D('',#497079,#406460,#406461); #341820=AXIS2_PLACEMENT_3D('',#497085,#406465,#406466); #341821=AXIS2_PLACEMENT_3D('',#497091,#406470,#406471); #341822=AXIS2_PLACEMENT_3D('',#497097,#406475,#406476); #341823=AXIS2_PLACEMENT_3D('',#497103,#406480,#406481); #341824=AXIS2_PLACEMENT_3D('',#497109,#406485,#406486); #341825=AXIS2_PLACEMENT_3D('',#497115,#406490,#406491); #341826=AXIS2_PLACEMENT_3D('',#497121,#406495,#406496); #341827=AXIS2_PLACEMENT_3D('',#497127,#406500,#406501); #341828=AXIS2_PLACEMENT_3D('',#497133,#406505,#406506); #341829=AXIS2_PLACEMENT_3D('',#497139,#406510,#406511); #341830=AXIS2_PLACEMENT_3D('',#497145,#406515,#406516); #341831=AXIS2_PLACEMENT_3D('',#497151,#406520,#406521); #341832=AXIS2_PLACEMENT_3D('',#497157,#406525,#406526); #341833=AXIS2_PLACEMENT_3D('',#497163,#406530,#406531); #341834=AXIS2_PLACEMENT_3D('',#497169,#406535,#406536); #341835=AXIS2_PLACEMENT_3D('',#497175,#406540,#406541); #341836=AXIS2_PLACEMENT_3D('',#497181,#406545,#406546); #341837=AXIS2_PLACEMENT_3D('',#497187,#406550,#406551); #341838=AXIS2_PLACEMENT_3D('',#497193,#406555,#406556); #341839=AXIS2_PLACEMENT_3D('',#497199,#406560,#406561); #341840=AXIS2_PLACEMENT_3D('',#497205,#406565,#406566); #341841=AXIS2_PLACEMENT_3D('',#497211,#406570,#406571); #341842=AXIS2_PLACEMENT_3D('',#497217,#406575,#406576); #341843=AXIS2_PLACEMENT_3D('',#497223,#406580,#406581); #341844=AXIS2_PLACEMENT_3D('',#497229,#406585,#406586); #341845=AXIS2_PLACEMENT_3D('',#497235,#406590,#406591); #341846=AXIS2_PLACEMENT_3D('',#497241,#406595,#406596); #341847=AXIS2_PLACEMENT_3D('',#497247,#406600,#406601); #341848=AXIS2_PLACEMENT_3D('',#497253,#406605,#406606); #341849=AXIS2_PLACEMENT_3D('',#497259,#406610,#406611); #341850=AXIS2_PLACEMENT_3D('',#497265,#406615,#406616); #341851=AXIS2_PLACEMENT_3D('',#497271,#406620,#406621); #341852=AXIS2_PLACEMENT_3D('',#497277,#406625,#406626); #341853=AXIS2_PLACEMENT_3D('',#497283,#406630,#406631); #341854=AXIS2_PLACEMENT_3D('',#497289,#406635,#406636); #341855=AXIS2_PLACEMENT_3D('',#497295,#406640,#406641); #341856=AXIS2_PLACEMENT_3D('',#497301,#406645,#406646); #341857=AXIS2_PLACEMENT_3D('',#497307,#406650,#406651); #341858=AXIS2_PLACEMENT_3D('',#497313,#406655,#406656); #341859=AXIS2_PLACEMENT_3D('',#497319,#406660,#406661); #341860=AXIS2_PLACEMENT_3D('',#497325,#406665,#406666); #341861=AXIS2_PLACEMENT_3D('',#497331,#406670,#406671); #341862=AXIS2_PLACEMENT_3D('',#497337,#406675,#406676); #341863=AXIS2_PLACEMENT_3D('',#497343,#406680,#406681); #341864=AXIS2_PLACEMENT_3D('',#497349,#406685,#406686); #341865=AXIS2_PLACEMENT_3D('',#497355,#406690,#406691); #341866=AXIS2_PLACEMENT_3D('',#497361,#406695,#406696); #341867=AXIS2_PLACEMENT_3D('',#497367,#406700,#406701); #341868=AXIS2_PLACEMENT_3D('',#497373,#406705,#406706); #341869=AXIS2_PLACEMENT_3D('',#497379,#406710,#406711); #341870=AXIS2_PLACEMENT_3D('',#497385,#406715,#406716); #341871=AXIS2_PLACEMENT_3D('',#497391,#406720,#406721); #341872=AXIS2_PLACEMENT_3D('',#497397,#406725,#406726); #341873=AXIS2_PLACEMENT_3D('',#497403,#406730,#406731); #341874=AXIS2_PLACEMENT_3D('',#497409,#406735,#406736); #341875=AXIS2_PLACEMENT_3D('',#497415,#406740,#406741); #341876=AXIS2_PLACEMENT_3D('',#497421,#406745,#406746); #341877=AXIS2_PLACEMENT_3D('',#497427,#406750,#406751); #341878=AXIS2_PLACEMENT_3D('',#497433,#406755,#406756); #341879=AXIS2_PLACEMENT_3D('',#497439,#406760,#406761); #341880=AXIS2_PLACEMENT_3D('',#497445,#406765,#406766); #341881=AXIS2_PLACEMENT_3D('',#497451,#406770,#406771); #341882=AXIS2_PLACEMENT_3D('',#497457,#406775,#406776); #341883=AXIS2_PLACEMENT_3D('',#497463,#406780,#406781); #341884=AXIS2_PLACEMENT_3D('',#497469,#406785,#406786); #341885=AXIS2_PLACEMENT_3D('',#497475,#406790,#406791); #341886=AXIS2_PLACEMENT_3D('',#497481,#406795,#406796); #341887=AXIS2_PLACEMENT_3D('',#497487,#406800,#406801); #341888=AXIS2_PLACEMENT_3D('',#497493,#406805,#406806); #341889=AXIS2_PLACEMENT_3D('',#497499,#406810,#406811); #341890=AXIS2_PLACEMENT_3D('',#497505,#406815,#406816); #341891=AXIS2_PLACEMENT_3D('',#497511,#406820,#406821); #341892=AXIS2_PLACEMENT_3D('',#497517,#406825,#406826); #341893=AXIS2_PLACEMENT_3D('',#497523,#406830,#406831); #341894=AXIS2_PLACEMENT_3D('',#497529,#406835,#406836); #341895=AXIS2_PLACEMENT_3D('',#497535,#406840,#406841); #341896=AXIS2_PLACEMENT_3D('',#497541,#406845,#406846); #341897=AXIS2_PLACEMENT_3D('',#497547,#406850,#406851); #341898=AXIS2_PLACEMENT_3D('',#497553,#406855,#406856); #341899=AXIS2_PLACEMENT_3D('',#497559,#406860,#406861); #341900=AXIS2_PLACEMENT_3D('',#497565,#406865,#406866); #341901=AXIS2_PLACEMENT_3D('',#497571,#406870,#406871); #341902=AXIS2_PLACEMENT_3D('',#497577,#406875,#406876); #341903=AXIS2_PLACEMENT_3D('',#497583,#406880,#406881); #341904=AXIS2_PLACEMENT_3D('',#497589,#406885,#406886); #341905=AXIS2_PLACEMENT_3D('',#497595,#406890,#406891); #341906=AXIS2_PLACEMENT_3D('',#497601,#406895,#406896); #341907=AXIS2_PLACEMENT_3D('',#497607,#406900,#406901); #341908=AXIS2_PLACEMENT_3D('',#497613,#406905,#406906); #341909=AXIS2_PLACEMENT_3D('',#497619,#406910,#406911); #341910=AXIS2_PLACEMENT_3D('',#497625,#406915,#406916); #341911=AXIS2_PLACEMENT_3D('',#497631,#406920,#406921); #341912=AXIS2_PLACEMENT_3D('',#497637,#406925,#406926); #341913=AXIS2_PLACEMENT_3D('',#497643,#406930,#406931); #341914=AXIS2_PLACEMENT_3D('',#497649,#406935,#406936); #341915=AXIS2_PLACEMENT_3D('',#497655,#406940,#406941); #341916=AXIS2_PLACEMENT_3D('',#497661,#406945,#406946); #341917=AXIS2_PLACEMENT_3D('',#497667,#406950,#406951); #341918=AXIS2_PLACEMENT_3D('',#497673,#406955,#406956); #341919=AXIS2_PLACEMENT_3D('',#497679,#406960,#406961); #341920=AXIS2_PLACEMENT_3D('',#497685,#406965,#406966); #341921=AXIS2_PLACEMENT_3D('',#497691,#406970,#406971); #341922=AXIS2_PLACEMENT_3D('',#497697,#406975,#406976); #341923=AXIS2_PLACEMENT_3D('',#497703,#406980,#406981); #341924=AXIS2_PLACEMENT_3D('',#497709,#406985,#406986); #341925=AXIS2_PLACEMENT_3D('',#497715,#406990,#406991); #341926=AXIS2_PLACEMENT_3D('',#497721,#406995,#406996); #341927=AXIS2_PLACEMENT_3D('',#497727,#407000,#407001); #341928=AXIS2_PLACEMENT_3D('',#497733,#407005,#407006); #341929=AXIS2_PLACEMENT_3D('',#497739,#407010,#407011); #341930=AXIS2_PLACEMENT_3D('',#497745,#407015,#407016); #341931=AXIS2_PLACEMENT_3D('',#497751,#407020,#407021); #341932=AXIS2_PLACEMENT_3D('',#497757,#407025,#407026); #341933=AXIS2_PLACEMENT_3D('',#497763,#407030,#407031); #341934=AXIS2_PLACEMENT_3D('',#497769,#407035,#407036); #341935=AXIS2_PLACEMENT_3D('',#497775,#407040,#407041); #341936=AXIS2_PLACEMENT_3D('',#497781,#407045,#407046); #341937=AXIS2_PLACEMENT_3D('',#497787,#407050,#407051); #341938=AXIS2_PLACEMENT_3D('',#497793,#407055,#407056); #341939=AXIS2_PLACEMENT_3D('',#497799,#407060,#407061); #341940=AXIS2_PLACEMENT_3D('',#497805,#407065,#407066); #341941=AXIS2_PLACEMENT_3D('',#497811,#407070,#407071); #341942=AXIS2_PLACEMENT_3D('',#497817,#407075,#407076); #341943=AXIS2_PLACEMENT_3D('',#497823,#407080,#407081); #341944=AXIS2_PLACEMENT_3D('',#497829,#407085,#407086); #341945=AXIS2_PLACEMENT_3D('',#497835,#407090,#407091); #341946=AXIS2_PLACEMENT_3D('',#497841,#407095,#407096); #341947=AXIS2_PLACEMENT_3D('',#497847,#407100,#407101); #341948=AXIS2_PLACEMENT_3D('',#497853,#407105,#407106); #341949=AXIS2_PLACEMENT_3D('',#497859,#407110,#407111); #341950=AXIS2_PLACEMENT_3D('',#497865,#407115,#407116); #341951=AXIS2_PLACEMENT_3D('',#497871,#407120,#407121); #341952=AXIS2_PLACEMENT_3D('',#497877,#407125,#407126); #341953=AXIS2_PLACEMENT_3D('',#497883,#407130,#407131); #341954=AXIS2_PLACEMENT_3D('',#497889,#407135,#407136); #341955=AXIS2_PLACEMENT_3D('',#497895,#407140,#407141); #341956=AXIS2_PLACEMENT_3D('',#497901,#407145,#407146); #341957=AXIS2_PLACEMENT_3D('',#497907,#407150,#407151); #341958=AXIS2_PLACEMENT_3D('',#497913,#407155,#407156); #341959=AXIS2_PLACEMENT_3D('',#497919,#407160,#407161); #341960=AXIS2_PLACEMENT_3D('',#497925,#407165,#407166); #341961=AXIS2_PLACEMENT_3D('',#497931,#407170,#407171); #341962=AXIS2_PLACEMENT_3D('',#497937,#407175,#407176); #341963=AXIS2_PLACEMENT_3D('',#497943,#407180,#407181); #341964=AXIS2_PLACEMENT_3D('',#497949,#407185,#407186); #341965=AXIS2_PLACEMENT_3D('',#497955,#407190,#407191); #341966=AXIS2_PLACEMENT_3D('',#497961,#407195,#407196); #341967=AXIS2_PLACEMENT_3D('',#497967,#407200,#407201); #341968=AXIS2_PLACEMENT_3D('',#497973,#407205,#407206); #341969=AXIS2_PLACEMENT_3D('',#497979,#407210,#407211); #341970=AXIS2_PLACEMENT_3D('',#497985,#407215,#407216); #341971=AXIS2_PLACEMENT_3D('',#497991,#407220,#407221); #341972=AXIS2_PLACEMENT_3D('',#497997,#407225,#407226); #341973=AXIS2_PLACEMENT_3D('',#498003,#407230,#407231); #341974=AXIS2_PLACEMENT_3D('',#498009,#407235,#407236); #341975=AXIS2_PLACEMENT_3D('',#498015,#407240,#407241); #341976=AXIS2_PLACEMENT_3D('',#498021,#407245,#407246); #341977=AXIS2_PLACEMENT_3D('',#498027,#407250,#407251); #341978=AXIS2_PLACEMENT_3D('',#498033,#407255,#407256); #341979=AXIS2_PLACEMENT_3D('',#498039,#407260,#407261); #341980=AXIS2_PLACEMENT_3D('',#498045,#407265,#407266); #341981=AXIS2_PLACEMENT_3D('',#498051,#407270,#407271); #341982=AXIS2_PLACEMENT_3D('',#498057,#407275,#407276); #341983=AXIS2_PLACEMENT_3D('',#498063,#407280,#407281); #341984=AXIS2_PLACEMENT_3D('',#498069,#407285,#407286); #341985=AXIS2_PLACEMENT_3D('',#498075,#407290,#407291); #341986=AXIS2_PLACEMENT_3D('',#498081,#407295,#407296); #341987=AXIS2_PLACEMENT_3D('',#498087,#407300,#407301); #341988=AXIS2_PLACEMENT_3D('',#498090,#407304,#407305); #341989=AXIS2_PLACEMENT_3D('',#498099,#407310,#407311); #341990=AXIS2_PLACEMENT_3D('',#498105,#407315,#407316); #341991=AXIS2_PLACEMENT_3D('',#498111,#407320,#407321); #341992=AXIS2_PLACEMENT_3D('',#498117,#407325,#407326); #341993=AXIS2_PLACEMENT_3D('',#498123,#407330,#407331); #341994=AXIS2_PLACEMENT_3D('',#498129,#407335,#407336); #341995=AXIS2_PLACEMENT_3D('',#498135,#407340,#407341); #341996=AXIS2_PLACEMENT_3D('',#498141,#407345,#407346); #341997=AXIS2_PLACEMENT_3D('',#498147,#407350,#407351); #341998=AXIS2_PLACEMENT_3D('',#498153,#407355,#407356); #341999=AXIS2_PLACEMENT_3D('',#498159,#407360,#407361); #342000=AXIS2_PLACEMENT_3D('',#498165,#407365,#407366); #342001=AXIS2_PLACEMENT_3D('',#498171,#407370,#407371); #342002=AXIS2_PLACEMENT_3D('',#498177,#407375,#407376); #342003=AXIS2_PLACEMENT_3D('',#498183,#407380,#407381); #342004=AXIS2_PLACEMENT_3D('',#498189,#407385,#407386); #342005=AXIS2_PLACEMENT_3D('',#498195,#407390,#407391); #342006=AXIS2_PLACEMENT_3D('',#498201,#407395,#407396); #342007=AXIS2_PLACEMENT_3D('',#498207,#407400,#407401); #342008=AXIS2_PLACEMENT_3D('',#498213,#407405,#407406); #342009=AXIS2_PLACEMENT_3D('',#498219,#407410,#407411); #342010=AXIS2_PLACEMENT_3D('',#498225,#407415,#407416); #342011=AXIS2_PLACEMENT_3D('',#498231,#407420,#407421); #342012=AXIS2_PLACEMENT_3D('',#498237,#407425,#407426); #342013=AXIS2_PLACEMENT_3D('',#498243,#407430,#407431); #342014=AXIS2_PLACEMENT_3D('',#498249,#407435,#407436); #342015=AXIS2_PLACEMENT_3D('',#498255,#407440,#407441); #342016=AXIS2_PLACEMENT_3D('',#498261,#407445,#407446); #342017=AXIS2_PLACEMENT_3D('',#498267,#407450,#407451); #342018=AXIS2_PLACEMENT_3D('',#498270,#407454,#407455); #342019=AXIS2_PLACEMENT_3D('',#498279,#407460,#407461); #342020=AXIS2_PLACEMENT_3D('',#498285,#407465,#407466); #342021=AXIS2_PLACEMENT_3D('',#498291,#407470,#407471); #342022=AXIS2_PLACEMENT_3D('',#498297,#407475,#407476); #342023=AXIS2_PLACEMENT_3D('',#498303,#407480,#407481); #342024=AXIS2_PLACEMENT_3D('',#498309,#407485,#407486); #342025=AXIS2_PLACEMENT_3D('',#498315,#407490,#407491); #342026=AXIS2_PLACEMENT_3D('',#498321,#407495,#407496); #342027=AXIS2_PLACEMENT_3D('',#498327,#407500,#407501); #342028=AXIS2_PLACEMENT_3D('',#498333,#407505,#407506); #342029=AXIS2_PLACEMENT_3D('',#498339,#407510,#407511); #342030=AXIS2_PLACEMENT_3D('',#498345,#407515,#407516); #342031=AXIS2_PLACEMENT_3D('',#498351,#407520,#407521); #342032=AXIS2_PLACEMENT_3D('',#498357,#407525,#407526); #342033=AXIS2_PLACEMENT_3D('',#498363,#407530,#407531); #342034=AXIS2_PLACEMENT_3D('',#498369,#407535,#407536); #342035=AXIS2_PLACEMENT_3D('',#498375,#407540,#407541); #342036=AXIS2_PLACEMENT_3D('',#498381,#407545,#407546); #342037=AXIS2_PLACEMENT_3D('',#498387,#407550,#407551); #342038=AXIS2_PLACEMENT_3D('',#498393,#407555,#407556); #342039=AXIS2_PLACEMENT_3D('',#498399,#407560,#407561); #342040=AXIS2_PLACEMENT_3D('',#498405,#407565,#407566); #342041=AXIS2_PLACEMENT_3D('',#498411,#407570,#407571); #342042=AXIS2_PLACEMENT_3D('',#498417,#407575,#407576); #342043=AXIS2_PLACEMENT_3D('',#498423,#407580,#407581); #342044=AXIS2_PLACEMENT_3D('',#498429,#407585,#407586); #342045=AXIS2_PLACEMENT_3D('',#498435,#407590,#407591); #342046=AXIS2_PLACEMENT_3D('',#498441,#407595,#407596); #342047=AXIS2_PLACEMENT_3D('',#498447,#407600,#407601); #342048=AXIS2_PLACEMENT_3D('',#498450,#407604,#407605); #342049=AXIS2_PLACEMENT_3D('',#498459,#407610,#407611); #342050=AXIS2_PLACEMENT_3D('',#498465,#407615,#407616); #342051=AXIS2_PLACEMENT_3D('',#498471,#407620,#407621); #342052=AXIS2_PLACEMENT_3D('',#498477,#407625,#407626); #342053=AXIS2_PLACEMENT_3D('',#498483,#407630,#407631); #342054=AXIS2_PLACEMENT_3D('',#498489,#407635,#407636); #342055=AXIS2_PLACEMENT_3D('',#498495,#407640,#407641); #342056=AXIS2_PLACEMENT_3D('',#498501,#407645,#407646); #342057=AXIS2_PLACEMENT_3D('',#498507,#407650,#407651); #342058=AXIS2_PLACEMENT_3D('',#498513,#407655,#407656); #342059=AXIS2_PLACEMENT_3D('',#498519,#407660,#407661); #342060=AXIS2_PLACEMENT_3D('',#498525,#407665,#407666); #342061=AXIS2_PLACEMENT_3D('',#498531,#407670,#407671); #342062=AXIS2_PLACEMENT_3D('',#498537,#407675,#407676); #342063=AXIS2_PLACEMENT_3D('',#498543,#407680,#407681); #342064=AXIS2_PLACEMENT_3D('',#498549,#407685,#407686); #342065=AXIS2_PLACEMENT_3D('',#498555,#407690,#407691); #342066=AXIS2_PLACEMENT_3D('',#498561,#407695,#407696); #342067=AXIS2_PLACEMENT_3D('',#498567,#407700,#407701); #342068=AXIS2_PLACEMENT_3D('',#498573,#407705,#407706); #342069=AXIS2_PLACEMENT_3D('',#498579,#407710,#407711); #342070=AXIS2_PLACEMENT_3D('',#498585,#407715,#407716); #342071=AXIS2_PLACEMENT_3D('',#498591,#407720,#407721); #342072=AXIS2_PLACEMENT_3D('',#498597,#407725,#407726); #342073=AXIS2_PLACEMENT_3D('',#498603,#407730,#407731); #342074=AXIS2_PLACEMENT_3D('',#498609,#407735,#407736); #342075=AXIS2_PLACEMENT_3D('',#498615,#407740,#407741); #342076=AXIS2_PLACEMENT_3D('',#498621,#407745,#407746); #342077=AXIS2_PLACEMENT_3D('',#498627,#407750,#407751); #342078=AXIS2_PLACEMENT_3D('',#498630,#407754,#407755); #342079=AXIS2_PLACEMENT_3D('',#498639,#407760,#407761); #342080=AXIS2_PLACEMENT_3D('',#498645,#407765,#407766); #342081=AXIS2_PLACEMENT_3D('',#498651,#407770,#407771); #342082=AXIS2_PLACEMENT_3D('',#498657,#407775,#407776); #342083=AXIS2_PLACEMENT_3D('',#498663,#407780,#407781); #342084=AXIS2_PLACEMENT_3D('',#498669,#407785,#407786); #342085=AXIS2_PLACEMENT_3D('',#498675,#407790,#407791); #342086=AXIS2_PLACEMENT_3D('',#498681,#407795,#407796); #342087=AXIS2_PLACEMENT_3D('',#498687,#407800,#407801); #342088=AXIS2_PLACEMENT_3D('',#498693,#407805,#407806); #342089=AXIS2_PLACEMENT_3D('',#498699,#407810,#407811); #342090=AXIS2_PLACEMENT_3D('',#498705,#407815,#407816); #342091=AXIS2_PLACEMENT_3D('',#498711,#407820,#407821); #342092=AXIS2_PLACEMENT_3D('',#498717,#407825,#407826); #342093=AXIS2_PLACEMENT_3D('',#498723,#407830,#407831); #342094=AXIS2_PLACEMENT_3D('',#498729,#407835,#407836); #342095=AXIS2_PLACEMENT_3D('',#498735,#407840,#407841); #342096=AXIS2_PLACEMENT_3D('',#498741,#407845,#407846); #342097=AXIS2_PLACEMENT_3D('',#498747,#407850,#407851); #342098=AXIS2_PLACEMENT_3D('',#498753,#407855,#407856); #342099=AXIS2_PLACEMENT_3D('',#498759,#407860,#407861); #342100=AXIS2_PLACEMENT_3D('',#498765,#407865,#407866); #342101=AXIS2_PLACEMENT_3D('',#498771,#407870,#407871); #342102=AXIS2_PLACEMENT_3D('',#498777,#407875,#407876); #342103=AXIS2_PLACEMENT_3D('',#498783,#407880,#407881); #342104=AXIS2_PLACEMENT_3D('',#498789,#407885,#407886); #342105=AXIS2_PLACEMENT_3D('',#498795,#407890,#407891); #342106=AXIS2_PLACEMENT_3D('',#498801,#407895,#407896); #342107=AXIS2_PLACEMENT_3D('',#498807,#407900,#407901); #342108=AXIS2_PLACEMENT_3D('',#498813,#407905,#407906); #342109=AXIS2_PLACEMENT_3D('',#498819,#407910,#407911); #342110=AXIS2_PLACEMENT_3D('',#498825,#407915,#407916); #342111=AXIS2_PLACEMENT_3D('',#498831,#407920,#407921); #342112=AXIS2_PLACEMENT_3D('',#498837,#407925,#407926); #342113=AXIS2_PLACEMENT_3D('',#498843,#407930,#407931); #342114=AXIS2_PLACEMENT_3D('',#498849,#407935,#407936); #342115=AXIS2_PLACEMENT_3D('',#498855,#407940,#407941); #342116=AXIS2_PLACEMENT_3D('',#498861,#407945,#407946); #342117=AXIS2_PLACEMENT_3D('',#498867,#407950,#407951); #342118=AXIS2_PLACEMENT_3D('',#498873,#407955,#407956); #342119=AXIS2_PLACEMENT_3D('',#498879,#407960,#407961); #342120=AXIS2_PLACEMENT_3D('',#498885,#407965,#407966); #342121=AXIS2_PLACEMENT_3D('',#498891,#407970,#407971); #342122=AXIS2_PLACEMENT_3D('',#498897,#407975,#407976); #342123=AXIS2_PLACEMENT_3D('',#498903,#407980,#407981); #342124=AXIS2_PLACEMENT_3D('',#498909,#407985,#407986); #342125=AXIS2_PLACEMENT_3D('',#498915,#407990,#407991); #342126=AXIS2_PLACEMENT_3D('',#498921,#407995,#407996); #342127=AXIS2_PLACEMENT_3D('',#498927,#408000,#408001); #342128=AXIS2_PLACEMENT_3D('',#498933,#408005,#408006); #342129=AXIS2_PLACEMENT_3D('',#498939,#408010,#408011); #342130=AXIS2_PLACEMENT_3D('',#498945,#408015,#408016); #342131=AXIS2_PLACEMENT_3D('',#498951,#408020,#408021); #342132=AXIS2_PLACEMENT_3D('',#498957,#408025,#408026); #342133=AXIS2_PLACEMENT_3D('',#498963,#408030,#408031); #342134=AXIS2_PLACEMENT_3D('',#498969,#408035,#408036); #342135=AXIS2_PLACEMENT_3D('',#498975,#408040,#408041); #342136=AXIS2_PLACEMENT_3D('',#498981,#408045,#408046); #342137=AXIS2_PLACEMENT_3D('',#498987,#408050,#408051); #342138=AXIS2_PLACEMENT_3D('',#498993,#408055,#408056); #342139=AXIS2_PLACEMENT_3D('',#498999,#408060,#408061); #342140=AXIS2_PLACEMENT_3D('',#499005,#408065,#408066); #342141=AXIS2_PLACEMENT_3D('',#499011,#408070,#408071); #342142=AXIS2_PLACEMENT_3D('',#499017,#408075,#408076); #342143=AXIS2_PLACEMENT_3D('',#499023,#408080,#408081); #342144=AXIS2_PLACEMENT_3D('',#499029,#408085,#408086); #342145=AXIS2_PLACEMENT_3D('',#499035,#408090,#408091); #342146=AXIS2_PLACEMENT_3D('',#499041,#408095,#408096); #342147=AXIS2_PLACEMENT_3D('',#499047,#408100,#408101); #342148=AXIS2_PLACEMENT_3D('',#499053,#408105,#408106); #342149=AXIS2_PLACEMENT_3D('',#499059,#408110,#408111); #342150=AXIS2_PLACEMENT_3D('',#499065,#408115,#408116); #342151=AXIS2_PLACEMENT_3D('',#499071,#408120,#408121); #342152=AXIS2_PLACEMENT_3D('',#499077,#408125,#408126); #342153=AXIS2_PLACEMENT_3D('',#499083,#408130,#408131); #342154=AXIS2_PLACEMENT_3D('',#499089,#408135,#408136); #342155=AXIS2_PLACEMENT_3D('',#499095,#408140,#408141); #342156=AXIS2_PLACEMENT_3D('',#499101,#408145,#408146); #342157=AXIS2_PLACEMENT_3D('',#499107,#408150,#408151); #342158=AXIS2_PLACEMENT_3D('',#499113,#408155,#408156); #342159=AXIS2_PLACEMENT_3D('',#499119,#408160,#408161); #342160=AXIS2_PLACEMENT_3D('',#499125,#408165,#408166); #342161=AXIS2_PLACEMENT_3D('',#499131,#408170,#408171); #342162=AXIS2_PLACEMENT_3D('',#499137,#408175,#408176); #342163=AXIS2_PLACEMENT_3D('',#499143,#408180,#408181); #342164=AXIS2_PLACEMENT_3D('',#499149,#408185,#408186); #342165=AXIS2_PLACEMENT_3D('',#499155,#408190,#408191); #342166=AXIS2_PLACEMENT_3D('',#499161,#408195,#408196); #342167=AXIS2_PLACEMENT_3D('',#499167,#408200,#408201); #342168=AXIS2_PLACEMENT_3D('',#499173,#408205,#408206); #342169=AXIS2_PLACEMENT_3D('',#499179,#408210,#408211); #342170=AXIS2_PLACEMENT_3D('',#499185,#408215,#408216); #342171=AXIS2_PLACEMENT_3D('',#499191,#408220,#408221); #342172=AXIS2_PLACEMENT_3D('',#499197,#408225,#408226); #342173=AXIS2_PLACEMENT_3D('',#499203,#408230,#408231); #342174=AXIS2_PLACEMENT_3D('',#499209,#408235,#408236); #342175=AXIS2_PLACEMENT_3D('',#499215,#408240,#408241); #342176=AXIS2_PLACEMENT_3D('',#499221,#408245,#408246); #342177=AXIS2_PLACEMENT_3D('',#499227,#408250,#408251); #342178=AXIS2_PLACEMENT_3D('',#499233,#408255,#408256); #342179=AXIS2_PLACEMENT_3D('',#499239,#408260,#408261); #342180=AXIS2_PLACEMENT_3D('',#499245,#408265,#408266); #342181=AXIS2_PLACEMENT_3D('',#499251,#408270,#408271); #342182=AXIS2_PLACEMENT_3D('',#499257,#408275,#408276); #342183=AXIS2_PLACEMENT_3D('',#499263,#408280,#408281); #342184=AXIS2_PLACEMENT_3D('',#499269,#408285,#408286); #342185=AXIS2_PLACEMENT_3D('',#499275,#408290,#408291); #342186=AXIS2_PLACEMENT_3D('',#499281,#408295,#408296); #342187=AXIS2_PLACEMENT_3D('',#499287,#408300,#408301); #342188=AXIS2_PLACEMENT_3D('',#499293,#408305,#408306); #342189=AXIS2_PLACEMENT_3D('',#499299,#408310,#408311); #342190=AXIS2_PLACEMENT_3D('',#499305,#408315,#408316); #342191=AXIS2_PLACEMENT_3D('',#499311,#408320,#408321); #342192=AXIS2_PLACEMENT_3D('',#499317,#408325,#408326); #342193=AXIS2_PLACEMENT_3D('',#499323,#408330,#408331); #342194=AXIS2_PLACEMENT_3D('',#499329,#408335,#408336); #342195=AXIS2_PLACEMENT_3D('',#499335,#408340,#408341); #342196=AXIS2_PLACEMENT_3D('',#499341,#408345,#408346); #342197=AXIS2_PLACEMENT_3D('',#499347,#408350,#408351); #342198=AXIS2_PLACEMENT_3D('',#499350,#408354,#408355); #342199=AXIS2_PLACEMENT_3D('',#499351,#408356,#408357); #342200=AXIS2_PLACEMENT_3D('',#499352,#408358,#408359); #342201=AXIS2_PLACEMENT_3D('',#499361,#408364,#408365); #342202=AXIS2_PLACEMENT_3D('',#499367,#408369,#408370); #342203=AXIS2_PLACEMENT_3D('',#499373,#408374,#408375); #342204=AXIS2_PLACEMENT_3D('',#499379,#408379,#408380); #342205=AXIS2_PLACEMENT_3D('',#499385,#408384,#408385); #342206=AXIS2_PLACEMENT_3D('',#499391,#408389,#408390); #342207=AXIS2_PLACEMENT_3D('',#499397,#408394,#408395); #342208=AXIS2_PLACEMENT_3D('',#499403,#408399,#408400); #342209=AXIS2_PLACEMENT_3D('',#499409,#408404,#408405); #342210=AXIS2_PLACEMENT_3D('',#499415,#408409,#408410); #342211=AXIS2_PLACEMENT_3D('',#499421,#408414,#408415); #342212=AXIS2_PLACEMENT_3D('',#499427,#408419,#408420); #342213=AXIS2_PLACEMENT_3D('',#499433,#408424,#408425); #342214=AXIS2_PLACEMENT_3D('',#499439,#408429,#408430); #342215=AXIS2_PLACEMENT_3D('',#499445,#408434,#408435); #342216=AXIS2_PLACEMENT_3D('',#499451,#408439,#408440); #342217=AXIS2_PLACEMENT_3D('',#499457,#408444,#408445); #342218=AXIS2_PLACEMENT_3D('',#499463,#408449,#408450); #342219=AXIS2_PLACEMENT_3D('',#499469,#408454,#408455); #342220=AXIS2_PLACEMENT_3D('',#499475,#408459,#408460); #342221=AXIS2_PLACEMENT_3D('',#499481,#408464,#408465); #342222=AXIS2_PLACEMENT_3D('',#499487,#408469,#408470); #342223=AXIS2_PLACEMENT_3D('',#499493,#408474,#408475); #342224=AXIS2_PLACEMENT_3D('',#499499,#408479,#408480); #342225=AXIS2_PLACEMENT_3D('',#499505,#408484,#408485); #342226=AXIS2_PLACEMENT_3D('',#499511,#408489,#408490); #342227=AXIS2_PLACEMENT_3D('',#499514,#408493,#408494); #342228=AXIS2_PLACEMENT_3D('',#499515,#408495,#408496); #342229=AXIS2_PLACEMENT_3D('',#499516,#408497,#408498); #342230=AXIS2_PLACEMENT_3D('',#499525,#408503,#408504); #342231=AXIS2_PLACEMENT_3D('',#499531,#408508,#408509); #342232=AXIS2_PLACEMENT_3D('',#499537,#408513,#408514); #342233=AXIS2_PLACEMENT_3D('',#499543,#408518,#408519); #342234=AXIS2_PLACEMENT_3D('',#499549,#408523,#408524); #342235=AXIS2_PLACEMENT_3D('',#499555,#408528,#408529); #342236=AXIS2_PLACEMENT_3D('',#499561,#408533,#408534); #342237=AXIS2_PLACEMENT_3D('',#499567,#408538,#408539); #342238=AXIS2_PLACEMENT_3D('',#499573,#408543,#408544); #342239=AXIS2_PLACEMENT_3D('',#499579,#408548,#408549); #342240=AXIS2_PLACEMENT_3D('',#499585,#408553,#408554); #342241=AXIS2_PLACEMENT_3D('',#499591,#408558,#408559); #342242=AXIS2_PLACEMENT_3D('',#499597,#408563,#408564); #342243=AXIS2_PLACEMENT_3D('',#499603,#408568,#408569); #342244=AXIS2_PLACEMENT_3D('',#499609,#408573,#408574); #342245=AXIS2_PLACEMENT_3D('',#499615,#408578,#408579); #342246=AXIS2_PLACEMENT_3D('',#499621,#408583,#408584); #342247=AXIS2_PLACEMENT_3D('',#499627,#408588,#408589); #342248=AXIS2_PLACEMENT_3D('',#499633,#408593,#408594); #342249=AXIS2_PLACEMENT_3D('',#499639,#408598,#408599); #342250=AXIS2_PLACEMENT_3D('',#499642,#408602,#408603); #342251=AXIS2_PLACEMENT_3D('',#499643,#408604,#408605); #342252=AXIS2_PLACEMENT_3D('',#499644,#408606,#408607); #342253=AXIS2_PLACEMENT_3D('',#499653,#408612,#408613); #342254=AXIS2_PLACEMENT_3D('',#499659,#408617,#408618); #342255=AXIS2_PLACEMENT_3D('',#499665,#408622,#408623); #342256=AXIS2_PLACEMENT_3D('',#499671,#408627,#408628); #342257=AXIS2_PLACEMENT_3D('',#499677,#408632,#408633); #342258=AXIS2_PLACEMENT_3D('',#499683,#408637,#408638); #342259=AXIS2_PLACEMENT_3D('',#499689,#408642,#408643); #342260=AXIS2_PLACEMENT_3D('',#499695,#408647,#408648); #342261=AXIS2_PLACEMENT_3D('',#499701,#408652,#408653); #342262=AXIS2_PLACEMENT_3D('',#499707,#408657,#408658); #342263=AXIS2_PLACEMENT_3D('',#499713,#408662,#408663); #342264=AXIS2_PLACEMENT_3D('',#499719,#408667,#408668); #342265=AXIS2_PLACEMENT_3D('',#499725,#408672,#408673); #342266=AXIS2_PLACEMENT_3D('',#499731,#408677,#408678); #342267=AXIS2_PLACEMENT_3D('',#499737,#408682,#408683); #342268=AXIS2_PLACEMENT_3D('',#499743,#408687,#408688); #342269=AXIS2_PLACEMENT_3D('',#499749,#408692,#408693); #342270=AXIS2_PLACEMENT_3D('',#499755,#408697,#408698); #342271=AXIS2_PLACEMENT_3D('',#499761,#408702,#408703); #342272=AXIS2_PLACEMENT_3D('',#499764,#408706,#408707); #342273=AXIS2_PLACEMENT_3D('',#499765,#408708,#408709); #342274=AXIS2_PLACEMENT_3D('',#499766,#408710,#408711); #342275=AXIS2_PLACEMENT_3D('',#499775,#408716,#408717); #342276=AXIS2_PLACEMENT_3D('',#499781,#408721,#408722); #342277=AXIS2_PLACEMENT_3D('',#499787,#408726,#408727); #342278=AXIS2_PLACEMENT_3D('',#499793,#408731,#408732); #342279=AXIS2_PLACEMENT_3D('',#499799,#408736,#408737); #342280=AXIS2_PLACEMENT_3D('',#499805,#408741,#408742); #342281=AXIS2_PLACEMENT_3D('',#499811,#408746,#408747); #342282=AXIS2_PLACEMENT_3D('',#499817,#408751,#408752); #342283=AXIS2_PLACEMENT_3D('',#499823,#408756,#408757); #342284=AXIS2_PLACEMENT_3D('',#499829,#408761,#408762); #342285=AXIS2_PLACEMENT_3D('',#499835,#408766,#408767); #342286=AXIS2_PLACEMENT_3D('',#499841,#408771,#408772); #342287=AXIS2_PLACEMENT_3D('',#499847,#408776,#408777); #342288=AXIS2_PLACEMENT_3D('',#499853,#408781,#408782); #342289=AXIS2_PLACEMENT_3D('',#499859,#408786,#408787); #342290=AXIS2_PLACEMENT_3D('',#499865,#408791,#408792); #342291=AXIS2_PLACEMENT_3D('',#499871,#408796,#408797); #342292=AXIS2_PLACEMENT_3D('',#499877,#408801,#408802); #342293=AXIS2_PLACEMENT_3D('',#499883,#408806,#408807); #342294=AXIS2_PLACEMENT_3D('',#499889,#408811,#408812); #342295=AXIS2_PLACEMENT_3D('',#499895,#408816,#408817); #342296=AXIS2_PLACEMENT_3D('',#499901,#408821,#408822); #342297=AXIS2_PLACEMENT_3D('',#499907,#408826,#408827); #342298=AXIS2_PLACEMENT_3D('',#499913,#408831,#408832); #342299=AXIS2_PLACEMENT_3D('',#499919,#408836,#408837); #342300=AXIS2_PLACEMENT_3D('',#499925,#408841,#408842); #342301=AXIS2_PLACEMENT_3D('',#499931,#408846,#408847); #342302=AXIS2_PLACEMENT_3D('',#499937,#408851,#408852); #342303=AXIS2_PLACEMENT_3D('',#499943,#408856,#408857); #342304=AXIS2_PLACEMENT_3D('',#499949,#408861,#408862); #342305=AXIS2_PLACEMENT_3D('',#499955,#408866,#408867); #342306=AXIS2_PLACEMENT_3D('',#499961,#408871,#408872); #342307=AXIS2_PLACEMENT_3D('',#499967,#408876,#408877); #342308=AXIS2_PLACEMENT_3D('',#499973,#408881,#408882); #342309=AXIS2_PLACEMENT_3D('',#499979,#408886,#408887); #342310=AXIS2_PLACEMENT_3D('',#499985,#408891,#408892); #342311=AXIS2_PLACEMENT_3D('',#499991,#408896,#408897); #342312=AXIS2_PLACEMENT_3D('',#499997,#408901,#408902); #342313=AXIS2_PLACEMENT_3D('',#500003,#408906,#408907); #342314=AXIS2_PLACEMENT_3D('',#500009,#408911,#408912); #342315=AXIS2_PLACEMENT_3D('',#500015,#408916,#408917); #342316=AXIS2_PLACEMENT_3D('',#500021,#408921,#408922); #342317=AXIS2_PLACEMENT_3D('',#500027,#408926,#408927); #342318=AXIS2_PLACEMENT_3D('',#500033,#408931,#408932); #342319=AXIS2_PLACEMENT_3D('',#500039,#408936,#408937); #342320=AXIS2_PLACEMENT_3D('',#500045,#408941,#408942); #342321=AXIS2_PLACEMENT_3D('',#500048,#408945,#408946); #342322=AXIS2_PLACEMENT_3D('',#500049,#408947,#408948); #342323=AXIS2_PLACEMENT_3D('',#500050,#408949,#408950); #342324=AXIS2_PLACEMENT_3D('',#500059,#408955,#408956); #342325=AXIS2_PLACEMENT_3D('',#500065,#408960,#408961); #342326=AXIS2_PLACEMENT_3D('',#500071,#408965,#408966); #342327=AXIS2_PLACEMENT_3D('',#500077,#408970,#408971); #342328=AXIS2_PLACEMENT_3D('',#500083,#408975,#408976); #342329=AXIS2_PLACEMENT_3D('',#500089,#408980,#408981); #342330=AXIS2_PLACEMENT_3D('',#500095,#408985,#408986); #342331=AXIS2_PLACEMENT_3D('',#500101,#408990,#408991); #342332=AXIS2_PLACEMENT_3D('',#500107,#408995,#408996); #342333=AXIS2_PLACEMENT_3D('',#500113,#409000,#409001); #342334=AXIS2_PLACEMENT_3D('',#500119,#409005,#409006); #342335=AXIS2_PLACEMENT_3D('',#500125,#409010,#409011); #342336=AXIS2_PLACEMENT_3D('',#500131,#409015,#409016); #342337=AXIS2_PLACEMENT_3D('',#500137,#409020,#409021); #342338=AXIS2_PLACEMENT_3D('',#500143,#409025,#409026); #342339=AXIS2_PLACEMENT_3D('',#500149,#409030,#409031); #342340=AXIS2_PLACEMENT_3D('',#500155,#409035,#409036); #342341=AXIS2_PLACEMENT_3D('',#500161,#409040,#409041); #342342=AXIS2_PLACEMENT_3D('',#500167,#409045,#409046); #342343=AXIS2_PLACEMENT_3D('',#500173,#409050,#409051); #342344=AXIS2_PLACEMENT_3D('',#500179,#409055,#409056); #342345=AXIS2_PLACEMENT_3D('',#500185,#409060,#409061); #342346=AXIS2_PLACEMENT_3D('',#500191,#409065,#409066); #342347=AXIS2_PLACEMENT_3D('',#500197,#409070,#409071); #342348=AXIS2_PLACEMENT_3D('',#500203,#409075,#409076); #342349=AXIS2_PLACEMENT_3D('',#500209,#409080,#409081); #342350=AXIS2_PLACEMENT_3D('',#500215,#409085,#409086); #342351=AXIS2_PLACEMENT_3D('',#500221,#409090,#409091); #342352=AXIS2_PLACEMENT_3D('',#500227,#409095,#409096); #342353=AXIS2_PLACEMENT_3D('',#500233,#409100,#409101); #342354=AXIS2_PLACEMENT_3D('',#500239,#409105,#409106); #342355=AXIS2_PLACEMENT_3D('',#500245,#409110,#409111); #342356=AXIS2_PLACEMENT_3D('',#500251,#409115,#409116); #342357=AXIS2_PLACEMENT_3D('',#500254,#409119,#409120); #342358=AXIS2_PLACEMENT_3D('',#500255,#409121,#409122); #342359=AXIS2_PLACEMENT_3D('',#500256,#409123,#409124); #342360=AXIS2_PLACEMENT_3D('',#500265,#409129,#409130); #342361=AXIS2_PLACEMENT_3D('',#500271,#409134,#409135); #342362=AXIS2_PLACEMENT_3D('',#500277,#409139,#409140); #342363=AXIS2_PLACEMENT_3D('',#500283,#409144,#409145); #342364=AXIS2_PLACEMENT_3D('',#500289,#409149,#409150); #342365=AXIS2_PLACEMENT_3D('',#500295,#409154,#409155); #342366=AXIS2_PLACEMENT_3D('',#500301,#409159,#409160); #342367=AXIS2_PLACEMENT_3D('',#500307,#409164,#409165); #342368=AXIS2_PLACEMENT_3D('',#500313,#409169,#409170); #342369=AXIS2_PLACEMENT_3D('',#500319,#409174,#409175); #342370=AXIS2_PLACEMENT_3D('',#500325,#409179,#409180); #342371=AXIS2_PLACEMENT_3D('',#500331,#409184,#409185); #342372=AXIS2_PLACEMENT_3D('',#500337,#409189,#409190); #342373=AXIS2_PLACEMENT_3D('',#500343,#409194,#409195); #342374=AXIS2_PLACEMENT_3D('',#500349,#409199,#409200); #342375=AXIS2_PLACEMENT_3D('',#500355,#409204,#409205); #342376=AXIS2_PLACEMENT_3D('',#500361,#409209,#409210); #342377=AXIS2_PLACEMENT_3D('',#500367,#409214,#409215); #342378=AXIS2_PLACEMENT_3D('',#500373,#409219,#409220); #342379=AXIS2_PLACEMENT_3D('',#500379,#409224,#409225); #342380=AXIS2_PLACEMENT_3D('',#500385,#409229,#409230); #342381=AXIS2_PLACEMENT_3D('',#500388,#409233,#409234); #342382=AXIS2_PLACEMENT_3D('',#500389,#409235,#409236); #342383=AXIS2_PLACEMENT_3D('',#500390,#409237,#409238); #342384=AXIS2_PLACEMENT_3D('',#500399,#409243,#409244); #342385=AXIS2_PLACEMENT_3D('',#500405,#409248,#409249); #342386=AXIS2_PLACEMENT_3D('',#500411,#409253,#409254); #342387=AXIS2_PLACEMENT_3D('',#500417,#409258,#409259); #342388=AXIS2_PLACEMENT_3D('',#500423,#409263,#409264); #342389=AXIS2_PLACEMENT_3D('',#500429,#409268,#409269); #342390=AXIS2_PLACEMENT_3D('',#500435,#409273,#409274); #342391=AXIS2_PLACEMENT_3D('',#500441,#409278,#409279); #342392=AXIS2_PLACEMENT_3D('',#500447,#409283,#409284); #342393=AXIS2_PLACEMENT_3D('',#500453,#409288,#409289); #342394=AXIS2_PLACEMENT_3D('',#500459,#409293,#409294); #342395=AXIS2_PLACEMENT_3D('',#500465,#409298,#409299); #342396=AXIS2_PLACEMENT_3D('',#500471,#409303,#409304); #342397=AXIS2_PLACEMENT_3D('',#500477,#409308,#409309); #342398=AXIS2_PLACEMENT_3D('',#500483,#409313,#409314); #342399=AXIS2_PLACEMENT_3D('',#500489,#409318,#409319); #342400=AXIS2_PLACEMENT_3D('',#500495,#409323,#409324); #342401=AXIS2_PLACEMENT_3D('',#500501,#409328,#409329); #342402=AXIS2_PLACEMENT_3D('',#500507,#409333,#409334); #342403=AXIS2_PLACEMENT_3D('',#500510,#409337,#409338); #342404=AXIS2_PLACEMENT_3D('',#500511,#409339,#409340); #342405=AXIS2_PLACEMENT_3D('',#500512,#409341,#409342); #342406=AXIS2_PLACEMENT_3D('',#500521,#409347,#409348); #342407=AXIS2_PLACEMENT_3D('',#500527,#409352,#409353); #342408=AXIS2_PLACEMENT_3D('',#500533,#409357,#409358); #342409=AXIS2_PLACEMENT_3D('',#500539,#409362,#409363); #342410=AXIS2_PLACEMENT_3D('',#500545,#409367,#409368); #342411=AXIS2_PLACEMENT_3D('',#500551,#409372,#409373); #342412=AXIS2_PLACEMENT_3D('',#500557,#409377,#409378); #342413=AXIS2_PLACEMENT_3D('',#500563,#409382,#409383); #342414=AXIS2_PLACEMENT_3D('',#500569,#409387,#409388); #342415=AXIS2_PLACEMENT_3D('',#500575,#409392,#409393); #342416=AXIS2_PLACEMENT_3D('',#500581,#409397,#409398); #342417=AXIS2_PLACEMENT_3D('',#500587,#409402,#409403); #342418=AXIS2_PLACEMENT_3D('',#500593,#409407,#409408); #342419=AXIS2_PLACEMENT_3D('',#500599,#409412,#409413); #342420=AXIS2_PLACEMENT_3D('',#500605,#409417,#409418); #342421=AXIS2_PLACEMENT_3D('',#500611,#409422,#409423); #342422=AXIS2_PLACEMENT_3D('',#500617,#409427,#409428); #342423=AXIS2_PLACEMENT_3D('',#500623,#409432,#409433); #342424=AXIS2_PLACEMENT_3D('',#500629,#409437,#409438); #342425=AXIS2_PLACEMENT_3D('',#500635,#409442,#409443); #342426=AXIS2_PLACEMENT_3D('',#500641,#409447,#409448); #342427=AXIS2_PLACEMENT_3D('',#500647,#409452,#409453); #342428=AXIS2_PLACEMENT_3D('',#500653,#409457,#409458); #342429=AXIS2_PLACEMENT_3D('',#500659,#409462,#409463); #342430=AXIS2_PLACEMENT_3D('',#500665,#409467,#409468); #342431=AXIS2_PLACEMENT_3D('',#500671,#409472,#409473); #342432=AXIS2_PLACEMENT_3D('',#500677,#409477,#409478); #342433=AXIS2_PLACEMENT_3D('',#500683,#409482,#409483); #342434=AXIS2_PLACEMENT_3D('',#500689,#409487,#409488); #342435=AXIS2_PLACEMENT_3D('',#500695,#409492,#409493); #342436=AXIS2_PLACEMENT_3D('',#500701,#409497,#409498); #342437=AXIS2_PLACEMENT_3D('',#500707,#409502,#409503); #342438=AXIS2_PLACEMENT_3D('',#500713,#409507,#409508); #342439=AXIS2_PLACEMENT_3D('',#500719,#409512,#409513); #342440=AXIS2_PLACEMENT_3D('',#500725,#409517,#409518); #342441=AXIS2_PLACEMENT_3D('',#500731,#409522,#409523); #342442=AXIS2_PLACEMENT_3D('',#500737,#409527,#409528); #342443=AXIS2_PLACEMENT_3D('',#500743,#409532,#409533); #342444=AXIS2_PLACEMENT_3D('',#500749,#409537,#409538); #342445=AXIS2_PLACEMENT_3D('',#500755,#409542,#409543); #342446=AXIS2_PLACEMENT_3D('',#500761,#409547,#409548); #342447=AXIS2_PLACEMENT_3D('',#500767,#409552,#409553); #342448=AXIS2_PLACEMENT_3D('',#500773,#409557,#409558); #342449=AXIS2_PLACEMENT_3D('',#500779,#409562,#409563); #342450=AXIS2_PLACEMENT_3D('',#500785,#409567,#409568); #342451=AXIS2_PLACEMENT_3D('',#500791,#409572,#409573); #342452=AXIS2_PLACEMENT_3D('',#500797,#409577,#409578); #342453=AXIS2_PLACEMENT_3D('',#500803,#409582,#409583); #342454=AXIS2_PLACEMENT_3D('',#500809,#409587,#409588); #342455=AXIS2_PLACEMENT_3D('',#500815,#409592,#409593); #342456=AXIS2_PLACEMENT_3D('',#500821,#409597,#409598); #342457=AXIS2_PLACEMENT_3D('',#500827,#409602,#409603); #342458=AXIS2_PLACEMENT_3D('',#500833,#409607,#409608); #342459=AXIS2_PLACEMENT_3D('',#500839,#409612,#409613); #342460=AXIS2_PLACEMENT_3D('',#500845,#409617,#409618); #342461=AXIS2_PLACEMENT_3D('',#500851,#409622,#409623); #342462=AXIS2_PLACEMENT_3D('',#500857,#409627,#409628); #342463=AXIS2_PLACEMENT_3D('',#500863,#409632,#409633); #342464=AXIS2_PLACEMENT_3D('',#500869,#409637,#409638); #342465=AXIS2_PLACEMENT_3D('',#500875,#409642,#409643); #342466=AXIS2_PLACEMENT_3D('',#500881,#409647,#409648); #342467=AXIS2_PLACEMENT_3D('',#500887,#409652,#409653); #342468=AXIS2_PLACEMENT_3D('',#500893,#409657,#409658); #342469=AXIS2_PLACEMENT_3D('',#500899,#409662,#409663); #342470=AXIS2_PLACEMENT_3D('',#500905,#409667,#409668); #342471=AXIS2_PLACEMENT_3D('',#500911,#409672,#409673); #342472=AXIS2_PLACEMENT_3D('',#500917,#409677,#409678); #342473=AXIS2_PLACEMENT_3D('',#500923,#409682,#409683); #342474=AXIS2_PLACEMENT_3D('',#500929,#409687,#409688); #342475=AXIS2_PLACEMENT_3D('',#500935,#409692,#409693); #342476=AXIS2_PLACEMENT_3D('',#500941,#409697,#409698); #342477=AXIS2_PLACEMENT_3D('',#500944,#409701,#409702); #342478=AXIS2_PLACEMENT_3D('',#500945,#409703,#409704); #342479=AXIS2_PLACEMENT_3D('',#500946,#409705,#409706); #342480=AXIS2_PLACEMENT_3D('',#500955,#409711,#409712); #342481=AXIS2_PLACEMENT_3D('',#500961,#409716,#409717); #342482=AXIS2_PLACEMENT_3D('',#500967,#409721,#409722); #342483=AXIS2_PLACEMENT_3D('',#500973,#409726,#409727); #342484=AXIS2_PLACEMENT_3D('',#500979,#409731,#409732); #342485=AXIS2_PLACEMENT_3D('',#500985,#409736,#409737); #342486=AXIS2_PLACEMENT_3D('',#500991,#409741,#409742); #342487=AXIS2_PLACEMENT_3D('',#500997,#409746,#409747); #342488=AXIS2_PLACEMENT_3D('',#501003,#409751,#409752); #342489=AXIS2_PLACEMENT_3D('',#501009,#409756,#409757); #342490=AXIS2_PLACEMENT_3D('',#501015,#409761,#409762); #342491=AXIS2_PLACEMENT_3D('',#501021,#409766,#409767); #342492=AXIS2_PLACEMENT_3D('',#501027,#409771,#409772); #342493=AXIS2_PLACEMENT_3D('',#501033,#409776,#409777); #342494=AXIS2_PLACEMENT_3D('',#501039,#409781,#409782); #342495=AXIS2_PLACEMENT_3D('',#501045,#409786,#409787); #342496=AXIS2_PLACEMENT_3D('',#501051,#409791,#409792); #342497=AXIS2_PLACEMENT_3D('',#501057,#409796,#409797); #342498=AXIS2_PLACEMENT_3D('',#501063,#409801,#409802); #342499=AXIS2_PLACEMENT_3D('',#501069,#409806,#409807); #342500=AXIS2_PLACEMENT_3D('',#501075,#409811,#409812); #342501=AXIS2_PLACEMENT_3D('',#501081,#409816,#409817); #342502=AXIS2_PLACEMENT_3D('',#501087,#409821,#409822); #342503=AXIS2_PLACEMENT_3D('',#501093,#409826,#409827); #342504=AXIS2_PLACEMENT_3D('',#501099,#409831,#409832); #342505=AXIS2_PLACEMENT_3D('',#501105,#409836,#409837); #342506=AXIS2_PLACEMENT_3D('',#501111,#409841,#409842); #342507=AXIS2_PLACEMENT_3D('',#501114,#409845,#409846); #342508=AXIS2_PLACEMENT_3D('',#501115,#409847,#409848); #342509=AXIS2_PLACEMENT_3D('',#501116,#409849,#409850); #342510=AXIS2_PLACEMENT_3D('',#501118,#409851,#409852); #342511=AXIS2_PLACEMENT_3D('',#501121,#409854,#409855); #342512=AXIS2_PLACEMENT_3D('',#501122,#409856,#409857); #342513=AXIS2_PLACEMENT_3D('',#501124,#409858,#409859); #342514=AXIS2_PLACEMENT_3D('',#501127,#409861,#409862); #342515=AXIS2_PLACEMENT_3D('',#501128,#409863,#409864); #342516=AXIS2_PLACEMENT_3D('',#501130,#409865,#409866); #342517=AXIS2_PLACEMENT_3D('',#501133,#409868,#409869); #342518=AXIS2_PLACEMENT_3D('',#501134,#409870,#409871); #342519=AXIS2_PLACEMENT_3D('',#501136,#409872,#409873); #342520=AXIS2_PLACEMENT_3D('',#501139,#409875,#409876); #342521=AXIS2_PLACEMENT_3D('',#501140,#409877,#409878); #342522=AXIS2_PLACEMENT_3D('',#501142,#409879,#409880); #342523=AXIS2_PLACEMENT_3D('',#501145,#409882,#409883); #342524=AXIS2_PLACEMENT_3D('',#501146,#409884,#409885); #342525=AXIS2_PLACEMENT_3D('',#501148,#409886,#409887); #342526=AXIS2_PLACEMENT_3D('',#501151,#409889,#409890); #342527=AXIS2_PLACEMENT_3D('',#501152,#409891,#409892); #342528=AXIS2_PLACEMENT_3D('',#501154,#409893,#409894); #342529=AXIS2_PLACEMENT_3D('',#501157,#409896,#409897); #342530=AXIS2_PLACEMENT_3D('',#501158,#409898,#409899); #342531=AXIS2_PLACEMENT_3D('',#501160,#409900,#409901); #342532=AXIS2_PLACEMENT_3D('',#501163,#409903,#409904); #342533=AXIS2_PLACEMENT_3D('',#501164,#409905,#409906); #342534=AXIS2_PLACEMENT_3D('',#501166,#409907,#409908); #342535=AXIS2_PLACEMENT_3D('',#501169,#409910,#409911); #342536=AXIS2_PLACEMENT_3D('',#501170,#409912,#409913); #342537=AXIS2_PLACEMENT_3D('',#501172,#409914,#409915); #342538=AXIS2_PLACEMENT_3D('',#501175,#409917,#409918); #342539=AXIS2_PLACEMENT_3D('',#501176,#409919,#409920); #342540=AXIS2_PLACEMENT_3D('',#501178,#409921,#409922); #342541=AXIS2_PLACEMENT_3D('',#501181,#409924,#409925); #342542=AXIS2_PLACEMENT_3D('',#501182,#409926,#409927); #342543=AXIS2_PLACEMENT_3D('',#501184,#409928,#409929); #342544=AXIS2_PLACEMENT_3D('',#501187,#409931,#409932); #342545=AXIS2_PLACEMENT_3D('',#501188,#409933,#409934); #342546=AXIS2_PLACEMENT_3D('',#501190,#409935,#409936); #342547=AXIS2_PLACEMENT_3D('',#501193,#409938,#409939); #342548=AXIS2_PLACEMENT_3D('',#501194,#409940,#409941); #342549=AXIS2_PLACEMENT_3D('',#501196,#409942,#409943); #342550=AXIS2_PLACEMENT_3D('',#501199,#409945,#409946); #342551=AXIS2_PLACEMENT_3D('',#501200,#409947,#409948); #342552=AXIS2_PLACEMENT_3D('',#501202,#409949,#409950); #342553=AXIS2_PLACEMENT_3D('',#501205,#409952,#409953); #342554=AXIS2_PLACEMENT_3D('',#501206,#409954,#409955); #342555=AXIS2_PLACEMENT_3D('',#501208,#409956,#409957); #342556=AXIS2_PLACEMENT_3D('',#501211,#409959,#409960); #342557=AXIS2_PLACEMENT_3D('',#501212,#409961,#409962); #342558=AXIS2_PLACEMENT_3D('',#501221,#409967,#409968); #342559=AXIS2_PLACEMENT_3D('',#501227,#409972,#409973); #342560=AXIS2_PLACEMENT_3D('',#501233,#409977,#409978); #342561=AXIS2_PLACEMENT_3D('',#501239,#409982,#409983); #342562=AXIS2_PLACEMENT_3D('',#501245,#409987,#409988); #342563=AXIS2_PLACEMENT_3D('',#501251,#409992,#409993); #342564=AXIS2_PLACEMENT_3D('',#501257,#409997,#409998); #342565=AXIS2_PLACEMENT_3D('',#501263,#410002,#410003); #342566=AXIS2_PLACEMENT_3D('',#501269,#410007,#410008); #342567=AXIS2_PLACEMENT_3D('',#501275,#410012,#410013); #342568=AXIS2_PLACEMENT_3D('',#501281,#410017,#410018); #342569=AXIS2_PLACEMENT_3D('',#501287,#410022,#410023); #342570=AXIS2_PLACEMENT_3D('',#501293,#410027,#410028); #342571=AXIS2_PLACEMENT_3D('',#501299,#410032,#410033); #342572=AXIS2_PLACEMENT_3D('',#501305,#410037,#410038); #342573=AXIS2_PLACEMENT_3D('',#501311,#410042,#410043); #342574=AXIS2_PLACEMENT_3D('',#501317,#410047,#410048); #342575=AXIS2_PLACEMENT_3D('',#501323,#410052,#410053); #342576=AXIS2_PLACEMENT_3D('',#501329,#410057,#410058); #342577=AXIS2_PLACEMENT_3D('',#501335,#410062,#410063); #342578=AXIS2_PLACEMENT_3D('',#501341,#410067,#410068); #342579=AXIS2_PLACEMENT_3D('',#501347,#410072,#410073); #342580=AXIS2_PLACEMENT_3D('',#501353,#410077,#410078); #342581=AXIS2_PLACEMENT_3D('',#501359,#410082,#410083); #342582=AXIS2_PLACEMENT_3D('',#501365,#410087,#410088); #342583=AXIS2_PLACEMENT_3D('',#501371,#410092,#410093); #342584=AXIS2_PLACEMENT_3D('',#501377,#410097,#410098); #342585=AXIS2_PLACEMENT_3D('',#501383,#410102,#410103); #342586=AXIS2_PLACEMENT_3D('',#501389,#410107,#410108); #342587=AXIS2_PLACEMENT_3D('',#501395,#410112,#410113); #342588=AXIS2_PLACEMENT_3D('',#501401,#410117,#410118); #342589=AXIS2_PLACEMENT_3D('',#501407,#410122,#410123); #342590=AXIS2_PLACEMENT_3D('',#501413,#410127,#410128); #342591=AXIS2_PLACEMENT_3D('',#501419,#410132,#410133); #342592=AXIS2_PLACEMENT_3D('',#501425,#410137,#410138); #342593=AXIS2_PLACEMENT_3D('',#501431,#410142,#410143); #342594=AXIS2_PLACEMENT_3D('',#501437,#410147,#410148); #342595=AXIS2_PLACEMENT_3D('',#501443,#410152,#410153); #342596=AXIS2_PLACEMENT_3D('',#501449,#410157,#410158); #342597=AXIS2_PLACEMENT_3D('',#501455,#410162,#410163); #342598=AXIS2_PLACEMENT_3D('',#501461,#410167,#410168); #342599=AXIS2_PLACEMENT_3D('',#501467,#410172,#410173); #342600=AXIS2_PLACEMENT_3D('',#501473,#410177,#410178); #342601=AXIS2_PLACEMENT_3D('',#501479,#410182,#410183); #342602=AXIS2_PLACEMENT_3D('',#501485,#410187,#410188); #342603=AXIS2_PLACEMENT_3D('',#501491,#410192,#410193); #342604=AXIS2_PLACEMENT_3D('',#501497,#410197,#410198); #342605=AXIS2_PLACEMENT_3D('',#501503,#410202,#410203); #342606=AXIS2_PLACEMENT_3D('',#501509,#410207,#410208); #342607=AXIS2_PLACEMENT_3D('',#501515,#410212,#410213); #342608=AXIS2_PLACEMENT_3D('',#501521,#410217,#410218); #342609=AXIS2_PLACEMENT_3D('',#501527,#410222,#410223); #342610=AXIS2_PLACEMENT_3D('',#501533,#410227,#410228); #342611=AXIS2_PLACEMENT_3D('',#501539,#410232,#410233); #342612=AXIS2_PLACEMENT_3D('',#501545,#410237,#410238); #342613=AXIS2_PLACEMENT_3D('',#501551,#410242,#410243); #342614=AXIS2_PLACEMENT_3D('',#501557,#410247,#410248); #342615=AXIS2_PLACEMENT_3D('',#501563,#410252,#410253); #342616=AXIS2_PLACEMENT_3D('',#501569,#410257,#410258); #342617=AXIS2_PLACEMENT_3D('',#501575,#410262,#410263); #342618=AXIS2_PLACEMENT_3D('',#501581,#410267,#410268); #342619=AXIS2_PLACEMENT_3D('',#501587,#410272,#410273); #342620=AXIS2_PLACEMENT_3D('',#501593,#410277,#410278); #342621=AXIS2_PLACEMENT_3D('',#501599,#410282,#410283); #342622=AXIS2_PLACEMENT_3D('',#501605,#410287,#410288); #342623=AXIS2_PLACEMENT_3D('',#501611,#410292,#410293); #342624=AXIS2_PLACEMENT_3D('',#501617,#410297,#410298); #342625=AXIS2_PLACEMENT_3D('',#501623,#410302,#410303); #342626=AXIS2_PLACEMENT_3D('',#501629,#410307,#410308); #342627=AXIS2_PLACEMENT_3D('',#501635,#410312,#410313); #342628=AXIS2_PLACEMENT_3D('',#501641,#410317,#410318); #342629=AXIS2_PLACEMENT_3D('',#501647,#410322,#410323); #342630=AXIS2_PLACEMENT_3D('',#501653,#410327,#410328); #342631=AXIS2_PLACEMENT_3D('',#501656,#410331,#410332); #342632=AXIS2_PLACEMENT_3D('',#501657,#410333,#410334); #342633=AXIS2_PLACEMENT_3D('',#501658,#410335,#410336); #342634=AXIS2_PLACEMENT_3D('',#501660,#410337,#410338); #342635=AXIS2_PLACEMENT_3D('',#501663,#410340,#410341); #342636=AXIS2_PLACEMENT_3D('',#501664,#410342,#410343); #342637=AXIS2_PLACEMENT_3D('',#501666,#410344,#410345); #342638=AXIS2_PLACEMENT_3D('',#501669,#410347,#410348); #342639=AXIS2_PLACEMENT_3D('',#501670,#410349,#410350); #342640=AXIS2_PLACEMENT_3D('',#501672,#410351,#410352); #342641=AXIS2_PLACEMENT_3D('',#501675,#410354,#410355); #342642=AXIS2_PLACEMENT_3D('',#501676,#410356,#410357); #342643=AXIS2_PLACEMENT_3D('',#501678,#410358,#410359); #342644=AXIS2_PLACEMENT_3D('',#501681,#410361,#410362); #342645=AXIS2_PLACEMENT_3D('',#501682,#410363,#410364); #342646=AXIS2_PLACEMENT_3D('',#501684,#410365,#410366); #342647=AXIS2_PLACEMENT_3D('',#501687,#410368,#410369); #342648=AXIS2_PLACEMENT_3D('',#501688,#410370,#410371); #342649=AXIS2_PLACEMENT_3D('',#501690,#410372,#410373); #342650=AXIS2_PLACEMENT_3D('',#501693,#410375,#410376); #342651=AXIS2_PLACEMENT_3D('',#501694,#410377,#410378); #342652=AXIS2_PLACEMENT_3D('',#501696,#410379,#410380); #342653=AXIS2_PLACEMENT_3D('',#501699,#410382,#410383); #342654=AXIS2_PLACEMENT_3D('',#501700,#410384,#410385); #342655=AXIS2_PLACEMENT_3D('',#501702,#410386,#410387); #342656=AXIS2_PLACEMENT_3D('',#501705,#410389,#410390); #342657=AXIS2_PLACEMENT_3D('',#501706,#410391,#410392); #342658=AXIS2_PLACEMENT_3D('',#501708,#410393,#410394); #342659=AXIS2_PLACEMENT_3D('',#501711,#410396,#410397); #342660=AXIS2_PLACEMENT_3D('',#501712,#410398,#410399); #342661=AXIS2_PLACEMENT_3D('',#501714,#410400,#410401); #342662=AXIS2_PLACEMENT_3D('',#501717,#410403,#410404); #342663=AXIS2_PLACEMENT_3D('',#501718,#410405,#410406); #342664=AXIS2_PLACEMENT_3D('',#501720,#410407,#410408); #342665=AXIS2_PLACEMENT_3D('',#501723,#410410,#410411); #342666=AXIS2_PLACEMENT_3D('',#501724,#410412,#410413); #342667=AXIS2_PLACEMENT_3D('',#501726,#410414,#410415); #342668=AXIS2_PLACEMENT_3D('',#501729,#410417,#410418); #342669=AXIS2_PLACEMENT_3D('',#501730,#410419,#410420); #342670=AXIS2_PLACEMENT_3D('',#501732,#410421,#410422); #342671=AXIS2_PLACEMENT_3D('',#501735,#410424,#410425); #342672=AXIS2_PLACEMENT_3D('',#501736,#410426,#410427); #342673=AXIS2_PLACEMENT_3D('',#501738,#410428,#410429); #342674=AXIS2_PLACEMENT_3D('',#501741,#410431,#410432); #342675=AXIS2_PLACEMENT_3D('',#501742,#410433,#410434); #342676=AXIS2_PLACEMENT_3D('',#501744,#410435,#410436); #342677=AXIS2_PLACEMENT_3D('',#501747,#410438,#410439); #342678=AXIS2_PLACEMENT_3D('',#501748,#410440,#410441); #342679=AXIS2_PLACEMENT_3D('',#501750,#410442,#410443); #342680=AXIS2_PLACEMENT_3D('',#501753,#410445,#410446); #342681=AXIS2_PLACEMENT_3D('',#501754,#410447,#410448); #342682=AXIS2_PLACEMENT_3D('',#501763,#410453,#410454); #342683=AXIS2_PLACEMENT_3D('',#501769,#410458,#410459); #342684=AXIS2_PLACEMENT_3D('',#501775,#410463,#410464); #342685=AXIS2_PLACEMENT_3D('',#501781,#410468,#410469); #342686=AXIS2_PLACEMENT_3D('',#501787,#410473,#410474); #342687=AXIS2_PLACEMENT_3D('',#501793,#410478,#410479); #342688=AXIS2_PLACEMENT_3D('',#501799,#410483,#410484); #342689=AXIS2_PLACEMENT_3D('',#501805,#410488,#410489); #342690=AXIS2_PLACEMENT_3D('',#501811,#410493,#410494); #342691=AXIS2_PLACEMENT_3D('',#501817,#410498,#410499); #342692=AXIS2_PLACEMENT_3D('',#501823,#410503,#410504); #342693=AXIS2_PLACEMENT_3D('',#501829,#410508,#410509); #342694=AXIS2_PLACEMENT_3D('',#501835,#410513,#410514); #342695=AXIS2_PLACEMENT_3D('',#501841,#410518,#410519); #342696=AXIS2_PLACEMENT_3D('',#501847,#410523,#410524); #342697=AXIS2_PLACEMENT_3D('',#501853,#410528,#410529); #342698=AXIS2_PLACEMENT_3D('',#501859,#410533,#410534); #342699=AXIS2_PLACEMENT_3D('',#501865,#410538,#410539); #342700=AXIS2_PLACEMENT_3D('',#501871,#410543,#410544); #342701=AXIS2_PLACEMENT_3D('',#501877,#410548,#410549); #342702=AXIS2_PLACEMENT_3D('',#501883,#410553,#410554); #342703=AXIS2_PLACEMENT_3D('',#501889,#410558,#410559); #342704=AXIS2_PLACEMENT_3D('',#501895,#410563,#410564); #342705=AXIS2_PLACEMENT_3D('',#501901,#410568,#410569); #342706=AXIS2_PLACEMENT_3D('',#501907,#410573,#410574); #342707=AXIS2_PLACEMENT_3D('',#501913,#410578,#410579); #342708=AXIS2_PLACEMENT_3D('',#501919,#410583,#410584); #342709=AXIS2_PLACEMENT_3D('',#501925,#410588,#410589); #342710=AXIS2_PLACEMENT_3D('',#501931,#410593,#410594); #342711=AXIS2_PLACEMENT_3D('',#501937,#410598,#410599); #342712=AXIS2_PLACEMENT_3D('',#501943,#410603,#410604); #342713=AXIS2_PLACEMENT_3D('',#501949,#410608,#410609); #342714=AXIS2_PLACEMENT_3D('',#501955,#410613,#410614); #342715=AXIS2_PLACEMENT_3D('',#501961,#410618,#410619); #342716=AXIS2_PLACEMENT_3D('',#501967,#410623,#410624); #342717=AXIS2_PLACEMENT_3D('',#501973,#410628,#410629); #342718=AXIS2_PLACEMENT_3D('',#501979,#410633,#410634); #342719=AXIS2_PLACEMENT_3D('',#501985,#410638,#410639); #342720=AXIS2_PLACEMENT_3D('',#501991,#410643,#410644); #342721=AXIS2_PLACEMENT_3D('',#501997,#410648,#410649); #342722=AXIS2_PLACEMENT_3D('',#502003,#410653,#410654); #342723=AXIS2_PLACEMENT_3D('',#502009,#410658,#410659); #342724=AXIS2_PLACEMENT_3D('',#502015,#410663,#410664); #342725=AXIS2_PLACEMENT_3D('',#502021,#410668,#410669); #342726=AXIS2_PLACEMENT_3D('',#502027,#410673,#410674); #342727=AXIS2_PLACEMENT_3D('',#502033,#410678,#410679); #342728=AXIS2_PLACEMENT_3D('',#502039,#410683,#410684); #342729=AXIS2_PLACEMENT_3D('',#502045,#410688,#410689); #342730=AXIS2_PLACEMENT_3D('',#502051,#410693,#410694); #342731=AXIS2_PLACEMENT_3D('',#502057,#410698,#410699); #342732=AXIS2_PLACEMENT_3D('',#502063,#410703,#410704); #342733=AXIS2_PLACEMENT_3D('',#502069,#410708,#410709); #342734=AXIS2_PLACEMENT_3D('',#502075,#410713,#410714); #342735=AXIS2_PLACEMENT_3D('',#502081,#410718,#410719); #342736=AXIS2_PLACEMENT_3D('',#502087,#410723,#410724); #342737=AXIS2_PLACEMENT_3D('',#502093,#410728,#410729); #342738=AXIS2_PLACEMENT_3D('',#502099,#410733,#410734); #342739=AXIS2_PLACEMENT_3D('',#502105,#410738,#410739); #342740=AXIS2_PLACEMENT_3D('',#502111,#410743,#410744); #342741=AXIS2_PLACEMENT_3D('',#502117,#410748,#410749); #342742=AXIS2_PLACEMENT_3D('',#502123,#410753,#410754); #342743=AXIS2_PLACEMENT_3D('',#502129,#410758,#410759); #342744=AXIS2_PLACEMENT_3D('',#502135,#410763,#410764); #342745=AXIS2_PLACEMENT_3D('',#502141,#410768,#410769); #342746=AXIS2_PLACEMENT_3D('',#502147,#410773,#410774); #342747=AXIS2_PLACEMENT_3D('',#502153,#410778,#410779); #342748=AXIS2_PLACEMENT_3D('',#502159,#410783,#410784); #342749=AXIS2_PLACEMENT_3D('',#502165,#410788,#410789); #342750=AXIS2_PLACEMENT_3D('',#502171,#410793,#410794); #342751=AXIS2_PLACEMENT_3D('',#502174,#410797,#410798); #342752=AXIS2_PLACEMENT_3D('',#502175,#410799,#410800); #342753=AXIS2_PLACEMENT_3D('',#502176,#410801,#410802); #342754=AXIS2_PLACEMENT_3D('',#502178,#410803,#410804); #342755=AXIS2_PLACEMENT_3D('',#502181,#410806,#410807); #342756=AXIS2_PLACEMENT_3D('',#502182,#410808,#410809); #342757=AXIS2_PLACEMENT_3D('',#502184,#410810,#410811); #342758=AXIS2_PLACEMENT_3D('',#502187,#410813,#410814); #342759=AXIS2_PLACEMENT_3D('',#502188,#410815,#410816); #342760=AXIS2_PLACEMENT_3D('',#502190,#410817,#410818); #342761=AXIS2_PLACEMENT_3D('',#502193,#410820,#410821); #342762=AXIS2_PLACEMENT_3D('',#502194,#410822,#410823); #342763=AXIS2_PLACEMENT_3D('',#502196,#410824,#410825); #342764=AXIS2_PLACEMENT_3D('',#502199,#410827,#410828); #342765=AXIS2_PLACEMENT_3D('',#502200,#410829,#410830); #342766=AXIS2_PLACEMENT_3D('',#502202,#410831,#410832); #342767=AXIS2_PLACEMENT_3D('',#502205,#410834,#410835); #342768=AXIS2_PLACEMENT_3D('',#502206,#410836,#410837); #342769=AXIS2_PLACEMENT_3D('',#502208,#410838,#410839); #342770=AXIS2_PLACEMENT_3D('',#502211,#410841,#410842); #342771=AXIS2_PLACEMENT_3D('',#502212,#410843,#410844); #342772=AXIS2_PLACEMENT_3D('',#502214,#410845,#410846); #342773=AXIS2_PLACEMENT_3D('',#502217,#410848,#410849); #342774=AXIS2_PLACEMENT_3D('',#502218,#410850,#410851); #342775=AXIS2_PLACEMENT_3D('',#502220,#410852,#410853); #342776=AXIS2_PLACEMENT_3D('',#502223,#410855,#410856); #342777=AXIS2_PLACEMENT_3D('',#502224,#410857,#410858); #342778=AXIS2_PLACEMENT_3D('',#502226,#410859,#410860); #342779=AXIS2_PLACEMENT_3D('',#502229,#410862,#410863); #342780=AXIS2_PLACEMENT_3D('',#502230,#410864,#410865); #342781=AXIS2_PLACEMENT_3D('',#502232,#410866,#410867); #342782=AXIS2_PLACEMENT_3D('',#502235,#410869,#410870); #342783=AXIS2_PLACEMENT_3D('',#502236,#410871,#410872); #342784=AXIS2_PLACEMENT_3D('',#502238,#410873,#410874); #342785=AXIS2_PLACEMENT_3D('',#502241,#410876,#410877); #342786=AXIS2_PLACEMENT_3D('',#502242,#410878,#410879); #342787=AXIS2_PLACEMENT_3D('',#502244,#410880,#410881); #342788=AXIS2_PLACEMENT_3D('',#502247,#410883,#410884); #342789=AXIS2_PLACEMENT_3D('',#502248,#410885,#410886); #342790=AXIS2_PLACEMENT_3D('',#502250,#410887,#410888); #342791=AXIS2_PLACEMENT_3D('',#502253,#410890,#410891); #342792=AXIS2_PLACEMENT_3D('',#502254,#410892,#410893); #342793=AXIS2_PLACEMENT_3D('',#502256,#410894,#410895); #342794=AXIS2_PLACEMENT_3D('',#502259,#410897,#410898); #342795=AXIS2_PLACEMENT_3D('',#502260,#410899,#410900); #342796=AXIS2_PLACEMENT_3D('',#502262,#410901,#410902); #342797=AXIS2_PLACEMENT_3D('',#502265,#410904,#410905); #342798=AXIS2_PLACEMENT_3D('',#502266,#410906,#410907); #342799=AXIS2_PLACEMENT_3D('',#502268,#410908,#410909); #342800=AXIS2_PLACEMENT_3D('',#502271,#410911,#410912); #342801=AXIS2_PLACEMENT_3D('',#502272,#410913,#410914); #342802=AXIS2_PLACEMENT_3D('',#502274,#410915,#410916); #342803=AXIS2_PLACEMENT_3D('',#502277,#410918,#410919); #342804=AXIS2_PLACEMENT_3D('',#502278,#410920,#410921); #342805=AXIS2_PLACEMENT_3D('',#502280,#410922,#410923); #342806=AXIS2_PLACEMENT_3D('',#502283,#410925,#410926); #342807=AXIS2_PLACEMENT_3D('',#502284,#410927,#410928); #342808=AXIS2_PLACEMENT_3D('',#502286,#410929,#410930); #342809=AXIS2_PLACEMENT_3D('',#502289,#410932,#410933); #342810=AXIS2_PLACEMENT_3D('',#502290,#410934,#410935); #342811=AXIS2_PLACEMENT_3D('',#502292,#410936,#410937); #342812=AXIS2_PLACEMENT_3D('',#502295,#410939,#410940); #342813=AXIS2_PLACEMENT_3D('',#502296,#410941,#410942); #342814=AXIS2_PLACEMENT_3D('',#502298,#410943,#410944); #342815=AXIS2_PLACEMENT_3D('',#502301,#410946,#410947); #342816=AXIS2_PLACEMENT_3D('',#502302,#410948,#410949); #342817=AXIS2_PLACEMENT_3D('',#502304,#410950,#410951); #342818=AXIS2_PLACEMENT_3D('',#502307,#410953,#410954); #342819=AXIS2_PLACEMENT_3D('',#502308,#410955,#410956); #342820=AXIS2_PLACEMENT_3D('',#502310,#410957,#410958); #342821=AXIS2_PLACEMENT_3D('',#502313,#410960,#410961); #342822=AXIS2_PLACEMENT_3D('',#502314,#410962,#410963); #342823=AXIS2_PLACEMENT_3D('',#502316,#410964,#410965); #342824=AXIS2_PLACEMENT_3D('',#502319,#410967,#410968); #342825=AXIS2_PLACEMENT_3D('',#502320,#410969,#410970); #342826=AXIS2_PLACEMENT_3D('',#502322,#410971,#410972); #342827=AXIS2_PLACEMENT_3D('',#502325,#410974,#410975); #342828=AXIS2_PLACEMENT_3D('',#502326,#410976,#410977); #342829=AXIS2_PLACEMENT_3D('',#502328,#410978,#410979); #342830=AXIS2_PLACEMENT_3D('',#502331,#410981,#410982); #342831=AXIS2_PLACEMENT_3D('',#502332,#410983,#410984); #342832=AXIS2_PLACEMENT_3D('',#502334,#410985,#410986); #342833=AXIS2_PLACEMENT_3D('',#502337,#410988,#410989); #342834=AXIS2_PLACEMENT_3D('',#502338,#410990,#410991); #342835=AXIS2_PLACEMENT_3D('',#502340,#410992,#410993); #342836=AXIS2_PLACEMENT_3D('',#502343,#410995,#410996); #342837=AXIS2_PLACEMENT_3D('',#502344,#410997,#410998); #342838=AXIS2_PLACEMENT_3D('',#502346,#410999,#411000); #342839=AXIS2_PLACEMENT_3D('',#502349,#411002,#411003); #342840=AXIS2_PLACEMENT_3D('',#502350,#411004,#411005); #342841=AXIS2_PLACEMENT_3D('',#502352,#411006,#411007); #342842=AXIS2_PLACEMENT_3D('',#502355,#411009,#411010); #342843=AXIS2_PLACEMENT_3D('',#502356,#411011,#411012); #342844=AXIS2_PLACEMENT_3D('',#502365,#411017,#411018); #342845=AXIS2_PLACEMENT_3D('',#502371,#411022,#411023); #342846=AXIS2_PLACEMENT_3D('',#502377,#411027,#411028); #342847=AXIS2_PLACEMENT_3D('',#502383,#411032,#411033); #342848=AXIS2_PLACEMENT_3D('',#502389,#411037,#411038); #342849=AXIS2_PLACEMENT_3D('',#502395,#411042,#411043); #342850=AXIS2_PLACEMENT_3D('',#502401,#411047,#411048); #342851=AXIS2_PLACEMENT_3D('',#502407,#411052,#411053); #342852=AXIS2_PLACEMENT_3D('',#502413,#411057,#411058); #342853=AXIS2_PLACEMENT_3D('',#502419,#411062,#411063); #342854=AXIS2_PLACEMENT_3D('',#502425,#411067,#411068); #342855=AXIS2_PLACEMENT_3D('',#502431,#411072,#411073); #342856=AXIS2_PLACEMENT_3D('',#502437,#411077,#411078); #342857=AXIS2_PLACEMENT_3D('',#502443,#411082,#411083); #342858=AXIS2_PLACEMENT_3D('',#502449,#411087,#411088); #342859=AXIS2_PLACEMENT_3D('',#502455,#411092,#411093); #342860=AXIS2_PLACEMENT_3D('',#502461,#411097,#411098); #342861=AXIS2_PLACEMENT_3D('',#502467,#411102,#411103); #342862=AXIS2_PLACEMENT_3D('',#502473,#411107,#411108); #342863=AXIS2_PLACEMENT_3D('',#502479,#411112,#411113); #342864=AXIS2_PLACEMENT_3D('',#502485,#411117,#411118); #342865=AXIS2_PLACEMENT_3D('',#502491,#411122,#411123); #342866=AXIS2_PLACEMENT_3D('',#502497,#411127,#411128); #342867=AXIS2_PLACEMENT_3D('',#502503,#411132,#411133); #342868=AXIS2_PLACEMENT_3D('',#502509,#411137,#411138); #342869=AXIS2_PLACEMENT_3D('',#502515,#411142,#411143); #342870=AXIS2_PLACEMENT_3D('',#502521,#411147,#411148); #342871=AXIS2_PLACEMENT_3D('',#502527,#411152,#411153); #342872=AXIS2_PLACEMENT_3D('',#502533,#411157,#411158); #342873=AXIS2_PLACEMENT_3D('',#502539,#411162,#411163); #342874=AXIS2_PLACEMENT_3D('',#502545,#411167,#411168); #342875=AXIS2_PLACEMENT_3D('',#502551,#411172,#411173); #342876=AXIS2_PLACEMENT_3D('',#502557,#411177,#411178); #342877=AXIS2_PLACEMENT_3D('',#502563,#411182,#411183); #342878=AXIS2_PLACEMENT_3D('',#502569,#411187,#411188); #342879=AXIS2_PLACEMENT_3D('',#502575,#411192,#411193); #342880=AXIS2_PLACEMENT_3D('',#502581,#411197,#411198); #342881=AXIS2_PLACEMENT_3D('',#502587,#411202,#411203); #342882=AXIS2_PLACEMENT_3D('',#502593,#411207,#411208); #342883=AXIS2_PLACEMENT_3D('',#502599,#411212,#411213); #342884=AXIS2_PLACEMENT_3D('',#502605,#411217,#411218); #342885=AXIS2_PLACEMENT_3D('',#502611,#411222,#411223); #342886=AXIS2_PLACEMENT_3D('',#502617,#411227,#411228); #342887=AXIS2_PLACEMENT_3D('',#502623,#411232,#411233); #342888=AXIS2_PLACEMENT_3D('',#502626,#411236,#411237); #342889=AXIS2_PLACEMENT_3D('',#502627,#411238,#411239); #342890=AXIS2_PLACEMENT_3D('',#502628,#411240,#411241); #342891=AXIS2_PLACEMENT_3D('',#502630,#411242,#411243); #342892=AXIS2_PLACEMENT_3D('',#502633,#411245,#411246); #342893=AXIS2_PLACEMENT_3D('',#502634,#411247,#411248); #342894=AXIS2_PLACEMENT_3D('',#502636,#411249,#411250); #342895=AXIS2_PLACEMENT_3D('',#502639,#411252,#411253); #342896=AXIS2_PLACEMENT_3D('',#502640,#411254,#411255); #342897=AXIS2_PLACEMENT_3D('',#502649,#411260,#411261); #342898=AXIS2_PLACEMENT_3D('',#502651,#411262,#411263); #342899=AXIS2_PLACEMENT_3D('',#502653,#411264,#411265); #342900=AXIS2_PLACEMENT_3D('',#502655,#411267,#411268); #342901=AXIS2_PLACEMENT_3D('',#502661,#411272,#411273); #342902=AXIS2_PLACEMENT_3D('',#502663,#411274,#411275); #342903=AXIS2_PLACEMENT_3D('',#502665,#411276,#411277); #342904=AXIS2_PLACEMENT_3D('',#502667,#411279,#411280); #342905=AXIS2_PLACEMENT_3D('',#502669,#411281,#411282); #342906=AXIS2_PLACEMENT_3D('',#502671,#411283,#411284); #342907=AXIS2_PLACEMENT_3D('',#502673,#411286,#411287); #342908=AXIS2_PLACEMENT_3D('',#502679,#411291,#411292); #342909=AXIS2_PLACEMENT_3D('',#502680,#411293,#411294); #342910=AXIS2_PLACEMENT_3D('',#502681,#411295,#411296); #342911=AXIS2_PLACEMENT_3D('',#502682,#411297,#411298); #342912=AXIS2_PLACEMENT_3D('',#502683,#411299,#411300); #342913=AXIS2_PLACEMENT_3D('',#502684,#411301,#411302); #342914=AXIS2_PLACEMENT_3D('',#502686,#411303,#411304); #342915=AXIS2_PLACEMENT_3D('',#502689,#411306,#411307); #342916=AXIS2_PLACEMENT_3D('',#502690,#411308,#411309); #342917=AXIS2_PLACEMENT_3D('',#502692,#411310,#411311); #342918=AXIS2_PLACEMENT_3D('',#502695,#411313,#411314); #342919=AXIS2_PLACEMENT_3D('',#502696,#411315,#411316); #342920=AXIS2_PLACEMENT_3D('',#502698,#411317,#411318); #342921=AXIS2_PLACEMENT_3D('',#502701,#411320,#411321); #342922=AXIS2_PLACEMENT_3D('',#502702,#411322,#411323); #342923=AXIS2_PLACEMENT_3D('',#502704,#411324,#411325); #342924=AXIS2_PLACEMENT_3D('',#502707,#411327,#411328); #342925=AXIS2_PLACEMENT_3D('',#502708,#411329,#411330); #342926=AXIS2_PLACEMENT_3D('',#502710,#411331,#411332); #342927=AXIS2_PLACEMENT_3D('',#502713,#411334,#411335); #342928=AXIS2_PLACEMENT_3D('',#502714,#411336,#411337); #342929=AXIS2_PLACEMENT_3D('',#502716,#411338,#411339); #342930=AXIS2_PLACEMENT_3D('',#502719,#411341,#411342); #342931=AXIS2_PLACEMENT_3D('',#502720,#411343,#411344); #342932=AXIS2_PLACEMENT_3D('',#502722,#411345,#411346); #342933=AXIS2_PLACEMENT_3D('',#502725,#411348,#411349); #342934=AXIS2_PLACEMENT_3D('',#502726,#411350,#411351); #342935=AXIS2_PLACEMENT_3D('',#502728,#411352,#411353); #342936=AXIS2_PLACEMENT_3D('',#502731,#411355,#411356); #342937=AXIS2_PLACEMENT_3D('',#502732,#411357,#411358); #342938=AXIS2_PLACEMENT_3D('',#502734,#411359,#411360); #342939=AXIS2_PLACEMENT_3D('',#502737,#411362,#411363); #342940=AXIS2_PLACEMENT_3D('',#502738,#411364,#411365); #342941=AXIS2_PLACEMENT_3D('',#502740,#411366,#411367); #342942=AXIS2_PLACEMENT_3D('',#502743,#411369,#411370); #342943=AXIS2_PLACEMENT_3D('',#502744,#411371,#411372); #342944=AXIS2_PLACEMENT_3D('',#502753,#411377,#411378); #342945=AXIS2_PLACEMENT_3D('',#502759,#411382,#411383); #342946=AXIS2_PLACEMENT_3D('',#502765,#411387,#411388); #342947=AXIS2_PLACEMENT_3D('',#502771,#411392,#411393); #342948=AXIS2_PLACEMENT_3D('',#502777,#411397,#411398); #342949=AXIS2_PLACEMENT_3D('',#502783,#411402,#411403); #342950=AXIS2_PLACEMENT_3D('',#502789,#411407,#411408); #342951=AXIS2_PLACEMENT_3D('',#502795,#411412,#411413); #342952=AXIS2_PLACEMENT_3D('',#502801,#411417,#411418); #342953=AXIS2_PLACEMENT_3D('',#502807,#411422,#411423); #342954=AXIS2_PLACEMENT_3D('',#502813,#411427,#411428); #342955=AXIS2_PLACEMENT_3D('',#502819,#411432,#411433); #342956=AXIS2_PLACEMENT_3D('',#502825,#411437,#411438); #342957=AXIS2_PLACEMENT_3D('',#502831,#411442,#411443); #342958=AXIS2_PLACEMENT_3D('',#502837,#411447,#411448); #342959=AXIS2_PLACEMENT_3D('',#502843,#411452,#411453); #342960=AXIS2_PLACEMENT_3D('',#502849,#411457,#411458); #342961=AXIS2_PLACEMENT_3D('',#502855,#411462,#411463); #342962=AXIS2_PLACEMENT_3D('',#502861,#411467,#411468); #342963=AXIS2_PLACEMENT_3D('',#502867,#411472,#411473); #342964=AXIS2_PLACEMENT_3D('',#502873,#411477,#411478); #342965=AXIS2_PLACEMENT_3D('',#502879,#411482,#411483); #342966=AXIS2_PLACEMENT_3D('',#502885,#411487,#411488); #342967=AXIS2_PLACEMENT_3D('',#502888,#411491,#411492); #342968=AXIS2_PLACEMENT_3D('',#502889,#411493,#411494); #342969=AXIS2_PLACEMENT_3D('',#502890,#411495,#411496); #342970=AXIS2_PLACEMENT_3D('',#502892,#411497,#411498); #342971=AXIS2_PLACEMENT_3D('',#502895,#411500,#411501); #342972=AXIS2_PLACEMENT_3D('',#502896,#411502,#411503); #342973=AXIS2_PLACEMENT_3D('',#502905,#411508,#411509); #342974=AXIS2_PLACEMENT_3D('',#502907,#411510,#411511); #342975=AXIS2_PLACEMENT_3D('',#502909,#411512,#411513); #342976=AXIS2_PLACEMENT_3D('',#502911,#411515,#411516); #342977=AXIS2_PLACEMENT_3D('',#502912,#411517,#411518); #342978=AXIS2_PLACEMENT_3D('',#502913,#411519,#411520); #342979=AXIS2_PLACEMENT_3D('',#502914,#411521,#411522); #342980=AXIS2_PLACEMENT_3D('',#502915,#411523,#411524); #342981=AXIS2_PLACEMENT_3D('',#502916,#411525,#411526); #342982=AXIS2_PLACEMENT_3D('',#502918,#411527,#411528); #342983=AXIS2_PLACEMENT_3D('',#502921,#411530,#411531); #342984=AXIS2_PLACEMENT_3D('',#502922,#411532,#411533); #342985=AXIS2_PLACEMENT_3D('',#502924,#411534,#411535); #342986=AXIS2_PLACEMENT_3D('',#502927,#411537,#411538); #342987=AXIS2_PLACEMENT_3D('',#502928,#411539,#411540); #342988=AXIS2_PLACEMENT_3D('',#502930,#411541,#411542); #342989=AXIS2_PLACEMENT_3D('',#502933,#411544,#411545); #342990=AXIS2_PLACEMENT_3D('',#502934,#411546,#411547); #342991=AXIS2_PLACEMENT_3D('',#502936,#411548,#411549); #342992=AXIS2_PLACEMENT_3D('',#502939,#411551,#411552); #342993=AXIS2_PLACEMENT_3D('',#502940,#411553,#411554); #342994=AXIS2_PLACEMENT_3D('',#502942,#411555,#411556); #342995=AXIS2_PLACEMENT_3D('',#502945,#411558,#411559); #342996=AXIS2_PLACEMENT_3D('',#502946,#411560,#411561); #342997=AXIS2_PLACEMENT_3D('',#502948,#411562,#411563); #342998=AXIS2_PLACEMENT_3D('',#502951,#411565,#411566); #342999=AXIS2_PLACEMENT_3D('',#502952,#411567,#411568); #343000=AXIS2_PLACEMENT_3D('',#502954,#411569,#411570); #343001=AXIS2_PLACEMENT_3D('',#502957,#411572,#411573); #343002=AXIS2_PLACEMENT_3D('',#502958,#411574,#411575); #343003=AXIS2_PLACEMENT_3D('',#502960,#411576,#411577); #343004=AXIS2_PLACEMENT_3D('',#502963,#411579,#411580); #343005=AXIS2_PLACEMENT_3D('',#502964,#411581,#411582); #343006=AXIS2_PLACEMENT_3D('',#502966,#411583,#411584); #343007=AXIS2_PLACEMENT_3D('',#502969,#411586,#411587); #343008=AXIS2_PLACEMENT_3D('',#502970,#411588,#411589); #343009=AXIS2_PLACEMENT_3D('',#502972,#411590,#411591); #343010=AXIS2_PLACEMENT_3D('',#502975,#411593,#411594); #343011=AXIS2_PLACEMENT_3D('',#502976,#411595,#411596); #343012=AXIS2_PLACEMENT_3D('',#502978,#411597,#411598); #343013=AXIS2_PLACEMENT_3D('',#502981,#411600,#411601); #343014=AXIS2_PLACEMENT_3D('',#502982,#411602,#411603); #343015=AXIS2_PLACEMENT_3D('',#502984,#411604,#411605); #343016=AXIS2_PLACEMENT_3D('',#502987,#411607,#411608); #343017=AXIS2_PLACEMENT_3D('',#502988,#411609,#411610); #343018=AXIS2_PLACEMENT_3D('',#502990,#411611,#411612); #343019=AXIS2_PLACEMENT_3D('',#502993,#411614,#411615); #343020=AXIS2_PLACEMENT_3D('',#502994,#411616,#411617); #343021=AXIS2_PLACEMENT_3D('',#502996,#411618,#411619); #343022=AXIS2_PLACEMENT_3D('',#502999,#411621,#411622); #343023=AXIS2_PLACEMENT_3D('',#503000,#411623,#411624); #343024=AXIS2_PLACEMENT_3D('',#503002,#411625,#411626); #343025=AXIS2_PLACEMENT_3D('',#503005,#411628,#411629); #343026=AXIS2_PLACEMENT_3D('',#503006,#411630,#411631); #343027=AXIS2_PLACEMENT_3D('',#503008,#411632,#411633); #343028=AXIS2_PLACEMENT_3D('',#503011,#411635,#411636); #343029=AXIS2_PLACEMENT_3D('',#503012,#411637,#411638); #343030=AXIS2_PLACEMENT_3D('',#503014,#411639,#411640); #343031=AXIS2_PLACEMENT_3D('',#503017,#411642,#411643); #343032=AXIS2_PLACEMENT_3D('',#503018,#411644,#411645); #343033=AXIS2_PLACEMENT_3D('',#503020,#411646,#411647); #343034=AXIS2_PLACEMENT_3D('',#503023,#411649,#411650); #343035=AXIS2_PLACEMENT_3D('',#503024,#411651,#411652); #343036=AXIS2_PLACEMENT_3D('',#503026,#411653,#411654); #343037=AXIS2_PLACEMENT_3D('',#503029,#411656,#411657); #343038=AXIS2_PLACEMENT_3D('',#503030,#411658,#411659); #343039=AXIS2_PLACEMENT_3D('',#503032,#411660,#411661); #343040=AXIS2_PLACEMENT_3D('',#503035,#411663,#411664); #343041=AXIS2_PLACEMENT_3D('',#503036,#411665,#411666); #343042=AXIS2_PLACEMENT_3D('',#503038,#411667,#411668); #343043=AXIS2_PLACEMENT_3D('',#503041,#411670,#411671); #343044=AXIS2_PLACEMENT_3D('',#503042,#411672,#411673); #343045=AXIS2_PLACEMENT_3D('',#503044,#411674,#411675); #343046=AXIS2_PLACEMENT_3D('',#503047,#411677,#411678); #343047=AXIS2_PLACEMENT_3D('',#503048,#411679,#411680); #343048=AXIS2_PLACEMENT_3D('',#503050,#411681,#411682); #343049=AXIS2_PLACEMENT_3D('',#503053,#411684,#411685); #343050=AXIS2_PLACEMENT_3D('',#503054,#411686,#411687); #343051=AXIS2_PLACEMENT_3D('',#503056,#411688,#411689); #343052=AXIS2_PLACEMENT_3D('',#503059,#411691,#411692); #343053=AXIS2_PLACEMENT_3D('',#503060,#411693,#411694); #343054=AXIS2_PLACEMENT_3D('',#503062,#411695,#411696); #343055=AXIS2_PLACEMENT_3D('',#503065,#411698,#411699); #343056=AXIS2_PLACEMENT_3D('',#503066,#411700,#411701); #343057=AXIS2_PLACEMENT_3D('',#503068,#411702,#411703); #343058=AXIS2_PLACEMENT_3D('',#503071,#411705,#411706); #343059=AXIS2_PLACEMENT_3D('',#503072,#411707,#411708); #343060=AXIS2_PLACEMENT_3D('',#503074,#411709,#411710); #343061=AXIS2_PLACEMENT_3D('',#503077,#411712,#411713); #343062=AXIS2_PLACEMENT_3D('',#503078,#411714,#411715); #343063=AXIS2_PLACEMENT_3D('',#503080,#411716,#411717); #343064=AXIS2_PLACEMENT_3D('',#503083,#411719,#411720); #343065=AXIS2_PLACEMENT_3D('',#503084,#411721,#411722); #343066=AXIS2_PLACEMENT_3D('',#503086,#411723,#411724); #343067=AXIS2_PLACEMENT_3D('',#503089,#411726,#411727); #343068=AXIS2_PLACEMENT_3D('',#503090,#411728,#411729); #343069=AXIS2_PLACEMENT_3D('',#503092,#411730,#411731); #343070=AXIS2_PLACEMENT_3D('',#503095,#411733,#411734); #343071=AXIS2_PLACEMENT_3D('',#503096,#411735,#411736); #343072=AXIS2_PLACEMENT_3D('',#503105,#411741,#411742); #343073=AXIS2_PLACEMENT_3D('',#503111,#411746,#411747); #343074=AXIS2_PLACEMENT_3D('',#503117,#411751,#411752); #343075=AXIS2_PLACEMENT_3D('',#503123,#411756,#411757); #343076=AXIS2_PLACEMENT_3D('',#503129,#411761,#411762); #343077=AXIS2_PLACEMENT_3D('',#503135,#411766,#411767); #343078=AXIS2_PLACEMENT_3D('',#503141,#411771,#411772); #343079=AXIS2_PLACEMENT_3D('',#503147,#411776,#411777); #343080=AXIS2_PLACEMENT_3D('',#503153,#411781,#411782); #343081=AXIS2_PLACEMENT_3D('',#503159,#411786,#411787); #343082=AXIS2_PLACEMENT_3D('',#503165,#411791,#411792); #343083=AXIS2_PLACEMENT_3D('',#503171,#411796,#411797); #343084=AXIS2_PLACEMENT_3D('',#503177,#411801,#411802); #343085=AXIS2_PLACEMENT_3D('',#503183,#411806,#411807); #343086=AXIS2_PLACEMENT_3D('',#503189,#411811,#411812); #343087=AXIS2_PLACEMENT_3D('',#503195,#411816,#411817); #343088=AXIS2_PLACEMENT_3D('',#503201,#411821,#411822); #343089=AXIS2_PLACEMENT_3D('',#503207,#411826,#411827); #343090=AXIS2_PLACEMENT_3D('',#503213,#411831,#411832); #343091=AXIS2_PLACEMENT_3D('',#503219,#411836,#411837); #343092=AXIS2_PLACEMENT_3D('',#503225,#411841,#411842); #343093=AXIS2_PLACEMENT_3D('',#503231,#411846,#411847); #343094=AXIS2_PLACEMENT_3D('',#503237,#411851,#411852); #343095=AXIS2_PLACEMENT_3D('',#503243,#411856,#411857); #343096=AXIS2_PLACEMENT_3D('',#503249,#411861,#411862); #343097=AXIS2_PLACEMENT_3D('',#503255,#411866,#411867); #343098=AXIS2_PLACEMENT_3D('',#503261,#411871,#411872); #343099=AXIS2_PLACEMENT_3D('',#503267,#411876,#411877); #343100=AXIS2_PLACEMENT_3D('',#503273,#411881,#411882); #343101=AXIS2_PLACEMENT_3D('',#503279,#411886,#411887); #343102=AXIS2_PLACEMENT_3D('',#503285,#411891,#411892); #343103=AXIS2_PLACEMENT_3D('',#503291,#411896,#411897); #343104=AXIS2_PLACEMENT_3D('',#503297,#411901,#411902); #343105=AXIS2_PLACEMENT_3D('',#503303,#411906,#411907); #343106=AXIS2_PLACEMENT_3D('',#503309,#411911,#411912); #343107=AXIS2_PLACEMENT_3D('',#503315,#411916,#411917); #343108=AXIS2_PLACEMENT_3D('',#503321,#411921,#411922); #343109=AXIS2_PLACEMENT_3D('',#503327,#411926,#411927); #343110=AXIS2_PLACEMENT_3D('',#503333,#411931,#411932); #343111=AXIS2_PLACEMENT_3D('',#503339,#411936,#411937); #343112=AXIS2_PLACEMENT_3D('',#503345,#411941,#411942); #343113=AXIS2_PLACEMENT_3D('',#503351,#411946,#411947); #343114=AXIS2_PLACEMENT_3D('',#503357,#411951,#411952); #343115=AXIS2_PLACEMENT_3D('',#503363,#411956,#411957); #343116=AXIS2_PLACEMENT_3D('',#503366,#411960,#411961); #343117=AXIS2_PLACEMENT_3D('',#503367,#411962,#411963); #343118=AXIS2_PLACEMENT_3D('',#503368,#411964,#411965); #343119=AXIS2_PLACEMENT_3D('',#503370,#411966,#411967); #343120=AXIS2_PLACEMENT_3D('',#503373,#411969,#411970); #343121=AXIS2_PLACEMENT_3D('',#503374,#411971,#411972); #343122=AXIS2_PLACEMENT_3D('',#503376,#411973,#411974); #343123=AXIS2_PLACEMENT_3D('',#503379,#411976,#411977); #343124=AXIS2_PLACEMENT_3D('',#503380,#411978,#411979); #343125=AXIS2_PLACEMENT_3D('',#503389,#411984,#411985); #343126=AXIS2_PLACEMENT_3D('',#503391,#411986,#411987); #343127=AXIS2_PLACEMENT_3D('',#503393,#411988,#411989); #343128=AXIS2_PLACEMENT_3D('',#503395,#411991,#411992); #343129=AXIS2_PLACEMENT_3D('',#503401,#411996,#411997); #343130=AXIS2_PLACEMENT_3D('',#503403,#411998,#411999); #343131=AXIS2_PLACEMENT_3D('',#503405,#412000,#412001); #343132=AXIS2_PLACEMENT_3D('',#503407,#412003,#412004); #343133=AXIS2_PLACEMENT_3D('',#503409,#412005,#412006); #343134=AXIS2_PLACEMENT_3D('',#503411,#412007,#412008); #343135=AXIS2_PLACEMENT_3D('',#503413,#412010,#412011); #343136=AXIS2_PLACEMENT_3D('',#503419,#412015,#412016); #343137=AXIS2_PLACEMENT_3D('',#503420,#412017,#412018); #343138=AXIS2_PLACEMENT_3D('',#503421,#412019,#412020); #343139=AXIS2_PLACEMENT_3D('',#503422,#412021,#412022); #343140=AXIS2_PLACEMENT_3D('',#503423,#412023,#412024); #343141=AXIS2_PLACEMENT_3D('',#503424,#412025,#412026); #343142=AXIS2_PLACEMENT_3D('',#503426,#412027,#412028); #343143=AXIS2_PLACEMENT_3D('',#503429,#412030,#412031); #343144=AXIS2_PLACEMENT_3D('',#503430,#412032,#412033); #343145=AXIS2_PLACEMENT_3D('',#503439,#412038,#412039); #343146=AXIS2_PLACEMENT_3D('',#503441,#412040,#412041); #343147=AXIS2_PLACEMENT_3D('',#503443,#412042,#412043); #343148=AXIS2_PLACEMENT_3D('',#503445,#412045,#412046); #343149=AXIS2_PLACEMENT_3D('',#503446,#412047,#412048); #343150=AXIS2_PLACEMENT_3D('',#503447,#412049,#412050); #343151=AXIS2_PLACEMENT_3D('',#503448,#412051,#412052); #343152=AXIS2_PLACEMENT_3D('',#503449,#412053,#412054); #343153=AXIS2_PLACEMENT_3D('',#503450,#412055,#412056); #343154=AXIS2_PLACEMENT_3D('',#503452,#412057,#412058); #343155=AXIS2_PLACEMENT_3D('',#503455,#412060,#412061); #343156=AXIS2_PLACEMENT_3D('',#503456,#412062,#412063); #343157=AXIS2_PLACEMENT_3D('',#503458,#412064,#412065); #343158=AXIS2_PLACEMENT_3D('',#503461,#412067,#412068); #343159=AXIS2_PLACEMENT_3D('',#503462,#412069,#412070); #343160=AXIS2_PLACEMENT_3D('',#503464,#412071,#412072); #343161=AXIS2_PLACEMENT_3D('',#503467,#412074,#412075); #343162=AXIS2_PLACEMENT_3D('',#503468,#412076,#412077); #343163=AXIS2_PLACEMENT_3D('',#503470,#412078,#412079); #343164=AXIS2_PLACEMENT_3D('',#503473,#412081,#412082); #343165=AXIS2_PLACEMENT_3D('',#503474,#412083,#412084); #343166=AXIS2_PLACEMENT_3D('',#503476,#412085,#412086); #343167=AXIS2_PLACEMENT_3D('',#503479,#412088,#412089); #343168=AXIS2_PLACEMENT_3D('',#503480,#412090,#412091); #343169=AXIS2_PLACEMENT_3D('',#503482,#412092,#412093); #343170=AXIS2_PLACEMENT_3D('',#503485,#412095,#412096); #343171=AXIS2_PLACEMENT_3D('',#503486,#412097,#412098); #343172=AXIS2_PLACEMENT_3D('',#503488,#412099,#412100); #343173=AXIS2_PLACEMENT_3D('',#503491,#412102,#412103); #343174=AXIS2_PLACEMENT_3D('',#503492,#412104,#412105); #343175=AXIS2_PLACEMENT_3D('',#503494,#412106,#412107); #343176=AXIS2_PLACEMENT_3D('',#503497,#412109,#412110); #343177=AXIS2_PLACEMENT_3D('',#503498,#412111,#412112); #343178=AXIS2_PLACEMENT_3D('',#503500,#412113,#412114); #343179=AXIS2_PLACEMENT_3D('',#503503,#412116,#412117); #343180=AXIS2_PLACEMENT_3D('',#503504,#412118,#412119); #343181=AXIS2_PLACEMENT_3D('',#503506,#412120,#412121); #343182=AXIS2_PLACEMENT_3D('',#503509,#412123,#412124); #343183=AXIS2_PLACEMENT_3D('',#503510,#412125,#412126); #343184=AXIS2_PLACEMENT_3D('',#503512,#412127,#412128); #343185=AXIS2_PLACEMENT_3D('',#503515,#412130,#412131); #343186=AXIS2_PLACEMENT_3D('',#503516,#412132,#412133); #343187=AXIS2_PLACEMENT_3D('',#503518,#412134,#412135); #343188=AXIS2_PLACEMENT_3D('',#503521,#412137,#412138); #343189=AXIS2_PLACEMENT_3D('',#503522,#412139,#412140); #343190=AXIS2_PLACEMENT_3D('',#503524,#412141,#412142); #343191=AXIS2_PLACEMENT_3D('',#503527,#412144,#412145); #343192=AXIS2_PLACEMENT_3D('',#503528,#412146,#412147); #343193=AXIS2_PLACEMENT_3D('',#503530,#412148,#412149); #343194=AXIS2_PLACEMENT_3D('',#503533,#412151,#412152); #343195=AXIS2_PLACEMENT_3D('',#503534,#412153,#412154); #343196=AXIS2_PLACEMENT_3D('',#503536,#412155,#412156); #343197=AXIS2_PLACEMENT_3D('',#503539,#412158,#412159); #343198=AXIS2_PLACEMENT_3D('',#503540,#412160,#412161); #343199=AXIS2_PLACEMENT_3D('',#503549,#412166,#412167); #343200=AXIS2_PLACEMENT_3D('',#503555,#412171,#412172); #343201=AXIS2_PLACEMENT_3D('',#503561,#412176,#412177); #343202=AXIS2_PLACEMENT_3D('',#503567,#412181,#412182); #343203=AXIS2_PLACEMENT_3D('',#503573,#412186,#412187); #343204=AXIS2_PLACEMENT_3D('',#503579,#412191,#412192); #343205=AXIS2_PLACEMENT_3D('',#503585,#412196,#412197); #343206=AXIS2_PLACEMENT_3D('',#503591,#412201,#412202); #343207=AXIS2_PLACEMENT_3D('',#503597,#412206,#412207); #343208=AXIS2_PLACEMENT_3D('',#503603,#412211,#412212); #343209=AXIS2_PLACEMENT_3D('',#503609,#412216,#412217); #343210=AXIS2_PLACEMENT_3D('',#503615,#412221,#412222); #343211=AXIS2_PLACEMENT_3D('',#503621,#412226,#412227); #343212=AXIS2_PLACEMENT_3D('',#503627,#412231,#412232); #343213=AXIS2_PLACEMENT_3D('',#503633,#412236,#412237); #343214=AXIS2_PLACEMENT_3D('',#503639,#412241,#412242); #343215=AXIS2_PLACEMENT_3D('',#503645,#412246,#412247); #343216=AXIS2_PLACEMENT_3D('',#503651,#412251,#412252); #343217=AXIS2_PLACEMENT_3D('',#503657,#412256,#412257); #343218=AXIS2_PLACEMENT_3D('',#503663,#412261,#412262); #343219=AXIS2_PLACEMENT_3D('',#503669,#412266,#412267); #343220=AXIS2_PLACEMENT_3D('',#503675,#412271,#412272); #343221=AXIS2_PLACEMENT_3D('',#503681,#412276,#412277); #343222=AXIS2_PLACEMENT_3D('',#503687,#412281,#412282); #343223=AXIS2_PLACEMENT_3D('',#503693,#412286,#412287); #343224=AXIS2_PLACEMENT_3D('',#503699,#412291,#412292); #343225=AXIS2_PLACEMENT_3D('',#503705,#412296,#412297); #343226=AXIS2_PLACEMENT_3D('',#503711,#412301,#412302); #343227=AXIS2_PLACEMENT_3D('',#503717,#412306,#412307); #343228=AXIS2_PLACEMENT_3D('',#503723,#412311,#412312); #343229=AXIS2_PLACEMENT_3D('',#503729,#412316,#412317); #343230=AXIS2_PLACEMENT_3D('',#503735,#412321,#412322); #343231=AXIS2_PLACEMENT_3D('',#503741,#412326,#412327); #343232=AXIS2_PLACEMENT_3D('',#503747,#412331,#412332); #343233=AXIS2_PLACEMENT_3D('',#503753,#412336,#412337); #343234=AXIS2_PLACEMENT_3D('',#503759,#412341,#412342); #343235=AXIS2_PLACEMENT_3D('',#503765,#412346,#412347); #343236=AXIS2_PLACEMENT_3D('',#503771,#412351,#412352); #343237=AXIS2_PLACEMENT_3D('',#503777,#412356,#412357); #343238=AXIS2_PLACEMENT_3D('',#503783,#412361,#412362); #343239=AXIS2_PLACEMENT_3D('',#503789,#412366,#412367); #343240=AXIS2_PLACEMENT_3D('',#503795,#412371,#412372); #343241=AXIS2_PLACEMENT_3D('',#503801,#412376,#412377); #343242=AXIS2_PLACEMENT_3D('',#503807,#412381,#412382); #343243=AXIS2_PLACEMENT_3D('',#503813,#412386,#412387); #343244=AXIS2_PLACEMENT_3D('',#503819,#412391,#412392); #343245=AXIS2_PLACEMENT_3D('',#503825,#412396,#412397); #343246=AXIS2_PLACEMENT_3D('',#503831,#412401,#412402); #343247=AXIS2_PLACEMENT_3D('',#503837,#412406,#412407); #343248=AXIS2_PLACEMENT_3D('',#503843,#412411,#412412); #343249=AXIS2_PLACEMENT_3D('',#503849,#412416,#412417); #343250=AXIS2_PLACEMENT_3D('',#503855,#412421,#412422); #343251=AXIS2_PLACEMENT_3D('',#503861,#412426,#412427); #343252=AXIS2_PLACEMENT_3D('',#503867,#412431,#412432); #343253=AXIS2_PLACEMENT_3D('',#503873,#412436,#412437); #343254=AXIS2_PLACEMENT_3D('',#503879,#412441,#412442); #343255=AXIS2_PLACEMENT_3D('',#503885,#412446,#412447); #343256=AXIS2_PLACEMENT_3D('',#503891,#412451,#412452); #343257=AXIS2_PLACEMENT_3D('',#503897,#412456,#412457); #343258=AXIS2_PLACEMENT_3D('',#503903,#412461,#412462); #343259=AXIS2_PLACEMENT_3D('',#503909,#412466,#412467); #343260=AXIS2_PLACEMENT_3D('',#503915,#412471,#412472); #343261=AXIS2_PLACEMENT_3D('',#503921,#412476,#412477); #343262=AXIS2_PLACEMENT_3D('',#503927,#412481,#412482); #343263=AXIS2_PLACEMENT_3D('',#503933,#412486,#412487); #343264=AXIS2_PLACEMENT_3D('',#503939,#412491,#412492); #343265=AXIS2_PLACEMENT_3D('',#503945,#412496,#412497); #343266=AXIS2_PLACEMENT_3D('',#503951,#412501,#412502); #343267=AXIS2_PLACEMENT_3D('',#503957,#412506,#412507); #343268=AXIS2_PLACEMENT_3D('',#503963,#412511,#412512); #343269=AXIS2_PLACEMENT_3D('',#503969,#412516,#412517); #343270=AXIS2_PLACEMENT_3D('',#503972,#412520,#412521); #343271=AXIS2_PLACEMENT_3D('',#503981,#412526,#412527); #343272=AXIS2_PLACEMENT_3D('',#503987,#412531,#412532); #343273=AXIS2_PLACEMENT_3D('',#503993,#412536,#412537); #343274=AXIS2_PLACEMENT_3D('',#503999,#412541,#412542); #343275=AXIS2_PLACEMENT_3D('',#504005,#412546,#412547); #343276=AXIS2_PLACEMENT_3D('',#504011,#412551,#412552); #343277=AXIS2_PLACEMENT_3D('',#504017,#412556,#412557); #343278=AXIS2_PLACEMENT_3D('',#504023,#412561,#412562); #343279=AXIS2_PLACEMENT_3D('',#504029,#412566,#412567); #343280=AXIS2_PLACEMENT_3D('',#504035,#412571,#412572); #343281=AXIS2_PLACEMENT_3D('',#504041,#412576,#412577); #343282=AXIS2_PLACEMENT_3D('',#504047,#412581,#412582); #343283=AXIS2_PLACEMENT_3D('',#504053,#412586,#412587); #343284=AXIS2_PLACEMENT_3D('',#504059,#412591,#412592); #343285=AXIS2_PLACEMENT_3D('',#504065,#412596,#412597); #343286=AXIS2_PLACEMENT_3D('',#504071,#412601,#412602); #343287=AXIS2_PLACEMENT_3D('',#504077,#412606,#412607); #343288=AXIS2_PLACEMENT_3D('',#504083,#412611,#412612); #343289=AXIS2_PLACEMENT_3D('',#504089,#412616,#412617); #343290=AXIS2_PLACEMENT_3D('',#504095,#412621,#412622); #343291=AXIS2_PLACEMENT_3D('',#504101,#412626,#412627); #343292=AXIS2_PLACEMENT_3D('',#504107,#412631,#412632); #343293=AXIS2_PLACEMENT_3D('',#504113,#412636,#412637); #343294=AXIS2_PLACEMENT_3D('',#504119,#412641,#412642); #343295=AXIS2_PLACEMENT_3D('',#504125,#412646,#412647); #343296=AXIS2_PLACEMENT_3D('',#504131,#412651,#412652); #343297=AXIS2_PLACEMENT_3D('',#504137,#412656,#412657); #343298=AXIS2_PLACEMENT_3D('',#504143,#412661,#412662); #343299=AXIS2_PLACEMENT_3D('',#504149,#412666,#412667); #343300=AXIS2_PLACEMENT_3D('',#504155,#412671,#412672); #343301=AXIS2_PLACEMENT_3D('',#504161,#412676,#412677); #343302=AXIS2_PLACEMENT_3D('',#504167,#412681,#412682); #343303=AXIS2_PLACEMENT_3D('',#504173,#412686,#412687); #343304=AXIS2_PLACEMENT_3D('',#504179,#412691,#412692); #343305=AXIS2_PLACEMENT_3D('',#504185,#412696,#412697); #343306=AXIS2_PLACEMENT_3D('',#504191,#412701,#412702); #343307=AXIS2_PLACEMENT_3D('',#504197,#412706,#412707); #343308=AXIS2_PLACEMENT_3D('',#504203,#412711,#412712); #343309=AXIS2_PLACEMENT_3D('',#504209,#412716,#412717); #343310=AXIS2_PLACEMENT_3D('',#504215,#412721,#412722); #343311=AXIS2_PLACEMENT_3D('',#504221,#412726,#412727); #343312=AXIS2_PLACEMENT_3D('',#504227,#412731,#412732); #343313=AXIS2_PLACEMENT_3D('',#504233,#412736,#412737); #343314=AXIS2_PLACEMENT_3D('',#504239,#412741,#412742); #343315=AXIS2_PLACEMENT_3D('',#504245,#412746,#412747); #343316=AXIS2_PLACEMENT_3D('',#504251,#412751,#412752); #343317=AXIS2_PLACEMENT_3D('',#504257,#412756,#412757); #343318=AXIS2_PLACEMENT_3D('',#504263,#412761,#412762); #343319=AXIS2_PLACEMENT_3D('',#504269,#412766,#412767); #343320=AXIS2_PLACEMENT_3D('',#504275,#412771,#412772); #343321=AXIS2_PLACEMENT_3D('',#504281,#412776,#412777); #343322=AXIS2_PLACEMENT_3D('',#504287,#412781,#412782); #343323=AXIS2_PLACEMENT_3D('',#504293,#412786,#412787); #343324=AXIS2_PLACEMENT_3D('',#504299,#412791,#412792); #343325=AXIS2_PLACEMENT_3D('',#504305,#412796,#412797); #343326=AXIS2_PLACEMENT_3D('',#504311,#412801,#412802); #343327=AXIS2_PLACEMENT_3D('',#504317,#412806,#412807); #343328=AXIS2_PLACEMENT_3D('',#504323,#412811,#412812); #343329=AXIS2_PLACEMENT_3D('',#504329,#412816,#412817); #343330=AXIS2_PLACEMENT_3D('',#504335,#412821,#412822); #343331=AXIS2_PLACEMENT_3D('',#504341,#412826,#412827); #343332=AXIS2_PLACEMENT_3D('',#504347,#412831,#412832); #343333=AXIS2_PLACEMENT_3D('',#504353,#412836,#412837); #343334=AXIS2_PLACEMENT_3D('',#504359,#412841,#412842); #343335=AXIS2_PLACEMENT_3D('',#504365,#412846,#412847); #343336=AXIS2_PLACEMENT_3D('',#504371,#412851,#412852); #343337=AXIS2_PLACEMENT_3D('',#504377,#412856,#412857); #343338=AXIS2_PLACEMENT_3D('',#504383,#412861,#412862); #343339=AXIS2_PLACEMENT_3D('',#504389,#412866,#412867); #343340=AXIS2_PLACEMENT_3D('',#504395,#412871,#412872); #343341=AXIS2_PLACEMENT_3D('',#504401,#412876,#412877); #343342=AXIS2_PLACEMENT_3D('',#504407,#412881,#412882); #343343=AXIS2_PLACEMENT_3D('',#504413,#412886,#412887); #343344=AXIS2_PLACEMENT_3D('',#504419,#412891,#412892); #343345=AXIS2_PLACEMENT_3D('',#504425,#412896,#412897); #343346=AXIS2_PLACEMENT_3D('',#504431,#412901,#412902); #343347=AXIS2_PLACEMENT_3D('',#504437,#412906,#412907); #343348=AXIS2_PLACEMENT_3D('',#504443,#412911,#412912); #343349=AXIS2_PLACEMENT_3D('',#504449,#412916,#412917); #343350=AXIS2_PLACEMENT_3D('',#504455,#412921,#412922); #343351=AXIS2_PLACEMENT_3D('',#504461,#412926,#412927); #343352=AXIS2_PLACEMENT_3D('',#504467,#412931,#412932); #343353=AXIS2_PLACEMENT_3D('',#504473,#412936,#412937); #343354=AXIS2_PLACEMENT_3D('',#504479,#412941,#412942); #343355=AXIS2_PLACEMENT_3D('',#504485,#412946,#412947); #343356=AXIS2_PLACEMENT_3D('',#504491,#412951,#412952); #343357=AXIS2_PLACEMENT_3D('',#504497,#412956,#412957); #343358=AXIS2_PLACEMENT_3D('',#504503,#412961,#412962); #343359=AXIS2_PLACEMENT_3D('',#504509,#412966,#412967); #343360=AXIS2_PLACEMENT_3D('',#504515,#412971,#412972); #343361=AXIS2_PLACEMENT_3D('',#504521,#412976,#412977); #343362=AXIS2_PLACEMENT_3D('',#504527,#412981,#412982); #343363=AXIS2_PLACEMENT_3D('',#504533,#412986,#412987); #343364=AXIS2_PLACEMENT_3D('',#504539,#412991,#412992); #343365=AXIS2_PLACEMENT_3D('',#504542,#412995,#412996); #343366=AXIS2_PLACEMENT_3D('',#504543,#412997,#412998); #343367=AXIS2_PLACEMENT_3D('',#504544,#412999,#413000); #343368=AXIS2_PLACEMENT_3D('',#504546,#413001,#413002); #343369=AXIS2_PLACEMENT_3D('',#504549,#413004,#413005); #343370=AXIS2_PLACEMENT_3D('',#504550,#413006,#413007); #343371=AXIS2_PLACEMENT_3D('',#504559,#413012,#413013); #343372=AXIS2_PLACEMENT_3D('',#504561,#413014,#413015); #343373=AXIS2_PLACEMENT_3D('',#504563,#413016,#413017); #343374=AXIS2_PLACEMENT_3D('',#504565,#413019,#413020); #343375=AXIS2_PLACEMENT_3D('',#504566,#413021,#413022); #343376=AXIS2_PLACEMENT_3D('',#504567,#413023,#413024); #343377=AXIS2_PLACEMENT_3D('',#504568,#413025,#413026); #343378=AXIS2_PLACEMENT_3D('',#504569,#413027,#413028); #343379=AXIS2_PLACEMENT_3D('',#504570,#413029,#413030); #343380=AXIS2_PLACEMENT_3D('',#504572,#413031,#413032); #343381=AXIS2_PLACEMENT_3D('',#504575,#413034,#413035); #343382=AXIS2_PLACEMENT_3D('',#504576,#413036,#413037); #343383=AXIS2_PLACEMENT_3D('',#504585,#413042,#413043); #343384=AXIS2_PLACEMENT_3D('',#504587,#413044,#413045); #343385=AXIS2_PLACEMENT_3D('',#504589,#413046,#413047); #343386=AXIS2_PLACEMENT_3D('',#504591,#413049,#413050); #343387=AXIS2_PLACEMENT_3D('',#504592,#413051,#413052); #343388=AXIS2_PLACEMENT_3D('',#504593,#413053,#413054); #343389=AXIS2_PLACEMENT_3D('',#504594,#413055,#413056); #343390=AXIS2_PLACEMENT_3D('',#504595,#413057,#413058); #343391=AXIS2_PLACEMENT_3D('',#504596,#413059,#413060); #343392=AXIS2_PLACEMENT_3D('',#504598,#413061,#413062); #343393=AXIS2_PLACEMENT_3D('',#504601,#413064,#413065); #343394=AXIS2_PLACEMENT_3D('',#504602,#413066,#413067); #343395=AXIS2_PLACEMENT_3D('',#504611,#413072,#413073); #343396=AXIS2_PLACEMENT_3D('',#504613,#413074,#413075); #343397=AXIS2_PLACEMENT_3D('',#504615,#413076,#413077); #343398=AXIS2_PLACEMENT_3D('',#504617,#413079,#413080); #343399=AXIS2_PLACEMENT_3D('',#504618,#413081,#413082); #343400=AXIS2_PLACEMENT_3D('',#504619,#413083,#413084); #343401=AXIS2_PLACEMENT_3D('',#504620,#413085,#413086); #343402=AXIS2_PLACEMENT_3D('',#504621,#413087,#413088); #343403=AXIS2_PLACEMENT_3D('',#504622,#413089,#413090); #343404=AXIS2_PLACEMENT_3D('',#504624,#413091,#413092); #343405=AXIS2_PLACEMENT_3D('',#504627,#413094,#413095); #343406=AXIS2_PLACEMENT_3D('',#504628,#413096,#413097); #343407=AXIS2_PLACEMENT_3D('',#504637,#413102,#413103); #343408=AXIS2_PLACEMENT_3D('',#504639,#413104,#413105); #343409=AXIS2_PLACEMENT_3D('',#504641,#413106,#413107); #343410=AXIS2_PLACEMENT_3D('',#504643,#413109,#413110); #343411=AXIS2_PLACEMENT_3D('',#504644,#413111,#413112); #343412=AXIS2_PLACEMENT_3D('',#504645,#413113,#413114); #343413=AXIS2_PLACEMENT_3D('',#504646,#413115,#413116); #343414=AXIS2_PLACEMENT_3D('',#504647,#413117,#413118); #343415=AXIS2_PLACEMENT_3D('',#504648,#413119,#413120); #343416=AXIS2_PLACEMENT_3D('',#504650,#413121,#413122); #343417=AXIS2_PLACEMENT_3D('',#504653,#413124,#413125); #343418=AXIS2_PLACEMENT_3D('',#504654,#413126,#413127); #343419=AXIS2_PLACEMENT_3D('',#504663,#413132,#413133); #343420=AXIS2_PLACEMENT_3D('',#504669,#413137,#413138); #343421=AXIS2_PLACEMENT_3D('',#504675,#413142,#413143); #343422=AXIS2_PLACEMENT_3D('',#504678,#413146,#413147); #343423=AXIS2_PLACEMENT_3D('',#504679,#413148,#413149); #343424=AXIS2_PLACEMENT_3D('',#504680,#413150,#413151); #343425=AXIS2_PLACEMENT_3D('',#504682,#413152,#413153); #343426=AXIS2_PLACEMENT_3D('',#504685,#413155,#413156); #343427=AXIS2_PLACEMENT_3D('',#504686,#413157,#413158); #343428=AXIS2_PLACEMENT_3D('',#504695,#413163,#413164); #343429=AXIS2_PLACEMENT_3D('',#504697,#413165,#413166); #343430=AXIS2_PLACEMENT_3D('',#504699,#413167,#413168); #343431=AXIS2_PLACEMENT_3D('',#504701,#413170,#413171); #343432=AXIS2_PLACEMENT_3D('',#504702,#413172,#413173); #343433=AXIS2_PLACEMENT_3D('',#504703,#413174,#413175); #343434=AXIS2_PLACEMENT_3D('',#504704,#413176,#413177); #343435=AXIS2_PLACEMENT_3D('',#504705,#413178,#413179); #343436=AXIS2_PLACEMENT_3D('',#504706,#413180,#413181); #343437=AXIS2_PLACEMENT_3D('',#504708,#413182,#413183); #343438=AXIS2_PLACEMENT_3D('',#504711,#413185,#413186); #343439=AXIS2_PLACEMENT_3D('',#504712,#413187,#413188); #343440=AXIS2_PLACEMENT_3D('',#504721,#413193,#413194); #343441=AXIS2_PLACEMENT_3D('',#504723,#413195,#413196); #343442=AXIS2_PLACEMENT_3D('',#504725,#413197,#413198); #343443=AXIS2_PLACEMENT_3D('',#504727,#413200,#413201); #343444=AXIS2_PLACEMENT_3D('',#504728,#413202,#413203); #343445=AXIS2_PLACEMENT_3D('',#504729,#413204,#413205); #343446=AXIS2_PLACEMENT_3D('',#504730,#413206,#413207); #343447=AXIS2_PLACEMENT_3D('',#504731,#413208,#413209); #343448=AXIS2_PLACEMENT_3D('',#504732,#413210,#413211); #343449=AXIS2_PLACEMENT_3D('',#504734,#413212,#413213); #343450=AXIS2_PLACEMENT_3D('',#504737,#413215,#413216); #343451=AXIS2_PLACEMENT_3D('',#504738,#413217,#413218); #343452=AXIS2_PLACEMENT_3D('',#504747,#413223,#413224); #343453=AXIS2_PLACEMENT_3D('',#504749,#413225,#413226); #343454=AXIS2_PLACEMENT_3D('',#504751,#413227,#413228); #343455=AXIS2_PLACEMENT_3D('',#504753,#413230,#413231); #343456=AXIS2_PLACEMENT_3D('',#504754,#413232,#413233); #343457=AXIS2_PLACEMENT_3D('',#504755,#413234,#413235); #343458=AXIS2_PLACEMENT_3D('',#504756,#413236,#413237); #343459=AXIS2_PLACEMENT_3D('',#504757,#413238,#413239); #343460=AXIS2_PLACEMENT_3D('',#504758,#413240,#413241); #343461=AXIS2_PLACEMENT_3D('',#504760,#413242,#413243); #343462=AXIS2_PLACEMENT_3D('',#504763,#413245,#413246); #343463=AXIS2_PLACEMENT_3D('',#504764,#413247,#413248); #343464=AXIS2_PLACEMENT_3D('',#504773,#413253,#413254); #343465=AXIS2_PLACEMENT_3D('',#504775,#413255,#413256); #343466=AXIS2_PLACEMENT_3D('',#504777,#413257,#413258); #343467=AXIS2_PLACEMENT_3D('',#504779,#413260,#413261); #343468=AXIS2_PLACEMENT_3D('',#504780,#413262,#413263); #343469=AXIS2_PLACEMENT_3D('',#504781,#413264,#413265); #343470=AXIS2_PLACEMENT_3D('',#504782,#413266,#413267); #343471=AXIS2_PLACEMENT_3D('',#504783,#413268,#413269); #343472=AXIS2_PLACEMENT_3D('',#504784,#413270,#413271); #343473=AXIS2_PLACEMENT_3D('',#504793,#413276,#413277); #343474=AXIS2_PLACEMENT_3D('',#504795,#413278,#413279); #343475=AXIS2_PLACEMENT_3D('',#504797,#413280,#413281); #343476=AXIS2_PLACEMENT_3D('',#504799,#413283,#413284); #343477=AXIS2_PLACEMENT_3D('',#504805,#413288,#413289); #343478=AXIS2_PLACEMENT_3D('',#504806,#413290,#413291); #343479=AXIS2_PLACEMENT_3D('',#504807,#413292,#413293); #343480=AXIS2_PLACEMENT_3D('',#504808,#413294,#413295); #343481=AXIS2_PLACEMENT_3D('',#504817,#413300,#413301); #343482=AXIS2_PLACEMENT_3D('',#504823,#413305,#413306); #343483=AXIS2_PLACEMENT_3D('',#504829,#413310,#413311); #343484=AXIS2_PLACEMENT_3D('',#504835,#413315,#413316); #343485=AXIS2_PLACEMENT_3D('',#504841,#413320,#413321); #343486=AXIS2_PLACEMENT_3D('',#504847,#413325,#413326); #343487=AXIS2_PLACEMENT_3D('',#504853,#413330,#413331); #343488=AXIS2_PLACEMENT_3D('',#504859,#413335,#413336); #343489=AXIS2_PLACEMENT_3D('',#504865,#413340,#413341); #343490=AXIS2_PLACEMENT_3D('',#504871,#413345,#413346); #343491=AXIS2_PLACEMENT_3D('',#504877,#413350,#413351); #343492=AXIS2_PLACEMENT_3D('',#504883,#413355,#413356); #343493=AXIS2_PLACEMENT_3D('',#504889,#413360,#413361); #343494=AXIS2_PLACEMENT_3D('',#504895,#413365,#413366); #343495=AXIS2_PLACEMENT_3D('',#504901,#413370,#413371); #343496=AXIS2_PLACEMENT_3D('',#504907,#413375,#413376); #343497=AXIS2_PLACEMENT_3D('',#504913,#413380,#413381); #343498=AXIS2_PLACEMENT_3D('',#504919,#413385,#413386); #343499=AXIS2_PLACEMENT_3D('',#504925,#413390,#413391); #343500=AXIS2_PLACEMENT_3D('',#504931,#413395,#413396); #343501=AXIS2_PLACEMENT_3D('',#504937,#413400,#413401); #343502=AXIS2_PLACEMENT_3D('',#504943,#413405,#413406); #343503=AXIS2_PLACEMENT_3D('',#504949,#413410,#413411); #343504=AXIS2_PLACEMENT_3D('',#504955,#413415,#413416); #343505=AXIS2_PLACEMENT_3D('',#504961,#413420,#413421); #343506=AXIS2_PLACEMENT_3D('',#504967,#413425,#413426); #343507=AXIS2_PLACEMENT_3D('',#504973,#413430,#413431); #343508=AXIS2_PLACEMENT_3D('',#504979,#413435,#413436); #343509=AXIS2_PLACEMENT_3D('',#504985,#413440,#413441); #343510=AXIS2_PLACEMENT_3D('',#504991,#413445,#413446); #343511=AXIS2_PLACEMENT_3D('',#504997,#413450,#413451); #343512=AXIS2_PLACEMENT_3D('',#505003,#413455,#413456); #343513=AXIS2_PLACEMENT_3D('',#505009,#413460,#413461); #343514=AXIS2_PLACEMENT_3D('',#505015,#413465,#413466); #343515=AXIS2_PLACEMENT_3D('',#505021,#413470,#413471); #343516=AXIS2_PLACEMENT_3D('',#505027,#413475,#413476); #343517=AXIS2_PLACEMENT_3D('',#505033,#413480,#413481); #343518=AXIS2_PLACEMENT_3D('',#505039,#413485,#413486); #343519=AXIS2_PLACEMENT_3D('',#505045,#413490,#413491); #343520=AXIS2_PLACEMENT_3D('',#505051,#413495,#413496); #343521=AXIS2_PLACEMENT_3D('',#505057,#413500,#413501); #343522=AXIS2_PLACEMENT_3D('',#505063,#413505,#413506); #343523=AXIS2_PLACEMENT_3D('',#505069,#413510,#413511); #343524=AXIS2_PLACEMENT_3D('',#505075,#413515,#413516); #343525=AXIS2_PLACEMENT_3D('',#505081,#413520,#413521); #343526=AXIS2_PLACEMENT_3D('',#505087,#413525,#413526); #343527=AXIS2_PLACEMENT_3D('',#505093,#413530,#413531); #343528=AXIS2_PLACEMENT_3D('',#505099,#413535,#413536); #343529=AXIS2_PLACEMENT_3D('',#505105,#413540,#413541); #343530=AXIS2_PLACEMENT_3D('',#505111,#413545,#413546); #343531=AXIS2_PLACEMENT_3D('',#505117,#413550,#413551); #343532=AXIS2_PLACEMENT_3D('',#505123,#413555,#413556); #343533=AXIS2_PLACEMENT_3D('',#505129,#413560,#413561); #343534=AXIS2_PLACEMENT_3D('',#505135,#413565,#413566); #343535=AXIS2_PLACEMENT_3D('',#505141,#413570,#413571); #343536=AXIS2_PLACEMENT_3D('',#505144,#413574,#413575); #343537=AXIS2_PLACEMENT_3D('',#505145,#413576,#413577); #343538=AXIS2_PLACEMENT_3D('',#505146,#413578,#413579); #343539=AXIS2_PLACEMENT_3D('',#505155,#413584,#413585); #343540=AXIS2_PLACEMENT_3D('',#505157,#413586,#413587); #343541=AXIS2_PLACEMENT_3D('',#505159,#413588,#413589); #343542=AXIS2_PLACEMENT_3D('',#505161,#413591,#413592); #343543=AXIS2_PLACEMENT_3D('',#505167,#413596,#413597); #343544=AXIS2_PLACEMENT_3D('',#505168,#413598,#413599); #343545=AXIS2_PLACEMENT_3D('',#505169,#413600,#413601); #343546=AXIS2_PLACEMENT_3D('',#505170,#413602,#413603); #343547=AXIS2_PLACEMENT_3D('',#505179,#413608,#413609); #343548=AXIS2_PLACEMENT_3D('',#505185,#413613,#413614); #343549=AXIS2_PLACEMENT_3D('',#505191,#413618,#413619); #343550=AXIS2_PLACEMENT_3D('',#505197,#413623,#413624); #343551=AXIS2_PLACEMENT_3D('',#505203,#413628,#413629); #343552=AXIS2_PLACEMENT_3D('',#505209,#413633,#413634); #343553=AXIS2_PLACEMENT_3D('',#505215,#413638,#413639); #343554=AXIS2_PLACEMENT_3D('',#505221,#413643,#413644); #343555=AXIS2_PLACEMENT_3D('',#505227,#413648,#413649); #343556=AXIS2_PLACEMENT_3D('',#505233,#413653,#413654); #343557=AXIS2_PLACEMENT_3D('',#505239,#413658,#413659); #343558=AXIS2_PLACEMENT_3D('',#505245,#413663,#413664); #343559=AXIS2_PLACEMENT_3D('',#505251,#413668,#413669); #343560=AXIS2_PLACEMENT_3D('',#505257,#413673,#413674); #343561=AXIS2_PLACEMENT_3D('',#505263,#413678,#413679); #343562=AXIS2_PLACEMENT_3D('',#505269,#413683,#413684); #343563=AXIS2_PLACEMENT_3D('',#505275,#413688,#413689); #343564=AXIS2_PLACEMENT_3D('',#505281,#413693,#413694); #343565=AXIS2_PLACEMENT_3D('',#505287,#413698,#413699); #343566=AXIS2_PLACEMENT_3D('',#505293,#413703,#413704); #343567=AXIS2_PLACEMENT_3D('',#505299,#413708,#413709); #343568=AXIS2_PLACEMENT_3D('',#505305,#413713,#413714); #343569=AXIS2_PLACEMENT_3D('',#505311,#413718,#413719); #343570=AXIS2_PLACEMENT_3D('',#505317,#413723,#413724); #343571=AXIS2_PLACEMENT_3D('',#505323,#413728,#413729); #343572=AXIS2_PLACEMENT_3D('',#505329,#413733,#413734); #343573=AXIS2_PLACEMENT_3D('',#505335,#413738,#413739); #343574=AXIS2_PLACEMENT_3D('',#505341,#413743,#413744); #343575=AXIS2_PLACEMENT_3D('',#505347,#413748,#413749); #343576=AXIS2_PLACEMENT_3D('',#505353,#413753,#413754); #343577=AXIS2_PLACEMENT_3D('',#505359,#413758,#413759); #343578=AXIS2_PLACEMENT_3D('',#505365,#413763,#413764); #343579=AXIS2_PLACEMENT_3D('',#505371,#413768,#413769); #343580=AXIS2_PLACEMENT_3D('',#505377,#413773,#413774); #343581=AXIS2_PLACEMENT_3D('',#505383,#413778,#413779); #343582=AXIS2_PLACEMENT_3D('',#505389,#413783,#413784); #343583=AXIS2_PLACEMENT_3D('',#505395,#413788,#413789); #343584=AXIS2_PLACEMENT_3D('',#505401,#413793,#413794); #343585=AXIS2_PLACEMENT_3D('',#505407,#413798,#413799); #343586=AXIS2_PLACEMENT_3D('',#505413,#413803,#413804); #343587=AXIS2_PLACEMENT_3D('',#505419,#413808,#413809); #343588=AXIS2_PLACEMENT_3D('',#505425,#413813,#413814); #343589=AXIS2_PLACEMENT_3D('',#505431,#413818,#413819); #343590=AXIS2_PLACEMENT_3D('',#505437,#413823,#413824); #343591=AXIS2_PLACEMENT_3D('',#505443,#413828,#413829); #343592=AXIS2_PLACEMENT_3D('',#505449,#413833,#413834); #343593=AXIS2_PLACEMENT_3D('',#505455,#413838,#413839); #343594=AXIS2_PLACEMENT_3D('',#505461,#413843,#413844); #343595=AXIS2_PLACEMENT_3D('',#505467,#413848,#413849); #343596=AXIS2_PLACEMENT_3D('',#505473,#413853,#413854); #343597=AXIS2_PLACEMENT_3D('',#505479,#413858,#413859); #343598=AXIS2_PLACEMENT_3D('',#505485,#413863,#413864); #343599=AXIS2_PLACEMENT_3D('',#505491,#413868,#413869); #343600=AXIS2_PLACEMENT_3D('',#505497,#413873,#413874); #343601=AXIS2_PLACEMENT_3D('',#505503,#413878,#413879); #343602=AXIS2_PLACEMENT_3D('',#505509,#413883,#413884); #343603=AXIS2_PLACEMENT_3D('',#505515,#413888,#413889); #343604=AXIS2_PLACEMENT_3D('',#505521,#413893,#413894); #343605=AXIS2_PLACEMENT_3D('',#505527,#413898,#413899); #343606=AXIS2_PLACEMENT_3D('',#505533,#413903,#413904); #343607=AXIS2_PLACEMENT_3D('',#505539,#413908,#413909); #343608=AXIS2_PLACEMENT_3D('',#505545,#413913,#413914); #343609=AXIS2_PLACEMENT_3D('',#505551,#413918,#413919); #343610=AXIS2_PLACEMENT_3D('',#505557,#413923,#413924); #343611=AXIS2_PLACEMENT_3D('',#505563,#413928,#413929); #343612=AXIS2_PLACEMENT_3D('',#505569,#413933,#413934); #343613=AXIS2_PLACEMENT_3D('',#505575,#413938,#413939); #343614=AXIS2_PLACEMENT_3D('',#505581,#413943,#413944); #343615=AXIS2_PLACEMENT_3D('',#505587,#413948,#413949); #343616=AXIS2_PLACEMENT_3D('',#505593,#413953,#413954); #343617=AXIS2_PLACEMENT_3D('',#505599,#413958,#413959); #343618=AXIS2_PLACEMENT_3D('',#505602,#413962,#413963); #343619=AXIS2_PLACEMENT_3D('',#505603,#413964,#413965); #343620=AXIS2_PLACEMENT_3D('',#505604,#413966,#413967); #343621=AXIS2_PLACEMENT_3D('',#505613,#413972,#413973); #343622=AXIS2_PLACEMENT_3D('',#505615,#413974,#413975); #343623=AXIS2_PLACEMENT_3D('',#505617,#413976,#413977); #343624=AXIS2_PLACEMENT_3D('',#505619,#413979,#413980); #343625=AXIS2_PLACEMENT_3D('',#505625,#413984,#413985); #343626=AXIS2_PLACEMENT_3D('',#505626,#413986,#413987); #343627=AXIS2_PLACEMENT_3D('',#505627,#413988,#413989); #343628=AXIS2_PLACEMENT_3D('',#505628,#413990,#413991); #343629=AXIS2_PLACEMENT_3D('',#505637,#413996,#413997); #343630=AXIS2_PLACEMENT_3D('',#505643,#414001,#414002); #343631=AXIS2_PLACEMENT_3D('',#505649,#414006,#414007); #343632=AXIS2_PLACEMENT_3D('',#505655,#414011,#414012); #343633=AXIS2_PLACEMENT_3D('',#505661,#414016,#414017); #343634=AXIS2_PLACEMENT_3D('',#505667,#414021,#414022); #343635=AXIS2_PLACEMENT_3D('',#505673,#414026,#414027); #343636=AXIS2_PLACEMENT_3D('',#505679,#414031,#414032); #343637=AXIS2_PLACEMENT_3D('',#505685,#414036,#414037); #343638=AXIS2_PLACEMENT_3D('',#505691,#414041,#414042); #343639=AXIS2_PLACEMENT_3D('',#505697,#414046,#414047); #343640=AXIS2_PLACEMENT_3D('',#505703,#414051,#414052); #343641=AXIS2_PLACEMENT_3D('',#505709,#414056,#414057); #343642=AXIS2_PLACEMENT_3D('',#505715,#414061,#414062); #343643=AXIS2_PLACEMENT_3D('',#505721,#414066,#414067); #343644=AXIS2_PLACEMENT_3D('',#505727,#414071,#414072); #343645=AXIS2_PLACEMENT_3D('',#505733,#414076,#414077); #343646=AXIS2_PLACEMENT_3D('',#505739,#414081,#414082); #343647=AXIS2_PLACEMENT_3D('',#505745,#414086,#414087); #343648=AXIS2_PLACEMENT_3D('',#505751,#414091,#414092); #343649=AXIS2_PLACEMENT_3D('',#505757,#414096,#414097); #343650=AXIS2_PLACEMENT_3D('',#505763,#414101,#414102); #343651=AXIS2_PLACEMENT_3D('',#505769,#414106,#414107); #343652=AXIS2_PLACEMENT_3D('',#505775,#414111,#414112); #343653=AXIS2_PLACEMENT_3D('',#505781,#414116,#414117); #343654=AXIS2_PLACEMENT_3D('',#505787,#414121,#414122); #343655=AXIS2_PLACEMENT_3D('',#505793,#414126,#414127); #343656=AXIS2_PLACEMENT_3D('',#505799,#414131,#414132); #343657=AXIS2_PLACEMENT_3D('',#505805,#414136,#414137); #343658=AXIS2_PLACEMENT_3D('',#505811,#414141,#414142); #343659=AXIS2_PLACEMENT_3D('',#505817,#414146,#414147); #343660=AXIS2_PLACEMENT_3D('',#505823,#414151,#414152); #343661=AXIS2_PLACEMENT_3D('',#505829,#414156,#414157); #343662=AXIS2_PLACEMENT_3D('',#505835,#414161,#414162); #343663=AXIS2_PLACEMENT_3D('',#505841,#414166,#414167); #343664=AXIS2_PLACEMENT_3D('',#505847,#414171,#414172); #343665=AXIS2_PLACEMENT_3D('',#505853,#414176,#414177); #343666=AXIS2_PLACEMENT_3D('',#505859,#414181,#414182); #343667=AXIS2_PLACEMENT_3D('',#505865,#414186,#414187); #343668=AXIS2_PLACEMENT_3D('',#505871,#414191,#414192); #343669=AXIS2_PLACEMENT_3D('',#505877,#414196,#414197); #343670=AXIS2_PLACEMENT_3D('',#505883,#414201,#414202); #343671=AXIS2_PLACEMENT_3D('',#505889,#414206,#414207); #343672=AXIS2_PLACEMENT_3D('',#505895,#414211,#414212); #343673=AXIS2_PLACEMENT_3D('',#505901,#414216,#414217); #343674=AXIS2_PLACEMENT_3D('',#505907,#414221,#414222); #343675=AXIS2_PLACEMENT_3D('',#505913,#414226,#414227); #343676=AXIS2_PLACEMENT_3D('',#505919,#414231,#414232); #343677=AXIS2_PLACEMENT_3D('',#505925,#414236,#414237); #343678=AXIS2_PLACEMENT_3D('',#505931,#414241,#414242); #343679=AXIS2_PLACEMENT_3D('',#505937,#414246,#414247); #343680=AXIS2_PLACEMENT_3D('',#505943,#414251,#414252); #343681=AXIS2_PLACEMENT_3D('',#505949,#414256,#414257); #343682=AXIS2_PLACEMENT_3D('',#505955,#414261,#414262); #343683=AXIS2_PLACEMENT_3D('',#505961,#414266,#414267); #343684=AXIS2_PLACEMENT_3D('',#505964,#414270,#414271); #343685=AXIS2_PLACEMENT_3D('',#505965,#414272,#414273); #343686=AXIS2_PLACEMENT_3D('',#505966,#414274,#414275); #343687=AXIS2_PLACEMENT_3D('',#505968,#414276,#414277); #343688=AXIS2_PLACEMENT_3D('',#505971,#414279,#414280); #343689=AXIS2_PLACEMENT_3D('',#505972,#414281,#414282); #343690=AXIS2_PLACEMENT_3D('',#505981,#414287,#414288); #343691=AXIS2_PLACEMENT_3D('',#505987,#414292,#414293); #343692=AXIS2_PLACEMENT_3D('',#505993,#414297,#414298); #343693=AXIS2_PLACEMENT_3D('',#505996,#414301,#414302); #343694=AXIS2_PLACEMENT_3D('',#505997,#414303,#414304); #343695=AXIS2_PLACEMENT_3D('',#505998,#414305,#414306); #343696=AXIS2_PLACEMENT_3D('',#506007,#414311,#414312); #343697=AXIS2_PLACEMENT_3D('',#506009,#414313,#414314); #343698=AXIS2_PLACEMENT_3D('',#506011,#414315,#414316); #343699=AXIS2_PLACEMENT_3D('',#506013,#414318,#414319); #343700=AXIS2_PLACEMENT_3D('',#506019,#414323,#414324); #343701=AXIS2_PLACEMENT_3D('',#506020,#414325,#414326); #343702=AXIS2_PLACEMENT_3D('',#506021,#414327,#414328); #343703=AXIS2_PLACEMENT_3D('',#506022,#414329,#414330); #343704=AXIS2_PLACEMENT_3D('',#506031,#414335,#414336); #343705=AXIS2_PLACEMENT_3D('',#506037,#414340,#414341); #343706=AXIS2_PLACEMENT_3D('',#506043,#414345,#414346); #343707=AXIS2_PLACEMENT_3D('',#506049,#414350,#414351); #343708=AXIS2_PLACEMENT_3D('',#506055,#414355,#414356); #343709=AXIS2_PLACEMENT_3D('',#506061,#414360,#414361); #343710=AXIS2_PLACEMENT_3D('',#506067,#414365,#414366); #343711=AXIS2_PLACEMENT_3D('',#506073,#414370,#414371); #343712=AXIS2_PLACEMENT_3D('',#506079,#414375,#414376); #343713=AXIS2_PLACEMENT_3D('',#506085,#414380,#414381); #343714=AXIS2_PLACEMENT_3D('',#506091,#414385,#414386); #343715=AXIS2_PLACEMENT_3D('',#506097,#414390,#414391); #343716=AXIS2_PLACEMENT_3D('',#506103,#414395,#414396); #343717=AXIS2_PLACEMENT_3D('',#506109,#414400,#414401); #343718=AXIS2_PLACEMENT_3D('',#506115,#414405,#414406); #343719=AXIS2_PLACEMENT_3D('',#506121,#414410,#414411); #343720=AXIS2_PLACEMENT_3D('',#506127,#414415,#414416); #343721=AXIS2_PLACEMENT_3D('',#506133,#414420,#414421); #343722=AXIS2_PLACEMENT_3D('',#506139,#414425,#414426); #343723=AXIS2_PLACEMENT_3D('',#506145,#414430,#414431); #343724=AXIS2_PLACEMENT_3D('',#506151,#414435,#414436); #343725=AXIS2_PLACEMENT_3D('',#506157,#414440,#414441); #343726=AXIS2_PLACEMENT_3D('',#506163,#414445,#414446); #343727=AXIS2_PLACEMENT_3D('',#506169,#414450,#414451); #343728=AXIS2_PLACEMENT_3D('',#506175,#414455,#414456); #343729=AXIS2_PLACEMENT_3D('',#506181,#414460,#414461); #343730=AXIS2_PLACEMENT_3D('',#506187,#414465,#414466); #343731=AXIS2_PLACEMENT_3D('',#506193,#414470,#414471); #343732=AXIS2_PLACEMENT_3D('',#506199,#414475,#414476); #343733=AXIS2_PLACEMENT_3D('',#506205,#414480,#414481); #343734=AXIS2_PLACEMENT_3D('',#506211,#414485,#414486); #343735=AXIS2_PLACEMENT_3D('',#506217,#414490,#414491); #343736=AXIS2_PLACEMENT_3D('',#506223,#414495,#414496); #343737=AXIS2_PLACEMENT_3D('',#506229,#414500,#414501); #343738=AXIS2_PLACEMENT_3D('',#506235,#414505,#414506); #343739=AXIS2_PLACEMENT_3D('',#506241,#414510,#414511); #343740=AXIS2_PLACEMENT_3D('',#506247,#414515,#414516); #343741=AXIS2_PLACEMENT_3D('',#506253,#414520,#414521); #343742=AXIS2_PLACEMENT_3D('',#506259,#414525,#414526); #343743=AXIS2_PLACEMENT_3D('',#506265,#414530,#414531); #343744=AXIS2_PLACEMENT_3D('',#506271,#414535,#414536); #343745=AXIS2_PLACEMENT_3D('',#506277,#414540,#414541); #343746=AXIS2_PLACEMENT_3D('',#506283,#414545,#414546); #343747=AXIS2_PLACEMENT_3D('',#506289,#414550,#414551); #343748=AXIS2_PLACEMENT_3D('',#506295,#414555,#414556); #343749=AXIS2_PLACEMENT_3D('',#506301,#414560,#414561); #343750=AXIS2_PLACEMENT_3D('',#506307,#414565,#414566); #343751=AXIS2_PLACEMENT_3D('',#506313,#414570,#414571); #343752=AXIS2_PLACEMENT_3D('',#506319,#414575,#414576); #343753=AXIS2_PLACEMENT_3D('',#506325,#414580,#414581); #343754=AXIS2_PLACEMENT_3D('',#506331,#414585,#414586); #343755=AXIS2_PLACEMENT_3D('',#506337,#414590,#414591); #343756=AXIS2_PLACEMENT_3D('',#506343,#414595,#414596); #343757=AXIS2_PLACEMENT_3D('',#506349,#414600,#414601); #343758=AXIS2_PLACEMENT_3D('',#506355,#414605,#414606); #343759=AXIS2_PLACEMENT_3D('',#506358,#414609,#414610); #343760=AXIS2_PLACEMENT_3D('',#506359,#414611,#414612); #343761=AXIS2_PLACEMENT_3D('',#506360,#414613,#414614); #343762=AXIS2_PLACEMENT_3D('',#506369,#414619,#414620); #343763=AXIS2_PLACEMENT_3D('',#506371,#414621,#414622); #343764=AXIS2_PLACEMENT_3D('',#506373,#414623,#414624); #343765=AXIS2_PLACEMENT_3D('',#506375,#414626,#414627); #343766=AXIS2_PLACEMENT_3D('',#506381,#414631,#414632); #343767=AXIS2_PLACEMENT_3D('',#506382,#414633,#414634); #343768=AXIS2_PLACEMENT_3D('',#506383,#414635,#414636); #343769=AXIS2_PLACEMENT_3D('',#506384,#414637,#414638); #343770=AXIS2_PLACEMENT_3D('',#506393,#414643,#414644); #343771=AXIS2_PLACEMENT_3D('',#506399,#414648,#414649); #343772=AXIS2_PLACEMENT_3D('',#506405,#414653,#414654); #343773=AXIS2_PLACEMENT_3D('',#506411,#414658,#414659); #343774=AXIS2_PLACEMENT_3D('',#506417,#414663,#414664); #343775=AXIS2_PLACEMENT_3D('',#506423,#414668,#414669); #343776=AXIS2_PLACEMENT_3D('',#506429,#414673,#414674); #343777=AXIS2_PLACEMENT_3D('',#506435,#414678,#414679); #343778=AXIS2_PLACEMENT_3D('',#506441,#414683,#414684); #343779=AXIS2_PLACEMENT_3D('',#506447,#414688,#414689); #343780=AXIS2_PLACEMENT_3D('',#506453,#414693,#414694); #343781=AXIS2_PLACEMENT_3D('',#506459,#414698,#414699); #343782=AXIS2_PLACEMENT_3D('',#506465,#414703,#414704); #343783=AXIS2_PLACEMENT_3D('',#506471,#414708,#414709); #343784=AXIS2_PLACEMENT_3D('',#506477,#414713,#414714); #343785=AXIS2_PLACEMENT_3D('',#506483,#414718,#414719); #343786=AXIS2_PLACEMENT_3D('',#506489,#414723,#414724); #343787=AXIS2_PLACEMENT_3D('',#506495,#414728,#414729); #343788=AXIS2_PLACEMENT_3D('',#506501,#414733,#414734); #343789=AXIS2_PLACEMENT_3D('',#506507,#414738,#414739); #343790=AXIS2_PLACEMENT_3D('',#506513,#414743,#414744); #343791=AXIS2_PLACEMENT_3D('',#506519,#414748,#414749); #343792=AXIS2_PLACEMENT_3D('',#506525,#414753,#414754); #343793=AXIS2_PLACEMENT_3D('',#506531,#414758,#414759); #343794=AXIS2_PLACEMENT_3D('',#506537,#414763,#414764); #343795=AXIS2_PLACEMENT_3D('',#506543,#414768,#414769); #343796=AXIS2_PLACEMENT_3D('',#506549,#414773,#414774); #343797=AXIS2_PLACEMENT_3D('',#506555,#414778,#414779); #343798=AXIS2_PLACEMENT_3D('',#506561,#414783,#414784); #343799=AXIS2_PLACEMENT_3D('',#506567,#414788,#414789); #343800=AXIS2_PLACEMENT_3D('',#506573,#414793,#414794); #343801=AXIS2_PLACEMENT_3D('',#506579,#414798,#414799); #343802=AXIS2_PLACEMENT_3D('',#506585,#414803,#414804); #343803=AXIS2_PLACEMENT_3D('',#506591,#414808,#414809); #343804=AXIS2_PLACEMENT_3D('',#506597,#414813,#414814); #343805=AXIS2_PLACEMENT_3D('',#506603,#414818,#414819); #343806=AXIS2_PLACEMENT_3D('',#506609,#414823,#414824); #343807=AXIS2_PLACEMENT_3D('',#506615,#414828,#414829); #343808=AXIS2_PLACEMENT_3D('',#506621,#414833,#414834); #343809=AXIS2_PLACEMENT_3D('',#506627,#414838,#414839); #343810=AXIS2_PLACEMENT_3D('',#506633,#414843,#414844); #343811=AXIS2_PLACEMENT_3D('',#506639,#414848,#414849); #343812=AXIS2_PLACEMENT_3D('',#506645,#414853,#414854); #343813=AXIS2_PLACEMENT_3D('',#506651,#414858,#414859); #343814=AXIS2_PLACEMENT_3D('',#506657,#414863,#414864); #343815=AXIS2_PLACEMENT_3D('',#506663,#414868,#414869); #343816=AXIS2_PLACEMENT_3D('',#506669,#414873,#414874); #343817=AXIS2_PLACEMENT_3D('',#506675,#414878,#414879); #343818=AXIS2_PLACEMENT_3D('',#506681,#414883,#414884); #343819=AXIS2_PLACEMENT_3D('',#506687,#414888,#414889); #343820=AXIS2_PLACEMENT_3D('',#506693,#414893,#414894); #343821=AXIS2_PLACEMENT_3D('',#506699,#414898,#414899); #343822=AXIS2_PLACEMENT_3D('',#506705,#414903,#414904); #343823=AXIS2_PLACEMENT_3D('',#506711,#414908,#414909); #343824=AXIS2_PLACEMENT_3D('',#506717,#414913,#414914); #343825=AXIS2_PLACEMENT_3D('',#506723,#414918,#414919); #343826=AXIS2_PLACEMENT_3D('',#506729,#414923,#414924); #343827=AXIS2_PLACEMENT_3D('',#506735,#414928,#414929); #343828=AXIS2_PLACEMENT_3D('',#506741,#414933,#414934); #343829=AXIS2_PLACEMENT_3D('',#506747,#414938,#414939); #343830=AXIS2_PLACEMENT_3D('',#506753,#414943,#414944); #343831=AXIS2_PLACEMENT_3D('',#506759,#414948,#414949); #343832=AXIS2_PLACEMENT_3D('',#506765,#414953,#414954); #343833=AXIS2_PLACEMENT_3D('',#506771,#414958,#414959); #343834=AXIS2_PLACEMENT_3D('',#506777,#414963,#414964); #343835=AXIS2_PLACEMENT_3D('',#506783,#414968,#414969); #343836=AXIS2_PLACEMENT_3D('',#506789,#414973,#414974); #343837=AXIS2_PLACEMENT_3D('',#506795,#414978,#414979); #343838=AXIS2_PLACEMENT_3D('',#506801,#414983,#414984); #343839=AXIS2_PLACEMENT_3D('',#506807,#414988,#414989); #343840=AXIS2_PLACEMENT_3D('',#506813,#414993,#414994); #343841=AXIS2_PLACEMENT_3D('',#506816,#414997,#414998); #343842=AXIS2_PLACEMENT_3D('',#506817,#414999,#415000); #343843=AXIS2_PLACEMENT_3D('',#506818,#415001,#415002); #343844=AXIS2_PLACEMENT_3D('',#506820,#415003,#415004); #343845=AXIS2_PLACEMENT_3D('',#506823,#415006,#415007); #343846=AXIS2_PLACEMENT_3D('',#506824,#415008,#415009); #343847=AXIS2_PLACEMENT_3D('',#506833,#415014,#415015); #343848=AXIS2_PLACEMENT_3D('',#506835,#415016,#415017); #343849=AXIS2_PLACEMENT_3D('',#506837,#415018,#415019); #343850=AXIS2_PLACEMENT_3D('',#506839,#415021,#415022); #343851=AXIS2_PLACEMENT_3D('',#506840,#415023,#415024); #343852=AXIS2_PLACEMENT_3D('',#506841,#415025,#415026); #343853=AXIS2_PLACEMENT_3D('',#506842,#415027,#415028); #343854=AXIS2_PLACEMENT_3D('',#506843,#415029,#415030); #343855=AXIS2_PLACEMENT_3D('',#506844,#415031,#415032); #343856=AXIS2_PLACEMENT_3D('',#506846,#415033,#415034); #343857=AXIS2_PLACEMENT_3D('',#506849,#415036,#415037); #343858=AXIS2_PLACEMENT_3D('',#506850,#415038,#415039); #343859=AXIS2_PLACEMENT_3D('',#506859,#415044,#415045); #343860=AXIS2_PLACEMENT_3D('',#506861,#415046,#415047); #343861=AXIS2_PLACEMENT_3D('',#506863,#415048,#415049); #343862=AXIS2_PLACEMENT_3D('',#506865,#415051,#415052); #343863=AXIS2_PLACEMENT_3D('',#506866,#415053,#415054); #343864=AXIS2_PLACEMENT_3D('',#506867,#415055,#415056); #343865=AXIS2_PLACEMENT_3D('',#506868,#415057,#415058); #343866=AXIS2_PLACEMENT_3D('',#506869,#415059,#415060); #343867=AXIS2_PLACEMENT_3D('',#506870,#415061,#415062); #343868=AXIS2_PLACEMENT_3D('',#506879,#415067,#415068); #343869=AXIS2_PLACEMENT_3D('',#506881,#415069,#415070); #343870=AXIS2_PLACEMENT_3D('',#506883,#415071,#415072); #343871=AXIS2_PLACEMENT_3D('',#506885,#415074,#415075); #343872=AXIS2_PLACEMENT_3D('',#506891,#415079,#415080); #343873=AXIS2_PLACEMENT_3D('',#506892,#415081,#415082); #343874=AXIS2_PLACEMENT_3D('',#506893,#415083,#415084); #343875=AXIS2_PLACEMENT_3D('',#506894,#415085,#415086); #343876=AXIS2_PLACEMENT_3D('',#506903,#415091,#415092); #343877=AXIS2_PLACEMENT_3D('',#506909,#415096,#415097); #343878=AXIS2_PLACEMENT_3D('',#506915,#415101,#415102); #343879=AXIS2_PLACEMENT_3D('',#506921,#415106,#415107); #343880=AXIS2_PLACEMENT_3D('',#506927,#415111,#415112); #343881=AXIS2_PLACEMENT_3D('',#506933,#415116,#415117); #343882=AXIS2_PLACEMENT_3D('',#506939,#415121,#415122); #343883=AXIS2_PLACEMENT_3D('',#506945,#415126,#415127); #343884=AXIS2_PLACEMENT_3D('',#506951,#415131,#415132); #343885=AXIS2_PLACEMENT_3D('',#506957,#415136,#415137); #343886=AXIS2_PLACEMENT_3D('',#506963,#415141,#415142); #343887=AXIS2_PLACEMENT_3D('',#506969,#415146,#415147); #343888=AXIS2_PLACEMENT_3D('',#506975,#415151,#415152); #343889=AXIS2_PLACEMENT_3D('',#506981,#415156,#415157); #343890=AXIS2_PLACEMENT_3D('',#506987,#415161,#415162); #343891=AXIS2_PLACEMENT_3D('',#506993,#415166,#415167); #343892=AXIS2_PLACEMENT_3D('',#506999,#415171,#415172); #343893=AXIS2_PLACEMENT_3D('',#507005,#415176,#415177); #343894=AXIS2_PLACEMENT_3D('',#507011,#415181,#415182); #343895=AXIS2_PLACEMENT_3D('',#507017,#415186,#415187); #343896=AXIS2_PLACEMENT_3D('',#507023,#415191,#415192); #343897=AXIS2_PLACEMENT_3D('',#507029,#415196,#415197); #343898=AXIS2_PLACEMENT_3D('',#507035,#415201,#415202); #343899=AXIS2_PLACEMENT_3D('',#507041,#415206,#415207); #343900=AXIS2_PLACEMENT_3D('',#507047,#415211,#415212); #343901=AXIS2_PLACEMENT_3D('',#507053,#415216,#415217); #343902=AXIS2_PLACEMENT_3D('',#507059,#415221,#415222); #343903=AXIS2_PLACEMENT_3D('',#507065,#415226,#415227); #343904=AXIS2_PLACEMENT_3D('',#507071,#415231,#415232); #343905=AXIS2_PLACEMENT_3D('',#507077,#415236,#415237); #343906=AXIS2_PLACEMENT_3D('',#507083,#415241,#415242); #343907=AXIS2_PLACEMENT_3D('',#507089,#415246,#415247); #343908=AXIS2_PLACEMENT_3D('',#507095,#415251,#415252); #343909=AXIS2_PLACEMENT_3D('',#507101,#415256,#415257); #343910=AXIS2_PLACEMENT_3D('',#507107,#415261,#415262); #343911=AXIS2_PLACEMENT_3D('',#507113,#415266,#415267); #343912=AXIS2_PLACEMENT_3D('',#507119,#415271,#415272); #343913=AXIS2_PLACEMENT_3D('',#507125,#415276,#415277); #343914=AXIS2_PLACEMENT_3D('',#507131,#415281,#415282); #343915=AXIS2_PLACEMENT_3D('',#507137,#415286,#415287); #343916=AXIS2_PLACEMENT_3D('',#507143,#415291,#415292); #343917=AXIS2_PLACEMENT_3D('',#507149,#415296,#415297); #343918=AXIS2_PLACEMENT_3D('',#507155,#415301,#415302); #343919=AXIS2_PLACEMENT_3D('',#507161,#415306,#415307); #343920=AXIS2_PLACEMENT_3D('',#507167,#415311,#415312); #343921=AXIS2_PLACEMENT_3D('',#507173,#415316,#415317); #343922=AXIS2_PLACEMENT_3D('',#507179,#415321,#415322); #343923=AXIS2_PLACEMENT_3D('',#507185,#415326,#415327); #343924=AXIS2_PLACEMENT_3D('',#507191,#415331,#415332); #343925=AXIS2_PLACEMENT_3D('',#507197,#415336,#415337); #343926=AXIS2_PLACEMENT_3D('',#507203,#415341,#415342); #343927=AXIS2_PLACEMENT_3D('',#507209,#415346,#415347); #343928=AXIS2_PLACEMENT_3D('',#507215,#415351,#415352); #343929=AXIS2_PLACEMENT_3D('',#507221,#415356,#415357); #343930=AXIS2_PLACEMENT_3D('',#507227,#415361,#415362); #343931=AXIS2_PLACEMENT_3D('',#507230,#415365,#415366); #343932=AXIS2_PLACEMENT_3D('',#507231,#415367,#415368); #343933=AXIS2_PLACEMENT_3D('',#507232,#415369,#415370); #343934=AXIS2_PLACEMENT_3D('',#507234,#415371,#415372); #343935=AXIS2_PLACEMENT_3D('',#507237,#415374,#415375); #343936=AXIS2_PLACEMENT_3D('',#507238,#415376,#415377); #343937=AXIS2_PLACEMENT_3D('',#507247,#415382,#415383); #343938=AXIS2_PLACEMENT_3D('',#507249,#415384,#415385); #343939=AXIS2_PLACEMENT_3D('',#507251,#415386,#415387); #343940=AXIS2_PLACEMENT_3D('',#507253,#415389,#415390); #343941=AXIS2_PLACEMENT_3D('',#507254,#415391,#415392); #343942=AXIS2_PLACEMENT_3D('',#507255,#415393,#415394); #343943=AXIS2_PLACEMENT_3D('',#507256,#415395,#415396); #343944=AXIS2_PLACEMENT_3D('',#507257,#415397,#415398); #343945=AXIS2_PLACEMENT_3D('',#507258,#415399,#415400); #343946=AXIS2_PLACEMENT_3D('',#507260,#415401,#415402); #343947=AXIS2_PLACEMENT_3D('',#507263,#415404,#415405); #343948=AXIS2_PLACEMENT_3D('',#507264,#415406,#415407); #343949=AXIS2_PLACEMENT_3D('',#507273,#415412,#415413); #343950=AXIS2_PLACEMENT_3D('',#507275,#415414,#415415); #343951=AXIS2_PLACEMENT_3D('',#507277,#415416,#415417); #343952=AXIS2_PLACEMENT_3D('',#507279,#415419,#415420); #343953=AXIS2_PLACEMENT_3D('',#507280,#415421,#415422); #343954=AXIS2_PLACEMENT_3D('',#507281,#415423,#415424); #343955=AXIS2_PLACEMENT_3D('',#507282,#415425,#415426); #343956=AXIS2_PLACEMENT_3D('',#507283,#415427,#415428); #343957=AXIS2_PLACEMENT_3D('',#507284,#415429,#415430); #343958=AXIS2_PLACEMENT_3D('',#507286,#415431,#415432); #343959=AXIS2_PLACEMENT_3D('',#507289,#415434,#415435); #343960=AXIS2_PLACEMENT_3D('',#507290,#415436,#415437); #343961=AXIS2_PLACEMENT_3D('',#507299,#415442,#415443); #343962=AXIS2_PLACEMENT_3D('',#507301,#415444,#415445); #343963=AXIS2_PLACEMENT_3D('',#507303,#415446,#415447); #343964=AXIS2_PLACEMENT_3D('',#507305,#415449,#415450); #343965=AXIS2_PLACEMENT_3D('',#507306,#415451,#415452); #343966=AXIS2_PLACEMENT_3D('',#507307,#415453,#415454); #343967=AXIS2_PLACEMENT_3D('',#507308,#415455,#415456); #343968=AXIS2_PLACEMENT_3D('',#507309,#415457,#415458); #343969=AXIS2_PLACEMENT_3D('',#507310,#415459,#415460); #343970=AXIS2_PLACEMENT_3D('',#507312,#415461,#415462); #343971=AXIS2_PLACEMENT_3D('',#507315,#415464,#415465); #343972=AXIS2_PLACEMENT_3D('',#507316,#415466,#415467); #343973=AXIS2_PLACEMENT_3D('',#507325,#415472,#415473); #343974=AXIS2_PLACEMENT_3D('',#507331,#415477,#415478); #343975=AXIS2_PLACEMENT_3D('',#507337,#415482,#415483); #343976=AXIS2_PLACEMENT_3D('',#507340,#415486,#415487); #343977=AXIS2_PLACEMENT_3D('',#507341,#415488,#415489); #343978=AXIS2_PLACEMENT_3D('',#507342,#415490,#415491); #343979=AXIS2_PLACEMENT_3D('',#507344,#415492,#415493); #343980=AXIS2_PLACEMENT_3D('',#507347,#415495,#415496); #343981=AXIS2_PLACEMENT_3D('',#507348,#415497,#415498); #343982=AXIS2_PLACEMENT_3D('',#507357,#415503,#415504); #343983=AXIS2_PLACEMENT_3D('',#507359,#415505,#415506); #343984=AXIS2_PLACEMENT_3D('',#507361,#415507,#415508); #343985=AXIS2_PLACEMENT_3D('',#507363,#415510,#415511); #343986=AXIS2_PLACEMENT_3D('',#507364,#415512,#415513); #343987=AXIS2_PLACEMENT_3D('',#507365,#415514,#415515); #343988=AXIS2_PLACEMENT_3D('',#507366,#415516,#415517); #343989=AXIS2_PLACEMENT_3D('',#507367,#415518,#415519); #343990=AXIS2_PLACEMENT_3D('',#507368,#415520,#415521); #343991=AXIS2_PLACEMENT_3D('',#507377,#415526,#415527); #343992=AXIS2_PLACEMENT_3D('',#507383,#415531,#415532); #343993=AXIS2_PLACEMENT_3D('',#507389,#415536,#415537); #343994=AXIS2_PLACEMENT_3D('',#507392,#415540,#415541); #343995=AXIS2_PLACEMENT_3D('',#507393,#415542,#415543); #343996=AXIS2_PLACEMENT_3D('',#507394,#415544,#415545); #343997=AXIS2_PLACEMENT_3D('',#507403,#415550,#415551); #343998=AXIS2_PLACEMENT_3D('',#507409,#415555,#415556); #343999=AXIS2_PLACEMENT_3D('',#507415,#415560,#415561); #344000=AXIS2_PLACEMENT_3D('',#507418,#415564,#415565); #344001=AXIS2_PLACEMENT_3D('',#507419,#415566,#415567); #344002=AXIS2_PLACEMENT_3D('',#507420,#415568,#415569); #344003=AXIS2_PLACEMENT_3D('',#507429,#415574,#415575); #344004=AXIS2_PLACEMENT_3D('',#507435,#415579,#415580); #344005=AXIS2_PLACEMENT_3D('',#507441,#415584,#415585); #344006=AXIS2_PLACEMENT_3D('',#507444,#415588,#415589); #344007=AXIS2_PLACEMENT_3D('',#507445,#415590,#415591); #344008=AXIS2_PLACEMENT_3D('',#507446,#415592,#415593); #344009=AXIS2_PLACEMENT_3D('',#507455,#415598,#415599); #344010=AXIS2_PLACEMENT_3D('',#507461,#415603,#415604); #344011=AXIS2_PLACEMENT_3D('',#507467,#415608,#415609); #344012=AXIS2_PLACEMENT_3D('',#507470,#415612,#415613); #344013=AXIS2_PLACEMENT_3D('',#507471,#415614,#415615); #344014=AXIS2_PLACEMENT_3D('',#507472,#415616,#415617); #344015=AXIS2_PLACEMENT_3D('',#507481,#415622,#415623); #344016=AXIS2_PLACEMENT_3D('',#507487,#415627,#415628); #344017=AXIS2_PLACEMENT_3D('',#507493,#415632,#415633); #344018=AXIS2_PLACEMENT_3D('',#507496,#415636,#415637); #344019=AXIS2_PLACEMENT_3D('',#507497,#415638,#415639); #344020=AXIS2_PLACEMENT_3D('',#507498,#415640,#415641); #344021=AXIS2_PLACEMENT_3D('',#507507,#415646,#415647); #344022=AXIS2_PLACEMENT_3D('',#507513,#415651,#415652); #344023=AXIS2_PLACEMENT_3D('',#507519,#415656,#415657); #344024=AXIS2_PLACEMENT_3D('',#507522,#415660,#415661); #344025=AXIS2_PLACEMENT_3D('',#507523,#415662,#415663); #344026=AXIS2_PLACEMENT_3D('',#507524,#415664,#415665); #344027=AXIS2_PLACEMENT_3D('',#507533,#415670,#415671); #344028=AXIS2_PLACEMENT_3D('',#507539,#415675,#415676); #344029=AXIS2_PLACEMENT_3D('',#507545,#415680,#415681); #344030=AXIS2_PLACEMENT_3D('',#507548,#415684,#415685); #344031=AXIS2_PLACEMENT_3D('',#507549,#415686,#415687); #344032=AXIS2_PLACEMENT_3D('',#507550,#415688,#415689); #344033=AXIS2_PLACEMENT_3D('',#507559,#415694,#415695); #344034=AXIS2_PLACEMENT_3D('',#507565,#415699,#415700); #344035=AXIS2_PLACEMENT_3D('',#507571,#415704,#415705); #344036=AXIS2_PLACEMENT_3D('',#507574,#415708,#415709); #344037=AXIS2_PLACEMENT_3D('',#507575,#415710,#415711); #344038=AXIS2_PLACEMENT_3D('',#507576,#415712,#415713); #344039=AXIS2_PLACEMENT_3D('',#507585,#415718,#415719); #344040=AXIS2_PLACEMENT_3D('',#507591,#415723,#415724); #344041=AXIS2_PLACEMENT_3D('',#507597,#415728,#415729); #344042=AXIS2_PLACEMENT_3D('',#507600,#415732,#415733); #344043=AXIS2_PLACEMENT_3D('',#507601,#415734,#415735); #344044=AXIS2_PLACEMENT_3D('',#507602,#415736,#415737); #344045=AXIS2_PLACEMENT_3D('',#507611,#415742,#415743); #344046=AXIS2_PLACEMENT_3D('',#507617,#415747,#415748); #344047=AXIS2_PLACEMENT_3D('',#507623,#415752,#415753); #344048=AXIS2_PLACEMENT_3D('',#507626,#415756,#415757); #344049=AXIS2_PLACEMENT_3D('',#507627,#415758,#415759); #344050=AXIS2_PLACEMENT_3D('',#507628,#415760,#415761); #344051=AXIS2_PLACEMENT_3D('',#507637,#415766,#415767); #344052=AXIS2_PLACEMENT_3D('',#507643,#415771,#415772); #344053=AXIS2_PLACEMENT_3D('',#507649,#415776,#415777); #344054=AXIS2_PLACEMENT_3D('',#507652,#415780,#415781); #344055=AXIS2_PLACEMENT_3D('',#507653,#415782,#415783); #344056=AXIS2_PLACEMENT_3D('',#507654,#415784,#415785); #344057=AXIS2_PLACEMENT_3D('',#507663,#415790,#415791); #344058=AXIS2_PLACEMENT_3D('',#507669,#415795,#415796); #344059=AXIS2_PLACEMENT_3D('',#507675,#415800,#415801); #344060=AXIS2_PLACEMENT_3D('',#507678,#415804,#415805); #344061=AXIS2_PLACEMENT_3D('',#507679,#415806,#415807); #344062=AXIS2_PLACEMENT_3D('',#507680,#415808,#415809); #344063=AXIS2_PLACEMENT_3D('',#507689,#415814,#415815); #344064=AXIS2_PLACEMENT_3D('',#507695,#415819,#415820); #344065=AXIS2_PLACEMENT_3D('',#507701,#415824,#415825); #344066=AXIS2_PLACEMENT_3D('',#507704,#415828,#415829); #344067=AXIS2_PLACEMENT_3D('',#507705,#415830,#415831); #344068=AXIS2_PLACEMENT_3D('',#507706,#415832,#415833); #344069=AXIS2_PLACEMENT_3D('',#507715,#415838,#415839); #344070=AXIS2_PLACEMENT_3D('',#507721,#415843,#415844); #344071=AXIS2_PLACEMENT_3D('',#507727,#415848,#415849); #344072=AXIS2_PLACEMENT_3D('',#507730,#415852,#415853); #344073=AXIS2_PLACEMENT_3D('',#507731,#415854,#415855); #344074=AXIS2_PLACEMENT_3D('',#507732,#415856,#415857); #344075=AXIS2_PLACEMENT_3D('',#507741,#415862,#415863); #344076=AXIS2_PLACEMENT_3D('',#507747,#415867,#415868); #344077=AXIS2_PLACEMENT_3D('',#507753,#415872,#415873); #344078=AXIS2_PLACEMENT_3D('',#507756,#415876,#415877); #344079=AXIS2_PLACEMENT_3D('',#507757,#415878,#415879); #344080=AXIS2_PLACEMENT_3D('',#507758,#415880,#415881); #344081=AXIS2_PLACEMENT_3D('',#507767,#415886,#415887); #344082=AXIS2_PLACEMENT_3D('',#507773,#415891,#415892); #344083=AXIS2_PLACEMENT_3D('',#507779,#415896,#415897); #344084=AXIS2_PLACEMENT_3D('',#507782,#415900,#415901); #344085=AXIS2_PLACEMENT_3D('',#507783,#415902,#415903); #344086=AXIS2_PLACEMENT_3D('',#507784,#415904,#415905); #344087=AXIS2_PLACEMENT_3D('',#507793,#415910,#415911); #344088=AXIS2_PLACEMENT_3D('',#507799,#415915,#415916); #344089=AXIS2_PLACEMENT_3D('',#507805,#415920,#415921); #344090=AXIS2_PLACEMENT_3D('',#507808,#415924,#415925); #344091=AXIS2_PLACEMENT_3D('',#507809,#415926,#415927); #344092=AXIS2_PLACEMENT_3D('',#507810,#415928,#415929); #344093=AXIS2_PLACEMENT_3D('',#507819,#415934,#415935); #344094=AXIS2_PLACEMENT_3D('',#507825,#415939,#415940); #344095=AXIS2_PLACEMENT_3D('',#507831,#415944,#415945); #344096=AXIS2_PLACEMENT_3D('',#507834,#415948,#415949); #344097=AXIS2_PLACEMENT_3D('',#507835,#415950,#415951); #344098=AXIS2_PLACEMENT_3D('',#507836,#415952,#415953); #344099=AXIS2_PLACEMENT_3D('',#507845,#415958,#415959); #344100=AXIS2_PLACEMENT_3D('',#507851,#415963,#415964); #344101=AXIS2_PLACEMENT_3D('',#507857,#415968,#415969); #344102=AXIS2_PLACEMENT_3D('',#507860,#415972,#415973); #344103=AXIS2_PLACEMENT_3D('',#507861,#415974,#415975); #344104=AXIS2_PLACEMENT_3D('',#507862,#415976,#415977); #344105=AXIS2_PLACEMENT_3D('',#507871,#415982,#415983); #344106=AXIS2_PLACEMENT_3D('',#507877,#415987,#415988); #344107=AXIS2_PLACEMENT_3D('',#507883,#415992,#415993); #344108=AXIS2_PLACEMENT_3D('',#507886,#415996,#415997); #344109=AXIS2_PLACEMENT_3D('',#507887,#415998,#415999); #344110=AXIS2_PLACEMENT_3D('',#507888,#416000,#416001); #344111=AXIS2_PLACEMENT_3D('',#507897,#416006,#416007); #344112=AXIS2_PLACEMENT_3D('',#507903,#416011,#416012); #344113=AXIS2_PLACEMENT_3D('',#507909,#416016,#416017); #344114=AXIS2_PLACEMENT_3D('',#507912,#416020,#416021); #344115=AXIS2_PLACEMENT_3D('',#507913,#416022,#416023); #344116=AXIS2_PLACEMENT_3D('',#507914,#416024,#416025); #344117=AXIS2_PLACEMENT_3D('',#507923,#416030,#416031); #344118=AXIS2_PLACEMENT_3D('',#507929,#416035,#416036); #344119=AXIS2_PLACEMENT_3D('',#507935,#416040,#416041); #344120=AXIS2_PLACEMENT_3D('',#507938,#416044,#416045); #344121=AXIS2_PLACEMENT_3D('',#507939,#416046,#416047); #344122=AXIS2_PLACEMENT_3D('',#507940,#416048,#416049); #344123=AXIS2_PLACEMENT_3D('',#507949,#416054,#416055); #344124=AXIS2_PLACEMENT_3D('',#507955,#416059,#416060); #344125=AXIS2_PLACEMENT_3D('',#507961,#416064,#416065); #344126=AXIS2_PLACEMENT_3D('',#507964,#416068,#416069); #344127=AXIS2_PLACEMENT_3D('',#507965,#416070,#416071); #344128=AXIS2_PLACEMENT_3D('',#507966,#416072,#416073); #344129=AXIS2_PLACEMENT_3D('',#507968,#416074,#416075); #344130=AXIS2_PLACEMENT_3D('',#507971,#416077,#416078); #344131=AXIS2_PLACEMENT_3D('',#507972,#416079,#416080); #344132=AXIS2_PLACEMENT_3D('',#507974,#416081,#416082); #344133=AXIS2_PLACEMENT_3D('',#507977,#416084,#416085); #344134=AXIS2_PLACEMENT_3D('',#507978,#416086,#416087); #344135=AXIS2_PLACEMENT_3D('',#507987,#416092,#416093); #344136=AXIS2_PLACEMENT_3D('',#507993,#416097,#416098); #344137=AXIS2_PLACEMENT_3D('',#507999,#416102,#416103); #344138=AXIS2_PLACEMENT_3D('',#508002,#416106,#416107); #344139=AXIS2_PLACEMENT_3D('',#508003,#416108,#416109); #344140=AXIS2_PLACEMENT_3D('',#508004,#416110,#416111); #344141=AXIS2_PLACEMENT_3D('',#508013,#416116,#416117); #344142=AXIS2_PLACEMENT_3D('',#508019,#416121,#416122); #344143=AXIS2_PLACEMENT_3D('',#508025,#416126,#416127); #344144=AXIS2_PLACEMENT_3D('',#508028,#416130,#416131); #344145=AXIS2_PLACEMENT_3D('',#508029,#416132,#416133); #344146=AXIS2_PLACEMENT_3D('',#508030,#416134,#416135); #344147=AXIS2_PLACEMENT_3D('',#508032,#416136,#416137); #344148=AXIS2_PLACEMENT_3D('',#508035,#416139,#416140); #344149=AXIS2_PLACEMENT_3D('',#508036,#416141,#416142); #344150=AXIS2_PLACEMENT_3D('',#508038,#416143,#416144); #344151=AXIS2_PLACEMENT_3D('',#508041,#416146,#416147); #344152=AXIS2_PLACEMENT_3D('',#508042,#416148,#416149); #344153=AXIS2_PLACEMENT_3D('',#508051,#416154,#416155); #344154=AXIS2_PLACEMENT_3D('',#508057,#416159,#416160); #344155=AXIS2_PLACEMENT_3D('',#508063,#416164,#416165); #344156=AXIS2_PLACEMENT_3D('',#508066,#416168,#416169); #344157=AXIS2_PLACEMENT_3D('',#508067,#416170,#416171); #344158=AXIS2_PLACEMENT_3D('',#508068,#416172,#416173); #344159=AXIS2_PLACEMENT_3D('',#508077,#416178,#416179); #344160=AXIS2_PLACEMENT_3D('',#508083,#416183,#416184); #344161=AXIS2_PLACEMENT_3D('',#508089,#416188,#416189); #344162=AXIS2_PLACEMENT_3D('',#508092,#416192,#416193); #344163=AXIS2_PLACEMENT_3D('',#508093,#416194,#416195); #344164=AXIS2_PLACEMENT_3D('',#508094,#416196,#416197); #344165=AXIS2_PLACEMENT_3D('',#508103,#416202,#416203); #344166=AXIS2_PLACEMENT_3D('',#508109,#416207,#416208); #344167=AXIS2_PLACEMENT_3D('',#508115,#416212,#416213); #344168=AXIS2_PLACEMENT_3D('',#508118,#416216,#416217); #344169=AXIS2_PLACEMENT_3D('',#508119,#416218,#416219); #344170=AXIS2_PLACEMENT_3D('',#508120,#416220,#416221); #344171=AXIS2_PLACEMENT_3D('',#508129,#416226,#416227); #344172=AXIS2_PLACEMENT_3D('',#508135,#416231,#416232); #344173=AXIS2_PLACEMENT_3D('',#508141,#416236,#416237); #344174=AXIS2_PLACEMENT_3D('',#508144,#416240,#416241); #344175=AXIS2_PLACEMENT_3D('',#508145,#416242,#416243); #344176=AXIS2_PLACEMENT_3D('',#508146,#416244,#416245); #344177=AXIS2_PLACEMENT_3D('',#508155,#416250,#416251); #344178=AXIS2_PLACEMENT_3D('',#508161,#416255,#416256); #344179=AXIS2_PLACEMENT_3D('',#508167,#416260,#416261); #344180=AXIS2_PLACEMENT_3D('',#508170,#416264,#416265); #344181=AXIS2_PLACEMENT_3D('',#508171,#416266,#416267); #344182=AXIS2_PLACEMENT_3D('',#508172,#416268,#416269); #344183=AXIS2_PLACEMENT_3D('',#508181,#416274,#416275); #344184=AXIS2_PLACEMENT_3D('',#508187,#416279,#416280); #344185=AXIS2_PLACEMENT_3D('',#508193,#416284,#416285); #344186=AXIS2_PLACEMENT_3D('',#508196,#416288,#416289); #344187=AXIS2_PLACEMENT_3D('',#508197,#416290,#416291); #344188=AXIS2_PLACEMENT_3D('',#508198,#416292,#416293); #344189=AXIS2_PLACEMENT_3D('',#508207,#416298,#416299); #344190=AXIS2_PLACEMENT_3D('',#508213,#416303,#416304); #344191=AXIS2_PLACEMENT_3D('',#508219,#416308,#416309); #344192=AXIS2_PLACEMENT_3D('',#508222,#416312,#416313); #344193=AXIS2_PLACEMENT_3D('',#508223,#416314,#416315); #344194=AXIS2_PLACEMENT_3D('',#508224,#416316,#416317); #344195=AXIS2_PLACEMENT_3D('',#508233,#416322,#416323); #344196=AXIS2_PLACEMENT_3D('',#508239,#416327,#416328); #344197=AXIS2_PLACEMENT_3D('',#508245,#416332,#416333); #344198=AXIS2_PLACEMENT_3D('',#508248,#416336,#416337); #344199=AXIS2_PLACEMENT_3D('',#508249,#416338,#416339); #344200=AXIS2_PLACEMENT_3D('',#508250,#416340,#416341); #344201=AXIS2_PLACEMENT_3D('',#508259,#416346,#416347); #344202=AXIS2_PLACEMENT_3D('',#508265,#416351,#416352); #344203=AXIS2_PLACEMENT_3D('',#508271,#416356,#416357); #344204=AXIS2_PLACEMENT_3D('',#508274,#416360,#416361); #344205=AXIS2_PLACEMENT_3D('',#508275,#416362,#416363); #344206=AXIS2_PLACEMENT_3D('',#508276,#416364,#416365); #344207=AXIS2_PLACEMENT_3D('',#508285,#416370,#416371); #344208=AXIS2_PLACEMENT_3D('',#508291,#416375,#416376); #344209=AXIS2_PLACEMENT_3D('',#508297,#416380,#416381); #344210=AXIS2_PLACEMENT_3D('',#508300,#416384,#416385); #344211=AXIS2_PLACEMENT_3D('',#508301,#416386,#416387); #344212=AXIS2_PLACEMENT_3D('',#508302,#416388,#416389); #344213=AXIS2_PLACEMENT_3D('',#508311,#416394,#416395); #344214=AXIS2_PLACEMENT_3D('',#508317,#416399,#416400); #344215=AXIS2_PLACEMENT_3D('',#508323,#416404,#416405); #344216=AXIS2_PLACEMENT_3D('',#508326,#416408,#416409); #344217=AXIS2_PLACEMENT_3D('',#508327,#416410,#416411); #344218=AXIS2_PLACEMENT_3D('',#508328,#416412,#416413); #344219=AXIS2_PLACEMENT_3D('',#508337,#416418,#416419); #344220=AXIS2_PLACEMENT_3D('',#508343,#416423,#416424); #344221=AXIS2_PLACEMENT_3D('',#508349,#416428,#416429); #344222=AXIS2_PLACEMENT_3D('',#508352,#416432,#416433); #344223=AXIS2_PLACEMENT_3D('',#508353,#416434,#416435); #344224=AXIS2_PLACEMENT_3D('',#508354,#416436,#416437); #344225=AXIS2_PLACEMENT_3D('',#508363,#416442,#416443); #344226=AXIS2_PLACEMENT_3D('',#508369,#416447,#416448); #344227=AXIS2_PLACEMENT_3D('',#508375,#416452,#416453); #344228=AXIS2_PLACEMENT_3D('',#508378,#416456,#416457); #344229=AXIS2_PLACEMENT_3D('',#508379,#416458,#416459); #344230=AXIS2_PLACEMENT_3D('',#508380,#416460,#416461); #344231=AXIS2_PLACEMENT_3D('',#508389,#416466,#416467); #344232=AXIS2_PLACEMENT_3D('',#508395,#416471,#416472); #344233=AXIS2_PLACEMENT_3D('',#508401,#416476,#416477); #344234=AXIS2_PLACEMENT_3D('',#508404,#416480,#416481); #344235=AXIS2_PLACEMENT_3D('',#508405,#416482,#416483); #344236=AXIS2_PLACEMENT_3D('',#508406,#416484,#416485); #344237=AXIS2_PLACEMENT_3D('',#508415,#416490,#416491); #344238=AXIS2_PLACEMENT_3D('',#508421,#416495,#416496); #344239=AXIS2_PLACEMENT_3D('',#508427,#416500,#416501); #344240=AXIS2_PLACEMENT_3D('',#508430,#416504,#416505); #344241=AXIS2_PLACEMENT_3D('',#508431,#416506,#416507); #344242=AXIS2_PLACEMENT_3D('',#508432,#416508,#416509); #344243=AXIS2_PLACEMENT_3D('',#508441,#416514,#416515); #344244=AXIS2_PLACEMENT_3D('',#508447,#416519,#416520); #344245=AXIS2_PLACEMENT_3D('',#508453,#416524,#416525); #344246=AXIS2_PLACEMENT_3D('',#508456,#416528,#416529); #344247=AXIS2_PLACEMENT_3D('',#508457,#416530,#416531); #344248=AXIS2_PLACEMENT_3D('',#508458,#416532,#416533); #344249=AXIS2_PLACEMENT_3D('',#508467,#416538,#416539); #344250=AXIS2_PLACEMENT_3D('',#508473,#416543,#416544); #344251=AXIS2_PLACEMENT_3D('',#508479,#416548,#416549); #344252=AXIS2_PLACEMENT_3D('',#508482,#416552,#416553); #344253=AXIS2_PLACEMENT_3D('',#508483,#416554,#416555); #344254=AXIS2_PLACEMENT_3D('',#508484,#416556,#416557); #344255=AXIS2_PLACEMENT_3D('',#508486,#416558,#416559); #344256=AXIS2_PLACEMENT_3D('',#508489,#416561,#416562); #344257=AXIS2_PLACEMENT_3D('',#508490,#416563,#416564); #344258=AXIS2_PLACEMENT_3D('',#508499,#416569,#416570); #344259=AXIS2_PLACEMENT_3D('',#508505,#416574,#416575); #344260=AXIS2_PLACEMENT_3D('',#508511,#416579,#416580); #344261=AXIS2_PLACEMENT_3D('',#508514,#416583,#416584); #344262=AXIS2_PLACEMENT_3D('',#508515,#416585,#416586); #344263=AXIS2_PLACEMENT_3D('',#508516,#416587,#416588); #344264=AXIS2_PLACEMENT_3D('',#508525,#416593,#416594); #344265=AXIS2_PLACEMENT_3D('',#508531,#416598,#416599); #344266=AXIS2_PLACEMENT_3D('',#508537,#416603,#416604); #344267=AXIS2_PLACEMENT_3D('',#508540,#416607,#416608); #344268=AXIS2_PLACEMENT_3D('',#508541,#416609,#416610); #344269=AXIS2_PLACEMENT_3D('',#508542,#416611,#416612); #344270=AXIS2_PLACEMENT_3D('',#508551,#416617,#416618); #344271=AXIS2_PLACEMENT_3D('',#508557,#416622,#416623); #344272=AXIS2_PLACEMENT_3D('',#508563,#416627,#416628); #344273=AXIS2_PLACEMENT_3D('',#508566,#416631,#416632); #344274=AXIS2_PLACEMENT_3D('',#508567,#416633,#416634); #344275=AXIS2_PLACEMENT_3D('',#508568,#416635,#416636); #344276=AXIS2_PLACEMENT_3D('',#508577,#416641,#416642); #344277=AXIS2_PLACEMENT_3D('',#508583,#416646,#416647); #344278=AXIS2_PLACEMENT_3D('',#508589,#416651,#416652); #344279=AXIS2_PLACEMENT_3D('',#508592,#416655,#416656); #344280=AXIS2_PLACEMENT_3D('',#508593,#416657,#416658); #344281=AXIS2_PLACEMENT_3D('',#508594,#416659,#416660); #344282=AXIS2_PLACEMENT_3D('',#508603,#416665,#416666); #344283=AXIS2_PLACEMENT_3D('',#508609,#416670,#416671); #344284=AXIS2_PLACEMENT_3D('',#508615,#416675,#416676); #344285=AXIS2_PLACEMENT_3D('',#508618,#416679,#416680); #344286=AXIS2_PLACEMENT_3D('',#508619,#416681,#416682); #344287=AXIS2_PLACEMENT_3D('',#508620,#416683,#416684); #344288=AXIS2_PLACEMENT_3D('',#508622,#416685,#416686); #344289=AXIS2_PLACEMENT_3D('',#508625,#416688,#416689); #344290=AXIS2_PLACEMENT_3D('',#508626,#416690,#416691); #344291=AXIS2_PLACEMENT_3D('',#508628,#416692,#416693); #344292=AXIS2_PLACEMENT_3D('',#508631,#416695,#416696); #344293=AXIS2_PLACEMENT_3D('',#508632,#416697,#416698); #344294=AXIS2_PLACEMENT_3D('',#508634,#416699,#416700); #344295=AXIS2_PLACEMENT_3D('',#508637,#416702,#416703); #344296=AXIS2_PLACEMENT_3D('',#508638,#416704,#416705); #344297=AXIS2_PLACEMENT_3D('',#508640,#416706,#416707); #344298=AXIS2_PLACEMENT_3D('',#508643,#416709,#416710); #344299=AXIS2_PLACEMENT_3D('',#508644,#416711,#416712); #344300=AXIS2_PLACEMENT_3D('',#508646,#416713,#416714); #344301=AXIS2_PLACEMENT_3D('',#508649,#416716,#416717); #344302=AXIS2_PLACEMENT_3D('',#508650,#416718,#416719); #344303=AXIS2_PLACEMENT_3D('',#508652,#416720,#416721); #344304=AXIS2_PLACEMENT_3D('',#508655,#416723,#416724); #344305=AXIS2_PLACEMENT_3D('',#508656,#416725,#416726); #344306=AXIS2_PLACEMENT_3D('',#508658,#416727,#416728); #344307=AXIS2_PLACEMENT_3D('',#508661,#416730,#416731); #344308=AXIS2_PLACEMENT_3D('',#508662,#416732,#416733); #344309=AXIS2_PLACEMENT_3D('',#508664,#416734,#416735); #344310=AXIS2_PLACEMENT_3D('',#508667,#416737,#416738); #344311=AXIS2_PLACEMENT_3D('',#508668,#416739,#416740); #344312=AXIS2_PLACEMENT_3D('',#508670,#416741,#416742); #344313=AXIS2_PLACEMENT_3D('',#508673,#416744,#416745); #344314=AXIS2_PLACEMENT_3D('',#508674,#416746,#416747); #344315=AXIS2_PLACEMENT_3D('',#508676,#416748,#416749); #344316=AXIS2_PLACEMENT_3D('',#508679,#416751,#416752); #344317=AXIS2_PLACEMENT_3D('',#508680,#416753,#416754); #344318=AXIS2_PLACEMENT_3D('',#508682,#416755,#416756); #344319=AXIS2_PLACEMENT_3D('',#508685,#416758,#416759); #344320=AXIS2_PLACEMENT_3D('',#508686,#416760,#416761); #344321=AXIS2_PLACEMENT_3D('',#508688,#416762,#416763); #344322=AXIS2_PLACEMENT_3D('',#508691,#416765,#416766); #344323=AXIS2_PLACEMENT_3D('',#508692,#416767,#416768); #344324=AXIS2_PLACEMENT_3D('',#508694,#416769,#416770); #344325=AXIS2_PLACEMENT_3D('',#508697,#416772,#416773); #344326=AXIS2_PLACEMENT_3D('',#508698,#416774,#416775); #344327=AXIS2_PLACEMENT_3D('',#508700,#416776,#416777); #344328=AXIS2_PLACEMENT_3D('',#508703,#416779,#416780); #344329=AXIS2_PLACEMENT_3D('',#508704,#416781,#416782); #344330=AXIS2_PLACEMENT_3D('',#508706,#416783,#416784); #344331=AXIS2_PLACEMENT_3D('',#508709,#416786,#416787); #344332=AXIS2_PLACEMENT_3D('',#508710,#416788,#416789); #344333=AXIS2_PLACEMENT_3D('',#508719,#416794,#416795); #344334=AXIS2_PLACEMENT_3D('',#508725,#416799,#416800); #344335=AXIS2_PLACEMENT_3D('',#508731,#416804,#416805); #344336=AXIS2_PLACEMENT_3D('',#508734,#416808,#416809); #344337=AXIS2_PLACEMENT_3D('',#508735,#416810,#416811); #344338=AXIS2_PLACEMENT_3D('',#508736,#416812,#416813); #344339=AXIS2_PLACEMENT_3D('',#508745,#416818,#416819); #344340=AXIS2_PLACEMENT_3D('',#508751,#416823,#416824); #344341=AXIS2_PLACEMENT_3D('',#508757,#416828,#416829); #344342=AXIS2_PLACEMENT_3D('',#508760,#416832,#416833); #344343=AXIS2_PLACEMENT_3D('',#508761,#416834,#416835); #344344=AXIS2_PLACEMENT_3D('',#508762,#416836,#416837); #344345=AXIS2_PLACEMENT_3D('',#508771,#416842,#416843); #344346=AXIS2_PLACEMENT_3D('',#508777,#416847,#416848); #344347=AXIS2_PLACEMENT_3D('',#508783,#416852,#416853); #344348=AXIS2_PLACEMENT_3D('',#508786,#416856,#416857); #344349=AXIS2_PLACEMENT_3D('',#508787,#416858,#416859); #344350=AXIS2_PLACEMENT_3D('',#508788,#416860,#416861); #344351=AXIS2_PLACEMENT_3D('',#508797,#416866,#416867); #344352=AXIS2_PLACEMENT_3D('',#508803,#416871,#416872); #344353=AXIS2_PLACEMENT_3D('',#508809,#416876,#416877); #344354=AXIS2_PLACEMENT_3D('',#508812,#416880,#416881); #344355=AXIS2_PLACEMENT_3D('',#508813,#416882,#416883); #344356=AXIS2_PLACEMENT_3D('',#508814,#416884,#416885); #344357=AXIS2_PLACEMENT_3D('',#508816,#416886,#416887); #344358=AXIS2_PLACEMENT_3D('',#508819,#416889,#416890); #344359=AXIS2_PLACEMENT_3D('',#508820,#416891,#416892); #344360=AXIS2_PLACEMENT_3D('',#508829,#416897,#416898); #344361=AXIS2_PLACEMENT_3D('',#508835,#416902,#416903); #344362=AXIS2_PLACEMENT_3D('',#508841,#416907,#416908); #344363=AXIS2_PLACEMENT_3D('',#508844,#416911,#416912); #344364=AXIS2_PLACEMENT_3D('',#508845,#416913,#416914); #344365=AXIS2_PLACEMENT_3D('',#508846,#416915,#416916); #344366=AXIS2_PLACEMENT_3D('',#508855,#416921,#416922); #344367=AXIS2_PLACEMENT_3D('',#508861,#416926,#416927); #344368=AXIS2_PLACEMENT_3D('',#508867,#416931,#416932); #344369=AXIS2_PLACEMENT_3D('',#508870,#416935,#416936); #344370=AXIS2_PLACEMENT_3D('',#508871,#416937,#416938); #344371=AXIS2_PLACEMENT_3D('',#508872,#416939,#416940); #344372=AXIS2_PLACEMENT_3D('',#508881,#416945,#416946); #344373=AXIS2_PLACEMENT_3D('',#508887,#416950,#416951); #344374=AXIS2_PLACEMENT_3D('',#508893,#416955,#416956); #344375=AXIS2_PLACEMENT_3D('',#508896,#416959,#416960); #344376=AXIS2_PLACEMENT_3D('',#508897,#416961,#416962); #344377=AXIS2_PLACEMENT_3D('',#508898,#416963,#416964); #344378=AXIS2_PLACEMENT_3D('',#508907,#416969,#416970); #344379=AXIS2_PLACEMENT_3D('',#508913,#416974,#416975); #344380=AXIS2_PLACEMENT_3D('',#508919,#416979,#416980); #344381=AXIS2_PLACEMENT_3D('',#508922,#416983,#416984); #344382=AXIS2_PLACEMENT_3D('',#508923,#416985,#416986); #344383=AXIS2_PLACEMENT_3D('',#508924,#416987,#416988); #344384=AXIS2_PLACEMENT_3D('',#508933,#416993,#416994); #344385=AXIS2_PLACEMENT_3D('',#508939,#416998,#416999); #344386=AXIS2_PLACEMENT_3D('',#508945,#417003,#417004); #344387=AXIS2_PLACEMENT_3D('',#508948,#417007,#417008); #344388=AXIS2_PLACEMENT_3D('',#508949,#417009,#417010); #344389=AXIS2_PLACEMENT_3D('',#508950,#417011,#417012); #344390=AXIS2_PLACEMENT_3D('',#508952,#417013,#417014); #344391=AXIS2_PLACEMENT_3D('',#508955,#417016,#417017); #344392=AXIS2_PLACEMENT_3D('',#508956,#417018,#417019); #344393=AXIS2_PLACEMENT_3D('',#508958,#417020,#417021); #344394=AXIS2_PLACEMENT_3D('',#508961,#417023,#417024); #344395=AXIS2_PLACEMENT_3D('',#508962,#417025,#417026); #344396=AXIS2_PLACEMENT_3D('',#508964,#417027,#417028); #344397=AXIS2_PLACEMENT_3D('',#508967,#417030,#417031); #344398=AXIS2_PLACEMENT_3D('',#508968,#417032,#417033); #344399=AXIS2_PLACEMENT_3D('',#508970,#417034,#417035); #344400=AXIS2_PLACEMENT_3D('',#508973,#417037,#417038); #344401=AXIS2_PLACEMENT_3D('',#508974,#417039,#417040); #344402=AXIS2_PLACEMENT_3D('',#508976,#417041,#417042); #344403=AXIS2_PLACEMENT_3D('',#508979,#417044,#417045); #344404=AXIS2_PLACEMENT_3D('',#508980,#417046,#417047); #344405=AXIS2_PLACEMENT_3D('',#508982,#417048,#417049); #344406=AXIS2_PLACEMENT_3D('',#508985,#417051,#417052); #344407=AXIS2_PLACEMENT_3D('',#508986,#417053,#417054); #344408=AXIS2_PLACEMENT_3D('',#508988,#417055,#417056); #344409=AXIS2_PLACEMENT_3D('',#508991,#417058,#417059); #344410=AXIS2_PLACEMENT_3D('',#508992,#417060,#417061); #344411=AXIS2_PLACEMENT_3D('',#508994,#417062,#417063); #344412=AXIS2_PLACEMENT_3D('',#508997,#417065,#417066); #344413=AXIS2_PLACEMENT_3D('',#508998,#417067,#417068); #344414=AXIS2_PLACEMENT_3D('',#509000,#417069,#417070); #344415=AXIS2_PLACEMENT_3D('',#509003,#417072,#417073); #344416=AXIS2_PLACEMENT_3D('',#509004,#417074,#417075); #344417=AXIS2_PLACEMENT_3D('',#509006,#417076,#417077); #344418=AXIS2_PLACEMENT_3D('',#509009,#417079,#417080); #344419=AXIS2_PLACEMENT_3D('',#509010,#417081,#417082); #344420=AXIS2_PLACEMENT_3D('',#509012,#417083,#417084); #344421=AXIS2_PLACEMENT_3D('',#509015,#417086,#417087); #344422=AXIS2_PLACEMENT_3D('',#509016,#417088,#417089); #344423=AXIS2_PLACEMENT_3D('',#509018,#417090,#417091); #344424=AXIS2_PLACEMENT_3D('',#509021,#417093,#417094); #344425=AXIS2_PLACEMENT_3D('',#509022,#417095,#417096); #344426=AXIS2_PLACEMENT_3D('',#509024,#417097,#417098); #344427=AXIS2_PLACEMENT_3D('',#509027,#417100,#417101); #344428=AXIS2_PLACEMENT_3D('',#509028,#417102,#417103); #344429=AXIS2_PLACEMENT_3D('',#509030,#417104,#417105); #344430=AXIS2_PLACEMENT_3D('',#509033,#417107,#417108); #344431=AXIS2_PLACEMENT_3D('',#509034,#417109,#417110); #344432=AXIS2_PLACEMENT_3D('',#509036,#417111,#417112); #344433=AXIS2_PLACEMENT_3D('',#509039,#417114,#417115); #344434=AXIS2_PLACEMENT_3D('',#509040,#417116,#417117); #344435=AXIS2_PLACEMENT_3D('',#509049,#417122,#417123); #344436=AXIS2_PLACEMENT_3D('',#509055,#417127,#417128); #344437=AXIS2_PLACEMENT_3D('',#509061,#417132,#417133); #344438=AXIS2_PLACEMENT_3D('',#509064,#417136,#417137); #344439=AXIS2_PLACEMENT_3D('',#509065,#417138,#417139); #344440=AXIS2_PLACEMENT_3D('',#509066,#417140,#417141); #344441=AXIS2_PLACEMENT_3D('',#509075,#417146,#417147); #344442=AXIS2_PLACEMENT_3D('',#509081,#417151,#417152); #344443=AXIS2_PLACEMENT_3D('',#509087,#417156,#417157); #344444=AXIS2_PLACEMENT_3D('',#509090,#417160,#417161); #344445=AXIS2_PLACEMENT_3D('',#509091,#417162,#417163); #344446=AXIS2_PLACEMENT_3D('',#509092,#417164,#417165); #344447=AXIS2_PLACEMENT_3D('',#509101,#417170,#417171); #344448=AXIS2_PLACEMENT_3D('',#509107,#417175,#417176); #344449=AXIS2_PLACEMENT_3D('',#509113,#417180,#417181); #344450=AXIS2_PLACEMENT_3D('',#509116,#417184,#417185); #344451=AXIS2_PLACEMENT_3D('',#509117,#417186,#417187); #344452=AXIS2_PLACEMENT_3D('',#509118,#417188,#417189); #344453=AXIS2_PLACEMENT_3D('',#509127,#417194,#417195); #344454=AXIS2_PLACEMENT_3D('',#509133,#417199,#417200); #344455=AXIS2_PLACEMENT_3D('',#509139,#417204,#417205); #344456=AXIS2_PLACEMENT_3D('',#509142,#417208,#417209); #344457=AXIS2_PLACEMENT_3D('',#509143,#417210,#417211); #344458=AXIS2_PLACEMENT_3D('',#509144,#417212,#417213); #344459=AXIS2_PLACEMENT_3D('',#509146,#417214,#417215); #344460=AXIS2_PLACEMENT_3D('',#509149,#417217,#417218); #344461=AXIS2_PLACEMENT_3D('',#509150,#417219,#417220); #344462=AXIS2_PLACEMENT_3D('',#509159,#417225,#417226); #344463=AXIS2_PLACEMENT_3D('',#509165,#417230,#417231); #344464=AXIS2_PLACEMENT_3D('',#509171,#417235,#417236); #344465=AXIS2_PLACEMENT_3D('',#509174,#417239,#417240); #344466=AXIS2_PLACEMENT_3D('',#509175,#417241,#417242); #344467=AXIS2_PLACEMENT_3D('',#509176,#417243,#417244); #344468=AXIS2_PLACEMENT_3D('',#509178,#417245,#417246); #344469=AXIS2_PLACEMENT_3D('',#509181,#417248,#417249); #344470=AXIS2_PLACEMENT_3D('',#509182,#417250,#417251); #344471=AXIS2_PLACEMENT_3D('',#509191,#417256,#417257); #344472=AXIS2_PLACEMENT_3D('',#509197,#417261,#417262); #344473=AXIS2_PLACEMENT_3D('',#509203,#417266,#417267); #344474=AXIS2_PLACEMENT_3D('',#509206,#417270,#417271); #344475=AXIS2_PLACEMENT_3D('',#509207,#417272,#417273); #344476=AXIS2_PLACEMENT_3D('',#509208,#417274,#417275); #344477=AXIS2_PLACEMENT_3D('',#509210,#417276,#417277); #344478=AXIS2_PLACEMENT_3D('',#509213,#417279,#417280); #344479=AXIS2_PLACEMENT_3D('',#509214,#417281,#417282); #344480=AXIS2_PLACEMENT_3D('',#509223,#417287,#417288); #344481=AXIS2_PLACEMENT_3D('',#509229,#417292,#417293); #344482=AXIS2_PLACEMENT_3D('',#509235,#417297,#417298); #344483=AXIS2_PLACEMENT_3D('',#509238,#417301,#417302); #344484=AXIS2_PLACEMENT_3D('',#509239,#417303,#417304); #344485=AXIS2_PLACEMENT_3D('',#509240,#417305,#417306); #344486=AXIS2_PLACEMENT_3D('',#509242,#417307,#417308); #344487=AXIS2_PLACEMENT_3D('',#509245,#417310,#417311); #344488=AXIS2_PLACEMENT_3D('',#509246,#417312,#417313); #344489=AXIS2_PLACEMENT_3D('',#509248,#417314,#417315); #344490=AXIS2_PLACEMENT_3D('',#509251,#417317,#417318); #344491=AXIS2_PLACEMENT_3D('',#509252,#417319,#417320); #344492=AXIS2_PLACEMENT_3D('',#509254,#417321,#417322); #344493=AXIS2_PLACEMENT_3D('',#509257,#417324,#417325); #344494=AXIS2_PLACEMENT_3D('',#509258,#417326,#417327); #344495=AXIS2_PLACEMENT_3D('',#509260,#417328,#417329); #344496=AXIS2_PLACEMENT_3D('',#509263,#417331,#417332); #344497=AXIS2_PLACEMENT_3D('',#509264,#417333,#417334); #344498=AXIS2_PLACEMENT_3D('',#509266,#417335,#417336); #344499=AXIS2_PLACEMENT_3D('',#509269,#417338,#417339); #344500=AXIS2_PLACEMENT_3D('',#509270,#417340,#417341); #344501=AXIS2_PLACEMENT_3D('',#509272,#417342,#417343); #344502=AXIS2_PLACEMENT_3D('',#509275,#417345,#417346); #344503=AXIS2_PLACEMENT_3D('',#509276,#417347,#417348); #344504=AXIS2_PLACEMENT_3D('',#509278,#417349,#417350); #344505=AXIS2_PLACEMENT_3D('',#509281,#417352,#417353); #344506=AXIS2_PLACEMENT_3D('',#509282,#417354,#417355); #344507=AXIS2_PLACEMENT_3D('',#509284,#417356,#417357); #344508=AXIS2_PLACEMENT_3D('',#509287,#417359,#417360); #344509=AXIS2_PLACEMENT_3D('',#509288,#417361,#417362); #344510=AXIS2_PLACEMENT_3D('',#509290,#417363,#417364); #344511=AXIS2_PLACEMENT_3D('',#509293,#417366,#417367); #344512=AXIS2_PLACEMENT_3D('',#509294,#417368,#417369); #344513=AXIS2_PLACEMENT_3D('',#509296,#417370,#417371); #344514=AXIS2_PLACEMENT_3D('',#509299,#417373,#417374); #344515=AXIS2_PLACEMENT_3D('',#509300,#417375,#417376); #344516=AXIS2_PLACEMENT_3D('',#509302,#417377,#417378); #344517=AXIS2_PLACEMENT_3D('',#509305,#417380,#417381); #344518=AXIS2_PLACEMENT_3D('',#509306,#417382,#417383); #344519=AXIS2_PLACEMENT_3D('',#509308,#417384,#417385); #344520=AXIS2_PLACEMENT_3D('',#509311,#417387,#417388); #344521=AXIS2_PLACEMENT_3D('',#509312,#417389,#417390); #344522=AXIS2_PLACEMENT_3D('',#509314,#417391,#417392); #344523=AXIS2_PLACEMENT_3D('',#509317,#417394,#417395); #344524=AXIS2_PLACEMENT_3D('',#509318,#417396,#417397); #344525=AXIS2_PLACEMENT_3D('',#509320,#417398,#417399); #344526=AXIS2_PLACEMENT_3D('',#509323,#417401,#417402); #344527=AXIS2_PLACEMENT_3D('',#509324,#417403,#417404); #344528=AXIS2_PLACEMENT_3D('',#509326,#417405,#417406); #344529=AXIS2_PLACEMENT_3D('',#509329,#417408,#417409); #344530=AXIS2_PLACEMENT_3D('',#509330,#417410,#417411); #344531=AXIS2_PLACEMENT_3D('',#509339,#417416,#417417); #344532=AXIS2_PLACEMENT_3D('',#509345,#417421,#417422); #344533=AXIS2_PLACEMENT_3D('',#509351,#417426,#417427); #344534=AXIS2_PLACEMENT_3D('',#509354,#417430,#417431); #344535=AXIS2_PLACEMENT_3D('',#509355,#417432,#417433); #344536=AXIS2_PLACEMENT_3D('',#509356,#417434,#417435); #344537=AXIS2_PLACEMENT_3D('',#509365,#417440,#417441); #344538=AXIS2_PLACEMENT_3D('',#509371,#417445,#417446); #344539=AXIS2_PLACEMENT_3D('',#509377,#417450,#417451); #344540=AXIS2_PLACEMENT_3D('',#509380,#417454,#417455); #344541=AXIS2_PLACEMENT_3D('',#509381,#417456,#417457); #344542=AXIS2_PLACEMENT_3D('',#509382,#417458,#417459); #344543=AXIS2_PLACEMENT_3D('',#509391,#417464,#417465); #344544=AXIS2_PLACEMENT_3D('',#509397,#417469,#417470); #344545=AXIS2_PLACEMENT_3D('',#509403,#417474,#417475); #344546=AXIS2_PLACEMENT_3D('',#509406,#417478,#417479); #344547=AXIS2_PLACEMENT_3D('',#509407,#417480,#417481); #344548=AXIS2_PLACEMENT_3D('',#509408,#417482,#417483); #344549=AXIS2_PLACEMENT_3D('',#509417,#417488,#417489); #344550=AXIS2_PLACEMENT_3D('',#509423,#417493,#417494); #344551=AXIS2_PLACEMENT_3D('',#509429,#417498,#417499); #344552=AXIS2_PLACEMENT_3D('',#509432,#417502,#417503); #344553=AXIS2_PLACEMENT_3D('',#509433,#417504,#417505); #344554=AXIS2_PLACEMENT_3D('',#509434,#417506,#417507); #344555=AXIS2_PLACEMENT_3D('',#509443,#417512,#417513); #344556=AXIS2_PLACEMENT_3D('',#509449,#417517,#417518); #344557=AXIS2_PLACEMENT_3D('',#509455,#417522,#417523); #344558=AXIS2_PLACEMENT_3D('',#509458,#417526,#417527); #344559=AXIS2_PLACEMENT_3D('',#509459,#417528,#417529); #344560=AXIS2_PLACEMENT_3D('',#509460,#417530,#417531); #344561=AXIS2_PLACEMENT_3D('',#509469,#417536,#417537); #344562=AXIS2_PLACEMENT_3D('',#509475,#417541,#417542); #344563=AXIS2_PLACEMENT_3D('',#509481,#417546,#417547); #344564=AXIS2_PLACEMENT_3D('',#509484,#417550,#417551); #344565=AXIS2_PLACEMENT_3D('',#509485,#417552,#417553); #344566=AXIS2_PLACEMENT_3D('',#509486,#417554,#417555); #344567=AXIS2_PLACEMENT_3D('',#509495,#417560,#417561); #344568=AXIS2_PLACEMENT_3D('',#509501,#417565,#417566); #344569=AXIS2_PLACEMENT_3D('',#509507,#417570,#417571); #344570=AXIS2_PLACEMENT_3D('',#509510,#417574,#417575); #344571=AXIS2_PLACEMENT_3D('',#509511,#417576,#417577); #344572=AXIS2_PLACEMENT_3D('',#509512,#417578,#417579); #344573=AXIS2_PLACEMENT_3D('',#509521,#417584,#417585); #344574=AXIS2_PLACEMENT_3D('',#509527,#417589,#417590); #344575=AXIS2_PLACEMENT_3D('',#509533,#417594,#417595); #344576=AXIS2_PLACEMENT_3D('',#509536,#417598,#417599); #344577=AXIS2_PLACEMENT_3D('',#509537,#417600,#417601); #344578=AXIS2_PLACEMENT_3D('',#509538,#417602,#417603); #344579=AXIS2_PLACEMENT_3D('',#509547,#417608,#417609); #344580=AXIS2_PLACEMENT_3D('',#509553,#417613,#417614); #344581=AXIS2_PLACEMENT_3D('',#509559,#417618,#417619); #344582=AXIS2_PLACEMENT_3D('',#509562,#417622,#417623); #344583=AXIS2_PLACEMENT_3D('',#509563,#417624,#417625); #344584=AXIS2_PLACEMENT_3D('',#509564,#417626,#417627); #344585=AXIS2_PLACEMENT_3D('',#509573,#417632,#417633); #344586=AXIS2_PLACEMENT_3D('',#509579,#417637,#417638); #344587=AXIS2_PLACEMENT_3D('',#509585,#417642,#417643); #344588=AXIS2_PLACEMENT_3D('',#509588,#417646,#417647); #344589=AXIS2_PLACEMENT_3D('',#509589,#417648,#417649); #344590=AXIS2_PLACEMENT_3D('',#509590,#417650,#417651); #344591=AXIS2_PLACEMENT_3D('',#509599,#417656,#417657); #344592=AXIS2_PLACEMENT_3D('',#509605,#417661,#417662); #344593=AXIS2_PLACEMENT_3D('',#509611,#417666,#417667); #344594=AXIS2_PLACEMENT_3D('',#509614,#417670,#417671); #344595=AXIS2_PLACEMENT_3D('',#509615,#417672,#417673); #344596=AXIS2_PLACEMENT_3D('',#509616,#417674,#417675); #344597=AXIS2_PLACEMENT_3D('',#509625,#417680,#417681); #344598=AXIS2_PLACEMENT_3D('',#509631,#417685,#417686); #344599=AXIS2_PLACEMENT_3D('',#509637,#417690,#417691); #344600=AXIS2_PLACEMENT_3D('',#509640,#417694,#417695); #344601=AXIS2_PLACEMENT_3D('',#509641,#417696,#417697); #344602=AXIS2_PLACEMENT_3D('',#509642,#417698,#417699); #344603=AXIS2_PLACEMENT_3D('',#509651,#417704,#417705); #344604=AXIS2_PLACEMENT_3D('',#509657,#417709,#417710); #344605=AXIS2_PLACEMENT_3D('',#509663,#417714,#417715); #344606=AXIS2_PLACEMENT_3D('',#509666,#417718,#417719); #344607=AXIS2_PLACEMENT_3D('',#509667,#417720,#417721); #344608=AXIS2_PLACEMENT_3D('',#509668,#417722,#417723); #344609=AXIS2_PLACEMENT_3D('',#509677,#417728,#417729); #344610=AXIS2_PLACEMENT_3D('',#509683,#417733,#417734); #344611=AXIS2_PLACEMENT_3D('',#509689,#417738,#417739); #344612=AXIS2_PLACEMENT_3D('',#509692,#417742,#417743); #344613=AXIS2_PLACEMENT_3D('',#509693,#417744,#417745); #344614=AXIS2_PLACEMENT_3D('',#509694,#417746,#417747); #344615=AXIS2_PLACEMENT_3D('',#509703,#417752,#417753); #344616=AXIS2_PLACEMENT_3D('',#509709,#417757,#417758); #344617=AXIS2_PLACEMENT_3D('',#509715,#417762,#417763); #344618=AXIS2_PLACEMENT_3D('',#509718,#417766,#417767); #344619=AXIS2_PLACEMENT_3D('',#509719,#417768,#417769); #344620=AXIS2_PLACEMENT_3D('',#509720,#417770,#417771); #344621=AXIS2_PLACEMENT_3D('',#509729,#417776,#417777); #344622=AXIS2_PLACEMENT_3D('',#509735,#417781,#417782); #344623=AXIS2_PLACEMENT_3D('',#509741,#417786,#417787); #344624=AXIS2_PLACEMENT_3D('',#509744,#417790,#417791); #344625=AXIS2_PLACEMENT_3D('',#509745,#417792,#417793); #344626=AXIS2_PLACEMENT_3D('',#509746,#417794,#417795); #344627=AXIS2_PLACEMENT_3D('',#509755,#417800,#417801); #344628=AXIS2_PLACEMENT_3D('',#509761,#417805,#417806); #344629=AXIS2_PLACEMENT_3D('',#509767,#417810,#417811); #344630=AXIS2_PLACEMENT_3D('',#509770,#417814,#417815); #344631=AXIS2_PLACEMENT_3D('',#509771,#417816,#417817); #344632=AXIS2_PLACEMENT_3D('',#509772,#417818,#417819); #344633=AXIS2_PLACEMENT_3D('',#509781,#417824,#417825); #344634=AXIS2_PLACEMENT_3D('',#509787,#417829,#417830); #344635=AXIS2_PLACEMENT_3D('',#509793,#417834,#417835); #344636=AXIS2_PLACEMENT_3D('',#509796,#417838,#417839); #344637=AXIS2_PLACEMENT_3D('',#509797,#417840,#417841); #344638=AXIS2_PLACEMENT_3D('',#509798,#417842,#417843); #344639=AXIS2_PLACEMENT_3D('',#509807,#417848,#417849); #344640=AXIS2_PLACEMENT_3D('',#509813,#417853,#417854); #344641=AXIS2_PLACEMENT_3D('',#509819,#417858,#417859); #344642=AXIS2_PLACEMENT_3D('',#509822,#417862,#417863); #344643=AXIS2_PLACEMENT_3D('',#509823,#417864,#417865); #344644=AXIS2_PLACEMENT_3D('',#509824,#417866,#417867); #344645=AXIS2_PLACEMENT_3D('',#509833,#417872,#417873); #344646=AXIS2_PLACEMENT_3D('',#509839,#417877,#417878); #344647=AXIS2_PLACEMENT_3D('',#509845,#417882,#417883); #344648=AXIS2_PLACEMENT_3D('',#509848,#417886,#417887); #344649=AXIS2_PLACEMENT_3D('',#509849,#417888,#417889); #344650=AXIS2_PLACEMENT_3D('',#509850,#417890,#417891); #344651=AXIS2_PLACEMENT_3D('',#509859,#417896,#417897); #344652=AXIS2_PLACEMENT_3D('',#509865,#417901,#417902); #344653=AXIS2_PLACEMENT_3D('',#509871,#417906,#417907); #344654=AXIS2_PLACEMENT_3D('',#509874,#417910,#417911); #344655=AXIS2_PLACEMENT_3D('',#509875,#417912,#417913); #344656=AXIS2_PLACEMENT_3D('',#509876,#417914,#417915); #344657=AXIS2_PLACEMENT_3D('',#509878,#417916,#417917); #344658=AXIS2_PLACEMENT_3D('',#509881,#417919,#417920); #344659=AXIS2_PLACEMENT_3D('',#509882,#417921,#417922); #344660=AXIS2_PLACEMENT_3D('',#509884,#417923,#417924); #344661=AXIS2_PLACEMENT_3D('',#509887,#417926,#417927); #344662=AXIS2_PLACEMENT_3D('',#509888,#417928,#417929); #344663=AXIS2_PLACEMENT_3D('',#509890,#417930,#417931); #344664=AXIS2_PLACEMENT_3D('',#509893,#417933,#417934); #344665=AXIS2_PLACEMENT_3D('',#509894,#417935,#417936); #344666=AXIS2_PLACEMENT_3D('',#509896,#417937,#417938); #344667=AXIS2_PLACEMENT_3D('',#509899,#417940,#417941); #344668=AXIS2_PLACEMENT_3D('',#509900,#417942,#417943); #344669=AXIS2_PLACEMENT_3D('',#509902,#417944,#417945); #344670=AXIS2_PLACEMENT_3D('',#509905,#417947,#417948); #344671=AXIS2_PLACEMENT_3D('',#509906,#417949,#417950); #344672=AXIS2_PLACEMENT_3D('',#509908,#417951,#417952); #344673=AXIS2_PLACEMENT_3D('',#509911,#417954,#417955); #344674=AXIS2_PLACEMENT_3D('',#509912,#417956,#417957); #344675=AXIS2_PLACEMENT_3D('',#509914,#417958,#417959); #344676=AXIS2_PLACEMENT_3D('',#509917,#417961,#417962); #344677=AXIS2_PLACEMENT_3D('',#509918,#417963,#417964); #344678=AXIS2_PLACEMENT_3D('',#509920,#417965,#417966); #344679=AXIS2_PLACEMENT_3D('',#509923,#417968,#417969); #344680=AXIS2_PLACEMENT_3D('',#509924,#417970,#417971); #344681=AXIS2_PLACEMENT_3D('',#509926,#417972,#417973); #344682=AXIS2_PLACEMENT_3D('',#509929,#417975,#417976); #344683=AXIS2_PLACEMENT_3D('',#509930,#417977,#417978); #344684=AXIS2_PLACEMENT_3D('',#509932,#417979,#417980); #344685=AXIS2_PLACEMENT_3D('',#509935,#417982,#417983); #344686=AXIS2_PLACEMENT_3D('',#509936,#417984,#417985); #344687=AXIS2_PLACEMENT_3D('',#509938,#417986,#417987); #344688=AXIS2_PLACEMENT_3D('',#509941,#417989,#417990); #344689=AXIS2_PLACEMENT_3D('',#509942,#417991,#417992); #344690=AXIS2_PLACEMENT_3D('',#509944,#417993,#417994); #344691=AXIS2_PLACEMENT_3D('',#509947,#417996,#417997); #344692=AXIS2_PLACEMENT_3D('',#509948,#417998,#417999); #344693=AXIS2_PLACEMENT_3D('',#509950,#418000,#418001); #344694=AXIS2_PLACEMENT_3D('',#509953,#418003,#418004); #344695=AXIS2_PLACEMENT_3D('',#509954,#418005,#418006); #344696=AXIS2_PLACEMENT_3D('',#509956,#418007,#418008); #344697=AXIS2_PLACEMENT_3D('',#509959,#418010,#418011); #344698=AXIS2_PLACEMENT_3D('',#509960,#418012,#418013); #344699=AXIS2_PLACEMENT_3D('',#509962,#418014,#418015); #344700=AXIS2_PLACEMENT_3D('',#509965,#418017,#418018); #344701=AXIS2_PLACEMENT_3D('',#509966,#418019,#418020); #344702=AXIS2_PLACEMENT_3D('',#509975,#418025,#418026); #344703=AXIS2_PLACEMENT_3D('',#509981,#418030,#418031); #344704=AXIS2_PLACEMENT_3D('',#509987,#418035,#418036); #344705=AXIS2_PLACEMENT_3D('',#509990,#418039,#418040); #344706=AXIS2_PLACEMENT_3D('',#509991,#418041,#418042); #344707=AXIS2_PLACEMENT_3D('',#509992,#418043,#418044); #344708=AXIS2_PLACEMENT_3D('',#510001,#418049,#418050); #344709=AXIS2_PLACEMENT_3D('',#510007,#418054,#418055); #344710=AXIS2_PLACEMENT_3D('',#510013,#418059,#418060); #344711=AXIS2_PLACEMENT_3D('',#510016,#418063,#418064); #344712=AXIS2_PLACEMENT_3D('',#510017,#418065,#418066); #344713=AXIS2_PLACEMENT_3D('',#510018,#418067,#418068); #344714=AXIS2_PLACEMENT_3D('',#510027,#418073,#418074); #344715=AXIS2_PLACEMENT_3D('',#510033,#418078,#418079); #344716=AXIS2_PLACEMENT_3D('',#510039,#418083,#418084); #344717=AXIS2_PLACEMENT_3D('',#510042,#418087,#418088); #344718=AXIS2_PLACEMENT_3D('',#510043,#418089,#418090); #344719=AXIS2_PLACEMENT_3D('',#510044,#418091,#418092); #344720=AXIS2_PLACEMENT_3D('',#510053,#418097,#418098); #344721=AXIS2_PLACEMENT_3D('',#510059,#418102,#418103); #344722=AXIS2_PLACEMENT_3D('',#510065,#418107,#418108); #344723=AXIS2_PLACEMENT_3D('',#510068,#418111,#418112); #344724=AXIS2_PLACEMENT_3D('',#510069,#418113,#418114); #344725=AXIS2_PLACEMENT_3D('',#510070,#418115,#418116); #344726=AXIS2_PLACEMENT_3D('',#510079,#418121,#418122); #344727=AXIS2_PLACEMENT_3D('',#510085,#418126,#418127); #344728=AXIS2_PLACEMENT_3D('',#510091,#418131,#418132); #344729=AXIS2_PLACEMENT_3D('',#510094,#418135,#418136); #344730=AXIS2_PLACEMENT_3D('',#510095,#418137,#418138); #344731=AXIS2_PLACEMENT_3D('',#510096,#418139,#418140); #344732=AXIS2_PLACEMENT_3D('',#510105,#418145,#418146); #344733=AXIS2_PLACEMENT_3D('',#510111,#418150,#418151); #344734=AXIS2_PLACEMENT_3D('',#510117,#418155,#418156); #344735=AXIS2_PLACEMENT_3D('',#510120,#418159,#418160); #344736=AXIS2_PLACEMENT_3D('',#510121,#418161,#418162); #344737=AXIS2_PLACEMENT_3D('',#510122,#418163,#418164); #344738=AXIS2_PLACEMENT_3D('',#510131,#418169,#418170); #344739=AXIS2_PLACEMENT_3D('',#510137,#418174,#418175); #344740=AXIS2_PLACEMENT_3D('',#510143,#418179,#418180); #344741=AXIS2_PLACEMENT_3D('',#510146,#418183,#418184); #344742=AXIS2_PLACEMENT_3D('',#510147,#418185,#418186); #344743=AXIS2_PLACEMENT_3D('',#510148,#418187,#418188); #344744=AXIS2_PLACEMENT_3D('',#510150,#418189,#418190); #344745=AXIS2_PLACEMENT_3D('',#510153,#418192,#418193); #344746=AXIS2_PLACEMENT_3D('',#510154,#418194,#418195); #344747=AXIS2_PLACEMENT_3D('',#510163,#418200,#418201); #344748=AXIS2_PLACEMENT_3D('',#510169,#418205,#418206); #344749=AXIS2_PLACEMENT_3D('',#510175,#418210,#418211); #344750=AXIS2_PLACEMENT_3D('',#510178,#418214,#418215); #344751=AXIS2_PLACEMENT_3D('',#510179,#418216,#418217); #344752=AXIS2_PLACEMENT_3D('',#510180,#418218,#418219); #344753=AXIS2_PLACEMENT_3D('',#510182,#418220,#418221); #344754=AXIS2_PLACEMENT_3D('',#510185,#418223,#418224); #344755=AXIS2_PLACEMENT_3D('',#510186,#418225,#418226); #344756=AXIS2_PLACEMENT_3D('',#510188,#418227,#418228); #344757=AXIS2_PLACEMENT_3D('',#510191,#418230,#418231); #344758=AXIS2_PLACEMENT_3D('',#510192,#418232,#418233); #344759=AXIS2_PLACEMENT_3D('',#510201,#418238,#418239); #344760=AXIS2_PLACEMENT_3D('',#510207,#418243,#418244); #344761=AXIS2_PLACEMENT_3D('',#510213,#418248,#418249); #344762=AXIS2_PLACEMENT_3D('',#510216,#418252,#418253); #344763=AXIS2_PLACEMENT_3D('',#510217,#418254,#418255); #344764=AXIS2_PLACEMENT_3D('',#510218,#418256,#418257); #344765=AXIS2_PLACEMENT_3D('',#510220,#418258,#418259); #344766=AXIS2_PLACEMENT_3D('',#510223,#418261,#418262); #344767=AXIS2_PLACEMENT_3D('',#510224,#418263,#418264); #344768=AXIS2_PLACEMENT_3D('',#510226,#418265,#418266); #344769=AXIS2_PLACEMENT_3D('',#510229,#418268,#418269); #344770=AXIS2_PLACEMENT_3D('',#510230,#418270,#418271); #344771=AXIS2_PLACEMENT_3D('',#510239,#418276,#418277); #344772=AXIS2_PLACEMENT_3D('',#510245,#418281,#418282); #344773=AXIS2_PLACEMENT_3D('',#510251,#418286,#418287); #344774=AXIS2_PLACEMENT_3D('',#510254,#418290,#418291); #344775=AXIS2_PLACEMENT_3D('',#510255,#418292,#418293); #344776=AXIS2_PLACEMENT_3D('',#510256,#418294,#418295); #344777=AXIS2_PLACEMENT_3D('',#510258,#418296,#418297); #344778=AXIS2_PLACEMENT_3D('',#510261,#418299,#418300); #344779=AXIS2_PLACEMENT_3D('',#510262,#418301,#418302); #344780=AXIS2_PLACEMENT_3D('',#510271,#418307,#418308); #344781=AXIS2_PLACEMENT_3D('',#510277,#418312,#418313); #344782=AXIS2_PLACEMENT_3D('',#510283,#418317,#418318); #344783=AXIS2_PLACEMENT_3D('',#510286,#418321,#418322); #344784=AXIS2_PLACEMENT_3D('',#510287,#418323,#418324); #344785=AXIS2_PLACEMENT_3D('',#510288,#418325,#418326); #344786=AXIS2_PLACEMENT_3D('',#510289,#418327,#418328); #344787=AXIS2_PLACEMENT_3D('',#510291,#418329,#418330); #344788=AXIS2_PLACEMENT_3D('',#510294,#418332,#418333); #344789=AXIS2_PLACEMENT_3D('',#510295,#418334,#418335); #344790=AXIS2_PLACEMENT_3D('',#510297,#418336,#418337); #344791=AXIS2_PLACEMENT_3D('',#510300,#418339,#418340); #344792=AXIS2_PLACEMENT_3D('',#510301,#418341,#418342); #344793=AXIS2_PLACEMENT_3D('',#510303,#418343,#418344); #344794=AXIS2_PLACEMENT_3D('',#510306,#418346,#418347); #344795=AXIS2_PLACEMENT_3D('',#510307,#418348,#418349); #344796=AXIS2_PLACEMENT_3D('',#510309,#418350,#418351); #344797=AXIS2_PLACEMENT_3D('',#510312,#418353,#418354); #344798=AXIS2_PLACEMENT_3D('',#510313,#418355,#418356); #344799=AXIS2_PLACEMENT_3D('',#510315,#418357,#418358); #344800=AXIS2_PLACEMENT_3D('',#510318,#418360,#418361); #344801=AXIS2_PLACEMENT_3D('',#510319,#418362,#418363); #344802=AXIS2_PLACEMENT_3D('',#510321,#418364,#418365); #344803=AXIS2_PLACEMENT_3D('',#510324,#418367,#418368); #344804=AXIS2_PLACEMENT_3D('',#510325,#418369,#418370); #344805=AXIS2_PLACEMENT_3D('',#510327,#418371,#418372); #344806=AXIS2_PLACEMENT_3D('',#510330,#418374,#418375); #344807=AXIS2_PLACEMENT_3D('',#510331,#418376,#418377); #344808=AXIS2_PLACEMENT_3D('',#510333,#418378,#418379); #344809=AXIS2_PLACEMENT_3D('',#510336,#418381,#418382); #344810=AXIS2_PLACEMENT_3D('',#510337,#418383,#418384); #344811=AXIS2_PLACEMENT_3D('',#510339,#418385,#418386); #344812=AXIS2_PLACEMENT_3D('',#510342,#418388,#418389); #344813=AXIS2_PLACEMENT_3D('',#510343,#418390,#418391); #344814=AXIS2_PLACEMENT_3D('',#510345,#418392,#418393); #344815=AXIS2_PLACEMENT_3D('',#510348,#418395,#418396); #344816=AXIS2_PLACEMENT_3D('',#510349,#418397,#418398); #344817=AXIS2_PLACEMENT_3D('',#510351,#418399,#418400); #344818=AXIS2_PLACEMENT_3D('',#510354,#418402,#418403); #344819=AXIS2_PLACEMENT_3D('',#510355,#418404,#418405); #344820=AXIS2_PLACEMENT_3D('',#510357,#418406,#418407); #344821=AXIS2_PLACEMENT_3D('',#510360,#418409,#418410); #344822=AXIS2_PLACEMENT_3D('',#510361,#418411,#418412); #344823=AXIS2_PLACEMENT_3D('',#510363,#418413,#418414); #344824=AXIS2_PLACEMENT_3D('',#510366,#418416,#418417); #344825=AXIS2_PLACEMENT_3D('',#510367,#418418,#418419); #344826=AXIS2_PLACEMENT_3D('',#510369,#418420,#418421); #344827=AXIS2_PLACEMENT_3D('',#510372,#418423,#418424); #344828=AXIS2_PLACEMENT_3D('',#510373,#418425,#418426); #344829=AXIS2_PLACEMENT_3D('',#510375,#418427,#418428); #344830=AXIS2_PLACEMENT_3D('',#510378,#418430,#418431); #344831=AXIS2_PLACEMENT_3D('',#510379,#418432,#418433); #344832=AXIS2_PLACEMENT_3D('',#510381,#418434,#418435); #344833=AXIS2_PLACEMENT_3D('',#510384,#418437,#418438); #344834=AXIS2_PLACEMENT_3D('',#510385,#418439,#418440); #344835=AXIS2_PLACEMENT_3D('',#510387,#418441,#418442); #344836=AXIS2_PLACEMENT_3D('',#510390,#418444,#418445); #344837=AXIS2_PLACEMENT_3D('',#510391,#418446,#418447); #344838=AXIS2_PLACEMENT_3D('',#510393,#418448,#418449); #344839=AXIS2_PLACEMENT_3D('',#510396,#418451,#418452); #344840=AXIS2_PLACEMENT_3D('',#510397,#418453,#418454); #344841=AXIS2_PLACEMENT_3D('',#510399,#418455,#418456); #344842=AXIS2_PLACEMENT_3D('',#510402,#418458,#418459); #344843=AXIS2_PLACEMENT_3D('',#510403,#418460,#418461); #344844=AXIS2_PLACEMENT_3D('',#510405,#418462,#418463); #344845=AXIS2_PLACEMENT_3D('',#510408,#418465,#418466); #344846=AXIS2_PLACEMENT_3D('',#510409,#418467,#418468); #344847=AXIS2_PLACEMENT_3D('',#510411,#418469,#418470); #344848=AXIS2_PLACEMENT_3D('',#510414,#418472,#418473); #344849=AXIS2_PLACEMENT_3D('',#510415,#418474,#418475); #344850=AXIS2_PLACEMENT_3D('',#510417,#418476,#418477); #344851=AXIS2_PLACEMENT_3D('',#510420,#418479,#418480); #344852=AXIS2_PLACEMENT_3D('',#510421,#418481,#418482); #344853=AXIS2_PLACEMENT_3D('',#510423,#418483,#418484); #344854=AXIS2_PLACEMENT_3D('',#510426,#418486,#418487); #344855=AXIS2_PLACEMENT_3D('',#510427,#418488,#418489); #344856=AXIS2_PLACEMENT_3D('',#510429,#418490,#418491); #344857=AXIS2_PLACEMENT_3D('',#510432,#418493,#418494); #344858=AXIS2_PLACEMENT_3D('',#510433,#418495,#418496); #344859=AXIS2_PLACEMENT_3D('',#510435,#418497,#418498); #344860=AXIS2_PLACEMENT_3D('',#510438,#418500,#418501); #344861=AXIS2_PLACEMENT_3D('',#510439,#418502,#418503); #344862=AXIS2_PLACEMENT_3D('',#510441,#418504,#418505); #344863=AXIS2_PLACEMENT_3D('',#510444,#418507,#418508); #344864=AXIS2_PLACEMENT_3D('',#510445,#418509,#418510); #344865=AXIS2_PLACEMENT_3D('',#510447,#418511,#418512); #344866=AXIS2_PLACEMENT_3D('',#510450,#418514,#418515); #344867=AXIS2_PLACEMENT_3D('',#510451,#418516,#418517); #344868=AXIS2_PLACEMENT_3D('',#510453,#418518,#418519); #344869=AXIS2_PLACEMENT_3D('',#510456,#418521,#418522); #344870=AXIS2_PLACEMENT_3D('',#510457,#418523,#418524); #344871=AXIS2_PLACEMENT_3D('',#510459,#418525,#418526); #344872=AXIS2_PLACEMENT_3D('',#510462,#418528,#418529); #344873=AXIS2_PLACEMENT_3D('',#510463,#418530,#418531); #344874=AXIS2_PLACEMENT_3D('',#510465,#418532,#418533); #344875=AXIS2_PLACEMENT_3D('',#510468,#418535,#418536); #344876=AXIS2_PLACEMENT_3D('',#510469,#418537,#418538); #344877=AXIS2_PLACEMENT_3D('',#510471,#418539,#418540); #344878=AXIS2_PLACEMENT_3D('',#510474,#418542,#418543); #344879=AXIS2_PLACEMENT_3D('',#510475,#418544,#418545); #344880=AXIS2_PLACEMENT_3D('',#510477,#418546,#418547); #344881=AXIS2_PLACEMENT_3D('',#510480,#418549,#418550); #344882=AXIS2_PLACEMENT_3D('',#510481,#418551,#418552); #344883=AXIS2_PLACEMENT_3D('',#510483,#418553,#418554); #344884=AXIS2_PLACEMENT_3D('',#510486,#418556,#418557); #344885=AXIS2_PLACEMENT_3D('',#510487,#418558,#418559); #344886=AXIS2_PLACEMENT_3D('',#510489,#418560,#418561); #344887=AXIS2_PLACEMENT_3D('',#510492,#418563,#418564); #344888=AXIS2_PLACEMENT_3D('',#510493,#418565,#418566); #344889=AXIS2_PLACEMENT_3D('',#510495,#418567,#418568); #344890=AXIS2_PLACEMENT_3D('',#510498,#418570,#418571); #344891=AXIS2_PLACEMENT_3D('',#510499,#418572,#418573); #344892=AXIS2_PLACEMENT_3D('',#510501,#418574,#418575); #344893=AXIS2_PLACEMENT_3D('',#510504,#418577,#418578); #344894=AXIS2_PLACEMENT_3D('',#510505,#418579,#418580); #344895=AXIS2_PLACEMENT_3D('',#510507,#418581,#418582); #344896=AXIS2_PLACEMENT_3D('',#510510,#418584,#418585); #344897=AXIS2_PLACEMENT_3D('',#510511,#418586,#418587); #344898=AXIS2_PLACEMENT_3D('',#510513,#418588,#418589); #344899=AXIS2_PLACEMENT_3D('',#510516,#418591,#418592); #344900=AXIS2_PLACEMENT_3D('',#510517,#418593,#418594); #344901=AXIS2_PLACEMENT_3D('',#510519,#418595,#418596); #344902=AXIS2_PLACEMENT_3D('',#510522,#418598,#418599); #344903=AXIS2_PLACEMENT_3D('',#510523,#418600,#418601); #344904=AXIS2_PLACEMENT_3D('',#510525,#418602,#418603); #344905=AXIS2_PLACEMENT_3D('',#510528,#418605,#418606); #344906=AXIS2_PLACEMENT_3D('',#510529,#418607,#418608); #344907=AXIS2_PLACEMENT_3D('',#510531,#418609,#418610); #344908=AXIS2_PLACEMENT_3D('',#510534,#418612,#418613); #344909=AXIS2_PLACEMENT_3D('',#510535,#418614,#418615); #344910=AXIS2_PLACEMENT_3D('',#510537,#418616,#418617); #344911=AXIS2_PLACEMENT_3D('',#510540,#418619,#418620); #344912=AXIS2_PLACEMENT_3D('',#510541,#418621,#418622); #344913=AXIS2_PLACEMENT_3D('',#510543,#418623,#418624); #344914=AXIS2_PLACEMENT_3D('',#510546,#418626,#418627); #344915=AXIS2_PLACEMENT_3D('',#510547,#418628,#418629); #344916=AXIS2_PLACEMENT_3D('',#510549,#418630,#418631); #344917=AXIS2_PLACEMENT_3D('',#510552,#418633,#418634); #344918=AXIS2_PLACEMENT_3D('',#510553,#418635,#418636); #344919=AXIS2_PLACEMENT_3D('',#510555,#418637,#418638); #344920=AXIS2_PLACEMENT_3D('',#510558,#418640,#418641); #344921=AXIS2_PLACEMENT_3D('',#510559,#418642,#418643); #344922=AXIS2_PLACEMENT_3D('',#510561,#418644,#418645); #344923=AXIS2_PLACEMENT_3D('',#510564,#418647,#418648); #344924=AXIS2_PLACEMENT_3D('',#510565,#418649,#418650); #344925=AXIS2_PLACEMENT_3D('',#510567,#418651,#418652); #344926=AXIS2_PLACEMENT_3D('',#510570,#418654,#418655); #344927=AXIS2_PLACEMENT_3D('',#510571,#418656,#418657); #344928=AXIS2_PLACEMENT_3D('',#510573,#418658,#418659); #344929=AXIS2_PLACEMENT_3D('',#510576,#418661,#418662); #344930=AXIS2_PLACEMENT_3D('',#510577,#418663,#418664); #344931=AXIS2_PLACEMENT_3D('',#510579,#418665,#418666); #344932=AXIS2_PLACEMENT_3D('',#510582,#418668,#418669); #344933=AXIS2_PLACEMENT_3D('',#510583,#418670,#418671); #344934=AXIS2_PLACEMENT_3D('',#510585,#418672,#418673); #344935=AXIS2_PLACEMENT_3D('',#510588,#418675,#418676); #344936=AXIS2_PLACEMENT_3D('',#510589,#418677,#418678); #344937=AXIS2_PLACEMENT_3D('',#510591,#418679,#418680); #344938=AXIS2_PLACEMENT_3D('',#510594,#418682,#418683); #344939=AXIS2_PLACEMENT_3D('',#510595,#418684,#418685); #344940=AXIS2_PLACEMENT_3D('',#510597,#418686,#418687); #344941=AXIS2_PLACEMENT_3D('',#510600,#418689,#418690); #344942=AXIS2_PLACEMENT_3D('',#510601,#418691,#418692); #344943=AXIS2_PLACEMENT_3D('',#510603,#418693,#418694); #344944=AXIS2_PLACEMENT_3D('',#510606,#418696,#418697); #344945=AXIS2_PLACEMENT_3D('',#510607,#418698,#418699); #344946=AXIS2_PLACEMENT_3D('',#510609,#418700,#418701); #344947=AXIS2_PLACEMENT_3D('',#510612,#418703,#418704); #344948=AXIS2_PLACEMENT_3D('',#510613,#418705,#418706); #344949=AXIS2_PLACEMENT_3D('',#510615,#418707,#418708); #344950=AXIS2_PLACEMENT_3D('',#510618,#418710,#418711); #344951=AXIS2_PLACEMENT_3D('',#510619,#418712,#418713); #344952=AXIS2_PLACEMENT_3D('',#510621,#418714,#418715); #344953=AXIS2_PLACEMENT_3D('',#510624,#418717,#418718); #344954=AXIS2_PLACEMENT_3D('',#510625,#418719,#418720); #344955=AXIS2_PLACEMENT_3D('',#510627,#418721,#418722); #344956=AXIS2_PLACEMENT_3D('',#510630,#418724,#418725); #344957=AXIS2_PLACEMENT_3D('',#510631,#418726,#418727); #344958=AXIS2_PLACEMENT_3D('',#510633,#418728,#418729); #344959=AXIS2_PLACEMENT_3D('',#510636,#418731,#418732); #344960=AXIS2_PLACEMENT_3D('',#510637,#418733,#418734); #344961=AXIS2_PLACEMENT_3D('',#510639,#418735,#418736); #344962=AXIS2_PLACEMENT_3D('',#510642,#418738,#418739); #344963=AXIS2_PLACEMENT_3D('',#510643,#418740,#418741); #344964=AXIS2_PLACEMENT_3D('',#510645,#418742,#418743); #344965=AXIS2_PLACEMENT_3D('',#510648,#418745,#418746); #344966=AXIS2_PLACEMENT_3D('',#510649,#418747,#418748); #344967=AXIS2_PLACEMENT_3D('',#510651,#418749,#418750); #344968=AXIS2_PLACEMENT_3D('',#510654,#418752,#418753); #344969=AXIS2_PLACEMENT_3D('',#510655,#418754,#418755); #344970=AXIS2_PLACEMENT_3D('',#510657,#418756,#418757); #344971=AXIS2_PLACEMENT_3D('',#510660,#418759,#418760); #344972=AXIS2_PLACEMENT_3D('',#510661,#418761,#418762); #344973=AXIS2_PLACEMENT_3D('',#510663,#418763,#418764); #344974=AXIS2_PLACEMENT_3D('',#510666,#418766,#418767); #344975=AXIS2_PLACEMENT_3D('',#510667,#418768,#418769); #344976=AXIS2_PLACEMENT_3D('',#510669,#418770,#418771); #344977=AXIS2_PLACEMENT_3D('',#510672,#418773,#418774); #344978=AXIS2_PLACEMENT_3D('',#510673,#418775,#418776); #344979=AXIS2_PLACEMENT_3D('',#510675,#418777,#418778); #344980=AXIS2_PLACEMENT_3D('',#510678,#418780,#418781); #344981=AXIS2_PLACEMENT_3D('',#510679,#418782,#418783); #344982=AXIS2_PLACEMENT_3D('',#510681,#418784,#418785); #344983=AXIS2_PLACEMENT_3D('',#510684,#418787,#418788); #344984=AXIS2_PLACEMENT_3D('',#510685,#418789,#418790); #344985=AXIS2_PLACEMENT_3D('',#510687,#418791,#418792); #344986=AXIS2_PLACEMENT_3D('',#510690,#418794,#418795); #344987=AXIS2_PLACEMENT_3D('',#510691,#418796,#418797); #344988=AXIS2_PLACEMENT_3D('',#510693,#418798,#418799); #344989=AXIS2_PLACEMENT_3D('',#510696,#418801,#418802); #344990=AXIS2_PLACEMENT_3D('',#510697,#418803,#418804); #344991=AXIS2_PLACEMENT_3D('',#510699,#418805,#418806); #344992=AXIS2_PLACEMENT_3D('',#510702,#418808,#418809); #344993=AXIS2_PLACEMENT_3D('',#510703,#418810,#418811); #344994=AXIS2_PLACEMENT_3D('',#510705,#418812,#418813); #344995=AXIS2_PLACEMENT_3D('',#510708,#418815,#418816); #344996=AXIS2_PLACEMENT_3D('',#510709,#418817,#418818); #344997=AXIS2_PLACEMENT_3D('',#510711,#418819,#418820); #344998=AXIS2_PLACEMENT_3D('',#510714,#418822,#418823); #344999=AXIS2_PLACEMENT_3D('',#510715,#418824,#418825); #345000=AXIS2_PLACEMENT_3D('',#510717,#418826,#418827); #345001=AXIS2_PLACEMENT_3D('',#510720,#418829,#418830); #345002=AXIS2_PLACEMENT_3D('',#510721,#418831,#418832); #345003=AXIS2_PLACEMENT_3D('',#510723,#418833,#418834); #345004=AXIS2_PLACEMENT_3D('',#510726,#418836,#418837); #345005=AXIS2_PLACEMENT_3D('',#510727,#418838,#418839); #345006=AXIS2_PLACEMENT_3D('',#510729,#418840,#418841); #345007=AXIS2_PLACEMENT_3D('',#510732,#418843,#418844); #345008=AXIS2_PLACEMENT_3D('',#510733,#418845,#418846); #345009=AXIS2_PLACEMENT_3D('',#510735,#418847,#418848); #345010=AXIS2_PLACEMENT_3D('',#510738,#418850,#418851); #345011=AXIS2_PLACEMENT_3D('',#510739,#418852,#418853); #345012=AXIS2_PLACEMENT_3D('',#510741,#418854,#418855); #345013=AXIS2_PLACEMENT_3D('',#510744,#418857,#418858); #345014=AXIS2_PLACEMENT_3D('',#510745,#418859,#418860); #345015=AXIS2_PLACEMENT_3D('',#510747,#418861,#418862); #345016=AXIS2_PLACEMENT_3D('',#510750,#418864,#418865); #345017=AXIS2_PLACEMENT_3D('',#510751,#418866,#418867); #345018=AXIS2_PLACEMENT_3D('',#510753,#418868,#418869); #345019=AXIS2_PLACEMENT_3D('',#510756,#418871,#418872); #345020=AXIS2_PLACEMENT_3D('',#510757,#418873,#418874); #345021=AXIS2_PLACEMENT_3D('',#510759,#418875,#418876); #345022=AXIS2_PLACEMENT_3D('',#510762,#418878,#418879); #345023=AXIS2_PLACEMENT_3D('',#510763,#418880,#418881); #345024=AXIS2_PLACEMENT_3D('',#510765,#418882,#418883); #345025=AXIS2_PLACEMENT_3D('',#510768,#418885,#418886); #345026=AXIS2_PLACEMENT_3D('',#510769,#418887,#418888); #345027=AXIS2_PLACEMENT_3D('',#510771,#418889,#418890); #345028=AXIS2_PLACEMENT_3D('',#510774,#418892,#418893); #345029=AXIS2_PLACEMENT_3D('',#510775,#418894,#418895); #345030=AXIS2_PLACEMENT_3D('',#510777,#418896,#418897); #345031=AXIS2_PLACEMENT_3D('',#510780,#418899,#418900); #345032=AXIS2_PLACEMENT_3D('',#510781,#418901,#418902); #345033=AXIS2_PLACEMENT_3D('',#510783,#418903,#418904); #345034=AXIS2_PLACEMENT_3D('',#510786,#418906,#418907); #345035=AXIS2_PLACEMENT_3D('',#510787,#418908,#418909); #345036=AXIS2_PLACEMENT_3D('',#510789,#418910,#418911); #345037=AXIS2_PLACEMENT_3D('',#510792,#418913,#418914); #345038=AXIS2_PLACEMENT_3D('',#510793,#418915,#418916); #345039=AXIS2_PLACEMENT_3D('',#510795,#418917,#418918); #345040=AXIS2_PLACEMENT_3D('',#510798,#418920,#418921); #345041=AXIS2_PLACEMENT_3D('',#510799,#418922,#418923); #345042=AXIS2_PLACEMENT_3D('',#510801,#418924,#418925); #345043=AXIS2_PLACEMENT_3D('',#510804,#418927,#418928); #345044=AXIS2_PLACEMENT_3D('',#510805,#418929,#418930); #345045=AXIS2_PLACEMENT_3D('',#510807,#418931,#418932); #345046=AXIS2_PLACEMENT_3D('',#510810,#418934,#418935); #345047=AXIS2_PLACEMENT_3D('',#510811,#418936,#418937); #345048=AXIS2_PLACEMENT_3D('',#510813,#418938,#418939); #345049=AXIS2_PLACEMENT_3D('',#510816,#418941,#418942); #345050=AXIS2_PLACEMENT_3D('',#510817,#418943,#418944); #345051=AXIS2_PLACEMENT_3D('',#510819,#418945,#418946); #345052=AXIS2_PLACEMENT_3D('',#510822,#418948,#418949); #345053=AXIS2_PLACEMENT_3D('',#510823,#418950,#418951); #345054=AXIS2_PLACEMENT_3D('',#510825,#418952,#418953); #345055=AXIS2_PLACEMENT_3D('',#510828,#418955,#418956); #345056=AXIS2_PLACEMENT_3D('',#510829,#418957,#418958); #345057=AXIS2_PLACEMENT_3D('',#510831,#418959,#418960); #345058=AXIS2_PLACEMENT_3D('',#510834,#418962,#418963); #345059=AXIS2_PLACEMENT_3D('',#510835,#418964,#418965); #345060=AXIS2_PLACEMENT_3D('',#510837,#418966,#418967); #345061=AXIS2_PLACEMENT_3D('',#510840,#418969,#418970); #345062=AXIS2_PLACEMENT_3D('',#510841,#418971,#418972); #345063=AXIS2_PLACEMENT_3D('',#510843,#418973,#418974); #345064=AXIS2_PLACEMENT_3D('',#510846,#418976,#418977); #345065=AXIS2_PLACEMENT_3D('',#510847,#418978,#418979); #345066=AXIS2_PLACEMENT_3D('',#510849,#418980,#418981); #345067=AXIS2_PLACEMENT_3D('',#510852,#418983,#418984); #345068=AXIS2_PLACEMENT_3D('',#510853,#418985,#418986); #345069=AXIS2_PLACEMENT_3D('',#510855,#418987,#418988); #345070=AXIS2_PLACEMENT_3D('',#510858,#418990,#418991); #345071=AXIS2_PLACEMENT_3D('',#510859,#418992,#418993); #345072=AXIS2_PLACEMENT_3D('',#510861,#418994,#418995); #345073=AXIS2_PLACEMENT_3D('',#510864,#418997,#418998); #345074=AXIS2_PLACEMENT_3D('',#510865,#418999,#419000); #345075=AXIS2_PLACEMENT_3D('',#510867,#419001,#419002); #345076=AXIS2_PLACEMENT_3D('',#510870,#419004,#419005); #345077=AXIS2_PLACEMENT_3D('',#510871,#419006,#419007); #345078=AXIS2_PLACEMENT_3D('',#510873,#419008,#419009); #345079=AXIS2_PLACEMENT_3D('',#510876,#419011,#419012); #345080=AXIS2_PLACEMENT_3D('',#510877,#419013,#419014); #345081=AXIS2_PLACEMENT_3D('',#510879,#419015,#419016); #345082=AXIS2_PLACEMENT_3D('',#510882,#419018,#419019); #345083=AXIS2_PLACEMENT_3D('',#510883,#419020,#419021); #345084=AXIS2_PLACEMENT_3D('',#510885,#419022,#419023); #345085=AXIS2_PLACEMENT_3D('',#510888,#419025,#419026); #345086=AXIS2_PLACEMENT_3D('',#510889,#419027,#419028); #345087=AXIS2_PLACEMENT_3D('',#510891,#419029,#419030); #345088=AXIS2_PLACEMENT_3D('',#510894,#419032,#419033); #345089=AXIS2_PLACEMENT_3D('',#510895,#419034,#419035); #345090=AXIS2_PLACEMENT_3D('',#510897,#419036,#419037); #345091=AXIS2_PLACEMENT_3D('',#510900,#419039,#419040); #345092=AXIS2_PLACEMENT_3D('',#510901,#419041,#419042); #345093=AXIS2_PLACEMENT_3D('',#510903,#419043,#419044); #345094=AXIS2_PLACEMENT_3D('',#510906,#419046,#419047); #345095=AXIS2_PLACEMENT_3D('',#510907,#419048,#419049); #345096=AXIS2_PLACEMENT_3D('',#510909,#419050,#419051); #345097=AXIS2_PLACEMENT_3D('',#510912,#419053,#419054); #345098=AXIS2_PLACEMENT_3D('',#510913,#419055,#419056); #345099=AXIS2_PLACEMENT_3D('',#510915,#419057,#419058); #345100=AXIS2_PLACEMENT_3D('',#510918,#419060,#419061); #345101=AXIS2_PLACEMENT_3D('',#510919,#419062,#419063); #345102=AXIS2_PLACEMENT_3D('',#510921,#419064,#419065); #345103=AXIS2_PLACEMENT_3D('',#510924,#419067,#419068); #345104=AXIS2_PLACEMENT_3D('',#510925,#419069,#419070); #345105=AXIS2_PLACEMENT_3D('',#510927,#419071,#419072); #345106=AXIS2_PLACEMENT_3D('',#510930,#419074,#419075); #345107=AXIS2_PLACEMENT_3D('',#510931,#419076,#419077); #345108=AXIS2_PLACEMENT_3D('',#510933,#419078,#419079); #345109=AXIS2_PLACEMENT_3D('',#510936,#419081,#419082); #345110=AXIS2_PLACEMENT_3D('',#510937,#419083,#419084); #345111=AXIS2_PLACEMENT_3D('',#510939,#419085,#419086); #345112=AXIS2_PLACEMENT_3D('',#510942,#419088,#419089); #345113=AXIS2_PLACEMENT_3D('',#510943,#419090,#419091); #345114=AXIS2_PLACEMENT_3D('',#510945,#419092,#419093); #345115=AXIS2_PLACEMENT_3D('',#510948,#419095,#419096); #345116=AXIS2_PLACEMENT_3D('',#510949,#419097,#419098); #345117=AXIS2_PLACEMENT_3D('',#510951,#419099,#419100); #345118=AXIS2_PLACEMENT_3D('',#510954,#419102,#419103); #345119=AXIS2_PLACEMENT_3D('',#510955,#419104,#419105); #345120=AXIS2_PLACEMENT_3D('',#510957,#419106,#419107); #345121=AXIS2_PLACEMENT_3D('',#510960,#419109,#419110); #345122=AXIS2_PLACEMENT_3D('',#510961,#419111,#419112); #345123=AXIS2_PLACEMENT_3D('',#510963,#419113,#419114); #345124=AXIS2_PLACEMENT_3D('',#510966,#419116,#419117); #345125=AXIS2_PLACEMENT_3D('',#510967,#419118,#419119); #345126=AXIS2_PLACEMENT_3D('',#510969,#419120,#419121); #345127=AXIS2_PLACEMENT_3D('',#510972,#419123,#419124); #345128=AXIS2_PLACEMENT_3D('',#510973,#419125,#419126); #345129=AXIS2_PLACEMENT_3D('',#510975,#419127,#419128); #345130=AXIS2_PLACEMENT_3D('',#510978,#419130,#419131); #345131=AXIS2_PLACEMENT_3D('',#510979,#419132,#419133); #345132=AXIS2_PLACEMENT_3D('',#510981,#419134,#419135); #345133=AXIS2_PLACEMENT_3D('',#510984,#419137,#419138); #345134=AXIS2_PLACEMENT_3D('',#510985,#419139,#419140); #345135=AXIS2_PLACEMENT_3D('',#510987,#419141,#419142); #345136=AXIS2_PLACEMENT_3D('',#510990,#419144,#419145); #345137=AXIS2_PLACEMENT_3D('',#510991,#419146,#419147); #345138=AXIS2_PLACEMENT_3D('',#510993,#419148,#419149); #345139=AXIS2_PLACEMENT_3D('',#510996,#419151,#419152); #345140=AXIS2_PLACEMENT_3D('',#510997,#419153,#419154); #345141=AXIS2_PLACEMENT_3D('',#510999,#419155,#419156); #345142=AXIS2_PLACEMENT_3D('',#511002,#419158,#419159); #345143=AXIS2_PLACEMENT_3D('',#511003,#419160,#419161); #345144=AXIS2_PLACEMENT_3D('',#511005,#419162,#419163); #345145=AXIS2_PLACEMENT_3D('',#511008,#419165,#419166); #345146=AXIS2_PLACEMENT_3D('',#511009,#419167,#419168); #345147=AXIS2_PLACEMENT_3D('',#511011,#419169,#419170); #345148=AXIS2_PLACEMENT_3D('',#511014,#419172,#419173); #345149=AXIS2_PLACEMENT_3D('',#511015,#419174,#419175); #345150=AXIS2_PLACEMENT_3D('',#511017,#419176,#419177); #345151=AXIS2_PLACEMENT_3D('',#511020,#419179,#419180); #345152=AXIS2_PLACEMENT_3D('',#511021,#419181,#419182); #345153=AXIS2_PLACEMENT_3D('',#511023,#419183,#419184); #345154=AXIS2_PLACEMENT_3D('',#511026,#419186,#419187); #345155=AXIS2_PLACEMENT_3D('',#511027,#419188,#419189); #345156=AXIS2_PLACEMENT_3D('',#511029,#419190,#419191); #345157=AXIS2_PLACEMENT_3D('',#511032,#419193,#419194); #345158=AXIS2_PLACEMENT_3D('',#511033,#419195,#419196); #345159=AXIS2_PLACEMENT_3D('',#511035,#419197,#419198); #345160=AXIS2_PLACEMENT_3D('',#511038,#419200,#419201); #345161=AXIS2_PLACEMENT_3D('',#511039,#419202,#419203); #345162=AXIS2_PLACEMENT_3D('',#511041,#419204,#419205); #345163=AXIS2_PLACEMENT_3D('',#511044,#419207,#419208); #345164=AXIS2_PLACEMENT_3D('',#511045,#419209,#419210); #345165=AXIS2_PLACEMENT_3D('',#511047,#419211,#419212); #345166=AXIS2_PLACEMENT_3D('',#511050,#419214,#419215); #345167=AXIS2_PLACEMENT_3D('',#511051,#419216,#419217); #345168=AXIS2_PLACEMENT_3D('',#511053,#419218,#419219); #345169=AXIS2_PLACEMENT_3D('',#511056,#419221,#419222); #345170=AXIS2_PLACEMENT_3D('',#511057,#419223,#419224); #345171=AXIS2_PLACEMENT_3D('',#511059,#419225,#419226); #345172=AXIS2_PLACEMENT_3D('',#511062,#419228,#419229); #345173=AXIS2_PLACEMENT_3D('',#511063,#419230,#419231); #345174=AXIS2_PLACEMENT_3D('',#511065,#419232,#419233); #345175=AXIS2_PLACEMENT_3D('',#511068,#419235,#419236); #345176=AXIS2_PLACEMENT_3D('',#511069,#419237,#419238); #345177=AXIS2_PLACEMENT_3D('',#511071,#419239,#419240); #345178=AXIS2_PLACEMENT_3D('',#511074,#419242,#419243); #345179=AXIS2_PLACEMENT_3D('',#511075,#419244,#419245); #345180=AXIS2_PLACEMENT_3D('',#511077,#419246,#419247); #345181=AXIS2_PLACEMENT_3D('',#511080,#419249,#419250); #345182=AXIS2_PLACEMENT_3D('',#511081,#419251,#419252); #345183=AXIS2_PLACEMENT_3D('',#511083,#419253,#419254); #345184=AXIS2_PLACEMENT_3D('',#511086,#419256,#419257); #345185=AXIS2_PLACEMENT_3D('',#511087,#419258,#419259); #345186=AXIS2_PLACEMENT_3D('',#511089,#419260,#419261); #345187=AXIS2_PLACEMENT_3D('',#511092,#419263,#419264); #345188=AXIS2_PLACEMENT_3D('',#511093,#419265,#419266); #345189=AXIS2_PLACEMENT_3D('',#511095,#419267,#419268); #345190=AXIS2_PLACEMENT_3D('',#511098,#419270,#419271); #345191=AXIS2_PLACEMENT_3D('',#511099,#419272,#419273); #345192=AXIS2_PLACEMENT_3D('',#511101,#419274,#419275); #345193=AXIS2_PLACEMENT_3D('',#511104,#419277,#419278); #345194=AXIS2_PLACEMENT_3D('',#511105,#419279,#419280); #345195=AXIS2_PLACEMENT_3D('',#511107,#419281,#419282); #345196=AXIS2_PLACEMENT_3D('',#511110,#419284,#419285); #345197=AXIS2_PLACEMENT_3D('',#511111,#419286,#419287); #345198=AXIS2_PLACEMENT_3D('',#511113,#419288,#419289); #345199=AXIS2_PLACEMENT_3D('',#511116,#419291,#419292); #345200=AXIS2_PLACEMENT_3D('',#511117,#419293,#419294); #345201=AXIS2_PLACEMENT_3D('',#511119,#419295,#419296); #345202=AXIS2_PLACEMENT_3D('',#511122,#419298,#419299); #345203=AXIS2_PLACEMENT_3D('',#511123,#419300,#419301); #345204=AXIS2_PLACEMENT_3D('',#511125,#419302,#419303); #345205=AXIS2_PLACEMENT_3D('',#511128,#419305,#419306); #345206=AXIS2_PLACEMENT_3D('',#511129,#419307,#419308); #345207=AXIS2_PLACEMENT_3D('',#511131,#419309,#419310); #345208=AXIS2_PLACEMENT_3D('',#511134,#419312,#419313); #345209=AXIS2_PLACEMENT_3D('',#511135,#419314,#419315); #345210=AXIS2_PLACEMENT_3D('',#511137,#419316,#419317); #345211=AXIS2_PLACEMENT_3D('',#511140,#419319,#419320); #345212=AXIS2_PLACEMENT_3D('',#511141,#419321,#419322); #345213=AXIS2_PLACEMENT_3D('',#511143,#419323,#419324); #345214=AXIS2_PLACEMENT_3D('',#511146,#419326,#419327); #345215=AXIS2_PLACEMENT_3D('',#511147,#419328,#419329); #345216=AXIS2_PLACEMENT_3D('',#511149,#419330,#419331); #345217=AXIS2_PLACEMENT_3D('',#511152,#419333,#419334); #345218=AXIS2_PLACEMENT_3D('',#511153,#419335,#419336); #345219=AXIS2_PLACEMENT_3D('',#511155,#419337,#419338); #345220=AXIS2_PLACEMENT_3D('',#511158,#419340,#419341); #345221=AXIS2_PLACEMENT_3D('',#511159,#419342,#419343); #345222=AXIS2_PLACEMENT_3D('',#511161,#419344,#419345); #345223=AXIS2_PLACEMENT_3D('',#511164,#419347,#419348); #345224=AXIS2_PLACEMENT_3D('',#511165,#419349,#419350); #345225=AXIS2_PLACEMENT_3D('',#511167,#419351,#419352); #345226=AXIS2_PLACEMENT_3D('',#511170,#419354,#419355); #345227=AXIS2_PLACEMENT_3D('',#511171,#419356,#419357); #345228=AXIS2_PLACEMENT_3D('',#511173,#419358,#419359); #345229=AXIS2_PLACEMENT_3D('',#511176,#419361,#419362); #345230=AXIS2_PLACEMENT_3D('',#511177,#419363,#419364); #345231=AXIS2_PLACEMENT_3D('',#511179,#419365,#419366); #345232=AXIS2_PLACEMENT_3D('',#511182,#419368,#419369); #345233=AXIS2_PLACEMENT_3D('',#511183,#419370,#419371); #345234=AXIS2_PLACEMENT_3D('',#511185,#419372,#419373); #345235=AXIS2_PLACEMENT_3D('',#511188,#419375,#419376); #345236=AXIS2_PLACEMENT_3D('',#511189,#419377,#419378); #345237=AXIS2_PLACEMENT_3D('',#511191,#419379,#419380); #345238=AXIS2_PLACEMENT_3D('',#511194,#419382,#419383); #345239=AXIS2_PLACEMENT_3D('',#511195,#419384,#419385); #345240=AXIS2_PLACEMENT_3D('',#511197,#419386,#419387); #345241=AXIS2_PLACEMENT_3D('',#511200,#419389,#419390); #345242=AXIS2_PLACEMENT_3D('',#511201,#419391,#419392); #345243=AXIS2_PLACEMENT_3D('',#511203,#419393,#419394); #345244=AXIS2_PLACEMENT_3D('',#511206,#419396,#419397); #345245=AXIS2_PLACEMENT_3D('',#511207,#419398,#419399); #345246=AXIS2_PLACEMENT_3D('',#511209,#419400,#419401); #345247=AXIS2_PLACEMENT_3D('',#511212,#419403,#419404); #345248=AXIS2_PLACEMENT_3D('',#511213,#419405,#419406); #345249=AXIS2_PLACEMENT_3D('',#511215,#419407,#419408); #345250=AXIS2_PLACEMENT_3D('',#511218,#419410,#419411); #345251=AXIS2_PLACEMENT_3D('',#511219,#419412,#419413); #345252=AXIS2_PLACEMENT_3D('',#511221,#419414,#419415); #345253=AXIS2_PLACEMENT_3D('',#511224,#419417,#419418); #345254=AXIS2_PLACEMENT_3D('',#511225,#419419,#419420); #345255=AXIS2_PLACEMENT_3D('',#511227,#419421,#419422); #345256=AXIS2_PLACEMENT_3D('',#511230,#419424,#419425); #345257=AXIS2_PLACEMENT_3D('',#511231,#419426,#419427); #345258=AXIS2_PLACEMENT_3D('',#511233,#419428,#419429); #345259=AXIS2_PLACEMENT_3D('',#511236,#419431,#419432); #345260=AXIS2_PLACEMENT_3D('',#511237,#419433,#419434); #345261=AXIS2_PLACEMENT_3D('',#511239,#419435,#419436); #345262=AXIS2_PLACEMENT_3D('',#511242,#419438,#419439); #345263=AXIS2_PLACEMENT_3D('',#511243,#419440,#419441); #345264=AXIS2_PLACEMENT_3D('',#511245,#419442,#419443); #345265=AXIS2_PLACEMENT_3D('',#511248,#419445,#419446); #345266=AXIS2_PLACEMENT_3D('',#511249,#419447,#419448); #345267=AXIS2_PLACEMENT_3D('',#511251,#419449,#419450); #345268=AXIS2_PLACEMENT_3D('',#511254,#419452,#419453); #345269=AXIS2_PLACEMENT_3D('',#511255,#419454,#419455); #345270=AXIS2_PLACEMENT_3D('',#511257,#419456,#419457); #345271=AXIS2_PLACEMENT_3D('',#511260,#419459,#419460); #345272=AXIS2_PLACEMENT_3D('',#511261,#419461,#419462); #345273=AXIS2_PLACEMENT_3D('',#511263,#419463,#419464); #345274=AXIS2_PLACEMENT_3D('',#511266,#419466,#419467); #345275=AXIS2_PLACEMENT_3D('',#511267,#419468,#419469); #345276=AXIS2_PLACEMENT_3D('',#511276,#419474,#419475); #345277=AXIS2_PLACEMENT_3D('',#511278,#419476,#419477); #345278=AXIS2_PLACEMENT_3D('',#511280,#419478,#419479); #345279=AXIS2_PLACEMENT_3D('',#511282,#419481,#419482); #345280=AXIS2_PLACEMENT_3D('',#511288,#419486,#419487); #345281=AXIS2_PLACEMENT_3D('',#511289,#419488,#419489); #345282=AXIS2_PLACEMENT_3D('',#511290,#419490,#419491); #345283=AXIS2_PLACEMENT_3D('',#511291,#419492,#419493); #345284=AXIS2_PLACEMENT_3D('',#511293,#419494,#419495); #345285=AXIS2_PLACEMENT_3D('',#511296,#419497,#419498); #345286=AXIS2_PLACEMENT_3D('',#511297,#419499,#419500); #345287=AXIS2_PLACEMENT_3D('',#511306,#419505,#419506); #345288=AXIS2_PLACEMENT_3D('',#511308,#419507,#419508); #345289=AXIS2_PLACEMENT_3D('',#511310,#419509,#419510); #345290=AXIS2_PLACEMENT_3D('',#511312,#419512,#419513); #345291=AXIS2_PLACEMENT_3D('',#511318,#419517,#419518); #345292=AXIS2_PLACEMENT_3D('',#511319,#419519,#419520); #345293=AXIS2_PLACEMENT_3D('',#511320,#419521,#419522); #345294=AXIS2_PLACEMENT_3D('',#511321,#419523,#419524); #345295=AXIS2_PLACEMENT_3D('',#511323,#419525,#419526); #345296=AXIS2_PLACEMENT_3D('',#511326,#419528,#419529); #345297=AXIS2_PLACEMENT_3D('',#511327,#419530,#419531); #345298=AXIS2_PLACEMENT_3D('',#511329,#419532,#419533); #345299=AXIS2_PLACEMENT_3D('',#511332,#419535,#419536); #345300=AXIS2_PLACEMENT_3D('',#511333,#419537,#419538); #345301=AXIS2_PLACEMENT_3D('',#511335,#419539,#419540); #345302=AXIS2_PLACEMENT_3D('',#511338,#419542,#419543); #345303=AXIS2_PLACEMENT_3D('',#511339,#419544,#419545); #345304=AXIS2_PLACEMENT_3D('',#511348,#419550,#419551); #345305=AXIS2_PLACEMENT_3D('',#511350,#419552,#419553); #345306=AXIS2_PLACEMENT_3D('',#511352,#419554,#419555); #345307=AXIS2_PLACEMENT_3D('',#511354,#419557,#419558); #345308=AXIS2_PLACEMENT_3D('',#511360,#419562,#419563); #345309=AXIS2_PLACEMENT_3D('',#511361,#419564,#419565); #345310=AXIS2_PLACEMENT_3D('',#511362,#419566,#419567); #345311=AXIS2_PLACEMENT_3D('',#511363,#419568,#419569); #345312=AXIS2_PLACEMENT_3D('',#511365,#419570,#419571); #345313=AXIS2_PLACEMENT_3D('',#511368,#419573,#419574); #345314=AXIS2_PLACEMENT_3D('',#511369,#419575,#419576); #345315=AXIS2_PLACEMENT_3D('',#511371,#419577,#419578); #345316=AXIS2_PLACEMENT_3D('',#511374,#419580,#419581); #345317=AXIS2_PLACEMENT_3D('',#511375,#419582,#419583); #345318=AXIS2_PLACEMENT_3D('',#511377,#419584,#419585); #345319=AXIS2_PLACEMENT_3D('',#511380,#419587,#419588); #345320=AXIS2_PLACEMENT_3D('',#511381,#419589,#419590); #345321=AXIS2_PLACEMENT_3D('',#511383,#419591,#419592); #345322=AXIS2_PLACEMENT_3D('',#511386,#419594,#419595); #345323=AXIS2_PLACEMENT_3D('',#511387,#419596,#419597); #345324=AXIS2_PLACEMENT_3D('',#511389,#419598,#419599); #345325=AXIS2_PLACEMENT_3D('',#511392,#419601,#419602); #345326=AXIS2_PLACEMENT_3D('',#511393,#419603,#419604); #345327=AXIS2_PLACEMENT_3D('',#511395,#419605,#419606); #345328=AXIS2_PLACEMENT_3D('',#511398,#419608,#419609); #345329=AXIS2_PLACEMENT_3D('',#511399,#419610,#419611); #345330=AXIS2_PLACEMENT_3D('',#511401,#419612,#419613); #345331=AXIS2_PLACEMENT_3D('',#511404,#419615,#419616); #345332=AXIS2_PLACEMENT_3D('',#511405,#419617,#419618); #345333=AXIS2_PLACEMENT_3D('',#511407,#419619,#419620); #345334=AXIS2_PLACEMENT_3D('',#511410,#419622,#419623); #345335=AXIS2_PLACEMENT_3D('',#511411,#419624,#419625); #345336=AXIS2_PLACEMENT_3D('',#511413,#419626,#419627); #345337=AXIS2_PLACEMENT_3D('',#511416,#419629,#419630); #345338=AXIS2_PLACEMENT_3D('',#511417,#419631,#419632); #345339=AXIS2_PLACEMENT_3D('',#511419,#419633,#419634); #345340=AXIS2_PLACEMENT_3D('',#511422,#419636,#419637); #345341=AXIS2_PLACEMENT_3D('',#511423,#419638,#419639); #345342=AXIS2_PLACEMENT_3D('',#511432,#419644,#419645); #345343=AXIS2_PLACEMENT_3D('',#511434,#419646,#419647); #345344=AXIS2_PLACEMENT_3D('',#511436,#419648,#419649); #345345=AXIS2_PLACEMENT_3D('',#511438,#419651,#419652); #345346=AXIS2_PLACEMENT_3D('',#511444,#419656,#419657); #345347=AXIS2_PLACEMENT_3D('',#511445,#419658,#419659); #345348=AXIS2_PLACEMENT_3D('',#511446,#419660,#419661); #345349=AXIS2_PLACEMENT_3D('',#511447,#419662,#419663); #345350=AXIS2_PLACEMENT_3D('',#511449,#419664,#419665); #345351=AXIS2_PLACEMENT_3D('',#511452,#419667,#419668); #345352=AXIS2_PLACEMENT_3D('',#511453,#419669,#419670); #345353=AXIS2_PLACEMENT_3D('',#511462,#419675,#419676); #345354=AXIS2_PLACEMENT_3D('',#511464,#419677,#419678); #345355=AXIS2_PLACEMENT_3D('',#511466,#419679,#419680); #345356=AXIS2_PLACEMENT_3D('',#511468,#419682,#419683); #345357=AXIS2_PLACEMENT_3D('',#511474,#419687,#419688); #345358=AXIS2_PLACEMENT_3D('',#511475,#419689,#419690); #345359=AXIS2_PLACEMENT_3D('',#511476,#419691,#419692); #345360=AXIS2_PLACEMENT_3D('',#511477,#419693,#419694); #345361=AXIS2_PLACEMENT_3D('',#511479,#419695,#419696); #345362=AXIS2_PLACEMENT_3D('',#511482,#419698,#419699); #345363=AXIS2_PLACEMENT_3D('',#511483,#419700,#419701); #345364=AXIS2_PLACEMENT_3D('',#511492,#419706,#419707); #345365=AXIS2_PLACEMENT_3D('',#511494,#419708,#419709); #345366=AXIS2_PLACEMENT_3D('',#511496,#419710,#419711); #345367=AXIS2_PLACEMENT_3D('',#511498,#419713,#419714); #345368=AXIS2_PLACEMENT_3D('',#511504,#419718,#419719); #345369=AXIS2_PLACEMENT_3D('',#511505,#419720,#419721); #345370=AXIS2_PLACEMENT_3D('',#511506,#419722,#419723); #345371=AXIS2_PLACEMENT_3D('',#511507,#419724,#419725); #345372=AXIS2_PLACEMENT_3D('',#511509,#419726,#419727); #345373=AXIS2_PLACEMENT_3D('',#511512,#419729,#419730); #345374=AXIS2_PLACEMENT_3D('',#511513,#419731,#419732); #345375=AXIS2_PLACEMENT_3D('',#511515,#419733,#419734); #345376=AXIS2_PLACEMENT_3D('',#511518,#419736,#419737); #345377=AXIS2_PLACEMENT_3D('',#511519,#419738,#419739); #345378=AXIS2_PLACEMENT_3D('',#511521,#419740,#419741); #345379=AXIS2_PLACEMENT_3D('',#511524,#419743,#419744); #345380=AXIS2_PLACEMENT_3D('',#511525,#419745,#419746); #345381=AXIS2_PLACEMENT_3D('',#511527,#419747,#419748); #345382=AXIS2_PLACEMENT_3D('',#511530,#419750,#419751); #345383=AXIS2_PLACEMENT_3D('',#511531,#419752,#419753); #345384=AXIS2_PLACEMENT_3D('',#511533,#419754,#419755); #345385=AXIS2_PLACEMENT_3D('',#511536,#419757,#419758); #345386=AXIS2_PLACEMENT_3D('',#511537,#419759,#419760); #345387=AXIS2_PLACEMENT_3D('',#511539,#419761,#419762); #345388=AXIS2_PLACEMENT_3D('',#511542,#419764,#419765); #345389=AXIS2_PLACEMENT_3D('',#511543,#419766,#419767); #345390=AXIS2_PLACEMENT_3D('',#511545,#419768,#419769); #345391=AXIS2_PLACEMENT_3D('',#511548,#419771,#419772); #345392=AXIS2_PLACEMENT_3D('',#511549,#419773,#419774); #345393=AXIS2_PLACEMENT_3D('',#511551,#419775,#419776); #345394=AXIS2_PLACEMENT_3D('',#511554,#419778,#419779); #345395=AXIS2_PLACEMENT_3D('',#511555,#419780,#419781); #345396=AXIS2_PLACEMENT_3D('',#511557,#419782,#419783); #345397=AXIS2_PLACEMENT_3D('',#511560,#419785,#419786); #345398=AXIS2_PLACEMENT_3D('',#511561,#419787,#419788); #345399=AXIS2_PLACEMENT_3D('',#511563,#419789,#419790); #345400=AXIS2_PLACEMENT_3D('',#511566,#419792,#419793); #345401=AXIS2_PLACEMENT_3D('',#511567,#419794,#419795); #345402=AXIS2_PLACEMENT_3D('',#511569,#419796,#419797); #345403=AXIS2_PLACEMENT_3D('',#511572,#419799,#419800); #345404=AXIS2_PLACEMENT_3D('',#511573,#419801,#419802); #345405=AXIS2_PLACEMENT_3D('',#511575,#419803,#419804); #345406=AXIS2_PLACEMENT_3D('',#511578,#419806,#419807); #345407=AXIS2_PLACEMENT_3D('',#511579,#419808,#419809); #345408=AXIS2_PLACEMENT_3D('',#511581,#419810,#419811); #345409=AXIS2_PLACEMENT_3D('',#511584,#419813,#419814); #345410=AXIS2_PLACEMENT_3D('',#511585,#419815,#419816); #345411=AXIS2_PLACEMENT_3D('',#511587,#419817,#419818); #345412=AXIS2_PLACEMENT_3D('',#511590,#419820,#419821); #345413=AXIS2_PLACEMENT_3D('',#511591,#419822,#419823); #345414=AXIS2_PLACEMENT_3D('',#511593,#419824,#419825); #345415=AXIS2_PLACEMENT_3D('',#511596,#419827,#419828); #345416=AXIS2_PLACEMENT_3D('',#511597,#419829,#419830); #345417=AXIS2_PLACEMENT_3D('',#511599,#419831,#419832); #345418=AXIS2_PLACEMENT_3D('',#511602,#419834,#419835); #345419=AXIS2_PLACEMENT_3D('',#511603,#419836,#419837); #345420=AXIS2_PLACEMENT_3D('',#511605,#419838,#419839); #345421=AXIS2_PLACEMENT_3D('',#511608,#419841,#419842); #345422=AXIS2_PLACEMENT_3D('',#511609,#419843,#419844); #345423=AXIS2_PLACEMENT_3D('',#511611,#419845,#419846); #345424=AXIS2_PLACEMENT_3D('',#511614,#419848,#419849); #345425=AXIS2_PLACEMENT_3D('',#511615,#419850,#419851); #345426=AXIS2_PLACEMENT_3D('',#511617,#419852,#419853); #345427=AXIS2_PLACEMENT_3D('',#511620,#419855,#419856); #345428=AXIS2_PLACEMENT_3D('',#511621,#419857,#419858); #345429=AXIS2_PLACEMENT_3D('',#511623,#419859,#419860); #345430=AXIS2_PLACEMENT_3D('',#511626,#419862,#419863); #345431=AXIS2_PLACEMENT_3D('',#511627,#419864,#419865); #345432=AXIS2_PLACEMENT_3D('',#511629,#419866,#419867); #345433=AXIS2_PLACEMENT_3D('',#511632,#419869,#419870); #345434=AXIS2_PLACEMENT_3D('',#511633,#419871,#419872); #345435=AXIS2_PLACEMENT_3D('',#511635,#419873,#419874); #345436=AXIS2_PLACEMENT_3D('',#511638,#419876,#419877); #345437=AXIS2_PLACEMENT_3D('',#511639,#419878,#419879); #345438=AXIS2_PLACEMENT_3D('',#511641,#419880,#419881); #345439=AXIS2_PLACEMENT_3D('',#511644,#419883,#419884); #345440=AXIS2_PLACEMENT_3D('',#511645,#419885,#419886); #345441=AXIS2_PLACEMENT_3D('',#511647,#419887,#419888); #345442=AXIS2_PLACEMENT_3D('',#511650,#419890,#419891); #345443=AXIS2_PLACEMENT_3D('',#511651,#419892,#419893); #345444=AXIS2_PLACEMENT_3D('',#511653,#419894,#419895); #345445=AXIS2_PLACEMENT_3D('',#511656,#419897,#419898); #345446=AXIS2_PLACEMENT_3D('',#511657,#419899,#419900); #345447=AXIS2_PLACEMENT_3D('',#511659,#419901,#419902); #345448=AXIS2_PLACEMENT_3D('',#511662,#419904,#419905); #345449=AXIS2_PLACEMENT_3D('',#511663,#419906,#419907); #345450=AXIS2_PLACEMENT_3D('',#511665,#419908,#419909); #345451=AXIS2_PLACEMENT_3D('',#511668,#419911,#419912); #345452=AXIS2_PLACEMENT_3D('',#511669,#419913,#419914); #345453=AXIS2_PLACEMENT_3D('',#511671,#419915,#419916); #345454=AXIS2_PLACEMENT_3D('',#511674,#419918,#419919); #345455=AXIS2_PLACEMENT_3D('',#511675,#419920,#419921); #345456=AXIS2_PLACEMENT_3D('',#511677,#419922,#419923); #345457=AXIS2_PLACEMENT_3D('',#511680,#419925,#419926); #345458=AXIS2_PLACEMENT_3D('',#511681,#419927,#419928); #345459=AXIS2_PLACEMENT_3D('',#511683,#419929,#419930); #345460=AXIS2_PLACEMENT_3D('',#511686,#419932,#419933); #345461=AXIS2_PLACEMENT_3D('',#511687,#419934,#419935); #345462=AXIS2_PLACEMENT_3D('',#511689,#419936,#419937); #345463=AXIS2_PLACEMENT_3D('',#511692,#419939,#419940); #345464=AXIS2_PLACEMENT_3D('',#511693,#419941,#419942); #345465=AXIS2_PLACEMENT_3D('',#511695,#419943,#419944); #345466=AXIS2_PLACEMENT_3D('',#511698,#419946,#419947); #345467=AXIS2_PLACEMENT_3D('',#511699,#419948,#419949); #345468=AXIS2_PLACEMENT_3D('',#511701,#419950,#419951); #345469=AXIS2_PLACEMENT_3D('',#511704,#419953,#419954); #345470=AXIS2_PLACEMENT_3D('',#511705,#419955,#419956); #345471=AXIS2_PLACEMENT_3D('',#511707,#419957,#419958); #345472=AXIS2_PLACEMENT_3D('',#511710,#419960,#419961); #345473=AXIS2_PLACEMENT_3D('',#511711,#419962,#419963); #345474=AXIS2_PLACEMENT_3D('',#511713,#419964,#419965); #345475=AXIS2_PLACEMENT_3D('',#511716,#419967,#419968); #345476=AXIS2_PLACEMENT_3D('',#511717,#419969,#419970); #345477=AXIS2_PLACEMENT_3D('',#511719,#419971,#419972); #345478=AXIS2_PLACEMENT_3D('',#511722,#419974,#419975); #345479=AXIS2_PLACEMENT_3D('',#511723,#419976,#419977); #345480=AXIS2_PLACEMENT_3D('',#511725,#419978,#419979); #345481=AXIS2_PLACEMENT_3D('',#511728,#419981,#419982); #345482=AXIS2_PLACEMENT_3D('',#511729,#419983,#419984); #345483=AXIS2_PLACEMENT_3D('',#511731,#419985,#419986); #345484=AXIS2_PLACEMENT_3D('',#511734,#419988,#419989); #345485=AXIS2_PLACEMENT_3D('',#511735,#419990,#419991); #345486=AXIS2_PLACEMENT_3D('',#511737,#419992,#419993); #345487=AXIS2_PLACEMENT_3D('',#511740,#419995,#419996); #345488=AXIS2_PLACEMENT_3D('',#511741,#419997,#419998); #345489=AXIS2_PLACEMENT_3D('',#511743,#419999,#420000); #345490=AXIS2_PLACEMENT_3D('',#511746,#420002,#420003); #345491=AXIS2_PLACEMENT_3D('',#511747,#420004,#420005); #345492=AXIS2_PLACEMENT_3D('',#511749,#420006,#420007); #345493=AXIS2_PLACEMENT_3D('',#511752,#420009,#420010); #345494=AXIS2_PLACEMENT_3D('',#511753,#420011,#420012); #345495=AXIS2_PLACEMENT_3D('',#511755,#420013,#420014); #345496=AXIS2_PLACEMENT_3D('',#511758,#420016,#420017); #345497=AXIS2_PLACEMENT_3D('',#511759,#420018,#420019); #345498=AXIS2_PLACEMENT_3D('',#511761,#420020,#420021); #345499=AXIS2_PLACEMENT_3D('',#511764,#420023,#420024); #345500=AXIS2_PLACEMENT_3D('',#511765,#420025,#420026); #345501=AXIS2_PLACEMENT_3D('',#511767,#420027,#420028); #345502=AXIS2_PLACEMENT_3D('',#511770,#420030,#420031); #345503=AXIS2_PLACEMENT_3D('',#511771,#420032,#420033); #345504=AXIS2_PLACEMENT_3D('',#511773,#420034,#420035); #345505=AXIS2_PLACEMENT_3D('',#511776,#420037,#420038); #345506=AXIS2_PLACEMENT_3D('',#511777,#420039,#420040); #345507=AXIS2_PLACEMENT_3D('',#511779,#420041,#420042); #345508=AXIS2_PLACEMENT_3D('',#511782,#420044,#420045); #345509=AXIS2_PLACEMENT_3D('',#511783,#420046,#420047); #345510=AXIS2_PLACEMENT_3D('',#511785,#420048,#420049); #345511=AXIS2_PLACEMENT_3D('',#511788,#420051,#420052); #345512=AXIS2_PLACEMENT_3D('',#511789,#420053,#420054); #345513=AXIS2_PLACEMENT_3D('',#511791,#420055,#420056); #345514=AXIS2_PLACEMENT_3D('',#511794,#420058,#420059); #345515=AXIS2_PLACEMENT_3D('',#511795,#420060,#420061); #345516=AXIS2_PLACEMENT_3D('',#511797,#420062,#420063); #345517=AXIS2_PLACEMENT_3D('',#511800,#420065,#420066); #345518=AXIS2_PLACEMENT_3D('',#511801,#420067,#420068); #345519=AXIS2_PLACEMENT_3D('',#511803,#420069,#420070); #345520=AXIS2_PLACEMENT_3D('',#511806,#420072,#420073); #345521=AXIS2_PLACEMENT_3D('',#511807,#420074,#420075); #345522=AXIS2_PLACEMENT_3D('',#511809,#420076,#420077); #345523=AXIS2_PLACEMENT_3D('',#511812,#420079,#420080); #345524=AXIS2_PLACEMENT_3D('',#511813,#420081,#420082); #345525=AXIS2_PLACEMENT_3D('',#511815,#420083,#420084); #345526=AXIS2_PLACEMENT_3D('',#511818,#420086,#420087); #345527=AXIS2_PLACEMENT_3D('',#511819,#420088,#420089); #345528=AXIS2_PLACEMENT_3D('',#511821,#420090,#420091); #345529=AXIS2_PLACEMENT_3D('',#511824,#420093,#420094); #345530=AXIS2_PLACEMENT_3D('',#511825,#420095,#420096); #345531=AXIS2_PLACEMENT_3D('',#511827,#420097,#420098); #345532=AXIS2_PLACEMENT_3D('',#511830,#420100,#420101); #345533=AXIS2_PLACEMENT_3D('',#511831,#420102,#420103); #345534=AXIS2_PLACEMENT_3D('',#511833,#420104,#420105); #345535=AXIS2_PLACEMENT_3D('',#511836,#420107,#420108); #345536=AXIS2_PLACEMENT_3D('',#511837,#420109,#420110); #345537=AXIS2_PLACEMENT_3D('',#511839,#420111,#420112); #345538=AXIS2_PLACEMENT_3D('',#511842,#420114,#420115); #345539=AXIS2_PLACEMENT_3D('',#511843,#420116,#420117); #345540=AXIS2_PLACEMENT_3D('',#511845,#420118,#420119); #345541=AXIS2_PLACEMENT_3D('',#511848,#420121,#420122); #345542=AXIS2_PLACEMENT_3D('',#511849,#420123,#420124); #345543=AXIS2_PLACEMENT_3D('',#511851,#420125,#420126); #345544=AXIS2_PLACEMENT_3D('',#511854,#420128,#420129); #345545=AXIS2_PLACEMENT_3D('',#511855,#420130,#420131); #345546=AXIS2_PLACEMENT_3D('',#511857,#420132,#420133); #345547=AXIS2_PLACEMENT_3D('',#511860,#420135,#420136); #345548=AXIS2_PLACEMENT_3D('',#511861,#420137,#420138); #345549=AXIS2_PLACEMENT_3D('',#511863,#420139,#420140); #345550=AXIS2_PLACEMENT_3D('',#511866,#420142,#420143); #345551=AXIS2_PLACEMENT_3D('',#511867,#420144,#420145); #345552=AXIS2_PLACEMENT_3D('',#511869,#420146,#420147); #345553=AXIS2_PLACEMENT_3D('',#511872,#420149,#420150); #345554=AXIS2_PLACEMENT_3D('',#511873,#420151,#420152); #345555=AXIS2_PLACEMENT_3D('',#511875,#420153,#420154); #345556=AXIS2_PLACEMENT_3D('',#511878,#420156,#420157); #345557=AXIS2_PLACEMENT_3D('',#511879,#420158,#420159); #345558=AXIS2_PLACEMENT_3D('',#511881,#420160,#420161); #345559=AXIS2_PLACEMENT_3D('',#511884,#420163,#420164); #345560=AXIS2_PLACEMENT_3D('',#511885,#420165,#420166); #345561=AXIS2_PLACEMENT_3D('',#511887,#420167,#420168); #345562=AXIS2_PLACEMENT_3D('',#511890,#420170,#420171); #345563=AXIS2_PLACEMENT_3D('',#511891,#420172,#420173); #345564=AXIS2_PLACEMENT_3D('',#511893,#420174,#420175); #345565=AXIS2_PLACEMENT_3D('',#511896,#420177,#420178); #345566=AXIS2_PLACEMENT_3D('',#511897,#420179,#420180); #345567=AXIS2_PLACEMENT_3D('',#511899,#420181,#420182); #345568=AXIS2_PLACEMENT_3D('',#511902,#420184,#420185); #345569=AXIS2_PLACEMENT_3D('',#511903,#420186,#420187); #345570=AXIS2_PLACEMENT_3D('',#511905,#420188,#420189); #345571=AXIS2_PLACEMENT_3D('',#511908,#420191,#420192); #345572=AXIS2_PLACEMENT_3D('',#511909,#420193,#420194); #345573=AXIS2_PLACEMENT_3D('',#511911,#420195,#420196); #345574=AXIS2_PLACEMENT_3D('',#511914,#420198,#420199); #345575=AXIS2_PLACEMENT_3D('',#511915,#420200,#420201); #345576=AXIS2_PLACEMENT_3D('',#511917,#420202,#420203); #345577=AXIS2_PLACEMENT_3D('',#511920,#420205,#420206); #345578=AXIS2_PLACEMENT_3D('',#511921,#420207,#420208); #345579=AXIS2_PLACEMENT_3D('',#511923,#420209,#420210); #345580=AXIS2_PLACEMENT_3D('',#511926,#420212,#420213); #345581=AXIS2_PLACEMENT_3D('',#511927,#420214,#420215); #345582=AXIS2_PLACEMENT_3D('',#511929,#420216,#420217); #345583=AXIS2_PLACEMENT_3D('',#511932,#420219,#420220); #345584=AXIS2_PLACEMENT_3D('',#511933,#420221,#420222); #345585=AXIS2_PLACEMENT_3D('',#511935,#420223,#420224); #345586=AXIS2_PLACEMENT_3D('',#511938,#420226,#420227); #345587=AXIS2_PLACEMENT_3D('',#511939,#420228,#420229); #345588=AXIS2_PLACEMENT_3D('',#511941,#420230,#420231); #345589=AXIS2_PLACEMENT_3D('',#511944,#420233,#420234); #345590=AXIS2_PLACEMENT_3D('',#511945,#420235,#420236); #345591=AXIS2_PLACEMENT_3D('',#511947,#420237,#420238); #345592=AXIS2_PLACEMENT_3D('',#511950,#420240,#420241); #345593=AXIS2_PLACEMENT_3D('',#511951,#420242,#420243); #345594=AXIS2_PLACEMENT_3D('',#511953,#420244,#420245); #345595=AXIS2_PLACEMENT_3D('',#511956,#420247,#420248); #345596=AXIS2_PLACEMENT_3D('',#511957,#420249,#420250); #345597=AXIS2_PLACEMENT_3D('',#511959,#420251,#420252); #345598=AXIS2_PLACEMENT_3D('',#511962,#420254,#420255); #345599=AXIS2_PLACEMENT_3D('',#511963,#420256,#420257); #345600=AXIS2_PLACEMENT_3D('',#511965,#420258,#420259); #345601=AXIS2_PLACEMENT_3D('',#511968,#420261,#420262); #345602=AXIS2_PLACEMENT_3D('',#511969,#420263,#420264); #345603=AXIS2_PLACEMENT_3D('',#511971,#420265,#420266); #345604=AXIS2_PLACEMENT_3D('',#511974,#420268,#420269); #345605=AXIS2_PLACEMENT_3D('',#511975,#420270,#420271); #345606=AXIS2_PLACEMENT_3D('',#511977,#420272,#420273); #345607=AXIS2_PLACEMENT_3D('',#511980,#420275,#420276); #345608=AXIS2_PLACEMENT_3D('',#511981,#420277,#420278); #345609=AXIS2_PLACEMENT_3D('',#511983,#420279,#420280); #345610=AXIS2_PLACEMENT_3D('',#511986,#420282,#420283); #345611=AXIS2_PLACEMENT_3D('',#511987,#420284,#420285); #345612=AXIS2_PLACEMENT_3D('',#511989,#420286,#420287); #345613=AXIS2_PLACEMENT_3D('',#511992,#420289,#420290); #345614=AXIS2_PLACEMENT_3D('',#511993,#420291,#420292); #345615=AXIS2_PLACEMENT_3D('',#511995,#420293,#420294); #345616=AXIS2_PLACEMENT_3D('',#511998,#420296,#420297); #345617=AXIS2_PLACEMENT_3D('',#511999,#420298,#420299); #345618=AXIS2_PLACEMENT_3D('',#512001,#420300,#420301); #345619=AXIS2_PLACEMENT_3D('',#512004,#420303,#420304); #345620=AXIS2_PLACEMENT_3D('',#512005,#420305,#420306); #345621=AXIS2_PLACEMENT_3D('',#512007,#420307,#420308); #345622=AXIS2_PLACEMENT_3D('',#512010,#420310,#420311); #345623=AXIS2_PLACEMENT_3D('',#512011,#420312,#420313); #345624=AXIS2_PLACEMENT_3D('',#512013,#420314,#420315); #345625=AXIS2_PLACEMENT_3D('',#512016,#420317,#420318); #345626=AXIS2_PLACEMENT_3D('',#512017,#420319,#420320); #345627=AXIS2_PLACEMENT_3D('',#512019,#420321,#420322); #345628=AXIS2_PLACEMENT_3D('',#512022,#420324,#420325); #345629=AXIS2_PLACEMENT_3D('',#512023,#420326,#420327); #345630=AXIS2_PLACEMENT_3D('',#512025,#420328,#420329); #345631=AXIS2_PLACEMENT_3D('',#512028,#420331,#420332); #345632=AXIS2_PLACEMENT_3D('',#512029,#420333,#420334); #345633=AXIS2_PLACEMENT_3D('',#512031,#420335,#420336); #345634=AXIS2_PLACEMENT_3D('',#512034,#420338,#420339); #345635=AXIS2_PLACEMENT_3D('',#512035,#420340,#420341); #345636=AXIS2_PLACEMENT_3D('',#512037,#420342,#420343); #345637=AXIS2_PLACEMENT_3D('',#512040,#420345,#420346); #345638=AXIS2_PLACEMENT_3D('',#512041,#420347,#420348); #345639=AXIS2_PLACEMENT_3D('',#512043,#420349,#420350); #345640=AXIS2_PLACEMENT_3D('',#512046,#420352,#420353); #345641=AXIS2_PLACEMENT_3D('',#512047,#420354,#420355); #345642=AXIS2_PLACEMENT_3D('',#512049,#420356,#420357); #345643=AXIS2_PLACEMENT_3D('',#512052,#420359,#420360); #345644=AXIS2_PLACEMENT_3D('',#512053,#420361,#420362); #345645=AXIS2_PLACEMENT_3D('',#512055,#420363,#420364); #345646=AXIS2_PLACEMENT_3D('',#512058,#420366,#420367); #345647=AXIS2_PLACEMENT_3D('',#512059,#420368,#420369); #345648=AXIS2_PLACEMENT_3D('',#512061,#420370,#420371); #345649=AXIS2_PLACEMENT_3D('',#512064,#420373,#420374); #345650=AXIS2_PLACEMENT_3D('',#512065,#420375,#420376); #345651=AXIS2_PLACEMENT_3D('',#512067,#420377,#420378); #345652=AXIS2_PLACEMENT_3D('',#512070,#420380,#420381); #345653=AXIS2_PLACEMENT_3D('',#512071,#420382,#420383); #345654=AXIS2_PLACEMENT_3D('',#512073,#420384,#420385); #345655=AXIS2_PLACEMENT_3D('',#512076,#420387,#420388); #345656=AXIS2_PLACEMENT_3D('',#512077,#420389,#420390); #345657=AXIS2_PLACEMENT_3D('',#512079,#420391,#420392); #345658=AXIS2_PLACEMENT_3D('',#512082,#420394,#420395); #345659=AXIS2_PLACEMENT_3D('',#512083,#420396,#420397); #345660=AXIS2_PLACEMENT_3D('',#512085,#420398,#420399); #345661=AXIS2_PLACEMENT_3D('',#512088,#420401,#420402); #345662=AXIS2_PLACEMENT_3D('',#512089,#420403,#420404); #345663=AXIS2_PLACEMENT_3D('',#512091,#420405,#420406); #345664=AXIS2_PLACEMENT_3D('',#512094,#420408,#420409); #345665=AXIS2_PLACEMENT_3D('',#512095,#420410,#420411); #345666=AXIS2_PLACEMENT_3D('',#512097,#420412,#420413); #345667=AXIS2_PLACEMENT_3D('',#512100,#420415,#420416); #345668=AXIS2_PLACEMENT_3D('',#512101,#420417,#420418); #345669=AXIS2_PLACEMENT_3D('',#512103,#420419,#420420); #345670=AXIS2_PLACEMENT_3D('',#512106,#420422,#420423); #345671=AXIS2_PLACEMENT_3D('',#512107,#420424,#420425); #345672=AXIS2_PLACEMENT_3D('',#512109,#420426,#420427); #345673=AXIS2_PLACEMENT_3D('',#512112,#420429,#420430); #345674=AXIS2_PLACEMENT_3D('',#512113,#420431,#420432); #345675=AXIS2_PLACEMENT_3D('',#512115,#420433,#420434); #345676=AXIS2_PLACEMENT_3D('',#512118,#420436,#420437); #345677=AXIS2_PLACEMENT_3D('',#512119,#420438,#420439); #345678=AXIS2_PLACEMENT_3D('',#512121,#420440,#420441); #345679=AXIS2_PLACEMENT_3D('',#512124,#420443,#420444); #345680=AXIS2_PLACEMENT_3D('',#512125,#420445,#420446); #345681=AXIS2_PLACEMENT_3D('',#512127,#420447,#420448); #345682=AXIS2_PLACEMENT_3D('',#512130,#420450,#420451); #345683=AXIS2_PLACEMENT_3D('',#512131,#420452,#420453); #345684=AXIS2_PLACEMENT_3D('',#512133,#420454,#420455); #345685=AXIS2_PLACEMENT_3D('',#512136,#420457,#420458); #345686=AXIS2_PLACEMENT_3D('',#512137,#420459,#420460); #345687=AXIS2_PLACEMENT_3D('',#512139,#420461,#420462); #345688=AXIS2_PLACEMENT_3D('',#512142,#420464,#420465); #345689=AXIS2_PLACEMENT_3D('',#512143,#420466,#420467); #345690=AXIS2_PLACEMENT_3D('',#512145,#420468,#420469); #345691=AXIS2_PLACEMENT_3D('',#512148,#420471,#420472); #345692=AXIS2_PLACEMENT_3D('',#512149,#420473,#420474); #345693=AXIS2_PLACEMENT_3D('',#512151,#420475,#420476); #345694=AXIS2_PLACEMENT_3D('',#512154,#420478,#420479); #345695=AXIS2_PLACEMENT_3D('',#512155,#420480,#420481); #345696=AXIS2_PLACEMENT_3D('',#512157,#420482,#420483); #345697=AXIS2_PLACEMENT_3D('',#512160,#420485,#420486); #345698=AXIS2_PLACEMENT_3D('',#512161,#420487,#420488); #345699=AXIS2_PLACEMENT_3D('',#512163,#420489,#420490); #345700=AXIS2_PLACEMENT_3D('',#512166,#420492,#420493); #345701=AXIS2_PLACEMENT_3D('',#512167,#420494,#420495); #345702=AXIS2_PLACEMENT_3D('',#512169,#420496,#420497); #345703=AXIS2_PLACEMENT_3D('',#512172,#420499,#420500); #345704=AXIS2_PLACEMENT_3D('',#512173,#420501,#420502); #345705=AXIS2_PLACEMENT_3D('',#512175,#420503,#420504); #345706=AXIS2_PLACEMENT_3D('',#512178,#420506,#420507); #345707=AXIS2_PLACEMENT_3D('',#512179,#420508,#420509); #345708=AXIS2_PLACEMENT_3D('',#512181,#420510,#420511); #345709=AXIS2_PLACEMENT_3D('',#512184,#420513,#420514); #345710=AXIS2_PLACEMENT_3D('',#512185,#420515,#420516); #345711=AXIS2_PLACEMENT_3D('',#512187,#420517,#420518); #345712=AXIS2_PLACEMENT_3D('',#512190,#420520,#420521); #345713=AXIS2_PLACEMENT_3D('',#512191,#420522,#420523); #345714=AXIS2_PLACEMENT_3D('',#512193,#420524,#420525); #345715=AXIS2_PLACEMENT_3D('',#512196,#420527,#420528); #345716=AXIS2_PLACEMENT_3D('',#512197,#420529,#420530); #345717=AXIS2_PLACEMENT_3D('',#512199,#420531,#420532); #345718=AXIS2_PLACEMENT_3D('',#512202,#420534,#420535); #345719=AXIS2_PLACEMENT_3D('',#512203,#420536,#420537); #345720=AXIS2_PLACEMENT_3D('',#512205,#420538,#420539); #345721=AXIS2_PLACEMENT_3D('',#512208,#420541,#420542); #345722=AXIS2_PLACEMENT_3D('',#512209,#420543,#420544); #345723=AXIS2_PLACEMENT_3D('',#512211,#420545,#420546); #345724=AXIS2_PLACEMENT_3D('',#512214,#420548,#420549); #345725=AXIS2_PLACEMENT_3D('',#512215,#420550,#420551); #345726=AXIS2_PLACEMENT_3D('',#512217,#420552,#420553); #345727=AXIS2_PLACEMENT_3D('',#512220,#420555,#420556); #345728=AXIS2_PLACEMENT_3D('',#512221,#420557,#420558); #345729=AXIS2_PLACEMENT_3D('',#512223,#420559,#420560); #345730=AXIS2_PLACEMENT_3D('',#512226,#420562,#420563); #345731=AXIS2_PLACEMENT_3D('',#512227,#420564,#420565); #345732=AXIS2_PLACEMENT_3D('',#512229,#420566,#420567); #345733=AXIS2_PLACEMENT_3D('',#512232,#420569,#420570); #345734=AXIS2_PLACEMENT_3D('',#512233,#420571,#420572); #345735=AXIS2_PLACEMENT_3D('',#512235,#420573,#420574); #345736=AXIS2_PLACEMENT_3D('',#512238,#420576,#420577); #345737=AXIS2_PLACEMENT_3D('',#512239,#420578,#420579); #345738=AXIS2_PLACEMENT_3D('',#512241,#420580,#420581); #345739=AXIS2_PLACEMENT_3D('',#512244,#420583,#420584); #345740=AXIS2_PLACEMENT_3D('',#512245,#420585,#420586); #345741=AXIS2_PLACEMENT_3D('',#512247,#420587,#420588); #345742=AXIS2_PLACEMENT_3D('',#512250,#420590,#420591); #345743=AXIS2_PLACEMENT_3D('',#512251,#420592,#420593); #345744=AXIS2_PLACEMENT_3D('',#512253,#420594,#420595); #345745=AXIS2_PLACEMENT_3D('',#512256,#420597,#420598); #345746=AXIS2_PLACEMENT_3D('',#512257,#420599,#420600); #345747=AXIS2_PLACEMENT_3D('',#512259,#420601,#420602); #345748=AXIS2_PLACEMENT_3D('',#512262,#420604,#420605); #345749=AXIS2_PLACEMENT_3D('',#512263,#420606,#420607); #345750=AXIS2_PLACEMENT_3D('',#512265,#420608,#420609); #345751=AXIS2_PLACEMENT_3D('',#512268,#420611,#420612); #345752=AXIS2_PLACEMENT_3D('',#512269,#420613,#420614); #345753=AXIS2_PLACEMENT_3D('',#512271,#420615,#420616); #345754=AXIS2_PLACEMENT_3D('',#512274,#420618,#420619); #345755=AXIS2_PLACEMENT_3D('',#512275,#420620,#420621); #345756=AXIS2_PLACEMENT_3D('',#512277,#420622,#420623); #345757=AXIS2_PLACEMENT_3D('',#512280,#420625,#420626); #345758=AXIS2_PLACEMENT_3D('',#512281,#420627,#420628); #345759=AXIS2_PLACEMENT_3D('',#512283,#420629,#420630); #345760=AXIS2_PLACEMENT_3D('',#512286,#420632,#420633); #345761=AXIS2_PLACEMENT_3D('',#512287,#420634,#420635); #345762=AXIS2_PLACEMENT_3D('',#512289,#420636,#420637); #345763=AXIS2_PLACEMENT_3D('',#512292,#420639,#420640); #345764=AXIS2_PLACEMENT_3D('',#512293,#420641,#420642); #345765=AXIS2_PLACEMENT_3D('',#512295,#420643,#420644); #345766=AXIS2_PLACEMENT_3D('',#512298,#420646,#420647); #345767=AXIS2_PLACEMENT_3D('',#512299,#420648,#420649); #345768=AXIS2_PLACEMENT_3D('',#512301,#420650,#420651); #345769=AXIS2_PLACEMENT_3D('',#512304,#420653,#420654); #345770=AXIS2_PLACEMENT_3D('',#512305,#420655,#420656); #345771=AXIS2_PLACEMENT_3D('',#512306,#420657,#420658); #345772=AXIS2_PLACEMENT_3D('',#512307,#420659,#420660); #345773=AXIS2_PLACEMENT_3D('',#512308,#420661,#420662); #345774=AXIS2_PLACEMENT_3D('',#512310,#420663,#420664); #345775=AXIS2_PLACEMENT_3D('',#512313,#420666,#420667); #345776=AXIS2_PLACEMENT_3D('',#512314,#420668,#420669); #345777=AXIS2_PLACEMENT_3D('',#512316,#420670,#420671); #345778=AXIS2_PLACEMENT_3D('',#512319,#420673,#420674); #345779=AXIS2_PLACEMENT_3D('',#512320,#420675,#420676); #345780=AXIS2_PLACEMENT_3D('',#512322,#420677,#420678); #345781=AXIS2_PLACEMENT_3D('',#512325,#420680,#420681); #345782=AXIS2_PLACEMENT_3D('',#512326,#420682,#420683); #345783=AXIS2_PLACEMENT_3D('',#512328,#420684,#420685); #345784=AXIS2_PLACEMENT_3D('',#512331,#420687,#420688); #345785=AXIS2_PLACEMENT_3D('',#512332,#420689,#420690); #345786=AXIS2_PLACEMENT_3D('',#512334,#420691,#420692); #345787=AXIS2_PLACEMENT_3D('',#512337,#420694,#420695); #345788=AXIS2_PLACEMENT_3D('',#512338,#420696,#420697); #345789=AXIS2_PLACEMENT_3D('',#512340,#420698,#420699); #345790=AXIS2_PLACEMENT_3D('',#512343,#420701,#420702); #345791=AXIS2_PLACEMENT_3D('',#512344,#420703,#420704); #345792=AXIS2_PLACEMENT_3D('',#512346,#420705,#420706); #345793=AXIS2_PLACEMENT_3D('',#512349,#420708,#420709); #345794=AXIS2_PLACEMENT_3D('',#512350,#420710,#420711); #345795=AXIS2_PLACEMENT_3D('',#512352,#420712,#420713); #345796=AXIS2_PLACEMENT_3D('',#512355,#420715,#420716); #345797=AXIS2_PLACEMENT_3D('',#512356,#420717,#420718); #345798=AXIS2_PLACEMENT_3D('',#512358,#420719,#420720); #345799=AXIS2_PLACEMENT_3D('',#512361,#420722,#420723); #345800=AXIS2_PLACEMENT_3D('',#512362,#420724,#420725); #345801=AXIS2_PLACEMENT_3D('',#512364,#420726,#420727); #345802=AXIS2_PLACEMENT_3D('',#512367,#420729,#420730); #345803=AXIS2_PLACEMENT_3D('',#512368,#420731,#420732); #345804=AXIS2_PLACEMENT_3D('',#512370,#420733,#420734); #345805=AXIS2_PLACEMENT_3D('',#512373,#420736,#420737); #345806=AXIS2_PLACEMENT_3D('',#512374,#420738,#420739); #345807=AXIS2_PLACEMENT_3D('',#512376,#420740,#420741); #345808=AXIS2_PLACEMENT_3D('',#512379,#420743,#420744); #345809=AXIS2_PLACEMENT_3D('',#512380,#420745,#420746); #345810=AXIS2_PLACEMENT_3D('',#512382,#420747,#420748); #345811=AXIS2_PLACEMENT_3D('',#512385,#420750,#420751); #345812=AXIS2_PLACEMENT_3D('',#512386,#420752,#420753); #345813=AXIS2_PLACEMENT_3D('',#512388,#420754,#420755); #345814=AXIS2_PLACEMENT_3D('',#512391,#420757,#420758); #345815=AXIS2_PLACEMENT_3D('',#512392,#420759,#420760); #345816=AXIS2_PLACEMENT_3D('',#512394,#420761,#420762); #345817=AXIS2_PLACEMENT_3D('',#512397,#420764,#420765); #345818=AXIS2_PLACEMENT_3D('',#512398,#420766,#420767); #345819=AXIS2_PLACEMENT_3D('',#512400,#420768,#420769); #345820=AXIS2_PLACEMENT_3D('',#512403,#420771,#420772); #345821=AXIS2_PLACEMENT_3D('',#512404,#420773,#420774); #345822=AXIS2_PLACEMENT_3D('',#512406,#420775,#420776); #345823=AXIS2_PLACEMENT_3D('',#512409,#420778,#420779); #345824=AXIS2_PLACEMENT_3D('',#512410,#420780,#420781); #345825=AXIS2_PLACEMENT_3D('',#512412,#420782,#420783); #345826=AXIS2_PLACEMENT_3D('',#512415,#420785,#420786); #345827=AXIS2_PLACEMENT_3D('',#512416,#420787,#420788); #345828=AXIS2_PLACEMENT_3D('',#512418,#420789,#420790); #345829=AXIS2_PLACEMENT_3D('',#512421,#420792,#420793); #345830=AXIS2_PLACEMENT_3D('',#512422,#420794,#420795); #345831=AXIS2_PLACEMENT_3D('',#512424,#420796,#420797); #345832=AXIS2_PLACEMENT_3D('',#512427,#420799,#420800); #345833=AXIS2_PLACEMENT_3D('',#512428,#420801,#420802); #345834=AXIS2_PLACEMENT_3D('',#512430,#420803,#420804); #345835=AXIS2_PLACEMENT_3D('',#512433,#420806,#420807); #345836=AXIS2_PLACEMENT_3D('',#512434,#420808,#420809); #345837=AXIS2_PLACEMENT_3D('',#512436,#420810,#420811); #345838=AXIS2_PLACEMENT_3D('',#512439,#420813,#420814); #345839=AXIS2_PLACEMENT_3D('',#512440,#420815,#420816); #345840=AXIS2_PLACEMENT_3D('',#512442,#420817,#420818); #345841=AXIS2_PLACEMENT_3D('',#512445,#420820,#420821); #345842=AXIS2_PLACEMENT_3D('',#512446,#420822,#420823); #345843=AXIS2_PLACEMENT_3D('',#512448,#420824,#420825); #345844=AXIS2_PLACEMENT_3D('',#512451,#420827,#420828); #345845=AXIS2_PLACEMENT_3D('',#512452,#420829,#420830); #345846=AXIS2_PLACEMENT_3D('',#512454,#420831,#420832); #345847=AXIS2_PLACEMENT_3D('',#512457,#420834,#420835); #345848=AXIS2_PLACEMENT_3D('',#512458,#420836,#420837); #345849=AXIS2_PLACEMENT_3D('',#512460,#420838,#420839); #345850=AXIS2_PLACEMENT_3D('',#512463,#420841,#420842); #345851=AXIS2_PLACEMENT_3D('',#512464,#420843,#420844); #345852=AXIS2_PLACEMENT_3D('',#512466,#420845,#420846); #345853=AXIS2_PLACEMENT_3D('',#512469,#420848,#420849); #345854=AXIS2_PLACEMENT_3D('',#512470,#420850,#420851); #345855=AXIS2_PLACEMENT_3D('',#512472,#420852,#420853); #345856=AXIS2_PLACEMENT_3D('',#512475,#420855,#420856); #345857=AXIS2_PLACEMENT_3D('',#512476,#420857,#420858); #345858=AXIS2_PLACEMENT_3D('',#512478,#420859,#420860); #345859=AXIS2_PLACEMENT_3D('',#512481,#420862,#420863); #345860=AXIS2_PLACEMENT_3D('',#512482,#420864,#420865); #345861=AXIS2_PLACEMENT_3D('',#512484,#420866,#420867); #345862=AXIS2_PLACEMENT_3D('',#512487,#420869,#420870); #345863=AXIS2_PLACEMENT_3D('',#512488,#420871,#420872); #345864=AXIS2_PLACEMENT_3D('',#512490,#420873,#420874); #345865=AXIS2_PLACEMENT_3D('',#512493,#420876,#420877); #345866=AXIS2_PLACEMENT_3D('',#512494,#420878,#420879); #345867=AXIS2_PLACEMENT_3D('',#512496,#420880,#420881); #345868=AXIS2_PLACEMENT_3D('',#512499,#420883,#420884); #345869=AXIS2_PLACEMENT_3D('',#512500,#420885,#420886); #345870=AXIS2_PLACEMENT_3D('',#512502,#420887,#420888); #345871=AXIS2_PLACEMENT_3D('',#512505,#420890,#420891); #345872=AXIS2_PLACEMENT_3D('',#512506,#420892,#420893); #345873=AXIS2_PLACEMENT_3D('',#512508,#420894,#420895); #345874=AXIS2_PLACEMENT_3D('',#512511,#420897,#420898); #345875=AXIS2_PLACEMENT_3D('',#512512,#420899,#420900); #345876=AXIS2_PLACEMENT_3D('',#512514,#420901,#420902); #345877=AXIS2_PLACEMENT_3D('',#512517,#420904,#420905); #345878=AXIS2_PLACEMENT_3D('',#512518,#420906,#420907); #345879=AXIS2_PLACEMENT_3D('',#512520,#420908,#420909); #345880=AXIS2_PLACEMENT_3D('',#512523,#420911,#420912); #345881=AXIS2_PLACEMENT_3D('',#512524,#420913,#420914); #345882=AXIS2_PLACEMENT_3D('',#512526,#420915,#420916); #345883=AXIS2_PLACEMENT_3D('',#512529,#420918,#420919); #345884=AXIS2_PLACEMENT_3D('',#512530,#420920,#420921); #345885=AXIS2_PLACEMENT_3D('',#512532,#420922,#420923); #345886=AXIS2_PLACEMENT_3D('',#512535,#420925,#420926); #345887=AXIS2_PLACEMENT_3D('',#512536,#420927,#420928); #345888=AXIS2_PLACEMENT_3D('',#512538,#420929,#420930); #345889=AXIS2_PLACEMENT_3D('',#512541,#420932,#420933); #345890=AXIS2_PLACEMENT_3D('',#512542,#420934,#420935); #345891=AXIS2_PLACEMENT_3D('',#512544,#420936,#420937); #345892=AXIS2_PLACEMENT_3D('',#512547,#420939,#420940); #345893=AXIS2_PLACEMENT_3D('',#512548,#420941,#420942); #345894=AXIS2_PLACEMENT_3D('',#512550,#420943,#420944); #345895=AXIS2_PLACEMENT_3D('',#512553,#420946,#420947); #345896=AXIS2_PLACEMENT_3D('',#512554,#420948,#420949); #345897=AXIS2_PLACEMENT_3D('',#512556,#420950,#420951); #345898=AXIS2_PLACEMENT_3D('',#512559,#420953,#420954); #345899=AXIS2_PLACEMENT_3D('',#512560,#420955,#420956); #345900=AXIS2_PLACEMENT_3D('',#512562,#420957,#420958); #345901=AXIS2_PLACEMENT_3D('',#512565,#420960,#420961); #345902=AXIS2_PLACEMENT_3D('',#512566,#420962,#420963); #345903=AXIS2_PLACEMENT_3D('',#512568,#420964,#420965); #345904=AXIS2_PLACEMENT_3D('',#512571,#420967,#420968); #345905=AXIS2_PLACEMENT_3D('',#512572,#420969,#420970); #345906=AXIS2_PLACEMENT_3D('',#512574,#420971,#420972); #345907=AXIS2_PLACEMENT_3D('',#512577,#420974,#420975); #345908=AXIS2_PLACEMENT_3D('',#512578,#420976,#420977); #345909=AXIS2_PLACEMENT_3D('',#512580,#420978,#420979); #345910=AXIS2_PLACEMENT_3D('',#512583,#420981,#420982); #345911=AXIS2_PLACEMENT_3D('',#512584,#420983,#420984); #345912=AXIS2_PLACEMENT_3D('',#512586,#420985,#420986); #345913=AXIS2_PLACEMENT_3D('',#512589,#420988,#420989); #345914=AXIS2_PLACEMENT_3D('',#512590,#420990,#420991); #345915=AXIS2_PLACEMENT_3D('',#512592,#420992,#420993); #345916=AXIS2_PLACEMENT_3D('',#512595,#420995,#420996); #345917=AXIS2_PLACEMENT_3D('',#512596,#420997,#420998); #345918=AXIS2_PLACEMENT_3D('',#512598,#420999,#421000); #345919=AXIS2_PLACEMENT_3D('',#512601,#421002,#421003); #345920=AXIS2_PLACEMENT_3D('',#512602,#421004,#421005); #345921=AXIS2_PLACEMENT_3D('',#512604,#421006,#421007); #345922=AXIS2_PLACEMENT_3D('',#512607,#421009,#421010); #345923=AXIS2_PLACEMENT_3D('',#512608,#421011,#421012); #345924=AXIS2_PLACEMENT_3D('',#512610,#421013,#421014); #345925=AXIS2_PLACEMENT_3D('',#512613,#421016,#421017); #345926=AXIS2_PLACEMENT_3D('',#512614,#421018,#421019); #345927=AXIS2_PLACEMENT_3D('',#512616,#421020,#421021); #345928=AXIS2_PLACEMENT_3D('',#512619,#421023,#421024); #345929=AXIS2_PLACEMENT_3D('',#512620,#421025,#421026); #345930=AXIS2_PLACEMENT_3D('',#512622,#421027,#421028); #345931=AXIS2_PLACEMENT_3D('',#512625,#421030,#421031); #345932=AXIS2_PLACEMENT_3D('',#512626,#421032,#421033); #345933=AXIS2_PLACEMENT_3D('',#512628,#421034,#421035); #345934=AXIS2_PLACEMENT_3D('',#512631,#421037,#421038); #345935=AXIS2_PLACEMENT_3D('',#512632,#421039,#421040); #345936=AXIS2_PLACEMENT_3D('',#512634,#421041,#421042); #345937=AXIS2_PLACEMENT_3D('',#512637,#421044,#421045); #345938=AXIS2_PLACEMENT_3D('',#512638,#421046,#421047); #345939=AXIS2_PLACEMENT_3D('',#512640,#421048,#421049); #345940=AXIS2_PLACEMENT_3D('',#512643,#421051,#421052); #345941=AXIS2_PLACEMENT_3D('',#512644,#421053,#421054); #345942=AXIS2_PLACEMENT_3D('',#512646,#421055,#421056); #345943=AXIS2_PLACEMENT_3D('',#512649,#421058,#421059); #345944=AXIS2_PLACEMENT_3D('',#512650,#421060,#421061); #345945=AXIS2_PLACEMENT_3D('',#512652,#421062,#421063); #345946=AXIS2_PLACEMENT_3D('',#512655,#421065,#421066); #345947=AXIS2_PLACEMENT_3D('',#512656,#421067,#421068); #345948=AXIS2_PLACEMENT_3D('',#512658,#421069,#421070); #345949=AXIS2_PLACEMENT_3D('',#512661,#421072,#421073); #345950=AXIS2_PLACEMENT_3D('',#512662,#421074,#421075); #345951=AXIS2_PLACEMENT_3D('',#512664,#421076,#421077); #345952=AXIS2_PLACEMENT_3D('',#512667,#421079,#421080); #345953=AXIS2_PLACEMENT_3D('',#512668,#421081,#421082); #345954=AXIS2_PLACEMENT_3D('',#512670,#421083,#421084); #345955=AXIS2_PLACEMENT_3D('',#512673,#421086,#421087); #345956=AXIS2_PLACEMENT_3D('',#512674,#421088,#421089); #345957=AXIS2_PLACEMENT_3D('',#512676,#421090,#421091); #345958=AXIS2_PLACEMENT_3D('',#512679,#421093,#421094); #345959=AXIS2_PLACEMENT_3D('',#512680,#421095,#421096); #345960=AXIS2_PLACEMENT_3D('',#512682,#421097,#421098); #345961=AXIS2_PLACEMENT_3D('',#512685,#421100,#421101); #345962=AXIS2_PLACEMENT_3D('',#512686,#421102,#421103); #345963=AXIS2_PLACEMENT_3D('',#512688,#421104,#421105); #345964=AXIS2_PLACEMENT_3D('',#512691,#421107,#421108); #345965=AXIS2_PLACEMENT_3D('',#512692,#421109,#421110); #345966=AXIS2_PLACEMENT_3D('',#512694,#421111,#421112); #345967=AXIS2_PLACEMENT_3D('',#512697,#421114,#421115); #345968=AXIS2_PLACEMENT_3D('',#512698,#421116,#421117); #345969=AXIS2_PLACEMENT_3D('',#512700,#421118,#421119); #345970=AXIS2_PLACEMENT_3D('',#512703,#421121,#421122); #345971=AXIS2_PLACEMENT_3D('',#512704,#421123,#421124); #345972=AXIS2_PLACEMENT_3D('',#512706,#421125,#421126); #345973=AXIS2_PLACEMENT_3D('',#512709,#421128,#421129); #345974=AXIS2_PLACEMENT_3D('',#512710,#421130,#421131); #345975=AXIS2_PLACEMENT_3D('',#512712,#421132,#421133); #345976=AXIS2_PLACEMENT_3D('',#512715,#421135,#421136); #345977=AXIS2_PLACEMENT_3D('',#512716,#421137,#421138); #345978=AXIS2_PLACEMENT_3D('',#512718,#421139,#421140); #345979=AXIS2_PLACEMENT_3D('',#512721,#421142,#421143); #345980=AXIS2_PLACEMENT_3D('',#512722,#421144,#421145); #345981=AXIS2_PLACEMENT_3D('',#512724,#421146,#421147); #345982=AXIS2_PLACEMENT_3D('',#512727,#421149,#421150); #345983=AXIS2_PLACEMENT_3D('',#512728,#421151,#421152); #345984=AXIS2_PLACEMENT_3D('',#512730,#421153,#421154); #345985=AXIS2_PLACEMENT_3D('',#512733,#421156,#421157); #345986=AXIS2_PLACEMENT_3D('',#512734,#421158,#421159); #345987=AXIS2_PLACEMENT_3D('',#512736,#421160,#421161); #345988=AXIS2_PLACEMENT_3D('',#512739,#421163,#421164); #345989=AXIS2_PLACEMENT_3D('',#512740,#421165,#421166); #345990=AXIS2_PLACEMENT_3D('',#512742,#421167,#421168); #345991=AXIS2_PLACEMENT_3D('',#512745,#421170,#421171); #345992=AXIS2_PLACEMENT_3D('',#512746,#421172,#421173); #345993=AXIS2_PLACEMENT_3D('',#512748,#421174,#421175); #345994=AXIS2_PLACEMENT_3D('',#512751,#421177,#421178); #345995=AXIS2_PLACEMENT_3D('',#512752,#421179,#421180); #345996=AXIS2_PLACEMENT_3D('',#512754,#421181,#421182); #345997=AXIS2_PLACEMENT_3D('',#512757,#421184,#421185); #345998=AXIS2_PLACEMENT_3D('',#512758,#421186,#421187); #345999=AXIS2_PLACEMENT_3D('',#512760,#421188,#421189); #346000=AXIS2_PLACEMENT_3D('',#512763,#421191,#421192); #346001=AXIS2_PLACEMENT_3D('',#512764,#421193,#421194); #346002=AXIS2_PLACEMENT_3D('',#512766,#421195,#421196); #346003=AXIS2_PLACEMENT_3D('',#512769,#421198,#421199); #346004=AXIS2_PLACEMENT_3D('',#512770,#421200,#421201); #346005=AXIS2_PLACEMENT_3D('',#512772,#421202,#421203); #346006=AXIS2_PLACEMENT_3D('',#512775,#421205,#421206); #346007=AXIS2_PLACEMENT_3D('',#512776,#421207,#421208); #346008=AXIS2_PLACEMENT_3D('',#512778,#421209,#421210); #346009=AXIS2_PLACEMENT_3D('',#512781,#421212,#421213); #346010=AXIS2_PLACEMENT_3D('',#512782,#421214,#421215); #346011=AXIS2_PLACEMENT_3D('',#512784,#421216,#421217); #346012=AXIS2_PLACEMENT_3D('',#512787,#421219,#421220); #346013=AXIS2_PLACEMENT_3D('',#512788,#421221,#421222); #346014=AXIS2_PLACEMENT_3D('',#512790,#421223,#421224); #346015=AXIS2_PLACEMENT_3D('',#512793,#421226,#421227); #346016=AXIS2_PLACEMENT_3D('',#512794,#421228,#421229); #346017=AXIS2_PLACEMENT_3D('',#512796,#421230,#421231); #346018=AXIS2_PLACEMENT_3D('',#512799,#421233,#421234); #346019=AXIS2_PLACEMENT_3D('',#512800,#421235,#421236); #346020=AXIS2_PLACEMENT_3D('',#512802,#421237,#421238); #346021=AXIS2_PLACEMENT_3D('',#512805,#421240,#421241); #346022=AXIS2_PLACEMENT_3D('',#512806,#421242,#421243); #346023=AXIS2_PLACEMENT_3D('',#512808,#421244,#421245); #346024=AXIS2_PLACEMENT_3D('',#512811,#421247,#421248); #346025=AXIS2_PLACEMENT_3D('',#512812,#421249,#421250); #346026=AXIS2_PLACEMENT_3D('',#512814,#421251,#421252); #346027=AXIS2_PLACEMENT_3D('',#512817,#421254,#421255); #346028=AXIS2_PLACEMENT_3D('',#512818,#421256,#421257); #346029=AXIS2_PLACEMENT_3D('',#512820,#421258,#421259); #346030=AXIS2_PLACEMENT_3D('',#512823,#421261,#421262); #346031=AXIS2_PLACEMENT_3D('',#512824,#421263,#421264); #346032=AXIS2_PLACEMENT_3D('',#512826,#421265,#421266); #346033=AXIS2_PLACEMENT_3D('',#512829,#421268,#421269); #346034=AXIS2_PLACEMENT_3D('',#512830,#421270,#421271); #346035=AXIS2_PLACEMENT_3D('',#512832,#421272,#421273); #346036=AXIS2_PLACEMENT_3D('',#512835,#421275,#421276); #346037=AXIS2_PLACEMENT_3D('',#512836,#421277,#421278); #346038=AXIS2_PLACEMENT_3D('',#512838,#421279,#421280); #346039=AXIS2_PLACEMENT_3D('',#512841,#421282,#421283); #346040=AXIS2_PLACEMENT_3D('',#512842,#421284,#421285); #346041=AXIS2_PLACEMENT_3D('',#512844,#421286,#421287); #346042=AXIS2_PLACEMENT_3D('',#512847,#421289,#421290); #346043=AXIS2_PLACEMENT_3D('',#512848,#421291,#421292); #346044=AXIS2_PLACEMENT_3D('',#512850,#421293,#421294); #346045=AXIS2_PLACEMENT_3D('',#512853,#421296,#421297); #346046=AXIS2_PLACEMENT_3D('',#512854,#421298,#421299); #346047=AXIS2_PLACEMENT_3D('',#512856,#421300,#421301); #346048=AXIS2_PLACEMENT_3D('',#512859,#421303,#421304); #346049=AXIS2_PLACEMENT_3D('',#512860,#421305,#421306); #346050=AXIS2_PLACEMENT_3D('',#512862,#421307,#421308); #346051=AXIS2_PLACEMENT_3D('',#512865,#421310,#421311); #346052=AXIS2_PLACEMENT_3D('',#512866,#421312,#421313); #346053=AXIS2_PLACEMENT_3D('',#512868,#421314,#421315); #346054=AXIS2_PLACEMENT_3D('',#512871,#421317,#421318); #346055=AXIS2_PLACEMENT_3D('',#512872,#421319,#421320); #346056=AXIS2_PLACEMENT_3D('',#512874,#421321,#421322); #346057=AXIS2_PLACEMENT_3D('',#512877,#421324,#421325); #346058=AXIS2_PLACEMENT_3D('',#512878,#421326,#421327); #346059=AXIS2_PLACEMENT_3D('',#512880,#421328,#421329); #346060=AXIS2_PLACEMENT_3D('',#512883,#421331,#421332); #346061=AXIS2_PLACEMENT_3D('',#512884,#421333,#421334); #346062=AXIS2_PLACEMENT_3D('',#512886,#421335,#421336); #346063=AXIS2_PLACEMENT_3D('',#512889,#421338,#421339); #346064=AXIS2_PLACEMENT_3D('',#512890,#421340,#421341); #346065=AXIS2_PLACEMENT_3D('',#512892,#421342,#421343); #346066=AXIS2_PLACEMENT_3D('',#512895,#421345,#421346); #346067=AXIS2_PLACEMENT_3D('',#512896,#421347,#421348); #346068=AXIS2_PLACEMENT_3D('',#512898,#421349,#421350); #346069=AXIS2_PLACEMENT_3D('',#512901,#421352,#421353); #346070=AXIS2_PLACEMENT_3D('',#512902,#421354,#421355); #346071=AXIS2_PLACEMENT_3D('',#512904,#421356,#421357); #346072=AXIS2_PLACEMENT_3D('',#512907,#421359,#421360); #346073=AXIS2_PLACEMENT_3D('',#512908,#421361,#421362); #346074=AXIS2_PLACEMENT_3D('',#512910,#421363,#421364); #346075=AXIS2_PLACEMENT_3D('',#512913,#421366,#421367); #346076=AXIS2_PLACEMENT_3D('',#512914,#421368,#421369); #346077=AXIS2_PLACEMENT_3D('',#512916,#421370,#421371); #346078=AXIS2_PLACEMENT_3D('',#512919,#421373,#421374); #346079=AXIS2_PLACEMENT_3D('',#512920,#421375,#421376); #346080=AXIS2_PLACEMENT_3D('',#512922,#421377,#421378); #346081=AXIS2_PLACEMENT_3D('',#512925,#421380,#421381); #346082=AXIS2_PLACEMENT_3D('',#512926,#421382,#421383); #346083=AXIS2_PLACEMENT_3D('',#512928,#421384,#421385); #346084=AXIS2_PLACEMENT_3D('',#512931,#421387,#421388); #346085=AXIS2_PLACEMENT_3D('',#512932,#421389,#421390); #346086=AXIS2_PLACEMENT_3D('',#512934,#421391,#421392); #346087=AXIS2_PLACEMENT_3D('',#512937,#421394,#421395); #346088=AXIS2_PLACEMENT_3D('',#512938,#421396,#421397); #346089=AXIS2_PLACEMENT_3D('',#512940,#421398,#421399); #346090=AXIS2_PLACEMENT_3D('',#512943,#421401,#421402); #346091=AXIS2_PLACEMENT_3D('',#512944,#421403,#421404); #346092=AXIS2_PLACEMENT_3D('',#512946,#421405,#421406); #346093=AXIS2_PLACEMENT_3D('',#512949,#421408,#421409); #346094=AXIS2_PLACEMENT_3D('',#512950,#421410,#421411); #346095=AXIS2_PLACEMENT_3D('',#512952,#421412,#421413); #346096=AXIS2_PLACEMENT_3D('',#512955,#421415,#421416); #346097=AXIS2_PLACEMENT_3D('',#512956,#421417,#421418); #346098=AXIS2_PLACEMENT_3D('',#512958,#421419,#421420); #346099=AXIS2_PLACEMENT_3D('',#512961,#421422,#421423); #346100=AXIS2_PLACEMENT_3D('',#512962,#421424,#421425); #346101=AXIS2_PLACEMENT_3D('',#512964,#421426,#421427); #346102=AXIS2_PLACEMENT_3D('',#512967,#421429,#421430); #346103=AXIS2_PLACEMENT_3D('',#512968,#421431,#421432); #346104=AXIS2_PLACEMENT_3D('',#512970,#421433,#421434); #346105=AXIS2_PLACEMENT_3D('',#512973,#421436,#421437); #346106=AXIS2_PLACEMENT_3D('',#512974,#421438,#421439); #346107=AXIS2_PLACEMENT_3D('',#512976,#421440,#421441); #346108=AXIS2_PLACEMENT_3D('',#512979,#421443,#421444); #346109=AXIS2_PLACEMENT_3D('',#512980,#421445,#421446); #346110=AXIS2_PLACEMENT_3D('',#512982,#421447,#421448); #346111=AXIS2_PLACEMENT_3D('',#512985,#421450,#421451); #346112=AXIS2_PLACEMENT_3D('',#512986,#421452,#421453); #346113=AXIS2_PLACEMENT_3D('',#512988,#421454,#421455); #346114=AXIS2_PLACEMENT_3D('',#512991,#421457,#421458); #346115=AXIS2_PLACEMENT_3D('',#512992,#421459,#421460); #346116=AXIS2_PLACEMENT_3D('',#512994,#421461,#421462); #346117=AXIS2_PLACEMENT_3D('',#512997,#421464,#421465); #346118=AXIS2_PLACEMENT_3D('',#512998,#421466,#421467); #346119=AXIS2_PLACEMENT_3D('',#513000,#421468,#421469); #346120=AXIS2_PLACEMENT_3D('',#513003,#421471,#421472); #346121=AXIS2_PLACEMENT_3D('',#513004,#421473,#421474); #346122=AXIS2_PLACEMENT_3D('',#513006,#421475,#421476); #346123=AXIS2_PLACEMENT_3D('',#513009,#421478,#421479); #346124=AXIS2_PLACEMENT_3D('',#513010,#421480,#421481); #346125=AXIS2_PLACEMENT_3D('',#513012,#421482,#421483); #346126=AXIS2_PLACEMENT_3D('',#513015,#421485,#421486); #346127=AXIS2_PLACEMENT_3D('',#513016,#421487,#421488); #346128=AXIS2_PLACEMENT_3D('',#513018,#421489,#421490); #346129=AXIS2_PLACEMENT_3D('',#513021,#421492,#421493); #346130=AXIS2_PLACEMENT_3D('',#513022,#421494,#421495); #346131=AXIS2_PLACEMENT_3D('',#513024,#421496,#421497); #346132=AXIS2_PLACEMENT_3D('',#513027,#421499,#421500); #346133=AXIS2_PLACEMENT_3D('',#513028,#421501,#421502); #346134=AXIS2_PLACEMENT_3D('',#513030,#421503,#421504); #346135=AXIS2_PLACEMENT_3D('',#513033,#421506,#421507); #346136=AXIS2_PLACEMENT_3D('',#513034,#421508,#421509); #346137=AXIS2_PLACEMENT_3D('',#513036,#421510,#421511); #346138=AXIS2_PLACEMENT_3D('',#513039,#421513,#421514); #346139=AXIS2_PLACEMENT_3D('',#513040,#421515,#421516); #346140=AXIS2_PLACEMENT_3D('',#513042,#421517,#421518); #346141=AXIS2_PLACEMENT_3D('',#513045,#421520,#421521); #346142=AXIS2_PLACEMENT_3D('',#513046,#421522,#421523); #346143=AXIS2_PLACEMENT_3D('',#513048,#421524,#421525); #346144=AXIS2_PLACEMENT_3D('',#513051,#421527,#421528); #346145=AXIS2_PLACEMENT_3D('',#513052,#421529,#421530); #346146=AXIS2_PLACEMENT_3D('',#513054,#421531,#421532); #346147=AXIS2_PLACEMENT_3D('',#513057,#421534,#421535); #346148=AXIS2_PLACEMENT_3D('',#513058,#421536,#421537); #346149=AXIS2_PLACEMENT_3D('',#513060,#421538,#421539); #346150=AXIS2_PLACEMENT_3D('',#513063,#421541,#421542); #346151=AXIS2_PLACEMENT_3D('',#513064,#421543,#421544); #346152=AXIS2_PLACEMENT_3D('',#513066,#421545,#421546); #346153=AXIS2_PLACEMENT_3D('',#513069,#421548,#421549); #346154=AXIS2_PLACEMENT_3D('',#513070,#421550,#421551); #346155=AXIS2_PLACEMENT_3D('',#513072,#421552,#421553); #346156=AXIS2_PLACEMENT_3D('',#513075,#421555,#421556); #346157=AXIS2_PLACEMENT_3D('',#513076,#421557,#421558); #346158=AXIS2_PLACEMENT_3D('',#513078,#421559,#421560); #346159=AXIS2_PLACEMENT_3D('',#513081,#421562,#421563); #346160=AXIS2_PLACEMENT_3D('',#513082,#421564,#421565); #346161=AXIS2_PLACEMENT_3D('',#513084,#421566,#421567); #346162=AXIS2_PLACEMENT_3D('',#513087,#421569,#421570); #346163=AXIS2_PLACEMENT_3D('',#513088,#421571,#421572); #346164=AXIS2_PLACEMENT_3D('',#513090,#421573,#421574); #346165=AXIS2_PLACEMENT_3D('',#513093,#421576,#421577); #346166=AXIS2_PLACEMENT_3D('',#513094,#421578,#421579); #346167=AXIS2_PLACEMENT_3D('',#513096,#421580,#421581); #346168=AXIS2_PLACEMENT_3D('',#513099,#421583,#421584); #346169=AXIS2_PLACEMENT_3D('',#513100,#421585,#421586); #346170=AXIS2_PLACEMENT_3D('',#513102,#421587,#421588); #346171=AXIS2_PLACEMENT_3D('',#513105,#421590,#421591); #346172=AXIS2_PLACEMENT_3D('',#513106,#421592,#421593); #346173=AXIS2_PLACEMENT_3D('',#513108,#421594,#421595); #346174=AXIS2_PLACEMENT_3D('',#513111,#421597,#421598); #346175=AXIS2_PLACEMENT_3D('',#513112,#421599,#421600); #346176=AXIS2_PLACEMENT_3D('',#513114,#421601,#421602); #346177=AXIS2_PLACEMENT_3D('',#513117,#421604,#421605); #346178=AXIS2_PLACEMENT_3D('',#513118,#421606,#421607); #346179=AXIS2_PLACEMENT_3D('',#513120,#421608,#421609); #346180=AXIS2_PLACEMENT_3D('',#513123,#421611,#421612); #346181=AXIS2_PLACEMENT_3D('',#513124,#421613,#421614); #346182=AXIS2_PLACEMENT_3D('',#513126,#421615,#421616); #346183=AXIS2_PLACEMENT_3D('',#513129,#421618,#421619); #346184=AXIS2_PLACEMENT_3D('',#513130,#421620,#421621); #346185=AXIS2_PLACEMENT_3D('',#513132,#421622,#421623); #346186=AXIS2_PLACEMENT_3D('',#513135,#421625,#421626); #346187=AXIS2_PLACEMENT_3D('',#513136,#421627,#421628); #346188=AXIS2_PLACEMENT_3D('',#513138,#421629,#421630); #346189=AXIS2_PLACEMENT_3D('',#513141,#421632,#421633); #346190=AXIS2_PLACEMENT_3D('',#513142,#421634,#421635); #346191=AXIS2_PLACEMENT_3D('',#513144,#421636,#421637); #346192=AXIS2_PLACEMENT_3D('',#513147,#421639,#421640); #346193=AXIS2_PLACEMENT_3D('',#513148,#421641,#421642); #346194=AXIS2_PLACEMENT_3D('',#513150,#421643,#421644); #346195=AXIS2_PLACEMENT_3D('',#513153,#421646,#421647); #346196=AXIS2_PLACEMENT_3D('',#513154,#421648,#421649); #346197=AXIS2_PLACEMENT_3D('',#513156,#421650,#421651); #346198=AXIS2_PLACEMENT_3D('',#513159,#421653,#421654); #346199=AXIS2_PLACEMENT_3D('',#513160,#421655,#421656); #346200=AXIS2_PLACEMENT_3D('',#513162,#421657,#421658); #346201=AXIS2_PLACEMENT_3D('',#513165,#421660,#421661); #346202=AXIS2_PLACEMENT_3D('',#513166,#421662,#421663); #346203=AXIS2_PLACEMENT_3D('',#513168,#421664,#421665); #346204=AXIS2_PLACEMENT_3D('',#513171,#421667,#421668); #346205=AXIS2_PLACEMENT_3D('',#513172,#421669,#421670); #346206=AXIS2_PLACEMENT_3D('',#513174,#421671,#421672); #346207=AXIS2_PLACEMENT_3D('',#513177,#421674,#421675); #346208=AXIS2_PLACEMENT_3D('',#513178,#421676,#421677); #346209=AXIS2_PLACEMENT_3D('',#513180,#421678,#421679); #346210=AXIS2_PLACEMENT_3D('',#513183,#421681,#421682); #346211=AXIS2_PLACEMENT_3D('',#513184,#421683,#421684); #346212=AXIS2_PLACEMENT_3D('',#513186,#421685,#421686); #346213=AXIS2_PLACEMENT_3D('',#513189,#421688,#421689); #346214=AXIS2_PLACEMENT_3D('',#513190,#421690,#421691); #346215=AXIS2_PLACEMENT_3D('',#513192,#421692,#421693); #346216=AXIS2_PLACEMENT_3D('',#513195,#421695,#421696); #346217=AXIS2_PLACEMENT_3D('',#513196,#421697,#421698); #346218=AXIS2_PLACEMENT_3D('',#513198,#421699,#421700); #346219=AXIS2_PLACEMENT_3D('',#513201,#421702,#421703); #346220=AXIS2_PLACEMENT_3D('',#513202,#421704,#421705); #346221=AXIS2_PLACEMENT_3D('',#513204,#421706,#421707); #346222=AXIS2_PLACEMENT_3D('',#513207,#421709,#421710); #346223=AXIS2_PLACEMENT_3D('',#513208,#421711,#421712); #346224=AXIS2_PLACEMENT_3D('',#513210,#421713,#421714); #346225=AXIS2_PLACEMENT_3D('',#513213,#421716,#421717); #346226=AXIS2_PLACEMENT_3D('',#513214,#421718,#421719); #346227=AXIS2_PLACEMENT_3D('',#513216,#421720,#421721); #346228=AXIS2_PLACEMENT_3D('',#513219,#421723,#421724); #346229=AXIS2_PLACEMENT_3D('',#513220,#421725,#421726); #346230=AXIS2_PLACEMENT_3D('',#513222,#421727,#421728); #346231=AXIS2_PLACEMENT_3D('',#513225,#421730,#421731); #346232=AXIS2_PLACEMENT_3D('',#513226,#421732,#421733); #346233=AXIS2_PLACEMENT_3D('',#513228,#421734,#421735); #346234=AXIS2_PLACEMENT_3D('',#513231,#421737,#421738); #346235=AXIS2_PLACEMENT_3D('',#513232,#421739,#421740); #346236=AXIS2_PLACEMENT_3D('',#513234,#421741,#421742); #346237=AXIS2_PLACEMENT_3D('',#513237,#421744,#421745); #346238=AXIS2_PLACEMENT_3D('',#513238,#421746,#421747); #346239=AXIS2_PLACEMENT_3D('',#513240,#421748,#421749); #346240=AXIS2_PLACEMENT_3D('',#513243,#421751,#421752); #346241=AXIS2_PLACEMENT_3D('',#513244,#421753,#421754); #346242=AXIS2_PLACEMENT_3D('',#513246,#421755,#421756); #346243=AXIS2_PLACEMENT_3D('',#513249,#421758,#421759); #346244=AXIS2_PLACEMENT_3D('',#513250,#421760,#421761); #346245=AXIS2_PLACEMENT_3D('',#513252,#421762,#421763); #346246=AXIS2_PLACEMENT_3D('',#513255,#421765,#421766); #346247=AXIS2_PLACEMENT_3D('',#513256,#421767,#421768); #346248=AXIS2_PLACEMENT_3D('',#513258,#421769,#421770); #346249=AXIS2_PLACEMENT_3D('',#513261,#421772,#421773); #346250=AXIS2_PLACEMENT_3D('',#513262,#421774,#421775); #346251=AXIS2_PLACEMENT_3D('',#513264,#421776,#421777); #346252=AXIS2_PLACEMENT_3D('',#513267,#421779,#421780); #346253=AXIS2_PLACEMENT_3D('',#513268,#421781,#421782); #346254=AXIS2_PLACEMENT_3D('',#513270,#421783,#421784); #346255=AXIS2_PLACEMENT_3D('',#513273,#421786,#421787); #346256=AXIS2_PLACEMENT_3D('',#513274,#421788,#421789); #346257=AXIS2_PLACEMENT_3D('',#513276,#421790,#421791); #346258=AXIS2_PLACEMENT_3D('',#513279,#421793,#421794); #346259=AXIS2_PLACEMENT_3D('',#513280,#421795,#421796); #346260=AXIS2_PLACEMENT_3D('',#513282,#421797,#421798); #346261=AXIS2_PLACEMENT_3D('',#513285,#421800,#421801); #346262=AXIS2_PLACEMENT_3D('',#513286,#421802,#421803); #346263=AXIS2_PLACEMENT_3D('',#513295,#421808,#421809); #346264=AXIS2_PLACEMENT_3D('',#513297,#421810,#421811); #346265=AXIS2_PLACEMENT_3D('',#513299,#421812,#421813); #346266=AXIS2_PLACEMENT_3D('',#513301,#421815,#421816); #346267=AXIS2_PLACEMENT_3D('',#513307,#421820,#421821); #346268=AXIS2_PLACEMENT_3D('',#513308,#421822,#421823); #346269=AXIS2_PLACEMENT_3D('',#513309,#421824,#421825); #346270=AXIS2_PLACEMENT_3D('',#513310,#421826,#421827); #346271=AXIS2_PLACEMENT_3D('',#513312,#421828,#421829); #346272=AXIS2_PLACEMENT_3D('',#513315,#421831,#421832); #346273=AXIS2_PLACEMENT_3D('',#513316,#421833,#421834); #346274=AXIS2_PLACEMENT_3D('',#513325,#421839,#421840); #346275=AXIS2_PLACEMENT_3D('',#513327,#421841,#421842); #346276=AXIS2_PLACEMENT_3D('',#513329,#421843,#421844); #346277=AXIS2_PLACEMENT_3D('',#513331,#421846,#421847); #346278=AXIS2_PLACEMENT_3D('',#513337,#421851,#421852); #346279=AXIS2_PLACEMENT_3D('',#513338,#421853,#421854); #346280=AXIS2_PLACEMENT_3D('',#513339,#421855,#421856); #346281=AXIS2_PLACEMENT_3D('',#513340,#421857,#421858); #346282=AXIS2_PLACEMENT_3D('',#513342,#421859,#421860); #346283=AXIS2_PLACEMENT_3D('',#513345,#421862,#421863); #346284=AXIS2_PLACEMENT_3D('',#513346,#421864,#421865); #346285=AXIS2_PLACEMENT_3D('',#513348,#421866,#421867); #346286=AXIS2_PLACEMENT_3D('',#513351,#421869,#421870); #346287=AXIS2_PLACEMENT_3D('',#513352,#421871,#421872); #346288=AXIS2_PLACEMENT_3D('',#513354,#421873,#421874); #346289=AXIS2_PLACEMENT_3D('',#513357,#421876,#421877); #346290=AXIS2_PLACEMENT_3D('',#513358,#421878,#421879); #346291=AXIS2_PLACEMENT_3D('',#513367,#421884,#421885); #346292=AXIS2_PLACEMENT_3D('',#513369,#421886,#421887); #346293=AXIS2_PLACEMENT_3D('',#513371,#421888,#421889); #346294=AXIS2_PLACEMENT_3D('',#513373,#421891,#421892); #346295=AXIS2_PLACEMENT_3D('',#513379,#421896,#421897); #346296=AXIS2_PLACEMENT_3D('',#513380,#421898,#421899); #346297=AXIS2_PLACEMENT_3D('',#513381,#421900,#421901); #346298=AXIS2_PLACEMENT_3D('',#513382,#421902,#421903); #346299=AXIS2_PLACEMENT_3D('',#513384,#421904,#421905); #346300=AXIS2_PLACEMENT_3D('',#513387,#421907,#421908); #346301=AXIS2_PLACEMENT_3D('',#513388,#421909,#421910); #346302=AXIS2_PLACEMENT_3D('',#513390,#421911,#421912); #346303=AXIS2_PLACEMENT_3D('',#513393,#421914,#421915); #346304=AXIS2_PLACEMENT_3D('',#513394,#421916,#421917); #346305=AXIS2_PLACEMENT_3D('',#513396,#421918,#421919); #346306=AXIS2_PLACEMENT_3D('',#513399,#421921,#421922); #346307=AXIS2_PLACEMENT_3D('',#513400,#421923,#421924); #346308=AXIS2_PLACEMENT_3D('',#513402,#421925,#421926); #346309=AXIS2_PLACEMENT_3D('',#513405,#421928,#421929); #346310=AXIS2_PLACEMENT_3D('',#513406,#421930,#421931); #346311=AXIS2_PLACEMENT_3D('',#513408,#421932,#421933); #346312=AXIS2_PLACEMENT_3D('',#513411,#421935,#421936); #346313=AXIS2_PLACEMENT_3D('',#513412,#421937,#421938); #346314=AXIS2_PLACEMENT_3D('',#513414,#421939,#421940); #346315=AXIS2_PLACEMENT_3D('',#513417,#421942,#421943); #346316=AXIS2_PLACEMENT_3D('',#513418,#421944,#421945); #346317=AXIS2_PLACEMENT_3D('',#513420,#421946,#421947); #346318=AXIS2_PLACEMENT_3D('',#513423,#421949,#421950); #346319=AXIS2_PLACEMENT_3D('',#513424,#421951,#421952); #346320=AXIS2_PLACEMENT_3D('',#513426,#421953,#421954); #346321=AXIS2_PLACEMENT_3D('',#513429,#421956,#421957); #346322=AXIS2_PLACEMENT_3D('',#513430,#421958,#421959); #346323=AXIS2_PLACEMENT_3D('',#513432,#421960,#421961); #346324=AXIS2_PLACEMENT_3D('',#513435,#421963,#421964); #346325=AXIS2_PLACEMENT_3D('',#513436,#421965,#421966); #346326=AXIS2_PLACEMENT_3D('',#513438,#421967,#421968); #346327=AXIS2_PLACEMENT_3D('',#513441,#421970,#421971); #346328=AXIS2_PLACEMENT_3D('',#513442,#421972,#421973); #346329=AXIS2_PLACEMENT_3D('',#513451,#421978,#421979); #346330=AXIS2_PLACEMENT_3D('',#513453,#421980,#421981); #346331=AXIS2_PLACEMENT_3D('',#513455,#421982,#421983); #346332=AXIS2_PLACEMENT_3D('',#513457,#421985,#421986); #346333=AXIS2_PLACEMENT_3D('',#513463,#421990,#421991); #346334=AXIS2_PLACEMENT_3D('',#513464,#421992,#421993); #346335=AXIS2_PLACEMENT_3D('',#513465,#421994,#421995); #346336=AXIS2_PLACEMENT_3D('',#513466,#421996,#421997); #346337=AXIS2_PLACEMENT_3D('',#513468,#421998,#421999); #346338=AXIS2_PLACEMENT_3D('',#513471,#422001,#422002); #346339=AXIS2_PLACEMENT_3D('',#513472,#422003,#422004); #346340=AXIS2_PLACEMENT_3D('',#513481,#422009,#422010); #346341=AXIS2_PLACEMENT_3D('',#513483,#422011,#422012); #346342=AXIS2_PLACEMENT_3D('',#513485,#422013,#422014); #346343=AXIS2_PLACEMENT_3D('',#513487,#422016,#422017); #346344=AXIS2_PLACEMENT_3D('',#513493,#422021,#422022); #346345=AXIS2_PLACEMENT_3D('',#513494,#422023,#422024); #346346=AXIS2_PLACEMENT_3D('',#513495,#422025,#422026); #346347=AXIS2_PLACEMENT_3D('',#513496,#422027,#422028); #346348=AXIS2_PLACEMENT_3D('',#513498,#422029,#422030); #346349=AXIS2_PLACEMENT_3D('',#513501,#422032,#422033); #346350=AXIS2_PLACEMENT_3D('',#513502,#422034,#422035); #346351=AXIS2_PLACEMENT_3D('',#513511,#422040,#422041); #346352=AXIS2_PLACEMENT_3D('',#513513,#422042,#422043); #346353=AXIS2_PLACEMENT_3D('',#513515,#422044,#422045); #346354=AXIS2_PLACEMENT_3D('',#513517,#422047,#422048); #346355=AXIS2_PLACEMENT_3D('',#513523,#422052,#422053); #346356=AXIS2_PLACEMENT_3D('',#513524,#422054,#422055); #346357=AXIS2_PLACEMENT_3D('',#513525,#422056,#422057); #346358=AXIS2_PLACEMENT_3D('',#513526,#422058,#422059); #346359=AXIS2_PLACEMENT_3D('',#513528,#422060,#422061); #346360=AXIS2_PLACEMENT_3D('',#513531,#422063,#422064); #346361=AXIS2_PLACEMENT_3D('',#513532,#422065,#422066); #346362=AXIS2_PLACEMENT_3D('',#513534,#422067,#422068); #346363=AXIS2_PLACEMENT_3D('',#513537,#422070,#422071); #346364=AXIS2_PLACEMENT_3D('',#513538,#422072,#422073); #346365=AXIS2_PLACEMENT_3D('',#513540,#422074,#422075); #346366=AXIS2_PLACEMENT_3D('',#513543,#422077,#422078); #346367=AXIS2_PLACEMENT_3D('',#513544,#422079,#422080); #346368=AXIS2_PLACEMENT_3D('',#513546,#422081,#422082); #346369=AXIS2_PLACEMENT_3D('',#513549,#422084,#422085); #346370=AXIS2_PLACEMENT_3D('',#513550,#422086,#422087); #346371=AXIS2_PLACEMENT_3D('',#513552,#422088,#422089); #346372=AXIS2_PLACEMENT_3D('',#513555,#422091,#422092); #346373=AXIS2_PLACEMENT_3D('',#513556,#422093,#422094); #346374=AXIS2_PLACEMENT_3D('',#513558,#422095,#422096); #346375=AXIS2_PLACEMENT_3D('',#513561,#422098,#422099); #346376=AXIS2_PLACEMENT_3D('',#513562,#422100,#422101); #346377=AXIS2_PLACEMENT_3D('',#513564,#422102,#422103); #346378=AXIS2_PLACEMENT_3D('',#513567,#422105,#422106); #346379=AXIS2_PLACEMENT_3D('',#513568,#422107,#422108); #346380=AXIS2_PLACEMENT_3D('',#513570,#422109,#422110); #346381=AXIS2_PLACEMENT_3D('',#513573,#422112,#422113); #346382=AXIS2_PLACEMENT_3D('',#513574,#422114,#422115); #346383=AXIS2_PLACEMENT_3D('',#513576,#422116,#422117); #346384=AXIS2_PLACEMENT_3D('',#513579,#422119,#422120); #346385=AXIS2_PLACEMENT_3D('',#513580,#422121,#422122); #346386=AXIS2_PLACEMENT_3D('',#513582,#422123,#422124); #346387=AXIS2_PLACEMENT_3D('',#513585,#422126,#422127); #346388=AXIS2_PLACEMENT_3D('',#513586,#422128,#422129); #346389=AXIS2_PLACEMENT_3D('',#513588,#422130,#422131); #346390=AXIS2_PLACEMENT_3D('',#513591,#422133,#422134); #346391=AXIS2_PLACEMENT_3D('',#513592,#422135,#422136); #346392=AXIS2_PLACEMENT_3D('',#513594,#422137,#422138); #346393=AXIS2_PLACEMENT_3D('',#513597,#422140,#422141); #346394=AXIS2_PLACEMENT_3D('',#513598,#422142,#422143); #346395=AXIS2_PLACEMENT_3D('',#513600,#422144,#422145); #346396=AXIS2_PLACEMENT_3D('',#513603,#422147,#422148); #346397=AXIS2_PLACEMENT_3D('',#513604,#422149,#422150); #346398=AXIS2_PLACEMENT_3D('',#513606,#422151,#422152); #346399=AXIS2_PLACEMENT_3D('',#513609,#422154,#422155); #346400=AXIS2_PLACEMENT_3D('',#513610,#422156,#422157); #346401=AXIS2_PLACEMENT_3D('',#513612,#422158,#422159); #346402=AXIS2_PLACEMENT_3D('',#513615,#422161,#422162); #346403=AXIS2_PLACEMENT_3D('',#513616,#422163,#422164); #346404=AXIS2_PLACEMENT_3D('',#513618,#422165,#422166); #346405=AXIS2_PLACEMENT_3D('',#513621,#422168,#422169); #346406=AXIS2_PLACEMENT_3D('',#513622,#422170,#422171); #346407=AXIS2_PLACEMENT_3D('',#513624,#422172,#422173); #346408=AXIS2_PLACEMENT_3D('',#513627,#422175,#422176); #346409=AXIS2_PLACEMENT_3D('',#513628,#422177,#422178); #346410=AXIS2_PLACEMENT_3D('',#513630,#422179,#422180); #346411=AXIS2_PLACEMENT_3D('',#513633,#422182,#422183); #346412=AXIS2_PLACEMENT_3D('',#513634,#422184,#422185); #346413=AXIS2_PLACEMENT_3D('',#513636,#422186,#422187); #346414=AXIS2_PLACEMENT_3D('',#513639,#422189,#422190); #346415=AXIS2_PLACEMENT_3D('',#513640,#422191,#422192); #346416=AXIS2_PLACEMENT_3D('',#513642,#422193,#422194); #346417=AXIS2_PLACEMENT_3D('',#513645,#422196,#422197); #346418=AXIS2_PLACEMENT_3D('',#513646,#422198,#422199); #346419=AXIS2_PLACEMENT_3D('',#513648,#422200,#422201); #346420=AXIS2_PLACEMENT_3D('',#513651,#422203,#422204); #346421=AXIS2_PLACEMENT_3D('',#513652,#422205,#422206); #346422=AXIS2_PLACEMENT_3D('',#513654,#422207,#422208); #346423=AXIS2_PLACEMENT_3D('',#513657,#422210,#422211); #346424=AXIS2_PLACEMENT_3D('',#513658,#422212,#422213); #346425=AXIS2_PLACEMENT_3D('',#513660,#422214,#422215); #346426=AXIS2_PLACEMENT_3D('',#513663,#422217,#422218); #346427=AXIS2_PLACEMENT_3D('',#513664,#422219,#422220); #346428=AXIS2_PLACEMENT_3D('',#513666,#422221,#422222); #346429=AXIS2_PLACEMENT_3D('',#513669,#422224,#422225); #346430=AXIS2_PLACEMENT_3D('',#513670,#422226,#422227); #346431=AXIS2_PLACEMENT_3D('',#513672,#422228,#422229); #346432=AXIS2_PLACEMENT_3D('',#513675,#422231,#422232); #346433=AXIS2_PLACEMENT_3D('',#513676,#422233,#422234); #346434=AXIS2_PLACEMENT_3D('',#513678,#422235,#422236); #346435=AXIS2_PLACEMENT_3D('',#513681,#422238,#422239); #346436=AXIS2_PLACEMENT_3D('',#513682,#422240,#422241); #346437=AXIS2_PLACEMENT_3D('',#513684,#422242,#422243); #346438=AXIS2_PLACEMENT_3D('',#513687,#422245,#422246); #346439=AXIS2_PLACEMENT_3D('',#513688,#422247,#422248); #346440=AXIS2_PLACEMENT_3D('',#513690,#422249,#422250); #346441=AXIS2_PLACEMENT_3D('',#513693,#422252,#422253); #346442=AXIS2_PLACEMENT_3D('',#513694,#422254,#422255); #346443=AXIS2_PLACEMENT_3D('',#513696,#422256,#422257); #346444=AXIS2_PLACEMENT_3D('',#513699,#422259,#422260); #346445=AXIS2_PLACEMENT_3D('',#513700,#422261,#422262); #346446=AXIS2_PLACEMENT_3D('',#513702,#422263,#422264); #346447=AXIS2_PLACEMENT_3D('',#513705,#422266,#422267); #346448=AXIS2_PLACEMENT_3D('',#513706,#422268,#422269); #346449=AXIS2_PLACEMENT_3D('',#513708,#422270,#422271); #346450=AXIS2_PLACEMENT_3D('',#513711,#422273,#422274); #346451=AXIS2_PLACEMENT_3D('',#513712,#422275,#422276); #346452=AXIS2_PLACEMENT_3D('',#513714,#422277,#422278); #346453=AXIS2_PLACEMENT_3D('',#513717,#422280,#422281); #346454=AXIS2_PLACEMENT_3D('',#513718,#422282,#422283); #346455=AXIS2_PLACEMENT_3D('',#513720,#422284,#422285); #346456=AXIS2_PLACEMENT_3D('',#513723,#422287,#422288); #346457=AXIS2_PLACEMENT_3D('',#513724,#422289,#422290); #346458=AXIS2_PLACEMENT_3D('',#513726,#422291,#422292); #346459=AXIS2_PLACEMENT_3D('',#513729,#422294,#422295); #346460=AXIS2_PLACEMENT_3D('',#513730,#422296,#422297); #346461=AXIS2_PLACEMENT_3D('',#513732,#422298,#422299); #346462=AXIS2_PLACEMENT_3D('',#513735,#422301,#422302); #346463=AXIS2_PLACEMENT_3D('',#513736,#422303,#422304); #346464=AXIS2_PLACEMENT_3D('',#513738,#422305,#422306); #346465=AXIS2_PLACEMENT_3D('',#513741,#422308,#422309); #346466=AXIS2_PLACEMENT_3D('',#513742,#422310,#422311); #346467=AXIS2_PLACEMENT_3D('',#513744,#422312,#422313); #346468=AXIS2_PLACEMENT_3D('',#513747,#422315,#422316); #346469=AXIS2_PLACEMENT_3D('',#513748,#422317,#422318); #346470=AXIS2_PLACEMENT_3D('',#513750,#422319,#422320); #346471=AXIS2_PLACEMENT_3D('',#513753,#422322,#422323); #346472=AXIS2_PLACEMENT_3D('',#513754,#422324,#422325); #346473=AXIS2_PLACEMENT_3D('',#513756,#422326,#422327); #346474=AXIS2_PLACEMENT_3D('',#513759,#422329,#422330); #346475=AXIS2_PLACEMENT_3D('',#513760,#422331,#422332); #346476=AXIS2_PLACEMENT_3D('',#513762,#422333,#422334); #346477=AXIS2_PLACEMENT_3D('',#513765,#422336,#422337); #346478=AXIS2_PLACEMENT_3D('',#513766,#422338,#422339); #346479=AXIS2_PLACEMENT_3D('',#513768,#422340,#422341); #346480=AXIS2_PLACEMENT_3D('',#513771,#422343,#422344); #346481=AXIS2_PLACEMENT_3D('',#513772,#422345,#422346); #346482=AXIS2_PLACEMENT_3D('',#513774,#422347,#422348); #346483=AXIS2_PLACEMENT_3D('',#513777,#422350,#422351); #346484=AXIS2_PLACEMENT_3D('',#513778,#422352,#422353); #346485=AXIS2_PLACEMENT_3D('',#513780,#422354,#422355); #346486=AXIS2_PLACEMENT_3D('',#513783,#422357,#422358); #346487=AXIS2_PLACEMENT_3D('',#513784,#422359,#422360); #346488=AXIS2_PLACEMENT_3D('',#513786,#422361,#422362); #346489=AXIS2_PLACEMENT_3D('',#513789,#422364,#422365); #346490=AXIS2_PLACEMENT_3D('',#513790,#422366,#422367); #346491=AXIS2_PLACEMENT_3D('',#513792,#422368,#422369); #346492=AXIS2_PLACEMENT_3D('',#513795,#422371,#422372); #346493=AXIS2_PLACEMENT_3D('',#513796,#422373,#422374); #346494=AXIS2_PLACEMENT_3D('',#513798,#422375,#422376); #346495=AXIS2_PLACEMENT_3D('',#513801,#422378,#422379); #346496=AXIS2_PLACEMENT_3D('',#513802,#422380,#422381); #346497=AXIS2_PLACEMENT_3D('',#513804,#422382,#422383); #346498=AXIS2_PLACEMENT_3D('',#513807,#422385,#422386); #346499=AXIS2_PLACEMENT_3D('',#513808,#422387,#422388); #346500=AXIS2_PLACEMENT_3D('',#513810,#422389,#422390); #346501=AXIS2_PLACEMENT_3D('',#513813,#422392,#422393); #346502=AXIS2_PLACEMENT_3D('',#513814,#422394,#422395); #346503=AXIS2_PLACEMENT_3D('',#513816,#422396,#422397); #346504=AXIS2_PLACEMENT_3D('',#513819,#422399,#422400); #346505=AXIS2_PLACEMENT_3D('',#513820,#422401,#422402); #346506=AXIS2_PLACEMENT_3D('',#513822,#422403,#422404); #346507=AXIS2_PLACEMENT_3D('',#513825,#422406,#422407); #346508=AXIS2_PLACEMENT_3D('',#513826,#422408,#422409); #346509=AXIS2_PLACEMENT_3D('',#513828,#422410,#422411); #346510=AXIS2_PLACEMENT_3D('',#513831,#422413,#422414); #346511=AXIS2_PLACEMENT_3D('',#513832,#422415,#422416); #346512=AXIS2_PLACEMENT_3D('',#513834,#422417,#422418); #346513=AXIS2_PLACEMENT_3D('',#513837,#422420,#422421); #346514=AXIS2_PLACEMENT_3D('',#513838,#422422,#422423); #346515=AXIS2_PLACEMENT_3D('',#513840,#422424,#422425); #346516=AXIS2_PLACEMENT_3D('',#513843,#422427,#422428); #346517=AXIS2_PLACEMENT_3D('',#513844,#422429,#422430); #346518=AXIS2_PLACEMENT_3D('',#513846,#422431,#422432); #346519=AXIS2_PLACEMENT_3D('',#513849,#422434,#422435); #346520=AXIS2_PLACEMENT_3D('',#513850,#422436,#422437); #346521=AXIS2_PLACEMENT_3D('',#513852,#422438,#422439); #346522=AXIS2_PLACEMENT_3D('',#513855,#422441,#422442); #346523=AXIS2_PLACEMENT_3D('',#513856,#422443,#422444); #346524=AXIS2_PLACEMENT_3D('',#513858,#422445,#422446); #346525=AXIS2_PLACEMENT_3D('',#513861,#422448,#422449); #346526=AXIS2_PLACEMENT_3D('',#513862,#422450,#422451); #346527=AXIS2_PLACEMENT_3D('',#513864,#422452,#422453); #346528=AXIS2_PLACEMENT_3D('',#513867,#422455,#422456); #346529=AXIS2_PLACEMENT_3D('',#513868,#422457,#422458); #346530=AXIS2_PLACEMENT_3D('',#513870,#422459,#422460); #346531=AXIS2_PLACEMENT_3D('',#513873,#422462,#422463); #346532=AXIS2_PLACEMENT_3D('',#513874,#422464,#422465); #346533=AXIS2_PLACEMENT_3D('',#513876,#422466,#422467); #346534=AXIS2_PLACEMENT_3D('',#513879,#422469,#422470); #346535=AXIS2_PLACEMENT_3D('',#513880,#422471,#422472); #346536=AXIS2_PLACEMENT_3D('',#513882,#422473,#422474); #346537=AXIS2_PLACEMENT_3D('',#513885,#422476,#422477); #346538=AXIS2_PLACEMENT_3D('',#513886,#422478,#422479); #346539=AXIS2_PLACEMENT_3D('',#513888,#422480,#422481); #346540=AXIS2_PLACEMENT_3D('',#513891,#422483,#422484); #346541=AXIS2_PLACEMENT_3D('',#513892,#422485,#422486); #346542=AXIS2_PLACEMENT_3D('',#513894,#422487,#422488); #346543=AXIS2_PLACEMENT_3D('',#513897,#422490,#422491); #346544=AXIS2_PLACEMENT_3D('',#513898,#422492,#422493); #346545=AXIS2_PLACEMENT_3D('',#513900,#422494,#422495); #346546=AXIS2_PLACEMENT_3D('',#513903,#422497,#422498); #346547=AXIS2_PLACEMENT_3D('',#513904,#422499,#422500); #346548=AXIS2_PLACEMENT_3D('',#513906,#422501,#422502); #346549=AXIS2_PLACEMENT_3D('',#513909,#422504,#422505); #346550=AXIS2_PLACEMENT_3D('',#513910,#422506,#422507); #346551=AXIS2_PLACEMENT_3D('',#513912,#422508,#422509); #346552=AXIS2_PLACEMENT_3D('',#513915,#422511,#422512); #346553=AXIS2_PLACEMENT_3D('',#513916,#422513,#422514); #346554=AXIS2_PLACEMENT_3D('',#513918,#422515,#422516); #346555=AXIS2_PLACEMENT_3D('',#513921,#422518,#422519); #346556=AXIS2_PLACEMENT_3D('',#513922,#422520,#422521); #346557=AXIS2_PLACEMENT_3D('',#513924,#422522,#422523); #346558=AXIS2_PLACEMENT_3D('',#513927,#422525,#422526); #346559=AXIS2_PLACEMENT_3D('',#513928,#422527,#422528); #346560=AXIS2_PLACEMENT_3D('',#513930,#422529,#422530); #346561=AXIS2_PLACEMENT_3D('',#513933,#422532,#422533); #346562=AXIS2_PLACEMENT_3D('',#513934,#422534,#422535); #346563=AXIS2_PLACEMENT_3D('',#513936,#422536,#422537); #346564=AXIS2_PLACEMENT_3D('',#513939,#422539,#422540); #346565=AXIS2_PLACEMENT_3D('',#513940,#422541,#422542); #346566=AXIS2_PLACEMENT_3D('',#513942,#422543,#422544); #346567=AXIS2_PLACEMENT_3D('',#513945,#422546,#422547); #346568=AXIS2_PLACEMENT_3D('',#513946,#422548,#422549); #346569=AXIS2_PLACEMENT_3D('',#513948,#422550,#422551); #346570=AXIS2_PLACEMENT_3D('',#513951,#422553,#422554); #346571=AXIS2_PLACEMENT_3D('',#513952,#422555,#422556); #346572=AXIS2_PLACEMENT_3D('',#513954,#422557,#422558); #346573=AXIS2_PLACEMENT_3D('',#513957,#422560,#422561); #346574=AXIS2_PLACEMENT_3D('',#513958,#422562,#422563); #346575=AXIS2_PLACEMENT_3D('',#513960,#422564,#422565); #346576=AXIS2_PLACEMENT_3D('',#513963,#422567,#422568); #346577=AXIS2_PLACEMENT_3D('',#513964,#422569,#422570); #346578=AXIS2_PLACEMENT_3D('',#513966,#422571,#422572); #346579=AXIS2_PLACEMENT_3D('',#513969,#422574,#422575); #346580=AXIS2_PLACEMENT_3D('',#513970,#422576,#422577); #346581=AXIS2_PLACEMENT_3D('',#513972,#422578,#422579); #346582=AXIS2_PLACEMENT_3D('',#513975,#422581,#422582); #346583=AXIS2_PLACEMENT_3D('',#513976,#422583,#422584); #346584=AXIS2_PLACEMENT_3D('',#513978,#422585,#422586); #346585=AXIS2_PLACEMENT_3D('',#513981,#422588,#422589); #346586=AXIS2_PLACEMENT_3D('',#513982,#422590,#422591); #346587=AXIS2_PLACEMENT_3D('',#513984,#422592,#422593); #346588=AXIS2_PLACEMENT_3D('',#513987,#422595,#422596); #346589=AXIS2_PLACEMENT_3D('',#513988,#422597,#422598); #346590=AXIS2_PLACEMENT_3D('',#513990,#422599,#422600); #346591=AXIS2_PLACEMENT_3D('',#513993,#422602,#422603); #346592=AXIS2_PLACEMENT_3D('',#513994,#422604,#422605); #346593=AXIS2_PLACEMENT_3D('',#513996,#422606,#422607); #346594=AXIS2_PLACEMENT_3D('',#513999,#422609,#422610); #346595=AXIS2_PLACEMENT_3D('',#514000,#422611,#422612); #346596=AXIS2_PLACEMENT_3D('',#514002,#422613,#422614); #346597=AXIS2_PLACEMENT_3D('',#514005,#422616,#422617); #346598=AXIS2_PLACEMENT_3D('',#514006,#422618,#422619); #346599=AXIS2_PLACEMENT_3D('',#514008,#422620,#422621); #346600=AXIS2_PLACEMENT_3D('',#514011,#422623,#422624); #346601=AXIS2_PLACEMENT_3D('',#514012,#422625,#422626); #346602=AXIS2_PLACEMENT_3D('',#514014,#422627,#422628); #346603=AXIS2_PLACEMENT_3D('',#514017,#422630,#422631); #346604=AXIS2_PLACEMENT_3D('',#514018,#422632,#422633); #346605=AXIS2_PLACEMENT_3D('',#514020,#422634,#422635); #346606=AXIS2_PLACEMENT_3D('',#514023,#422637,#422638); #346607=AXIS2_PLACEMENT_3D('',#514024,#422639,#422640); #346608=AXIS2_PLACEMENT_3D('',#514026,#422641,#422642); #346609=AXIS2_PLACEMENT_3D('',#514029,#422644,#422645); #346610=AXIS2_PLACEMENT_3D('',#514030,#422646,#422647); #346611=AXIS2_PLACEMENT_3D('',#514032,#422648,#422649); #346612=AXIS2_PLACEMENT_3D('',#514035,#422651,#422652); #346613=AXIS2_PLACEMENT_3D('',#514036,#422653,#422654); #346614=AXIS2_PLACEMENT_3D('',#514038,#422655,#422656); #346615=AXIS2_PLACEMENT_3D('',#514041,#422658,#422659); #346616=AXIS2_PLACEMENT_3D('',#514042,#422660,#422661); #346617=AXIS2_PLACEMENT_3D('',#514044,#422662,#422663); #346618=AXIS2_PLACEMENT_3D('',#514047,#422665,#422666); #346619=AXIS2_PLACEMENT_3D('',#514048,#422667,#422668); #346620=AXIS2_PLACEMENT_3D('',#514050,#422669,#422670); #346621=AXIS2_PLACEMENT_3D('',#514053,#422672,#422673); #346622=AXIS2_PLACEMENT_3D('',#514054,#422674,#422675); #346623=AXIS2_PLACEMENT_3D('',#514056,#422676,#422677); #346624=AXIS2_PLACEMENT_3D('',#514059,#422679,#422680); #346625=AXIS2_PLACEMENT_3D('',#514060,#422681,#422682); #346626=AXIS2_PLACEMENT_3D('',#514062,#422683,#422684); #346627=AXIS2_PLACEMENT_3D('',#514065,#422686,#422687); #346628=AXIS2_PLACEMENT_3D('',#514066,#422688,#422689); #346629=AXIS2_PLACEMENT_3D('',#514068,#422690,#422691); #346630=AXIS2_PLACEMENT_3D('',#514071,#422693,#422694); #346631=AXIS2_PLACEMENT_3D('',#514072,#422695,#422696); #346632=AXIS2_PLACEMENT_3D('',#514074,#422697,#422698); #346633=AXIS2_PLACEMENT_3D('',#514077,#422700,#422701); #346634=AXIS2_PLACEMENT_3D('',#514078,#422702,#422703); #346635=AXIS2_PLACEMENT_3D('',#514080,#422704,#422705); #346636=AXIS2_PLACEMENT_3D('',#514083,#422707,#422708); #346637=AXIS2_PLACEMENT_3D('',#514084,#422709,#422710); #346638=AXIS2_PLACEMENT_3D('',#514086,#422711,#422712); #346639=AXIS2_PLACEMENT_3D('',#514089,#422714,#422715); #346640=AXIS2_PLACEMENT_3D('',#514090,#422716,#422717); #346641=AXIS2_PLACEMENT_3D('',#514092,#422718,#422719); #346642=AXIS2_PLACEMENT_3D('',#514095,#422721,#422722); #346643=AXIS2_PLACEMENT_3D('',#514096,#422723,#422724); #346644=AXIS2_PLACEMENT_3D('',#514098,#422725,#422726); #346645=AXIS2_PLACEMENT_3D('',#514101,#422728,#422729); #346646=AXIS2_PLACEMENT_3D('',#514102,#422730,#422731); #346647=AXIS2_PLACEMENT_3D('',#514104,#422732,#422733); #346648=AXIS2_PLACEMENT_3D('',#514107,#422735,#422736); #346649=AXIS2_PLACEMENT_3D('',#514108,#422737,#422738); #346650=AXIS2_PLACEMENT_3D('',#514110,#422739,#422740); #346651=AXIS2_PLACEMENT_3D('',#514113,#422742,#422743); #346652=AXIS2_PLACEMENT_3D('',#514114,#422744,#422745); #346653=AXIS2_PLACEMENT_3D('',#514116,#422746,#422747); #346654=AXIS2_PLACEMENT_3D('',#514119,#422749,#422750); #346655=AXIS2_PLACEMENT_3D('',#514120,#422751,#422752); #346656=AXIS2_PLACEMENT_3D('',#514122,#422753,#422754); #346657=AXIS2_PLACEMENT_3D('',#514125,#422756,#422757); #346658=AXIS2_PLACEMENT_3D('',#514126,#422758,#422759); #346659=AXIS2_PLACEMENT_3D('',#514128,#422760,#422761); #346660=AXIS2_PLACEMENT_3D('',#514131,#422763,#422764); #346661=AXIS2_PLACEMENT_3D('',#514132,#422765,#422766); #346662=AXIS2_PLACEMENT_3D('',#514134,#422767,#422768); #346663=AXIS2_PLACEMENT_3D('',#514137,#422770,#422771); #346664=AXIS2_PLACEMENT_3D('',#514138,#422772,#422773); #346665=AXIS2_PLACEMENT_3D('',#514140,#422774,#422775); #346666=AXIS2_PLACEMENT_3D('',#514143,#422777,#422778); #346667=AXIS2_PLACEMENT_3D('',#514144,#422779,#422780); #346668=AXIS2_PLACEMENT_3D('',#514146,#422781,#422782); #346669=AXIS2_PLACEMENT_3D('',#514149,#422784,#422785); #346670=AXIS2_PLACEMENT_3D('',#514150,#422786,#422787); #346671=AXIS2_PLACEMENT_3D('',#514152,#422788,#422789); #346672=AXIS2_PLACEMENT_3D('',#514155,#422791,#422792); #346673=AXIS2_PLACEMENT_3D('',#514156,#422793,#422794); #346674=AXIS2_PLACEMENT_3D('',#514158,#422795,#422796); #346675=AXIS2_PLACEMENT_3D('',#514161,#422798,#422799); #346676=AXIS2_PLACEMENT_3D('',#514162,#422800,#422801); #346677=AXIS2_PLACEMENT_3D('',#514164,#422802,#422803); #346678=AXIS2_PLACEMENT_3D('',#514167,#422805,#422806); #346679=AXIS2_PLACEMENT_3D('',#514168,#422807,#422808); #346680=AXIS2_PLACEMENT_3D('',#514170,#422809,#422810); #346681=AXIS2_PLACEMENT_3D('',#514173,#422812,#422813); #346682=AXIS2_PLACEMENT_3D('',#514174,#422814,#422815); #346683=AXIS2_PLACEMENT_3D('',#514176,#422816,#422817); #346684=AXIS2_PLACEMENT_3D('',#514179,#422819,#422820); #346685=AXIS2_PLACEMENT_3D('',#514180,#422821,#422822); #346686=AXIS2_PLACEMENT_3D('',#514182,#422823,#422824); #346687=AXIS2_PLACEMENT_3D('',#514185,#422826,#422827); #346688=AXIS2_PLACEMENT_3D('',#514186,#422828,#422829); #346689=AXIS2_PLACEMENT_3D('',#514188,#422830,#422831); #346690=AXIS2_PLACEMENT_3D('',#514191,#422833,#422834); #346691=AXIS2_PLACEMENT_3D('',#514192,#422835,#422836); #346692=AXIS2_PLACEMENT_3D('',#514194,#422837,#422838); #346693=AXIS2_PLACEMENT_3D('',#514197,#422840,#422841); #346694=AXIS2_PLACEMENT_3D('',#514198,#422842,#422843); #346695=AXIS2_PLACEMENT_3D('',#514200,#422844,#422845); #346696=AXIS2_PLACEMENT_3D('',#514203,#422847,#422848); #346697=AXIS2_PLACEMENT_3D('',#514204,#422849,#422850); #346698=AXIS2_PLACEMENT_3D('',#514206,#422851,#422852); #346699=AXIS2_PLACEMENT_3D('',#514209,#422854,#422855); #346700=AXIS2_PLACEMENT_3D('',#514210,#422856,#422857); #346701=AXIS2_PLACEMENT_3D('',#514212,#422858,#422859); #346702=AXIS2_PLACEMENT_3D('',#514215,#422861,#422862); #346703=AXIS2_PLACEMENT_3D('',#514216,#422863,#422864); #346704=AXIS2_PLACEMENT_3D('',#514218,#422865,#422866); #346705=AXIS2_PLACEMENT_3D('',#514221,#422868,#422869); #346706=AXIS2_PLACEMENT_3D('',#514222,#422870,#422871); #346707=AXIS2_PLACEMENT_3D('',#514224,#422872,#422873); #346708=AXIS2_PLACEMENT_3D('',#514227,#422875,#422876); #346709=AXIS2_PLACEMENT_3D('',#514228,#422877,#422878); #346710=AXIS2_PLACEMENT_3D('',#514230,#422879,#422880); #346711=AXIS2_PLACEMENT_3D('',#514233,#422882,#422883); #346712=AXIS2_PLACEMENT_3D('',#514234,#422884,#422885); #346713=AXIS2_PLACEMENT_3D('',#514236,#422886,#422887); #346714=AXIS2_PLACEMENT_3D('',#514239,#422889,#422890); #346715=AXIS2_PLACEMENT_3D('',#514240,#422891,#422892); #346716=AXIS2_PLACEMENT_3D('',#514242,#422893,#422894); #346717=AXIS2_PLACEMENT_3D('',#514245,#422896,#422897); #346718=AXIS2_PLACEMENT_3D('',#514246,#422898,#422899); #346719=AXIS2_PLACEMENT_3D('',#514248,#422900,#422901); #346720=AXIS2_PLACEMENT_3D('',#514251,#422903,#422904); #346721=AXIS2_PLACEMENT_3D('',#514252,#422905,#422906); #346722=AXIS2_PLACEMENT_3D('',#514254,#422907,#422908); #346723=AXIS2_PLACEMENT_3D('',#514257,#422910,#422911); #346724=AXIS2_PLACEMENT_3D('',#514258,#422912,#422913); #346725=AXIS2_PLACEMENT_3D('',#514260,#422914,#422915); #346726=AXIS2_PLACEMENT_3D('',#514263,#422917,#422918); #346727=AXIS2_PLACEMENT_3D('',#514264,#422919,#422920); #346728=AXIS2_PLACEMENT_3D('',#514266,#422921,#422922); #346729=AXIS2_PLACEMENT_3D('',#514269,#422924,#422925); #346730=AXIS2_PLACEMENT_3D('',#514270,#422926,#422927); #346731=AXIS2_PLACEMENT_3D('',#514272,#422928,#422929); #346732=AXIS2_PLACEMENT_3D('',#514275,#422931,#422932); #346733=AXIS2_PLACEMENT_3D('',#514276,#422933,#422934); #346734=AXIS2_PLACEMENT_3D('',#514278,#422935,#422936); #346735=AXIS2_PLACEMENT_3D('',#514281,#422938,#422939); #346736=AXIS2_PLACEMENT_3D('',#514282,#422940,#422941); #346737=AXIS2_PLACEMENT_3D('',#514284,#422942,#422943); #346738=AXIS2_PLACEMENT_3D('',#514287,#422945,#422946); #346739=AXIS2_PLACEMENT_3D('',#514288,#422947,#422948); #346740=AXIS2_PLACEMENT_3D('',#514290,#422949,#422950); #346741=AXIS2_PLACEMENT_3D('',#514293,#422952,#422953); #346742=AXIS2_PLACEMENT_3D('',#514294,#422954,#422955); #346743=AXIS2_PLACEMENT_3D('',#514296,#422956,#422957); #346744=AXIS2_PLACEMENT_3D('',#514299,#422959,#422960); #346745=AXIS2_PLACEMENT_3D('',#514300,#422961,#422962); #346746=AXIS2_PLACEMENT_3D('',#514302,#422963,#422964); #346747=AXIS2_PLACEMENT_3D('',#514305,#422966,#422967); #346748=AXIS2_PLACEMENT_3D('',#514306,#422968,#422969); #346749=AXIS2_PLACEMENT_3D('',#514308,#422970,#422971); #346750=AXIS2_PLACEMENT_3D('',#514311,#422973,#422974); #346751=AXIS2_PLACEMENT_3D('',#514312,#422975,#422976); #346752=AXIS2_PLACEMENT_3D('',#514314,#422977,#422978); #346753=AXIS2_PLACEMENT_3D('',#514317,#422980,#422981); #346754=AXIS2_PLACEMENT_3D('',#514318,#422982,#422983); #346755=AXIS2_PLACEMENT_3D('',#514320,#422984,#422985); #346756=AXIS2_PLACEMENT_3D('',#514323,#422987,#422988); #346757=AXIS2_PLACEMENT_3D('',#514324,#422989,#422990); #346758=AXIS2_PLACEMENT_3D('',#514325,#422991,#422992); #346759=AXIS2_PLACEMENT_3D('',#514326,#422993,#422994); #346760=AXIS2_PLACEMENT_3D('',#514327,#422995,#422996); #346761=AXIS2_PLACEMENT_3D('',#514328,#422997,#422998); #346762=AXIS2_PLACEMENT_3D('',#514329,#422999,#423000); #346763=AXIS2_PLACEMENT_3D('',#514338,#423005,#423006); #346764=AXIS2_PLACEMENT_3D('',#514344,#423010,#423011); #346765=AXIS2_PLACEMENT_3D('',#514392,#423036,#423037); #346766=AXIS2_PLACEMENT_3D('',#514396,#423040,#423041); #346767=AXIS2_PLACEMENT_3D('',#514402,#423045,#423046); #346768=AXIS2_PLACEMENT_3D('',#514412,#423052,#423053); #346769=AXIS2_PLACEMENT_3D('',#514453,#423075,#423076); #346770=AXIS2_PLACEMENT_3D('',#514467,#423084,#423085); #346771=AXIS2_PLACEMENT_3D('',#514481,#423093,#423094); #346772=AXIS2_PLACEMENT_3D('',#514495,#423102,#423103); #346773=AXIS2_PLACEMENT_3D('',#514501,#423107,#423108); #346774=AXIS2_PLACEMENT_3D('',#514507,#423112,#423113); #346775=AXIS2_PLACEMENT_3D('',#514521,#423121,#423122); #346776=AXIS2_PLACEMENT_3D('',#514527,#423126,#423127); #346777=AXIS2_PLACEMENT_3D('',#514544,#423140,#423141); #346778=AXIS2_PLACEMENT_3D('',#514547,#423144,#423145); #346779=AXIS2_PLACEMENT_3D('',#514548,#423146,#423147); #346780=AXIS2_PLACEMENT_3D('',#514549,#423148,#423149); #346781=AXIS2_PLACEMENT_3D('',#514557,#423154,#423155); #346782=AXIS2_PLACEMENT_3D('',#514559,#423157,#423158); #346783=AXIS2_PLACEMENT_3D('',#514561,#423160,#423161); #346784=AXIS2_PLACEMENT_3D('',#514563,#423163,#423164); #346785=AXIS2_PLACEMENT_3D('',#514564,#423165,#423166); #346786=AXIS2_PLACEMENT_3D('',#514565,#423167,#423168); #346787=AXIS2_PLACEMENT_3D('',#514566,#423169,#423170); #346788=AXIS2_PLACEMENT_3D('',#514575,#423175,#423176); #346789=AXIS2_PLACEMENT_3D('',#514581,#423180,#423181); #346790=AXIS2_PLACEMENT_3D('',#514587,#423185,#423186); #346791=AXIS2_PLACEMENT_3D('',#514589,#423188,#423189); #346792=AXIS2_PLACEMENT_3D('',#514591,#423191,#423192); #346793=AXIS2_PLACEMENT_3D('',#514597,#423196,#423197); #346794=AXIS2_PLACEMENT_3D('',#514603,#423201,#423202); #346795=AXIS2_PLACEMENT_3D('',#514605,#423204,#423205); #346796=AXIS2_PLACEMENT_3D('',#514607,#423207,#423208); #346797=AXIS2_PLACEMENT_3D('',#514616,#423213,#423214); #346798=AXIS2_PLACEMENT_3D('',#514619,#423217,#423218); #346799=AXIS2_PLACEMENT_3D('',#514621,#423220,#423221); #346800=AXIS2_PLACEMENT_3D('',#514623,#423223,#423224); #346801=AXIS2_PLACEMENT_3D('',#514624,#423225,#423226); #346802=AXIS2_PLACEMENT_3D('',#514627,#423229,#423230); #346803=AXIS2_PLACEMENT_3D('',#514629,#423232,#423233); #346804=AXIS2_PLACEMENT_3D('',#514631,#423235,#423236); #346805=AXIS2_PLACEMENT_3D('',#514632,#423237,#423238); #346806=AXIS2_PLACEMENT_3D('',#514633,#423239,#423240); #346807=AXIS2_PLACEMENT_3D('',#514634,#423241,#423242); #346808=AXIS2_PLACEMENT_3D('',#514635,#423243,#423244); #346809=AXIS2_PLACEMENT_3D('',#514637,#423246,#423247); #346810=AXIS2_PLACEMENT_3D('',#514639,#423249,#423250); #346811=AXIS2_PLACEMENT_3D('',#514640,#423251,#423252); #346812=AXIS2_PLACEMENT_3D('',#514649,#423257,#423258); #346813=AXIS2_PLACEMENT_3D('',#514655,#423262,#423263); #346814=AXIS2_PLACEMENT_3D('',#514661,#423267,#423268); #346815=AXIS2_PLACEMENT_3D('',#514663,#423270,#423271); #346816=AXIS2_PLACEMENT_3D('',#514665,#423273,#423274); #346817=AXIS2_PLACEMENT_3D('',#514671,#423278,#423279); #346818=AXIS2_PLACEMENT_3D('',#514677,#423283,#423284); #346819=AXIS2_PLACEMENT_3D('',#514679,#423286,#423287); #346820=AXIS2_PLACEMENT_3D('',#514681,#423289,#423290); #346821=AXIS2_PLACEMENT_3D('',#514690,#423295,#423296); #346822=AXIS2_PLACEMENT_3D('',#514699,#423301,#423302); #346823=AXIS2_PLACEMENT_3D('',#514705,#423306,#423307); #346824=AXIS2_PLACEMENT_3D('',#514711,#423311,#423312); #346825=AXIS2_PLACEMENT_3D('',#514713,#423314,#423315); #346826=AXIS2_PLACEMENT_3D('',#514715,#423317,#423318); #346827=AXIS2_PLACEMENT_3D('',#514721,#423322,#423323); #346828=AXIS2_PLACEMENT_3D('',#514727,#423327,#423328); #346829=AXIS2_PLACEMENT_3D('',#514729,#423330,#423331); #346830=AXIS2_PLACEMENT_3D('',#514731,#423333,#423334); #346831=AXIS2_PLACEMENT_3D('',#514740,#423339,#423340); #346832=AXIS2_PLACEMENT_3D('',#514749,#423345,#423346); #346833=AXIS2_PLACEMENT_3D('',#514755,#423350,#423351); #346834=AXIS2_PLACEMENT_3D('',#514761,#423355,#423356); #346835=AXIS2_PLACEMENT_3D('',#514763,#423358,#423359); #346836=AXIS2_PLACEMENT_3D('',#514765,#423361,#423362); #346837=AXIS2_PLACEMENT_3D('',#514771,#423366,#423367); #346838=AXIS2_PLACEMENT_3D('',#514777,#423371,#423372); #346839=AXIS2_PLACEMENT_3D('',#514779,#423374,#423375); #346840=AXIS2_PLACEMENT_3D('',#514781,#423377,#423378); #346841=AXIS2_PLACEMENT_3D('',#514790,#423383,#423384); #346842=AXIS2_PLACEMENT_3D('',#514793,#423387,#423388); #346843=AXIS2_PLACEMENT_3D('',#514795,#423390,#423391); #346844=AXIS2_PLACEMENT_3D('',#514797,#423393,#423394); #346845=AXIS2_PLACEMENT_3D('',#514798,#423395,#423396); #346846=AXIS2_PLACEMENT_3D('',#514801,#423399,#423400); #346847=AXIS2_PLACEMENT_3D('',#514803,#423402,#423403); #346848=AXIS2_PLACEMENT_3D('',#514805,#423405,#423406); #346849=AXIS2_PLACEMENT_3D('',#514806,#423407,#423408); #346850=AXIS2_PLACEMENT_3D('',#514809,#423411,#423412); #346851=AXIS2_PLACEMENT_3D('',#514811,#423414,#423415); #346852=AXIS2_PLACEMENT_3D('',#514813,#423417,#423418); #346853=AXIS2_PLACEMENT_3D('',#514814,#423419,#423420); #346854=AXIS2_PLACEMENT_3D('',#514817,#423423,#423424); #346855=AXIS2_PLACEMENT_3D('',#514819,#423426,#423427); #346856=AXIS2_PLACEMENT_3D('',#514821,#423429,#423430); #346857=AXIS2_PLACEMENT_3D('',#514822,#423431,#423432); #346858=AXIS2_PLACEMENT_3D('',#514825,#423435,#423436); #346859=AXIS2_PLACEMENT_3D('',#514827,#423438,#423439); #346860=AXIS2_PLACEMENT_3D('',#514829,#423441,#423442); #346861=AXIS2_PLACEMENT_3D('',#514830,#423443,#423444); #346862=AXIS2_PLACEMENT_3D('',#514833,#423447,#423448); #346863=AXIS2_PLACEMENT_3D('',#514835,#423450,#423451); #346864=AXIS2_PLACEMENT_3D('',#514837,#423453,#423454); #346865=AXIS2_PLACEMENT_3D('',#514838,#423455,#423456); #346866=AXIS2_PLACEMENT_3D('',#514839,#423457,#423458); #346867=AXIS2_PLACEMENT_3D('',#514840,#423459,#423460); #346868=AXIS2_PLACEMENT_3D('',#514841,#423461,#423462); #346869=AXIS2_PLACEMENT_3D('',#514842,#423463,#423464); #346870=AXIS2_PLACEMENT_3D('',#514845,#423465,#423466); #346871=AXIS2_PLACEMENT_3D('',#514847,#423467,#423468); #346872=AXIS2_PLACEMENT_3D('',#514848,#423469,#423470); #346873=AXIS2_PLACEMENT_3D('',#514849,#423471,#423472); #346874=AXIS2_PLACEMENT_3D('',#514852,#423473,#423474); #346875=AXIS2_PLACEMENT_3D('',#514854,#423475,#423476); #346876=AXIS2_PLACEMENT_3D('',#514855,#423477,#423478); #346877=AXIS2_PLACEMENT_3D('',#514856,#423479,#423480); #346878=AXIS2_PLACEMENT_3D('',#514859,#423481,#423482); #346879=AXIS2_PLACEMENT_3D('',#514861,#423483,#423484); #346880=AXIS2_PLACEMENT_3D('',#514862,#423485,#423486); #346881=AXIS2_PLACEMENT_3D('',#514863,#423487,#423488); #346882=AXIS2_PLACEMENT_3D('',#514866,#423489,#423490); #346883=AXIS2_PLACEMENT_3D('',#514868,#423491,#423492); #346884=AXIS2_PLACEMENT_3D('',#514869,#423493,#423494); #346885=AXIS2_PLACEMENT_3D('',#514870,#423495,#423496); #346886=AXIS2_PLACEMENT_3D('',#514873,#423497,#423498); #346887=AXIS2_PLACEMENT_3D('',#514875,#423499,#423500); #346888=AXIS2_PLACEMENT_3D('',#514876,#423501,#423502); #346889=AXIS2_PLACEMENT_3D('',#514877,#423503,#423504); #346890=AXIS2_PLACEMENT_3D('',#514880,#423507,#423508); #346891=AXIS2_PLACEMENT_3D('',#514883,#423509,#423510); #346892=AXIS2_PLACEMENT_3D('',#514885,#423511,#423512); #346893=AXIS2_PLACEMENT_3D('',#514886,#423513,#423514); #346894=AXIS2_PLACEMENT_3D('',#514887,#423515,#423516); #346895=AXIS2_PLACEMENT_3D('',#514890,#423517,#423518); #346896=AXIS2_PLACEMENT_3D('',#514892,#423519,#423520); #346897=AXIS2_PLACEMENT_3D('',#514893,#423521,#423522); #346898=AXIS2_PLACEMENT_3D('',#514894,#423523,#423524); #346899=AXIS2_PLACEMENT_3D('',#514897,#423527,#423528); #346900=AXIS2_PLACEMENT_3D('',#514900,#423531,#423532); #346901=AXIS2_PLACEMENT_3D('',#514903,#423533,#423534); #346902=AXIS2_PLACEMENT_3D('',#514905,#423535,#423536); #346903=AXIS2_PLACEMENT_3D('',#514906,#423537,#423538); #346904=AXIS2_PLACEMENT_3D('',#514907,#423539,#423540); #346905=AXIS2_PLACEMENT_3D('',#514910,#423543,#423544); #346906=AXIS2_PLACEMENT_3D('',#514913,#423547,#423548); #346907=AXIS2_PLACEMENT_3D('',#514916,#423551,#423552); #346908=AXIS2_PLACEMENT_3D('',#514919,#423555,#423556); #346909=AXIS2_PLACEMENT_3D('',#514922,#423559,#423560); #346910=AXIS2_PLACEMENT_3D('',#514925,#423563,#423564); #346911=AXIS2_PLACEMENT_3D('',#514928,#423567,#423568); #346912=AXIS2_PLACEMENT_3D('',#514931,#423571,#423572); #346913=AXIS2_PLACEMENT_3D('',#514934,#423575,#423576); #346914=AXIS2_PLACEMENT_3D('',#514935,#423577,#423578); #346915=AXIS2_PLACEMENT_3D('',#514936,#423579,#423580); #346916=AXIS2_PLACEMENT_3D('',#514937,#423581,#423582); #346917=AXIS2_PLACEMENT_3D('',#514938,#423583,#423584); #346918=AXIS2_PLACEMENT_3D('',#514939,#423585,#423586); #346919=AXIS2_PLACEMENT_3D('',#514940,#423587,#423588); #346920=AXIS2_PLACEMENT_3D('',#514943,#423589,#423590); #346921=AXIS2_PLACEMENT_3D('',#514945,#423591,#423592); #346922=AXIS2_PLACEMENT_3D('',#514946,#423593,#423594); #346923=AXIS2_PLACEMENT_3D('',#514947,#423595,#423596); #346924=AXIS2_PLACEMENT_3D('',#514950,#423597,#423598); #346925=AXIS2_PLACEMENT_3D('',#514952,#423599,#423600); #346926=AXIS2_PLACEMENT_3D('',#514953,#423601,#423602); #346927=AXIS2_PLACEMENT_3D('',#514954,#423603,#423604); #346928=AXIS2_PLACEMENT_3D('',#514957,#423605,#423606); #346929=AXIS2_PLACEMENT_3D('',#514959,#423607,#423608); #346930=AXIS2_PLACEMENT_3D('',#514960,#423609,#423610); #346931=AXIS2_PLACEMENT_3D('',#514961,#423611,#423612); #346932=AXIS2_PLACEMENT_3D('',#514964,#423613,#423614); #346933=AXIS2_PLACEMENT_3D('',#514966,#423615,#423616); #346934=AXIS2_PLACEMENT_3D('',#514967,#423617,#423618); #346935=AXIS2_PLACEMENT_3D('',#514968,#423619,#423620); #346936=AXIS2_PLACEMENT_3D('',#514971,#423621,#423622); #346937=AXIS2_PLACEMENT_3D('',#514973,#423623,#423624); #346938=AXIS2_PLACEMENT_3D('',#514974,#423625,#423626); #346939=AXIS2_PLACEMENT_3D('',#514975,#423627,#423628); #346940=AXIS2_PLACEMENT_3D('',#514978,#423631,#423632); #346941=AXIS2_PLACEMENT_3D('',#514981,#423633,#423634); #346942=AXIS2_PLACEMENT_3D('',#514983,#423635,#423636); #346943=AXIS2_PLACEMENT_3D('',#514984,#423637,#423638); #346944=AXIS2_PLACEMENT_3D('',#514985,#423639,#423640); #346945=AXIS2_PLACEMENT_3D('',#514988,#423641,#423642); #346946=AXIS2_PLACEMENT_3D('',#514990,#423643,#423644); #346947=AXIS2_PLACEMENT_3D('',#514991,#423645,#423646); #346948=AXIS2_PLACEMENT_3D('',#514992,#423647,#423648); #346949=AXIS2_PLACEMENT_3D('',#514995,#423651,#423652); #346950=AXIS2_PLACEMENT_3D('',#514998,#423655,#423656); #346951=AXIS2_PLACEMENT_3D('',#515001,#423657,#423658); #346952=AXIS2_PLACEMENT_3D('',#515003,#423659,#423660); #346953=AXIS2_PLACEMENT_3D('',#515004,#423661,#423662); #346954=AXIS2_PLACEMENT_3D('',#515005,#423663,#423664); #346955=AXIS2_PLACEMENT_3D('',#515008,#423667,#423668); #346956=AXIS2_PLACEMENT_3D('',#515011,#423671,#423672); #346957=AXIS2_PLACEMENT_3D('',#515014,#423675,#423676); #346958=AXIS2_PLACEMENT_3D('',#515017,#423679,#423680); #346959=AXIS2_PLACEMENT_3D('',#515020,#423683,#423684); #346960=AXIS2_PLACEMENT_3D('',#515023,#423687,#423688); #346961=AXIS2_PLACEMENT_3D('',#515026,#423691,#423692); #346962=AXIS2_PLACEMENT_3D('',#515029,#423695,#423696); #346963=AXIS2_PLACEMENT_3D('',#515032,#423699,#423700); #346964=AXIS2_PLACEMENT_3D('',#515033,#423701,#423702); #346965=AXIS2_PLACEMENT_3D('',#515034,#423703,#423704); #346966=AXIS2_PLACEMENT_3D('',#515035,#423705,#423706); #346967=AXIS2_PLACEMENT_3D('',#515036,#423707,#423708); #346968=AXIS2_PLACEMENT_3D('',#515037,#423709,#423710); #346969=AXIS2_PLACEMENT_3D('',#515038,#423711,#423712); #346970=AXIS2_PLACEMENT_3D('',#515047,#423717,#423718); #346971=AXIS2_PLACEMENT_3D('',#515053,#423722,#423723); #346972=AXIS2_PLACEMENT_3D('',#515059,#423727,#423728); #346973=AXIS2_PLACEMENT_3D('',#515062,#423731,#423732); #346974=AXIS2_PLACEMENT_3D('',#515063,#423733,#423734); #346975=AXIS2_PLACEMENT_3D('',#515064,#423735,#423736); #346976=AXIS2_PLACEMENT_3D('',#515073,#423741,#423742); #346977=AXIS2_PLACEMENT_3D('',#515082,#423747,#423748); #346978=AXIS2_PLACEMENT_3D('',#515085,#423751,#423752); #346979=AXIS2_PLACEMENT_3D('',#515087,#423754,#423755); #346980=AXIS2_PLACEMENT_3D('',#515089,#423757,#423758); #346981=AXIS2_PLACEMENT_3D('',#515090,#423759,#423760); #346982=AXIS2_PLACEMENT_3D('',#515099,#423765,#423766); #346983=AXIS2_PLACEMENT_3D('',#515105,#423770,#423771); #346984=AXIS2_PLACEMENT_3D('',#515111,#423775,#423776); #346985=AXIS2_PLACEMENT_3D('',#515114,#423779,#423780); #346986=AXIS2_PLACEMENT_3D('',#515115,#423781,#423782); #346987=AXIS2_PLACEMENT_3D('',#515116,#423783,#423784); #346988=AXIS2_PLACEMENT_3D('',#515117,#423785,#423786); #346989=AXIS2_PLACEMENT_3D('',#515118,#423787,#423788); #346990=AXIS2_PLACEMENT_3D('',#515119,#423789,#423790); #346991=AXIS2_PLACEMENT_3D('',#515120,#423791,#423792); #346992=AXIS2_PLACEMENT_3D('',#515121,#423793,#423794); #346993=AXIS2_PLACEMENT_3D('',#515154,#423811,#423812); #346994=AXIS2_PLACEMENT_3D('',#515163,#423817,#423818); #346995=AXIS2_PLACEMENT_3D('',#515166,#423819,#423820); #346996=AXIS2_PLACEMENT_3D('',#515170,#423822,#423823); #346997=AXIS2_PLACEMENT_3D('',#515174,#423825,#423826); #346998=AXIS2_PLACEMENT_3D('',#515178,#423828,#423829); #346999=AXIS2_PLACEMENT_3D('',#515180,#423831,#423832); #347000=AXIS2_PLACEMENT_3D('',#515183,#423833,#423834); #347001=AXIS2_PLACEMENT_3D('',#515187,#423836,#423837); #347002=AXIS2_PLACEMENT_3D('',#515191,#423839,#423840); #347003=AXIS2_PLACEMENT_3D('',#515195,#423842,#423843); #347004=AXIS2_PLACEMENT_3D('',#515197,#423845,#423846); #347005=AXIS2_PLACEMENT_3D('',#515200,#423849,#423850); #347006=AXIS2_PLACEMENT_3D('',#515203,#423853,#423854); #347007=AXIS2_PLACEMENT_3D('',#515236,#423871,#423872); #347008=AXIS2_PLACEMENT_3D('',#515242,#423876,#423877); #347009=AXIS2_PLACEMENT_3D('',#515281,#423897,#423898); #347010=AXIS2_PLACEMENT_3D('',#515285,#423900,#423901); #347011=AXIS2_PLACEMENT_3D('',#515291,#423905,#423906); #347012=AXIS2_PLACEMENT_3D('',#515294,#423907,#423908); #347013=AXIS2_PLACEMENT_3D('',#515301,#423913,#423914); #347014=AXIS2_PLACEMENT_3D('',#515304,#423915,#423916); #347015=AXIS2_PLACEMENT_3D('',#515311,#423918,#423919); #347016=AXIS2_PLACEMENT_3D('',#515315,#423921,#423922); #347017=AXIS2_PLACEMENT_3D('',#515320,#423923,#423924); #347018=AXIS2_PLACEMENT_3D('',#515329,#423929,#423930); #347019=AXIS2_PLACEMENT_3D('',#515338,#423935,#423936); #347020=AXIS2_PLACEMENT_3D('',#515347,#423941,#423942); #347021=AXIS2_PLACEMENT_3D('',#515356,#423947,#423948); #347022=AXIS2_PLACEMENT_3D('',#515365,#423953,#423954); #347023=AXIS2_PLACEMENT_3D('',#515374,#423959,#423960); #347024=AXIS2_PLACEMENT_3D('',#515383,#423965,#423966); #347025=AXIS2_PLACEMENT_3D('',#515392,#423971,#423972); #347026=AXIS2_PLACEMENT_3D('',#515401,#423977,#423978); #347027=AXIS2_PLACEMENT_3D('',#515410,#423983,#423984); #347028=AXIS2_PLACEMENT_3D('',#515419,#423989,#423990); #347029=AXIS2_PLACEMENT_3D('',#515428,#423995,#423996); #347030=AXIS2_PLACEMENT_3D('',#515434,#424000,#424001); #347031=AXIS2_PLACEMENT_3D('',#515440,#424005,#424006); #347032=AXIS2_PLACEMENT_3D('',#515449,#424011,#424012); #347033=AXIS2_PLACEMENT_3D('',#515452,#424015,#424016); #347034=AXIS2_PLACEMENT_3D('',#515458,#424020,#424021); #347035=AXIS2_PLACEMENT_3D('',#515464,#424025,#424026); #347036=AXIS2_PLACEMENT_3D('',#515473,#424031,#424032); #347037=AXIS2_PLACEMENT_3D('',#515476,#424035,#424036); #347038=AXIS2_PLACEMENT_3D('',#515482,#424040,#424041); #347039=AXIS2_PLACEMENT_3D('',#515488,#424045,#424046); #347040=AXIS2_PLACEMENT_3D('',#515497,#424051,#424052); #347041=AXIS2_PLACEMENT_3D('',#515500,#424055,#424056); #347042=AXIS2_PLACEMENT_3D('',#515506,#424060,#424061); #347043=AXIS2_PLACEMENT_3D('',#515512,#424065,#424066); #347044=AXIS2_PLACEMENT_3D('',#515521,#424071,#424072); #347045=AXIS2_PLACEMENT_3D('',#515524,#424075,#424076); #347046=AXIS2_PLACEMENT_3D('',#515530,#424080,#424081); #347047=AXIS2_PLACEMENT_3D('',#515536,#424085,#424086); #347048=AXIS2_PLACEMENT_3D('',#515545,#424091,#424092); #347049=AXIS2_PLACEMENT_3D('',#515548,#424095,#424096); #347050=AXIS2_PLACEMENT_3D('',#515554,#424100,#424101); #347051=AXIS2_PLACEMENT_3D('',#515560,#424105,#424106); #347052=AXIS2_PLACEMENT_3D('',#515569,#424111,#424112); #347053=AXIS2_PLACEMENT_3D('',#515572,#424115,#424116); #347054=AXIS2_PLACEMENT_3D('',#515578,#424120,#424121); #347055=AXIS2_PLACEMENT_3D('',#515584,#424125,#424126); #347056=AXIS2_PLACEMENT_3D('',#515590,#424130,#424131); #347057=AXIS2_PLACEMENT_3D('',#515596,#424135,#424136); #347058=AXIS2_PLACEMENT_3D('',#515605,#424141,#424142); #347059=AXIS2_PLACEMENT_3D('',#515608,#424145,#424146); #347060=AXIS2_PLACEMENT_3D('',#515614,#424150,#424151); #347061=AXIS2_PLACEMENT_3D('',#515620,#424155,#424156); #347062=AXIS2_PLACEMENT_3D('',#515629,#424161,#424162); #347063=AXIS2_PLACEMENT_3D('',#515632,#424165,#424166); #347064=AXIS2_PLACEMENT_3D('',#515638,#424170,#424171); #347065=AXIS2_PLACEMENT_3D('',#515644,#424175,#424176); #347066=AXIS2_PLACEMENT_3D('',#515653,#424181,#424182); #347067=AXIS2_PLACEMENT_3D('',#515656,#424185,#424186); #347068=AXIS2_PLACEMENT_3D('',#515662,#424190,#424191); #347069=AXIS2_PLACEMENT_3D('',#515668,#424195,#424196); #347070=AXIS2_PLACEMENT_3D('',#515677,#424201,#424202); #347071=AXIS2_PLACEMENT_3D('',#515680,#424205,#424206); #347072=AXIS2_PLACEMENT_3D('',#515686,#424210,#424211); #347073=AXIS2_PLACEMENT_3D('',#515692,#424215,#424216); #347074=AXIS2_PLACEMENT_3D('',#515701,#424221,#424222); #347075=AXIS2_PLACEMENT_3D('',#515704,#424225,#424226); #347076=AXIS2_PLACEMENT_3D('',#515710,#424230,#424231); #347077=AXIS2_PLACEMENT_3D('',#515716,#424235,#424236); #347078=AXIS2_PLACEMENT_3D('',#515724,#424241,#424242); #347079=AXIS2_PLACEMENT_3D('',#515734,#424248,#424249); #347080=AXIS2_PLACEMENT_3D('',#515739,#424253,#424254); #347081=AXIS2_PLACEMENT_3D('',#515753,#424268,#424269); #347082=AXIS2_PLACEMENT_3D('',#515763,#424275,#424276); #347083=AXIS2_PLACEMENT_3D('',#515773,#424282,#424283); #347084=AXIS2_PLACEMENT_3D('',#515778,#424285,#424286); #347085=AXIS2_PLACEMENT_3D('',#515781,#424288,#424289); #347086=AXIS2_PLACEMENT_3D('',#515782,#424290,#424291); #347087=AXIS2_PLACEMENT_3D('',#515788,#424295,#424296); #347088=AXIS2_PLACEMENT_3D('',#515797,#424301,#424302); #347089=AXIS2_PLACEMENT_3D('',#515826,#424317,#424318); #347090=AXIS2_PLACEMENT_3D('',#515835,#424323,#424324); #347091=AXIS2_PLACEMENT_3D('',#515841,#424328,#424329); #347092=AXIS2_PLACEMENT_3D('',#515850,#424333,#424334); #347093=AXIS2_PLACEMENT_3D('',#515854,#424336,#424337); #347094=AXIS2_PLACEMENT_3D('',#515861,#424341,#424342); #347095=AXIS2_PLACEMENT_3D('',#515862,#424343,#424344); #347096=AXIS2_PLACEMENT_3D('',#515863,#424345,#424346); #347097=AXIS2_PLACEMENT_3D('',#515866,#424349,#424350); #347098=AXIS2_PLACEMENT_3D('',#515869,#424353,#424354); #347099=AXIS2_PLACEMENT_3D('',#515880,#424360,#424361); #347100=AXIS2_PLACEMENT_3D('',#515883,#424363,#424364); #347101=AXIS2_PLACEMENT_3D('',#515884,#424365,#424366); #347102=AXIS2_PLACEMENT_3D('',#515893,#424371,#424372); #347103=AXIS2_PLACEMENT_3D('',#515896,#424375,#424376); #347104=AXIS2_PLACEMENT_3D('',#515899,#424379,#424380); #347105=AXIS2_PLACEMENT_3D('',#515902,#424383,#424384); #347106=AXIS2_PLACEMENT_3D('',#515904,#424385,#424386); #347107=AXIS2_PLACEMENT_3D('',#515907,#424388,#424389); #347108=AXIS2_PLACEMENT_3D('',#515908,#424390,#424391); #347109=AXIS2_PLACEMENT_3D('',#515915,#424396,#424397); #347110=AXIS2_PLACEMENT_3D('',#515916,#424398,#424399); #347111=AXIS2_PLACEMENT_3D('',#515917,#424400,#424401); #347112=AXIS2_PLACEMENT_3D('',#515918,#424402,#424403); #347113=AXIS2_PLACEMENT_3D('',#515921,#424405,#424406); #347114=AXIS2_PLACEMENT_3D('',#515922,#424407,#424408); #347115=AXIS2_PLACEMENT_3D('',#515926,#424412,#424413); #347116=AXIS2_PLACEMENT_3D('',#515944,#424424,#424425); #347117=AXIS2_PLACEMENT_3D('',#515946,#424426,#424427); #347118=AXIS2_PLACEMENT_3D('',#515950,#424429,#424430); #347119=AXIS2_PLACEMENT_3D('',#515953,#424432,#424433); #347120=AXIS2_PLACEMENT_3D('',#515954,#424434,#424435); #347121=AXIS2_PLACEMENT_3D('',#515960,#424439,#424440); #347122=AXIS2_PLACEMENT_3D('',#515964,#424442,#424443); #347123=AXIS2_PLACEMENT_3D('',#515967,#424445,#424446); #347124=AXIS2_PLACEMENT_3D('',#515968,#424447,#424448); #347125=AXIS2_PLACEMENT_3D('',#515969,#424449,#424450); #347126=AXIS2_PLACEMENT_3D('',#515981,#424458,#424459); #347127=AXIS2_PLACEMENT_3D('',#515986,#424461,#424462); #347128=AXIS2_PLACEMENT_3D('',#515990,#424464,#424465); #347129=AXIS2_PLACEMENT_3D('',#515994,#424467,#424468); #347130=AXIS2_PLACEMENT_3D('',#515997,#424470,#424471); #347131=AXIS2_PLACEMENT_3D('',#516006,#424476,#424477); #347132=AXIS2_PLACEMENT_3D('',#516012,#424481,#424482); #347133=AXIS2_PLACEMENT_3D('',#516018,#424486,#424487); #347134=AXIS2_PLACEMENT_3D('',#516020,#424488,#424489); #347135=AXIS2_PLACEMENT_3D('',#516022,#424490,#424491); #347136=AXIS2_PLACEMENT_3D('',#516024,#424493,#424494); #347137=AXIS2_PLACEMENT_3D('',#516030,#424498,#424499); #347138=AXIS2_PLACEMENT_3D('',#516036,#424503,#424504); #347139=AXIS2_PLACEMENT_3D('',#516045,#424509,#424510); #347140=AXIS2_PLACEMENT_3D('',#516054,#424515,#424516); #347141=AXIS2_PLACEMENT_3D('',#516060,#424520,#424521); #347142=AXIS2_PLACEMENT_3D('',#516061,#424522,#424523); #347143=AXIS2_PLACEMENT_3D('',#516062,#424524,#424525); #347144=AXIS2_PLACEMENT_3D('',#516063,#424526,#424527); #347145=AXIS2_PLACEMENT_3D('',#516069,#424531,#424532); #347146=AXIS2_PLACEMENT_3D('',#516075,#424536,#424537); #347147=AXIS2_PLACEMENT_3D('',#516078,#424540,#424541); #347148=AXIS2_PLACEMENT_3D('',#516080,#424543,#424544); #347149=AXIS2_PLACEMENT_3D('',#516082,#424546,#424547); #347150=AXIS2_PLACEMENT_3D('',#516084,#424549,#424550); #347151=AXIS2_PLACEMENT_3D('',#516086,#424552,#424553); #347152=AXIS2_PLACEMENT_3D('',#516088,#424555,#424556); #347153=AXIS2_PLACEMENT_3D('',#516090,#424558,#424559); #347154=AXIS2_PLACEMENT_3D('',#516091,#424560,#424561); #347155=AXIS2_PLACEMENT_3D('',#516093,#424563,#424564); #347156=AXIS2_PLACEMENT_3D('',#516095,#424566,#424567); #347157=AXIS2_PLACEMENT_3D('',#516097,#424569,#424570); #347158=AXIS2_PLACEMENT_3D('',#516098,#424571,#424572); #347159=AXIS2_PLACEMENT_3D('',#516100,#424574,#424575); #347160=AXIS2_PLACEMENT_3D('',#516101,#424576,#424577); #347161=AXIS2_PLACEMENT_3D('',#516102,#424578,#424579); #347162=AXIS2_PLACEMENT_3D('',#516103,#424580,#424581); #347163=AXIS2_PLACEMENT_3D('',#516104,#424582,#424583); #347164=AXIS2_PLACEMENT_3D('',#516105,#424584,#424585); #347165=AXIS2_PLACEMENT_3D('',#516106,#424586,#424587); #347166=AXIS2_PLACEMENT_3D('',#516107,#424588,#424589); #347167=AXIS2_PLACEMENT_3D('',#516108,#424590,#424591); #347168=AXIS2_PLACEMENT_3D('',#516109,#424592,#424593); #347169=AXIS2_PLACEMENT_3D('',#516111,#424595,#424596); #347170=AXIS2_PLACEMENT_3D('',#516113,#424598,#424599); #347171=AXIS2_PLACEMENT_3D('',#516114,#424600,#424601); #347172=AXIS2_PLACEMENT_3D('',#516116,#424603,#424604); #347173=AXIS2_PLACEMENT_3D('',#516118,#424606,#424607); #347174=AXIS2_PLACEMENT_3D('',#516119,#424608,#424609); #347175=AXIS2_PLACEMENT_3D('',#516121,#424611,#424612); #347176=AXIS2_PLACEMENT_3D('',#516128,#424617,#424618); #347177=AXIS2_PLACEMENT_3D('',#516130,#424620,#424621); #347178=AXIS2_PLACEMENT_3D('',#516131,#424622,#424623); #347179=AXIS2_PLACEMENT_3D('',#516142,#424630,#424631); #347180=AXIS2_PLACEMENT_3D('',#516151,#424636,#424637); #347181=AXIS2_PLACEMENT_3D('',#516154,#424640,#424641); #347182=AXIS2_PLACEMENT_3D('',#516156,#424643,#424644); #347183=AXIS2_PLACEMENT_3D('',#516163,#424649,#424650); #347184=AXIS2_PLACEMENT_3D('',#516165,#424652,#424653); #347185=AXIS2_PLACEMENT_3D('',#516166,#424654,#424655); #347186=AXIS2_PLACEMENT_3D('',#516168,#424657,#424658); #347187=AXIS2_PLACEMENT_3D('',#516170,#424660,#424661); #347188=AXIS2_PLACEMENT_3D('',#516171,#424662,#424663); #347189=AXIS2_PLACEMENT_3D('',#516173,#424665,#424666); #347190=AXIS2_PLACEMENT_3D('',#516177,#424669,#424670); #347191=AXIS2_PLACEMENT_3D('',#516186,#424676,#424677); #347192=AXIS2_PLACEMENT_3D('',#516188,#424679,#424680); #347193=AXIS2_PLACEMENT_3D('',#516190,#424682,#424683); #347194=AXIS2_PLACEMENT_3D('',#516192,#424684,#424685); #347195=AXIS2_PLACEMENT_3D('',#516194,#424686,#424687); #347196=AXIS2_PLACEMENT_3D('',#516195,#424688,#424689); #347197=AXIS2_PLACEMENT_3D('',#516198,#424691,#424692); #347198=AXIS2_PLACEMENT_3D('',#516199,#424693,#424694); #347199=AXIS2_PLACEMENT_3D('',#516201,#424695,#424696); #347200=AXIS2_PLACEMENT_3D('',#516202,#424697,#424698); #347201=AXIS2_PLACEMENT_3D('',#516205,#424700,#424701); #347202=AXIS2_PLACEMENT_3D('',#516206,#424702,#424703); #347203=AXIS2_PLACEMENT_3D('',#516208,#424704,#424705); #347204=AXIS2_PLACEMENT_3D('',#516209,#424706,#424707); #347205=AXIS2_PLACEMENT_3D('',#516211,#424709,#424710); #347206=AXIS2_PLACEMENT_3D('',#516213,#424712,#424713); #347207=AXIS2_PLACEMENT_3D('',#516214,#424714,#424715); #347208=AXIS2_PLACEMENT_3D('',#516218,#424718,#424719); #347209=AXIS2_PLACEMENT_3D('',#516225,#424720,#424721); #347210=AXIS2_PLACEMENT_3D('',#516231,#424722,#424723); #347211=AXIS2_PLACEMENT_3D('',#516233,#424725,#424726); #347212=AXIS2_PLACEMENT_3D('',#516234,#424727,#424728); #347213=AXIS2_PLACEMENT_3D('',#516236,#424730,#424731); #347214=AXIS2_PLACEMENT_3D('',#516238,#424733,#424734); #347215=AXIS2_PLACEMENT_3D('',#516239,#424735,#424736); #347216=AXIS2_PLACEMENT_3D('',#516252,#424749,#424750); #347217=AXIS2_PLACEMENT_3D('',#516254,#424752,#424753); #347218=AXIS2_PLACEMENT_3D('',#516255,#424754,#424755); #347219=AXIS2_PLACEMENT_3D('',#516257,#424757,#424758); #347220=AXIS2_PLACEMENT_3D('',#516258,#424759,#424760); #347221=AXIS2_PLACEMENT_3D('',#516260,#424762,#424763); #347222=AXIS2_PLACEMENT_3D('',#516261,#424764,#424765); #347223=AXIS2_PLACEMENT_3D('',#516263,#424767,#424768); #347224=AXIS2_PLACEMENT_3D('',#516264,#424769,#424770); #347225=AXIS2_PLACEMENT_3D('',#516266,#424772,#424773); #347226=AXIS2_PLACEMENT_3D('',#516267,#424774,#424775); #347227=AXIS2_PLACEMENT_3D('',#516269,#424777,#424778); #347228=AXIS2_PLACEMENT_3D('',#516270,#424779,#424780); #347229=AXIS2_PLACEMENT_3D('',#516272,#424782,#424783); #347230=AXIS2_PLACEMENT_3D('',#516273,#424784,#424785); #347231=AXIS2_PLACEMENT_3D('',#516275,#424787,#424788); #347232=AXIS2_PLACEMENT_3D('',#516276,#424789,#424790); #347233=AXIS2_PLACEMENT_3D('',#516278,#424792,#424793); #347234=AXIS2_PLACEMENT_3D('',#516279,#424794,#424795); #347235=AXIS2_PLACEMENT_3D('',#516281,#424797,#424798); #347236=AXIS2_PLACEMENT_3D('',#516282,#424799,#424800); #347237=AXIS2_PLACEMENT_3D('',#516284,#424802,#424803); #347238=AXIS2_PLACEMENT_3D('',#516285,#424804,#424805); #347239=AXIS2_PLACEMENT_3D('',#516287,#424807,#424808); #347240=AXIS2_PLACEMENT_3D('',#516288,#424809,#424810); #347241=AXIS2_PLACEMENT_3D('',#516298,#424816,#424817); #347242=AXIS2_PLACEMENT_3D('',#516302,#424820,#424821); #347243=AXIS2_PLACEMENT_3D('',#516309,#424826,#424827); #347244=AXIS2_PLACEMENT_3D('',#516315,#424832,#424833); #347245=AXIS2_PLACEMENT_3D('',#516317,#424835,#424836); #347246=AXIS2_PLACEMENT_3D('',#516323,#424840,#424841); #347247=AXIS2_PLACEMENT_3D('',#516332,#424847,#424848); #347248=AXIS2_PLACEMENT_3D('',#516362,#424864,#424865); #347249=AXIS2_PLACEMENT_3D('',#516366,#424868,#424869); #347250=AXIS2_PLACEMENT_3D('',#516370,#424872,#424873); #347251=AXIS2_PLACEMENT_3D('',#516373,#424876,#424877); #347252=AXIS2_PLACEMENT_3D('',#516374,#424878,#424879); #347253=AXIS2_PLACEMENT_3D('',#516378,#424882,#424883); #347254=AXIS2_PLACEMENT_3D('',#516382,#424886,#424887); #347255=AXIS2_PLACEMENT_3D('',#516385,#424890,#424891); #347256=AXIS2_PLACEMENT_3D('',#516386,#424892,#424893); #347257=AXIS2_PLACEMENT_3D('',#516390,#424896,#424897); #347258=AXIS2_PLACEMENT_3D('',#516394,#424900,#424901); #347259=AXIS2_PLACEMENT_3D('',#516398,#424904,#424905); #347260=AXIS2_PLACEMENT_3D('',#516402,#424908,#424909); #347261=AXIS2_PLACEMENT_3D('',#516406,#424912,#424913); #347262=AXIS2_PLACEMENT_3D('',#516410,#424916,#424917); #347263=AXIS2_PLACEMENT_3D('',#516414,#424920,#424921); #347264=AXIS2_PLACEMENT_3D('',#516418,#424924,#424925); #347265=AXIS2_PLACEMENT_3D('',#516422,#424928,#424929); #347266=AXIS2_PLACEMENT_3D('',#516426,#424932,#424933); #347267=AXIS2_PLACEMENT_3D('',#516430,#424936,#424937); #347268=AXIS2_PLACEMENT_3D('',#516434,#424940,#424941); #347269=AXIS2_PLACEMENT_3D('',#516438,#424944,#424945); #347270=AXIS2_PLACEMENT_3D('',#516442,#424948,#424949); #347271=AXIS2_PLACEMENT_3D('',#516444,#424951,#424952); #347272=AXIS2_PLACEMENT_3D('',#516448,#424955,#424956); #347273=AXIS2_PLACEMENT_3D('',#516452,#424959,#424960); #347274=AXIS2_PLACEMENT_3D('',#516456,#424963,#424964); #347275=AXIS2_PLACEMENT_3D('',#516460,#424967,#424968); #347276=AXIS2_PLACEMENT_3D('',#516464,#424971,#424972); #347277=AXIS2_PLACEMENT_3D('',#516468,#424975,#424976); #347278=AXIS2_PLACEMENT_3D('',#516472,#424979,#424980); #347279=AXIS2_PLACEMENT_3D('',#516476,#424983,#424984); #347280=AXIS2_PLACEMENT_3D('',#516480,#424987,#424988); #347281=AXIS2_PLACEMENT_3D('',#516484,#424991,#424992); #347282=AXIS2_PLACEMENT_3D('',#516488,#424995,#424996); #347283=AXIS2_PLACEMENT_3D('',#516492,#424999,#425000); #347284=AXIS2_PLACEMENT_3D('',#516496,#425003,#425004); #347285=AXIS2_PLACEMENT_3D('',#516500,#425007,#425008); #347286=AXIS2_PLACEMENT_3D('',#516502,#425010,#425011); #347287=AXIS2_PLACEMENT_3D('',#516503,#425012,#425013); #347288=AXIS2_PLACEMENT_3D('',#516505,#425015,#425016); #347289=AXIS2_PLACEMENT_3D('',#516507,#425018,#425019); #347290=AXIS2_PLACEMENT_3D('',#516508,#425020,#425021); #347291=AXIS2_PLACEMENT_3D('',#516510,#425023,#425024); #347292=AXIS2_PLACEMENT_3D('',#516512,#425026,#425027); #347293=AXIS2_PLACEMENT_3D('',#516513,#425028,#425029); #347294=AXIS2_PLACEMENT_3D('',#516514,#425030,#425031); #347295=AXIS2_PLACEMENT_3D('',#516516,#425033,#425034); #347296=AXIS2_PLACEMENT_3D('',#516517,#425035,#425036); #347297=AXIS2_PLACEMENT_3D('',#516518,#425037,#425038); #347298=AXIS2_PLACEMENT_3D('',#516519,#425039,#425040); #347299=AXIS2_PLACEMENT_3D('',#516528,#425045,#425046); #347300=AXIS2_PLACEMENT_3D('',#516532,#425048,#425049); #347301=AXIS2_PLACEMENT_3D('',#516536,#425051,#425052); #347302=AXIS2_PLACEMENT_3D('',#516544,#425056,#425057); #347303=AXIS2_PLACEMENT_3D('',#516548,#425059,#425060); #347304=AXIS2_PLACEMENT_3D('',#516550,#425062,#425063); #347305=AXIS2_PLACEMENT_3D('',#516559,#425067,#425068); #347306=AXIS2_PLACEMENT_3D('',#516563,#425070,#425071); #347307=AXIS2_PLACEMENT_3D('',#516571,#425075,#425076); #347308=AXIS2_PLACEMENT_3D('',#516574,#425078,#425079); #347309=AXIS2_PLACEMENT_3D('',#516575,#425080,#425081); #347310=AXIS2_PLACEMENT_3D('',#516581,#425085,#425086); #347311=AXIS2_PLACEMENT_3D('',#516591,#425092,#425093); #347312=AXIS2_PLACEMENT_3D('',#516597,#425097,#425098); #347313=AXIS2_PLACEMENT_3D('',#516604,#425103,#425104); #347314=AXIS2_PLACEMENT_3D('',#516607,#425107,#425108); #347315=AXIS2_PLACEMENT_3D('',#516610,#425111,#425112); #347316=AXIS2_PLACEMENT_3D('',#516613,#425115,#425116); #347317=AXIS2_PLACEMENT_3D('',#516615,#425118,#425119); #347318=AXIS2_PLACEMENT_3D('',#516617,#425121,#425122); #347319=AXIS2_PLACEMENT_3D('',#516619,#425124,#425125); #347320=AXIS2_PLACEMENT_3D('',#516620,#425126,#425127); #347321=AXIS2_PLACEMENT_3D('',#516622,#425129,#425130); #347322=AXIS2_PLACEMENT_3D('',#516624,#425132,#425133); #347323=AXIS2_PLACEMENT_3D('',#516626,#425135,#425136); #347324=AXIS2_PLACEMENT_3D('',#516627,#425137,#425138); #347325=AXIS2_PLACEMENT_3D('',#516628,#425139,#425140); #347326=AXIS2_PLACEMENT_3D('',#516629,#425141,#425142); #347327=AXIS2_PLACEMENT_3D('',#516630,#425143,#425144); #347328=AXIS2_PLACEMENT_3D('',#516639,#425149,#425150); #347329=AXIS2_PLACEMENT_3D('',#516643,#425152,#425153); #347330=AXIS2_PLACEMENT_3D('',#516647,#425155,#425156); #347331=AXIS2_PLACEMENT_3D('',#516655,#425160,#425161); #347332=AXIS2_PLACEMENT_3D('',#516659,#425163,#425164); #347333=AXIS2_PLACEMENT_3D('',#516661,#425166,#425167); #347334=AXIS2_PLACEMENT_3D('',#516670,#425171,#425172); #347335=AXIS2_PLACEMENT_3D('',#516674,#425174,#425175); #347336=AXIS2_PLACEMENT_3D('',#516682,#425179,#425180); #347337=AXIS2_PLACEMENT_3D('',#516685,#425182,#425183); #347338=AXIS2_PLACEMENT_3D('',#516686,#425184,#425185); #347339=AXIS2_PLACEMENT_3D('',#516692,#425189,#425190); #347340=AXIS2_PLACEMENT_3D('',#516702,#425196,#425197); #347341=AXIS2_PLACEMENT_3D('',#516708,#425201,#425202); #347342=AXIS2_PLACEMENT_3D('',#516715,#425207,#425208); #347343=AXIS2_PLACEMENT_3D('',#516718,#425211,#425212); #347344=AXIS2_PLACEMENT_3D('',#516721,#425215,#425216); #347345=AXIS2_PLACEMENT_3D('',#516724,#425219,#425220); #347346=AXIS2_PLACEMENT_3D('',#516726,#425222,#425223); #347347=AXIS2_PLACEMENT_3D('',#516728,#425225,#425226); #347348=AXIS2_PLACEMENT_3D('',#516730,#425228,#425229); #347349=AXIS2_PLACEMENT_3D('',#516731,#425230,#425231); #347350=AXIS2_PLACEMENT_3D('',#516733,#425233,#425234); #347351=AXIS2_PLACEMENT_3D('',#516735,#425236,#425237); #347352=AXIS2_PLACEMENT_3D('',#516737,#425239,#425240); #347353=AXIS2_PLACEMENT_3D('',#516738,#425241,#425242); #347354=AXIS2_PLACEMENT_3D('',#516739,#425243,#425244); #347355=AXIS2_PLACEMENT_3D('',#516740,#425245,#425246); #347356=AXIS2_PLACEMENT_3D('',#516741,#425247,#425248); #347357=AXIS2_PLACEMENT_3D('',#516750,#425253,#425254); #347358=AXIS2_PLACEMENT_3D('',#516754,#425256,#425257); #347359=AXIS2_PLACEMENT_3D('',#516758,#425259,#425260); #347360=AXIS2_PLACEMENT_3D('',#516766,#425264,#425265); #347361=AXIS2_PLACEMENT_3D('',#516770,#425267,#425268); #347362=AXIS2_PLACEMENT_3D('',#516772,#425270,#425271); #347363=AXIS2_PLACEMENT_3D('',#516781,#425275,#425276); #347364=AXIS2_PLACEMENT_3D('',#516785,#425278,#425279); #347365=AXIS2_PLACEMENT_3D('',#516793,#425283,#425284); #347366=AXIS2_PLACEMENT_3D('',#516796,#425286,#425287); #347367=AXIS2_PLACEMENT_3D('',#516797,#425288,#425289); #347368=AXIS2_PLACEMENT_3D('',#516803,#425293,#425294); #347369=AXIS2_PLACEMENT_3D('',#516813,#425300,#425301); #347370=AXIS2_PLACEMENT_3D('',#516819,#425305,#425306); #347371=AXIS2_PLACEMENT_3D('',#516826,#425311,#425312); #347372=AXIS2_PLACEMENT_3D('',#516829,#425315,#425316); #347373=AXIS2_PLACEMENT_3D('',#516832,#425319,#425320); #347374=AXIS2_PLACEMENT_3D('',#516835,#425323,#425324); #347375=AXIS2_PLACEMENT_3D('',#516837,#425326,#425327); #347376=AXIS2_PLACEMENT_3D('',#516839,#425329,#425330); #347377=AXIS2_PLACEMENT_3D('',#516841,#425332,#425333); #347378=AXIS2_PLACEMENT_3D('',#516842,#425334,#425335); #347379=AXIS2_PLACEMENT_3D('',#516844,#425337,#425338); #347380=AXIS2_PLACEMENT_3D('',#516846,#425340,#425341); #347381=AXIS2_PLACEMENT_3D('',#516848,#425343,#425344); #347382=AXIS2_PLACEMENT_3D('',#516849,#425345,#425346); #347383=AXIS2_PLACEMENT_3D('',#516850,#425347,#425348); #347384=AXIS2_PLACEMENT_3D('',#516851,#425349,#425350); #347385=AXIS2_PLACEMENT_3D('',#516852,#425351,#425352); #347386=AXIS2_PLACEMENT_3D('',#516861,#425357,#425358); #347387=AXIS2_PLACEMENT_3D('',#516865,#425360,#425361); #347388=AXIS2_PLACEMENT_3D('',#516869,#425363,#425364); #347389=AXIS2_PLACEMENT_3D('',#516877,#425368,#425369); #347390=AXIS2_PLACEMENT_3D('',#516881,#425371,#425372); #347391=AXIS2_PLACEMENT_3D('',#516883,#425374,#425375); #347392=AXIS2_PLACEMENT_3D('',#516892,#425379,#425380); #347393=AXIS2_PLACEMENT_3D('',#516896,#425382,#425383); #347394=AXIS2_PLACEMENT_3D('',#516904,#425387,#425388); #347395=AXIS2_PLACEMENT_3D('',#516907,#425390,#425391); #347396=AXIS2_PLACEMENT_3D('',#516908,#425392,#425393); #347397=AXIS2_PLACEMENT_3D('',#516914,#425397,#425398); #347398=AXIS2_PLACEMENT_3D('',#516924,#425404,#425405); #347399=AXIS2_PLACEMENT_3D('',#516930,#425409,#425410); #347400=AXIS2_PLACEMENT_3D('',#516937,#425415,#425416); #347401=AXIS2_PLACEMENT_3D('',#516940,#425419,#425420); #347402=AXIS2_PLACEMENT_3D('',#516943,#425423,#425424); #347403=AXIS2_PLACEMENT_3D('',#516946,#425427,#425428); #347404=AXIS2_PLACEMENT_3D('',#516948,#425430,#425431); #347405=AXIS2_PLACEMENT_3D('',#516950,#425433,#425434); #347406=AXIS2_PLACEMENT_3D('',#516952,#425436,#425437); #347407=AXIS2_PLACEMENT_3D('',#516953,#425438,#425439); #347408=AXIS2_PLACEMENT_3D('',#516955,#425441,#425442); #347409=AXIS2_PLACEMENT_3D('',#516957,#425444,#425445); #347410=AXIS2_PLACEMENT_3D('',#516959,#425447,#425448); #347411=AXIS2_PLACEMENT_3D('',#516960,#425449,#425450); #347412=AXIS2_PLACEMENT_3D('',#516961,#425451,#425452); #347413=AXIS2_PLACEMENT_3D('',#516962,#425453,#425454); #347414=AXIS2_PLACEMENT_3D('',#516963,#425455,#425456); #347415=AXIS2_PLACEMENT_3D('',#516972,#425461,#425462); #347416=AXIS2_PLACEMENT_3D('',#516976,#425464,#425465); #347417=AXIS2_PLACEMENT_3D('',#516980,#425467,#425468); #347418=AXIS2_PLACEMENT_3D('',#516988,#425472,#425473); #347419=AXIS2_PLACEMENT_3D('',#516992,#425475,#425476); #347420=AXIS2_PLACEMENT_3D('',#516994,#425478,#425479); #347421=AXIS2_PLACEMENT_3D('',#517003,#425483,#425484); #347422=AXIS2_PLACEMENT_3D('',#517007,#425486,#425487); #347423=AXIS2_PLACEMENT_3D('',#517015,#425491,#425492); #347424=AXIS2_PLACEMENT_3D('',#517018,#425494,#425495); #347425=AXIS2_PLACEMENT_3D('',#517019,#425496,#425497); #347426=AXIS2_PLACEMENT_3D('',#517025,#425501,#425502); #347427=AXIS2_PLACEMENT_3D('',#517035,#425508,#425509); #347428=AXIS2_PLACEMENT_3D('',#517041,#425513,#425514); #347429=AXIS2_PLACEMENT_3D('',#517048,#425519,#425520); #347430=AXIS2_PLACEMENT_3D('',#517051,#425523,#425524); #347431=AXIS2_PLACEMENT_3D('',#517054,#425527,#425528); #347432=AXIS2_PLACEMENT_3D('',#517057,#425531,#425532); #347433=AXIS2_PLACEMENT_3D('',#517059,#425534,#425535); #347434=AXIS2_PLACEMENT_3D('',#517061,#425537,#425538); #347435=AXIS2_PLACEMENT_3D('',#517063,#425540,#425541); #347436=AXIS2_PLACEMENT_3D('',#517064,#425542,#425543); #347437=AXIS2_PLACEMENT_3D('',#517066,#425545,#425546); #347438=AXIS2_PLACEMENT_3D('',#517068,#425548,#425549); #347439=AXIS2_PLACEMENT_3D('',#517070,#425551,#425552); #347440=AXIS2_PLACEMENT_3D('',#517071,#425553,#425554); #347441=AXIS2_PLACEMENT_3D('',#517072,#425555,#425556); #347442=AXIS2_PLACEMENT_3D('',#517073,#425557,#425558); #347443=AXIS2_PLACEMENT_3D('',#517074,#425559,#425560); #347444=AXIS2_PLACEMENT_3D('',#517083,#425565,#425566); #347445=AXIS2_PLACEMENT_3D('',#517087,#425568,#425569); #347446=AXIS2_PLACEMENT_3D('',#517091,#425571,#425572); #347447=AXIS2_PLACEMENT_3D('',#517099,#425576,#425577); #347448=AXIS2_PLACEMENT_3D('',#517103,#425579,#425580); #347449=AXIS2_PLACEMENT_3D('',#517105,#425582,#425583); #347450=AXIS2_PLACEMENT_3D('',#517114,#425587,#425588); #347451=AXIS2_PLACEMENT_3D('',#517118,#425590,#425591); #347452=AXIS2_PLACEMENT_3D('',#517126,#425595,#425596); #347453=AXIS2_PLACEMENT_3D('',#517129,#425598,#425599); #347454=AXIS2_PLACEMENT_3D('',#517130,#425600,#425601); #347455=AXIS2_PLACEMENT_3D('',#517136,#425605,#425606); #347456=AXIS2_PLACEMENT_3D('',#517146,#425612,#425613); #347457=AXIS2_PLACEMENT_3D('',#517152,#425617,#425618); #347458=AXIS2_PLACEMENT_3D('',#517159,#425623,#425624); #347459=AXIS2_PLACEMENT_3D('',#517162,#425627,#425628); #347460=AXIS2_PLACEMENT_3D('',#517165,#425631,#425632); #347461=AXIS2_PLACEMENT_3D('',#517168,#425635,#425636); #347462=AXIS2_PLACEMENT_3D('',#517170,#425638,#425639); #347463=AXIS2_PLACEMENT_3D('',#517172,#425641,#425642); #347464=AXIS2_PLACEMENT_3D('',#517174,#425644,#425645); #347465=AXIS2_PLACEMENT_3D('',#517175,#425646,#425647); #347466=AXIS2_PLACEMENT_3D('',#517177,#425649,#425650); #347467=AXIS2_PLACEMENT_3D('',#517179,#425652,#425653); #347468=AXIS2_PLACEMENT_3D('',#517181,#425655,#425656); #347469=AXIS2_PLACEMENT_3D('',#517182,#425657,#425658); #347470=AXIS2_PLACEMENT_3D('',#517183,#425659,#425660); #347471=AXIS2_PLACEMENT_3D('',#517184,#425661,#425662); #347472=AXIS2_PLACEMENT_3D('',#517185,#425663,#425664); #347473=AXIS2_PLACEMENT_3D('',#517194,#425669,#425670); #347474=AXIS2_PLACEMENT_3D('',#517198,#425672,#425673); #347475=AXIS2_PLACEMENT_3D('',#517202,#425675,#425676); #347476=AXIS2_PLACEMENT_3D('',#517210,#425680,#425681); #347477=AXIS2_PLACEMENT_3D('',#517214,#425683,#425684); #347478=AXIS2_PLACEMENT_3D('',#517216,#425686,#425687); #347479=AXIS2_PLACEMENT_3D('',#517225,#425691,#425692); #347480=AXIS2_PLACEMENT_3D('',#517229,#425694,#425695); #347481=AXIS2_PLACEMENT_3D('',#517237,#425699,#425700); #347482=AXIS2_PLACEMENT_3D('',#517240,#425702,#425703); #347483=AXIS2_PLACEMENT_3D('',#517241,#425704,#425705); #347484=AXIS2_PLACEMENT_3D('',#517247,#425709,#425710); #347485=AXIS2_PLACEMENT_3D('',#517257,#425716,#425717); #347486=AXIS2_PLACEMENT_3D('',#517263,#425721,#425722); #347487=AXIS2_PLACEMENT_3D('',#517270,#425727,#425728); #347488=AXIS2_PLACEMENT_3D('',#517273,#425731,#425732); #347489=AXIS2_PLACEMENT_3D('',#517276,#425735,#425736); #347490=AXIS2_PLACEMENT_3D('',#517279,#425739,#425740); #347491=AXIS2_PLACEMENT_3D('',#517281,#425742,#425743); #347492=AXIS2_PLACEMENT_3D('',#517283,#425745,#425746); #347493=AXIS2_PLACEMENT_3D('',#517285,#425748,#425749); #347494=AXIS2_PLACEMENT_3D('',#517286,#425750,#425751); #347495=AXIS2_PLACEMENT_3D('',#517288,#425753,#425754); #347496=AXIS2_PLACEMENT_3D('',#517290,#425756,#425757); #347497=AXIS2_PLACEMENT_3D('',#517292,#425759,#425760); #347498=AXIS2_PLACEMENT_3D('',#517293,#425761,#425762); #347499=AXIS2_PLACEMENT_3D('',#517294,#425763,#425764); #347500=AXIS2_PLACEMENT_3D('',#517295,#425765,#425766); #347501=AXIS2_PLACEMENT_3D('',#517296,#425767,#425768); #347502=AXIS2_PLACEMENT_3D('',#517305,#425773,#425774); #347503=AXIS2_PLACEMENT_3D('',#517311,#425777,#425778); #347504=AXIS2_PLACEMENT_3D('',#517315,#425780,#425781); #347505=AXIS2_PLACEMENT_3D('',#517323,#425785,#425786); #347506=AXIS2_PLACEMENT_3D('',#517326,#425788,#425789); #347507=AXIS2_PLACEMENT_3D('',#517327,#425790,#425791); #347508=AXIS2_PLACEMENT_3D('',#517332,#425793,#425794); #347509=AXIS2_PLACEMENT_3D('',#517336,#425796,#425797); #347510=AXIS2_PLACEMENT_3D('',#517344,#425801,#425802); #347511=AXIS2_PLACEMENT_3D('',#517348,#425804,#425805); #347512=AXIS2_PLACEMENT_3D('',#517352,#425808,#425809); #347513=AXIS2_PLACEMENT_3D('',#517358,#425813,#425814); #347514=AXIS2_PLACEMENT_3D('',#517368,#425820,#425821); #347515=AXIS2_PLACEMENT_3D('',#517374,#425825,#425826); #347516=AXIS2_PLACEMENT_3D('',#517381,#425831,#425832); #347517=AXIS2_PLACEMENT_3D('',#517384,#425835,#425836); #347518=AXIS2_PLACEMENT_3D('',#517387,#425839,#425840); #347519=AXIS2_PLACEMENT_3D('',#517390,#425843,#425844); #347520=AXIS2_PLACEMENT_3D('',#517392,#425846,#425847); #347521=AXIS2_PLACEMENT_3D('',#517394,#425849,#425850); #347522=AXIS2_PLACEMENT_3D('',#517396,#425852,#425853); #347523=AXIS2_PLACEMENT_3D('',#517397,#425854,#425855); #347524=AXIS2_PLACEMENT_3D('',#517399,#425857,#425858); #347525=AXIS2_PLACEMENT_3D('',#517401,#425860,#425861); #347526=AXIS2_PLACEMENT_3D('',#517403,#425863,#425864); #347527=AXIS2_PLACEMENT_3D('',#517404,#425865,#425866); #347528=AXIS2_PLACEMENT_3D('',#517405,#425867,#425868); #347529=AXIS2_PLACEMENT_3D('',#517406,#425869,#425870); #347530=AXIS2_PLACEMENT_3D('',#517407,#425871,#425872); #347531=AXIS2_PLACEMENT_3D('',#517416,#425877,#425878); #347532=AXIS2_PLACEMENT_3D('',#517422,#425881,#425882); #347533=AXIS2_PLACEMENT_3D('',#517426,#425884,#425885); #347534=AXIS2_PLACEMENT_3D('',#517434,#425889,#425890); #347535=AXIS2_PLACEMENT_3D('',#517437,#425892,#425893); #347536=AXIS2_PLACEMENT_3D('',#517438,#425894,#425895); #347537=AXIS2_PLACEMENT_3D('',#517443,#425897,#425898); #347538=AXIS2_PLACEMENT_3D('',#517447,#425900,#425901); #347539=AXIS2_PLACEMENT_3D('',#517455,#425905,#425906); #347540=AXIS2_PLACEMENT_3D('',#517459,#425908,#425909); #347541=AXIS2_PLACEMENT_3D('',#517463,#425912,#425913); #347542=AXIS2_PLACEMENT_3D('',#517469,#425917,#425918); #347543=AXIS2_PLACEMENT_3D('',#517479,#425924,#425925); #347544=AXIS2_PLACEMENT_3D('',#517485,#425929,#425930); #347545=AXIS2_PLACEMENT_3D('',#517492,#425935,#425936); #347546=AXIS2_PLACEMENT_3D('',#517495,#425939,#425940); #347547=AXIS2_PLACEMENT_3D('',#517498,#425943,#425944); #347548=AXIS2_PLACEMENT_3D('',#517501,#425947,#425948); #347549=AXIS2_PLACEMENT_3D('',#517503,#425950,#425951); #347550=AXIS2_PLACEMENT_3D('',#517505,#425953,#425954); #347551=AXIS2_PLACEMENT_3D('',#517507,#425956,#425957); #347552=AXIS2_PLACEMENT_3D('',#517508,#425958,#425959); #347553=AXIS2_PLACEMENT_3D('',#517510,#425961,#425962); #347554=AXIS2_PLACEMENT_3D('',#517512,#425964,#425965); #347555=AXIS2_PLACEMENT_3D('',#517514,#425967,#425968); #347556=AXIS2_PLACEMENT_3D('',#517515,#425969,#425970); #347557=AXIS2_PLACEMENT_3D('',#517516,#425971,#425972); #347558=AXIS2_PLACEMENT_3D('',#517517,#425973,#425974); #347559=AXIS2_PLACEMENT_3D('',#517518,#425975,#425976); #347560=AXIS2_PLACEMENT_3D('',#517527,#425981,#425982); #347561=AXIS2_PLACEMENT_3D('',#517533,#425985,#425986); #347562=AXIS2_PLACEMENT_3D('',#517537,#425988,#425989); #347563=AXIS2_PLACEMENT_3D('',#517545,#425993,#425994); #347564=AXIS2_PLACEMENT_3D('',#517548,#425996,#425997); #347565=AXIS2_PLACEMENT_3D('',#517549,#425998,#425999); #347566=AXIS2_PLACEMENT_3D('',#517554,#426001,#426002); #347567=AXIS2_PLACEMENT_3D('',#517558,#426004,#426005); #347568=AXIS2_PLACEMENT_3D('',#517566,#426009,#426010); #347569=AXIS2_PLACEMENT_3D('',#517570,#426012,#426013); #347570=AXIS2_PLACEMENT_3D('',#517574,#426016,#426017); #347571=AXIS2_PLACEMENT_3D('',#517580,#426021,#426022); #347572=AXIS2_PLACEMENT_3D('',#517590,#426028,#426029); #347573=AXIS2_PLACEMENT_3D('',#517596,#426033,#426034); #347574=AXIS2_PLACEMENT_3D('',#517603,#426039,#426040); #347575=AXIS2_PLACEMENT_3D('',#517606,#426043,#426044); #347576=AXIS2_PLACEMENT_3D('',#517609,#426047,#426048); #347577=AXIS2_PLACEMENT_3D('',#517612,#426051,#426052); #347578=AXIS2_PLACEMENT_3D('',#517614,#426054,#426055); #347579=AXIS2_PLACEMENT_3D('',#517616,#426057,#426058); #347580=AXIS2_PLACEMENT_3D('',#517618,#426060,#426061); #347581=AXIS2_PLACEMENT_3D('',#517619,#426062,#426063); #347582=AXIS2_PLACEMENT_3D('',#517621,#426065,#426066); #347583=AXIS2_PLACEMENT_3D('',#517623,#426068,#426069); #347584=AXIS2_PLACEMENT_3D('',#517625,#426071,#426072); #347585=AXIS2_PLACEMENT_3D('',#517626,#426073,#426074); #347586=AXIS2_PLACEMENT_3D('',#517627,#426075,#426076); #347587=AXIS2_PLACEMENT_3D('',#517628,#426077,#426078); #347588=AXIS2_PLACEMENT_3D('',#517629,#426079,#426080); #347589=AXIS2_PLACEMENT_3D('',#517638,#426085,#426086); #347590=AXIS2_PLACEMENT_3D('',#517644,#426089,#426090); #347591=AXIS2_PLACEMENT_3D('',#517648,#426092,#426093); #347592=AXIS2_PLACEMENT_3D('',#517656,#426097,#426098); #347593=AXIS2_PLACEMENT_3D('',#517659,#426100,#426101); #347594=AXIS2_PLACEMENT_3D('',#517660,#426102,#426103); #347595=AXIS2_PLACEMENT_3D('',#517665,#426105,#426106); #347596=AXIS2_PLACEMENT_3D('',#517669,#426108,#426109); #347597=AXIS2_PLACEMENT_3D('',#517677,#426113,#426114); #347598=AXIS2_PLACEMENT_3D('',#517681,#426116,#426117); #347599=AXIS2_PLACEMENT_3D('',#517685,#426120,#426121); #347600=AXIS2_PLACEMENT_3D('',#517691,#426125,#426126); #347601=AXIS2_PLACEMENT_3D('',#517701,#426132,#426133); #347602=AXIS2_PLACEMENT_3D('',#517707,#426137,#426138); #347603=AXIS2_PLACEMENT_3D('',#517714,#426143,#426144); #347604=AXIS2_PLACEMENT_3D('',#517717,#426147,#426148); #347605=AXIS2_PLACEMENT_3D('',#517720,#426151,#426152); #347606=AXIS2_PLACEMENT_3D('',#517723,#426155,#426156); #347607=AXIS2_PLACEMENT_3D('',#517725,#426158,#426159); #347608=AXIS2_PLACEMENT_3D('',#517727,#426161,#426162); #347609=AXIS2_PLACEMENT_3D('',#517729,#426164,#426165); #347610=AXIS2_PLACEMENT_3D('',#517730,#426166,#426167); #347611=AXIS2_PLACEMENT_3D('',#517732,#426169,#426170); #347612=AXIS2_PLACEMENT_3D('',#517734,#426172,#426173); #347613=AXIS2_PLACEMENT_3D('',#517736,#426175,#426176); #347614=AXIS2_PLACEMENT_3D('',#517737,#426177,#426178); #347615=AXIS2_PLACEMENT_3D('',#517738,#426179,#426180); #347616=AXIS2_PLACEMENT_3D('',#517739,#426181,#426182); #347617=AXIS2_PLACEMENT_3D('',#517740,#426183,#426184); #347618=AXIS2_PLACEMENT_3D('',#517749,#426189,#426190); #347619=AXIS2_PLACEMENT_3D('',#517755,#426193,#426194); #347620=AXIS2_PLACEMENT_3D('',#517759,#426196,#426197); #347621=AXIS2_PLACEMENT_3D('',#517767,#426201,#426202); #347622=AXIS2_PLACEMENT_3D('',#517770,#426204,#426205); #347623=AXIS2_PLACEMENT_3D('',#517771,#426206,#426207); #347624=AXIS2_PLACEMENT_3D('',#517776,#426209,#426210); #347625=AXIS2_PLACEMENT_3D('',#517780,#426212,#426213); #347626=AXIS2_PLACEMENT_3D('',#517788,#426217,#426218); #347627=AXIS2_PLACEMENT_3D('',#517792,#426220,#426221); #347628=AXIS2_PLACEMENT_3D('',#517796,#426224,#426225); #347629=AXIS2_PLACEMENT_3D('',#517802,#426229,#426230); #347630=AXIS2_PLACEMENT_3D('',#517812,#426236,#426237); #347631=AXIS2_PLACEMENT_3D('',#517818,#426241,#426242); #347632=AXIS2_PLACEMENT_3D('',#517825,#426247,#426248); #347633=AXIS2_PLACEMENT_3D('',#517828,#426251,#426252); #347634=AXIS2_PLACEMENT_3D('',#517831,#426255,#426256); #347635=AXIS2_PLACEMENT_3D('',#517834,#426259,#426260); #347636=AXIS2_PLACEMENT_3D('',#517836,#426262,#426263); #347637=AXIS2_PLACEMENT_3D('',#517838,#426265,#426266); #347638=AXIS2_PLACEMENT_3D('',#517840,#426268,#426269); #347639=AXIS2_PLACEMENT_3D('',#517841,#426270,#426271); #347640=AXIS2_PLACEMENT_3D('',#517843,#426273,#426274); #347641=AXIS2_PLACEMENT_3D('',#517845,#426276,#426277); #347642=AXIS2_PLACEMENT_3D('',#517847,#426279,#426280); #347643=AXIS2_PLACEMENT_3D('',#517848,#426281,#426282); #347644=AXIS2_PLACEMENT_3D('',#517849,#426283,#426284); #347645=AXIS2_PLACEMENT_3D('',#517850,#426285,#426286); #347646=AXIS2_PLACEMENT_3D('',#517851,#426287,#426288); #347647=AXIS2_PLACEMENT_3D('',#517860,#426293,#426294); #347648=AXIS2_PLACEMENT_3D('',#517866,#426297,#426298); #347649=AXIS2_PLACEMENT_3D('',#517870,#426300,#426301); #347650=AXIS2_PLACEMENT_3D('',#517878,#426305,#426306); #347651=AXIS2_PLACEMENT_3D('',#517881,#426308,#426309); #347652=AXIS2_PLACEMENT_3D('',#517882,#426310,#426311); #347653=AXIS2_PLACEMENT_3D('',#517887,#426313,#426314); #347654=AXIS2_PLACEMENT_3D('',#517891,#426316,#426317); #347655=AXIS2_PLACEMENT_3D('',#517899,#426321,#426322); #347656=AXIS2_PLACEMENT_3D('',#517903,#426324,#426325); #347657=AXIS2_PLACEMENT_3D('',#517907,#426328,#426329); #347658=AXIS2_PLACEMENT_3D('',#517913,#426333,#426334); #347659=AXIS2_PLACEMENT_3D('',#517923,#426340,#426341); #347660=AXIS2_PLACEMENT_3D('',#517929,#426345,#426346); #347661=AXIS2_PLACEMENT_3D('',#517936,#426351,#426352); #347662=AXIS2_PLACEMENT_3D('',#517939,#426355,#426356); #347663=AXIS2_PLACEMENT_3D('',#517942,#426359,#426360); #347664=AXIS2_PLACEMENT_3D('',#517945,#426363,#426364); #347665=AXIS2_PLACEMENT_3D('',#517947,#426366,#426367); #347666=AXIS2_PLACEMENT_3D('',#517949,#426369,#426370); #347667=AXIS2_PLACEMENT_3D('',#517951,#426372,#426373); #347668=AXIS2_PLACEMENT_3D('',#517952,#426374,#426375); #347669=AXIS2_PLACEMENT_3D('',#517954,#426377,#426378); #347670=AXIS2_PLACEMENT_3D('',#517956,#426380,#426381); #347671=AXIS2_PLACEMENT_3D('',#517958,#426383,#426384); #347672=AXIS2_PLACEMENT_3D('',#517959,#426385,#426386); #347673=AXIS2_PLACEMENT_3D('',#517960,#426387,#426388); #347674=AXIS2_PLACEMENT_3D('',#517961,#426389,#426390); #347675=AXIS2_PLACEMENT_3D('',#517962,#426391,#426392); #347676=AXIS2_PLACEMENT_3D('',#517971,#426397,#426398); #347677=AXIS2_PLACEMENT_3D('',#517977,#426401,#426402); #347678=AXIS2_PLACEMENT_3D('',#517981,#426404,#426405); #347679=AXIS2_PLACEMENT_3D('',#517989,#426409,#426410); #347680=AXIS2_PLACEMENT_3D('',#517992,#426412,#426413); #347681=AXIS2_PLACEMENT_3D('',#517993,#426414,#426415); #347682=AXIS2_PLACEMENT_3D('',#517998,#426417,#426418); #347683=AXIS2_PLACEMENT_3D('',#518002,#426420,#426421); #347684=AXIS2_PLACEMENT_3D('',#518010,#426425,#426426); #347685=AXIS2_PLACEMENT_3D('',#518014,#426428,#426429); #347686=AXIS2_PLACEMENT_3D('',#518018,#426432,#426433); #347687=AXIS2_PLACEMENT_3D('',#518024,#426437,#426438); #347688=AXIS2_PLACEMENT_3D('',#518034,#426444,#426445); #347689=AXIS2_PLACEMENT_3D('',#518040,#426449,#426450); #347690=AXIS2_PLACEMENT_3D('',#518047,#426455,#426456); #347691=AXIS2_PLACEMENT_3D('',#518050,#426459,#426460); #347692=AXIS2_PLACEMENT_3D('',#518053,#426463,#426464); #347693=AXIS2_PLACEMENT_3D('',#518056,#426467,#426468); #347694=AXIS2_PLACEMENT_3D('',#518058,#426470,#426471); #347695=AXIS2_PLACEMENT_3D('',#518060,#426473,#426474); #347696=AXIS2_PLACEMENT_3D('',#518062,#426476,#426477); #347697=AXIS2_PLACEMENT_3D('',#518063,#426478,#426479); #347698=AXIS2_PLACEMENT_3D('',#518065,#426481,#426482); #347699=AXIS2_PLACEMENT_3D('',#518067,#426484,#426485); #347700=AXIS2_PLACEMENT_3D('',#518069,#426487,#426488); #347701=AXIS2_PLACEMENT_3D('',#518070,#426489,#426490); #347702=AXIS2_PLACEMENT_3D('',#518071,#426491,#426492); #347703=AXIS2_PLACEMENT_3D('',#518072,#426493,#426494); #347704=AXIS2_PLACEMENT_3D('',#518073,#426495,#426496); #347705=AXIS2_PLACEMENT_3D('',#518082,#426501,#426502); #347706=AXIS2_PLACEMENT_3D('',#518088,#426506,#426507); #347707=AXIS2_PLACEMENT_3D('',#518094,#426511,#426512); #347708=AXIS2_PLACEMENT_3D('',#518100,#426516,#426517); #347709=AXIS2_PLACEMENT_3D('',#518102,#426518,#426519); #347710=AXIS2_PLACEMENT_3D('',#518105,#426521,#426522); #347711=AXIS2_PLACEMENT_3D('',#518106,#426523,#426524); #347712=AXIS2_PLACEMENT_3D('',#518112,#426528,#426529); #347713=AXIS2_PLACEMENT_3D('',#518118,#426533,#426534); #347714=AXIS2_PLACEMENT_3D('',#518124,#426538,#426539); #347715=AXIS2_PLACEMENT_3D('',#518126,#426540,#426541); #347716=AXIS2_PLACEMENT_3D('',#518129,#426543,#426544); #347717=AXIS2_PLACEMENT_3D('',#518130,#426545,#426546); #347718=AXIS2_PLACEMENT_3D('',#518136,#426550,#426551); #347719=AXIS2_PLACEMENT_3D('',#518138,#426552,#426553); #347720=AXIS2_PLACEMENT_3D('',#518141,#426555,#426556); #347721=AXIS2_PLACEMENT_3D('',#518142,#426557,#426558); #347722=AXIS2_PLACEMENT_3D('',#518144,#426559,#426560); #347723=AXIS2_PLACEMENT_3D('',#518147,#426562,#426563); #347724=AXIS2_PLACEMENT_3D('',#518148,#426564,#426565); #347725=AXIS2_PLACEMENT_3D('',#518154,#426569,#426570); #347726=AXIS2_PLACEMENT_3D('',#518156,#426571,#426572); #347727=AXIS2_PLACEMENT_3D('',#518159,#426574,#426575); #347728=AXIS2_PLACEMENT_3D('',#518160,#426576,#426577); #347729=AXIS2_PLACEMENT_3D('',#518166,#426581,#426582); #347730=AXIS2_PLACEMENT_3D('',#518168,#426583,#426584); #347731=AXIS2_PLACEMENT_3D('',#518171,#426586,#426587); #347732=AXIS2_PLACEMENT_3D('',#518172,#426588,#426589); #347733=AXIS2_PLACEMENT_3D('',#518178,#426593,#426594); #347734=AXIS2_PLACEMENT_3D('',#518184,#426598,#426599); #347735=AXIS2_PLACEMENT_3D('',#518190,#426603,#426604); #347736=AXIS2_PLACEMENT_3D('',#518196,#426608,#426609); #347737=AXIS2_PLACEMENT_3D('',#518202,#426613,#426614); #347738=AXIS2_PLACEMENT_3D('',#518208,#426618,#426619); #347739=AXIS2_PLACEMENT_3D('',#518214,#426623,#426624); #347740=AXIS2_PLACEMENT_3D('',#518216,#426625,#426626); #347741=AXIS2_PLACEMENT_3D('',#518219,#426628,#426629); #347742=AXIS2_PLACEMENT_3D('',#518220,#426630,#426631); #347743=AXIS2_PLACEMENT_3D('',#518226,#426635,#426636); #347744=AXIS2_PLACEMENT_3D('',#518228,#426637,#426638); #347745=AXIS2_PLACEMENT_3D('',#518231,#426640,#426641); #347746=AXIS2_PLACEMENT_3D('',#518232,#426642,#426643); #347747=AXIS2_PLACEMENT_3D('',#518238,#426647,#426648); #347748=AXIS2_PLACEMENT_3D('',#518240,#426649,#426650); #347749=AXIS2_PLACEMENT_3D('',#518243,#426652,#426653); #347750=AXIS2_PLACEMENT_3D('',#518244,#426654,#426655); #347751=AXIS2_PLACEMENT_3D('',#518246,#426656,#426657); #347752=AXIS2_PLACEMENT_3D('',#518249,#426659,#426660); #347753=AXIS2_PLACEMENT_3D('',#518250,#426661,#426662); #347754=AXIS2_PLACEMENT_3D('',#518256,#426666,#426667); #347755=AXIS2_PLACEMENT_3D('',#518258,#426668,#426669); #347756=AXIS2_PLACEMENT_3D('',#518261,#426671,#426672); #347757=AXIS2_PLACEMENT_3D('',#518262,#426673,#426674); #347758=AXIS2_PLACEMENT_3D('',#518268,#426678,#426679); #347759=AXIS2_PLACEMENT_3D('',#518274,#426683,#426684); #347760=AXIS2_PLACEMENT_3D('',#518280,#426688,#426689); #347761=AXIS2_PLACEMENT_3D('',#518282,#426690,#426691); #347762=AXIS2_PLACEMENT_3D('',#518285,#426693,#426694); #347763=AXIS2_PLACEMENT_3D('',#518286,#426695,#426696); #347764=AXIS2_PLACEMENT_3D('',#518289,#426699,#426700); #347765=AXIS2_PLACEMENT_3D('',#518290,#426701,#426702); #347766=AXIS2_PLACEMENT_3D('',#518291,#426703,#426704); #347767=AXIS2_PLACEMENT_3D('',#518292,#426705,#426706); #347768=AXIS2_PLACEMENT_3D('',#518301,#426711,#426712); #347769=AXIS2_PLACEMENT_3D('',#518310,#426717,#426718); #347770=AXIS2_PLACEMENT_3D('',#518313,#426719,#426720); #347771=AXIS2_PLACEMENT_3D('',#518317,#426722,#426723); #347772=AXIS2_PLACEMENT_3D('',#518321,#426725,#426726); #347773=AXIS2_PLACEMENT_3D('',#518325,#426728,#426729); #347774=AXIS2_PLACEMENT_3D('',#518329,#426731,#426732); #347775=AXIS2_PLACEMENT_3D('',#518333,#426734,#426735); #347776=AXIS2_PLACEMENT_3D('',#518337,#426737,#426738); #347777=AXIS2_PLACEMENT_3D('',#518341,#426740,#426741); #347778=AXIS2_PLACEMENT_3D('',#518351,#426746,#426747); #347779=AXIS2_PLACEMENT_3D('',#518357,#426750,#426751); #347780=AXIS2_PLACEMENT_3D('',#518361,#426753,#426754); #347781=AXIS2_PLACEMENT_3D('',#518365,#426756,#426757); #347782=AXIS2_PLACEMENT_3D('',#518367,#426758,#426759); #347783=AXIS2_PLACEMENT_3D('',#518371,#426761,#426762); #347784=AXIS2_PLACEMENT_3D('',#518375,#426764,#426765); #347785=AXIS2_PLACEMENT_3D('',#518379,#426767,#426768); #347786=AXIS2_PLACEMENT_3D('',#518385,#426771,#426772); #347787=AXIS2_PLACEMENT_3D('',#518405,#426783,#426784); #347788=AXIS2_PLACEMENT_3D('',#518410,#426786,#426787); #347789=AXIS2_PLACEMENT_3D('',#518416,#426790,#426791); #347790=AXIS2_PLACEMENT_3D('',#518422,#426794,#426795); #347791=AXIS2_PLACEMENT_3D('',#518426,#426797,#426798); #347792=AXIS2_PLACEMENT_3D('',#518430,#426800,#426801); #347793=AXIS2_PLACEMENT_3D('',#518434,#426803,#426804); #347794=AXIS2_PLACEMENT_3D('',#518436,#426805,#426806); #347795=AXIS2_PLACEMENT_3D('',#518440,#426808,#426809); #347796=AXIS2_PLACEMENT_3D('',#518444,#426811,#426812); #347797=AXIS2_PLACEMENT_3D('',#518450,#426815,#426816); #347798=AXIS2_PLACEMENT_3D('',#518460,#426821,#426822); #347799=AXIS2_PLACEMENT_3D('',#518464,#426824,#426825); #347800=AXIS2_PLACEMENT_3D('',#518468,#426827,#426828); #347801=AXIS2_PLACEMENT_3D('',#518472,#426830,#426831); #347802=AXIS2_PLACEMENT_3D('',#518476,#426833,#426834); #347803=AXIS2_PLACEMENT_3D('',#518480,#426836,#426837); #347804=AXIS2_PLACEMENT_3D('',#518483,#426839,#426840); #347805=AXIS2_PLACEMENT_3D('',#518500,#426849,#426850); #347806=AXIS2_PLACEMENT_3D('',#518503,#426853,#426854); #347807=AXIS2_PLACEMENT_3D('',#518508,#426858,#426859); #347808=AXIS2_PLACEMENT_3D('',#518511,#426860,#426861); #347809=AXIS2_PLACEMENT_3D('',#518517,#426864,#426865); #347810=AXIS2_PLACEMENT_3D('',#518519,#426867,#426868); #347811=AXIS2_PLACEMENT_3D('',#518522,#426869,#426870); #347812=AXIS2_PLACEMENT_3D('',#518528,#426873,#426874); #347813=AXIS2_PLACEMENT_3D('',#518530,#426876,#426877); #347814=AXIS2_PLACEMENT_3D('',#518533,#426878,#426879); #347815=AXIS2_PLACEMENT_3D('',#518538,#426882,#426883); #347816=AXIS2_PLACEMENT_3D('',#518581,#426906,#426907); #347817=AXIS2_PLACEMENT_3D('',#518586,#426909,#426910); #347818=AXIS2_PLACEMENT_3D('',#518590,#426912,#426913); #347819=AXIS2_PLACEMENT_3D('',#518592,#426914,#426915); #347820=AXIS2_PLACEMENT_3D('',#518596,#426917,#426918); #347821=AXIS2_PLACEMENT_3D('',#518600,#426920,#426921); #347822=AXIS2_PLACEMENT_3D('',#518604,#426923,#426924); #347823=AXIS2_PLACEMENT_3D('',#518608,#426926,#426927); #347824=AXIS2_PLACEMENT_3D('',#518616,#426931,#426932); #347825=AXIS2_PLACEMENT_3D('',#518618,#426933,#426934); #347826=AXIS2_PLACEMENT_3D('',#518630,#426940,#426941); #347827=AXIS2_PLACEMENT_3D('',#518634,#426943,#426944); #347828=AXIS2_PLACEMENT_3D('',#518638,#426946,#426947); #347829=AXIS2_PLACEMENT_3D('',#518642,#426949,#426950); #347830=AXIS2_PLACEMENT_3D('',#518646,#426952,#426953); #347831=AXIS2_PLACEMENT_3D('',#518650,#426955,#426956); #347832=AXIS2_PLACEMENT_3D('',#518652,#426957,#426958); #347833=AXIS2_PLACEMENT_3D('',#518654,#426959,#426960); #347834=AXIS2_PLACEMENT_3D('',#518658,#426962,#426963); #347835=AXIS2_PLACEMENT_3D('',#518662,#426965,#426966); #347836=AXIS2_PLACEMENT_3D('',#518674,#426973,#426974); #347837=AXIS2_PLACEMENT_3D('',#518679,#426976,#426977); #347838=AXIS2_PLACEMENT_3D('',#518687,#426981,#426982); #347839=AXIS2_PLACEMENT_3D('',#518691,#426985,#426986); #347840=AXIS2_PLACEMENT_3D('',#518694,#426987,#426988); #347841=AXIS2_PLACEMENT_3D('',#518697,#426990,#426991); #347842=AXIS2_PLACEMENT_3D('',#518701,#426993,#426994); #347843=AXIS2_PLACEMENT_3D('',#518705,#426996,#426997); #347844=AXIS2_PLACEMENT_3D('',#518709,#426999,#427000); #347845=AXIS2_PLACEMENT_3D('',#518711,#427001,#427002); #347846=AXIS2_PLACEMENT_3D('',#518715,#427004,#427005); #347847=AXIS2_PLACEMENT_3D('',#518719,#427007,#427008); #347848=AXIS2_PLACEMENT_3D('',#518725,#427011,#427012); #347849=AXIS2_PLACEMENT_3D('',#518735,#427017,#427018); #347850=AXIS2_PLACEMENT_3D('',#518739,#427020,#427021); #347851=AXIS2_PLACEMENT_3D('',#518743,#427023,#427024); #347852=AXIS2_PLACEMENT_3D('',#518746,#427026,#427027); #347853=AXIS2_PLACEMENT_3D('',#518748,#427028,#427029); #347854=AXIS2_PLACEMENT_3D('',#518752,#427031,#427032); #347855=AXIS2_PLACEMENT_3D('',#518756,#427034,#427035); #347856=AXIS2_PLACEMENT_3D('',#518759,#427037,#427038); #347857=AXIS2_PLACEMENT_3D('',#518777,#427048,#427049); #347858=AXIS2_PLACEMENT_3D('',#518783,#427053,#427054); #347859=AXIS2_PLACEMENT_3D('',#518789,#427058,#427059); #347860=AXIS2_PLACEMENT_3D('',#518795,#427063,#427064); #347861=AXIS2_PLACEMENT_3D('',#518801,#427068,#427069); #347862=AXIS2_PLACEMENT_3D('',#518804,#427070,#427071); #347863=AXIS2_PLACEMENT_3D('',#518806,#427072,#427073); #347864=AXIS2_PLACEMENT_3D('',#518808,#427074,#427075); #347865=AXIS2_PLACEMENT_3D('',#518814,#427079,#427080); #347866=AXIS2_PLACEMENT_3D('',#518818,#427082,#427083); #347867=AXIS2_PLACEMENT_3D('',#518822,#427086,#427087); #347868=AXIS2_PLACEMENT_3D('',#518823,#427088,#427089); #347869=AXIS2_PLACEMENT_3D('',#518834,#427094,#427095); #347870=AXIS2_PLACEMENT_3D('',#518836,#427096,#427097); #347871=AXIS2_PLACEMENT_3D('',#518844,#427101,#427102); #347872=AXIS2_PLACEMENT_3D('',#518848,#427104,#427105); #347873=AXIS2_PLACEMENT_3D('',#518852,#427107,#427108); #347874=AXIS2_PLACEMENT_3D('',#518856,#427110,#427111); #347875=AXIS2_PLACEMENT_3D('',#518860,#427113,#427114); #347876=AXIS2_PLACEMENT_3D('',#518862,#427115,#427116); #347877=AXIS2_PLACEMENT_3D('',#518866,#427118,#427119); #347878=AXIS2_PLACEMENT_3D('',#518874,#427123,#427124); #347879=AXIS2_PLACEMENT_3D('',#518878,#427126,#427127); #347880=AXIS2_PLACEMENT_3D('',#518882,#427129,#427130); #347881=AXIS2_PLACEMENT_3D('',#518884,#427131,#427132); #347882=AXIS2_PLACEMENT_3D('',#518886,#427133,#427134); #347883=AXIS2_PLACEMENT_3D('',#518890,#427136,#427137); #347884=AXIS2_PLACEMENT_3D('',#518894,#427139,#427140); #347885=AXIS2_PLACEMENT_3D('',#518898,#427142,#427143); #347886=AXIS2_PLACEMENT_3D('',#518902,#427145,#427146); #347887=AXIS2_PLACEMENT_3D('',#518906,#427148,#427149); #347888=AXIS2_PLACEMENT_3D('',#518916,#427155,#427156); #347889=AXIS2_PLACEMENT_3D('',#518921,#427160,#427161); #347890=AXIS2_PLACEMENT_3D('',#518924,#427164,#427165); #347891=AXIS2_PLACEMENT_3D('',#518927,#427168,#427169); #347892=AXIS2_PLACEMENT_3D('',#518933,#427173,#427174); #347893=AXIS2_PLACEMENT_3D('',#518939,#427178,#427179); #347894=AXIS2_PLACEMENT_3D('',#518952,#427185,#427186); #347895=AXIS2_PLACEMENT_3D('',#518956,#427188,#427189); #347896=AXIS2_PLACEMENT_3D('',#518960,#427191,#427192); #347897=AXIS2_PLACEMENT_3D('',#518964,#427194,#427195); #347898=AXIS2_PLACEMENT_3D('',#518968,#427197,#427198); #347899=AXIS2_PLACEMENT_3D('',#518972,#427200,#427201); #347900=AXIS2_PLACEMENT_3D('',#518974,#427202,#427203); #347901=AXIS2_PLACEMENT_3D('',#518976,#427204,#427205); #347902=AXIS2_PLACEMENT_3D('',#518980,#427207,#427208); #347903=AXIS2_PLACEMENT_3D('',#518984,#427210,#427211); #347904=AXIS2_PLACEMENT_3D('',#518992,#427215,#427216); #347905=AXIS2_PLACEMENT_3D('',#518996,#427218,#427219); #347906=AXIS2_PLACEMENT_3D('',#518998,#427220,#427221); #347907=AXIS2_PLACEMENT_3D('',#519002,#427223,#427224); #347908=AXIS2_PLACEMENT_3D('',#519006,#427226,#427227); #347909=AXIS2_PLACEMENT_3D('',#519010,#427229,#427230); #347910=AXIS2_PLACEMENT_3D('',#519014,#427232,#427233); #347911=AXIS2_PLACEMENT_3D('',#519026,#427239,#427240); #347912=AXIS2_PLACEMENT_3D('',#519029,#427242,#427243); #347913=AXIS2_PLACEMENT_3D('',#519030,#427244,#427245); #347914=AXIS2_PLACEMENT_3D('',#519032,#427246,#427247); #347915=AXIS2_PLACEMENT_3D('',#519038,#427250,#427251); #347916=AXIS2_PLACEMENT_3D('',#519039,#427252,#427253); #347917=AXIS2_PLACEMENT_3D('',#519040,#427254,#427255); #347918=AXIS2_PLACEMENT_3D('',#519044,#427257,#427258); #347919=AXIS2_PLACEMENT_3D('',#519047,#427260,#427261); #347920=AXIS2_PLACEMENT_3D('',#519051,#427263,#427264); #347921=AXIS2_PLACEMENT_3D('',#519055,#427266,#427267); #347922=AXIS2_PLACEMENT_3D('',#519058,#427269,#427270); #347923=AXIS2_PLACEMENT_3D('',#519060,#427271,#427272); #347924=AXIS2_PLACEMENT_3D('',#519064,#427274,#427275); #347925=AXIS2_PLACEMENT_3D('',#519068,#427277,#427278); #347926=AXIS2_PLACEMENT_3D('',#519072,#427280,#427281); #347927=AXIS2_PLACEMENT_3D('',#519082,#427286,#427287); #347928=AXIS2_PLACEMENT_3D('',#519088,#427290,#427291); #347929=AXIS2_PLACEMENT_3D('',#519092,#427293,#427294); #347930=AXIS2_PLACEMENT_3D('',#519096,#427296,#427297); #347931=AXIS2_PLACEMENT_3D('',#519098,#427298,#427299); #347932=AXIS2_PLACEMENT_3D('',#519102,#427301,#427302); #347933=AXIS2_PLACEMENT_3D('',#519106,#427304,#427305); #347934=AXIS2_PLACEMENT_3D('',#519109,#427307,#427308); #347935=AXIS2_PLACEMENT_3D('',#519120,#427315,#427316); #347936=AXIS2_PLACEMENT_3D('',#519121,#427317,#427318); #347937=AXIS2_PLACEMENT_3D('',#519122,#427319,#427320); #347938=AXIS2_PLACEMENT_3D('',#519123,#427321,#427322); #347939=AXIS2_PLACEMENT_3D('',#519125,#427323,#427324); #347940=AXIS2_PLACEMENT_3D('',#519141,#427325,#427326); #347941=AXIS2_PLACEMENT_3D('',#519142,#427327,#427328); #347942=AXIS2_PLACEMENT_3D('',#519144,#427329,#427330); #347943=AXIS2_PLACEMENT_3D('',#519146,#427331,#427332); #347944=AXIS2_PLACEMENT_3D('',#519148,#427334,#427335); #347945=AXIS2_PLACEMENT_3D('',#519155,#427336,#427337); #347946=AXIS2_PLACEMENT_3D('',#519159,#427339,#427340); #347947=AXIS2_PLACEMENT_3D('',#519170,#427341,#427342); #347948=AXIS2_PLACEMENT_3D('',#519171,#427343,#427344); #347949=AXIS2_PLACEMENT_3D('',#519172,#427345,#427346); #347950=AXIS2_PLACEMENT_3D('',#519173,#427347,#427348); #347951=AXIS2_PLACEMENT_3D('',#519174,#427349,#427350); #347952=AXIS2_PLACEMENT_3D('',#519175,#427351,#427352); #347953=AXIS2_PLACEMENT_3D('',#519176,#427353,#427354); #347954=AXIS2_PLACEMENT_3D('',#519179,#427357,#427358); #347955=AXIS2_PLACEMENT_3D('',#519184,#427362,#427363); #347956=AXIS2_PLACEMENT_3D('',#519187,#427365,#427366); #347957=AXIS2_PLACEMENT_3D('',#519190,#427368,#427369); #347958=AXIS2_PLACEMENT_3D('',#519191,#427370,#427371); #347959=AXIS2_PLACEMENT_3D('',#519195,#427374,#427375); #347960=AXIS2_PLACEMENT_3D('',#519199,#427377,#427378); #347961=AXIS2_PLACEMENT_3D('',#519203,#427380,#427381); #347962=AXIS2_PLACEMENT_3D('',#519207,#427383,#427384); #347963=AXIS2_PLACEMENT_3D('',#519211,#427386,#427387); #347964=AXIS2_PLACEMENT_3D('',#519214,#427389,#427390); #347965=AXIS2_PLACEMENT_3D('',#519218,#427392,#427393); #347966=AXIS2_PLACEMENT_3D('',#519220,#427395,#427396); #347967=AXIS2_PLACEMENT_3D('',#519221,#427397,#427398); #347968=AXIS2_PLACEMENT_3D('',#519222,#427399,#427400); #347969=AXIS2_PLACEMENT_3D('',#519223,#427401,#427402); #347970=AXIS2_PLACEMENT_3D('',#519224,#427403,#427404); #347971=AXIS2_PLACEMENT_3D('',#519230,#427405,#427406); #347972=AXIS2_PLACEMENT_3D('',#519232,#427407,#427408); #347973=AXIS2_PLACEMENT_3D('',#519243,#427409,#427410); #347974=AXIS2_PLACEMENT_3D('',#519250,#427414,#427415); #347975=AXIS2_PLACEMENT_3D('',#519254,#427417,#427418); #347976=AXIS2_PLACEMENT_3D('',#519258,#427420,#427421); #347977=AXIS2_PLACEMENT_3D('',#519262,#427423,#427424); #347978=AXIS2_PLACEMENT_3D('',#519266,#427426,#427427); #347979=AXIS2_PLACEMENT_3D('',#519268,#427428,#427429); #347980=AXIS2_PLACEMENT_3D('',#519272,#427431,#427432); #347981=AXIS2_PLACEMENT_3D('',#519277,#427435,#427436); #347982=AXIS2_PLACEMENT_3D('',#519281,#427438,#427439); #347983=AXIS2_PLACEMENT_3D('',#519285,#427441,#427442); #347984=AXIS2_PLACEMENT_3D('',#519287,#427443,#427444); #347985=AXIS2_PLACEMENT_3D('',#519289,#427445,#427446); #347986=AXIS2_PLACEMENT_3D('',#519293,#427448,#427449); #347987=AXIS2_PLACEMENT_3D('',#519297,#427451,#427452); #347988=AXIS2_PLACEMENT_3D('',#519301,#427454,#427455); #347989=AXIS2_PLACEMENT_3D('',#519305,#427457,#427458); #347990=AXIS2_PLACEMENT_3D('',#519309,#427460,#427461); #347991=AXIS2_PLACEMENT_3D('',#519319,#427466,#427467); #347992=AXIS2_PLACEMENT_3D('',#519322,#427469,#427470); #347993=AXIS2_PLACEMENT_3D('',#519323,#427471,#427472); #347994=AXIS2_PLACEMENT_3D('',#519331,#427474,#427475); #347995=AXIS2_PLACEMENT_3D('',#519334,#427477,#427478); #347996=AXIS2_PLACEMENT_3D('',#519338,#427481,#427482); #347997=AXIS2_PLACEMENT_3D('',#519359,#427495,#427496); #347998=AXIS2_PLACEMENT_3D('',#519366,#427497,#427498); #347999=AXIS2_PLACEMENT_3D('',#519368,#427499,#427500); #348000=AXIS2_PLACEMENT_3D('',#519384,#427501,#427502); #348001=AXIS2_PLACEMENT_3D('',#519385,#427503,#427504); #348002=AXIS2_PLACEMENT_3D('',#519389,#427507,#427508); #348003=AXIS2_PLACEMENT_3D('',#519393,#427511,#427512); #348004=AXIS2_PLACEMENT_3D('',#519396,#427515,#427516); #348005=AXIS2_PLACEMENT_3D('',#519398,#427518,#427519); #348006=AXIS2_PLACEMENT_3D('',#519400,#427520,#427521); #348007=AXIS2_PLACEMENT_3D('',#519407,#427525,#427526); #348008=AXIS2_PLACEMENT_3D('',#519409,#427528,#427529); #348009=AXIS2_PLACEMENT_3D('',#519411,#427531,#427532); #348010=AXIS2_PLACEMENT_3D('',#519413,#427534,#427535); #348011=AXIS2_PLACEMENT_3D('',#519415,#427537,#427538); #348012=AXIS2_PLACEMENT_3D('',#519417,#427540,#427541); #348013=AXIS2_PLACEMENT_3D('',#519418,#427542,#427543); #348014=AXIS2_PLACEMENT_3D('',#519419,#427544,#427545); #348015=AXIS2_PLACEMENT_3D('',#519422,#427548,#427549); #348016=AXIS2_PLACEMENT_3D('',#519424,#427551,#427552); #348017=AXIS2_PLACEMENT_3D('',#519426,#427554,#427555); #348018=AXIS2_PLACEMENT_3D('',#519427,#427556,#427557); #348019=AXIS2_PLACEMENT_3D('',#519430,#427560,#427561); #348020=AXIS2_PLACEMENT_3D('',#519432,#427563,#427564); #348021=AXIS2_PLACEMENT_3D('',#519433,#427565,#427566); #348022=AXIS2_PLACEMENT_3D('',#519435,#427568,#427569); #348023=AXIS2_PLACEMENT_3D('',#519439,#427572,#427573); #348024=AXIS2_PLACEMENT_3D('',#519442,#427576,#427577); #348025=AXIS2_PLACEMENT_3D('',#519445,#427580,#427581); #348026=AXIS2_PLACEMENT_3D('',#519447,#427583,#427584); #348027=AXIS2_PLACEMENT_3D('',#519449,#427586,#427587); #348028=AXIS2_PLACEMENT_3D('',#519451,#427589,#427590); #348029=AXIS2_PLACEMENT_3D('',#519453,#427592,#427593); #348030=AXIS2_PLACEMENT_3D('',#519455,#427595,#427596); #348031=AXIS2_PLACEMENT_3D('',#519457,#427598,#427599); #348032=AXIS2_PLACEMENT_3D('',#519459,#427601,#427602); #348033=AXIS2_PLACEMENT_3D('',#519461,#427604,#427605); #348034=AXIS2_PLACEMENT_3D('',#519462,#427606,#427607); #348035=AXIS2_PLACEMENT_3D('',#519465,#427610,#427611); #348036=AXIS2_PLACEMENT_3D('',#519468,#427614,#427615); #348037=AXIS2_PLACEMENT_3D('',#519470,#427617,#427618); #348038=AXIS2_PLACEMENT_3D('',#519472,#427620,#427621); #348039=AXIS2_PLACEMENT_3D('',#519474,#427623,#427624); #348040=AXIS2_PLACEMENT_3D('',#519476,#427626,#427627); #348041=AXIS2_PLACEMENT_3D('',#519478,#427629,#427630); #348042=AXIS2_PLACEMENT_3D('',#519480,#427632,#427633); #348043=AXIS2_PLACEMENT_3D('',#519482,#427635,#427636); #348044=AXIS2_PLACEMENT_3D('',#519484,#427638,#427639); #348045=AXIS2_PLACEMENT_3D('',#519485,#427640,#427641); #348046=AXIS2_PLACEMENT_3D('',#519488,#427644,#427645); #348047=AXIS2_PLACEMENT_3D('',#519490,#427647,#427648); #348048=AXIS2_PLACEMENT_3D('',#519492,#427650,#427651); #348049=AXIS2_PLACEMENT_3D('',#519494,#427653,#427654); #348050=AXIS2_PLACEMENT_3D('',#519496,#427656,#427657); #348051=AXIS2_PLACEMENT_3D('',#519498,#427659,#427660); #348052=AXIS2_PLACEMENT_3D('',#519500,#427662,#427663); #348053=AXIS2_PLACEMENT_3D('',#519502,#427665,#427666); #348054=AXIS2_PLACEMENT_3D('',#519504,#427668,#427669); #348055=AXIS2_PLACEMENT_3D('',#519506,#427671,#427672); #348056=AXIS2_PLACEMENT_3D('',#519508,#427674,#427675); #348057=AXIS2_PLACEMENT_3D('',#519510,#427677,#427678); #348058=AXIS2_PLACEMENT_3D('',#519512,#427680,#427681); #348059=AXIS2_PLACEMENT_3D('',#519514,#427683,#427684); #348060=AXIS2_PLACEMENT_3D('',#519516,#427686,#427687); #348061=AXIS2_PLACEMENT_3D('',#519518,#427689,#427690); #348062=AXIS2_PLACEMENT_3D('',#519520,#427692,#427693); #348063=AXIS2_PLACEMENT_3D('',#519522,#427695,#427696); #348064=AXIS2_PLACEMENT_3D('',#519526,#427699,#427700); #348065=AXIS2_PLACEMENT_3D('',#519529,#427703,#427704); #348066=AXIS2_PLACEMENT_3D('',#519531,#427706,#427707); #348067=AXIS2_PLACEMENT_3D('',#519533,#427709,#427710); #348068=AXIS2_PLACEMENT_3D('',#519535,#427712,#427713); #348069=AXIS2_PLACEMENT_3D('',#519537,#427715,#427716); #348070=AXIS2_PLACEMENT_3D('',#519539,#427718,#427719); #348071=AXIS2_PLACEMENT_3D('',#519541,#427721,#427722); #348072=AXIS2_PLACEMENT_3D('',#519543,#427724,#427725); #348073=AXIS2_PLACEMENT_3D('',#519545,#427727,#427728); #348074=AXIS2_PLACEMENT_3D('',#519547,#427730,#427731); #348075=AXIS2_PLACEMENT_3D('',#519549,#427733,#427734); #348076=AXIS2_PLACEMENT_3D('',#519551,#427736,#427737); #348077=AXIS2_PLACEMENT_3D('',#519552,#427738,#427739); #348078=AXIS2_PLACEMENT_3D('',#519553,#427740,#427741); #348079=AXIS2_PLACEMENT_3D('',#519554,#427742,#427743); #348080=AXIS2_PLACEMENT_3D('',#519555,#427744,#427745); #348081=AXIS2_PLACEMENT_3D('',#519557,#427747,#427748); #348082=AXIS2_PLACEMENT_3D('',#519559,#427750,#427751); #348083=AXIS2_PLACEMENT_3D('',#519561,#427753,#427754); #348084=AXIS2_PLACEMENT_3D('',#519563,#427756,#427757); #348085=AXIS2_PLACEMENT_3D('',#519565,#427759,#427760); #348086=AXIS2_PLACEMENT_3D('',#519567,#427762,#427763); #348087=AXIS2_PLACEMENT_3D('',#519569,#427765,#427766); #348088=AXIS2_PLACEMENT_3D('',#519571,#427768,#427769); #348089=AXIS2_PLACEMENT_3D('',#519572,#427770,#427771); #348090=AXIS2_PLACEMENT_3D('',#519575,#427774,#427775); #348091=AXIS2_PLACEMENT_3D('',#519576,#427776,#427777); #348092=AXIS2_PLACEMENT_3D('',#519579,#427780,#427781); #348093=AXIS2_PLACEMENT_3D('',#519580,#427782,#427783); #348094=AXIS2_PLACEMENT_3D('',#519582,#427784,#427785); #348095=AXIS2_PLACEMENT_3D('',#519586,#427787,#427788); #348096=AXIS2_PLACEMENT_3D('',#519590,#427790,#427791); #348097=AXIS2_PLACEMENT_3D('',#519593,#427793,#427794); #348098=AXIS2_PLACEMENT_3D('',#519595,#427795,#427796); #348099=AXIS2_PLACEMENT_3D('',#519599,#427798,#427799); #348100=AXIS2_PLACEMENT_3D('',#519603,#427801,#427802); #348101=AXIS2_PLACEMENT_3D('',#519606,#427804,#427805); #348102=AXIS2_PLACEMENT_3D('',#519607,#427806,#427807); #348103=AXIS2_PLACEMENT_3D('',#519612,#427809,#427810); #348104=AXIS2_PLACEMENT_3D('',#519616,#427812,#427813); #348105=AXIS2_PLACEMENT_3D('',#519619,#427815,#427816); #348106=AXIS2_PLACEMENT_3D('',#519621,#427817,#427818); #348107=AXIS2_PLACEMENT_3D('',#519625,#427820,#427821); #348108=AXIS2_PLACEMENT_3D('',#519629,#427823,#427824); #348109=AXIS2_PLACEMENT_3D('',#519632,#427826,#427827); #348110=AXIS2_PLACEMENT_3D('',#519633,#427828,#427829); #348111=AXIS2_PLACEMENT_3D('',#519634,#427830,#427831); #348112=AXIS2_PLACEMENT_3D('',#519636,#427833,#427834); #348113=AXIS2_PLACEMENT_3D('',#519638,#427836,#427837); #348114=AXIS2_PLACEMENT_3D('',#519640,#427839,#427840); #348115=AXIS2_PLACEMENT_3D('',#519642,#427842,#427843); #348116=AXIS2_PLACEMENT_3D('',#519644,#427845,#427846); #348117=AXIS2_PLACEMENT_3D('',#519646,#427848,#427849); #348118=AXIS2_PLACEMENT_3D('',#519647,#427850,#427851); #348119=AXIS2_PLACEMENT_3D('',#519649,#427853,#427854); #348120=AXIS2_PLACEMENT_3D('',#519651,#427856,#427857); #348121=AXIS2_PLACEMENT_3D('',#519653,#427859,#427860); #348122=AXIS2_PLACEMENT_3D('',#519655,#427862,#427863); #348123=AXIS2_PLACEMENT_3D('',#519657,#427865,#427866); #348124=AXIS2_PLACEMENT_3D('',#519659,#427868,#427869); #348125=AXIS2_PLACEMENT_3D('',#519660,#427870,#427871); #348126=AXIS2_PLACEMENT_3D('',#519662,#427873,#427874); #348127=AXIS2_PLACEMENT_3D('',#519665,#427877,#427878); #348128=AXIS2_PLACEMENT_3D('',#519666,#427879,#427880); #348129=AXIS2_PLACEMENT_3D('',#519668,#427882,#427883); #348130=AXIS2_PLACEMENT_3D('',#519670,#427884,#427885); #348131=AXIS2_PLACEMENT_3D('',#519674,#427887,#427888); #348132=AXIS2_PLACEMENT_3D('',#519678,#427890,#427891); #348133=AXIS2_PLACEMENT_3D('',#519681,#427893,#427894); #348134=AXIS2_PLACEMENT_3D('',#519683,#427895,#427896); #348135=AXIS2_PLACEMENT_3D('',#519687,#427898,#427899); #348136=AXIS2_PLACEMENT_3D('',#519691,#427901,#427902); #348137=AXIS2_PLACEMENT_3D('',#519694,#427904,#427905); #348138=AXIS2_PLACEMENT_3D('',#519695,#427906,#427907); #348139=AXIS2_PLACEMENT_3D('',#519700,#427909,#427910); #348140=AXIS2_PLACEMENT_3D('',#519704,#427912,#427913); #348141=AXIS2_PLACEMENT_3D('',#519707,#427915,#427916); #348142=AXIS2_PLACEMENT_3D('',#519709,#427917,#427918); #348143=AXIS2_PLACEMENT_3D('',#519713,#427920,#427921); #348144=AXIS2_PLACEMENT_3D('',#519717,#427923,#427924); #348145=AXIS2_PLACEMENT_3D('',#519720,#427926,#427927); #348146=AXIS2_PLACEMENT_3D('',#519721,#427928,#427929); #348147=AXIS2_PLACEMENT_3D('',#519722,#427930,#427931); #348148=AXIS2_PLACEMENT_3D('',#519724,#427933,#427934); #348149=AXIS2_PLACEMENT_3D('',#519726,#427936,#427937); #348150=AXIS2_PLACEMENT_3D('',#519728,#427939,#427940); #348151=AXIS2_PLACEMENT_3D('',#519730,#427942,#427943); #348152=AXIS2_PLACEMENT_3D('',#519732,#427945,#427946); #348153=AXIS2_PLACEMENT_3D('',#519734,#427948,#427949); #348154=AXIS2_PLACEMENT_3D('',#519735,#427950,#427951); #348155=AXIS2_PLACEMENT_3D('',#519737,#427953,#427954); #348156=AXIS2_PLACEMENT_3D('',#519739,#427956,#427957); #348157=AXIS2_PLACEMENT_3D('',#519741,#427959,#427960); #348158=AXIS2_PLACEMENT_3D('',#519743,#427962,#427963); #348159=AXIS2_PLACEMENT_3D('',#519745,#427965,#427966); #348160=AXIS2_PLACEMENT_3D('',#519747,#427968,#427969); #348161=AXIS2_PLACEMENT_3D('',#519748,#427970,#427971); #348162=AXIS2_PLACEMENT_3D('',#519757,#427976,#427977); #348163=AXIS2_PLACEMENT_3D('',#519759,#427978,#427979); #348164=AXIS2_PLACEMENT_3D('',#519761,#427980,#427981); #348165=AXIS2_PLACEMENT_3D('',#519763,#427983,#427984); #348166=AXIS2_PLACEMENT_3D('',#519769,#427988,#427989); #348167=AXIS2_PLACEMENT_3D('',#519770,#427990,#427991); #348168=AXIS2_PLACEMENT_3D('',#519771,#427992,#427993); #348169=AXIS2_PLACEMENT_3D('',#519772,#427994,#427995); #348170=AXIS2_PLACEMENT_3D('',#519775,#427997,#427998); #348171=AXIS2_PLACEMENT_3D('',#519776,#427999,#428000); #348172=AXIS2_PLACEMENT_3D('',#519780,#428002,#428003); #348173=AXIS2_PLACEMENT_3D('',#519782,#428005,#428006); #348174=AXIS2_PLACEMENT_3D('',#519834,#428008,#428009); #348175=AXIS2_PLACEMENT_3D('',#519835,#428010,#428011); #348176=AXIS2_PLACEMENT_3D('',#519836,#428012,#428013); #348177=AXIS2_PLACEMENT_3D('',#519840,#428015,#428016); #348178=AXIS2_PLACEMENT_3D('',#519841,#428017,#428018); #348179=AXIS2_PLACEMENT_3D('',#519842,#428019,#428020); #348180=AXIS2_PLACEMENT_3D('',#519848,#428024,#428025); #348181=AXIS2_PLACEMENT_3D('',#519849,#428026,#428027); #348182=AXIS2_PLACEMENT_3D('',#519850,#428028,#428029); #348183=AXIS2_PLACEMENT_3D('',#519851,#428030,#428031); #348184=AXIS2_PLACEMENT_3D('',#519852,#428032,#428033); #348185=AXIS2_PLACEMENT_3D('',#519853,#428034,#428035); #348186=AXIS2_PLACEMENT_3D('',#519854,#428036,#428037); #348187=AXIS2_PLACEMENT_3D('',#519855,#428038,#428039); #348188=AXIS2_PLACEMENT_3D('',#519856,#428040,#428041); #348189=AXIS2_PLACEMENT_3D('',#519857,#428042,#428043); #348190=AXIS2_PLACEMENT_3D('',#519863,#428047,#428048); #348191=AXIS2_PLACEMENT_3D('',#519869,#428052,#428053); #348192=AXIS2_PLACEMENT_3D('',#519872,#428056,#428057); #348193=AXIS2_PLACEMENT_3D('',#519873,#428058,#428059); #348194=AXIS2_PLACEMENT_3D('',#519874,#428060,#428061); #348195=AXIS2_PLACEMENT_3D('',#519877,#428064,#428065); #348196=AXIS2_PLACEMENT_3D('',#519880,#428068,#428069); #348197=AXIS2_PLACEMENT_3D('',#519882,#428071,#428072); #348198=AXIS2_PLACEMENT_3D('',#519884,#428074,#428075); #348199=AXIS2_PLACEMENT_3D('',#519886,#428077,#428078); #348200=AXIS2_PLACEMENT_3D('',#519888,#428080,#428081); #348201=AXIS2_PLACEMENT_3D('',#519890,#428083,#428084); #348202=AXIS2_PLACEMENT_3D('',#519892,#428086,#428087); #348203=AXIS2_PLACEMENT_3D('',#519894,#428089,#428090); #348204=AXIS2_PLACEMENT_3D('',#519896,#428092,#428093); #348205=AXIS2_PLACEMENT_3D('',#519898,#428095,#428096); #348206=AXIS2_PLACEMENT_3D('',#519900,#428098,#428099); #348207=AXIS2_PLACEMENT_3D('',#519902,#428101,#428102); #348208=AXIS2_PLACEMENT_3D('',#519904,#428104,#428105); #348209=AXIS2_PLACEMENT_3D('',#519905,#428106,#428107); #348210=AXIS2_PLACEMENT_3D('',#519908,#428110,#428111); #348211=AXIS2_PLACEMENT_3D('',#519910,#428113,#428114); #348212=AXIS2_PLACEMENT_3D('',#519912,#428116,#428117); #348213=AXIS2_PLACEMENT_3D('',#519914,#428119,#428120); #348214=AXIS2_PLACEMENT_3D('',#519916,#428122,#428123); #348215=AXIS2_PLACEMENT_3D('',#519918,#428125,#428126); #348216=AXIS2_PLACEMENT_3D('',#519920,#428128,#428129); #348217=AXIS2_PLACEMENT_3D('',#519922,#428131,#428132); #348218=AXIS2_PLACEMENT_3D('',#519924,#428134,#428135); #348219=AXIS2_PLACEMENT_3D('',#519926,#428137,#428138); #348220=AXIS2_PLACEMENT_3D('',#519928,#428140,#428141); #348221=AXIS2_PLACEMENT_3D('',#519932,#428144,#428145); #348222=AXIS2_PLACEMENT_3D('',#519935,#428148,#428149); #348223=AXIS2_PLACEMENT_3D('',#519937,#428151,#428152); #348224=AXIS2_PLACEMENT_3D('',#519939,#428154,#428155); #348225=AXIS2_PLACEMENT_3D('',#519940,#428156,#428157); #348226=AXIS2_PLACEMENT_3D('',#519941,#428158,#428159); #348227=AXIS2_PLACEMENT_3D('',#519942,#428160,#428161); #348228=AXIS2_PLACEMENT_3D('',#519943,#428162,#428163); #348229=AXIS2_PLACEMENT_3D('',#519944,#428164,#428165); #348230=AXIS2_PLACEMENT_3D('',#519946,#428167,#428168); #348231=AXIS2_PLACEMENT_3D('',#519949,#428171,#428172); #348232=AXIS2_PLACEMENT_3D('',#519950,#428173,#428174); #348233=AXIS2_PLACEMENT_3D('',#519952,#428176,#428177); #348234=AXIS2_PLACEMENT_3D('',#519954,#428178,#428179); #348235=AXIS2_PLACEMENT_3D('',#519958,#428181,#428182); #348236=AXIS2_PLACEMENT_3D('',#519962,#428184,#428185); #348237=AXIS2_PLACEMENT_3D('',#519965,#428187,#428188); #348238=AXIS2_PLACEMENT_3D('',#519967,#428189,#428190); #348239=AXIS2_PLACEMENT_3D('',#519971,#428192,#428193); #348240=AXIS2_PLACEMENT_3D('',#519975,#428195,#428196); #348241=AXIS2_PLACEMENT_3D('',#519978,#428198,#428199); #348242=AXIS2_PLACEMENT_3D('',#519979,#428200,#428201); #348243=AXIS2_PLACEMENT_3D('',#519984,#428203,#428204); #348244=AXIS2_PLACEMENT_3D('',#519988,#428206,#428207); #348245=AXIS2_PLACEMENT_3D('',#519991,#428209,#428210); #348246=AXIS2_PLACEMENT_3D('',#519993,#428211,#428212); #348247=AXIS2_PLACEMENT_3D('',#519997,#428214,#428215); #348248=AXIS2_PLACEMENT_3D('',#520001,#428217,#428218); #348249=AXIS2_PLACEMENT_3D('',#520004,#428220,#428221); #348250=AXIS2_PLACEMENT_3D('',#520005,#428222,#428223); #348251=AXIS2_PLACEMENT_3D('',#520006,#428224,#428225); #348252=AXIS2_PLACEMENT_3D('',#520008,#428227,#428228); #348253=AXIS2_PLACEMENT_3D('',#520010,#428230,#428231); #348254=AXIS2_PLACEMENT_3D('',#520012,#428233,#428234); #348255=AXIS2_PLACEMENT_3D('',#520014,#428236,#428237); #348256=AXIS2_PLACEMENT_3D('',#520016,#428239,#428240); #348257=AXIS2_PLACEMENT_3D('',#520018,#428242,#428243); #348258=AXIS2_PLACEMENT_3D('',#520019,#428244,#428245); #348259=AXIS2_PLACEMENT_3D('',#520021,#428247,#428248); #348260=AXIS2_PLACEMENT_3D('',#520023,#428250,#428251); #348261=AXIS2_PLACEMENT_3D('',#520025,#428253,#428254); #348262=AXIS2_PLACEMENT_3D('',#520027,#428256,#428257); #348263=AXIS2_PLACEMENT_3D('',#520029,#428259,#428260); #348264=AXIS2_PLACEMENT_3D('',#520031,#428262,#428263); #348265=AXIS2_PLACEMENT_3D('',#520032,#428264,#428265); #348266=AXIS2_PLACEMENT_3D('',#520035,#428268,#428269); #348267=AXIS2_PLACEMENT_3D('',#520036,#428270,#428271); #348268=AXIS2_PLACEMENT_3D('',#520039,#428274,#428275); #348269=AXIS2_PLACEMENT_3D('',#520040,#428276,#428277); #348270=AXIS2_PLACEMENT_3D('',#520042,#428278,#428279); #348271=AXIS2_PLACEMENT_3D('',#520046,#428281,#428282); #348272=AXIS2_PLACEMENT_3D('',#520050,#428284,#428285); #348273=AXIS2_PLACEMENT_3D('',#520053,#428287,#428288); #348274=AXIS2_PLACEMENT_3D('',#520055,#428289,#428290); #348275=AXIS2_PLACEMENT_3D('',#520059,#428292,#428293); #348276=AXIS2_PLACEMENT_3D('',#520063,#428295,#428296); #348277=AXIS2_PLACEMENT_3D('',#520066,#428298,#428299); #348278=AXIS2_PLACEMENT_3D('',#520067,#428300,#428301); #348279=AXIS2_PLACEMENT_3D('',#520072,#428303,#428304); #348280=AXIS2_PLACEMENT_3D('',#520076,#428306,#428307); #348281=AXIS2_PLACEMENT_3D('',#520079,#428309,#428310); #348282=AXIS2_PLACEMENT_3D('',#520081,#428311,#428312); #348283=AXIS2_PLACEMENT_3D('',#520085,#428314,#428315); #348284=AXIS2_PLACEMENT_3D('',#520089,#428317,#428318); #348285=AXIS2_PLACEMENT_3D('',#520092,#428320,#428321); #348286=AXIS2_PLACEMENT_3D('',#520093,#428322,#428323); #348287=AXIS2_PLACEMENT_3D('',#520094,#428324,#428325); #348288=AXIS2_PLACEMENT_3D('',#520096,#428327,#428328); #348289=AXIS2_PLACEMENT_3D('',#520098,#428330,#428331); #348290=AXIS2_PLACEMENT_3D('',#520100,#428333,#428334); #348291=AXIS2_PLACEMENT_3D('',#520102,#428336,#428337); #348292=AXIS2_PLACEMENT_3D('',#520104,#428339,#428340); #348293=AXIS2_PLACEMENT_3D('',#520106,#428342,#428343); #348294=AXIS2_PLACEMENT_3D('',#520107,#428344,#428345); #348295=AXIS2_PLACEMENT_3D('',#520109,#428347,#428348); #348296=AXIS2_PLACEMENT_3D('',#520111,#428350,#428351); #348297=AXIS2_PLACEMENT_3D('',#520113,#428353,#428354); #348298=AXIS2_PLACEMENT_3D('',#520115,#428356,#428357); #348299=AXIS2_PLACEMENT_3D('',#520117,#428359,#428360); #348300=AXIS2_PLACEMENT_3D('',#520119,#428362,#428363); #348301=AXIS2_PLACEMENT_3D('',#520120,#428364,#428365); #348302=AXIS2_PLACEMENT_3D('',#520123,#428366,#428367); #348303=AXIS2_PLACEMENT_3D('',#520127,#428369,#428370); #348304=AXIS2_PLACEMENT_3D('',#520129,#428372,#428373); #348305=AXIS2_PLACEMENT_3D('',#520135,#428377,#428378); #348306=AXIS2_PLACEMENT_3D('',#520136,#428379,#428380); #348307=AXIS2_PLACEMENT_3D('',#520137,#428381,#428382); #348308=AXIS2_PLACEMENT_3D('',#520138,#428383,#428384); #348309=AXIS2_PLACEMENT_3D('',#520144,#428388,#428389); #348310=AXIS2_PLACEMENT_3D('',#520146,#428390,#428391); #348311=AXIS2_PLACEMENT_3D('',#520151,#428394,#428395); #348312=AXIS2_PLACEMENT_3D('',#520152,#428396,#428397); #348313=AXIS2_PLACEMENT_3D('',#520154,#428399,#428400); #348314=AXIS2_PLACEMENT_3D('',#520206,#428402,#428403); #348315=AXIS2_PLACEMENT_3D('',#520207,#428404,#428405); #348316=AXIS2_PLACEMENT_3D('',#520208,#428406,#428407); #348317=AXIS2_PLACEMENT_3D('',#520210,#428408,#428409); #348318=AXIS2_PLACEMENT_3D('',#520213,#428411,#428412); #348319=AXIS2_PLACEMENT_3D('',#520214,#428413,#428414); #348320=AXIS2_PLACEMENT_3D('',#520218,#428416,#428417); #348321=AXIS2_PLACEMENT_3D('',#520219,#428418,#428419); #348322=AXIS2_PLACEMENT_3D('',#520220,#428420,#428421); #348323=AXIS2_PLACEMENT_3D('',#520223,#428424,#428425); #348324=AXIS2_PLACEMENT_3D('',#520224,#428426,#428427); #348325=AXIS2_PLACEMENT_3D('',#520225,#428428,#428429); #348326=AXIS2_PLACEMENT_3D('',#520226,#428430,#428431); #348327=AXIS2_PLACEMENT_3D('',#520227,#428432,#428433); #348328=AXIS2_PLACEMENT_3D('',#520228,#428434,#428435); #348329=AXIS2_PLACEMENT_3D('',#520229,#428436,#428437); #348330=AXIS2_PLACEMENT_3D('',#520235,#428441,#428442); #348331=AXIS2_PLACEMENT_3D('',#520241,#428446,#428447); #348332=AXIS2_PLACEMENT_3D('',#520244,#428450,#428451); #348333=AXIS2_PLACEMENT_3D('',#520245,#428452,#428453); #348334=AXIS2_PLACEMENT_3D('',#520246,#428454,#428455); #348335=AXIS2_PLACEMENT_3D('',#520255,#428460,#428461); #348336=AXIS2_PLACEMENT_3D('',#520257,#428462,#428463); #348337=AXIS2_PLACEMENT_3D('',#520260,#428465,#428466); #348338=AXIS2_PLACEMENT_3D('',#520261,#428467,#428468); #348339=AXIS2_PLACEMENT_3D('',#520270,#428473,#428474); #348340=AXIS2_PLACEMENT_3D('',#520272,#428475,#428476); #348341=AXIS2_PLACEMENT_3D('',#520274,#428477,#428478); #348342=AXIS2_PLACEMENT_3D('',#520276,#428480,#428481); #348343=AXIS2_PLACEMENT_3D('',#520278,#428482,#428483); #348344=AXIS2_PLACEMENT_3D('',#520280,#428484,#428485); #348345=AXIS2_PLACEMENT_3D('',#520282,#428487,#428488); #348346=AXIS2_PLACEMENT_3D('',#520284,#428489,#428490); #348347=AXIS2_PLACEMENT_3D('',#520286,#428491,#428492); #348348=AXIS2_PLACEMENT_3D('',#520288,#428494,#428495); #348349=AXIS2_PLACEMENT_3D('',#520294,#428499,#428500); #348350=AXIS2_PLACEMENT_3D('',#520296,#428501,#428502); #348351=AXIS2_PLACEMENT_3D('',#520298,#428503,#428504); #348352=AXIS2_PLACEMENT_3D('',#520300,#428506,#428507); #348353=AXIS2_PLACEMENT_3D('',#520302,#428508,#428509); #348354=AXIS2_PLACEMENT_3D('',#520304,#428510,#428511); #348355=AXIS2_PLACEMENT_3D('',#520306,#428513,#428514); #348356=AXIS2_PLACEMENT_3D('',#520308,#428515,#428516); #348357=AXIS2_PLACEMENT_3D('',#520310,#428517,#428518); #348358=AXIS2_PLACEMENT_3D('',#520312,#428520,#428521); #348359=AXIS2_PLACEMENT_3D('',#520318,#428525,#428526); #348360=AXIS2_PLACEMENT_3D('',#520320,#428527,#428528); #348361=AXIS2_PLACEMENT_3D('',#520322,#428529,#428530); #348362=AXIS2_PLACEMENT_3D('',#520324,#428532,#428533); #348363=AXIS2_PLACEMENT_3D('',#520330,#428537,#428538); #348364=AXIS2_PLACEMENT_3D('',#520331,#428539,#428540); #348365=AXIS2_PLACEMENT_3D('',#520332,#428541,#428542); #348366=AXIS2_PLACEMENT_3D('',#520333,#428543,#428544); #348367=AXIS2_PLACEMENT_3D('',#520342,#428549,#428550); #348368=AXIS2_PLACEMENT_3D('',#520344,#428551,#428552); #348369=AXIS2_PLACEMENT_3D('',#520347,#428554,#428555); #348370=AXIS2_PLACEMENT_3D('',#520348,#428556,#428557); #348371=AXIS2_PLACEMENT_3D('',#520350,#428558,#428559); #348372=AXIS2_PLACEMENT_3D('',#520353,#428561,#428562); #348373=AXIS2_PLACEMENT_3D('',#520354,#428563,#428564); #348374=AXIS2_PLACEMENT_3D('',#520356,#428565,#428566); #348375=AXIS2_PLACEMENT_3D('',#520359,#428568,#428569); #348376=AXIS2_PLACEMENT_3D('',#520360,#428570,#428571); #348377=AXIS2_PLACEMENT_3D('',#520366,#428575,#428576); #348378=AXIS2_PLACEMENT_3D('',#520368,#428577,#428578); #348379=AXIS2_PLACEMENT_3D('',#520371,#428580,#428581); #348380=AXIS2_PLACEMENT_3D('',#520372,#428582,#428583); #348381=AXIS2_PLACEMENT_3D('',#520374,#428584,#428585); #348382=AXIS2_PLACEMENT_3D('',#520377,#428587,#428588); #348383=AXIS2_PLACEMENT_3D('',#520378,#428589,#428590); #348384=AXIS2_PLACEMENT_3D('',#520380,#428591,#428592); #348385=AXIS2_PLACEMENT_3D('',#520383,#428594,#428595); #348386=AXIS2_PLACEMENT_3D('',#520384,#428596,#428597); #348387=AXIS2_PLACEMENT_3D('',#520390,#428601,#428602); #348388=AXIS2_PLACEMENT_3D('',#520392,#428603,#428604); #348389=AXIS2_PLACEMENT_3D('',#520395,#428606,#428607); #348390=AXIS2_PLACEMENT_3D('',#520396,#428608,#428609); #348391=AXIS2_PLACEMENT_3D('',#520402,#428613,#428614); #348392=AXIS2_PLACEMENT_3D('',#520403,#428615,#428616); #348393=AXIS2_PLACEMENT_3D('',#520404,#428617,#428618); #348394=AXIS2_PLACEMENT_3D('',#520405,#428619,#428620); #348395=AXIS2_PLACEMENT_3D('',#520414,#428625,#428626); #348396=AXIS2_PLACEMENT_3D('',#520418,#428628,#428629); #348397=AXIS2_PLACEMENT_3D('',#520419,#428630,#428631); #348398=AXIS2_PLACEMENT_3D('',#520515,#428636,#428637); #348399=AXIS2_PLACEMENT_3D('',#520520,#428640,#428641); #348400=AXIS2_PLACEMENT_3D('',#520522,#428642,#428643); #348401=AXIS2_PLACEMENT_3D('',#520524,#428645,#428646); #348402=AXIS2_PLACEMENT_3D('',#520529,#428649,#428650); #348403=AXIS2_PLACEMENT_3D('',#520530,#428651,#428652); #348404=AXIS2_PLACEMENT_3D('',#520533,#428654,#428655); #348405=AXIS2_PLACEMENT_3D('',#520534,#428656,#428657); #348406=AXIS2_PLACEMENT_3D('',#520538,#428660,#428661); #348407=AXIS2_PLACEMENT_3D('',#520542,#428664,#428665); #348408=AXIS2_PLACEMENT_3D('',#520551,#428670,#428671); #348409=AXIS2_PLACEMENT_3D('',#520555,#428674,#428675); #348410=AXIS2_PLACEMENT_3D('',#520556,#428676,#428677); #348411=AXIS2_PLACEMENT_3D('',#520559,#428679,#428680); #348412=AXIS2_PLACEMENT_3D('',#520625,#428683,#428684); #348413=AXIS2_PLACEMENT_3D('',#520631,#428688,#428689); #348414=AXIS2_PLACEMENT_3D('',#520632,#428690,#428691); #348415=AXIS2_PLACEMENT_3D('',#520633,#428692,#428693); #348416=AXIS2_PLACEMENT_3D('',#520664,#428696,#428697); #348417=AXIS2_PLACEMENT_3D('',#520667,#428699,#428700); #348418=AXIS2_PLACEMENT_3D('',#520668,#428701,#428702); #348419=AXIS2_PLACEMENT_3D('',#520677,#428707,#428708); #348420=AXIS2_PLACEMENT_3D('',#520686,#428713,#428714); #348421=AXIS2_PLACEMENT_3D('',#520688,#428715,#428716); #348422=AXIS2_PLACEMENT_3D('',#520690,#428718,#428719); #348423=AXIS2_PLACEMENT_3D('',#520699,#428724,#428725); #348424=AXIS2_PLACEMENT_3D('',#520701,#428726,#428727); #348425=AXIS2_PLACEMENT_3D('',#520704,#428729,#428730); #348426=AXIS2_PLACEMENT_3D('',#520705,#428731,#428732); #348427=AXIS2_PLACEMENT_3D('',#520707,#428733,#428734); #348428=AXIS2_PLACEMENT_3D('',#520710,#428736,#428737); #348429=AXIS2_PLACEMENT_3D('',#520711,#428738,#428739); #348430=AXIS2_PLACEMENT_3D('',#520712,#428740,#428741); #348431=AXIS2_PLACEMENT_3D('',#520713,#428742,#428743); #348432=AXIS2_PLACEMENT_3D('',#520714,#428744,#428745); #348433=AXIS2_PLACEMENT_3D('',#520716,#428746,#428747); #348434=AXIS2_PLACEMENT_3D('',#520718,#428749,#428750); #348435=AXIS2_PLACEMENT_3D('',#520724,#428754,#428755); #348436=AXIS2_PLACEMENT_3D('',#520726,#428756,#428757); #348437=AXIS2_PLACEMENT_3D('',#520729,#428759,#428760); #348438=AXIS2_PLACEMENT_3D('',#520730,#428761,#428762); #348439=AXIS2_PLACEMENT_3D('',#520736,#428766,#428767); #348440=AXIS2_PLACEMENT_3D('',#520738,#428768,#428769); #348441=AXIS2_PLACEMENT_3D('',#520741,#428771,#428772); #348442=AXIS2_PLACEMENT_3D('',#520742,#428773,#428774); #348443=AXIS2_PLACEMENT_3D('',#520748,#428778,#428779); #348444=AXIS2_PLACEMENT_3D('',#520750,#428780,#428781); #348445=AXIS2_PLACEMENT_3D('',#520753,#428783,#428784); #348446=AXIS2_PLACEMENT_3D('',#520754,#428785,#428786); #348447=AXIS2_PLACEMENT_3D('',#520756,#428787,#428788); #348448=AXIS2_PLACEMENT_3D('',#520757,#428789,#428790); #348449=AXIS2_PLACEMENT_3D('',#520758,#428791,#428792); #348450=AXIS2_PLACEMENT_3D('',#520759,#428793,#428794); #348451=AXIS2_PLACEMENT_3D('',#520761,#428795,#428796); #348452=AXIS2_PLACEMENT_3D('',#520763,#428798,#428799); #348453=AXIS2_PLACEMENT_3D('',#520765,#428800,#428801); #348454=AXIS2_PLACEMENT_3D('',#520768,#428803,#428804); #348455=AXIS2_PLACEMENT_3D('',#520769,#428805,#428806); #348456=AXIS2_PLACEMENT_3D('',#520771,#428807,#428808); #348457=AXIS2_PLACEMENT_3D('',#520774,#428810,#428811); #348458=AXIS2_PLACEMENT_3D('',#520775,#428812,#428813); #348459=AXIS2_PLACEMENT_3D('',#520781,#428817,#428818); #348460=AXIS2_PLACEMENT_3D('',#520783,#428819,#428820); #348461=AXIS2_PLACEMENT_3D('',#520786,#428822,#428823); #348462=AXIS2_PLACEMENT_3D('',#520787,#428824,#428825); #348463=AXIS2_PLACEMENT_3D('',#520789,#428826,#428827); #348464=AXIS2_PLACEMENT_3D('',#520790,#428828,#428829); #348465=AXIS2_PLACEMENT_3D('',#520791,#428830,#428831); #348466=AXIS2_PLACEMENT_3D('',#520792,#428832,#428833); #348467=AXIS2_PLACEMENT_3D('',#520794,#428834,#428835); #348468=AXIS2_PLACEMENT_3D('',#520796,#428837,#428838); #348469=AXIS2_PLACEMENT_3D('',#520798,#428839,#428840); #348470=AXIS2_PLACEMENT_3D('',#520801,#428842,#428843); #348471=AXIS2_PLACEMENT_3D('',#520802,#428844,#428845); #348472=AXIS2_PLACEMENT_3D('',#520804,#428846,#428847); #348473=AXIS2_PLACEMENT_3D('',#520807,#428849,#428850); #348474=AXIS2_PLACEMENT_3D('',#520808,#428851,#428852); #348475=AXIS2_PLACEMENT_3D('',#520814,#428856,#428857); #348476=AXIS2_PLACEMENT_3D('',#520816,#428858,#428859); #348477=AXIS2_PLACEMENT_3D('',#520819,#428861,#428862); #348478=AXIS2_PLACEMENT_3D('',#520820,#428863,#428864); #348479=AXIS2_PLACEMENT_3D('',#520826,#428868,#428869); #348480=AXIS2_PLACEMENT_3D('',#520828,#428870,#428871); #348481=AXIS2_PLACEMENT_3D('',#520831,#428873,#428874); #348482=AXIS2_PLACEMENT_3D('',#520832,#428875,#428876); #348483=AXIS2_PLACEMENT_3D('',#520836,#428879,#428880); #348484=AXIS2_PLACEMENT_3D('',#520837,#428881,#428882); #348485=AXIS2_PLACEMENT_3D('',#520839,#428884,#428885); #348486=AXIS2_PLACEMENT_3D('',#520841,#428886,#428887); #348487=AXIS2_PLACEMENT_3D('',#520843,#428888,#428889); #348488=AXIS2_PLACEMENT_3D('',#520845,#428891,#428892); #348489=AXIS2_PLACEMENT_3D('',#520847,#428893,#428894); #348490=AXIS2_PLACEMENT_3D('',#520850,#428896,#428897); #348491=AXIS2_PLACEMENT_3D('',#520851,#428898,#428899); #348492=AXIS2_PLACEMENT_3D('',#520853,#428900,#428901); #348493=AXIS2_PLACEMENT_3D('',#520856,#428903,#428904); #348494=AXIS2_PLACEMENT_3D('',#520857,#428905,#428906); #348495=AXIS2_PLACEMENT_3D('',#520863,#428910,#428911); #348496=AXIS2_PLACEMENT_3D('',#520872,#428916,#428917); #348497=AXIS2_PLACEMENT_3D('',#520874,#428918,#428919); #348498=AXIS2_PLACEMENT_3D('',#520877,#428921,#428922); #348499=AXIS2_PLACEMENT_3D('',#520878,#428923,#428924); #348500=AXIS2_PLACEMENT_3D('',#520880,#428925,#428926); #348501=AXIS2_PLACEMENT_3D('',#520882,#428927,#428928); #348502=AXIS2_PLACEMENT_3D('',#520884,#428930,#428931); #348503=AXIS2_PLACEMENT_3D('',#520888,#428933,#428934); #348504=AXIS2_PLACEMENT_3D('',#520892,#428936,#428937); #348505=AXIS2_PLACEMENT_3D('',#520894,#428939,#428940); #348506=AXIS2_PLACEMENT_3D('',#520896,#428941,#428942); #348507=AXIS2_PLACEMENT_3D('',#520902,#428946,#428947); #348508=AXIS2_PLACEMENT_3D('',#520903,#428948,#428949); #348509=AXIS2_PLACEMENT_3D('',#520907,#428951,#428952); #348510=AXIS2_PLACEMENT_3D('',#520909,#428954,#428955); #348511=AXIS2_PLACEMENT_3D('',#520913,#428957,#428958); #348512=AXIS2_PLACEMENT_3D('',#520916,#428961,#428962); #348513=AXIS2_PLACEMENT_3D('',#520920,#428965,#428966); #348514=AXIS2_PLACEMENT_3D('',#520922,#428967,#428968); #348515=AXIS2_PLACEMENT_3D('',#520925,#428970,#428971); #348516=AXIS2_PLACEMENT_3D('',#520939,#428972,#428973); #348517=AXIS2_PLACEMENT_3D('',#520942,#428975,#428976); #348518=AXIS2_PLACEMENT_3D('',#520943,#428977,#428978); #348519=AXIS2_PLACEMENT_3D('',#520945,#428979,#428980); #348520=AXIS2_PLACEMENT_3D('',#520948,#428982,#428983); #348521=AXIS2_PLACEMENT_3D('',#520993,#428984,#428985); #348522=AXIS2_PLACEMENT_3D('',#520994,#428986,#428987); #348523=AXIS2_PLACEMENT_3D('',#521042,#428989,#428990); #348524=AXIS2_PLACEMENT_3D('',#521044,#428991,#428992); #348525=AXIS2_PLACEMENT_3D('',#521047,#428994,#428995); #348526=AXIS2_PLACEMENT_3D('',#521060,#428996,#428997); #348527=AXIS2_PLACEMENT_3D('',#521061,#428998,#428999); #348528=AXIS2_PLACEMENT_3D('',#521062,#429000,#429001); #348529=AXIS2_PLACEMENT_3D('',#521063,#429002,#429003); #348530=AXIS2_PLACEMENT_3D('',#521064,#429004,#429005); #348531=AXIS2_PLACEMENT_3D('',#521066,#429007,#429008); #348532=AXIS2_PLACEMENT_3D('',#521068,#429010,#429011); #348533=AXIS2_PLACEMENT_3D('',#521070,#429013,#429014); #348534=AXIS2_PLACEMENT_3D('',#521072,#429016,#429017); #348535=AXIS2_PLACEMENT_3D('',#521074,#429019,#429020); #348536=AXIS2_PLACEMENT_3D('',#521076,#429022,#429023); #348537=AXIS2_PLACEMENT_3D('',#521077,#429024,#429025); #348538=AXIS2_PLACEMENT_3D('',#521079,#429027,#429028); #348539=AXIS2_PLACEMENT_3D('',#521081,#429030,#429031); #348540=AXIS2_PLACEMENT_3D('',#521083,#429033,#429034); #348541=AXIS2_PLACEMENT_3D('',#521085,#429036,#429037); #348542=AXIS2_PLACEMENT_3D('',#521087,#429039,#429040); #348543=AXIS2_PLACEMENT_3D('',#521089,#429042,#429043); #348544=AXIS2_PLACEMENT_3D('',#521090,#429044,#429045); #348545=AXIS2_PLACEMENT_3D('',#521092,#429047,#429048); #348546=AXIS2_PLACEMENT_3D('',#521094,#429050,#429051); #348547=AXIS2_PLACEMENT_3D('',#521096,#429053,#429054); #348548=AXIS2_PLACEMENT_3D('',#521098,#429056,#429057); #348549=AXIS2_PLACEMENT_3D('',#521100,#429059,#429060); #348550=AXIS2_PLACEMENT_3D('',#521102,#429062,#429063); #348551=AXIS2_PLACEMENT_3D('',#521103,#429064,#429065); #348552=AXIS2_PLACEMENT_3D('',#521105,#429067,#429068); #348553=AXIS2_PLACEMENT_3D('',#521107,#429070,#429071); #348554=AXIS2_PLACEMENT_3D('',#521109,#429073,#429074); #348555=AXIS2_PLACEMENT_3D('',#521111,#429076,#429077); #348556=AXIS2_PLACEMENT_3D('',#521113,#429079,#429080); #348557=AXIS2_PLACEMENT_3D('',#521115,#429082,#429083); #348558=AXIS2_PLACEMENT_3D('',#521116,#429084,#429085); #348559=AXIS2_PLACEMENT_3D('',#521117,#429086,#429087); #348560=AXIS2_PLACEMENT_3D('',#521126,#429092,#429093); #348561=AXIS2_PLACEMENT_3D('',#521130,#429095,#429096); #348562=AXIS2_PLACEMENT_3D('',#521134,#429098,#429099); #348563=AXIS2_PLACEMENT_3D('',#521142,#429103,#429104); #348564=AXIS2_PLACEMENT_3D('',#521146,#429106,#429107); #348565=AXIS2_PLACEMENT_3D('',#521148,#429109,#429110); #348566=AXIS2_PLACEMENT_3D('',#521157,#429114,#429115); #348567=AXIS2_PLACEMENT_3D('',#521161,#429117,#429118); #348568=AXIS2_PLACEMENT_3D('',#521169,#429122,#429123); #348569=AXIS2_PLACEMENT_3D('',#521172,#429125,#429126); #348570=AXIS2_PLACEMENT_3D('',#521173,#429127,#429128); #348571=AXIS2_PLACEMENT_3D('',#521179,#429132,#429133); #348572=AXIS2_PLACEMENT_3D('',#521189,#429139,#429140); #348573=AXIS2_PLACEMENT_3D('',#521195,#429144,#429145); #348574=AXIS2_PLACEMENT_3D('',#521202,#429150,#429151); #348575=AXIS2_PLACEMENT_3D('',#521205,#429154,#429155); #348576=AXIS2_PLACEMENT_3D('',#521208,#429158,#429159); #348577=AXIS2_PLACEMENT_3D('',#521211,#429162,#429163); #348578=AXIS2_PLACEMENT_3D('',#521213,#429165,#429166); #348579=AXIS2_PLACEMENT_3D('',#521215,#429168,#429169); #348580=AXIS2_PLACEMENT_3D('',#521217,#429171,#429172); #348581=AXIS2_PLACEMENT_3D('',#521218,#429173,#429174); #348582=AXIS2_PLACEMENT_3D('',#521220,#429176,#429177); #348583=AXIS2_PLACEMENT_3D('',#521222,#429179,#429180); #348584=AXIS2_PLACEMENT_3D('',#521224,#429182,#429183); #348585=AXIS2_PLACEMENT_3D('',#521225,#429184,#429185); #348586=AXIS2_PLACEMENT_3D('',#521226,#429186,#429187); #348587=AXIS2_PLACEMENT_3D('',#521227,#429188,#429189); #348588=AXIS2_PLACEMENT_3D('',#521228,#429190,#429191); #348589=AXIS2_PLACEMENT_3D('',#521229,#429192,#429193); #348590=AXIS2_PLACEMENT_3D('',#521230,#429194,#429195); #348591=AXIS2_PLACEMENT_3D('',#521231,#429196,#429197); #348592=AXIS2_PLACEMENT_3D('',#521232,#429198,#429199); #348593=AXIS2_PLACEMENT_3D('',#521233,#429200,#429201); #348594=AXIS2_PLACEMENT_3D('',#521234,#429202,#429203); #348595=AXIS2_PLACEMENT_3D('',#521235,#429204,#429205); #348596=AXIS2_PLACEMENT_3D('',#521236,#429206,#429207); #348597=AXIS2_PLACEMENT_3D('',#521237,#429208,#429209); #348598=AXIS2_PLACEMENT_3D('',#521238,#429210,#429211); #348599=AXIS2_PLACEMENT_3D('',#521239,#429212,#429213); #348600=AXIS2_PLACEMENT_3D('',#521240,#429214,#429215); #348601=AXIS2_PLACEMENT_3D('',#521241,#429216,#429217); #348602=AXIS2_PLACEMENT_3D('',#521242,#429218,#429219); #348603=AXIS2_PLACEMENT_3D('',#521243,#429220,#429221); #348604=AXIS2_PLACEMENT_3D('',#521244,#429222,#429223); #348605=AXIS2_PLACEMENT_3D('',#521245,#429224,#429225); #348606=AXIS2_PLACEMENT_3D('',#521246,#429226,#429227); #348607=AXIS2_PLACEMENT_3D('',#521247,#429228,#429229); #348608=AXIS2_PLACEMENT_3D('',#521248,#429230,#429231); #348609=AXIS2_PLACEMENT_3D('',#521249,#429232,#429233); #348610=AXIS2_PLACEMENT_3D('',#521250,#429234,#429235); #348611=AXIS2_PLACEMENT_3D('',#521275,#429248,#429249); #348612=AXIS2_PLACEMENT_3D('',#521284,#429254,#429255); #348613=AXIS2_PLACEMENT_3D('',#521293,#429260,#429261); #348614=AXIS2_PLACEMENT_3D('',#521310,#429270,#429271); #348615=AXIS2_PLACEMENT_3D('',#521327,#429280,#429281); #348616=AXIS2_PLACEMENT_3D('',#521352,#429294,#429295); #348617=AXIS2_PLACEMENT_3D('',#521359,#429300,#429301); #348618=AXIS2_PLACEMENT_3D('',#521366,#429306,#429307); #348619=AXIS2_PLACEMENT_3D('',#521370,#429310,#429311); #348620=AXIS2_PLACEMENT_3D('',#521377,#429316,#429317); #348621=AXIS2_PLACEMENT_3D('',#521382,#429321,#429322); #348622=AXIS2_PLACEMENT_3D('',#521386,#429325,#429326); #348623=AXIS2_PLACEMENT_3D('',#521400,#429334,#429335); #348624=AXIS2_PLACEMENT_3D('',#521405,#429337,#429338); #348625=AXIS2_PLACEMENT_3D('',#521409,#429342,#429343); #348626=AXIS2_PLACEMENT_3D('',#521413,#429345,#429346); #348627=AXIS2_PLACEMENT_3D('',#521420,#429351,#429352); #348628=AXIS2_PLACEMENT_3D('',#521423,#429353,#429354); #348629=AXIS2_PLACEMENT_3D('',#521427,#429356,#429357); #348630=AXIS2_PLACEMENT_3D('',#521445,#429369,#429370); #348631=AXIS2_PLACEMENT_3D('',#521446,#429371,#429372); #348632=AXIS2_PLACEMENT_3D('',#521449,#429373,#429374); #348633=AXIS2_PLACEMENT_3D('',#521453,#429376,#429377); #348634=AXIS2_PLACEMENT_3D('',#521474,#429390,#429391); #348635=AXIS2_PLACEMENT_3D('',#521483,#429396,#429397); #348636=AXIS2_PLACEMENT_3D('',#521484,#429398,#429399); #348637=AXIS2_PLACEMENT_3D('',#521488,#429402,#429403); #348638=AXIS2_PLACEMENT_3D('',#521494,#429406,#429407); #348639=AXIS2_PLACEMENT_3D('',#521496,#429409,#429410); #348640=AXIS2_PLACEMENT_3D('',#521498,#429412,#429413); #348641=AXIS2_PLACEMENT_3D('',#521500,#429415,#429416); #348642=AXIS2_PLACEMENT_3D('',#521502,#429418,#429419); #348643=AXIS2_PLACEMENT_3D('',#521504,#429421,#429422); #348644=AXIS2_PLACEMENT_3D('',#521506,#429424,#429425); #348645=AXIS2_PLACEMENT_3D('',#521508,#429427,#429428); #348646=AXIS2_PLACEMENT_3D('',#521510,#429430,#429431); #348647=AXIS2_PLACEMENT_3D('',#521512,#429433,#429434); #348648=AXIS2_PLACEMENT_3D('',#521514,#429436,#429437); #348649=AXIS2_PLACEMENT_3D('',#521516,#429439,#429440); #348650=AXIS2_PLACEMENT_3D('',#521517,#429441,#429442); #348651=AXIS2_PLACEMENT_3D('',#521518,#429443,#429444); #348652=AXIS2_PLACEMENT_3D('',#521519,#429445,#429446); #348653=AXIS2_PLACEMENT_3D('',#521520,#429447,#429448); #348654=AXIS2_PLACEMENT_3D('',#521521,#429449,#429450); #348655=AXIS2_PLACEMENT_3D('',#521527,#429454,#429455); #348656=AXIS2_PLACEMENT_3D('',#521531,#429458,#429459); #348657=AXIS2_PLACEMENT_3D('',#521535,#429462,#429463); #348658=AXIS2_PLACEMENT_3D('',#521537,#429465,#429466); #348659=AXIS2_PLACEMENT_3D('',#521546,#429471,#429472); #348660=AXIS2_PLACEMENT_3D('',#521549,#429475,#429476); #348661=AXIS2_PLACEMENT_3D('',#521551,#429478,#429479); #348662=AXIS2_PLACEMENT_3D('',#521553,#429481,#429482); #348663=AXIS2_PLACEMENT_3D('',#521554,#429483,#429484); #348664=AXIS2_PLACEMENT_3D('',#521560,#429488,#429489); #348665=AXIS2_PLACEMENT_3D('',#521564,#429492,#429493); #348666=AXIS2_PLACEMENT_3D('',#521568,#429496,#429497); #348667=AXIS2_PLACEMENT_3D('',#521570,#429499,#429500); #348668=AXIS2_PLACEMENT_3D('',#521579,#429505,#429506); #348669=AXIS2_PLACEMENT_3D('',#521582,#429509,#429510); #348670=AXIS2_PLACEMENT_3D('',#521584,#429512,#429513); #348671=AXIS2_PLACEMENT_3D('',#521586,#429515,#429516); #348672=AXIS2_PLACEMENT_3D('',#521587,#429517,#429518); #348673=AXIS2_PLACEMENT_3D('',#521593,#429522,#429523); #348674=AXIS2_PLACEMENT_3D('',#521602,#429528,#429529); #348675=AXIS2_PLACEMENT_3D('',#521608,#429533,#429534); #348676=AXIS2_PLACEMENT_3D('',#521610,#429536,#429537); #348677=AXIS2_PLACEMENT_3D('',#521612,#429539,#429540); #348678=AXIS2_PLACEMENT_3D('',#521615,#429543,#429544); #348679=AXIS2_PLACEMENT_3D('',#521617,#429546,#429547); #348680=AXIS2_PLACEMENT_3D('',#521619,#429549,#429550); #348681=AXIS2_PLACEMENT_3D('',#521620,#429551,#429552); #348682=AXIS2_PLACEMENT_3D('',#521626,#429556,#429557); #348683=AXIS2_PLACEMENT_3D('',#521635,#429562,#429563); #348684=AXIS2_PLACEMENT_3D('',#521641,#429567,#429568); #348685=AXIS2_PLACEMENT_3D('',#521643,#429570,#429571); #348686=AXIS2_PLACEMENT_3D('',#521645,#429573,#429574); #348687=AXIS2_PLACEMENT_3D('',#521648,#429577,#429578); #348688=AXIS2_PLACEMENT_3D('',#521650,#429580,#429581); #348689=AXIS2_PLACEMENT_3D('',#521652,#429583,#429584); #348690=AXIS2_PLACEMENT_3D('',#521653,#429585,#429586); #348691=AXIS2_PLACEMENT_3D('',#521656,#429589,#429590); #348692=AXIS2_PLACEMENT_3D('',#521658,#429592,#429593); #348693=AXIS2_PLACEMENT_3D('',#521661,#429596,#429597); #348694=AXIS2_PLACEMENT_3D('',#521663,#429599,#429600); #348695=AXIS2_PLACEMENT_3D('',#521664,#429601,#429602); #348696=AXIS2_PLACEMENT_3D('',#521665,#429603,#429604); #348697=AXIS2_PLACEMENT_3D('',#521667,#429606,#429607); #348698=AXIS2_PLACEMENT_3D('',#521669,#429609,#429610); #348699=AXIS2_PLACEMENT_3D('',#521670,#429611,#429612); #348700=AXIS2_PLACEMENT_3D('',#521671,#429613,#429614); #348701=AXIS2_PLACEMENT_3D('',#521672,#429615,#429616); #348702=AXIS2_PLACEMENT_3D('',#521673,#429617,#429618); #348703=AXIS2_PLACEMENT_3D('',#521676,#429619,#429620); #348704=AXIS2_PLACEMENT_3D('',#521678,#429621,#429622); #348705=AXIS2_PLACEMENT_3D('',#521679,#429623,#429624); #348706=AXIS2_PLACEMENT_3D('',#521680,#429625,#429626); #348707=AXIS2_PLACEMENT_3D('',#521683,#429627,#429628); #348708=AXIS2_PLACEMENT_3D('',#521685,#429629,#429630); #348709=AXIS2_PLACEMENT_3D('',#521686,#429631,#429632); #348710=AXIS2_PLACEMENT_3D('',#521687,#429633,#429634); #348711=AXIS2_PLACEMENT_3D('',#521690,#429635,#429636); #348712=AXIS2_PLACEMENT_3D('',#521692,#429637,#429638); #348713=AXIS2_PLACEMENT_3D('',#521693,#429639,#429640); #348714=AXIS2_PLACEMENT_3D('',#521694,#429641,#429642); #348715=AXIS2_PLACEMENT_3D('',#521697,#429643,#429644); #348716=AXIS2_PLACEMENT_3D('',#521699,#429645,#429646); #348717=AXIS2_PLACEMENT_3D('',#521700,#429647,#429648); #348718=AXIS2_PLACEMENT_3D('',#521701,#429649,#429650); #348719=AXIS2_PLACEMENT_3D('',#521704,#429651,#429652); #348720=AXIS2_PLACEMENT_3D('',#521706,#429653,#429654); #348721=AXIS2_PLACEMENT_3D('',#521707,#429655,#429656); #348722=AXIS2_PLACEMENT_3D('',#521708,#429657,#429658); #348723=AXIS2_PLACEMENT_3D('',#521711,#429661,#429662); #348724=AXIS2_PLACEMENT_3D('',#521714,#429663,#429664); #348725=AXIS2_PLACEMENT_3D('',#521716,#429665,#429666); #348726=AXIS2_PLACEMENT_3D('',#521717,#429667,#429668); #348727=AXIS2_PLACEMENT_3D('',#521718,#429669,#429670); #348728=AXIS2_PLACEMENT_3D('',#521721,#429671,#429672); #348729=AXIS2_PLACEMENT_3D('',#521723,#429673,#429674); #348730=AXIS2_PLACEMENT_3D('',#521724,#429675,#429676); #348731=AXIS2_PLACEMENT_3D('',#521725,#429677,#429678); #348732=AXIS2_PLACEMENT_3D('',#521728,#429681,#429682); #348733=AXIS2_PLACEMENT_3D('',#521731,#429685,#429686); #348734=AXIS2_PLACEMENT_3D('',#521734,#429687,#429688); #348735=AXIS2_PLACEMENT_3D('',#521736,#429689,#429690); #348736=AXIS2_PLACEMENT_3D('',#521737,#429691,#429692); #348737=AXIS2_PLACEMENT_3D('',#521738,#429693,#429694); #348738=AXIS2_PLACEMENT_3D('',#521741,#429697,#429698); #348739=AXIS2_PLACEMENT_3D('',#521744,#429701,#429702); #348740=AXIS2_PLACEMENT_3D('',#521747,#429705,#429706); #348741=AXIS2_PLACEMENT_3D('',#521750,#429709,#429710); #348742=AXIS2_PLACEMENT_3D('',#521753,#429713,#429714); #348743=AXIS2_PLACEMENT_3D('',#521756,#429717,#429718); #348744=AXIS2_PLACEMENT_3D('',#521759,#429721,#429722); #348745=AXIS2_PLACEMENT_3D('',#521762,#429725,#429726); #348746=AXIS2_PLACEMENT_3D('',#521765,#429729,#429730); #348747=AXIS2_PLACEMENT_3D('',#521766,#429731,#429732); #348748=AXIS2_PLACEMENT_3D('',#521767,#429733,#429734); #348749=AXIS2_PLACEMENT_3D('',#521768,#429735,#429736); #348750=AXIS2_PLACEMENT_3D('',#521769,#429737,#429738); #348751=AXIS2_PLACEMENT_3D('',#521770,#429739,#429740); #348752=AXIS2_PLACEMENT_3D('',#521771,#429741,#429742); #348753=AXIS2_PLACEMENT_3D('',#521774,#429743,#429744); #348754=AXIS2_PLACEMENT_3D('',#521776,#429745,#429746); #348755=AXIS2_PLACEMENT_3D('',#521777,#429747,#429748); #348756=AXIS2_PLACEMENT_3D('',#521778,#429749,#429750); #348757=AXIS2_PLACEMENT_3D('',#521781,#429751,#429752); #348758=AXIS2_PLACEMENT_3D('',#521783,#429753,#429754); #348759=AXIS2_PLACEMENT_3D('',#521784,#429755,#429756); #348760=AXIS2_PLACEMENT_3D('',#521785,#429757,#429758); #348761=AXIS2_PLACEMENT_3D('',#521788,#429759,#429760); #348762=AXIS2_PLACEMENT_3D('',#521790,#429761,#429762); #348763=AXIS2_PLACEMENT_3D('',#521791,#429763,#429764); #348764=AXIS2_PLACEMENT_3D('',#521792,#429765,#429766); #348765=AXIS2_PLACEMENT_3D('',#521795,#429767,#429768); #348766=AXIS2_PLACEMENT_3D('',#521797,#429769,#429770); #348767=AXIS2_PLACEMENT_3D('',#521798,#429771,#429772); #348768=AXIS2_PLACEMENT_3D('',#521799,#429773,#429774); #348769=AXIS2_PLACEMENT_3D('',#521802,#429775,#429776); #348770=AXIS2_PLACEMENT_3D('',#521804,#429777,#429778); #348771=AXIS2_PLACEMENT_3D('',#521805,#429779,#429780); #348772=AXIS2_PLACEMENT_3D('',#521806,#429781,#429782); #348773=AXIS2_PLACEMENT_3D('',#521809,#429785,#429786); #348774=AXIS2_PLACEMENT_3D('',#521812,#429787,#429788); #348775=AXIS2_PLACEMENT_3D('',#521814,#429789,#429790); #348776=AXIS2_PLACEMENT_3D('',#521815,#429791,#429792); #348777=AXIS2_PLACEMENT_3D('',#521816,#429793,#429794); #348778=AXIS2_PLACEMENT_3D('',#521819,#429795,#429796); #348779=AXIS2_PLACEMENT_3D('',#521821,#429797,#429798); #348780=AXIS2_PLACEMENT_3D('',#521822,#429799,#429800); #348781=AXIS2_PLACEMENT_3D('',#521823,#429801,#429802); #348782=AXIS2_PLACEMENT_3D('',#521826,#429805,#429806); #348783=AXIS2_PLACEMENT_3D('',#521829,#429809,#429810); #348784=AXIS2_PLACEMENT_3D('',#521832,#429811,#429812); #348785=AXIS2_PLACEMENT_3D('',#521834,#429813,#429814); #348786=AXIS2_PLACEMENT_3D('',#521835,#429815,#429816); #348787=AXIS2_PLACEMENT_3D('',#521836,#429817,#429818); #348788=AXIS2_PLACEMENT_3D('',#521839,#429821,#429822); #348789=AXIS2_PLACEMENT_3D('',#521842,#429825,#429826); #348790=AXIS2_PLACEMENT_3D('',#521845,#429829,#429830); #348791=AXIS2_PLACEMENT_3D('',#521848,#429833,#429834); #348792=AXIS2_PLACEMENT_3D('',#521851,#429837,#429838); #348793=AXIS2_PLACEMENT_3D('',#521854,#429841,#429842); #348794=AXIS2_PLACEMENT_3D('',#521857,#429845,#429846); #348795=AXIS2_PLACEMENT_3D('',#521860,#429849,#429850); #348796=AXIS2_PLACEMENT_3D('',#521863,#429853,#429854); #348797=AXIS2_PLACEMENT_3D('',#521864,#429855,#429856); #348798=AXIS2_PLACEMENT_3D('',#521865,#429857,#429858); #348799=AXIS2_PLACEMENT_3D('',#521866,#429859,#429860); #348800=AXIS2_PLACEMENT_3D('',#521867,#429861,#429862); #348801=AXIS2_PLACEMENT_3D('',#521868,#429863,#429864); #348802=AXIS2_PLACEMENT_3D('',#521869,#429865,#429866); #348803=AXIS2_PLACEMENT_3D('',#521878,#429871,#429872); #348804=AXIS2_PLACEMENT_3D('',#521884,#429876,#429877); #348805=AXIS2_PLACEMENT_3D('',#521890,#429881,#429882); #348806=AXIS2_PLACEMENT_3D('',#521893,#429885,#429886); #348807=AXIS2_PLACEMENT_3D('',#521894,#429887,#429888); #348808=AXIS2_PLACEMENT_3D('',#521895,#429889,#429890); #348809=AXIS2_PLACEMENT_3D('',#521896,#429891,#429892); #348810=AXIS2_PLACEMENT_3D('',#521897,#429893,#429894); #348811=AXIS2_PLACEMENT_3D('',#521898,#429895,#429896); #348812=AXIS2_PLACEMENT_3D('',#521901,#429897,#429898); #348813=AXIS2_PLACEMENT_3D('',#521903,#429899,#429900); #348814=AXIS2_PLACEMENT_3D('',#521904,#429901,#429902); #348815=AXIS2_PLACEMENT_3D('',#521905,#429903,#429904); #348816=AXIS2_PLACEMENT_3D('',#521908,#429905,#429906); #348817=AXIS2_PLACEMENT_3D('',#521910,#429907,#429908); #348818=AXIS2_PLACEMENT_3D('',#521911,#429909,#429910); #348819=AXIS2_PLACEMENT_3D('',#521912,#429911,#429912); #348820=AXIS2_PLACEMENT_3D('',#521915,#429913,#429914); #348821=AXIS2_PLACEMENT_3D('',#521917,#429915,#429916); #348822=AXIS2_PLACEMENT_3D('',#521918,#429917,#429918); #348823=AXIS2_PLACEMENT_3D('',#521919,#429919,#429920); #348824=AXIS2_PLACEMENT_3D('',#521922,#429921,#429922); #348825=AXIS2_PLACEMENT_3D('',#521924,#429923,#429924); #348826=AXIS2_PLACEMENT_3D('',#521925,#429925,#429926); #348827=AXIS2_PLACEMENT_3D('',#521926,#429927,#429928); #348828=AXIS2_PLACEMENT_3D('',#521929,#429929,#429930); #348829=AXIS2_PLACEMENT_3D('',#521931,#429931,#429932); #348830=AXIS2_PLACEMENT_3D('',#521932,#429933,#429934); #348831=AXIS2_PLACEMENT_3D('',#521933,#429935,#429936); #348832=AXIS2_PLACEMENT_3D('',#521936,#429939,#429940); #348833=AXIS2_PLACEMENT_3D('',#521939,#429941,#429942); #348834=AXIS2_PLACEMENT_3D('',#521941,#429943,#429944); #348835=AXIS2_PLACEMENT_3D('',#521942,#429945,#429946); #348836=AXIS2_PLACEMENT_3D('',#521943,#429947,#429948); #348837=AXIS2_PLACEMENT_3D('',#521946,#429949,#429950); #348838=AXIS2_PLACEMENT_3D('',#521948,#429951,#429952); #348839=AXIS2_PLACEMENT_3D('',#521949,#429953,#429954); #348840=AXIS2_PLACEMENT_3D('',#521950,#429955,#429956); #348841=AXIS2_PLACEMENT_3D('',#521953,#429959,#429960); #348842=AXIS2_PLACEMENT_3D('',#521956,#429963,#429964); #348843=AXIS2_PLACEMENT_3D('',#521959,#429965,#429966); #348844=AXIS2_PLACEMENT_3D('',#521961,#429967,#429968); #348845=AXIS2_PLACEMENT_3D('',#521962,#429969,#429970); #348846=AXIS2_PLACEMENT_3D('',#521963,#429971,#429972); #348847=AXIS2_PLACEMENT_3D('',#521966,#429975,#429976); #348848=AXIS2_PLACEMENT_3D('',#521969,#429979,#429980); #348849=AXIS2_PLACEMENT_3D('',#521972,#429983,#429984); #348850=AXIS2_PLACEMENT_3D('',#521975,#429987,#429988); #348851=AXIS2_PLACEMENT_3D('',#521978,#429991,#429992); #348852=AXIS2_PLACEMENT_3D('',#521981,#429995,#429996); #348853=AXIS2_PLACEMENT_3D('',#521984,#429999,#430000); #348854=AXIS2_PLACEMENT_3D('',#521987,#430003,#430004); #348855=AXIS2_PLACEMENT_3D('',#521990,#430007,#430008); #348856=AXIS2_PLACEMENT_3D('',#521991,#430009,#430010); #348857=AXIS2_PLACEMENT_3D('',#521992,#430011,#430012); #348858=AXIS2_PLACEMENT_3D('',#521993,#430013,#430014); #348859=AXIS2_PLACEMENT_3D('',#521994,#430015,#430016); #348860=AXIS2_PLACEMENT_3D('',#521995,#430017,#430018); #348861=AXIS2_PLACEMENT_3D('',#521996,#430019,#430020); #348862=AXIS2_PLACEMENT_3D('',#521999,#430021,#430022); #348863=AXIS2_PLACEMENT_3D('',#522001,#430023,#430024); #348864=AXIS2_PLACEMENT_3D('',#522002,#430025,#430026); #348865=AXIS2_PLACEMENT_3D('',#522003,#430027,#430028); #348866=AXIS2_PLACEMENT_3D('',#522006,#430029,#430030); #348867=AXIS2_PLACEMENT_3D('',#522008,#430031,#430032); #348868=AXIS2_PLACEMENT_3D('',#522009,#430033,#430034); #348869=AXIS2_PLACEMENT_3D('',#522010,#430035,#430036); #348870=AXIS2_PLACEMENT_3D('',#522013,#430037,#430038); #348871=AXIS2_PLACEMENT_3D('',#522015,#430039,#430040); #348872=AXIS2_PLACEMENT_3D('',#522016,#430041,#430042); #348873=AXIS2_PLACEMENT_3D('',#522017,#430043,#430044); #348874=AXIS2_PLACEMENT_3D('',#522020,#430045,#430046); #348875=AXIS2_PLACEMENT_3D('',#522022,#430047,#430048); #348876=AXIS2_PLACEMENT_3D('',#522023,#430049,#430050); #348877=AXIS2_PLACEMENT_3D('',#522024,#430051,#430052); #348878=AXIS2_PLACEMENT_3D('',#522027,#430053,#430054); #348879=AXIS2_PLACEMENT_3D('',#522029,#430055,#430056); #348880=AXIS2_PLACEMENT_3D('',#522030,#430057,#430058); #348881=AXIS2_PLACEMENT_3D('',#522031,#430059,#430060); #348882=AXIS2_PLACEMENT_3D('',#522034,#430063,#430064); #348883=AXIS2_PLACEMENT_3D('',#522037,#430065,#430066); #348884=AXIS2_PLACEMENT_3D('',#522039,#430067,#430068); #348885=AXIS2_PLACEMENT_3D('',#522040,#430069,#430070); #348886=AXIS2_PLACEMENT_3D('',#522041,#430071,#430072); #348887=AXIS2_PLACEMENT_3D('',#522044,#430073,#430074); #348888=AXIS2_PLACEMENT_3D('',#522046,#430075,#430076); #348889=AXIS2_PLACEMENT_3D('',#522047,#430077,#430078); #348890=AXIS2_PLACEMENT_3D('',#522048,#430079,#430080); #348891=AXIS2_PLACEMENT_3D('',#522051,#430083,#430084); #348892=AXIS2_PLACEMENT_3D('',#522054,#430087,#430088); #348893=AXIS2_PLACEMENT_3D('',#522057,#430089,#430090); #348894=AXIS2_PLACEMENT_3D('',#522059,#430091,#430092); #348895=AXIS2_PLACEMENT_3D('',#522060,#430093,#430094); #348896=AXIS2_PLACEMENT_3D('',#522061,#430095,#430096); #348897=AXIS2_PLACEMENT_3D('',#522064,#430099,#430100); #348898=AXIS2_PLACEMENT_3D('',#522067,#430103,#430104); #348899=AXIS2_PLACEMENT_3D('',#522070,#430107,#430108); #348900=AXIS2_PLACEMENT_3D('',#522073,#430111,#430112); #348901=AXIS2_PLACEMENT_3D('',#522076,#430115,#430116); #348902=AXIS2_PLACEMENT_3D('',#522079,#430119,#430120); #348903=AXIS2_PLACEMENT_3D('',#522082,#430123,#430124); #348904=AXIS2_PLACEMENT_3D('',#522085,#430127,#430128); #348905=AXIS2_PLACEMENT_3D('',#522088,#430131,#430132); #348906=AXIS2_PLACEMENT_3D('',#522089,#430133,#430134); #348907=AXIS2_PLACEMENT_3D('',#522090,#430135,#430136); #348908=AXIS2_PLACEMENT_3D('',#522091,#430137,#430138); #348909=AXIS2_PLACEMENT_3D('',#522092,#430139,#430140); #348910=AXIS2_PLACEMENT_3D('',#522093,#430141,#430142); #348911=AXIS2_PLACEMENT_3D('',#522094,#430143,#430144); #348912=AXIS2_PLACEMENT_3D('',#522103,#430149,#430150); #348913=AXIS2_PLACEMENT_3D('',#522109,#430154,#430155); #348914=AXIS2_PLACEMENT_3D('',#522115,#430159,#430160); #348915=AXIS2_PLACEMENT_3D('',#522118,#430163,#430164); #348916=AXIS2_PLACEMENT_3D('',#522119,#430165,#430166); #348917=AXIS2_PLACEMENT_3D('',#522120,#430167,#430168); #348918=AXIS2_PLACEMENT_3D('',#522121,#430169,#430170); #348919=AXIS2_PLACEMENT_3D('',#522122,#430171,#430172); #348920=AXIS2_PLACEMENT_3D('',#522123,#430173,#430174); #348921=AXIS2_PLACEMENT_3D('',#522126,#430175,#430176); #348922=AXIS2_PLACEMENT_3D('',#522128,#430177,#430178); #348923=AXIS2_PLACEMENT_3D('',#522129,#430179,#430180); #348924=AXIS2_PLACEMENT_3D('',#522130,#430181,#430182); #348925=AXIS2_PLACEMENT_3D('',#522133,#430183,#430184); #348926=AXIS2_PLACEMENT_3D('',#522135,#430185,#430186); #348927=AXIS2_PLACEMENT_3D('',#522136,#430187,#430188); #348928=AXIS2_PLACEMENT_3D('',#522137,#430189,#430190); #348929=AXIS2_PLACEMENT_3D('',#522140,#430191,#430192); #348930=AXIS2_PLACEMENT_3D('',#522142,#430193,#430194); #348931=AXIS2_PLACEMENT_3D('',#522143,#430195,#430196); #348932=AXIS2_PLACEMENT_3D('',#522144,#430197,#430198); #348933=AXIS2_PLACEMENT_3D('',#522147,#430199,#430200); #348934=AXIS2_PLACEMENT_3D('',#522149,#430201,#430202); #348935=AXIS2_PLACEMENT_3D('',#522150,#430203,#430204); #348936=AXIS2_PLACEMENT_3D('',#522151,#430205,#430206); #348937=AXIS2_PLACEMENT_3D('',#522154,#430207,#430208); #348938=AXIS2_PLACEMENT_3D('',#522156,#430209,#430210); #348939=AXIS2_PLACEMENT_3D('',#522157,#430211,#430212); #348940=AXIS2_PLACEMENT_3D('',#522158,#430213,#430214); #348941=AXIS2_PLACEMENT_3D('',#522161,#430217,#430218); #348942=AXIS2_PLACEMENT_3D('',#522164,#430219,#430220); #348943=AXIS2_PLACEMENT_3D('',#522166,#430221,#430222); #348944=AXIS2_PLACEMENT_3D('',#522167,#430223,#430224); #348945=AXIS2_PLACEMENT_3D('',#522168,#430225,#430226); #348946=AXIS2_PLACEMENT_3D('',#522171,#430227,#430228); #348947=AXIS2_PLACEMENT_3D('',#522173,#430229,#430230); #348948=AXIS2_PLACEMENT_3D('',#522174,#430231,#430232); #348949=AXIS2_PLACEMENT_3D('',#522175,#430233,#430234); #348950=AXIS2_PLACEMENT_3D('',#522178,#430237,#430238); #348951=AXIS2_PLACEMENT_3D('',#522181,#430241,#430242); #348952=AXIS2_PLACEMENT_3D('',#522184,#430243,#430244); #348953=AXIS2_PLACEMENT_3D('',#522186,#430245,#430246); #348954=AXIS2_PLACEMENT_3D('',#522187,#430247,#430248); #348955=AXIS2_PLACEMENT_3D('',#522188,#430249,#430250); #348956=AXIS2_PLACEMENT_3D('',#522191,#430253,#430254); #348957=AXIS2_PLACEMENT_3D('',#522194,#430257,#430258); #348958=AXIS2_PLACEMENT_3D('',#522197,#430261,#430262); #348959=AXIS2_PLACEMENT_3D('',#522200,#430265,#430266); #348960=AXIS2_PLACEMENT_3D('',#522203,#430269,#430270); #348961=AXIS2_PLACEMENT_3D('',#522206,#430273,#430274); #348962=AXIS2_PLACEMENT_3D('',#522209,#430277,#430278); #348963=AXIS2_PLACEMENT_3D('',#522212,#430281,#430282); #348964=AXIS2_PLACEMENT_3D('',#522215,#430285,#430286); #348965=AXIS2_PLACEMENT_3D('',#522216,#430287,#430288); #348966=AXIS2_PLACEMENT_3D('',#522217,#430289,#430290); #348967=AXIS2_PLACEMENT_3D('',#522218,#430291,#430292); #348968=AXIS2_PLACEMENT_3D('',#522219,#430293,#430294); #348969=AXIS2_PLACEMENT_3D('',#522220,#430295,#430296); #348970=AXIS2_PLACEMENT_3D('',#522221,#430297,#430298); #348971=AXIS2_PLACEMENT_3D('',#522224,#430299,#430300); #348972=AXIS2_PLACEMENT_3D('',#522226,#430301,#430302); #348973=AXIS2_PLACEMENT_3D('',#522227,#430303,#430304); #348974=AXIS2_PLACEMENT_3D('',#522228,#430305,#430306); #348975=AXIS2_PLACEMENT_3D('',#522231,#430307,#430308); #348976=AXIS2_PLACEMENT_3D('',#522233,#430309,#430310); #348977=AXIS2_PLACEMENT_3D('',#522234,#430311,#430312); #348978=AXIS2_PLACEMENT_3D('',#522235,#430313,#430314); #348979=AXIS2_PLACEMENT_3D('',#522238,#430315,#430316); #348980=AXIS2_PLACEMENT_3D('',#522240,#430317,#430318); #348981=AXIS2_PLACEMENT_3D('',#522241,#430319,#430320); #348982=AXIS2_PLACEMENT_3D('',#522242,#430321,#430322); #348983=AXIS2_PLACEMENT_3D('',#522245,#430323,#430324); #348984=AXIS2_PLACEMENT_3D('',#522247,#430325,#430326); #348985=AXIS2_PLACEMENT_3D('',#522248,#430327,#430328); #348986=AXIS2_PLACEMENT_3D('',#522249,#430329,#430330); #348987=AXIS2_PLACEMENT_3D('',#522252,#430331,#430332); #348988=AXIS2_PLACEMENT_3D('',#522254,#430333,#430334); #348989=AXIS2_PLACEMENT_3D('',#522255,#430335,#430336); #348990=AXIS2_PLACEMENT_3D('',#522256,#430337,#430338); #348991=AXIS2_PLACEMENT_3D('',#522259,#430341,#430342); #348992=AXIS2_PLACEMENT_3D('',#522262,#430343,#430344); #348993=AXIS2_PLACEMENT_3D('',#522264,#430345,#430346); #348994=AXIS2_PLACEMENT_3D('',#522265,#430347,#430348); #348995=AXIS2_PLACEMENT_3D('',#522266,#430349,#430350); #348996=AXIS2_PLACEMENT_3D('',#522269,#430351,#430352); #348997=AXIS2_PLACEMENT_3D('',#522271,#430353,#430354); #348998=AXIS2_PLACEMENT_3D('',#522272,#430355,#430356); #348999=AXIS2_PLACEMENT_3D('',#522273,#430357,#430358); #349000=AXIS2_PLACEMENT_3D('',#522276,#430361,#430362); #349001=AXIS2_PLACEMENT_3D('',#522279,#430365,#430366); #349002=AXIS2_PLACEMENT_3D('',#522282,#430367,#430368); #349003=AXIS2_PLACEMENT_3D('',#522284,#430369,#430370); #349004=AXIS2_PLACEMENT_3D('',#522285,#430371,#430372); #349005=AXIS2_PLACEMENT_3D('',#522286,#430373,#430374); #349006=AXIS2_PLACEMENT_3D('',#522289,#430377,#430378); #349007=AXIS2_PLACEMENT_3D('',#522292,#430381,#430382); #349008=AXIS2_PLACEMENT_3D('',#522295,#430385,#430386); #349009=AXIS2_PLACEMENT_3D('',#522298,#430389,#430390); #349010=AXIS2_PLACEMENT_3D('',#522301,#430393,#430394); #349011=AXIS2_PLACEMENT_3D('',#522304,#430397,#430398); #349012=AXIS2_PLACEMENT_3D('',#522307,#430401,#430402); #349013=AXIS2_PLACEMENT_3D('',#522310,#430405,#430406); #349014=AXIS2_PLACEMENT_3D('',#522313,#430409,#430410); #349015=AXIS2_PLACEMENT_3D('',#522314,#430411,#430412); #349016=AXIS2_PLACEMENT_3D('',#522315,#430413,#430414); #349017=AXIS2_PLACEMENT_3D('',#522316,#430415,#430416); #349018=AXIS2_PLACEMENT_3D('',#522317,#430417,#430418); #349019=AXIS2_PLACEMENT_3D('',#522318,#430419,#430420); #349020=AXIS2_PLACEMENT_3D('',#522319,#430421,#430422); #349021=AXIS2_PLACEMENT_3D('',#522328,#430427,#430428); #349022=AXIS2_PLACEMENT_3D('',#522334,#430432,#430433); #349023=AXIS2_PLACEMENT_3D('',#522340,#430437,#430438); #349024=AXIS2_PLACEMENT_3D('',#522343,#430441,#430442); #349025=AXIS2_PLACEMENT_3D('',#522344,#430443,#430444); #349026=AXIS2_PLACEMENT_3D('',#522345,#430445,#430446); #349027=AXIS2_PLACEMENT_3D('',#522346,#430447,#430448); #349028=AXIS2_PLACEMENT_3D('',#522347,#430449,#430450); #349029=AXIS2_PLACEMENT_3D('',#522348,#430451,#430452); #349030=AXIS2_PLACEMENT_3D('',#522350,#430453,#430454); #349031=AXIS2_PLACEMENT_3D('',#522353,#430456,#430457); #349032=AXIS2_PLACEMENT_3D('',#522354,#430458,#430459); #349033=AXIS2_PLACEMENT_3D('',#522355,#430460,#430461); #349034=AXIS2_PLACEMENT_3D('',#522357,#430462,#430463); #349035=AXIS2_PLACEMENT_3D('',#522358,#430464,#430465); #349036=AXIS2_PLACEMENT_3D('',#522360,#430466,#430467); #349037=AXIS2_PLACEMENT_3D('',#522361,#430468,#430469); #349038=AXIS2_PLACEMENT_3D('',#522362,#430470,#430471); #349039=AXIS2_PLACEMENT_3D('',#522364,#430472,#430473); #349040=AXIS2_PLACEMENT_3D('',#522366,#430475,#430476); #349041=AXIS2_PLACEMENT_3D('',#522368,#430477,#430478); #349042=AXIS2_PLACEMENT_3D('',#522369,#430479,#430480); #349043=AXIS2_PLACEMENT_3D('',#522370,#430481,#430482); #349044=AXIS2_PLACEMENT_3D('',#522372,#430483,#430484); #349045=AXIS2_PLACEMENT_3D('',#522373,#430485,#430486); #349046=AXIS2_PLACEMENT_3D('',#522374,#430487,#430488); #349047=AXIS2_PLACEMENT_3D('',#522376,#430489,#430490); #349048=AXIS2_PLACEMENT_3D('',#522377,#430491,#430492); #349049=AXIS2_PLACEMENT_3D('',#522378,#430493,#430494); #349050=AXIS2_PLACEMENT_3D('',#522380,#430495,#430496); #349051=AXIS2_PLACEMENT_3D('',#522382,#430498,#430499); #349052=AXIS2_PLACEMENT_3D('',#522384,#430500,#430501); #349053=AXIS2_PLACEMENT_3D('',#522385,#430502,#430503); #349054=AXIS2_PLACEMENT_3D('',#522386,#430504,#430505); #349055=AXIS2_PLACEMENT_3D('',#522387,#430506,#430507); #349056=AXIS2_PLACEMENT_3D('',#522390,#430508,#430509); #349057=AXIS2_PLACEMENT_3D('',#522392,#430510,#430511); #349058=AXIS2_PLACEMENT_3D('',#522394,#430512,#430513); #349059=AXIS2_PLACEMENT_3D('',#522398,#430515,#430516); #349060=AXIS2_PLACEMENT_3D('',#522402,#430518,#430519); #349061=AXIS2_PLACEMENT_3D('',#522406,#430521,#430522); #349062=AXIS2_PLACEMENT_3D('',#522408,#430523,#430524); #349063=AXIS2_PLACEMENT_3D('',#522410,#430525,#430526); #349064=AXIS2_PLACEMENT_3D('',#522414,#430528,#430529); #349065=AXIS2_PLACEMENT_3D('',#522418,#430531,#430532); #349066=AXIS2_PLACEMENT_3D('',#522420,#430534,#430535); #349067=AXIS2_PLACEMENT_3D('',#522422,#430536,#430537); #349068=AXIS2_PLACEMENT_3D('',#522424,#430538,#430539); #349069=AXIS2_PLACEMENT_3D('',#522425,#430540,#430541); #349070=AXIS2_PLACEMENT_3D('',#522426,#430542,#430543); #349071=AXIS2_PLACEMENT_3D('',#522428,#430544,#430545); #349072=AXIS2_PLACEMENT_3D('',#522429,#430546,#430547); #349073=AXIS2_PLACEMENT_3D('',#522430,#430548,#430549); #349074=AXIS2_PLACEMENT_3D('',#522433,#430551,#430552); #349075=AXIS2_PLACEMENT_3D('',#522434,#430553,#430554); #349076=AXIS2_PLACEMENT_3D('',#522436,#430555,#430556); #349077=AXIS2_PLACEMENT_3D('',#522437,#430557,#430558); #349078=AXIS2_PLACEMENT_3D('',#522438,#430559,#430560); #349079=AXIS2_PLACEMENT_3D('',#522441,#430562,#430563); #349080=AXIS2_PLACEMENT_3D('',#522442,#430564,#430565); #349081=AXIS2_PLACEMENT_3D('',#522444,#430566,#430567); #349082=AXIS2_PLACEMENT_3D('',#522445,#430568,#430569); #349083=AXIS2_PLACEMENT_3D('',#522446,#430570,#430571); #349084=AXIS2_PLACEMENT_3D('',#522449,#430573,#430574); #349085=AXIS2_PLACEMENT_3D('',#522450,#430575,#430576); #349086=AXIS2_PLACEMENT_3D('',#522452,#430577,#430578); #349087=AXIS2_PLACEMENT_3D('',#522453,#430579,#430580); #349088=AXIS2_PLACEMENT_3D('',#522454,#430581,#430582); #349089=AXIS2_PLACEMENT_3D('',#522456,#430583,#430584); #349090=AXIS2_PLACEMENT_3D('',#522457,#430585,#430586); #349091=AXIS2_PLACEMENT_3D('',#522458,#430587,#430588); #349092=AXIS2_PLACEMENT_3D('',#522460,#430589,#430590); #349093=AXIS2_PLACEMENT_3D('',#522461,#430591,#430592); #349094=AXIS2_PLACEMENT_3D('',#522462,#430593,#430594); #349095=AXIS2_PLACEMENT_3D('',#522465,#430596,#430597); #349096=AXIS2_PLACEMENT_3D('',#522466,#430598,#430599); #349097=AXIS2_PLACEMENT_3D('',#522468,#430600,#430601); #349098=AXIS2_PLACEMENT_3D('',#522469,#430602,#430603); #349099=AXIS2_PLACEMENT_3D('',#522470,#430604,#430605); #349100=AXIS2_PLACEMENT_3D('',#522473,#430607,#430608); #349101=AXIS2_PLACEMENT_3D('',#522474,#430609,#430610); #349102=AXIS2_PLACEMENT_3D('',#522476,#430611,#430612); #349103=AXIS2_PLACEMENT_3D('',#522477,#430613,#430614); #349104=AXIS2_PLACEMENT_3D('',#522478,#430615,#430616); #349105=AXIS2_PLACEMENT_3D('',#522481,#430618,#430619); #349106=AXIS2_PLACEMENT_3D('',#522482,#430620,#430621); #349107=AXIS2_PLACEMENT_3D('',#522483,#430622,#430623); #349108=AXIS2_PLACEMENT_3D('',#522484,#430624,#430625); #349109=AXIS2_PLACEMENT_3D('',#522485,#430626,#430627); #349110=AXIS2_PLACEMENT_3D('',#522487,#430628,#430629); #349111=AXIS2_PLACEMENT_3D('',#522490,#430631,#430632); #349112=AXIS2_PLACEMENT_3D('',#522491,#430633,#430634); #349113=AXIS2_PLACEMENT_3D('',#522492,#430635,#430636); #349114=AXIS2_PLACEMENT_3D('',#522493,#430637,#430638); #349115=AXIS2_PLACEMENT_3D('',#522495,#430639,#430640); #349116=AXIS2_PLACEMENT_3D('',#522498,#430642,#430643); #349117=AXIS2_PLACEMENT_3D('',#522499,#430644,#430645); #349118=AXIS2_PLACEMENT_3D('',#522500,#430646,#430647); #349119=AXIS2_PLACEMENT_3D('',#522501,#430648,#430649); #349120=AXIS2_PLACEMENT_3D('',#522510,#430654,#430655); #349121=AXIS2_PLACEMENT_3D('',#522512,#430656,#430657); #349122=AXIS2_PLACEMENT_3D('',#522515,#430659,#430660); #349123=AXIS2_PLACEMENT_3D('',#522516,#430661,#430662); #349124=AXIS2_PLACEMENT_3D('',#522519,#430664,#430665); #349125=AXIS2_PLACEMENT_3D('',#522520,#430666,#430667); #349126=AXIS2_PLACEMENT_3D('',#522523,#430669,#430670); #349127=AXIS2_PLACEMENT_3D('',#522524,#430671,#430672); #349128=AXIS2_PLACEMENT_3D('',#522526,#430674,#430675); #349129=AXIS2_PLACEMENT_3D('',#522527,#430676,#430677); #349130=AXIS2_PLACEMENT_3D('',#522536,#430682,#430683); #349131=AXIS2_PLACEMENT_3D('',#522538,#430684,#430685); #349132=AXIS2_PLACEMENT_3D('',#522541,#430687,#430688); #349133=AXIS2_PLACEMENT_3D('',#522542,#430689,#430690); #349134=AXIS2_PLACEMENT_3D('',#522545,#430692,#430693); #349135=AXIS2_PLACEMENT_3D('',#522546,#430694,#430695); #349136=AXIS2_PLACEMENT_3D('',#522549,#430697,#430698); #349137=AXIS2_PLACEMENT_3D('',#522550,#430699,#430700); #349138=AXIS2_PLACEMENT_3D('',#522552,#430702,#430703); #349139=AXIS2_PLACEMENT_3D('',#522553,#430704,#430705); #349140=AXIS2_PLACEMENT_3D('',#522562,#430710,#430711); #349141=AXIS2_PLACEMENT_3D('',#522564,#430712,#430713); #349142=AXIS2_PLACEMENT_3D('',#522567,#430715,#430716); #349143=AXIS2_PLACEMENT_3D('',#522568,#430717,#430718); #349144=AXIS2_PLACEMENT_3D('',#522571,#430720,#430721); #349145=AXIS2_PLACEMENT_3D('',#522572,#430722,#430723); #349146=AXIS2_PLACEMENT_3D('',#522575,#430725,#430726); #349147=AXIS2_PLACEMENT_3D('',#522576,#430727,#430728); #349148=AXIS2_PLACEMENT_3D('',#522578,#430730,#430731); #349149=AXIS2_PLACEMENT_3D('',#522579,#430732,#430733); #349150=AXIS2_PLACEMENT_3D('',#522588,#430738,#430739); #349151=AXIS2_PLACEMENT_3D('',#522590,#430740,#430741); #349152=AXIS2_PLACEMENT_3D('',#522593,#430743,#430744); #349153=AXIS2_PLACEMENT_3D('',#522594,#430745,#430746); #349154=AXIS2_PLACEMENT_3D('',#522597,#430748,#430749); #349155=AXIS2_PLACEMENT_3D('',#522598,#430750,#430751); #349156=AXIS2_PLACEMENT_3D('',#522601,#430753,#430754); #349157=AXIS2_PLACEMENT_3D('',#522602,#430755,#430756); #349158=AXIS2_PLACEMENT_3D('',#522604,#430758,#430759); #349159=AXIS2_PLACEMENT_3D('',#522605,#430760,#430761); #349160=AXIS2_PLACEMENT_3D('',#522614,#430766,#430767); #349161=AXIS2_PLACEMENT_3D('',#522616,#430768,#430769); #349162=AXIS2_PLACEMENT_3D('',#522619,#430771,#430772); #349163=AXIS2_PLACEMENT_3D('',#522620,#430773,#430774); #349164=AXIS2_PLACEMENT_3D('',#522623,#430776,#430777); #349165=AXIS2_PLACEMENT_3D('',#522624,#430778,#430779); #349166=AXIS2_PLACEMENT_3D('',#522627,#430781,#430782); #349167=AXIS2_PLACEMENT_3D('',#522628,#430783,#430784); #349168=AXIS2_PLACEMENT_3D('',#522630,#430786,#430787); #349169=AXIS2_PLACEMENT_3D('',#522631,#430788,#430789); #349170=AXIS2_PLACEMENT_3D('',#522640,#430794,#430795); #349171=AXIS2_PLACEMENT_3D('',#522642,#430796,#430797); #349172=AXIS2_PLACEMENT_3D('',#522645,#430799,#430800); #349173=AXIS2_PLACEMENT_3D('',#522646,#430801,#430802); #349174=AXIS2_PLACEMENT_3D('',#522649,#430804,#430805); #349175=AXIS2_PLACEMENT_3D('',#522650,#430806,#430807); #349176=AXIS2_PLACEMENT_3D('',#522653,#430809,#430810); #349177=AXIS2_PLACEMENT_3D('',#522654,#430811,#430812); #349178=AXIS2_PLACEMENT_3D('',#522656,#430814,#430815); #349179=AXIS2_PLACEMENT_3D('',#522657,#430816,#430817); #349180=AXIS2_PLACEMENT_3D('',#522666,#430822,#430823); #349181=AXIS2_PLACEMENT_3D('',#522668,#430824,#430825); #349182=AXIS2_PLACEMENT_3D('',#522671,#430827,#430828); #349183=AXIS2_PLACEMENT_3D('',#522672,#430829,#430830); #349184=AXIS2_PLACEMENT_3D('',#522675,#430832,#430833); #349185=AXIS2_PLACEMENT_3D('',#522676,#430834,#430835); #349186=AXIS2_PLACEMENT_3D('',#522679,#430837,#430838); #349187=AXIS2_PLACEMENT_3D('',#522680,#430839,#430840); #349188=AXIS2_PLACEMENT_3D('',#522682,#430842,#430843); #349189=AXIS2_PLACEMENT_3D('',#522683,#430844,#430845); #349190=AXIS2_PLACEMENT_3D('',#522692,#430850,#430851); #349191=AXIS2_PLACEMENT_3D('',#522694,#430852,#430853); #349192=AXIS2_PLACEMENT_3D('',#522697,#430855,#430856); #349193=AXIS2_PLACEMENT_3D('',#522698,#430857,#430858); #349194=AXIS2_PLACEMENT_3D('',#522701,#430860,#430861); #349195=AXIS2_PLACEMENT_3D('',#522702,#430862,#430863); #349196=AXIS2_PLACEMENT_3D('',#522705,#430865,#430866); #349197=AXIS2_PLACEMENT_3D('',#522706,#430867,#430868); #349198=AXIS2_PLACEMENT_3D('',#522708,#430870,#430871); #349199=AXIS2_PLACEMENT_3D('',#522709,#430872,#430873); #349200=AXIS2_PLACEMENT_3D('',#522718,#430878,#430879); #349201=AXIS2_PLACEMENT_3D('',#522720,#430880,#430881); #349202=AXIS2_PLACEMENT_3D('',#522723,#430883,#430884); #349203=AXIS2_PLACEMENT_3D('',#522724,#430885,#430886); #349204=AXIS2_PLACEMENT_3D('',#522727,#430888,#430889); #349205=AXIS2_PLACEMENT_3D('',#522728,#430890,#430891); #349206=AXIS2_PLACEMENT_3D('',#522731,#430893,#430894); #349207=AXIS2_PLACEMENT_3D('',#522732,#430895,#430896); #349208=AXIS2_PLACEMENT_3D('',#522734,#430898,#430899); #349209=AXIS2_PLACEMENT_3D('',#522735,#430900,#430901); #349210=AXIS2_PLACEMENT_3D('',#522736,#430902,#430903); #349211=AXIS2_PLACEMENT_3D('',#522737,#430904,#430905); #349212=AXIS2_PLACEMENT_3D('',#522738,#430906,#430907); #349213=AXIS2_PLACEMENT_3D('',#522740,#430908,#430909); #349214=AXIS2_PLACEMENT_3D('',#522743,#430911,#430912); #349215=AXIS2_PLACEMENT_3D('',#522744,#430913,#430914); #349216=AXIS2_PLACEMENT_3D('',#522745,#430915,#430916); #349217=AXIS2_PLACEMENT_3D('',#522754,#430921,#430922); #349218=AXIS2_PLACEMENT_3D('',#522760,#430926,#430927); #349219=AXIS2_PLACEMENT_3D('',#522766,#430931,#430932); #349220=AXIS2_PLACEMENT_3D('',#522769,#430935,#430936); #349221=AXIS2_PLACEMENT_3D('',#522775,#430940,#430941); #349222=AXIS2_PLACEMENT_3D('',#522779,#430944,#430945); #349223=AXIS2_PLACEMENT_3D('',#522780,#430946,#430947); #349224=AXIS2_PLACEMENT_3D('',#522784,#430950,#430951); #349225=AXIS2_PLACEMENT_3D('',#522786,#430953,#430954); #349226=AXIS2_PLACEMENT_3D('',#522787,#430955,#430956); #349227=AXIS2_PLACEMENT_3D('',#522796,#430961,#430962); #349228=AXIS2_PLACEMENT_3D('',#522802,#430966,#430967); #349229=AXIS2_PLACEMENT_3D('',#522808,#430971,#430972); #349230=AXIS2_PLACEMENT_3D('',#522811,#430975,#430976); #349231=AXIS2_PLACEMENT_3D('',#522812,#430977,#430978); #349232=AXIS2_PLACEMENT_3D('',#522813,#430979,#430980); #349233=AXIS2_PLACEMENT_3D('',#522822,#430985,#430986); #349234=AXIS2_PLACEMENT_3D('',#522828,#430990,#430991); #349235=AXIS2_PLACEMENT_3D('',#522830,#430992,#430993); #349236=AXIS2_PLACEMENT_3D('',#522832,#430994,#430995); #349237=AXIS2_PLACEMENT_3D('',#522834,#430997,#430998); #349238=AXIS2_PLACEMENT_3D('',#522840,#431002,#431003); #349239=AXIS2_PLACEMENT_3D('',#522842,#431004,#431005); #349240=AXIS2_PLACEMENT_3D('',#522844,#431006,#431007); #349241=AXIS2_PLACEMENT_3D('',#522846,#431009,#431010); #349242=AXIS2_PLACEMENT_3D('',#522849,#431013,#431014); #349243=AXIS2_PLACEMENT_3D('',#522850,#431015,#431016); #349244=AXIS2_PLACEMENT_3D('',#522851,#431017,#431018); #349245=AXIS2_PLACEMENT_3D('',#522860,#431023,#431024); #349246=AXIS2_PLACEMENT_3D('',#522866,#431028,#431029); #349247=AXIS2_PLACEMENT_3D('',#522868,#431030,#431031); #349248=AXIS2_PLACEMENT_3D('',#522871,#431033,#431034); #349249=AXIS2_PLACEMENT_3D('',#522872,#431035,#431036); #349250=AXIS2_PLACEMENT_3D('',#522878,#431040,#431041); #349251=AXIS2_PLACEMENT_3D('',#522880,#431042,#431043); #349252=AXIS2_PLACEMENT_3D('',#522883,#431045,#431046); #349253=AXIS2_PLACEMENT_3D('',#522884,#431047,#431048); #349254=AXIS2_PLACEMENT_3D('',#522887,#431051,#431052); #349255=AXIS2_PLACEMENT_3D('',#522888,#431053,#431054); #349256=AXIS2_PLACEMENT_3D('',#522889,#431055,#431056); #349257=AXIS2_PLACEMENT_3D('',#522898,#431061,#431062); #349258=AXIS2_PLACEMENT_3D('',#522904,#431066,#431067); #349259=AXIS2_PLACEMENT_3D('',#522906,#431068,#431069); #349260=AXIS2_PLACEMENT_3D('',#522908,#431070,#431071); #349261=AXIS2_PLACEMENT_3D('',#522910,#431073,#431074); #349262=AXIS2_PLACEMENT_3D('',#522916,#431078,#431079); #349263=AXIS2_PLACEMENT_3D('',#522918,#431080,#431081); #349264=AXIS2_PLACEMENT_3D('',#522920,#431082,#431083); #349265=AXIS2_PLACEMENT_3D('',#522922,#431085,#431086); #349266=AXIS2_PLACEMENT_3D('',#522925,#431089,#431090); #349267=AXIS2_PLACEMENT_3D('',#522926,#431091,#431092); #349268=AXIS2_PLACEMENT_3D('',#522927,#431093,#431094); #349269=AXIS2_PLACEMENT_3D('',#522936,#431099,#431100); #349270=AXIS2_PLACEMENT_3D('',#522942,#431104,#431105); #349271=AXIS2_PLACEMENT_3D('',#522944,#431106,#431107); #349272=AXIS2_PLACEMENT_3D('',#522946,#431108,#431109); #349273=AXIS2_PLACEMENT_3D('',#522948,#431111,#431112); #349274=AXIS2_PLACEMENT_3D('',#522954,#431116,#431117); #349275=AXIS2_PLACEMENT_3D('',#522956,#431118,#431119); #349276=AXIS2_PLACEMENT_3D('',#522958,#431120,#431121); #349277=AXIS2_PLACEMENT_3D('',#522960,#431123,#431124); #349278=AXIS2_PLACEMENT_3D('',#522963,#431127,#431128); #349279=AXIS2_PLACEMENT_3D('',#522964,#431129,#431130); #349280=AXIS2_PLACEMENT_3D('',#522965,#431131,#431132); #349281=AXIS2_PLACEMENT_3D('',#522974,#431137,#431138); #349282=AXIS2_PLACEMENT_3D('',#522980,#431142,#431143); #349283=AXIS2_PLACEMENT_3D('',#522982,#431144,#431145); #349284=AXIS2_PLACEMENT_3D('',#522984,#431146,#431147); #349285=AXIS2_PLACEMENT_3D('',#522986,#431149,#431150); #349286=AXIS2_PLACEMENT_3D('',#522992,#431154,#431155); #349287=AXIS2_PLACEMENT_3D('',#522994,#431156,#431157); #349288=AXIS2_PLACEMENT_3D('',#522996,#431158,#431159); #349289=AXIS2_PLACEMENT_3D('',#522998,#431161,#431162); #349290=AXIS2_PLACEMENT_3D('',#523001,#431165,#431166); #349291=AXIS2_PLACEMENT_3D('',#523002,#431167,#431168); #349292=AXIS2_PLACEMENT_3D('',#523003,#431169,#431170); #349293=AXIS2_PLACEMENT_3D('',#523012,#431175,#431176); #349294=AXIS2_PLACEMENT_3D('',#523018,#431180,#431181); #349295=AXIS2_PLACEMENT_3D('',#523020,#431182,#431183); #349296=AXIS2_PLACEMENT_3D('',#523023,#431185,#431186); #349297=AXIS2_PLACEMENT_3D('',#523024,#431187,#431188); #349298=AXIS2_PLACEMENT_3D('',#523030,#431192,#431193); #349299=AXIS2_PLACEMENT_3D('',#523032,#431194,#431195); #349300=AXIS2_PLACEMENT_3D('',#523035,#431197,#431198); #349301=AXIS2_PLACEMENT_3D('',#523036,#431199,#431200); #349302=AXIS2_PLACEMENT_3D('',#523039,#431203,#431204); #349303=AXIS2_PLACEMENT_3D('',#523040,#431205,#431206); #349304=AXIS2_PLACEMENT_3D('',#523041,#431207,#431208); #349305=AXIS2_PLACEMENT_3D('',#523050,#431213,#431214); #349306=AXIS2_PLACEMENT_3D('',#523056,#431218,#431219); #349307=AXIS2_PLACEMENT_3D('',#523058,#431220,#431221); #349308=AXIS2_PLACEMENT_3D('',#523061,#431223,#431224); #349309=AXIS2_PLACEMENT_3D('',#523062,#431225,#431226); #349310=AXIS2_PLACEMENT_3D('',#523068,#431230,#431231); #349311=AXIS2_PLACEMENT_3D('',#523070,#431232,#431233); #349312=AXIS2_PLACEMENT_3D('',#523073,#431235,#431236); #349313=AXIS2_PLACEMENT_3D('',#523074,#431237,#431238); #349314=AXIS2_PLACEMENT_3D('',#523077,#431241,#431242); #349315=AXIS2_PLACEMENT_3D('',#523078,#431243,#431244); #349316=AXIS2_PLACEMENT_3D('',#523079,#431245,#431246); #349317=AXIS2_PLACEMENT_3D('',#523088,#431251,#431252); #349318=AXIS2_PLACEMENT_3D('',#523094,#431256,#431257); #349319=AXIS2_PLACEMENT_3D('',#523096,#431258,#431259); #349320=AXIS2_PLACEMENT_3D('',#523099,#431261,#431262); #349321=AXIS2_PLACEMENT_3D('',#523100,#431263,#431264); #349322=AXIS2_PLACEMENT_3D('',#523106,#431268,#431269); #349323=AXIS2_PLACEMENT_3D('',#523108,#431270,#431271); #349324=AXIS2_PLACEMENT_3D('',#523111,#431273,#431274); #349325=AXIS2_PLACEMENT_3D('',#523112,#431275,#431276); #349326=AXIS2_PLACEMENT_3D('',#523115,#431279,#431280); #349327=AXIS2_PLACEMENT_3D('',#523116,#431281,#431282); #349328=AXIS2_PLACEMENT_3D('',#523117,#431283,#431284); #349329=AXIS2_PLACEMENT_3D('',#523126,#431289,#431290); #349330=AXIS2_PLACEMENT_3D('',#523132,#431294,#431295); #349331=AXIS2_PLACEMENT_3D('',#523138,#431299,#431300); #349332=AXIS2_PLACEMENT_3D('',#523141,#431303,#431304); #349333=AXIS2_PLACEMENT_3D('',#523142,#431305,#431306); #349334=AXIS2_PLACEMENT_3D('',#523143,#431307,#431308); #349335=AXIS2_PLACEMENT_3D('',#523152,#431313,#431314); #349336=AXIS2_PLACEMENT_3D('',#523158,#431318,#431319); #349337=AXIS2_PLACEMENT_3D('',#523164,#431323,#431324); #349338=AXIS2_PLACEMENT_3D('',#523167,#431327,#431328); #349339=AXIS2_PLACEMENT_3D('',#523168,#431329,#431330); #349340=AXIS2_PLACEMENT_3D('',#523169,#431331,#431332); #349341=AXIS2_PLACEMENT_3D('',#523178,#431337,#431338); #349342=AXIS2_PLACEMENT_3D('',#523184,#431342,#431343); #349343=AXIS2_PLACEMENT_3D('',#523190,#431347,#431348); #349344=AXIS2_PLACEMENT_3D('',#523193,#431351,#431352); #349345=AXIS2_PLACEMENT_3D('',#523194,#431353,#431354); #349346=AXIS2_PLACEMENT_3D('',#523195,#431355,#431356); #349347=AXIS2_PLACEMENT_3D('',#523204,#431361,#431362); #349348=AXIS2_PLACEMENT_3D('',#523210,#431366,#431367); #349349=AXIS2_PLACEMENT_3D('',#523216,#431371,#431372); #349350=AXIS2_PLACEMENT_3D('',#523219,#431375,#431376); #349351=AXIS2_PLACEMENT_3D('',#523220,#431377,#431378); #349352=AXIS2_PLACEMENT_3D('',#523221,#431379,#431380); #349353=AXIS2_PLACEMENT_3D('',#523230,#431385,#431386); #349354=AXIS2_PLACEMENT_3D('',#523236,#431390,#431391); #349355=AXIS2_PLACEMENT_3D('',#523242,#431395,#431396); #349356=AXIS2_PLACEMENT_3D('',#523245,#431399,#431400); #349357=AXIS2_PLACEMENT_3D('',#523246,#431401,#431402); #349358=AXIS2_PLACEMENT_3D('',#523247,#431403,#431404); #349359=AXIS2_PLACEMENT_3D('',#523256,#431409,#431410); #349360=AXIS2_PLACEMENT_3D('',#523262,#431414,#431415); #349361=AXIS2_PLACEMENT_3D('',#523268,#431419,#431420); #349362=AXIS2_PLACEMENT_3D('',#523271,#431423,#431424); #349363=AXIS2_PLACEMENT_3D('',#523272,#431425,#431426); #349364=AXIS2_PLACEMENT_3D('',#523273,#431427,#431428); #349365=AXIS2_PLACEMENT_3D('',#523282,#431433,#431434); #349366=AXIS2_PLACEMENT_3D('',#523288,#431438,#431439); #349367=AXIS2_PLACEMENT_3D('',#523294,#431443,#431444); #349368=AXIS2_PLACEMENT_3D('',#523297,#431447,#431448); #349369=AXIS2_PLACEMENT_3D('',#523298,#431449,#431450); #349370=AXIS2_PLACEMENT_3D('',#523299,#431451,#431452); #349371=AXIS2_PLACEMENT_3D('',#523308,#431457,#431458); #349372=AXIS2_PLACEMENT_3D('',#523314,#431462,#431463); #349373=AXIS2_PLACEMENT_3D('',#523320,#431467,#431468); #349374=AXIS2_PLACEMENT_3D('',#523323,#431471,#431472); #349375=AXIS2_PLACEMENT_3D('',#523324,#431473,#431474); #349376=AXIS2_PLACEMENT_3D('',#523325,#431475,#431476); #349377=AXIS2_PLACEMENT_3D('',#523326,#431477,#431478); #349378=AXIS2_PLACEMENT_3D('',#523327,#431479,#431480); #349379=AXIS2_PLACEMENT_3D('',#523328,#431481,#431482); #349380=AXIS2_PLACEMENT_3D('',#523330,#431483,#431484); #349381=AXIS2_PLACEMENT_3D('',#523333,#431486,#431487); #349382=AXIS2_PLACEMENT_3D('',#523334,#431488,#431489); #349383=AXIS2_PLACEMENT_3D('',#523335,#431490,#431491); #349384=AXIS2_PLACEMENT_3D('',#523344,#431496,#431497); #349385=AXIS2_PLACEMENT_3D('',#523350,#431501,#431502); #349386=AXIS2_PLACEMENT_3D('',#523356,#431506,#431507); #349387=AXIS2_PLACEMENT_3D('',#523359,#431510,#431511); #349388=AXIS2_PLACEMENT_3D('',#523365,#431515,#431516); #349389=AXIS2_PLACEMENT_3D('',#523369,#431519,#431520); #349390=AXIS2_PLACEMENT_3D('',#523370,#431521,#431522); #349391=AXIS2_PLACEMENT_3D('',#523374,#431525,#431526); #349392=AXIS2_PLACEMENT_3D('',#523376,#431528,#431529); #349393=AXIS2_PLACEMENT_3D('',#523377,#431530,#431531); #349394=AXIS2_PLACEMENT_3D('',#523386,#431536,#431537); #349395=AXIS2_PLACEMENT_3D('',#523392,#431541,#431542); #349396=AXIS2_PLACEMENT_3D('',#523398,#431546,#431547); #349397=AXIS2_PLACEMENT_3D('',#523401,#431550,#431551); #349398=AXIS2_PLACEMENT_3D('',#523402,#431552,#431553); #349399=AXIS2_PLACEMENT_3D('',#523403,#431554,#431555); #349400=AXIS2_PLACEMENT_3D('',#523412,#431560,#431561); #349401=AXIS2_PLACEMENT_3D('',#523418,#431565,#431566); #349402=AXIS2_PLACEMENT_3D('',#523420,#431567,#431568); #349403=AXIS2_PLACEMENT_3D('',#523422,#431569,#431570); #349404=AXIS2_PLACEMENT_3D('',#523424,#431572,#431573); #349405=AXIS2_PLACEMENT_3D('',#523430,#431577,#431578); #349406=AXIS2_PLACEMENT_3D('',#523432,#431579,#431580); #349407=AXIS2_PLACEMENT_3D('',#523434,#431581,#431582); #349408=AXIS2_PLACEMENT_3D('',#523436,#431584,#431585); #349409=AXIS2_PLACEMENT_3D('',#523439,#431588,#431589); #349410=AXIS2_PLACEMENT_3D('',#523440,#431590,#431591); #349411=AXIS2_PLACEMENT_3D('',#523441,#431592,#431593); #349412=AXIS2_PLACEMENT_3D('',#523450,#431598,#431599); #349413=AXIS2_PLACEMENT_3D('',#523456,#431603,#431604); #349414=AXIS2_PLACEMENT_3D('',#523458,#431605,#431606); #349415=AXIS2_PLACEMENT_3D('',#523461,#431608,#431609); #349416=AXIS2_PLACEMENT_3D('',#523462,#431610,#431611); #349417=AXIS2_PLACEMENT_3D('',#523468,#431615,#431616); #349418=AXIS2_PLACEMENT_3D('',#523470,#431617,#431618); #349419=AXIS2_PLACEMENT_3D('',#523473,#431620,#431621); #349420=AXIS2_PLACEMENT_3D('',#523474,#431622,#431623); #349421=AXIS2_PLACEMENT_3D('',#523477,#431626,#431627); #349422=AXIS2_PLACEMENT_3D('',#523478,#431628,#431629); #349423=AXIS2_PLACEMENT_3D('',#523479,#431630,#431631); #349424=AXIS2_PLACEMENT_3D('',#523488,#431636,#431637); #349425=AXIS2_PLACEMENT_3D('',#523494,#431641,#431642); #349426=AXIS2_PLACEMENT_3D('',#523496,#431643,#431644); #349427=AXIS2_PLACEMENT_3D('',#523498,#431645,#431646); #349428=AXIS2_PLACEMENT_3D('',#523500,#431648,#431649); #349429=AXIS2_PLACEMENT_3D('',#523506,#431653,#431654); #349430=AXIS2_PLACEMENT_3D('',#523508,#431655,#431656); #349431=AXIS2_PLACEMENT_3D('',#523510,#431657,#431658); #349432=AXIS2_PLACEMENT_3D('',#523512,#431660,#431661); #349433=AXIS2_PLACEMENT_3D('',#523515,#431664,#431665); #349434=AXIS2_PLACEMENT_3D('',#523516,#431666,#431667); #349435=AXIS2_PLACEMENT_3D('',#523517,#431668,#431669); #349436=AXIS2_PLACEMENT_3D('',#523526,#431674,#431675); #349437=AXIS2_PLACEMENT_3D('',#523532,#431679,#431680); #349438=AXIS2_PLACEMENT_3D('',#523534,#431681,#431682); #349439=AXIS2_PLACEMENT_3D('',#523536,#431683,#431684); #349440=AXIS2_PLACEMENT_3D('',#523538,#431686,#431687); #349441=AXIS2_PLACEMENT_3D('',#523544,#431691,#431692); #349442=AXIS2_PLACEMENT_3D('',#523546,#431693,#431694); #349443=AXIS2_PLACEMENT_3D('',#523548,#431695,#431696); #349444=AXIS2_PLACEMENT_3D('',#523550,#431698,#431699); #349445=AXIS2_PLACEMENT_3D('',#523553,#431702,#431703); #349446=AXIS2_PLACEMENT_3D('',#523554,#431704,#431705); #349447=AXIS2_PLACEMENT_3D('',#523555,#431706,#431707); #349448=AXIS2_PLACEMENT_3D('',#523564,#431712,#431713); #349449=AXIS2_PLACEMENT_3D('',#523570,#431717,#431718); #349450=AXIS2_PLACEMENT_3D('',#523572,#431719,#431720); #349451=AXIS2_PLACEMENT_3D('',#523574,#431721,#431722); #349452=AXIS2_PLACEMENT_3D('',#523576,#431724,#431725); #349453=AXIS2_PLACEMENT_3D('',#523582,#431729,#431730); #349454=AXIS2_PLACEMENT_3D('',#523584,#431731,#431732); #349455=AXIS2_PLACEMENT_3D('',#523586,#431733,#431734); #349456=AXIS2_PLACEMENT_3D('',#523588,#431736,#431737); #349457=AXIS2_PLACEMENT_3D('',#523591,#431740,#431741); #349458=AXIS2_PLACEMENT_3D('',#523592,#431742,#431743); #349459=AXIS2_PLACEMENT_3D('',#523593,#431744,#431745); #349460=AXIS2_PLACEMENT_3D('',#523602,#431750,#431751); #349461=AXIS2_PLACEMENT_3D('',#523608,#431755,#431756); #349462=AXIS2_PLACEMENT_3D('',#523610,#431757,#431758); #349463=AXIS2_PLACEMENT_3D('',#523613,#431760,#431761); #349464=AXIS2_PLACEMENT_3D('',#523614,#431762,#431763); #349465=AXIS2_PLACEMENT_3D('',#523620,#431767,#431768); #349466=AXIS2_PLACEMENT_3D('',#523622,#431769,#431770); #349467=AXIS2_PLACEMENT_3D('',#523625,#431772,#431773); #349468=AXIS2_PLACEMENT_3D('',#523626,#431774,#431775); #349469=AXIS2_PLACEMENT_3D('',#523629,#431778,#431779); #349470=AXIS2_PLACEMENT_3D('',#523630,#431780,#431781); #349471=AXIS2_PLACEMENT_3D('',#523631,#431782,#431783); #349472=AXIS2_PLACEMENT_3D('',#523640,#431788,#431789); #349473=AXIS2_PLACEMENT_3D('',#523646,#431793,#431794); #349474=AXIS2_PLACEMENT_3D('',#523648,#431795,#431796); #349475=AXIS2_PLACEMENT_3D('',#523651,#431798,#431799); #349476=AXIS2_PLACEMENT_3D('',#523652,#431800,#431801); #349477=AXIS2_PLACEMENT_3D('',#523658,#431805,#431806); #349478=AXIS2_PLACEMENT_3D('',#523660,#431807,#431808); #349479=AXIS2_PLACEMENT_3D('',#523663,#431810,#431811); #349480=AXIS2_PLACEMENT_3D('',#523664,#431812,#431813); #349481=AXIS2_PLACEMENT_3D('',#523667,#431816,#431817); #349482=AXIS2_PLACEMENT_3D('',#523668,#431818,#431819); #349483=AXIS2_PLACEMENT_3D('',#523669,#431820,#431821); #349484=AXIS2_PLACEMENT_3D('',#523678,#431826,#431827); #349485=AXIS2_PLACEMENT_3D('',#523684,#431831,#431832); #349486=AXIS2_PLACEMENT_3D('',#523686,#431833,#431834); #349487=AXIS2_PLACEMENT_3D('',#523689,#431836,#431837); #349488=AXIS2_PLACEMENT_3D('',#523690,#431838,#431839); #349489=AXIS2_PLACEMENT_3D('',#523696,#431843,#431844); #349490=AXIS2_PLACEMENT_3D('',#523698,#431845,#431846); #349491=AXIS2_PLACEMENT_3D('',#523701,#431848,#431849); #349492=AXIS2_PLACEMENT_3D('',#523702,#431850,#431851); #349493=AXIS2_PLACEMENT_3D('',#523705,#431854,#431855); #349494=AXIS2_PLACEMENT_3D('',#523706,#431856,#431857); #349495=AXIS2_PLACEMENT_3D('',#523707,#431858,#431859); #349496=AXIS2_PLACEMENT_3D('',#523716,#431864,#431865); #349497=AXIS2_PLACEMENT_3D('',#523722,#431869,#431870); #349498=AXIS2_PLACEMENT_3D('',#523728,#431874,#431875); #349499=AXIS2_PLACEMENT_3D('',#523731,#431878,#431879); #349500=AXIS2_PLACEMENT_3D('',#523732,#431880,#431881); #349501=AXIS2_PLACEMENT_3D('',#523733,#431882,#431883); #349502=AXIS2_PLACEMENT_3D('',#523742,#431888,#431889); #349503=AXIS2_PLACEMENT_3D('',#523748,#431893,#431894); #349504=AXIS2_PLACEMENT_3D('',#523754,#431898,#431899); #349505=AXIS2_PLACEMENT_3D('',#523757,#431902,#431903); #349506=AXIS2_PLACEMENT_3D('',#523758,#431904,#431905); #349507=AXIS2_PLACEMENT_3D('',#523759,#431906,#431907); #349508=AXIS2_PLACEMENT_3D('',#523768,#431912,#431913); #349509=AXIS2_PLACEMENT_3D('',#523774,#431917,#431918); #349510=AXIS2_PLACEMENT_3D('',#523780,#431922,#431923); #349511=AXIS2_PLACEMENT_3D('',#523783,#431926,#431927); #349512=AXIS2_PLACEMENT_3D('',#523784,#431928,#431929); #349513=AXIS2_PLACEMENT_3D('',#523785,#431930,#431931); #349514=AXIS2_PLACEMENT_3D('',#523794,#431936,#431937); #349515=AXIS2_PLACEMENT_3D('',#523800,#431941,#431942); #349516=AXIS2_PLACEMENT_3D('',#523806,#431946,#431947); #349517=AXIS2_PLACEMENT_3D('',#523809,#431950,#431951); #349518=AXIS2_PLACEMENT_3D('',#523810,#431952,#431953); #349519=AXIS2_PLACEMENT_3D('',#523811,#431954,#431955); #349520=AXIS2_PLACEMENT_3D('',#523820,#431960,#431961); #349521=AXIS2_PLACEMENT_3D('',#523826,#431965,#431966); #349522=AXIS2_PLACEMENT_3D('',#523832,#431970,#431971); #349523=AXIS2_PLACEMENT_3D('',#523835,#431974,#431975); #349524=AXIS2_PLACEMENT_3D('',#523836,#431976,#431977); #349525=AXIS2_PLACEMENT_3D('',#523837,#431978,#431979); #349526=AXIS2_PLACEMENT_3D('',#523846,#431984,#431985); #349527=AXIS2_PLACEMENT_3D('',#523852,#431989,#431990); #349528=AXIS2_PLACEMENT_3D('',#523858,#431994,#431995); #349529=AXIS2_PLACEMENT_3D('',#523861,#431998,#431999); #349530=AXIS2_PLACEMENT_3D('',#523862,#432000,#432001); #349531=AXIS2_PLACEMENT_3D('',#523863,#432002,#432003); #349532=AXIS2_PLACEMENT_3D('',#523872,#432008,#432009); #349533=AXIS2_PLACEMENT_3D('',#523878,#432013,#432014); #349534=AXIS2_PLACEMENT_3D('',#523884,#432018,#432019); #349535=AXIS2_PLACEMENT_3D('',#523887,#432022,#432023); #349536=AXIS2_PLACEMENT_3D('',#523888,#432024,#432025); #349537=AXIS2_PLACEMENT_3D('',#523889,#432026,#432027); #349538=AXIS2_PLACEMENT_3D('',#523898,#432032,#432033); #349539=AXIS2_PLACEMENT_3D('',#523904,#432037,#432038); #349540=AXIS2_PLACEMENT_3D('',#523910,#432042,#432043); #349541=AXIS2_PLACEMENT_3D('',#523913,#432046,#432047); #349542=AXIS2_PLACEMENT_3D('',#523914,#432048,#432049); #349543=AXIS2_PLACEMENT_3D('',#523915,#432050,#432051); #349544=AXIS2_PLACEMENT_3D('',#523916,#432052,#432053); #349545=AXIS2_PLACEMENT_3D('',#523917,#432054,#432055); #349546=AXIS2_PLACEMENT_3D('',#523918,#432056,#432057); #349547=AXIS2_PLACEMENT_3D('',#523927,#432062,#432063); #349548=AXIS2_PLACEMENT_3D('',#523933,#432067,#432068); #349549=AXIS2_PLACEMENT_3D('',#523939,#432072,#432073); #349550=AXIS2_PLACEMENT_3D('',#523942,#432076,#432077); #349551=AXIS2_PLACEMENT_3D('',#523948,#432081,#432082); #349552=AXIS2_PLACEMENT_3D('',#523952,#432085,#432086); #349553=AXIS2_PLACEMENT_3D('',#523953,#432087,#432088); #349554=AXIS2_PLACEMENT_3D('',#523957,#432091,#432092); #349555=AXIS2_PLACEMENT_3D('',#523959,#432094,#432095); #349556=AXIS2_PLACEMENT_3D('',#523960,#432096,#432097); #349557=AXIS2_PLACEMENT_3D('',#523969,#432102,#432103); #349558=AXIS2_PLACEMENT_3D('',#523975,#432107,#432108); #349559=AXIS2_PLACEMENT_3D('',#523981,#432112,#432113); #349560=AXIS2_PLACEMENT_3D('',#523984,#432116,#432117); #349561=AXIS2_PLACEMENT_3D('',#523985,#432118,#432119); #349562=AXIS2_PLACEMENT_3D('',#523986,#432120,#432121); #349563=AXIS2_PLACEMENT_3D('',#523995,#432126,#432127); #349564=AXIS2_PLACEMENT_3D('',#524001,#432131,#432132); #349565=AXIS2_PLACEMENT_3D('',#524007,#432136,#432137); #349566=AXIS2_PLACEMENT_3D('',#524010,#432140,#432141); #349567=AXIS2_PLACEMENT_3D('',#524011,#432142,#432143); #349568=AXIS2_PLACEMENT_3D('',#524012,#432144,#432145); #349569=AXIS2_PLACEMENT_3D('',#524021,#432150,#432151); #349570=AXIS2_PLACEMENT_3D('',#524027,#432155,#432156); #349571=AXIS2_PLACEMENT_3D('',#524033,#432160,#432161); #349572=AXIS2_PLACEMENT_3D('',#524036,#432164,#432165); #349573=AXIS2_PLACEMENT_3D('',#524037,#432166,#432167); #349574=AXIS2_PLACEMENT_3D('',#524038,#432168,#432169); #349575=AXIS2_PLACEMENT_3D('',#524047,#432174,#432175); #349576=AXIS2_PLACEMENT_3D('',#524053,#432179,#432180); #349577=AXIS2_PLACEMENT_3D('',#524059,#432184,#432185); #349578=AXIS2_PLACEMENT_3D('',#524062,#432188,#432189); #349579=AXIS2_PLACEMENT_3D('',#524063,#432190,#432191); #349580=AXIS2_PLACEMENT_3D('',#524064,#432192,#432193); #349581=AXIS2_PLACEMENT_3D('',#524065,#432194,#432195); #349582=AXIS2_PLACEMENT_3D('',#524066,#432196,#432197); #349583=AXIS2_PLACEMENT_3D('',#524067,#432198,#432199); #349584=AXIS2_PLACEMENT_3D('',#524069,#432200,#432201); #349585=AXIS2_PLACEMENT_3D('',#524072,#432203,#432204); #349586=AXIS2_PLACEMENT_3D('',#524073,#432205,#432206); #349587=AXIS2_PLACEMENT_3D('',#524075,#432207,#432208); #349588=AXIS2_PLACEMENT_3D('',#524078,#432210,#432211); #349589=AXIS2_PLACEMENT_3D('',#524079,#432212,#432213); #349590=AXIS2_PLACEMENT_3D('',#524080,#432214,#432215); #349591=AXIS2_PLACEMENT_3D('',#524081,#432216,#432217); #349592=AXIS2_PLACEMENT_3D('',#524134,#432219,#432220); #349593=AXIS2_PLACEMENT_3D('',#524188,#432222,#432223); #349594=AXIS2_PLACEMENT_3D('',#524201,#432225,#432226); #349595=AXIS2_PLACEMENT_3D('',#524215,#432227,#432228); #349596=AXIS2_PLACEMENT_3D('',#524237,#432230,#432231); #349597=AXIS2_PLACEMENT_3D('',#524260,#432232,#432233); #349598=AXIS2_PLACEMENT_3D('',#524311,#432235,#432236); #349599=AXIS2_PLACEMENT_3D('',#525110,#432238,#432239); #349600=AXIS2_PLACEMENT_3D('',#525111,#432240,#432241); #349601=AXIS2_PLACEMENT_3D('',#525112,#432242,#432243); #349602=AXIS2_PLACEMENT_3D('',#525125,#432250,#432251); #349603=AXIS2_PLACEMENT_3D('',#525128,#432254,#432255); #349604=AXIS2_PLACEMENT_3D('',#525130,#432257,#432258); #349605=AXIS2_PLACEMENT_3D('',#525132,#432260,#432261); #349606=AXIS2_PLACEMENT_3D('',#525134,#432263,#432264); #349607=AXIS2_PLACEMENT_3D('',#525136,#432266,#432267); #349608=AXIS2_PLACEMENT_3D('',#525137,#432268,#432269); #349609=AXIS2_PLACEMENT_3D('',#525153,#432271,#432272); #349610=AXIS2_PLACEMENT_3D('',#525161,#432273,#432274); #349611=AXIS2_PLACEMENT_3D('',#525209,#432276,#432277); #349612=AXIS2_PLACEMENT_3D('',#525257,#432279,#432280); #349613=AXIS2_PLACEMENT_3D('',#525305,#432282,#432283); #349614=AXIS2_PLACEMENT_3D('',#525359,#432285,#432286); #349615=AXIS2_PLACEMENT_3D('',#525407,#432288,#432289); #349616=AXIS2_PLACEMENT_3D('',#525455,#432291,#432292); #349617=AXIS2_PLACEMENT_3D('',#525464,#432294,#432295); #349618=AXIS2_PLACEMENT_3D('',#525465,#432296,#432297); #349619=AXIS2_PLACEMENT_3D('',#525480,#432299,#432300); #349620=AXIS2_PLACEMENT_3D('',#525490,#432301,#432302); #349621=AXIS2_PLACEMENT_3D('',#525514,#432304,#432305); #349622=AXIS2_PLACEMENT_3D('',#525539,#432307,#432308); #349623=AXIS2_PLACEMENT_3D('',#525564,#432310,#432311); #349624=AXIS2_PLACEMENT_3D('',#525588,#432313,#432314); #349625=AXIS2_PLACEMENT_3D('',#525612,#432316,#432317); #349626=AXIS2_PLACEMENT_3D('',#525621,#432322,#432323); #349627=AXIS2_PLACEMENT_3D('',#525630,#432328,#432329); #349628=AXIS2_PLACEMENT_3D('',#525639,#432334,#432335); #349629=AXIS2_PLACEMENT_3D('',#525648,#432340,#432341); #349630=AXIS2_PLACEMENT_3D('',#525657,#432346,#432347); #349631=AXIS2_PLACEMENT_3D('',#525666,#432352,#432353); #349632=AXIS2_PLACEMENT_3D('',#525716,#432361,#432362); #349633=AXIS2_PLACEMENT_3D('',#525722,#432366,#432367); #349634=AXIS2_PLACEMENT_3D('',#525725,#432370,#432371); #349635=AXIS2_PLACEMENT_3D('',#525729,#432373,#432374); #349636=AXIS2_PLACEMENT_3D('',#525731,#432375,#432376); #349637=AXIS2_PLACEMENT_3D('',#525743,#432382,#432383); #349638=AXIS2_PLACEMENT_3D('',#525745,#432385,#432386); #349639=AXIS2_PLACEMENT_3D('',#525792,#432393,#432394); #349640=AXIS2_PLACEMENT_3D('',#525795,#432397,#432398); #349641=AXIS2_PLACEMENT_3D('',#525797,#432400,#432401); #349642=AXIS2_PLACEMENT_3D('',#525808,#432405,#432406); #349643=AXIS2_PLACEMENT_3D('',#525816,#432409,#432410); #349644=AXIS2_PLACEMENT_3D('',#525827,#432414,#432415); #349645=AXIS2_PLACEMENT_3D('',#525835,#432418,#432419); #349646=AXIS2_PLACEMENT_3D('',#525846,#432423,#432424); #349647=AXIS2_PLACEMENT_3D('',#525854,#432427,#432428); #349648=AXIS2_PLACEMENT_3D('',#525860,#432430,#432431); #349649=AXIS2_PLACEMENT_3D('',#525866,#432433,#432434); #349650=AXIS2_PLACEMENT_3D('',#525877,#432438,#432439); #349651=AXIS2_PLACEMENT_3D('',#525885,#432442,#432443); #349652=AXIS2_PLACEMENT_3D('',#525896,#432447,#432448); #349653=AXIS2_PLACEMENT_3D('',#525904,#432451,#432452); #349654=AXIS2_PLACEMENT_3D('',#525915,#432456,#432457); #349655=AXIS2_PLACEMENT_3D('',#525923,#432460,#432461); #349656=AXIS2_PLACEMENT_3D('',#525934,#432465,#432466); #349657=AXIS2_PLACEMENT_3D('',#525942,#432469,#432470); #349658=AXIS2_PLACEMENT_3D('',#525943,#432471,#432472); #349659=AXIS2_PLACEMENT_3D('',#525944,#432473,#432474); #349660=AXIS2_PLACEMENT_3D('',#525945,#432475,#432476); #349661=AXIS2_PLACEMENT_3D('',#525946,#432477,#432478); #349662=AXIS2_PLACEMENT_3D('',#525947,#432479,#432480); #349663=AXIS2_PLACEMENT_3D('',#525948,#432481,#432482); #349664=AXIS2_PLACEMENT_3D('',#525949,#432483,#432484); #349665=AXIS2_PLACEMENT_3D('',#525950,#432485,#432486); #349666=AXIS2_PLACEMENT_3D('',#525951,#432487,#432488); #349667=AXIS2_PLACEMENT_3D('',#525952,#432489,#432490); #349668=AXIS2_PLACEMENT_3D('',#525953,#432491,#432492); #349669=AXIS2_PLACEMENT_3D('',#525954,#432493,#432494); #349670=AXIS2_PLACEMENT_3D('',#525955,#432495,#432496); #349671=AXIS2_PLACEMENT_3D('',#525956,#432497,#432498); #349672=AXIS2_PLACEMENT_3D('',#525957,#432499,#432500); #349673=AXIS2_PLACEMENT_3D('',#525958,#432501,#432502); #349674=AXIS2_PLACEMENT_3D('',#525959,#432503,#432504); #349675=AXIS2_PLACEMENT_3D('',#525960,#432505,#432506); #349676=AXIS2_PLACEMENT_3D('',#525961,#432507,#432508); #349677=AXIS2_PLACEMENT_3D('',#525962,#432509,#432510); #349678=AXIS2_PLACEMENT_3D('',#525963,#432511,#432512); #349679=AXIS2_PLACEMENT_3D('',#525964,#432513,#432514); #349680=AXIS2_PLACEMENT_3D('',#525965,#432515,#432516); #349681=AXIS2_PLACEMENT_3D('',#525966,#432517,#432518); #349682=AXIS2_PLACEMENT_3D('',#525967,#432519,#432520); #349683=AXIS2_PLACEMENT_3D('',#525968,#432521,#432522); #349684=AXIS2_PLACEMENT_3D('',#525969,#432523,#432524); #349685=AXIS2_PLACEMENT_3D('',#525970,#432525,#432526); #349686=AXIS2_PLACEMENT_3D('',#525971,#432527,#432528); #349687=AXIS2_PLACEMENT_3D('',#525972,#432529,#432530); #349688=AXIS2_PLACEMENT_3D('',#525973,#432531,#432532); #349689=AXIS2_PLACEMENT_3D('',#525974,#432533,#432534); #349690=AXIS2_PLACEMENT_3D('',#525975,#432535,#432536); #349691=AXIS2_PLACEMENT_3D('',#525976,#432537,#432538); #349692=AXIS2_PLACEMENT_3D('',#525977,#432539,#432540); #349693=AXIS2_PLACEMENT_3D('',#525978,#432541,#432542); #349694=AXIS2_PLACEMENT_3D('',#525979,#432543,#432544); #349695=AXIS2_PLACEMENT_3D('',#525990,#432548,#432549); #349696=AXIS2_PLACEMENT_3D('',#525998,#432552,#432553); #349697=AXIS2_PLACEMENT_3D('',#526009,#432557,#432558); #349698=AXIS2_PLACEMENT_3D('',#526017,#432561,#432562); #349699=AXIS2_PLACEMENT_3D('',#526028,#432566,#432567); #349700=AXIS2_PLACEMENT_3D('',#526036,#432570,#432571); #349701=AXIS2_PLACEMENT_3D('',#526047,#432575,#432576); #349702=AXIS2_PLACEMENT_3D('',#526055,#432579,#432580); #349703=AXIS2_PLACEMENT_3D('',#526060,#432581,#432582); #349704=AXIS2_PLACEMENT_3D('',#526061,#432583,#432584); #349705=AXIS2_PLACEMENT_3D('',#526062,#432585,#432586); #349706=AXIS2_PLACEMENT_3D('',#526063,#432587,#432588); #349707=AXIS2_PLACEMENT_3D('',#526064,#432589,#432590); #349708=AXIS2_PLACEMENT_3D('',#526065,#432591,#432592); #349709=AXIS2_PLACEMENT_3D('',#526066,#432593,#432594); #349710=AXIS2_PLACEMENT_3D('',#526067,#432595,#432596); #349711=AXIS2_PLACEMENT_3D('',#526068,#432597,#432598); #349712=AXIS2_PLACEMENT_3D('',#526074,#432599,#432600); #349713=AXIS2_PLACEMENT_3D('',#526075,#432601,#432602); #349714=AXIS2_PLACEMENT_3D('',#526076,#432603,#432604); #349715=AXIS2_PLACEMENT_3D('',#526082,#432605,#432606); #349716=AXIS2_PLACEMENT_3D('',#526087,#432607,#432608); #349717=AXIS2_PLACEMENT_3D('',#526088,#432609,#432610); #349718=AXIS2_PLACEMENT_3D('',#526094,#432611,#432612); #349719=AXIS2_PLACEMENT_3D('',#526099,#432613,#432614); #349720=AXIS2_PLACEMENT_3D('',#526100,#432615,#432616); #349721=AXIS2_PLACEMENT_3D('',#526101,#432617,#432618); #349722=AXIS2_PLACEMENT_3D('',#526102,#432619,#432620); #349723=AXIS2_PLACEMENT_3D('',#526103,#432621,#432622); #349724=AXIS2_PLACEMENT_3D('',#526104,#432623,#432624); #349725=AXIS2_PLACEMENT_3D('',#526105,#432625,#432626); #349726=AXIS2_PLACEMENT_3D('',#526114,#432627,#432628); #349727=AXIS2_PLACEMENT_3D('',#526115,#432629,#432630); #349728=AXIS2_PLACEMENT_3D('',#526117,#432632,#432633); #349729=AXIS2_PLACEMENT_3D('',#526119,#432635,#432636); #349730=AXIS2_PLACEMENT_3D('',#526125,#432640,#432641); #349731=AXIS2_PLACEMENT_3D('',#526129,#432644,#432645); #349732=AXIS2_PLACEMENT_3D('',#526135,#432649,#432650); #349733=AXIS2_PLACEMENT_3D('',#526139,#432653,#432654); #349734=AXIS2_PLACEMENT_3D('',#526145,#432658,#432659); #349735=AXIS2_PLACEMENT_3D('',#526149,#432662,#432663); #349736=AXIS2_PLACEMENT_3D('',#526150,#432664,#432665); #349737=AXIS2_PLACEMENT_3D('',#526151,#432666,#432667); #349738=AXIS2_PLACEMENT_3D('',#526152,#432668,#432669); #349739=AXIS2_PLACEMENT_3D('',#526153,#432670,#432671); #349740=AXIS2_PLACEMENT_3D('',#526154,#432672,#432673); #349741=AXIS2_PLACEMENT_3D('',#526155,#432674,#432675); #349742=AXIS2_PLACEMENT_3D('',#526156,#432676,#432677); #349743=AXIS2_PLACEMENT_3D('',#526157,#432678,#432679); #349744=AXIS2_PLACEMENT_3D('',#526158,#432680,#432681); #349745=AXIS2_PLACEMENT_3D('',#526159,#432682,#432683); #349746=AXIS2_PLACEMENT_3D('',#526160,#432684,#432685); #349747=AXIS2_PLACEMENT_3D('',#526161,#432686,#432687); #349748=AXIS2_PLACEMENT_3D('',#526162,#432688,#432689); #349749=AXIS2_PLACEMENT_3D('',#526163,#432690,#432691); #349750=AXIS2_PLACEMENT_3D('',#526164,#432692,#432693); #349751=AXIS2_PLACEMENT_3D('',#526165,#432694,#432695); #349752=AXIS2_PLACEMENT_3D('',#526167,#432696,#432697); #349753=AXIS2_PLACEMENT_3D('',#526169,#432699,#432700); #349754=AXIS2_PLACEMENT_3D('',#526178,#432705,#432706); #349755=AXIS2_PLACEMENT_3D('',#526187,#432711,#432712); #349756=AXIS2_PLACEMENT_3D('',#526189,#432713,#432714); #349757=AXIS2_PLACEMENT_3D('',#526192,#432716,#432717); #349758=AXIS2_PLACEMENT_3D('',#526193,#432718,#432719); #349759=AXIS2_PLACEMENT_3D('',#526195,#432720,#432721); #349760=AXIS2_PLACEMENT_3D('',#526197,#432722,#432723); #349761=AXIS2_PLACEMENT_3D('',#526199,#432725,#432726); #349762=AXIS2_PLACEMENT_3D('',#526203,#432728,#432729); #349763=AXIS2_PLACEMENT_3D('',#526209,#432732,#432733); #349764=AXIS2_PLACEMENT_3D('',#526213,#432735,#432736); #349765=AXIS2_PLACEMENT_3D('',#526219,#432739,#432740); #349766=AXIS2_PLACEMENT_3D('',#526221,#432742,#432743); #349767=AXIS2_PLACEMENT_3D('',#526226,#432747,#432748); #349768=AXIS2_PLACEMENT_3D('',#526232,#432752,#432753); #349769=AXIS2_PLACEMENT_3D('',#526238,#432757,#432758); #349770=AXIS2_PLACEMENT_3D('',#526242,#432761,#432762); #349771=AXIS2_PLACEMENT_3D('',#526244,#432763,#432764); #349772=AXIS2_PLACEMENT_3D('',#526246,#432766,#432767); #349773=AXIS2_PLACEMENT_3D('',#526250,#432770,#432771); #349774=AXIS2_PLACEMENT_3D('',#526251,#432772,#432773); #349775=AXIS2_PLACEMENT_3D('',#526252,#432774,#432775); #349776=AXIS2_PLACEMENT_3D('',#526254,#432776,#432777); #349777=AXIS2_PLACEMENT_3D('',#526256,#432779,#432780); #349778=AXIS2_PLACEMENT_3D('',#526260,#432783,#432784); #349779=AXIS2_PLACEMENT_3D('',#526262,#432786,#432787); #349780=AXIS2_PLACEMENT_3D('',#526263,#432788,#432789); #349781=AXIS2_PLACEMENT_3D('',#526264,#432790,#432791); #349782=AXIS2_PLACEMENT_3D('',#526266,#432793,#432794); #349783=AXIS2_PLACEMENT_3D('',#526273,#432797,#432798); #349784=AXIS2_PLACEMENT_3D('',#526279,#432801,#432802); #349785=AXIS2_PLACEMENT_3D('',#526283,#432804,#432805); #349786=AXIS2_PLACEMENT_3D('',#526289,#432808,#432809); #349787=AXIS2_PLACEMENT_3D('',#526291,#432811,#432812); #349788=AXIS2_PLACEMENT_3D('',#526297,#432816,#432817); #349789=AXIS2_PLACEMENT_3D('',#526303,#432820,#432821); #349790=AXIS2_PLACEMENT_3D('',#526309,#432824,#432825); #349791=AXIS2_PLACEMENT_3D('',#526313,#432827,#432828); #349792=AXIS2_PLACEMENT_3D('',#526318,#432831,#432832); #349793=AXIS2_PLACEMENT_3D('',#526319,#432833,#432834); #349794=AXIS2_PLACEMENT_3D('',#526322,#432837,#432838); #349795=AXIS2_PLACEMENT_3D('',#526324,#432840,#432841); #349796=AXIS2_PLACEMENT_3D('',#526326,#432843,#432844); #349797=AXIS2_PLACEMENT_3D('',#526329,#432847,#432848); #349798=AXIS2_PLACEMENT_3D('',#526331,#432850,#432851); #349799=AXIS2_PLACEMENT_3D('',#526333,#432853,#432854); #349800=AXIS2_PLACEMENT_3D('',#526335,#432856,#432857); #349801=AXIS2_PLACEMENT_3D('',#526336,#432858,#432859); #349802=AXIS2_PLACEMENT_3D('',#526337,#432860,#432861); #349803=AXIS2_PLACEMENT_3D('',#526339,#432863,#432864); #349804=AXIS2_PLACEMENT_3D('',#526348,#432869,#432870); #349805=AXIS2_PLACEMENT_3D('',#526350,#432871,#432872); #349806=AXIS2_PLACEMENT_3D('',#526352,#432873,#432874); #349807=AXIS2_PLACEMENT_3D('',#526354,#432876,#432877); #349808=AXIS2_PLACEMENT_3D('',#526359,#432879,#432880); #349809=AXIS2_PLACEMENT_3D('',#526362,#432882,#432883); #349810=AXIS2_PLACEMENT_3D('',#526363,#432884,#432885); #349811=AXIS2_PLACEMENT_3D('',#526369,#432889,#432890); #349812=AXIS2_PLACEMENT_3D('',#526373,#432892,#432893); #349813=AXIS2_PLACEMENT_3D('',#526379,#432896,#432897); #349814=AXIS2_PLACEMENT_3D('',#526383,#432899,#432900); #349815=AXIS2_PLACEMENT_3D('',#526389,#432903,#432904); #349816=AXIS2_PLACEMENT_3D('',#526391,#432906,#432907); #349817=AXIS2_PLACEMENT_3D('',#526396,#432911,#432912); #349818=AXIS2_PLACEMENT_3D('',#526399,#432913,#432914); #349819=AXIS2_PLACEMENT_3D('',#526402,#432917,#432918); #349820=AXIS2_PLACEMENT_3D('',#526406,#432920,#432921); #349821=AXIS2_PLACEMENT_3D('',#526413,#432925,#432926); #349822=AXIS2_PLACEMENT_3D('',#526418,#432929,#432930); #349823=AXIS2_PLACEMENT_3D('',#526419,#432931,#432932); #349824=AXIS2_PLACEMENT_3D('',#526421,#432934,#432935); #349825=AXIS2_PLACEMENT_3D('',#526423,#432937,#432938); #349826=AXIS2_PLACEMENT_3D('',#526425,#432940,#432941); #349827=AXIS2_PLACEMENT_3D('',#526427,#432943,#432944); #349828=AXIS2_PLACEMENT_3D('',#526430,#432947,#432948); #349829=AXIS2_PLACEMENT_3D('',#526432,#432950,#432951); #349830=AXIS2_PLACEMENT_3D('',#526434,#432953,#432954); #349831=AXIS2_PLACEMENT_3D('',#526435,#432955,#432956); #349832=AXIS2_PLACEMENT_3D('',#526436,#432957,#432958); #349833=AXIS2_PLACEMENT_3D('',#526445,#432963,#432964); #349834=AXIS2_PLACEMENT_3D('',#526454,#432969,#432970); #349835=AXIS2_PLACEMENT_3D('',#526456,#432971,#432972); #349836=AXIS2_PLACEMENT_3D('',#526459,#432974,#432975); #349837=AXIS2_PLACEMENT_3D('',#526460,#432976,#432977); #349838=AXIS2_PLACEMENT_3D('',#526462,#432978,#432979); #349839=AXIS2_PLACEMENT_3D('',#526464,#432980,#432981); #349840=AXIS2_PLACEMENT_3D('',#526466,#432983,#432984); #349841=AXIS2_PLACEMENT_3D('',#526470,#432986,#432987); #349842=AXIS2_PLACEMENT_3D('',#526476,#432990,#432991); #349843=AXIS2_PLACEMENT_3D('',#526480,#432993,#432994); #349844=AXIS2_PLACEMENT_3D('',#526486,#432997,#432998); #349845=AXIS2_PLACEMENT_3D('',#526488,#433000,#433001); #349846=AXIS2_PLACEMENT_3D('',#526493,#433005,#433006); #349847=AXIS2_PLACEMENT_3D('',#526499,#433010,#433011); #349848=AXIS2_PLACEMENT_3D('',#526505,#433015,#433016); #349849=AXIS2_PLACEMENT_3D('',#526509,#433019,#433020); #349850=AXIS2_PLACEMENT_3D('',#526511,#433021,#433022); #349851=AXIS2_PLACEMENT_3D('',#526513,#433024,#433025); #349852=AXIS2_PLACEMENT_3D('',#526517,#433028,#433029); #349853=AXIS2_PLACEMENT_3D('',#526518,#433030,#433031); #349854=AXIS2_PLACEMENT_3D('',#526519,#433032,#433033); #349855=AXIS2_PLACEMENT_3D('',#526521,#433034,#433035); #349856=AXIS2_PLACEMENT_3D('',#526523,#433037,#433038); #349857=AXIS2_PLACEMENT_3D('',#526527,#433041,#433042); #349858=AXIS2_PLACEMENT_3D('',#526529,#433044,#433045); #349859=AXIS2_PLACEMENT_3D('',#526530,#433046,#433047); #349860=AXIS2_PLACEMENT_3D('',#526531,#433048,#433049); #349861=AXIS2_PLACEMENT_3D('',#526533,#433051,#433052); #349862=AXIS2_PLACEMENT_3D('',#526540,#433055,#433056); #349863=AXIS2_PLACEMENT_3D('',#526546,#433059,#433060); #349864=AXIS2_PLACEMENT_3D('',#526550,#433062,#433063); #349865=AXIS2_PLACEMENT_3D('',#526556,#433066,#433067); #349866=AXIS2_PLACEMENT_3D('',#526558,#433069,#433070); #349867=AXIS2_PLACEMENT_3D('',#526564,#433074,#433075); #349868=AXIS2_PLACEMENT_3D('',#526570,#433078,#433079); #349869=AXIS2_PLACEMENT_3D('',#526576,#433082,#433083); #349870=AXIS2_PLACEMENT_3D('',#526580,#433085,#433086); #349871=AXIS2_PLACEMENT_3D('',#526585,#433089,#433090); #349872=AXIS2_PLACEMENT_3D('',#526586,#433091,#433092); #349873=AXIS2_PLACEMENT_3D('',#526589,#433095,#433096); #349874=AXIS2_PLACEMENT_3D('',#526592,#433099,#433100); #349875=AXIS2_PLACEMENT_3D('',#526595,#433103,#433104); #349876=AXIS2_PLACEMENT_3D('',#526597,#433106,#433107); #349877=AXIS2_PLACEMENT_3D('',#526598,#433108,#433109); #349878=AXIS2_PLACEMENT_3D('',#526600,#433111,#433112); #349879=AXIS2_PLACEMENT_3D('',#526601,#433113,#433114); #349880=AXIS2_PLACEMENT_3D('',#526603,#433116,#433117); #349881=AXIS2_PLACEMENT_3D('',#526605,#433119,#433120); #349882=AXIS2_PLACEMENT_3D('',#526606,#433121,#433122); #349883=AXIS2_PLACEMENT_3D('',#526621,#433124,#433125); #349884=AXIS2_PLACEMENT_3D('',#526625,#433127,#433128); #349885=AXIS2_PLACEMENT_3D('',#526629,#433131,#433132); #349886=AXIS2_PLACEMENT_3D('',#526644,#433141,#433142); #349887=AXIS2_PLACEMENT_3D('',#526652,#433146,#433147); #349888=AXIS2_PLACEMENT_3D('',#526666,#433149,#433150); #349889=AXIS2_PLACEMENT_3D('',#526667,#433151,#433152); #349890=AXIS2_PLACEMENT_3D('',#526672,#433154,#433155); #349891=AXIS2_PLACEMENT_3D('',#526676,#433157,#433158); #349892=AXIS2_PLACEMENT_3D('',#526679,#433161,#433162); #349893=AXIS2_PLACEMENT_3D('',#526681,#433163,#433164); #349894=AXIS2_PLACEMENT_3D('',#526685,#433166,#433167); #349895=AXIS2_PLACEMENT_3D('',#526689,#433169,#433170); #349896=AXIS2_PLACEMENT_3D('',#526692,#433172,#433173); #349897=AXIS2_PLACEMENT_3D('',#527107,#433183,#433184); #349898=AXIS2_PLACEMENT_3D('',#527109,#433185,#433186); #349899=AXIS2_PLACEMENT_3D('',#527502,#433187,#433188); #349900=AXIS2_PLACEMENT_3D('',#527503,#433189,#433190); #349901=AXIS2_PLACEMENT_3D('',#527504,#433191,#433192); #349902=AXIS2_PLACEMENT_3D('',#527517,#433199,#433200); #349903=AXIS2_PLACEMENT_3D('',#527523,#433204,#433205); #349904=AXIS2_PLACEMENT_3D('',#527529,#433209,#433210); #349905=AXIS2_PLACEMENT_3D('',#527533,#433213,#433214); #349906=AXIS2_PLACEMENT_3D('',#527538,#433218,#433219); #349907=AXIS2_PLACEMENT_3D('',#527539,#433220,#433221); #349908=AXIS2_PLACEMENT_3D('',#527557,#433231,#433232); #349909=AXIS2_PLACEMENT_3D('',#527558,#433233,#433234); #349910=AXIS2_PLACEMENT_3D('',#527567,#433239,#433240); #349911=AXIS2_PLACEMENT_3D('',#527573,#433244,#433245); #349912=AXIS2_PLACEMENT_3D('',#527582,#433250,#433251); #349913=AXIS2_PLACEMENT_3D('',#527591,#433256,#433257); #349914=AXIS2_PLACEMENT_3D('',#527597,#433261,#433262); #349915=AXIS2_PLACEMENT_3D('',#527606,#433267,#433268); #349916=AXIS2_PLACEMENT_3D('',#527608,#433269,#433270); #349917=AXIS2_PLACEMENT_3D('',#527611,#433272,#433273); #349918=AXIS2_PLACEMENT_3D('',#527612,#433274,#433275); #349919=AXIS2_PLACEMENT_3D('',#527618,#433279,#433280); #349920=AXIS2_PLACEMENT_3D('',#527620,#433281,#433282); #349921=AXIS2_PLACEMENT_3D('',#527626,#433285,#433286); #349922=AXIS2_PLACEMENT_3D('',#527630,#433289,#433290); #349923=AXIS2_PLACEMENT_3D('',#527636,#433294,#433295); #349924=AXIS2_PLACEMENT_3D('',#527640,#433298,#433299); #349925=AXIS2_PLACEMENT_3D('',#527644,#433302,#433303); #349926=AXIS2_PLACEMENT_3D('',#527645,#433304,#433305); #349927=AXIS2_PLACEMENT_3D('',#527646,#433306,#433307); #349928=AXIS2_PLACEMENT_3D('',#527655,#433312,#433313); #349929=AXIS2_PLACEMENT_3D('',#527657,#433314,#433315); #349930=AXIS2_PLACEMENT_3D('',#527660,#433317,#433318); #349931=AXIS2_PLACEMENT_3D('',#527661,#433319,#433320); #349932=AXIS2_PLACEMENT_3D('',#527667,#433324,#433325); #349933=AXIS2_PLACEMENT_3D('',#527676,#433330,#433331); #349934=AXIS2_PLACEMENT_3D('',#527679,#433334,#433335); #349935=AXIS2_PLACEMENT_3D('',#527688,#433340,#433341); #349936=AXIS2_PLACEMENT_3D('',#527689,#433342,#433343); #349937=AXIS2_PLACEMENT_3D('',#527690,#433344,#433345); #349938=AXIS2_PLACEMENT_3D('',#527691,#433346,#433347); #349939=AXIS2_PLACEMENT_3D('',#527695,#433351,#433352); #349940=AXIS2_PLACEMENT_3D('',#527698,#433353,#433354); #349941=AXIS2_PLACEMENT_3D('',#527702,#433356,#433357); #349942=AXIS2_PLACEMENT_3D('',#527704,#433359,#433360); #349943=AXIS2_PLACEMENT_3D('',#527710,#433363,#433364); #349944=AXIS2_PLACEMENT_3D('',#527714,#433366,#433367); #349945=AXIS2_PLACEMENT_3D('',#527727,#433375,#433376); #349946=AXIS2_PLACEMENT_3D('',#527733,#433380,#433381); #349947=AXIS2_PLACEMENT_3D('',#527738,#433385,#433386); #349948=AXIS2_PLACEMENT_3D('',#527741,#433387,#433388); #349949=AXIS2_PLACEMENT_3D('',#527745,#433390,#433391); #349950=AXIS2_PLACEMENT_3D('',#527752,#433396,#433397); #349951=AXIS2_PLACEMENT_3D('',#527755,#433400,#433401); #349952=AXIS2_PLACEMENT_3D('',#527758,#433402,#433403); #349953=AXIS2_PLACEMENT_3D('',#527768,#433409,#433410); #349954=AXIS2_PLACEMENT_3D('',#527770,#433412,#433413); #349955=AXIS2_PLACEMENT_3D('',#527772,#433415,#433416); #349956=AXIS2_PLACEMENT_3D('',#527781,#433421,#433422); #349957=AXIS2_PLACEMENT_3D('',#527783,#433423,#433424); #349958=AXIS2_PLACEMENT_3D('',#527785,#433425,#433426); #349959=AXIS2_PLACEMENT_3D('',#527787,#433428,#433429); #349960=AXIS2_PLACEMENT_3D('',#527793,#433433,#433434); #349961=AXIS2_PLACEMENT_3D('',#527795,#433435,#433436); #349962=AXIS2_PLACEMENT_3D('',#527797,#433437,#433438); #349963=AXIS2_PLACEMENT_3D('',#527799,#433440,#433441); #349964=AXIS2_PLACEMENT_3D('',#527805,#433445,#433446); #349965=AXIS2_PLACEMENT_3D('',#527810,#433450,#433451); #349966=AXIS2_PLACEMENT_3D('',#527814,#433454,#433455); #349967=AXIS2_PLACEMENT_3D('',#527820,#433459,#433460); #349968=AXIS2_PLACEMENT_3D('',#527824,#433463,#433464); #349969=AXIS2_PLACEMENT_3D('',#527828,#433467,#433468); #349970=AXIS2_PLACEMENT_3D('',#527830,#433469,#433470); #349971=AXIS2_PLACEMENT_3D('',#527832,#433472,#433473); #349972=AXIS2_PLACEMENT_3D('',#527836,#433476,#433477); #349973=AXIS2_PLACEMENT_3D('',#527837,#433478,#433479); #349974=AXIS2_PLACEMENT_3D('',#527838,#433480,#433481); #349975=AXIS2_PLACEMENT_3D('',#527842,#433484,#433485); #349976=AXIS2_PLACEMENT_3D('',#527844,#433487,#433488); #349977=AXIS2_PLACEMENT_3D('',#527847,#433489,#433490); #349978=AXIS2_PLACEMENT_3D('',#527850,#433493,#433494); #349979=AXIS2_PLACEMENT_3D('',#527853,#433497,#433498); #349980=AXIS2_PLACEMENT_3D('',#527864,#433505,#433506); #349981=AXIS2_PLACEMENT_3D('',#527865,#433507,#433508); #349982=AXIS2_PLACEMENT_3D('',#527866,#433509,#433510); #349983=AXIS2_PLACEMENT_3D('',#527867,#433511,#433512); #349984=AXIS2_PLACEMENT_3D('',#527868,#433513,#433514); #349985=AXIS2_PLACEMENT_3D('',#527870,#433515,#433516); #349986=AXIS2_PLACEMENT_3D('',#527872,#433518,#433519); #349987=AXIS2_PLACEMENT_3D('',#527874,#433520,#433521); #349988=AXIS2_PLACEMENT_3D('',#527876,#433523,#433524); #349989=AXIS2_PLACEMENT_3D('',#527878,#433526,#433527); #349990=AXIS2_PLACEMENT_3D('',#527880,#433529,#433530); #349991=AXIS2_PLACEMENT_3D('',#527882,#433532,#433533); #349992=AXIS2_PLACEMENT_3D('',#527884,#433535,#433536); #349993=AXIS2_PLACEMENT_3D('',#527891,#433541,#433542); #349994=AXIS2_PLACEMENT_3D('',#527892,#433543,#433544); #349995=AXIS2_PLACEMENT_3D('',#527893,#433545,#433546); #349996=AXIS2_PLACEMENT_3D('',#527894,#433547,#433548); #349997=AXIS2_PLACEMENT_3D('',#527898,#433551,#433552); #349998=AXIS2_PLACEMENT_3D('',#527899,#433553,#433554); #349999=AXIS2_PLACEMENT_3D('',#527900,#433555,#433556); #350000=AXIS2_PLACEMENT_3D('',#527901,#433557,#433558); #350001=AXIS2_PLACEMENT_3D('',#527909,#433562,#433563); #350002=AXIS2_PLACEMENT_3D('',#527912,#433565,#433566); #350003=AXIS2_PLACEMENT_3D('',#527913,#433567,#433568); #350004=AXIS2_PLACEMENT_3D('',#527914,#433569,#433570); #350005=AXIS2_PLACEMENT_3D('',#527917,#433573,#433574); #350006=AXIS2_PLACEMENT_3D('',#527924,#433579,#433580); #350007=AXIS2_PLACEMENT_3D('',#527925,#433581,#433582); #350008=AXIS2_PLACEMENT_3D('',#527926,#433583,#433584); #350009=AXIS2_PLACEMENT_3D('',#527928,#433585,#433586); #350010=AXIS2_PLACEMENT_3D('',#527947,#433596,#433597); #350011=AXIS2_PLACEMENT_3D('',#527951,#433599,#433600); #350012=AXIS2_PLACEMENT_3D('',#527966,#433602,#433603); #350013=AXIS2_PLACEMENT_3D('',#527974,#433607,#433608); #350014=AXIS2_PLACEMENT_3D('',#527978,#433610,#433611); #350015=AXIS2_PLACEMENT_3D('',#528004,#433620,#433621); #350016=AXIS2_PLACEMENT_3D('',#528008,#433623,#433624); #350017=AXIS2_PLACEMENT_3D('',#528012,#433626,#433627); #350018=AXIS2_PLACEMENT_3D('',#528020,#433631,#433632); #350019=AXIS2_PLACEMENT_3D('',#528024,#433634,#433635); #350020=AXIS2_PLACEMENT_3D('',#528026,#433637,#433638); #350021=AXIS2_PLACEMENT_3D('',#528028,#433640,#433641); #350022=AXIS2_PLACEMENT_3D('',#528030,#433643,#433644); #350023=AXIS2_PLACEMENT_3D('',#528031,#433645,#433646); #350024=AXIS2_PLACEMENT_3D('',#528032,#433647,#433648); #350025=AXIS2_PLACEMENT_3D('',#528034,#433650,#433651); #350026=AXIS2_PLACEMENT_3D('',#528036,#433653,#433654); #350027=AXIS2_PLACEMENT_3D('',#528038,#433656,#433657); #350028=AXIS2_PLACEMENT_3D('',#528040,#433659,#433660); #350029=AXIS2_PLACEMENT_3D('',#528042,#433662,#433663); #350030=AXIS2_PLACEMENT_3D('',#528044,#433665,#433666); #350031=AXIS2_PLACEMENT_3D('',#528046,#433668,#433669); #350032=AXIS2_PLACEMENT_3D('',#528048,#433671,#433672); #350033=AXIS2_PLACEMENT_3D('',#528050,#433674,#433675); #350034=AXIS2_PLACEMENT_3D('',#528059,#433680,#433681); #350035=AXIS2_PLACEMENT_3D('',#528068,#433686,#433687); #350036=AXIS2_PLACEMENT_3D('',#528069,#433688,#433689); #350037=AXIS2_PLACEMENT_3D('',#528070,#433690,#433691); #350038=AXIS2_PLACEMENT_3D('',#528072,#433693,#433694); #350039=AXIS2_PLACEMENT_3D('',#528073,#433695,#433696); #350040=AXIS2_PLACEMENT_3D('',#528075,#433698,#433699); #350041=AXIS2_PLACEMENT_3D('',#528076,#433700,#433701); #350042=AXIS2_PLACEMENT_3D('',#528078,#433703,#433704); #350043=AXIS2_PLACEMENT_3D('',#528079,#433705,#433706); #350044=AXIS2_PLACEMENT_3D('',#528081,#433708,#433709); #350045=AXIS2_PLACEMENT_3D('',#528083,#433710,#433711); #350046=AXIS2_PLACEMENT_3D('',#528086,#433713,#433714); #350047=AXIS2_PLACEMENT_3D('',#528087,#433715,#433716); #350048=AXIS2_PLACEMENT_3D('',#528089,#433717,#433718); #350049=AXIS2_PLACEMENT_3D('',#528091,#433720,#433721); #350050=AXIS2_PLACEMENT_3D('',#528093,#433722,#433723); #350051=AXIS2_PLACEMENT_3D('',#528095,#433725,#433726); #350052=AXIS2_PLACEMENT_3D('',#528097,#433728,#433729); #350053=AXIS2_PLACEMENT_3D('',#528098,#433730,#433731); #350054=AXIS2_PLACEMENT_3D('',#528100,#433733,#433734); #350055=AXIS2_PLACEMENT_3D('',#528103,#433737,#433738); #350056=AXIS2_PLACEMENT_3D('',#528112,#433743,#433744); #350057=AXIS2_PLACEMENT_3D('',#528121,#433749,#433750); #350058=AXIS2_PLACEMENT_3D('',#528122,#433751,#433752); #350059=AXIS2_PLACEMENT_3D('',#528123,#433753,#433754); #350060=AXIS2_PLACEMENT_3D('',#528125,#433756,#433757); #350061=AXIS2_PLACEMENT_3D('',#528126,#433758,#433759); #350062=AXIS2_PLACEMENT_3D('',#528128,#433761,#433762); #350063=AXIS2_PLACEMENT_3D('',#528129,#433763,#433764); #350064=AXIS2_PLACEMENT_3D('',#528130,#433765,#433766); #350065=AXIS2_PLACEMENT_3D('',#528132,#433768,#433769); #350066=AXIS2_PLACEMENT_3D('',#528133,#433770,#433771); #350067=AXIS2_PLACEMENT_3D('',#528135,#433772,#433773); #350068=AXIS2_PLACEMENT_3D('',#528138,#433775,#433776); #350069=AXIS2_PLACEMENT_3D('',#528139,#433777,#433778); #350070=AXIS2_PLACEMENT_3D('',#528141,#433779,#433780); #350071=AXIS2_PLACEMENT_3D('',#528143,#433782,#433783); #350072=AXIS2_PLACEMENT_3D('',#528145,#433784,#433785); #350073=AXIS2_PLACEMENT_3D('',#528147,#433787,#433788); #350074=AXIS2_PLACEMENT_3D('',#528149,#433790,#433791); #350075=AXIS2_PLACEMENT_3D('',#528150,#433792,#433793); #350076=AXIS2_PLACEMENT_3D('',#528151,#433794,#433795); #350077=AXIS2_PLACEMENT_3D('',#528152,#433796,#433797); #350078=AXIS2_PLACEMENT_3D('',#528154,#433799,#433800); #350079=AXIS2_PLACEMENT_3D('',#528155,#433801,#433802); #350080=AXIS2_PLACEMENT_3D('',#528157,#433804,#433805); #350081=AXIS2_PLACEMENT_3D('',#528160,#433808,#433809); #350082=AXIS2_PLACEMENT_3D('',#528162,#433810,#433811); #350083=AXIS2_PLACEMENT_3D('',#528169,#433815,#433816); #350084=AXIS2_PLACEMENT_3D('',#528170,#433817,#433818); #350085=AXIS2_PLACEMENT_3D('',#528172,#433819,#433820); #350086=AXIS2_PLACEMENT_3D('',#528175,#433822,#433823); #350087=AXIS2_PLACEMENT_3D('',#528176,#433824,#433825); #350088=AXIS2_PLACEMENT_3D('',#528182,#433829,#433830); #350089=AXIS2_PLACEMENT_3D('',#528188,#433833,#433834); #350090=AXIS2_PLACEMENT_3D('',#528192,#433837,#433838); #350091=AXIS2_PLACEMENT_3D('',#528195,#433841,#433842); #350092=AXIS2_PLACEMENT_3D('',#528197,#433843,#433844); #350093=AXIS2_PLACEMENT_3D('',#528201,#433847,#433848); #350094=AXIS2_PLACEMENT_3D('',#528202,#433849,#433850); #350095=AXIS2_PLACEMENT_3D('',#528204,#433851,#433852); #350096=AXIS2_PLACEMENT_3D('',#528206,#433854,#433855); #350097=AXIS2_PLACEMENT_3D('',#528211,#433859,#433860); #350098=AXIS2_PLACEMENT_3D('',#528214,#433861,#433862); #350099=AXIS2_PLACEMENT_3D('',#528218,#433864,#433865); #350100=AXIS2_PLACEMENT_3D('',#528220,#433867,#433868); #350101=AXIS2_PLACEMENT_3D('',#528228,#433872,#433873); #350102=AXIS2_PLACEMENT_3D('',#528234,#433877,#433878); #350103=AXIS2_PLACEMENT_3D('',#528238,#433880,#433881); #350104=AXIS2_PLACEMENT_3D('',#528241,#433884,#433885); #350105=AXIS2_PLACEMENT_3D('',#528242,#433886,#433887); #350106=AXIS2_PLACEMENT_3D('',#528244,#433889,#433890); #350107=AXIS2_PLACEMENT_3D('',#528247,#433891,#433892); #350108=AXIS2_PLACEMENT_3D('',#528250,#433895,#433896); #350109=AXIS2_PLACEMENT_3D('',#528259,#433902,#433903); #350110=AXIS2_PLACEMENT_3D('',#528260,#433904,#433905); #350111=AXIS2_PLACEMENT_3D('',#528264,#433908,#433909); #350112=AXIS2_PLACEMENT_3D('',#528265,#433910,#433911); #350113=AXIS2_PLACEMENT_3D('',#528267,#433913,#433914); #350114=AXIS2_PLACEMENT_3D('',#528268,#433915,#433916); #350115=AXIS2_PLACEMENT_3D('',#528270,#433918,#433919); #350116=AXIS2_PLACEMENT_3D('',#528271,#433920,#433921); #350117=AXIS2_PLACEMENT_3D('',#528273,#433923,#433924); #350118=AXIS2_PLACEMENT_3D('',#528275,#433926,#433927); #350119=AXIS2_PLACEMENT_3D('',#528277,#433929,#433930); #350120=AXIS2_PLACEMENT_3D('',#528278,#433931,#433932); #350121=AXIS2_PLACEMENT_3D('',#528280,#433934,#433935); #350122=AXIS2_PLACEMENT_3D('',#528281,#433936,#433937); #350123=AXIS2_PLACEMENT_3D('',#528283,#433939,#433940); #350124=AXIS2_PLACEMENT_3D('',#528285,#433942,#433943); #350125=AXIS2_PLACEMENT_3D('',#528287,#433945,#433946); #350126=AXIS2_PLACEMENT_3D('',#528289,#433948,#433949); #350127=AXIS2_PLACEMENT_3D('',#528304,#433952,#433953); #350128=AXIS2_PLACEMENT_3D('',#528318,#433955,#433956); #350129=AXIS2_PLACEMENT_3D('',#528319,#433957,#433958); #350130=AXIS2_PLACEMENT_3D('',#528320,#433959,#433960); #350131=AXIS2_PLACEMENT_3D('',#528321,#433961,#433962); #350132=AXIS2_PLACEMENT_3D('',#528324,#433963,#433964); #350133=AXIS2_PLACEMENT_3D('',#528328,#433966,#433967); #350134=AXIS2_PLACEMENT_3D('',#528330,#433969,#433970); #350135=AXIS2_PLACEMENT_3D('',#528333,#433971,#433972); #350136=AXIS2_PLACEMENT_3D('',#528337,#433974,#433975); #350137=AXIS2_PLACEMENT_3D('',#528339,#433977,#433978); #350138=AXIS2_PLACEMENT_3D('',#528344,#433980,#433981); #350139=AXIS2_PLACEMENT_3D('',#528347,#433983,#433984); #350140=AXIS2_PLACEMENT_3D('',#528348,#433985,#433986); #350141=AXIS2_PLACEMENT_3D('',#528353,#433988,#433989); #350142=AXIS2_PLACEMENT_3D('',#528356,#433991,#433992); #350143=AXIS2_PLACEMENT_3D('',#528357,#433993,#433994); #350144=AXIS2_PLACEMENT_3D('',#528361,#433996,#433997); #350145=AXIS2_PLACEMENT_3D('',#528362,#433998,#433999); #350146=AXIS2_PLACEMENT_3D('',#528363,#434000,#434001); #350147=AXIS2_PLACEMENT_3D('',#528365,#434002,#434003); #350148=AXIS2_PLACEMENT_3D('',#528368,#434005,#434006); #350149=AXIS2_PLACEMENT_3D('',#528369,#434007,#434008); #350150=AXIS2_PLACEMENT_3D('',#528374,#434013,#434014); #350151=AXIS2_PLACEMENT_3D('',#528376,#434016,#434017); #350152=AXIS2_PLACEMENT_3D('',#528382,#434021,#434022); #350153=AXIS2_PLACEMENT_3D('',#528384,#434023,#434024); #350154=AXIS2_PLACEMENT_3D('',#528387,#434026,#434027); #350155=AXIS2_PLACEMENT_3D('',#528388,#434028,#434029); #350156=AXIS2_PLACEMENT_3D('',#528391,#434032,#434033); #350157=AXIS2_PLACEMENT_3D('',#528393,#434035,#434036); #350158=AXIS2_PLACEMENT_3D('',#528395,#434038,#434039); #350159=AXIS2_PLACEMENT_3D('',#528397,#434041,#434042); #350160=AXIS2_PLACEMENT_3D('',#528399,#434044,#434045); #350161=AXIS2_PLACEMENT_3D('',#528401,#434047,#434048); #350162=AXIS2_PLACEMENT_3D('',#528407,#434052,#434053); #350163=AXIS2_PLACEMENT_3D('',#528411,#434055,#434056); #350164=AXIS2_PLACEMENT_3D('',#528412,#434057,#434058); #350165=AXIS2_PLACEMENT_3D('',#528413,#434059,#434060); #350166=AXIS2_PLACEMENT_3D('',#528416,#434063,#434064); #350167=AXIS2_PLACEMENT_3D('',#528418,#434066,#434067); #350168=AXIS2_PLACEMENT_3D('',#528420,#434069,#434070); #350169=AXIS2_PLACEMENT_3D('',#528422,#434072,#434073); #350170=AXIS2_PLACEMENT_3D('',#528423,#434074,#434075); #350171=AXIS2_PLACEMENT_3D('',#528425,#434077,#434078); #350172=AXIS2_PLACEMENT_3D('',#528427,#434080,#434081); #350173=AXIS2_PLACEMENT_3D('',#528429,#434083,#434084); #350174=AXIS2_PLACEMENT_3D('',#528430,#434085,#434086); #350175=AXIS2_PLACEMENT_3D('',#528433,#434087,#434088); #350176=AXIS2_PLACEMENT_3D('',#528437,#434090,#434091); #350177=AXIS2_PLACEMENT_3D('',#528439,#434093,#434094); #350178=AXIS2_PLACEMENT_3D('',#528442,#434095,#434096); #350179=AXIS2_PLACEMENT_3D('',#528446,#434098,#434099); #350180=AXIS2_PLACEMENT_3D('',#528448,#434101,#434102); #350181=AXIS2_PLACEMENT_3D('',#528453,#434104,#434105); #350182=AXIS2_PLACEMENT_3D('',#528456,#434107,#434108); #350183=AXIS2_PLACEMENT_3D('',#528457,#434109,#434110); #350184=AXIS2_PLACEMENT_3D('',#528462,#434112,#434113); #350185=AXIS2_PLACEMENT_3D('',#528465,#434115,#434116); #350186=AXIS2_PLACEMENT_3D('',#528466,#434117,#434118); #350187=AXIS2_PLACEMENT_3D('',#528468,#434119,#434120); #350188=AXIS2_PLACEMENT_3D('',#528471,#434122,#434123); #350189=AXIS2_PLACEMENT_3D('',#528472,#434124,#434125); #350190=AXIS2_PLACEMENT_3D('',#528474,#434126,#434127); #350191=AXIS2_PLACEMENT_3D('',#528477,#434129,#434130); #350192=AXIS2_PLACEMENT_3D('',#528478,#434131,#434132); #350193=AXIS2_PLACEMENT_3D('',#528483,#434137,#434138); #350194=AXIS2_PLACEMENT_3D('',#528485,#434140,#434141); #350195=AXIS2_PLACEMENT_3D('',#528491,#434145,#434146); #350196=AXIS2_PLACEMENT_3D('',#528497,#434150,#434151); #350197=AXIS2_PLACEMENT_3D('',#528499,#434153,#434154); #350198=AXIS2_PLACEMENT_3D('',#528501,#434156,#434157); #350199=AXIS2_PLACEMENT_3D('',#528503,#434159,#434160); #350200=AXIS2_PLACEMENT_3D('',#528505,#434162,#434163); #350201=AXIS2_PLACEMENT_3D('',#528507,#434165,#434166); #350202=AXIS2_PLACEMENT_3D('',#528513,#434170,#434171); #350203=AXIS2_PLACEMENT_3D('',#528519,#434175,#434176); #350204=AXIS2_PLACEMENT_3D('',#528521,#434178,#434179); #350205=AXIS2_PLACEMENT_3D('',#528523,#434181,#434182); #350206=AXIS2_PLACEMENT_3D('',#528524,#434183,#434184); #350207=AXIS2_PLACEMENT_3D('',#528525,#434185,#434186); #350208=AXIS2_PLACEMENT_3D('',#528527,#434188,#434189); #350209=AXIS2_PLACEMENT_3D('',#528528,#434190,#434191); #350210=AXIS2_PLACEMENT_3D('',#528530,#434193,#434194); #350211=AXIS2_PLACEMENT_3D('',#528532,#434196,#434197); #350212=AXIS2_PLACEMENT_3D('',#528534,#434199,#434200); #350213=AXIS2_PLACEMENT_3D('',#528535,#434201,#434202); #350214=AXIS2_PLACEMENT_3D('',#528536,#434203,#434204); #350215=AXIS2_PLACEMENT_3D('',#528537,#434205,#434206); #350216=AXIS2_PLACEMENT_3D('',#528538,#434207,#434208); #350217=AXIS2_PLACEMENT_3D('',#528539,#434209,#434210); #350218=AXIS2_PLACEMENT_3D('',#528540,#434211,#434212); #350219=AXIS2_PLACEMENT_3D('',#528541,#434213,#434214); #350220=AXIS2_PLACEMENT_3D('',#528542,#434215,#434216); #350221=AXIS2_PLACEMENT_3D('',#528544,#434217,#434218); #350222=AXIS2_PLACEMENT_3D('',#528547,#434220,#434221); #350223=AXIS2_PLACEMENT_3D('',#528548,#434222,#434223); #350224=AXIS2_PLACEMENT_3D('',#528549,#434224,#434225); #350225=AXIS2_PLACEMENT_3D('',#528558,#434230,#434231); #350226=AXIS2_PLACEMENT_3D('',#528564,#434235,#434236); #350227=AXIS2_PLACEMENT_3D('',#528570,#434240,#434241); #350228=AXIS2_PLACEMENT_3D('',#528573,#434244,#434245); #350229=AXIS2_PLACEMENT_3D('',#528579,#434249,#434250); #350230=AXIS2_PLACEMENT_3D('',#528583,#434253,#434254); #350231=AXIS2_PLACEMENT_3D('',#528584,#434255,#434256); #350232=AXIS2_PLACEMENT_3D('',#528588,#434259,#434260); #350233=AXIS2_PLACEMENT_3D('',#528590,#434262,#434263); #350234=AXIS2_PLACEMENT_3D('',#528591,#434264,#434265); #350235=AXIS2_PLACEMENT_3D('',#528594,#434266,#434267); #350236=AXIS2_PLACEMENT_3D('',#528600,#434271,#434272); #350237=AXIS2_PLACEMENT_3D('',#528604,#434274,#434275); #350238=AXIS2_PLACEMENT_3D('',#528606,#434277,#434278); #350239=AXIS2_PLACEMENT_3D('',#528615,#434283,#434284); #350240=AXIS2_PLACEMENT_3D('',#528618,#434287,#434288); #350241=AXIS2_PLACEMENT_3D('',#528624,#434292,#434293); #350242=AXIS2_PLACEMENT_3D('',#528627,#434296,#434297); #350243=AXIS2_PLACEMENT_3D('',#528628,#434298,#434299); #350244=AXIS2_PLACEMENT_3D('',#528629,#434300,#434301); #350245=AXIS2_PLACEMENT_3D('',#528632,#434302,#434303); #350246=AXIS2_PLACEMENT_3D('',#528638,#434307,#434308); #350247=AXIS2_PLACEMENT_3D('',#528642,#434310,#434311); #350248=AXIS2_PLACEMENT_3D('',#528644,#434313,#434314); #350249=AXIS2_PLACEMENT_3D('',#528653,#434319,#434320); #350250=AXIS2_PLACEMENT_3D('',#528656,#434323,#434324); #350251=AXIS2_PLACEMENT_3D('',#528662,#434328,#434329); #350252=AXIS2_PLACEMENT_3D('',#528665,#434332,#434333); #350253=AXIS2_PLACEMENT_3D('',#528666,#434334,#434335); #350254=AXIS2_PLACEMENT_3D('',#528667,#434336,#434337); #350255=AXIS2_PLACEMENT_3D('',#528670,#434338,#434339); #350256=AXIS2_PLACEMENT_3D('',#528676,#434343,#434344); #350257=AXIS2_PLACEMENT_3D('',#528680,#434346,#434347); #350258=AXIS2_PLACEMENT_3D('',#528682,#434349,#434350); #350259=AXIS2_PLACEMENT_3D('',#528691,#434355,#434356); #350260=AXIS2_PLACEMENT_3D('',#528694,#434359,#434360); #350261=AXIS2_PLACEMENT_3D('',#528700,#434364,#434365); #350262=AXIS2_PLACEMENT_3D('',#528703,#434368,#434369); #350263=AXIS2_PLACEMENT_3D('',#528704,#434370,#434371); #350264=AXIS2_PLACEMENT_3D('',#528705,#434372,#434373); #350265=AXIS2_PLACEMENT_3D('',#528708,#434374,#434375); #350266=AXIS2_PLACEMENT_3D('',#528714,#434379,#434380); #350267=AXIS2_PLACEMENT_3D('',#528718,#434382,#434383); #350268=AXIS2_PLACEMENT_3D('',#528720,#434385,#434386); #350269=AXIS2_PLACEMENT_3D('',#528729,#434391,#434392); #350270=AXIS2_PLACEMENT_3D('',#528732,#434395,#434396); #350271=AXIS2_PLACEMENT_3D('',#528738,#434400,#434401); #350272=AXIS2_PLACEMENT_3D('',#528741,#434404,#434405); #350273=AXIS2_PLACEMENT_3D('',#528742,#434406,#434407); #350274=AXIS2_PLACEMENT_3D('',#528743,#434408,#434409); #350275=AXIS2_PLACEMENT_3D('',#528746,#434410,#434411); #350276=AXIS2_PLACEMENT_3D('',#528752,#434415,#434416); #350277=AXIS2_PLACEMENT_3D('',#528756,#434418,#434419); #350278=AXIS2_PLACEMENT_3D('',#528758,#434421,#434422); #350279=AXIS2_PLACEMENT_3D('',#528767,#434427,#434428); #350280=AXIS2_PLACEMENT_3D('',#528770,#434431,#434432); #350281=AXIS2_PLACEMENT_3D('',#528776,#434436,#434437); #350282=AXIS2_PLACEMENT_3D('',#528779,#434440,#434441); #350283=AXIS2_PLACEMENT_3D('',#528780,#434442,#434443); #350284=AXIS2_PLACEMENT_3D('',#528781,#434444,#434445); #350285=AXIS2_PLACEMENT_3D('',#528784,#434446,#434447); #350286=AXIS2_PLACEMENT_3D('',#528790,#434451,#434452); #350287=AXIS2_PLACEMENT_3D('',#528794,#434454,#434455); #350288=AXIS2_PLACEMENT_3D('',#528796,#434457,#434458); #350289=AXIS2_PLACEMENT_3D('',#528805,#434463,#434464); #350290=AXIS2_PLACEMENT_3D('',#528808,#434467,#434468); #350291=AXIS2_PLACEMENT_3D('',#528814,#434472,#434473); #350292=AXIS2_PLACEMENT_3D('',#528817,#434476,#434477); #350293=AXIS2_PLACEMENT_3D('',#528818,#434478,#434479); #350294=AXIS2_PLACEMENT_3D('',#528819,#434480,#434481); #350295=AXIS2_PLACEMENT_3D('',#528822,#434482,#434483); #350296=AXIS2_PLACEMENT_3D('',#528828,#434487,#434488); #350297=AXIS2_PLACEMENT_3D('',#528832,#434490,#434491); #350298=AXIS2_PLACEMENT_3D('',#528834,#434493,#434494); #350299=AXIS2_PLACEMENT_3D('',#528843,#434499,#434500); #350300=AXIS2_PLACEMENT_3D('',#528846,#434503,#434504); #350301=AXIS2_PLACEMENT_3D('',#528852,#434508,#434509); #350302=AXIS2_PLACEMENT_3D('',#528855,#434512,#434513); #350303=AXIS2_PLACEMENT_3D('',#528856,#434514,#434515); #350304=AXIS2_PLACEMENT_3D('',#528857,#434516,#434517); #350305=AXIS2_PLACEMENT_3D('',#528860,#434518,#434519); #350306=AXIS2_PLACEMENT_3D('',#528866,#434523,#434524); #350307=AXIS2_PLACEMENT_3D('',#528870,#434526,#434527); #350308=AXIS2_PLACEMENT_3D('',#528872,#434529,#434530); #350309=AXIS2_PLACEMENT_3D('',#528881,#434535,#434536); #350310=AXIS2_PLACEMENT_3D('',#528884,#434539,#434540); #350311=AXIS2_PLACEMENT_3D('',#528890,#434544,#434545); #350312=AXIS2_PLACEMENT_3D('',#528893,#434548,#434549); #350313=AXIS2_PLACEMENT_3D('',#528894,#434550,#434551); #350314=AXIS2_PLACEMENT_3D('',#528895,#434552,#434553); #350315=AXIS2_PLACEMENT_3D('',#528898,#434554,#434555); #350316=AXIS2_PLACEMENT_3D('',#528904,#434559,#434560); #350317=AXIS2_PLACEMENT_3D('',#528908,#434562,#434563); #350318=AXIS2_PLACEMENT_3D('',#528910,#434565,#434566); #350319=AXIS2_PLACEMENT_3D('',#528919,#434571,#434572); #350320=AXIS2_PLACEMENT_3D('',#528922,#434575,#434576); #350321=AXIS2_PLACEMENT_3D('',#528928,#434580,#434581); #350322=AXIS2_PLACEMENT_3D('',#528931,#434584,#434585); #350323=AXIS2_PLACEMENT_3D('',#528932,#434586,#434587); #350324=AXIS2_PLACEMENT_3D('',#528933,#434588,#434589); #350325=AXIS2_PLACEMENT_3D('',#528936,#434590,#434591); #350326=AXIS2_PLACEMENT_3D('',#528942,#434595,#434596); #350327=AXIS2_PLACEMENT_3D('',#528946,#434598,#434599); #350328=AXIS2_PLACEMENT_3D('',#528948,#434601,#434602); #350329=AXIS2_PLACEMENT_3D('',#528957,#434607,#434608); #350330=AXIS2_PLACEMENT_3D('',#528960,#434611,#434612); #350331=AXIS2_PLACEMENT_3D('',#528966,#434616,#434617); #350332=AXIS2_PLACEMENT_3D('',#528969,#434620,#434621); #350333=AXIS2_PLACEMENT_3D('',#528970,#434622,#434623); #350334=AXIS2_PLACEMENT_3D('',#528971,#434624,#434625); #350335=AXIS2_PLACEMENT_3D('',#528974,#434626,#434627); #350336=AXIS2_PLACEMENT_3D('',#528980,#434631,#434632); #350337=AXIS2_PLACEMENT_3D('',#528984,#434634,#434635); #350338=AXIS2_PLACEMENT_3D('',#528986,#434637,#434638); #350339=AXIS2_PLACEMENT_3D('',#528995,#434643,#434644); #350340=AXIS2_PLACEMENT_3D('',#528998,#434647,#434648); #350341=AXIS2_PLACEMENT_3D('',#529004,#434652,#434653); #350342=AXIS2_PLACEMENT_3D('',#529007,#434656,#434657); #350343=AXIS2_PLACEMENT_3D('',#529008,#434658,#434659); #350344=AXIS2_PLACEMENT_3D('',#529009,#434660,#434661); #350345=AXIS2_PLACEMENT_3D('',#529012,#434662,#434663); #350346=AXIS2_PLACEMENT_3D('',#529018,#434667,#434668); #350347=AXIS2_PLACEMENT_3D('',#529022,#434670,#434671); #350348=AXIS2_PLACEMENT_3D('',#529024,#434673,#434674); #350349=AXIS2_PLACEMENT_3D('',#529033,#434679,#434680); #350350=AXIS2_PLACEMENT_3D('',#529036,#434683,#434684); #350351=AXIS2_PLACEMENT_3D('',#529042,#434688,#434689); #350352=AXIS2_PLACEMENT_3D('',#529045,#434692,#434693); #350353=AXIS2_PLACEMENT_3D('',#529046,#434694,#434695); #350354=AXIS2_PLACEMENT_3D('',#529047,#434696,#434697); #350355=AXIS2_PLACEMENT_3D('',#529050,#434698,#434699); #350356=AXIS2_PLACEMENT_3D('',#529056,#434703,#434704); #350357=AXIS2_PLACEMENT_3D('',#529060,#434706,#434707); #350358=AXIS2_PLACEMENT_3D('',#529062,#434709,#434710); #350359=AXIS2_PLACEMENT_3D('',#529071,#434715,#434716); #350360=AXIS2_PLACEMENT_3D('',#529074,#434719,#434720); #350361=AXIS2_PLACEMENT_3D('',#529080,#434724,#434725); #350362=AXIS2_PLACEMENT_3D('',#529083,#434728,#434729); #350363=AXIS2_PLACEMENT_3D('',#529084,#434730,#434731); #350364=AXIS2_PLACEMENT_3D('',#529085,#434732,#434733); #350365=AXIS2_PLACEMENT_3D('',#529088,#434734,#434735); #350366=AXIS2_PLACEMENT_3D('',#529094,#434739,#434740); #350367=AXIS2_PLACEMENT_3D('',#529098,#434742,#434743); #350368=AXIS2_PLACEMENT_3D('',#529100,#434745,#434746); #350369=AXIS2_PLACEMENT_3D('',#529109,#434751,#434752); #350370=AXIS2_PLACEMENT_3D('',#529112,#434755,#434756); #350371=AXIS2_PLACEMENT_3D('',#529118,#434760,#434761); #350372=AXIS2_PLACEMENT_3D('',#529121,#434764,#434765); #350373=AXIS2_PLACEMENT_3D('',#529122,#434766,#434767); #350374=AXIS2_PLACEMENT_3D('',#529123,#434768,#434769); #350375=AXIS2_PLACEMENT_3D('',#529126,#434770,#434771); #350376=AXIS2_PLACEMENT_3D('',#529132,#434775,#434776); #350377=AXIS2_PLACEMENT_3D('',#529136,#434778,#434779); #350378=AXIS2_PLACEMENT_3D('',#529138,#434781,#434782); #350379=AXIS2_PLACEMENT_3D('',#529147,#434787,#434788); #350380=AXIS2_PLACEMENT_3D('',#529150,#434791,#434792); #350381=AXIS2_PLACEMENT_3D('',#529156,#434796,#434797); #350382=AXIS2_PLACEMENT_3D('',#529159,#434800,#434801); #350383=AXIS2_PLACEMENT_3D('',#529160,#434802,#434803); #350384=AXIS2_PLACEMENT_3D('',#529161,#434804,#434805); #350385=AXIS2_PLACEMENT_3D('',#529164,#434806,#434807); #350386=AXIS2_PLACEMENT_3D('',#529170,#434811,#434812); #350387=AXIS2_PLACEMENT_3D('',#529174,#434814,#434815); #350388=AXIS2_PLACEMENT_3D('',#529176,#434817,#434818); #350389=AXIS2_PLACEMENT_3D('',#529185,#434823,#434824); #350390=AXIS2_PLACEMENT_3D('',#529188,#434827,#434828); #350391=AXIS2_PLACEMENT_3D('',#529194,#434832,#434833); #350392=AXIS2_PLACEMENT_3D('',#529197,#434836,#434837); #350393=AXIS2_PLACEMENT_3D('',#529198,#434838,#434839); #350394=AXIS2_PLACEMENT_3D('',#529199,#434840,#434841); #350395=AXIS2_PLACEMENT_3D('',#529202,#434842,#434843); #350396=AXIS2_PLACEMENT_3D('',#529208,#434847,#434848); #350397=AXIS2_PLACEMENT_3D('',#529212,#434850,#434851); #350398=AXIS2_PLACEMENT_3D('',#529214,#434853,#434854); #350399=AXIS2_PLACEMENT_3D('',#529223,#434859,#434860); #350400=AXIS2_PLACEMENT_3D('',#529226,#434863,#434864); #350401=AXIS2_PLACEMENT_3D('',#529232,#434868,#434869); #350402=AXIS2_PLACEMENT_3D('',#529235,#434872,#434873); #350403=AXIS2_PLACEMENT_3D('',#529236,#434874,#434875); #350404=AXIS2_PLACEMENT_3D('',#529237,#434876,#434877); #350405=AXIS2_PLACEMENT_3D('',#529240,#434878,#434879); #350406=AXIS2_PLACEMENT_3D('',#529246,#434883,#434884); #350407=AXIS2_PLACEMENT_3D('',#529250,#434886,#434887); #350408=AXIS2_PLACEMENT_3D('',#529252,#434889,#434890); #350409=AXIS2_PLACEMENT_3D('',#529261,#434895,#434896); #350410=AXIS2_PLACEMENT_3D('',#529264,#434899,#434900); #350411=AXIS2_PLACEMENT_3D('',#529270,#434904,#434905); #350412=AXIS2_PLACEMENT_3D('',#529273,#434908,#434909); #350413=AXIS2_PLACEMENT_3D('',#529274,#434910,#434911); #350414=AXIS2_PLACEMENT_3D('',#529275,#434912,#434913); #350415=AXIS2_PLACEMENT_3D('',#529278,#434914,#434915); #350416=AXIS2_PLACEMENT_3D('',#529284,#434919,#434920); #350417=AXIS2_PLACEMENT_3D('',#529288,#434922,#434923); #350418=AXIS2_PLACEMENT_3D('',#529290,#434925,#434926); #350419=AXIS2_PLACEMENT_3D('',#529299,#434931,#434932); #350420=AXIS2_PLACEMENT_3D('',#529302,#434935,#434936); #350421=AXIS2_PLACEMENT_3D('',#529308,#434940,#434941); #350422=AXIS2_PLACEMENT_3D('',#529311,#434944,#434945); #350423=AXIS2_PLACEMENT_3D('',#529312,#434946,#434947); #350424=AXIS2_PLACEMENT_3D('',#529313,#434948,#434949); #350425=AXIS2_PLACEMENT_3D('',#529316,#434950,#434951); #350426=AXIS2_PLACEMENT_3D('',#529322,#434955,#434956); #350427=AXIS2_PLACEMENT_3D('',#529326,#434958,#434959); #350428=AXIS2_PLACEMENT_3D('',#529328,#434961,#434962); #350429=AXIS2_PLACEMENT_3D('',#529337,#434967,#434968); #350430=AXIS2_PLACEMENT_3D('',#529340,#434971,#434972); #350431=AXIS2_PLACEMENT_3D('',#529346,#434976,#434977); #350432=AXIS2_PLACEMENT_3D('',#529349,#434980,#434981); #350433=AXIS2_PLACEMENT_3D('',#529350,#434982,#434983); #350434=AXIS2_PLACEMENT_3D('',#529351,#434984,#434985); #350435=AXIS2_PLACEMENT_3D('',#529354,#434986,#434987); #350436=AXIS2_PLACEMENT_3D('',#529360,#434991,#434992); #350437=AXIS2_PLACEMENT_3D('',#529364,#434994,#434995); #350438=AXIS2_PLACEMENT_3D('',#529366,#434997,#434998); #350439=AXIS2_PLACEMENT_3D('',#529375,#435003,#435004); #350440=AXIS2_PLACEMENT_3D('',#529378,#435007,#435008); #350441=AXIS2_PLACEMENT_3D('',#529384,#435012,#435013); #350442=AXIS2_PLACEMENT_3D('',#529387,#435016,#435017); #350443=AXIS2_PLACEMENT_3D('',#529388,#435018,#435019); #350444=AXIS2_PLACEMENT_3D('',#529389,#435020,#435021); #350445=AXIS2_PLACEMENT_3D('',#529392,#435022,#435023); #350446=AXIS2_PLACEMENT_3D('',#529398,#435027,#435028); #350447=AXIS2_PLACEMENT_3D('',#529402,#435030,#435031); #350448=AXIS2_PLACEMENT_3D('',#529404,#435033,#435034); #350449=AXIS2_PLACEMENT_3D('',#529413,#435039,#435040); #350450=AXIS2_PLACEMENT_3D('',#529416,#435043,#435044); #350451=AXIS2_PLACEMENT_3D('',#529422,#435048,#435049); #350452=AXIS2_PLACEMENT_3D('',#529425,#435052,#435053); #350453=AXIS2_PLACEMENT_3D('',#529426,#435054,#435055); #350454=AXIS2_PLACEMENT_3D('',#529427,#435056,#435057); #350455=AXIS2_PLACEMENT_3D('',#529430,#435058,#435059); #350456=AXIS2_PLACEMENT_3D('',#529436,#435063,#435064); #350457=AXIS2_PLACEMENT_3D('',#529440,#435066,#435067); #350458=AXIS2_PLACEMENT_3D('',#529442,#435069,#435070); #350459=AXIS2_PLACEMENT_3D('',#529451,#435075,#435076); #350460=AXIS2_PLACEMENT_3D('',#529454,#435079,#435080); #350461=AXIS2_PLACEMENT_3D('',#529460,#435084,#435085); #350462=AXIS2_PLACEMENT_3D('',#529463,#435088,#435089); #350463=AXIS2_PLACEMENT_3D('',#529464,#435090,#435091); #350464=AXIS2_PLACEMENT_3D('',#529465,#435092,#435093); #350465=AXIS2_PLACEMENT_3D('',#529468,#435094,#435095); #350466=AXIS2_PLACEMENT_3D('',#529474,#435099,#435100); #350467=AXIS2_PLACEMENT_3D('',#529478,#435102,#435103); #350468=AXIS2_PLACEMENT_3D('',#529480,#435105,#435106); #350469=AXIS2_PLACEMENT_3D('',#529489,#435111,#435112); #350470=AXIS2_PLACEMENT_3D('',#529492,#435115,#435116); #350471=AXIS2_PLACEMENT_3D('',#529498,#435120,#435121); #350472=AXIS2_PLACEMENT_3D('',#529501,#435124,#435125); #350473=AXIS2_PLACEMENT_3D('',#529502,#435126,#435127); #350474=AXIS2_PLACEMENT_3D('',#529503,#435128,#435129); #350475=AXIS2_PLACEMENT_3D('',#529506,#435130,#435131); #350476=AXIS2_PLACEMENT_3D('',#529512,#435135,#435136); #350477=AXIS2_PLACEMENT_3D('',#529515,#435137,#435138); #350478=AXIS2_PLACEMENT_3D('',#529518,#435141,#435142); #350479=AXIS2_PLACEMENT_3D('',#529524,#435146,#435147); #350480=AXIS2_PLACEMENT_3D('',#529530,#435151,#435152); #350481=AXIS2_PLACEMENT_3D('',#529536,#435156,#435157); #350482=AXIS2_PLACEMENT_3D('',#529539,#435160,#435161); #350483=AXIS2_PLACEMENT_3D('',#529540,#435162,#435163); #350484=AXIS2_PLACEMENT_3D('',#529541,#435164,#435165); #350485=AXIS2_PLACEMENT_3D('',#529544,#435166,#435167); #350486=AXIS2_PLACEMENT_3D('',#529550,#435171,#435172); #350487=AXIS2_PLACEMENT_3D('',#529553,#435173,#435174); #350488=AXIS2_PLACEMENT_3D('',#529556,#435177,#435178); #350489=AXIS2_PLACEMENT_3D('',#529562,#435182,#435183); #350490=AXIS2_PLACEMENT_3D('',#529568,#435187,#435188); #350491=AXIS2_PLACEMENT_3D('',#529574,#435192,#435193); #350492=AXIS2_PLACEMENT_3D('',#529577,#435196,#435197); #350493=AXIS2_PLACEMENT_3D('',#529578,#435198,#435199); #350494=AXIS2_PLACEMENT_3D('',#529579,#435200,#435201); #350495=AXIS2_PLACEMENT_3D('',#529582,#435202,#435203); #350496=AXIS2_PLACEMENT_3D('',#529588,#435207,#435208); #350497=AXIS2_PLACEMENT_3D('',#529591,#435209,#435210); #350498=AXIS2_PLACEMENT_3D('',#529594,#435213,#435214); #350499=AXIS2_PLACEMENT_3D('',#529600,#435218,#435219); #350500=AXIS2_PLACEMENT_3D('',#529606,#435223,#435224); #350501=AXIS2_PLACEMENT_3D('',#529612,#435228,#435229); #350502=AXIS2_PLACEMENT_3D('',#529615,#435232,#435233); #350503=AXIS2_PLACEMENT_3D('',#529616,#435234,#435235); #350504=AXIS2_PLACEMENT_3D('',#529617,#435236,#435237); #350505=AXIS2_PLACEMENT_3D('',#529620,#435238,#435239); #350506=AXIS2_PLACEMENT_3D('',#529626,#435243,#435244); #350507=AXIS2_PLACEMENT_3D('',#529629,#435245,#435246); #350508=AXIS2_PLACEMENT_3D('',#529632,#435249,#435250); #350509=AXIS2_PLACEMENT_3D('',#529638,#435254,#435255); #350510=AXIS2_PLACEMENT_3D('',#529644,#435259,#435260); #350511=AXIS2_PLACEMENT_3D('',#529650,#435264,#435265); #350512=AXIS2_PLACEMENT_3D('',#529653,#435268,#435269); #350513=AXIS2_PLACEMENT_3D('',#529654,#435270,#435271); #350514=AXIS2_PLACEMENT_3D('',#529655,#435272,#435273); #350515=AXIS2_PLACEMENT_3D('',#529658,#435274,#435275); #350516=AXIS2_PLACEMENT_3D('',#529664,#435279,#435280); #350517=AXIS2_PLACEMENT_3D('',#529667,#435281,#435282); #350518=AXIS2_PLACEMENT_3D('',#529670,#435285,#435286); #350519=AXIS2_PLACEMENT_3D('',#529676,#435290,#435291); #350520=AXIS2_PLACEMENT_3D('',#529682,#435295,#435296); #350521=AXIS2_PLACEMENT_3D('',#529688,#435300,#435301); #350522=AXIS2_PLACEMENT_3D('',#529691,#435304,#435305); #350523=AXIS2_PLACEMENT_3D('',#529692,#435306,#435307); #350524=AXIS2_PLACEMENT_3D('',#529693,#435308,#435309); #350525=AXIS2_PLACEMENT_3D('',#529696,#435310,#435311); #350526=AXIS2_PLACEMENT_3D('',#529702,#435315,#435316); #350527=AXIS2_PLACEMENT_3D('',#529705,#435317,#435318); #350528=AXIS2_PLACEMENT_3D('',#529708,#435321,#435322); #350529=AXIS2_PLACEMENT_3D('',#529714,#435326,#435327); #350530=AXIS2_PLACEMENT_3D('',#529720,#435331,#435332); #350531=AXIS2_PLACEMENT_3D('',#529726,#435336,#435337); #350532=AXIS2_PLACEMENT_3D('',#529729,#435340,#435341); #350533=AXIS2_PLACEMENT_3D('',#529730,#435342,#435343); #350534=AXIS2_PLACEMENT_3D('',#529731,#435344,#435345); #350535=AXIS2_PLACEMENT_3D('',#529734,#435346,#435347); #350536=AXIS2_PLACEMENT_3D('',#529740,#435351,#435352); #350537=AXIS2_PLACEMENT_3D('',#529743,#435353,#435354); #350538=AXIS2_PLACEMENT_3D('',#529746,#435357,#435358); #350539=AXIS2_PLACEMENT_3D('',#529752,#435362,#435363); #350540=AXIS2_PLACEMENT_3D('',#529758,#435367,#435368); #350541=AXIS2_PLACEMENT_3D('',#529764,#435372,#435373); #350542=AXIS2_PLACEMENT_3D('',#529767,#435376,#435377); #350543=AXIS2_PLACEMENT_3D('',#529768,#435378,#435379); #350544=AXIS2_PLACEMENT_3D('',#529769,#435380,#435381); #350545=AXIS2_PLACEMENT_3D('',#529772,#435382,#435383); #350546=AXIS2_PLACEMENT_3D('',#529778,#435387,#435388); #350547=AXIS2_PLACEMENT_3D('',#529781,#435389,#435390); #350548=AXIS2_PLACEMENT_3D('',#529784,#435393,#435394); #350549=AXIS2_PLACEMENT_3D('',#529790,#435398,#435399); #350550=AXIS2_PLACEMENT_3D('',#529796,#435403,#435404); #350551=AXIS2_PLACEMENT_3D('',#529802,#435408,#435409); #350552=AXIS2_PLACEMENT_3D('',#529805,#435412,#435413); #350553=AXIS2_PLACEMENT_3D('',#529806,#435414,#435415); #350554=AXIS2_PLACEMENT_3D('',#529807,#435416,#435417); #350555=AXIS2_PLACEMENT_3D('',#529810,#435418,#435419); #350556=AXIS2_PLACEMENT_3D('',#529816,#435423,#435424); #350557=AXIS2_PLACEMENT_3D('',#529819,#435425,#435426); #350558=AXIS2_PLACEMENT_3D('',#529822,#435429,#435430); #350559=AXIS2_PLACEMENT_3D('',#529828,#435434,#435435); #350560=AXIS2_PLACEMENT_3D('',#529834,#435439,#435440); #350561=AXIS2_PLACEMENT_3D('',#529840,#435444,#435445); #350562=AXIS2_PLACEMENT_3D('',#529843,#435448,#435449); #350563=AXIS2_PLACEMENT_3D('',#529844,#435450,#435451); #350564=AXIS2_PLACEMENT_3D('',#529845,#435452,#435453); #350565=AXIS2_PLACEMENT_3D('',#529848,#435454,#435455); #350566=AXIS2_PLACEMENT_3D('',#529854,#435459,#435460); #350567=AXIS2_PLACEMENT_3D('',#529857,#435461,#435462); #350568=AXIS2_PLACEMENT_3D('',#529860,#435465,#435466); #350569=AXIS2_PLACEMENT_3D('',#529866,#435470,#435471); #350570=AXIS2_PLACEMENT_3D('',#529872,#435475,#435476); #350571=AXIS2_PLACEMENT_3D('',#529878,#435480,#435481); #350572=AXIS2_PLACEMENT_3D('',#529881,#435484,#435485); #350573=AXIS2_PLACEMENT_3D('',#529882,#435486,#435487); #350574=AXIS2_PLACEMENT_3D('',#529883,#435488,#435489); #350575=AXIS2_PLACEMENT_3D('',#529886,#435490,#435491); #350576=AXIS2_PLACEMENT_3D('',#529892,#435495,#435496); #350577=AXIS2_PLACEMENT_3D('',#529895,#435497,#435498); #350578=AXIS2_PLACEMENT_3D('',#529898,#435501,#435502); #350579=AXIS2_PLACEMENT_3D('',#529904,#435506,#435507); #350580=AXIS2_PLACEMENT_3D('',#529910,#435511,#435512); #350581=AXIS2_PLACEMENT_3D('',#529916,#435516,#435517); #350582=AXIS2_PLACEMENT_3D('',#529919,#435520,#435521); #350583=AXIS2_PLACEMENT_3D('',#529920,#435522,#435523); #350584=AXIS2_PLACEMENT_3D('',#529921,#435524,#435525); #350585=AXIS2_PLACEMENT_3D('',#529924,#435526,#435527); #350586=AXIS2_PLACEMENT_3D('',#529930,#435531,#435532); #350587=AXIS2_PLACEMENT_3D('',#529933,#435533,#435534); #350588=AXIS2_PLACEMENT_3D('',#529936,#435537,#435538); #350589=AXIS2_PLACEMENT_3D('',#529942,#435542,#435543); #350590=AXIS2_PLACEMENT_3D('',#529948,#435547,#435548); #350591=AXIS2_PLACEMENT_3D('',#529954,#435552,#435553); #350592=AXIS2_PLACEMENT_3D('',#529957,#435556,#435557); #350593=AXIS2_PLACEMENT_3D('',#529958,#435558,#435559); #350594=AXIS2_PLACEMENT_3D('',#529959,#435560,#435561); #350595=AXIS2_PLACEMENT_3D('',#529962,#435562,#435563); #350596=AXIS2_PLACEMENT_3D('',#529968,#435567,#435568); #350597=AXIS2_PLACEMENT_3D('',#529971,#435569,#435570); #350598=AXIS2_PLACEMENT_3D('',#529974,#435573,#435574); #350599=AXIS2_PLACEMENT_3D('',#529980,#435578,#435579); #350600=AXIS2_PLACEMENT_3D('',#529986,#435583,#435584); #350601=AXIS2_PLACEMENT_3D('',#529992,#435588,#435589); #350602=AXIS2_PLACEMENT_3D('',#529995,#435592,#435593); #350603=AXIS2_PLACEMENT_3D('',#529996,#435594,#435595); #350604=AXIS2_PLACEMENT_3D('',#529997,#435596,#435597); #350605=AXIS2_PLACEMENT_3D('',#530000,#435598,#435599); #350606=AXIS2_PLACEMENT_3D('',#530006,#435603,#435604); #350607=AXIS2_PLACEMENT_3D('',#530009,#435605,#435606); #350608=AXIS2_PLACEMENT_3D('',#530012,#435609,#435610); #350609=AXIS2_PLACEMENT_3D('',#530018,#435614,#435615); #350610=AXIS2_PLACEMENT_3D('',#530024,#435619,#435620); #350611=AXIS2_PLACEMENT_3D('',#530030,#435624,#435625); #350612=AXIS2_PLACEMENT_3D('',#530033,#435628,#435629); #350613=AXIS2_PLACEMENT_3D('',#530034,#435630,#435631); #350614=AXIS2_PLACEMENT_3D('',#530035,#435632,#435633); #350615=AXIS2_PLACEMENT_3D('',#530038,#435634,#435635); #350616=AXIS2_PLACEMENT_3D('',#530044,#435639,#435640); #350617=AXIS2_PLACEMENT_3D('',#530047,#435641,#435642); #350618=AXIS2_PLACEMENT_3D('',#530050,#435645,#435646); #350619=AXIS2_PLACEMENT_3D('',#530056,#435650,#435651); #350620=AXIS2_PLACEMENT_3D('',#530062,#435655,#435656); #350621=AXIS2_PLACEMENT_3D('',#530068,#435660,#435661); #350622=AXIS2_PLACEMENT_3D('',#530071,#435664,#435665); #350623=AXIS2_PLACEMENT_3D('',#530072,#435666,#435667); #350624=AXIS2_PLACEMENT_3D('',#530073,#435668,#435669); #350625=AXIS2_PLACEMENT_3D('',#530076,#435670,#435671); #350626=AXIS2_PLACEMENT_3D('',#530082,#435675,#435676); #350627=AXIS2_PLACEMENT_3D('',#530085,#435677,#435678); #350628=AXIS2_PLACEMENT_3D('',#530088,#435681,#435682); #350629=AXIS2_PLACEMENT_3D('',#530094,#435686,#435687); #350630=AXIS2_PLACEMENT_3D('',#530100,#435691,#435692); #350631=AXIS2_PLACEMENT_3D('',#530106,#435696,#435697); #350632=AXIS2_PLACEMENT_3D('',#530109,#435700,#435701); #350633=AXIS2_PLACEMENT_3D('',#530110,#435702,#435703); #350634=AXIS2_PLACEMENT_3D('',#530111,#435704,#435705); #350635=AXIS2_PLACEMENT_3D('',#530114,#435706,#435707); #350636=AXIS2_PLACEMENT_3D('',#530120,#435711,#435712); #350637=AXIS2_PLACEMENT_3D('',#530123,#435713,#435714); #350638=AXIS2_PLACEMENT_3D('',#530126,#435717,#435718); #350639=AXIS2_PLACEMENT_3D('',#530132,#435722,#435723); #350640=AXIS2_PLACEMENT_3D('',#530138,#435727,#435728); #350641=AXIS2_PLACEMENT_3D('',#530144,#435732,#435733); #350642=AXIS2_PLACEMENT_3D('',#530147,#435736,#435737); #350643=AXIS2_PLACEMENT_3D('',#530148,#435738,#435739); #350644=AXIS2_PLACEMENT_3D('',#530149,#435740,#435741); #350645=AXIS2_PLACEMENT_3D('',#530152,#435742,#435743); #350646=AXIS2_PLACEMENT_3D('',#530158,#435747,#435748); #350647=AXIS2_PLACEMENT_3D('',#530161,#435749,#435750); #350648=AXIS2_PLACEMENT_3D('',#530164,#435753,#435754); #350649=AXIS2_PLACEMENT_3D('',#530170,#435758,#435759); #350650=AXIS2_PLACEMENT_3D('',#530176,#435763,#435764); #350651=AXIS2_PLACEMENT_3D('',#530182,#435768,#435769); #350652=AXIS2_PLACEMENT_3D('',#530185,#435772,#435773); #350653=AXIS2_PLACEMENT_3D('',#530186,#435774,#435775); #350654=AXIS2_PLACEMENT_3D('',#530187,#435776,#435777); #350655=AXIS2_PLACEMENT_3D('',#530190,#435778,#435779); #350656=AXIS2_PLACEMENT_3D('',#530196,#435783,#435784); #350657=AXIS2_PLACEMENT_3D('',#530199,#435785,#435786); #350658=AXIS2_PLACEMENT_3D('',#530202,#435789,#435790); #350659=AXIS2_PLACEMENT_3D('',#530208,#435794,#435795); #350660=AXIS2_PLACEMENT_3D('',#530214,#435799,#435800); #350661=AXIS2_PLACEMENT_3D('',#530220,#435804,#435805); #350662=AXIS2_PLACEMENT_3D('',#530223,#435808,#435809); #350663=AXIS2_PLACEMENT_3D('',#530224,#435810,#435811); #350664=AXIS2_PLACEMENT_3D('',#530225,#435812,#435813); #350665=AXIS2_PLACEMENT_3D('',#530228,#435814,#435815); #350666=AXIS2_PLACEMENT_3D('',#530234,#435819,#435820); #350667=AXIS2_PLACEMENT_3D('',#530237,#435821,#435822); #350668=AXIS2_PLACEMENT_3D('',#530240,#435825,#435826); #350669=AXIS2_PLACEMENT_3D('',#530246,#435830,#435831); #350670=AXIS2_PLACEMENT_3D('',#530252,#435835,#435836); #350671=AXIS2_PLACEMENT_3D('',#530258,#435840,#435841); #350672=AXIS2_PLACEMENT_3D('',#530261,#435844,#435845); #350673=AXIS2_PLACEMENT_3D('',#530262,#435846,#435847); #350674=AXIS2_PLACEMENT_3D('',#530263,#435848,#435849); #350675=AXIS2_PLACEMENT_3D('',#530266,#435850,#435851); #350676=AXIS2_PLACEMENT_3D('',#530272,#435855,#435856); #350677=AXIS2_PLACEMENT_3D('',#530275,#435857,#435858); #350678=AXIS2_PLACEMENT_3D('',#530278,#435861,#435862); #350679=AXIS2_PLACEMENT_3D('',#530284,#435866,#435867); #350680=AXIS2_PLACEMENT_3D('',#530290,#435871,#435872); #350681=AXIS2_PLACEMENT_3D('',#530296,#435876,#435877); #350682=AXIS2_PLACEMENT_3D('',#530299,#435880,#435881); #350683=AXIS2_PLACEMENT_3D('',#530300,#435882,#435883); #350684=AXIS2_PLACEMENT_3D('',#530301,#435884,#435885); #350685=AXIS2_PLACEMENT_3D('',#530304,#435886,#435887); #350686=AXIS2_PLACEMENT_3D('',#530310,#435891,#435892); #350687=AXIS2_PLACEMENT_3D('',#530313,#435893,#435894); #350688=AXIS2_PLACEMENT_3D('',#530316,#435897,#435898); #350689=AXIS2_PLACEMENT_3D('',#530322,#435902,#435903); #350690=AXIS2_PLACEMENT_3D('',#530328,#435907,#435908); #350691=AXIS2_PLACEMENT_3D('',#530334,#435912,#435913); #350692=AXIS2_PLACEMENT_3D('',#530337,#435916,#435917); #350693=AXIS2_PLACEMENT_3D('',#530338,#435918,#435919); #350694=AXIS2_PLACEMENT_3D('',#530339,#435920,#435921); #350695=AXIS2_PLACEMENT_3D('',#530342,#435922,#435923); #350696=AXIS2_PLACEMENT_3D('',#530348,#435927,#435928); #350697=AXIS2_PLACEMENT_3D('',#530351,#435929,#435930); #350698=AXIS2_PLACEMENT_3D('',#530354,#435933,#435934); #350699=AXIS2_PLACEMENT_3D('',#530360,#435938,#435939); #350700=AXIS2_PLACEMENT_3D('',#530366,#435943,#435944); #350701=AXIS2_PLACEMENT_3D('',#530372,#435948,#435949); #350702=AXIS2_PLACEMENT_3D('',#530375,#435952,#435953); #350703=AXIS2_PLACEMENT_3D('',#530376,#435954,#435955); #350704=AXIS2_PLACEMENT_3D('',#530377,#435956,#435957); #350705=AXIS2_PLACEMENT_3D('',#530380,#435958,#435959); #350706=AXIS2_PLACEMENT_3D('',#530386,#435963,#435964); #350707=AXIS2_PLACEMENT_3D('',#530389,#435965,#435966); #350708=AXIS2_PLACEMENT_3D('',#530392,#435969,#435970); #350709=AXIS2_PLACEMENT_3D('',#530398,#435974,#435975); #350710=AXIS2_PLACEMENT_3D('',#530404,#435979,#435980); #350711=AXIS2_PLACEMENT_3D('',#530410,#435984,#435985); #350712=AXIS2_PLACEMENT_3D('',#530413,#435988,#435989); #350713=AXIS2_PLACEMENT_3D('',#530414,#435990,#435991); #350714=AXIS2_PLACEMENT_3D('',#530415,#435992,#435993); #350715=AXIS2_PLACEMENT_3D('',#530424,#435998,#435999); #350716=AXIS2_PLACEMENT_3D('',#530430,#436003,#436004); #350717=AXIS2_PLACEMENT_3D('',#530436,#436008,#436009); #350718=AXIS2_PLACEMENT_3D('',#530439,#436012,#436013); #350719=AXIS2_PLACEMENT_3D('',#530440,#436014,#436015); #350720=AXIS2_PLACEMENT_3D('',#530441,#436016,#436017); #350721=AXIS2_PLACEMENT_3D('',#530442,#436018,#436019); #350722=AXIS2_PLACEMENT_3D('',#530443,#436020,#436021); #350723=AXIS2_PLACEMENT_3D('',#530444,#436022,#436023); #350724=AXIS2_PLACEMENT_3D('',#530447,#436024,#436025); #350725=AXIS2_PLACEMENT_3D('',#530449,#436026,#436027); #350726=AXIS2_PLACEMENT_3D('',#530450,#436028,#436029); #350727=AXIS2_PLACEMENT_3D('',#530451,#436030,#436031); #350728=AXIS2_PLACEMENT_3D('',#530454,#436032,#436033); #350729=AXIS2_PLACEMENT_3D('',#530456,#436034,#436035); #350730=AXIS2_PLACEMENT_3D('',#530457,#436036,#436037); #350731=AXIS2_PLACEMENT_3D('',#530458,#436038,#436039); #350732=AXIS2_PLACEMENT_3D('',#530461,#436040,#436041); #350733=AXIS2_PLACEMENT_3D('',#530463,#436042,#436043); #350734=AXIS2_PLACEMENT_3D('',#530464,#436044,#436045); #350735=AXIS2_PLACEMENT_3D('',#530465,#436046,#436047); #350736=AXIS2_PLACEMENT_3D('',#530468,#436048,#436049); #350737=AXIS2_PLACEMENT_3D('',#530470,#436050,#436051); #350738=AXIS2_PLACEMENT_3D('',#530471,#436052,#436053); #350739=AXIS2_PLACEMENT_3D('',#530472,#436054,#436055); #350740=AXIS2_PLACEMENT_3D('',#530475,#436056,#436057); #350741=AXIS2_PLACEMENT_3D('',#530477,#436058,#436059); #350742=AXIS2_PLACEMENT_3D('',#530478,#436060,#436061); #350743=AXIS2_PLACEMENT_3D('',#530479,#436062,#436063); #350744=AXIS2_PLACEMENT_3D('',#530482,#436066,#436067); #350745=AXIS2_PLACEMENT_3D('',#530485,#436068,#436069); #350746=AXIS2_PLACEMENT_3D('',#530487,#436070,#436071); #350747=AXIS2_PLACEMENT_3D('',#530488,#436072,#436073); #350748=AXIS2_PLACEMENT_3D('',#530489,#436074,#436075); #350749=AXIS2_PLACEMENT_3D('',#530492,#436076,#436077); #350750=AXIS2_PLACEMENT_3D('',#530494,#436078,#436079); #350751=AXIS2_PLACEMENT_3D('',#530495,#436080,#436081); #350752=AXIS2_PLACEMENT_3D('',#530496,#436082,#436083); #350753=AXIS2_PLACEMENT_3D('',#530499,#436086,#436087); #350754=AXIS2_PLACEMENT_3D('',#530502,#436090,#436091); #350755=AXIS2_PLACEMENT_3D('',#530505,#436092,#436093); #350756=AXIS2_PLACEMENT_3D('',#530507,#436094,#436095); #350757=AXIS2_PLACEMENT_3D('',#530508,#436096,#436097); #350758=AXIS2_PLACEMENT_3D('',#530509,#436098,#436099); #350759=AXIS2_PLACEMENT_3D('',#530512,#436102,#436103); #350760=AXIS2_PLACEMENT_3D('',#530515,#436106,#436107); #350761=AXIS2_PLACEMENT_3D('',#530518,#436110,#436111); #350762=AXIS2_PLACEMENT_3D('',#530521,#436114,#436115); #350763=AXIS2_PLACEMENT_3D('',#530524,#436118,#436119); #350764=AXIS2_PLACEMENT_3D('',#530527,#436122,#436123); #350765=AXIS2_PLACEMENT_3D('',#530530,#436126,#436127); #350766=AXIS2_PLACEMENT_3D('',#530533,#436130,#436131); #350767=AXIS2_PLACEMENT_3D('',#530536,#436134,#436135); #350768=AXIS2_PLACEMENT_3D('',#530537,#436136,#436137); #350769=AXIS2_PLACEMENT_3D('',#530538,#436138,#436139); #350770=AXIS2_PLACEMENT_3D('',#530539,#436140,#436141); #350771=AXIS2_PLACEMENT_3D('',#530540,#436142,#436143); #350772=AXIS2_PLACEMENT_3D('',#530541,#436144,#436145); #350773=AXIS2_PLACEMENT_3D('',#530542,#436146,#436147); #350774=AXIS2_PLACEMENT_3D('',#530545,#436148,#436149); #350775=AXIS2_PLACEMENT_3D('',#530547,#436150,#436151); #350776=AXIS2_PLACEMENT_3D('',#530548,#436152,#436153); #350777=AXIS2_PLACEMENT_3D('',#530549,#436154,#436155); #350778=AXIS2_PLACEMENT_3D('',#530552,#436156,#436157); #350779=AXIS2_PLACEMENT_3D('',#530554,#436158,#436159); #350780=AXIS2_PLACEMENT_3D('',#530555,#436160,#436161); #350781=AXIS2_PLACEMENT_3D('',#530556,#436162,#436163); #350782=AXIS2_PLACEMENT_3D('',#530559,#436164,#436165); #350783=AXIS2_PLACEMENT_3D('',#530561,#436166,#436167); #350784=AXIS2_PLACEMENT_3D('',#530562,#436168,#436169); #350785=AXIS2_PLACEMENT_3D('',#530563,#436170,#436171); #350786=AXIS2_PLACEMENT_3D('',#530566,#436172,#436173); #350787=AXIS2_PLACEMENT_3D('',#530568,#436174,#436175); #350788=AXIS2_PLACEMENT_3D('',#530569,#436176,#436177); #350789=AXIS2_PLACEMENT_3D('',#530570,#436178,#436179); #350790=AXIS2_PLACEMENT_3D('',#530573,#436180,#436181); #350791=AXIS2_PLACEMENT_3D('',#530575,#436182,#436183); #350792=AXIS2_PLACEMENT_3D('',#530576,#436184,#436185); #350793=AXIS2_PLACEMENT_3D('',#530577,#436186,#436187); #350794=AXIS2_PLACEMENT_3D('',#530580,#436190,#436191); #350795=AXIS2_PLACEMENT_3D('',#530583,#436192,#436193); #350796=AXIS2_PLACEMENT_3D('',#530585,#436194,#436195); #350797=AXIS2_PLACEMENT_3D('',#530586,#436196,#436197); #350798=AXIS2_PLACEMENT_3D('',#530587,#436198,#436199); #350799=AXIS2_PLACEMENT_3D('',#530590,#436200,#436201); #350800=AXIS2_PLACEMENT_3D('',#530592,#436202,#436203); #350801=AXIS2_PLACEMENT_3D('',#530593,#436204,#436205); #350802=AXIS2_PLACEMENT_3D('',#530594,#436206,#436207); #350803=AXIS2_PLACEMENT_3D('',#530597,#436210,#436211); #350804=AXIS2_PLACEMENT_3D('',#530600,#436214,#436215); #350805=AXIS2_PLACEMENT_3D('',#530603,#436216,#436217); #350806=AXIS2_PLACEMENT_3D('',#530605,#436218,#436219); #350807=AXIS2_PLACEMENT_3D('',#530606,#436220,#436221); #350808=AXIS2_PLACEMENT_3D('',#530607,#436222,#436223); #350809=AXIS2_PLACEMENT_3D('',#530610,#436226,#436227); #350810=AXIS2_PLACEMENT_3D('',#530613,#436230,#436231); #350811=AXIS2_PLACEMENT_3D('',#530616,#436234,#436235); #350812=AXIS2_PLACEMENT_3D('',#530619,#436238,#436239); #350813=AXIS2_PLACEMENT_3D('',#530622,#436242,#436243); #350814=AXIS2_PLACEMENT_3D('',#530625,#436246,#436247); #350815=AXIS2_PLACEMENT_3D('',#530628,#436250,#436251); #350816=AXIS2_PLACEMENT_3D('',#530631,#436254,#436255); #350817=AXIS2_PLACEMENT_3D('',#530634,#436258,#436259); #350818=AXIS2_PLACEMENT_3D('',#530635,#436260,#436261); #350819=AXIS2_PLACEMENT_3D('',#530636,#436262,#436263); #350820=AXIS2_PLACEMENT_3D('',#530637,#436264,#436265); #350821=AXIS2_PLACEMENT_3D('',#530638,#436266,#436267); #350822=AXIS2_PLACEMENT_3D('',#530639,#436268,#436269); #350823=AXIS2_PLACEMENT_3D('',#530640,#436270,#436271); #350824=AXIS2_PLACEMENT_3D('',#530649,#436276,#436277); #350825=AXIS2_PLACEMENT_3D('',#530655,#436281,#436282); #350826=AXIS2_PLACEMENT_3D('',#530661,#436286,#436287); #350827=AXIS2_PLACEMENT_3D('',#530664,#436290,#436291); #350828=AXIS2_PLACEMENT_3D('',#530665,#436292,#436293); #350829=AXIS2_PLACEMENT_3D('',#530666,#436294,#436295); #350830=AXIS2_PLACEMENT_3D('',#530667,#436296,#436297); #350831=AXIS2_PLACEMENT_3D('',#530668,#436298,#436299); #350832=AXIS2_PLACEMENT_3D('',#530669,#436300,#436301); #350833=AXIS2_PLACEMENT_3D('',#530678,#436306,#436307); #350834=AXIS2_PLACEMENT_3D('',#530684,#436311,#436312); #350835=AXIS2_PLACEMENT_3D('',#530690,#436316,#436317); #350836=AXIS2_PLACEMENT_3D('',#530693,#436320,#436321); #350837=AXIS2_PLACEMENT_3D('',#530699,#436325,#436326); #350838=AXIS2_PLACEMENT_3D('',#530703,#436329,#436330); #350839=AXIS2_PLACEMENT_3D('',#530704,#436331,#436332); #350840=AXIS2_PLACEMENT_3D('',#530708,#436335,#436336); #350841=AXIS2_PLACEMENT_3D('',#530710,#436338,#436339); #350842=AXIS2_PLACEMENT_3D('',#530711,#436340,#436341); #350843=AXIS2_PLACEMENT_3D('',#530720,#436346,#436347); #350844=AXIS2_PLACEMENT_3D('',#530726,#436351,#436352); #350845=AXIS2_PLACEMENT_3D('',#530732,#436356,#436357); #350846=AXIS2_PLACEMENT_3D('',#530735,#436360,#436361); #350847=AXIS2_PLACEMENT_3D('',#530736,#436362,#436363); #350848=AXIS2_PLACEMENT_3D('',#530737,#436364,#436365); #350849=AXIS2_PLACEMENT_3D('',#530746,#436370,#436371); #350850=AXIS2_PLACEMENT_3D('',#530752,#436375,#436376); #350851=AXIS2_PLACEMENT_3D('',#530758,#436380,#436381); #350852=AXIS2_PLACEMENT_3D('',#530761,#436384,#436385); #350853=AXIS2_PLACEMENT_3D('',#530762,#436386,#436387); #350854=AXIS2_PLACEMENT_3D('',#530763,#436388,#436389); #350855=AXIS2_PLACEMENT_3D('',#530772,#436394,#436395); #350856=AXIS2_PLACEMENT_3D('',#530778,#436399,#436400); #350857=AXIS2_PLACEMENT_3D('',#530784,#436404,#436405); #350858=AXIS2_PLACEMENT_3D('',#530787,#436408,#436409); #350859=AXIS2_PLACEMENT_3D('',#530788,#436410,#436411); #350860=AXIS2_PLACEMENT_3D('',#530789,#436412,#436413); #350861=AXIS2_PLACEMENT_3D('',#530798,#436418,#436419); #350862=AXIS2_PLACEMENT_3D('',#530804,#436423,#436424); #350863=AXIS2_PLACEMENT_3D('',#530810,#436428,#436429); #350864=AXIS2_PLACEMENT_3D('',#530813,#436432,#436433); #350865=AXIS2_PLACEMENT_3D('',#530814,#436434,#436435); #350866=AXIS2_PLACEMENT_3D('',#530815,#436436,#436437); #350867=AXIS2_PLACEMENT_3D('',#530824,#436442,#436443); #350868=AXIS2_PLACEMENT_3D('',#530830,#436447,#436448); #350869=AXIS2_PLACEMENT_3D('',#530836,#436452,#436453); #350870=AXIS2_PLACEMENT_3D('',#530839,#436456,#436457); #350871=AXIS2_PLACEMENT_3D('',#530840,#436458,#436459); #350872=AXIS2_PLACEMENT_3D('',#530841,#436460,#436461); #350873=AXIS2_PLACEMENT_3D('',#530842,#436462,#436463); #350874=AXIS2_PLACEMENT_3D('',#530843,#436464,#436465); #350875=AXIS2_PLACEMENT_3D('',#530844,#436466,#436467); #350876=AXIS2_PLACEMENT_3D('',#530846,#436468,#436469); #350877=AXIS2_PLACEMENT_3D('',#530849,#436471,#436472); #350878=AXIS2_PLACEMENT_3D('',#530850,#436473,#436474); #350879=AXIS2_PLACEMENT_3D('',#530851,#436475,#436476); #350880=AXIS2_PLACEMENT_3D('',#530860,#436481,#436482); #350881=AXIS2_PLACEMENT_3D('',#530866,#436486,#436487); #350882=AXIS2_PLACEMENT_3D('',#530872,#436491,#436492); #350883=AXIS2_PLACEMENT_3D('',#530875,#436495,#436496); #350884=AXIS2_PLACEMENT_3D('',#530881,#436500,#436501); #350885=AXIS2_PLACEMENT_3D('',#530885,#436504,#436505); #350886=AXIS2_PLACEMENT_3D('',#530886,#436506,#436507); #350887=AXIS2_PLACEMENT_3D('',#530894,#436512,#436513); #350888=AXIS2_PLACEMENT_3D('',#530898,#436516,#436517); #350889=AXIS2_PLACEMENT_3D('',#530903,#436521,#436522); #350890=AXIS2_PLACEMENT_3D('',#530905,#436524,#436525); #350891=AXIS2_PLACEMENT_3D('',#530907,#436527,#436528); #350892=AXIS2_PLACEMENT_3D('',#530908,#436529,#436530); #350893=AXIS2_PLACEMENT_3D('',#530909,#436531,#436532); #350894=AXIS2_PLACEMENT_3D('',#530912,#436533,#436534); #350895=AXIS2_PLACEMENT_3D('',#530916,#436536,#436537); #350896=AXIS2_PLACEMENT_3D('',#530924,#436541,#436542); #350897=AXIS2_PLACEMENT_3D('',#530928,#436544,#436545); #350898=AXIS2_PLACEMENT_3D('',#530934,#436549,#436550); #350899=AXIS2_PLACEMENT_3D('',#530940,#436554,#436555); #350900=AXIS2_PLACEMENT_3D('',#530942,#436556,#436557); #350901=AXIS2_PLACEMENT_3D('',#530944,#436559,#436560); #350902=AXIS2_PLACEMENT_3D('',#530948,#436563,#436564); #350903=AXIS2_PLACEMENT_3D('',#530952,#436567,#436568); #350904=AXIS2_PLACEMENT_3D('',#530956,#436571,#436572); #350905=AXIS2_PLACEMENT_3D('',#530958,#436573,#436574); #350906=AXIS2_PLACEMENT_3D('',#530960,#436576,#436577); #350907=AXIS2_PLACEMENT_3D('',#530964,#436580,#436581); #350908=AXIS2_PLACEMENT_3D('',#530966,#436582,#436583); #350909=AXIS2_PLACEMENT_3D('',#530968,#436585,#436586); #350910=AXIS2_PLACEMENT_3D('',#530972,#436589,#436590); #350911=AXIS2_PLACEMENT_3D('',#530976,#436593,#436594); #350912=AXIS2_PLACEMENT_3D('',#530980,#436597,#436598); #350913=AXIS2_PLACEMENT_3D('',#530981,#436599,#436600); #350914=AXIS2_PLACEMENT_3D('',#530982,#436601,#436602); #350915=AXIS2_PLACEMENT_3D('',#530983,#436603,#436604); #350916=AXIS2_PLACEMENT_3D('',#530986,#436605,#436606); #350917=AXIS2_PLACEMENT_3D('',#530994,#436610,#436611); #350918=AXIS2_PLACEMENT_3D('',#530998,#436613,#436614); #350919=AXIS2_PLACEMENT_3D('',#531006,#436618,#436619); #350920=AXIS2_PLACEMENT_3D('',#531008,#436621,#436622); #350921=AXIS2_PLACEMENT_3D('',#531014,#436626,#436627); #350922=AXIS2_PLACEMENT_3D('',#531017,#436629,#436630); #350923=AXIS2_PLACEMENT_3D('',#531018,#436631,#436632); #350924=AXIS2_PLACEMENT_3D('',#531022,#436635,#436636); #350925=AXIS2_PLACEMENT_3D('',#531026,#436639,#436640); #350926=AXIS2_PLACEMENT_3D('',#531030,#436643,#436644); #350927=AXIS2_PLACEMENT_3D('',#531033,#436646,#436647); #350928=AXIS2_PLACEMENT_3D('',#531034,#436648,#436649); #350929=AXIS2_PLACEMENT_3D('',#531038,#436652,#436653); #350930=AXIS2_PLACEMENT_3D('',#531041,#436655,#436656); #350931=AXIS2_PLACEMENT_3D('',#531042,#436657,#436658); #350932=AXIS2_PLACEMENT_3D('',#531046,#436661,#436662); #350933=AXIS2_PLACEMENT_3D('',#531050,#436665,#436666); #350934=AXIS2_PLACEMENT_3D('',#531054,#436669,#436670); #350935=AXIS2_PLACEMENT_3D('',#531055,#436671,#436672); #350936=AXIS2_PLACEMENT_3D('',#531056,#436673,#436674); #350937=AXIS2_PLACEMENT_3D('',#531057,#436675,#436676); #350938=AXIS2_PLACEMENT_3D('',#531060,#436677,#436678); #350939=AXIS2_PLACEMENT_3D('',#531064,#436680,#436681); #350940=AXIS2_PLACEMENT_3D('',#531072,#436685,#436686); #350941=AXIS2_PLACEMENT_3D('',#531076,#436688,#436689); #350942=AXIS2_PLACEMENT_3D('',#531082,#436693,#436694); #350943=AXIS2_PLACEMENT_3D('',#531088,#436698,#436699); #350944=AXIS2_PLACEMENT_3D('',#531090,#436700,#436701); #350945=AXIS2_PLACEMENT_3D('',#531092,#436703,#436704); #350946=AXIS2_PLACEMENT_3D('',#531096,#436707,#436708); #350947=AXIS2_PLACEMENT_3D('',#531100,#436711,#436712); #350948=AXIS2_PLACEMENT_3D('',#531104,#436715,#436716); #350949=AXIS2_PLACEMENT_3D('',#531106,#436717,#436718); #350950=AXIS2_PLACEMENT_3D('',#531108,#436720,#436721); #350951=AXIS2_PLACEMENT_3D('',#531112,#436724,#436725); #350952=AXIS2_PLACEMENT_3D('',#531114,#436726,#436727); #350953=AXIS2_PLACEMENT_3D('',#531116,#436729,#436730); #350954=AXIS2_PLACEMENT_3D('',#531120,#436733,#436734); #350955=AXIS2_PLACEMENT_3D('',#531124,#436737,#436738); #350956=AXIS2_PLACEMENT_3D('',#531128,#436741,#436742); #350957=AXIS2_PLACEMENT_3D('',#531129,#436743,#436744); #350958=AXIS2_PLACEMENT_3D('',#531130,#436745,#436746); #350959=AXIS2_PLACEMENT_3D('',#531131,#436747,#436748); #350960=AXIS2_PLACEMENT_3D('',#531134,#436749,#436750); #350961=AXIS2_PLACEMENT_3D('',#531138,#436752,#436753); #350962=AXIS2_PLACEMENT_3D('',#531146,#436757,#436758); #350963=AXIS2_PLACEMENT_3D('',#531150,#436760,#436761); #350964=AXIS2_PLACEMENT_3D('',#531156,#436765,#436766); #350965=AXIS2_PLACEMENT_3D('',#531162,#436770,#436771); #350966=AXIS2_PLACEMENT_3D('',#531164,#436772,#436773); #350967=AXIS2_PLACEMENT_3D('',#531166,#436775,#436776); #350968=AXIS2_PLACEMENT_3D('',#531170,#436779,#436780); #350969=AXIS2_PLACEMENT_3D('',#531174,#436783,#436784); #350970=AXIS2_PLACEMENT_3D('',#531178,#436787,#436788); #350971=AXIS2_PLACEMENT_3D('',#531180,#436789,#436790); #350972=AXIS2_PLACEMENT_3D('',#531182,#436792,#436793); #350973=AXIS2_PLACEMENT_3D('',#531186,#436796,#436797); #350974=AXIS2_PLACEMENT_3D('',#531188,#436798,#436799); #350975=AXIS2_PLACEMENT_3D('',#531190,#436801,#436802); #350976=AXIS2_PLACEMENT_3D('',#531194,#436805,#436806); #350977=AXIS2_PLACEMENT_3D('',#531198,#436809,#436810); #350978=AXIS2_PLACEMENT_3D('',#531202,#436813,#436814); #350979=AXIS2_PLACEMENT_3D('',#531203,#436815,#436816); #350980=AXIS2_PLACEMENT_3D('',#531204,#436817,#436818); #350981=AXIS2_PLACEMENT_3D('',#531205,#436819,#436820); #350982=AXIS2_PLACEMENT_3D('',#531208,#436821,#436822); #350983=AXIS2_PLACEMENT_3D('',#531212,#436824,#436825); #350984=AXIS2_PLACEMENT_3D('',#531220,#436829,#436830); #350985=AXIS2_PLACEMENT_3D('',#531224,#436832,#436833); #350986=AXIS2_PLACEMENT_3D('',#531230,#436837,#436838); #350987=AXIS2_PLACEMENT_3D('',#531236,#436842,#436843); #350988=AXIS2_PLACEMENT_3D('',#531238,#436844,#436845); #350989=AXIS2_PLACEMENT_3D('',#531240,#436847,#436848); #350990=AXIS2_PLACEMENT_3D('',#531244,#436851,#436852); #350991=AXIS2_PLACEMENT_3D('',#531248,#436855,#436856); #350992=AXIS2_PLACEMENT_3D('',#531252,#436859,#436860); #350993=AXIS2_PLACEMENT_3D('',#531254,#436861,#436862); #350994=AXIS2_PLACEMENT_3D('',#531256,#436864,#436865); #350995=AXIS2_PLACEMENT_3D('',#531260,#436868,#436869); #350996=AXIS2_PLACEMENT_3D('',#531262,#436870,#436871); #350997=AXIS2_PLACEMENT_3D('',#531264,#436873,#436874); #350998=AXIS2_PLACEMENT_3D('',#531268,#436877,#436878); #350999=AXIS2_PLACEMENT_3D('',#531272,#436881,#436882); #351000=AXIS2_PLACEMENT_3D('',#531276,#436885,#436886); #351001=AXIS2_PLACEMENT_3D('',#531277,#436887,#436888); #351002=AXIS2_PLACEMENT_3D('',#531278,#436889,#436890); #351003=AXIS2_PLACEMENT_3D('',#531279,#436891,#436892); #351004=AXIS2_PLACEMENT_3D('',#531282,#436893,#436894); #351005=AXIS2_PLACEMENT_3D('',#531290,#436898,#436899); #351006=AXIS2_PLACEMENT_3D('',#531294,#436901,#436902); #351007=AXIS2_PLACEMENT_3D('',#531302,#436906,#436907); #351008=AXIS2_PLACEMENT_3D('',#531304,#436909,#436910); #351009=AXIS2_PLACEMENT_3D('',#531310,#436914,#436915); #351010=AXIS2_PLACEMENT_3D('',#531313,#436917,#436918); #351011=AXIS2_PLACEMENT_3D('',#531314,#436919,#436920); #351012=AXIS2_PLACEMENT_3D('',#531318,#436923,#436924); #351013=AXIS2_PLACEMENT_3D('',#531322,#436927,#436928); #351014=AXIS2_PLACEMENT_3D('',#531326,#436931,#436932); #351015=AXIS2_PLACEMENT_3D('',#531329,#436934,#436935); #351016=AXIS2_PLACEMENT_3D('',#531330,#436936,#436937); #351017=AXIS2_PLACEMENT_3D('',#531334,#436940,#436941); #351018=AXIS2_PLACEMENT_3D('',#531337,#436943,#436944); #351019=AXIS2_PLACEMENT_3D('',#531338,#436945,#436946); #351020=AXIS2_PLACEMENT_3D('',#531342,#436949,#436950); #351021=AXIS2_PLACEMENT_3D('',#531346,#436953,#436954); #351022=AXIS2_PLACEMENT_3D('',#531350,#436957,#436958); #351023=AXIS2_PLACEMENT_3D('',#531351,#436959,#436960); #351024=AXIS2_PLACEMENT_3D('',#531352,#436961,#436962); #351025=AXIS2_PLACEMENT_3D('',#531353,#436963,#436964); #351026=AXIS2_PLACEMENT_3D('',#531356,#436965,#436966); #351027=AXIS2_PLACEMENT_3D('',#531364,#436970,#436971); #351028=AXIS2_PLACEMENT_3D('',#531368,#436973,#436974); #351029=AXIS2_PLACEMENT_3D('',#531376,#436978,#436979); #351030=AXIS2_PLACEMENT_3D('',#531378,#436981,#436982); #351031=AXIS2_PLACEMENT_3D('',#531384,#436986,#436987); #351032=AXIS2_PLACEMENT_3D('',#531387,#436989,#436990); #351033=AXIS2_PLACEMENT_3D('',#531388,#436991,#436992); #351034=AXIS2_PLACEMENT_3D('',#531392,#436995,#436996); #351035=AXIS2_PLACEMENT_3D('',#531396,#436999,#437000); #351036=AXIS2_PLACEMENT_3D('',#531400,#437003,#437004); #351037=AXIS2_PLACEMENT_3D('',#531403,#437006,#437007); #351038=AXIS2_PLACEMENT_3D('',#531404,#437008,#437009); #351039=AXIS2_PLACEMENT_3D('',#531408,#437012,#437013); #351040=AXIS2_PLACEMENT_3D('',#531411,#437015,#437016); #351041=AXIS2_PLACEMENT_3D('',#531412,#437017,#437018); #351042=AXIS2_PLACEMENT_3D('',#531416,#437021,#437022); #351043=AXIS2_PLACEMENT_3D('',#531420,#437025,#437026); #351044=AXIS2_PLACEMENT_3D('',#531424,#437029,#437030); #351045=AXIS2_PLACEMENT_3D('',#531425,#437031,#437032); #351046=AXIS2_PLACEMENT_3D('',#531426,#437033,#437034); #351047=AXIS2_PLACEMENT_3D('',#531427,#437035,#437036); #351048=AXIS2_PLACEMENT_3D('',#531430,#437037,#437038); #351049=AXIS2_PLACEMENT_3D('',#531438,#437042,#437043); #351050=AXIS2_PLACEMENT_3D('',#531442,#437045,#437046); #351051=AXIS2_PLACEMENT_3D('',#531450,#437050,#437051); #351052=AXIS2_PLACEMENT_3D('',#531452,#437053,#437054); #351053=AXIS2_PLACEMENT_3D('',#531458,#437058,#437059); #351054=AXIS2_PLACEMENT_3D('',#531461,#437061,#437062); #351055=AXIS2_PLACEMENT_3D('',#531462,#437063,#437064); #351056=AXIS2_PLACEMENT_3D('',#531466,#437067,#437068); #351057=AXIS2_PLACEMENT_3D('',#531470,#437071,#437072); #351058=AXIS2_PLACEMENT_3D('',#531474,#437075,#437076); #351059=AXIS2_PLACEMENT_3D('',#531477,#437078,#437079); #351060=AXIS2_PLACEMENT_3D('',#531478,#437080,#437081); #351061=AXIS2_PLACEMENT_3D('',#531482,#437084,#437085); #351062=AXIS2_PLACEMENT_3D('',#531485,#437087,#437088); #351063=AXIS2_PLACEMENT_3D('',#531486,#437089,#437090); #351064=AXIS2_PLACEMENT_3D('',#531490,#437093,#437094); #351065=AXIS2_PLACEMENT_3D('',#531494,#437097,#437098); #351066=AXIS2_PLACEMENT_3D('',#531498,#437101,#437102); #351067=AXIS2_PLACEMENT_3D('',#531499,#437103,#437104); #351068=AXIS2_PLACEMENT_3D('',#531500,#437105,#437106); #351069=AXIS2_PLACEMENT_3D('',#531501,#437107,#437108); #351070=AXIS2_PLACEMENT_3D('',#531502,#437109,#437110); #351071=AXIS2_PLACEMENT_3D('',#531503,#437111,#437112); #351072=AXIS2_PLACEMENT_3D('',#531504,#437113,#437114); #351073=AXIS2_PLACEMENT_3D('',#531506,#437115,#437116); #351074=AXIS2_PLACEMENT_3D('',#531509,#437118,#437119); #351075=AXIS2_PLACEMENT_3D('',#531510,#437120,#437121); #351076=AXIS2_PLACEMENT_3D('',#531511,#437122,#437123); #351077=AXIS2_PLACEMENT_3D('',#531520,#437128,#437129); #351078=AXIS2_PLACEMENT_3D('',#531526,#437133,#437134); #351079=AXIS2_PLACEMENT_3D('',#531532,#437138,#437139); #351080=AXIS2_PLACEMENT_3D('',#531535,#437142,#437143); #351081=AXIS2_PLACEMENT_3D('',#531541,#437147,#437148); #351082=AXIS2_PLACEMENT_3D('',#531545,#437151,#437152); #351083=AXIS2_PLACEMENT_3D('',#531546,#437153,#437154); #351084=AXIS2_PLACEMENT_3D('',#531554,#437159,#437160); #351085=AXIS2_PLACEMENT_3D('',#531558,#437163,#437164); #351086=AXIS2_PLACEMENT_3D('',#531563,#437168,#437169); #351087=AXIS2_PLACEMENT_3D('',#531565,#437171,#437172); #351088=AXIS2_PLACEMENT_3D('',#531567,#437174,#437175); #351089=AXIS2_PLACEMENT_3D('',#531568,#437176,#437177); #351090=AXIS2_PLACEMENT_3D('',#531569,#437178,#437179); #351091=AXIS2_PLACEMENT_3D('',#531572,#437180,#437181); #351092=AXIS2_PLACEMENT_3D('',#531580,#437185,#437186); #351093=AXIS2_PLACEMENT_3D('',#531584,#437188,#437189); #351094=AXIS2_PLACEMENT_3D('',#531592,#437193,#437194); #351095=AXIS2_PLACEMENT_3D('',#531594,#437196,#437197); #351096=AXIS2_PLACEMENT_3D('',#531600,#437201,#437202); #351097=AXIS2_PLACEMENT_3D('',#531604,#437205,#437206); #351098=AXIS2_PLACEMENT_3D('',#531608,#437209,#437210); #351099=AXIS2_PLACEMENT_3D('',#531610,#437211,#437212); #351100=AXIS2_PLACEMENT_3D('',#531612,#437214,#437215); #351101=AXIS2_PLACEMENT_3D('',#531616,#437218,#437219); #351102=AXIS2_PLACEMENT_3D('',#531618,#437220,#437221); #351103=AXIS2_PLACEMENT_3D('',#531620,#437223,#437224); #351104=AXIS2_PLACEMENT_3D('',#531624,#437227,#437228); #351105=AXIS2_PLACEMENT_3D('',#531628,#437231,#437232); #351106=AXIS2_PLACEMENT_3D('',#531632,#437235,#437236); #351107=AXIS2_PLACEMENT_3D('',#531634,#437237,#437238); #351108=AXIS2_PLACEMENT_3D('',#531636,#437240,#437241); #351109=AXIS2_PLACEMENT_3D('',#531640,#437244,#437245); #351110=AXIS2_PLACEMENT_3D('',#531641,#437246,#437247); #351111=AXIS2_PLACEMENT_3D('',#531642,#437248,#437249); #351112=AXIS2_PLACEMENT_3D('',#531643,#437250,#437251); #351113=AXIS2_PLACEMENT_3D('',#531646,#437252,#437253); #351114=AXIS2_PLACEMENT_3D('',#531654,#437257,#437258); #351115=AXIS2_PLACEMENT_3D('',#531658,#437260,#437261); #351116=AXIS2_PLACEMENT_3D('',#531666,#437265,#437266); #351117=AXIS2_PLACEMENT_3D('',#531668,#437268,#437269); #351118=AXIS2_PLACEMENT_3D('',#531674,#437273,#437274); #351119=AXIS2_PLACEMENT_3D('',#531678,#437277,#437278); #351120=AXIS2_PLACEMENT_3D('',#531682,#437281,#437282); #351121=AXIS2_PLACEMENT_3D('',#531684,#437283,#437284); #351122=AXIS2_PLACEMENT_3D('',#531686,#437286,#437287); #351123=AXIS2_PLACEMENT_3D('',#531690,#437290,#437291); #351124=AXIS2_PLACEMENT_3D('',#531692,#437292,#437293); #351125=AXIS2_PLACEMENT_3D('',#531694,#437295,#437296); #351126=AXIS2_PLACEMENT_3D('',#531698,#437299,#437300); #351127=AXIS2_PLACEMENT_3D('',#531702,#437303,#437304); #351128=AXIS2_PLACEMENT_3D('',#531706,#437307,#437308); #351129=AXIS2_PLACEMENT_3D('',#531708,#437309,#437310); #351130=AXIS2_PLACEMENT_3D('',#531710,#437312,#437313); #351131=AXIS2_PLACEMENT_3D('',#531714,#437316,#437317); #351132=AXIS2_PLACEMENT_3D('',#531715,#437318,#437319); #351133=AXIS2_PLACEMENT_3D('',#531716,#437320,#437321); #351134=AXIS2_PLACEMENT_3D('',#531717,#437322,#437323); #351135=AXIS2_PLACEMENT_3D('',#531720,#437324,#437325); #351136=AXIS2_PLACEMENT_3D('',#531728,#437329,#437330); #351137=AXIS2_PLACEMENT_3D('',#531732,#437332,#437333); #351138=AXIS2_PLACEMENT_3D('',#531740,#437337,#437338); #351139=AXIS2_PLACEMENT_3D('',#531742,#437340,#437341); #351140=AXIS2_PLACEMENT_3D('',#531748,#437345,#437346); #351141=AXIS2_PLACEMENT_3D('',#531752,#437349,#437350); #351142=AXIS2_PLACEMENT_3D('',#531756,#437353,#437354); #351143=AXIS2_PLACEMENT_3D('',#531758,#437355,#437356); #351144=AXIS2_PLACEMENT_3D('',#531760,#437358,#437359); #351145=AXIS2_PLACEMENT_3D('',#531764,#437362,#437363); #351146=AXIS2_PLACEMENT_3D('',#531766,#437364,#437365); #351147=AXIS2_PLACEMENT_3D('',#531768,#437367,#437368); #351148=AXIS2_PLACEMENT_3D('',#531772,#437371,#437372); #351149=AXIS2_PLACEMENT_3D('',#531776,#437375,#437376); #351150=AXIS2_PLACEMENT_3D('',#531780,#437379,#437380); #351151=AXIS2_PLACEMENT_3D('',#531782,#437381,#437382); #351152=AXIS2_PLACEMENT_3D('',#531784,#437384,#437385); #351153=AXIS2_PLACEMENT_3D('',#531788,#437388,#437389); #351154=AXIS2_PLACEMENT_3D('',#531789,#437390,#437391); #351155=AXIS2_PLACEMENT_3D('',#531790,#437392,#437393); #351156=AXIS2_PLACEMENT_3D('',#531791,#437394,#437395); #351157=AXIS2_PLACEMENT_3D('',#531794,#437396,#437397); #351158=AXIS2_PLACEMENT_3D('',#531802,#437401,#437402); #351159=AXIS2_PLACEMENT_3D('',#531806,#437404,#437405); #351160=AXIS2_PLACEMENT_3D('',#531814,#437409,#437410); #351161=AXIS2_PLACEMENT_3D('',#531816,#437412,#437413); #351162=AXIS2_PLACEMENT_3D('',#531822,#437417,#437418); #351163=AXIS2_PLACEMENT_3D('',#531826,#437421,#437422); #351164=AXIS2_PLACEMENT_3D('',#531830,#437425,#437426); #351165=AXIS2_PLACEMENT_3D('',#531832,#437427,#437428); #351166=AXIS2_PLACEMENT_3D('',#531834,#437430,#437431); #351167=AXIS2_PLACEMENT_3D('',#531838,#437434,#437435); #351168=AXIS2_PLACEMENT_3D('',#531840,#437436,#437437); #351169=AXIS2_PLACEMENT_3D('',#531842,#437439,#437440); #351170=AXIS2_PLACEMENT_3D('',#531846,#437443,#437444); #351171=AXIS2_PLACEMENT_3D('',#531850,#437447,#437448); #351172=AXIS2_PLACEMENT_3D('',#531854,#437451,#437452); #351173=AXIS2_PLACEMENT_3D('',#531856,#437453,#437454); #351174=AXIS2_PLACEMENT_3D('',#531858,#437456,#437457); #351175=AXIS2_PLACEMENT_3D('',#531862,#437460,#437461); #351176=AXIS2_PLACEMENT_3D('',#531863,#437462,#437463); #351177=AXIS2_PLACEMENT_3D('',#531864,#437464,#437465); #351178=AXIS2_PLACEMENT_3D('',#531865,#437466,#437467); #351179=AXIS2_PLACEMENT_3D('',#531868,#437468,#437469); #351180=AXIS2_PLACEMENT_3D('',#531876,#437473,#437474); #351181=AXIS2_PLACEMENT_3D('',#531880,#437476,#437477); #351182=AXIS2_PLACEMENT_3D('',#531888,#437481,#437482); #351183=AXIS2_PLACEMENT_3D('',#531890,#437484,#437485); #351184=AXIS2_PLACEMENT_3D('',#531896,#437489,#437490); #351185=AXIS2_PLACEMENT_3D('',#531900,#437493,#437494); #351186=AXIS2_PLACEMENT_3D('',#531904,#437497,#437498); #351187=AXIS2_PLACEMENT_3D('',#531906,#437499,#437500); #351188=AXIS2_PLACEMENT_3D('',#531908,#437502,#437503); #351189=AXIS2_PLACEMENT_3D('',#531912,#437506,#437507); #351190=AXIS2_PLACEMENT_3D('',#531914,#437508,#437509); #351191=AXIS2_PLACEMENT_3D('',#531916,#437511,#437512); #351192=AXIS2_PLACEMENT_3D('',#531920,#437515,#437516); #351193=AXIS2_PLACEMENT_3D('',#531924,#437519,#437520); #351194=AXIS2_PLACEMENT_3D('',#531928,#437523,#437524); #351195=AXIS2_PLACEMENT_3D('',#531930,#437525,#437526); #351196=AXIS2_PLACEMENT_3D('',#531932,#437528,#437529); #351197=AXIS2_PLACEMENT_3D('',#531936,#437532,#437533); #351198=AXIS2_PLACEMENT_3D('',#531937,#437534,#437535); #351199=AXIS2_PLACEMENT_3D('',#531938,#437536,#437537); #351200=AXIS2_PLACEMENT_3D('',#531939,#437538,#437539); #351201=AXIS2_PLACEMENT_3D('',#531940,#437540,#437541); #351202=AXIS2_PLACEMENT_3D('',#531941,#437542,#437543); #351203=AXIS2_PLACEMENT_3D('',#531942,#437544,#437545); #351204=AXIS2_PLACEMENT_3D('',#531945,#437546,#437547); #351205=AXIS2_PLACEMENT_3D('',#531947,#437548,#437549); #351206=AXIS2_PLACEMENT_3D('',#531948,#437550,#437551); #351207=AXIS2_PLACEMENT_3D('',#531949,#437552,#437553); #351208=AXIS2_PLACEMENT_3D('',#531952,#437554,#437555); #351209=AXIS2_PLACEMENT_3D('',#531954,#437556,#437557); #351210=AXIS2_PLACEMENT_3D('',#531955,#437558,#437559); #351211=AXIS2_PLACEMENT_3D('',#531956,#437560,#437561); #351212=AXIS2_PLACEMENT_3D('',#531959,#437562,#437563); #351213=AXIS2_PLACEMENT_3D('',#531961,#437564,#437565); #351214=AXIS2_PLACEMENT_3D('',#531962,#437566,#437567); #351215=AXIS2_PLACEMENT_3D('',#531963,#437568,#437569); #351216=AXIS2_PLACEMENT_3D('',#531966,#437570,#437571); #351217=AXIS2_PLACEMENT_3D('',#531968,#437572,#437573); #351218=AXIS2_PLACEMENT_3D('',#531969,#437574,#437575); #351219=AXIS2_PLACEMENT_3D('',#531970,#437576,#437577); #351220=AXIS2_PLACEMENT_3D('',#531973,#437578,#437579); #351221=AXIS2_PLACEMENT_3D('',#531975,#437580,#437581); #351222=AXIS2_PLACEMENT_3D('',#531976,#437582,#437583); #351223=AXIS2_PLACEMENT_3D('',#531977,#437584,#437585); #351224=AXIS2_PLACEMENT_3D('',#531980,#437588,#437589); #351225=AXIS2_PLACEMENT_3D('',#531983,#437590,#437591); #351226=AXIS2_PLACEMENT_3D('',#531985,#437592,#437593); #351227=AXIS2_PLACEMENT_3D('',#531986,#437594,#437595); #351228=AXIS2_PLACEMENT_3D('',#531987,#437596,#437597); #351229=AXIS2_PLACEMENT_3D('',#531990,#437598,#437599); #351230=AXIS2_PLACEMENT_3D('',#531992,#437600,#437601); #351231=AXIS2_PLACEMENT_3D('',#531993,#437602,#437603); #351232=AXIS2_PLACEMENT_3D('',#531994,#437604,#437605); #351233=AXIS2_PLACEMENT_3D('',#531997,#437608,#437609); #351234=AXIS2_PLACEMENT_3D('',#532000,#437612,#437613); #351235=AXIS2_PLACEMENT_3D('',#532003,#437614,#437615); #351236=AXIS2_PLACEMENT_3D('',#532005,#437616,#437617); #351237=AXIS2_PLACEMENT_3D('',#532006,#437618,#437619); #351238=AXIS2_PLACEMENT_3D('',#532007,#437620,#437621); #351239=AXIS2_PLACEMENT_3D('',#532010,#437624,#437625); #351240=AXIS2_PLACEMENT_3D('',#532013,#437628,#437629); #351241=AXIS2_PLACEMENT_3D('',#532016,#437632,#437633); #351242=AXIS2_PLACEMENT_3D('',#532019,#437636,#437637); #351243=AXIS2_PLACEMENT_3D('',#532022,#437640,#437641); #351244=AXIS2_PLACEMENT_3D('',#532025,#437644,#437645); #351245=AXIS2_PLACEMENT_3D('',#532028,#437648,#437649); #351246=AXIS2_PLACEMENT_3D('',#532031,#437652,#437653); #351247=AXIS2_PLACEMENT_3D('',#532034,#437656,#437657); #351248=AXIS2_PLACEMENT_3D('',#532035,#437658,#437659); #351249=AXIS2_PLACEMENT_3D('',#532036,#437660,#437661); #351250=AXIS2_PLACEMENT_3D('',#532037,#437662,#437663); #351251=AXIS2_PLACEMENT_3D('',#532038,#437664,#437665); #351252=AXIS2_PLACEMENT_3D('',#532039,#437666,#437667); #351253=AXIS2_PLACEMENT_3D('',#532040,#437668,#437669); #351254=AXIS2_PLACEMENT_3D('',#532043,#437670,#437671); #351255=AXIS2_PLACEMENT_3D('',#532045,#437672,#437673); #351256=AXIS2_PLACEMENT_3D('',#532046,#437674,#437675); #351257=AXIS2_PLACEMENT_3D('',#532047,#437676,#437677); #351258=AXIS2_PLACEMENT_3D('',#532050,#437678,#437679); #351259=AXIS2_PLACEMENT_3D('',#532052,#437680,#437681); #351260=AXIS2_PLACEMENT_3D('',#532053,#437682,#437683); #351261=AXIS2_PLACEMENT_3D('',#532054,#437684,#437685); #351262=AXIS2_PLACEMENT_3D('',#532057,#437686,#437687); #351263=AXIS2_PLACEMENT_3D('',#532059,#437688,#437689); #351264=AXIS2_PLACEMENT_3D('',#532060,#437690,#437691); #351265=AXIS2_PLACEMENT_3D('',#532061,#437692,#437693); #351266=AXIS2_PLACEMENT_3D('',#532064,#437694,#437695); #351267=AXIS2_PLACEMENT_3D('',#532066,#437696,#437697); #351268=AXIS2_PLACEMENT_3D('',#532067,#437698,#437699); #351269=AXIS2_PLACEMENT_3D('',#532068,#437700,#437701); #351270=AXIS2_PLACEMENT_3D('',#532071,#437702,#437703); #351271=AXIS2_PLACEMENT_3D('',#532073,#437704,#437705); #351272=AXIS2_PLACEMENT_3D('',#532074,#437706,#437707); #351273=AXIS2_PLACEMENT_3D('',#532075,#437708,#437709); #351274=AXIS2_PLACEMENT_3D('',#532078,#437712,#437713); #351275=AXIS2_PLACEMENT_3D('',#532081,#437714,#437715); #351276=AXIS2_PLACEMENT_3D('',#532083,#437716,#437717); #351277=AXIS2_PLACEMENT_3D('',#532084,#437718,#437719); #351278=AXIS2_PLACEMENT_3D('',#532085,#437720,#437721); #351279=AXIS2_PLACEMENT_3D('',#532088,#437722,#437723); #351280=AXIS2_PLACEMENT_3D('',#532090,#437724,#437725); #351281=AXIS2_PLACEMENT_3D('',#532091,#437726,#437727); #351282=AXIS2_PLACEMENT_3D('',#532092,#437728,#437729); #351283=AXIS2_PLACEMENT_3D('',#532095,#437732,#437733); #351284=AXIS2_PLACEMENT_3D('',#532098,#437736,#437737); #351285=AXIS2_PLACEMENT_3D('',#532101,#437738,#437739); #351286=AXIS2_PLACEMENT_3D('',#532103,#437740,#437741); #351287=AXIS2_PLACEMENT_3D('',#532104,#437742,#437743); #351288=AXIS2_PLACEMENT_3D('',#532105,#437744,#437745); #351289=AXIS2_PLACEMENT_3D('',#532108,#437748,#437749); #351290=AXIS2_PLACEMENT_3D('',#532111,#437752,#437753); #351291=AXIS2_PLACEMENT_3D('',#532114,#437756,#437757); #351292=AXIS2_PLACEMENT_3D('',#532117,#437760,#437761); #351293=AXIS2_PLACEMENT_3D('',#532120,#437764,#437765); #351294=AXIS2_PLACEMENT_3D('',#532123,#437768,#437769); #351295=AXIS2_PLACEMENT_3D('',#532126,#437772,#437773); #351296=AXIS2_PLACEMENT_3D('',#532129,#437776,#437777); #351297=AXIS2_PLACEMENT_3D('',#532132,#437780,#437781); #351298=AXIS2_PLACEMENT_3D('',#532133,#437782,#437783); #351299=AXIS2_PLACEMENT_3D('',#532134,#437784,#437785); #351300=AXIS2_PLACEMENT_3D('',#532135,#437786,#437787); #351301=AXIS2_PLACEMENT_3D('',#532136,#437788,#437789); #351302=AXIS2_PLACEMENT_3D('',#532137,#437790,#437791); #351303=AXIS2_PLACEMENT_3D('',#532138,#437792,#437793); #351304=AXIS2_PLACEMENT_3D('',#532147,#437798,#437799); #351305=AXIS2_PLACEMENT_3D('',#532153,#437803,#437804); #351306=AXIS2_PLACEMENT_3D('',#532159,#437808,#437809); #351307=AXIS2_PLACEMENT_3D('',#532162,#437812,#437813); #351308=AXIS2_PLACEMENT_3D('',#532163,#437814,#437815); #351309=AXIS2_PLACEMENT_3D('',#532164,#437816,#437817); #351310=AXIS2_PLACEMENT_3D('',#532165,#437818,#437819); #351311=AXIS2_PLACEMENT_3D('',#532166,#437820,#437821); #351312=AXIS2_PLACEMENT_3D('',#532167,#437822,#437823); #351313=AXIS2_PLACEMENT_3D('',#532168,#437824,#437825); #351314=AXIS2_PLACEMENT_3D('',#532169,#437826,#437827); #351315=AXIS2_PLACEMENT_3D('',#532170,#437828,#437829); #351316=AXIS2_PLACEMENT_3D('',#532171,#437830,#437831); #351317=AXIS2_PLACEMENT_3D('',#532172,#437832,#437833); #351318=AXIS2_PLACEMENT_3D('',#532173,#437834,#437835); #351319=AXIS2_PLACEMENT_3D('',#532174,#437836,#437837); #351320=AXIS2_PLACEMENT_3D('',#532175,#437838,#437839); #351321=AXIS2_PLACEMENT_3D('',#532176,#437840,#437841); #351322=AXIS2_PLACEMENT_3D('',#532177,#437842,#437843); #351323=AXIS2_PLACEMENT_3D('',#532178,#437844,#437845); #351324=AXIS2_PLACEMENT_3D('',#532179,#437846,#437847); #351325=AXIS2_PLACEMENT_3D('',#532180,#437848,#437849); #351326=AXIS2_PLACEMENT_3D('',#532181,#437850,#437851); #351327=AXIS2_PLACEMENT_3D('',#532182,#437852,#437853); #351328=AXIS2_PLACEMENT_3D('',#532183,#437854,#437855); #351329=AXIS2_PLACEMENT_3D('',#532184,#437856,#437857); #351330=AXIS2_PLACEMENT_3D('',#532185,#437858,#437859); #351331=AXIS2_PLACEMENT_3D('',#532186,#437860,#437861); #351332=AXIS2_PLACEMENT_3D('',#532187,#437862,#437863); #351333=AXIS2_PLACEMENT_3D('',#532188,#437864,#437865); #351334=AXIS2_PLACEMENT_3D('',#532189,#437866,#437867); #351335=AXIS2_PLACEMENT_3D('',#532190,#437868,#437869); #351336=AXIS2_PLACEMENT_3D('',#532191,#437870,#437871); #351337=AXIS2_PLACEMENT_3D('',#532192,#437872,#437873); #351338=AXIS2_PLACEMENT_3D('',#532193,#437874,#437875); #351339=AXIS2_PLACEMENT_3D('',#532194,#437876,#437877); #351340=AXIS2_PLACEMENT_3D('',#532195,#437878,#437879); #351341=AXIS2_PLACEMENT_3D('',#532196,#437880,#437881); #351342=AXIS2_PLACEMENT_3D('',#532197,#437882,#437883); #351343=AXIS2_PLACEMENT_3D('',#532198,#437884,#437885); #351344=AXIS2_PLACEMENT_3D('',#532199,#437886,#437887); #351345=AXIS2_PLACEMENT_3D('',#532200,#437888,#437889); #351346=AXIS2_PLACEMENT_3D('',#532201,#437890,#437891); #351347=AXIS2_PLACEMENT_3D('',#532202,#437892,#437893); #351348=AXIS2_PLACEMENT_3D('',#532203,#437894,#437895); #351349=AXIS2_PLACEMENT_3D('',#532204,#437896,#437897); #351350=AXIS2_PLACEMENT_3D('',#532205,#437898,#437899); #351351=AXIS2_PLACEMENT_3D('',#532206,#437900,#437901); #351352=AXIS2_PLACEMENT_3D('',#532207,#437902,#437903); #351353=AXIS2_PLACEMENT_3D('',#532208,#437904,#437905); #351354=AXIS2_PLACEMENT_3D('',#532209,#437906,#437907); #351355=AXIS2_PLACEMENT_3D('',#532210,#437908,#437909); #351356=AXIS2_PLACEMENT_3D('',#532211,#437910,#437911); #351357=AXIS2_PLACEMENT_3D('',#532212,#437912,#437913); #351358=AXIS2_PLACEMENT_3D('',#532213,#437914,#437915); #351359=AXIS2_PLACEMENT_3D('',#532214,#437916,#437917); #351360=AXIS2_PLACEMENT_3D('',#532215,#437918,#437919); #351361=AXIS2_PLACEMENT_3D('',#532216,#437920,#437921); #351362=AXIS2_PLACEMENT_3D('',#532217,#437922,#437923); #351363=AXIS2_PLACEMENT_3D('',#532218,#437924,#437925); #351364=AXIS2_PLACEMENT_3D('',#532219,#437926,#437927); #351365=AXIS2_PLACEMENT_3D('',#532220,#437928,#437929); #351366=AXIS2_PLACEMENT_3D('',#532221,#437930,#437931); #351367=AXIS2_PLACEMENT_3D('',#532222,#437932,#437933); #351368=AXIS2_PLACEMENT_3D('',#532223,#437934,#437935); #351369=AXIS2_PLACEMENT_3D('',#532224,#437936,#437937); #351370=AXIS2_PLACEMENT_3D('',#532225,#437938,#437939); #351371=AXIS2_PLACEMENT_3D('',#532226,#437940,#437941); #351372=AXIS2_PLACEMENT_3D('',#532227,#437942,#437943); #351373=AXIS2_PLACEMENT_3D('',#532228,#437944,#437945); #351374=AXIS2_PLACEMENT_3D('',#532229,#437946,#437947); #351375=AXIS2_PLACEMENT_3D('',#532230,#437948,#437949); #351376=AXIS2_PLACEMENT_3D('',#532231,#437950,#437951); #351377=AXIS2_PLACEMENT_3D('',#532232,#437952,#437953); #351378=AXIS2_PLACEMENT_3D('',#532233,#437954,#437955); #351379=AXIS2_PLACEMENT_3D('',#532234,#437956,#437957); #351380=AXIS2_PLACEMENT_3D('',#532235,#437958,#437959); #351381=AXIS2_PLACEMENT_3D('',#532236,#437960,#437961); #351382=AXIS2_PLACEMENT_3D('',#532237,#437962,#437963); #351383=AXIS2_PLACEMENT_3D('',#532238,#437964,#437965); #351384=AXIS2_PLACEMENT_3D('',#532239,#437966,#437967); #351385=DIRECTION('axis',(0.,0.,1.)); #351386=DIRECTION('refdir',(1.,0.,0.)); #351387=DIRECTION('axis',(0.,0.,1.)); #351388=DIRECTION('refdir',(1.,0.,0.)); #351389=DIRECTION('center_axis',(0.,0.,-1.)); #351390=DIRECTION('ref_axis',(1.,0.,0.)); #351391=DIRECTION('center_axis',(0.,0.,-1.)); #351392=DIRECTION('ref_axis',(1.,0.,0.)); #351393=DIRECTION('',(0.,0.,-1.)); #351394=DIRECTION('center_axis',(0.,0.,1.)); #351395=DIRECTION('ref_axis',(1.,0.,0.)); #351396=DIRECTION('center_axis',(0.,0.,-1.)); #351397=DIRECTION('ref_axis',(1.,0.,0.)); #351398=DIRECTION('center_axis',(0.,0.,-1.)); #351399=DIRECTION('ref_axis',(1.,0.,0.)); #351400=DIRECTION('',(0.,0.,-1.)); #351401=DIRECTION('center_axis',(0.,0.,1.)); #351402=DIRECTION('ref_axis',(1.,0.,0.)); #351403=DIRECTION('center_axis',(0.,0.,1.)); #351404=DIRECTION('ref_axis',(1.,0.,0.)); #351405=DIRECTION('center_axis',(0.,0.,1.)); #351406=DIRECTION('ref_axis',(1.,0.,0.)); #351407=DIRECTION('',(0.,0.,1.)); #351408=DIRECTION('center_axis',(0.,0.,-1.)); #351409=DIRECTION('ref_axis',(1.,0.,0.)); #351410=DIRECTION('center_axis',(0.,0.,1.)); #351411=DIRECTION('ref_axis',(1.,0.,0.)); #351412=DIRECTION('center_axis',(0.,0.,1.)); #351413=DIRECTION('ref_axis',(1.,0.,0.)); #351414=DIRECTION('',(0.,0.,1.)); #351415=DIRECTION('center_axis',(0.,0.,-1.)); #351416=DIRECTION('ref_axis',(1.,0.,0.)); #351417=DIRECTION('center_axis',(0.,0.,1.)); #351418=DIRECTION('ref_axis',(1.,0.,0.)); #351419=DIRECTION('center_axis',(0.,0.,1.)); #351420=DIRECTION('ref_axis',(1.,0.,0.)); #351421=DIRECTION('',(0.,0.,1.)); #351422=DIRECTION('center_axis',(0.,0.,-1.)); #351423=DIRECTION('ref_axis',(1.,0.,0.)); #351424=DIRECTION('center_axis',(0.,0.,1.)); #351425=DIRECTION('ref_axis',(1.,0.,0.)); #351426=DIRECTION('center_axis',(0.,0.,1.)); #351427=DIRECTION('ref_axis',(1.,0.,0.)); #351428=DIRECTION('',(0.,0.,1.)); #351429=DIRECTION('center_axis',(0.,0.,-1.)); #351430=DIRECTION('ref_axis',(1.,0.,0.)); #351431=DIRECTION('center_axis',(0.,0.,1.)); #351432=DIRECTION('ref_axis',(1.,0.,0.)); #351433=DIRECTION('center_axis',(0.,0.,1.)); #351434=DIRECTION('ref_axis',(1.,0.,0.)); #351435=DIRECTION('',(0.,0.,1.)); #351436=DIRECTION('center_axis',(0.,0.,-1.)); #351437=DIRECTION('ref_axis',(1.,0.,0.)); #351438=DIRECTION('center_axis',(0.,0.,1.)); #351439=DIRECTION('ref_axis',(1.,0.,0.)); #351440=DIRECTION('center_axis',(0.,0.,1.)); #351441=DIRECTION('ref_axis',(1.,0.,0.)); #351442=DIRECTION('',(0.,0.,1.)); #351443=DIRECTION('center_axis',(0.,0.,-1.)); #351444=DIRECTION('ref_axis',(1.,0.,0.)); #351445=DIRECTION('center_axis',(0.,0.,1.)); #351446=DIRECTION('ref_axis',(1.,0.,0.)); #351447=DIRECTION('center_axis',(0.,0.,1.)); #351448=DIRECTION('ref_axis',(1.,0.,0.)); #351449=DIRECTION('',(0.,0.,1.)); #351450=DIRECTION('center_axis',(0.,0.,-1.)); #351451=DIRECTION('ref_axis',(1.,0.,0.)); #351452=DIRECTION('center_axis',(0.,0.,1.)); #351453=DIRECTION('ref_axis',(1.,0.,0.)); #351454=DIRECTION('center_axis',(0.,0.,1.)); #351455=DIRECTION('ref_axis',(1.,0.,0.)); #351456=DIRECTION('',(0.,0.,1.)); #351457=DIRECTION('center_axis',(0.,0.,-1.)); #351458=DIRECTION('ref_axis',(1.,0.,0.)); #351459=DIRECTION('center_axis',(0.,0.,1.)); #351460=DIRECTION('ref_axis',(1.,0.,0.)); #351461=DIRECTION('center_axis',(0.,0.,1.)); #351462=DIRECTION('ref_axis',(1.,0.,0.)); #351463=DIRECTION('',(0.,0.,1.)); #351464=DIRECTION('center_axis',(0.,0.,-1.)); #351465=DIRECTION('ref_axis',(1.,0.,0.)); #351466=DIRECTION('center_axis',(0.,0.,1.)); #351467=DIRECTION('ref_axis',(1.,0.,0.)); #351468=DIRECTION('center_axis',(0.,0.,1.)); #351469=DIRECTION('ref_axis',(1.,0.,0.)); #351470=DIRECTION('',(0.,0.,1.)); #351471=DIRECTION('center_axis',(0.,0.,-1.)); #351472=DIRECTION('ref_axis',(1.,0.,0.)); #351473=DIRECTION('center_axis',(0.,0.,1.)); #351474=DIRECTION('ref_axis',(1.,0.,0.)); #351475=DIRECTION('center_axis',(0.,0.,1.)); #351476=DIRECTION('ref_axis',(1.,0.,0.)); #351477=DIRECTION('',(0.,0.,1.)); #351478=DIRECTION('center_axis',(0.,0.,-1.)); #351479=DIRECTION('ref_axis',(1.,0.,0.)); #351480=DIRECTION('center_axis',(0.,0.,1.)); #351481=DIRECTION('ref_axis',(1.,0.,0.)); #351482=DIRECTION('center_axis',(0.,0.,1.)); #351483=DIRECTION('ref_axis',(1.,0.,0.)); #351484=DIRECTION('',(0.,0.,1.)); #351485=DIRECTION('center_axis',(0.,0.,-1.)); #351486=DIRECTION('ref_axis',(1.,0.,0.)); #351487=DIRECTION('center_axis',(0.,0.,1.)); #351488=DIRECTION('ref_axis',(1.,0.,0.)); #351489=DIRECTION('center_axis',(0.,0.,1.)); #351490=DIRECTION('ref_axis',(1.,0.,0.)); #351491=DIRECTION('',(0.,0.,1.)); #351492=DIRECTION('center_axis',(0.,0.,-1.)); #351493=DIRECTION('ref_axis',(1.,0.,0.)); #351494=DIRECTION('center_axis',(0.,0.,1.)); #351495=DIRECTION('ref_axis',(1.,0.,0.)); #351496=DIRECTION('center_axis',(0.,0.,1.)); #351497=DIRECTION('ref_axis',(1.,0.,0.)); #351498=DIRECTION('',(0.,0.,1.)); #351499=DIRECTION('center_axis',(0.,0.,-1.)); #351500=DIRECTION('ref_axis',(1.,0.,0.)); #351501=DIRECTION('center_axis',(0.,0.,1.)); #351502=DIRECTION('ref_axis',(1.,0.,0.)); #351503=DIRECTION('center_axis',(0.,0.,1.)); #351504=DIRECTION('ref_axis',(1.,0.,0.)); #351505=DIRECTION('',(0.,0.,1.)); #351506=DIRECTION('center_axis',(0.,0.,-1.)); #351507=DIRECTION('ref_axis',(1.,0.,0.)); #351508=DIRECTION('center_axis',(0.,0.,1.)); #351509=DIRECTION('ref_axis',(1.,0.,0.)); #351510=DIRECTION('center_axis',(0.,0.,1.)); #351511=DIRECTION('ref_axis',(1.,0.,0.)); #351512=DIRECTION('',(0.,0.,1.)); #351513=DIRECTION('center_axis',(0.,0.,-1.)); #351514=DIRECTION('ref_axis',(1.,0.,0.)); #351515=DIRECTION('center_axis',(0.,0.,1.)); #351516=DIRECTION('ref_axis',(1.,0.,0.)); #351517=DIRECTION('center_axis',(0.,0.,1.)); #351518=DIRECTION('ref_axis',(1.,0.,0.)); #351519=DIRECTION('',(0.,0.,1.)); #351520=DIRECTION('center_axis',(0.,0.,-1.)); #351521=DIRECTION('ref_axis',(1.,0.,0.)); #351522=DIRECTION('center_axis',(0.,0.,1.)); #351523=DIRECTION('ref_axis',(1.,0.,0.)); #351524=DIRECTION('center_axis',(0.,0.,1.)); #351525=DIRECTION('ref_axis',(1.,0.,0.)); #351526=DIRECTION('',(0.,0.,1.)); #351527=DIRECTION('center_axis',(0.,0.,-1.)); #351528=DIRECTION('ref_axis',(1.,0.,0.)); #351529=DIRECTION('center_axis',(0.,0.,1.)); #351530=DIRECTION('ref_axis',(1.,0.,0.)); #351531=DIRECTION('center_axis',(0.,0.,1.)); #351532=DIRECTION('ref_axis',(1.,0.,0.)); #351533=DIRECTION('',(0.,0.,1.)); #351534=DIRECTION('center_axis',(0.,0.,-1.)); #351535=DIRECTION('ref_axis',(1.,0.,0.)); #351536=DIRECTION('center_axis',(0.,0.,1.)); #351537=DIRECTION('ref_axis',(1.,0.,0.)); #351538=DIRECTION('center_axis',(0.,0.,1.)); #351539=DIRECTION('ref_axis',(1.,0.,0.)); #351540=DIRECTION('',(0.,0.,1.)); #351541=DIRECTION('center_axis',(0.,0.,-1.)); #351542=DIRECTION('ref_axis',(1.,0.,0.)); #351543=DIRECTION('center_axis',(0.,0.,1.)); #351544=DIRECTION('ref_axis',(1.,0.,0.)); #351545=DIRECTION('center_axis',(0.,0.,1.)); #351546=DIRECTION('ref_axis',(1.,0.,0.)); #351547=DIRECTION('',(0.,0.,1.)); #351548=DIRECTION('center_axis',(0.,0.,-1.)); #351549=DIRECTION('ref_axis',(1.,0.,0.)); #351550=DIRECTION('center_axis',(0.,0.,1.)); #351551=DIRECTION('ref_axis',(1.,0.,0.)); #351552=DIRECTION('center_axis',(0.,0.,1.)); #351553=DIRECTION('ref_axis',(1.,0.,0.)); #351554=DIRECTION('',(0.,0.,1.)); #351555=DIRECTION('center_axis',(0.,0.,-1.)); #351556=DIRECTION('ref_axis',(1.,0.,0.)); #351557=DIRECTION('center_axis',(0.,0.,1.)); #351558=DIRECTION('ref_axis',(1.,0.,0.)); #351559=DIRECTION('center_axis',(0.,0.,1.)); #351560=DIRECTION('ref_axis',(1.,0.,0.)); #351561=DIRECTION('',(0.,0.,1.)); #351562=DIRECTION('center_axis',(0.,0.,-1.)); #351563=DIRECTION('ref_axis',(1.,0.,0.)); #351564=DIRECTION('center_axis',(0.,0.,1.)); #351565=DIRECTION('ref_axis',(1.,0.,0.)); #351566=DIRECTION('center_axis',(0.,0.,1.)); #351567=DIRECTION('ref_axis',(1.,0.,0.)); #351568=DIRECTION('',(0.,0.,1.)); #351569=DIRECTION('center_axis',(0.,0.,-1.)); #351570=DIRECTION('ref_axis',(1.,0.,0.)); #351571=DIRECTION('center_axis',(0.,0.,1.)); #351572=DIRECTION('ref_axis',(1.,0.,0.)); #351573=DIRECTION('center_axis',(0.,0.,1.)); #351574=DIRECTION('ref_axis',(1.,0.,0.)); #351575=DIRECTION('',(0.,0.,1.)); #351576=DIRECTION('center_axis',(0.,0.,-1.)); #351577=DIRECTION('ref_axis',(1.,0.,0.)); #351578=DIRECTION('center_axis',(0.,0.,1.)); #351579=DIRECTION('ref_axis',(1.,0.,0.)); #351580=DIRECTION('center_axis',(0.,0.,1.)); #351581=DIRECTION('ref_axis',(1.,0.,0.)); #351582=DIRECTION('',(0.,0.,1.)); #351583=DIRECTION('center_axis',(0.,0.,-1.)); #351584=DIRECTION('ref_axis',(1.,0.,0.)); #351585=DIRECTION('center_axis',(0.,0.,1.)); #351586=DIRECTION('ref_axis',(1.,0.,0.)); #351587=DIRECTION('center_axis',(0.,0.,1.)); #351588=DIRECTION('ref_axis',(1.,0.,0.)); #351589=DIRECTION('',(0.,0.,1.)); #351590=DIRECTION('center_axis',(0.,0.,-1.)); #351591=DIRECTION('ref_axis',(1.,0.,0.)); #351592=DIRECTION('center_axis',(0.,0.,1.)); #351593=DIRECTION('ref_axis',(1.,0.,0.)); #351594=DIRECTION('center_axis',(0.,0.,1.)); #351595=DIRECTION('ref_axis',(1.,0.,0.)); #351596=DIRECTION('',(0.,0.,1.)); #351597=DIRECTION('center_axis',(0.,0.,-1.)); #351598=DIRECTION('ref_axis',(1.,0.,0.)); #351599=DIRECTION('center_axis',(0.,0.,1.)); #351600=DIRECTION('ref_axis',(1.,0.,0.)); #351601=DIRECTION('center_axis',(0.,0.,1.)); #351602=DIRECTION('ref_axis',(1.,0.,0.)); #351603=DIRECTION('',(0.,0.,1.)); #351604=DIRECTION('center_axis',(0.,0.,-1.)); #351605=DIRECTION('ref_axis',(1.,0.,0.)); #351606=DIRECTION('center_axis',(0.,0.,1.)); #351607=DIRECTION('ref_axis',(1.,0.,0.)); #351608=DIRECTION('center_axis',(0.,0.,1.)); #351609=DIRECTION('ref_axis',(1.,0.,0.)); #351610=DIRECTION('',(0.,0.,1.)); #351611=DIRECTION('center_axis',(0.,0.,-1.)); #351612=DIRECTION('ref_axis',(1.,0.,0.)); #351613=DIRECTION('center_axis',(0.,0.,1.)); #351614=DIRECTION('ref_axis',(1.,0.,0.)); #351615=DIRECTION('center_axis',(0.,0.,1.)); #351616=DIRECTION('ref_axis',(1.,0.,0.)); #351617=DIRECTION('',(0.,0.,1.)); #351618=DIRECTION('center_axis',(0.,0.,-1.)); #351619=DIRECTION('ref_axis',(1.,0.,0.)); #351620=DIRECTION('center_axis',(0.,0.,1.)); #351621=DIRECTION('ref_axis',(1.,0.,0.)); #351622=DIRECTION('center_axis',(0.,0.,1.)); #351623=DIRECTION('ref_axis',(1.,0.,0.)); #351624=DIRECTION('',(0.,0.,1.)); #351625=DIRECTION('center_axis',(0.,0.,-1.)); #351626=DIRECTION('ref_axis',(1.,0.,0.)); #351627=DIRECTION('center_axis',(0.,0.,1.)); #351628=DIRECTION('ref_axis',(1.,0.,0.)); #351629=DIRECTION('center_axis',(0.,0.,1.)); #351630=DIRECTION('ref_axis',(1.,0.,0.)); #351631=DIRECTION('',(0.,0.,1.)); #351632=DIRECTION('center_axis',(0.,0.,-1.)); #351633=DIRECTION('ref_axis',(1.,0.,0.)); #351634=DIRECTION('center_axis',(0.,0.,1.)); #351635=DIRECTION('ref_axis',(1.,0.,0.)); #351636=DIRECTION('center_axis',(0.,0.,1.)); #351637=DIRECTION('ref_axis',(1.,0.,0.)); #351638=DIRECTION('',(0.,0.,1.)); #351639=DIRECTION('center_axis',(0.,0.,-1.)); #351640=DIRECTION('ref_axis',(1.,0.,0.)); #351641=DIRECTION('center_axis',(0.,0.,1.)); #351642=DIRECTION('ref_axis',(1.,0.,0.)); #351643=DIRECTION('center_axis',(0.,0.,1.)); #351644=DIRECTION('ref_axis',(1.,0.,0.)); #351645=DIRECTION('',(0.,0.,1.)); #351646=DIRECTION('center_axis',(0.,0.,-1.)); #351647=DIRECTION('ref_axis',(1.,0.,0.)); #351648=DIRECTION('center_axis',(0.,0.,1.)); #351649=DIRECTION('ref_axis',(1.,0.,0.)); #351650=DIRECTION('center_axis',(0.,0.,1.)); #351651=DIRECTION('ref_axis',(1.,0.,0.)); #351652=DIRECTION('',(0.,0.,1.)); #351653=DIRECTION('center_axis',(0.,0.,-1.)); #351654=DIRECTION('ref_axis',(1.,0.,0.)); #351655=DIRECTION('center_axis',(0.,0.,1.)); #351656=DIRECTION('ref_axis',(1.,0.,0.)); #351657=DIRECTION('center_axis',(0.,0.,1.)); #351658=DIRECTION('ref_axis',(1.,0.,0.)); #351659=DIRECTION('',(0.,0.,1.)); #351660=DIRECTION('center_axis',(0.,0.,-1.)); #351661=DIRECTION('ref_axis',(1.,0.,0.)); #351662=DIRECTION('center_axis',(0.,0.,1.)); #351663=DIRECTION('ref_axis',(1.,0.,0.)); #351664=DIRECTION('center_axis',(0.,0.,1.)); #351665=DIRECTION('ref_axis',(1.,0.,0.)); #351666=DIRECTION('',(0.,0.,1.)); #351667=DIRECTION('center_axis',(0.,0.,-1.)); #351668=DIRECTION('ref_axis',(1.,0.,0.)); #351669=DIRECTION('center_axis',(0.,0.,1.)); #351670=DIRECTION('ref_axis',(1.,0.,0.)); #351671=DIRECTION('center_axis',(0.,0.,1.)); #351672=DIRECTION('ref_axis',(1.,0.,0.)); #351673=DIRECTION('',(0.,0.,1.)); #351674=DIRECTION('center_axis',(0.,0.,-1.)); #351675=DIRECTION('ref_axis',(1.,0.,0.)); #351676=DIRECTION('center_axis',(0.,0.,1.)); #351677=DIRECTION('ref_axis',(1.,0.,0.)); #351678=DIRECTION('center_axis',(0.,0.,1.)); #351679=DIRECTION('ref_axis',(1.,0.,0.)); #351680=DIRECTION('',(0.,0.,1.)); #351681=DIRECTION('center_axis',(0.,0.,-1.)); #351682=DIRECTION('ref_axis',(1.,0.,0.)); #351683=DIRECTION('center_axis',(0.,0.,1.)); #351684=DIRECTION('ref_axis',(1.,0.,0.)); #351685=DIRECTION('center_axis',(0.,0.,1.)); #351686=DIRECTION('ref_axis',(1.,0.,0.)); #351687=DIRECTION('',(0.,0.,1.)); #351688=DIRECTION('center_axis',(0.,0.,-1.)); #351689=DIRECTION('ref_axis',(1.,0.,0.)); #351690=DIRECTION('center_axis',(0.,0.,1.)); #351691=DIRECTION('ref_axis',(1.,0.,0.)); #351692=DIRECTION('center_axis',(0.,0.,1.)); #351693=DIRECTION('ref_axis',(1.,0.,0.)); #351694=DIRECTION('',(0.,0.,1.)); #351695=DIRECTION('center_axis',(0.,0.,-1.)); #351696=DIRECTION('ref_axis',(1.,0.,0.)); #351697=DIRECTION('center_axis',(0.,0.,1.)); #351698=DIRECTION('ref_axis',(1.,0.,0.)); #351699=DIRECTION('center_axis',(0.,0.,1.)); #351700=DIRECTION('ref_axis',(1.,0.,0.)); #351701=DIRECTION('',(0.,0.,1.)); #351702=DIRECTION('center_axis',(0.,0.,-1.)); #351703=DIRECTION('ref_axis',(1.,0.,0.)); #351704=DIRECTION('center_axis',(0.,0.,1.)); #351705=DIRECTION('ref_axis',(1.,0.,0.)); #351706=DIRECTION('center_axis',(0.,0.,1.)); #351707=DIRECTION('ref_axis',(1.,0.,0.)); #351708=DIRECTION('',(0.,0.,1.)); #351709=DIRECTION('center_axis',(0.,0.,-1.)); #351710=DIRECTION('ref_axis',(1.,0.,0.)); #351711=DIRECTION('center_axis',(0.,0.,1.)); #351712=DIRECTION('ref_axis',(1.,0.,0.)); #351713=DIRECTION('center_axis',(0.,0.,1.)); #351714=DIRECTION('ref_axis',(1.,0.,0.)); #351715=DIRECTION('',(0.,0.,1.)); #351716=DIRECTION('center_axis',(0.,0.,-1.)); #351717=DIRECTION('ref_axis',(1.,0.,0.)); #351718=DIRECTION('center_axis',(0.,0.,1.)); #351719=DIRECTION('ref_axis',(1.,0.,0.)); #351720=DIRECTION('center_axis',(0.,0.,1.)); #351721=DIRECTION('ref_axis',(1.,0.,0.)); #351722=DIRECTION('',(0.,0.,1.)); #351723=DIRECTION('center_axis',(0.,0.,-1.)); #351724=DIRECTION('ref_axis',(1.,0.,0.)); #351725=DIRECTION('center_axis',(0.,0.,1.)); #351726=DIRECTION('ref_axis',(1.,0.,0.)); #351727=DIRECTION('center_axis',(0.,0.,1.)); #351728=DIRECTION('ref_axis',(1.,0.,0.)); #351729=DIRECTION('',(0.,0.,1.)); #351730=DIRECTION('center_axis',(0.,0.,-1.)); #351731=DIRECTION('ref_axis',(1.,0.,0.)); #351732=DIRECTION('center_axis',(0.,0.,1.)); #351733=DIRECTION('ref_axis',(1.,0.,0.)); #351734=DIRECTION('center_axis',(0.,0.,1.)); #351735=DIRECTION('ref_axis',(1.,0.,0.)); #351736=DIRECTION('',(0.,0.,1.)); #351737=DIRECTION('center_axis',(0.,0.,-1.)); #351738=DIRECTION('ref_axis',(1.,0.,0.)); #351739=DIRECTION('center_axis',(0.,0.,1.)); #351740=DIRECTION('ref_axis',(1.,0.,0.)); #351741=DIRECTION('center_axis',(0.,0.,1.)); #351742=DIRECTION('ref_axis',(1.,0.,0.)); #351743=DIRECTION('',(0.,0.,1.)); #351744=DIRECTION('center_axis',(0.,0.,-1.)); #351745=DIRECTION('ref_axis',(1.,0.,0.)); #351746=DIRECTION('center_axis',(0.,0.,1.)); #351747=DIRECTION('ref_axis',(1.,0.,0.)); #351748=DIRECTION('center_axis',(0.,0.,1.)); #351749=DIRECTION('ref_axis',(1.,0.,0.)); #351750=DIRECTION('',(0.,0.,1.)); #351751=DIRECTION('center_axis',(0.,0.,-1.)); #351752=DIRECTION('ref_axis',(1.,0.,0.)); #351753=DIRECTION('center_axis',(0.,0.,1.)); #351754=DIRECTION('ref_axis',(1.,0.,0.)); #351755=DIRECTION('center_axis',(0.,0.,1.)); #351756=DIRECTION('ref_axis',(1.,0.,0.)); #351757=DIRECTION('',(0.,0.,1.)); #351758=DIRECTION('center_axis',(0.,0.,-1.)); #351759=DIRECTION('ref_axis',(1.,0.,0.)); #351760=DIRECTION('center_axis',(0.,0.,1.)); #351761=DIRECTION('ref_axis',(1.,0.,0.)); #351762=DIRECTION('center_axis',(0.,0.,1.)); #351763=DIRECTION('ref_axis',(1.,0.,0.)); #351764=DIRECTION('',(0.,0.,1.)); #351765=DIRECTION('center_axis',(0.,0.,-1.)); #351766=DIRECTION('ref_axis',(1.,0.,0.)); #351767=DIRECTION('center_axis',(0.,0.,1.)); #351768=DIRECTION('ref_axis',(1.,0.,0.)); #351769=DIRECTION('center_axis',(0.,0.,1.)); #351770=DIRECTION('ref_axis',(1.,0.,0.)); #351771=DIRECTION('',(0.,0.,1.)); #351772=DIRECTION('center_axis',(0.,0.,-1.)); #351773=DIRECTION('ref_axis',(1.,0.,0.)); #351774=DIRECTION('center_axis',(0.,0.,1.)); #351775=DIRECTION('ref_axis',(1.,0.,0.)); #351776=DIRECTION('center_axis',(0.,0.,1.)); #351777=DIRECTION('ref_axis',(1.,0.,0.)); #351778=DIRECTION('',(0.,0.,1.)); #351779=DIRECTION('center_axis',(0.,0.,-1.)); #351780=DIRECTION('ref_axis',(1.,0.,0.)); #351781=DIRECTION('center_axis',(0.,0.,1.)); #351782=DIRECTION('ref_axis',(1.,0.,0.)); #351783=DIRECTION('center_axis',(0.,0.,1.)); #351784=DIRECTION('ref_axis',(1.,0.,0.)); #351785=DIRECTION('',(0.,0.,1.)); #351786=DIRECTION('center_axis',(0.,0.,-1.)); #351787=DIRECTION('ref_axis',(1.,0.,0.)); #351788=DIRECTION('center_axis',(0.,0.,1.)); #351789=DIRECTION('ref_axis',(1.,0.,0.)); #351790=DIRECTION('center_axis',(0.,0.,1.)); #351791=DIRECTION('ref_axis',(1.,0.,0.)); #351792=DIRECTION('',(0.,0.,1.)); #351793=DIRECTION('center_axis',(0.,0.,-1.)); #351794=DIRECTION('ref_axis',(1.,0.,0.)); #351795=DIRECTION('center_axis',(0.,0.,1.)); #351796=DIRECTION('ref_axis',(1.,0.,0.)); #351797=DIRECTION('center_axis',(0.,0.,1.)); #351798=DIRECTION('ref_axis',(1.,0.,0.)); #351799=DIRECTION('',(0.,0.,1.)); #351800=DIRECTION('center_axis',(0.,0.,-1.)); #351801=DIRECTION('ref_axis',(1.,0.,0.)); #351802=DIRECTION('center_axis',(0.,0.,1.)); #351803=DIRECTION('ref_axis',(1.,0.,0.)); #351804=DIRECTION('center_axis',(0.,0.,1.)); #351805=DIRECTION('ref_axis',(1.,0.,0.)); #351806=DIRECTION('',(0.,0.,1.)); #351807=DIRECTION('center_axis',(0.,0.,-1.)); #351808=DIRECTION('ref_axis',(1.,0.,0.)); #351809=DIRECTION('center_axis',(0.,0.,1.)); #351810=DIRECTION('ref_axis',(1.,0.,0.)); #351811=DIRECTION('center_axis',(0.,0.,1.)); #351812=DIRECTION('ref_axis',(1.,0.,0.)); #351813=DIRECTION('',(0.,0.,1.)); #351814=DIRECTION('center_axis',(0.,0.,-1.)); #351815=DIRECTION('ref_axis',(1.,0.,0.)); #351816=DIRECTION('center_axis',(0.,0.,1.)); #351817=DIRECTION('ref_axis',(1.,0.,0.)); #351818=DIRECTION('center_axis',(0.,0.,1.)); #351819=DIRECTION('ref_axis',(1.,0.,0.)); #351820=DIRECTION('',(0.,0.,1.)); #351821=DIRECTION('center_axis',(0.,0.,-1.)); #351822=DIRECTION('ref_axis',(1.,0.,0.)); #351823=DIRECTION('center_axis',(0.,0.,1.)); #351824=DIRECTION('ref_axis',(1.,0.,0.)); #351825=DIRECTION('center_axis',(0.,0.,1.)); #351826=DIRECTION('ref_axis',(1.,0.,0.)); #351827=DIRECTION('',(0.,0.,1.)); #351828=DIRECTION('center_axis',(0.,0.,-1.)); #351829=DIRECTION('ref_axis',(1.,0.,0.)); #351830=DIRECTION('center_axis',(0.,0.,1.)); #351831=DIRECTION('ref_axis',(1.,0.,0.)); #351832=DIRECTION('center_axis',(0.,0.,1.)); #351833=DIRECTION('ref_axis',(1.,0.,0.)); #351834=DIRECTION('',(0.,0.,1.)); #351835=DIRECTION('center_axis',(0.,0.,-1.)); #351836=DIRECTION('ref_axis',(1.,0.,0.)); #351837=DIRECTION('center_axis',(0.,0.,1.)); #351838=DIRECTION('ref_axis',(1.,0.,0.)); #351839=DIRECTION('center_axis',(0.,0.,1.)); #351840=DIRECTION('ref_axis',(1.,0.,0.)); #351841=DIRECTION('',(0.,0.,1.)); #351842=DIRECTION('center_axis',(0.,0.,-1.)); #351843=DIRECTION('ref_axis',(1.,0.,0.)); #351844=DIRECTION('center_axis',(0.,0.,1.)); #351845=DIRECTION('ref_axis',(1.,0.,0.)); #351846=DIRECTION('center_axis',(0.,0.,1.)); #351847=DIRECTION('ref_axis',(1.,0.,0.)); #351848=DIRECTION('',(0.,0.,1.)); #351849=DIRECTION('center_axis',(0.,0.,-1.)); #351850=DIRECTION('ref_axis',(1.,0.,0.)); #351851=DIRECTION('center_axis',(0.,0.,1.)); #351852=DIRECTION('ref_axis',(1.,0.,0.)); #351853=DIRECTION('center_axis',(0.,0.,1.)); #351854=DIRECTION('ref_axis',(1.,0.,0.)); #351855=DIRECTION('',(0.,0.,1.)); #351856=DIRECTION('center_axis',(0.,0.,-1.)); #351857=DIRECTION('ref_axis',(1.,0.,0.)); #351858=DIRECTION('center_axis',(0.,0.,1.)); #351859=DIRECTION('ref_axis',(1.,0.,0.)); #351860=DIRECTION('center_axis',(0.,0.,1.)); #351861=DIRECTION('ref_axis',(1.,0.,0.)); #351862=DIRECTION('',(0.,0.,1.)); #351863=DIRECTION('center_axis',(0.,0.,-1.)); #351864=DIRECTION('ref_axis',(1.,0.,0.)); #351865=DIRECTION('center_axis',(0.,0.,1.)); #351866=DIRECTION('ref_axis',(1.,0.,0.)); #351867=DIRECTION('center_axis',(0.,0.,1.)); #351868=DIRECTION('ref_axis',(1.,0.,0.)); #351869=DIRECTION('',(0.,0.,1.)); #351870=DIRECTION('center_axis',(0.,0.,-1.)); #351871=DIRECTION('ref_axis',(1.,0.,0.)); #351872=DIRECTION('center_axis',(0.,0.,1.)); #351873=DIRECTION('ref_axis',(1.,0.,0.)); #351874=DIRECTION('center_axis',(0.,0.,1.)); #351875=DIRECTION('ref_axis',(1.,0.,0.)); #351876=DIRECTION('',(0.,0.,1.)); #351877=DIRECTION('center_axis',(0.,0.,-1.)); #351878=DIRECTION('ref_axis',(1.,0.,0.)); #351879=DIRECTION('center_axis',(0.,0.,1.)); #351880=DIRECTION('ref_axis',(1.,0.,0.)); #351881=DIRECTION('center_axis',(0.,0.,1.)); #351882=DIRECTION('ref_axis',(1.,0.,0.)); #351883=DIRECTION('',(0.,0.,1.)); #351884=DIRECTION('center_axis',(0.,0.,-1.)); #351885=DIRECTION('ref_axis',(1.,0.,0.)); #351886=DIRECTION('center_axis',(0.,0.,1.)); #351887=DIRECTION('ref_axis',(1.,0.,0.)); #351888=DIRECTION('center_axis',(0.,0.,1.)); #351889=DIRECTION('ref_axis',(1.,0.,0.)); #351890=DIRECTION('',(0.,0.,1.)); #351891=DIRECTION('center_axis',(0.,0.,-1.)); #351892=DIRECTION('ref_axis',(1.,0.,0.)); #351893=DIRECTION('center_axis',(0.,0.,1.)); #351894=DIRECTION('ref_axis',(1.,0.,0.)); #351895=DIRECTION('center_axis',(0.,0.,1.)); #351896=DIRECTION('ref_axis',(1.,0.,0.)); #351897=DIRECTION('',(0.,0.,1.)); #351898=DIRECTION('center_axis',(0.,0.,-1.)); #351899=DIRECTION('ref_axis',(1.,0.,0.)); #351900=DIRECTION('center_axis',(0.,0.,1.)); #351901=DIRECTION('ref_axis',(1.,0.,0.)); #351902=DIRECTION('center_axis',(0.,0.,1.)); #351903=DIRECTION('ref_axis',(1.,0.,0.)); #351904=DIRECTION('',(0.,0.,1.)); #351905=DIRECTION('center_axis',(0.,0.,-1.)); #351906=DIRECTION('ref_axis',(1.,0.,0.)); #351907=DIRECTION('center_axis',(0.,0.,1.)); #351908=DIRECTION('ref_axis',(1.,0.,0.)); #351909=DIRECTION('center_axis',(0.,0.,1.)); #351910=DIRECTION('ref_axis',(1.,0.,0.)); #351911=DIRECTION('',(0.,0.,1.)); #351912=DIRECTION('center_axis',(0.,0.,-1.)); #351913=DIRECTION('ref_axis',(1.,0.,0.)); #351914=DIRECTION('center_axis',(0.,0.,1.)); #351915=DIRECTION('ref_axis',(1.,0.,0.)); #351916=DIRECTION('center_axis',(0.,0.,1.)); #351917=DIRECTION('ref_axis',(1.,0.,0.)); #351918=DIRECTION('',(0.,0.,1.)); #351919=DIRECTION('center_axis',(0.,0.,-1.)); #351920=DIRECTION('ref_axis',(1.,0.,0.)); #351921=DIRECTION('center_axis',(0.,0.,1.)); #351922=DIRECTION('ref_axis',(1.,0.,0.)); #351923=DIRECTION('center_axis',(0.,0.,1.)); #351924=DIRECTION('ref_axis',(1.,0.,0.)); #351925=DIRECTION('',(0.,0.,1.)); #351926=DIRECTION('center_axis',(0.,0.,-1.)); #351927=DIRECTION('ref_axis',(1.,0.,0.)); #351928=DIRECTION('center_axis',(0.,0.,1.)); #351929=DIRECTION('ref_axis',(1.,0.,0.)); #351930=DIRECTION('center_axis',(0.,0.,1.)); #351931=DIRECTION('ref_axis',(1.,0.,0.)); #351932=DIRECTION('',(0.,0.,1.)); #351933=DIRECTION('center_axis',(0.,0.,-1.)); #351934=DIRECTION('ref_axis',(1.,0.,0.)); #351935=DIRECTION('center_axis',(0.,0.,1.)); #351936=DIRECTION('ref_axis',(1.,0.,0.)); #351937=DIRECTION('center_axis',(0.,0.,1.)); #351938=DIRECTION('ref_axis',(1.,0.,0.)); #351939=DIRECTION('',(0.,0.,1.)); #351940=DIRECTION('center_axis',(0.,0.,-1.)); #351941=DIRECTION('ref_axis',(1.,0.,0.)); #351942=DIRECTION('center_axis',(0.,0.,1.)); #351943=DIRECTION('ref_axis',(1.,0.,0.)); #351944=DIRECTION('center_axis',(0.,0.,1.)); #351945=DIRECTION('ref_axis',(1.,0.,0.)); #351946=DIRECTION('',(0.,0.,1.)); #351947=DIRECTION('center_axis',(0.,0.,-1.)); #351948=DIRECTION('ref_axis',(1.,0.,0.)); #351949=DIRECTION('center_axis',(0.,0.,1.)); #351950=DIRECTION('ref_axis',(1.,0.,0.)); #351951=DIRECTION('center_axis',(0.,0.,1.)); #351952=DIRECTION('ref_axis',(1.,0.,0.)); #351953=DIRECTION('',(0.,0.,1.)); #351954=DIRECTION('center_axis',(0.,0.,-1.)); #351955=DIRECTION('ref_axis',(1.,0.,0.)); #351956=DIRECTION('center_axis',(0.,0.,1.)); #351957=DIRECTION('ref_axis',(1.,0.,0.)); #351958=DIRECTION('center_axis',(0.,0.,1.)); #351959=DIRECTION('ref_axis',(1.,0.,0.)); #351960=DIRECTION('',(0.,0.,1.)); #351961=DIRECTION('center_axis',(0.,0.,-1.)); #351962=DIRECTION('ref_axis',(1.,0.,0.)); #351963=DIRECTION('center_axis',(0.,0.,1.)); #351964=DIRECTION('ref_axis',(1.,0.,0.)); #351965=DIRECTION('center_axis',(0.,0.,1.)); #351966=DIRECTION('ref_axis',(1.,0.,0.)); #351967=DIRECTION('',(0.,0.,1.)); #351968=DIRECTION('center_axis',(0.,0.,-1.)); #351969=DIRECTION('ref_axis',(1.,0.,0.)); #351970=DIRECTION('center_axis',(0.,0.,1.)); #351971=DIRECTION('ref_axis',(1.,0.,0.)); #351972=DIRECTION('center_axis',(0.,0.,1.)); #351973=DIRECTION('ref_axis',(1.,0.,0.)); #351974=DIRECTION('',(0.,0.,1.)); #351975=DIRECTION('center_axis',(0.,0.,-1.)); #351976=DIRECTION('ref_axis',(1.,0.,0.)); #351977=DIRECTION('center_axis',(0.,0.,1.)); #351978=DIRECTION('ref_axis',(1.,0.,0.)); #351979=DIRECTION('center_axis',(0.,0.,1.)); #351980=DIRECTION('ref_axis',(1.,0.,0.)); #351981=DIRECTION('',(0.,0.,1.)); #351982=DIRECTION('center_axis',(0.,0.,-1.)); #351983=DIRECTION('ref_axis',(1.,0.,0.)); #351984=DIRECTION('center_axis',(0.,0.,1.)); #351985=DIRECTION('ref_axis',(1.,0.,0.)); #351986=DIRECTION('center_axis',(0.,0.,1.)); #351987=DIRECTION('ref_axis',(1.,0.,0.)); #351988=DIRECTION('',(0.,0.,1.)); #351989=DIRECTION('center_axis',(0.,0.,-1.)); #351990=DIRECTION('ref_axis',(1.,0.,0.)); #351991=DIRECTION('center_axis',(0.,0.,1.)); #351992=DIRECTION('ref_axis',(1.,0.,0.)); #351993=DIRECTION('center_axis',(0.,0.,1.)); #351994=DIRECTION('ref_axis',(1.,0.,0.)); #351995=DIRECTION('',(0.,0.,1.)); #351996=DIRECTION('center_axis',(0.,0.,-1.)); #351997=DIRECTION('ref_axis',(1.,0.,0.)); #351998=DIRECTION('center_axis',(0.,0.,1.)); #351999=DIRECTION('ref_axis',(1.,0.,0.)); #352000=DIRECTION('center_axis',(0.,0.,1.)); #352001=DIRECTION('ref_axis',(1.,0.,0.)); #352002=DIRECTION('',(0.,0.,1.)); #352003=DIRECTION('center_axis',(0.,0.,-1.)); #352004=DIRECTION('ref_axis',(1.,0.,0.)); #352005=DIRECTION('center_axis',(0.,0.,1.)); #352006=DIRECTION('ref_axis',(1.,0.,0.)); #352007=DIRECTION('center_axis',(0.,0.,1.)); #352008=DIRECTION('ref_axis',(1.,0.,0.)); #352009=DIRECTION('',(0.,0.,1.)); #352010=DIRECTION('center_axis',(0.,0.,-1.)); #352011=DIRECTION('ref_axis',(1.,0.,0.)); #352012=DIRECTION('center_axis',(0.,0.,1.)); #352013=DIRECTION('ref_axis',(1.,0.,0.)); #352014=DIRECTION('center_axis',(0.,0.,1.)); #352015=DIRECTION('ref_axis',(1.,0.,0.)); #352016=DIRECTION('',(0.,0.,1.)); #352017=DIRECTION('center_axis',(0.,0.,-1.)); #352018=DIRECTION('ref_axis',(1.,0.,0.)); #352019=DIRECTION('center_axis',(0.,0.,1.)); #352020=DIRECTION('ref_axis',(1.,0.,0.)); #352021=DIRECTION('center_axis',(0.,0.,1.)); #352022=DIRECTION('ref_axis',(1.,0.,0.)); #352023=DIRECTION('',(0.,0.,1.)); #352024=DIRECTION('center_axis',(0.,0.,-1.)); #352025=DIRECTION('ref_axis',(1.,0.,0.)); #352026=DIRECTION('center_axis',(0.,0.,1.)); #352027=DIRECTION('ref_axis',(1.,0.,0.)); #352028=DIRECTION('center_axis',(0.,0.,1.)); #352029=DIRECTION('ref_axis',(1.,0.,0.)); #352030=DIRECTION('',(0.,0.,1.)); #352031=DIRECTION('center_axis',(0.,0.,-1.)); #352032=DIRECTION('ref_axis',(1.,0.,0.)); #352033=DIRECTION('center_axis',(0.,0.,1.)); #352034=DIRECTION('ref_axis',(1.,0.,0.)); #352035=DIRECTION('center_axis',(0.,0.,1.)); #352036=DIRECTION('ref_axis',(1.,0.,0.)); #352037=DIRECTION('',(0.,0.,1.)); #352038=DIRECTION('center_axis',(0.,0.,-1.)); #352039=DIRECTION('ref_axis',(1.,0.,0.)); #352040=DIRECTION('center_axis',(0.,0.,1.)); #352041=DIRECTION('ref_axis',(1.,0.,0.)); #352042=DIRECTION('center_axis',(0.,0.,1.)); #352043=DIRECTION('ref_axis',(1.,0.,0.)); #352044=DIRECTION('',(0.,0.,1.)); #352045=DIRECTION('center_axis',(0.,0.,-1.)); #352046=DIRECTION('ref_axis',(1.,0.,0.)); #352047=DIRECTION('center_axis',(0.,0.,1.)); #352048=DIRECTION('ref_axis',(1.,0.,0.)); #352049=DIRECTION('center_axis',(0.,0.,1.)); #352050=DIRECTION('ref_axis',(1.,0.,0.)); #352051=DIRECTION('',(0.,0.,1.)); #352052=DIRECTION('center_axis',(0.,0.,-1.)); #352053=DIRECTION('ref_axis',(1.,0.,0.)); #352054=DIRECTION('center_axis',(0.,0.,1.)); #352055=DIRECTION('ref_axis',(1.,0.,0.)); #352056=DIRECTION('center_axis',(0.,0.,1.)); #352057=DIRECTION('ref_axis',(1.,0.,0.)); #352058=DIRECTION('',(0.,0.,1.)); #352059=DIRECTION('center_axis',(0.,0.,-1.)); #352060=DIRECTION('ref_axis',(1.,0.,0.)); #352061=DIRECTION('center_axis',(0.,0.,1.)); #352062=DIRECTION('ref_axis',(1.,0.,0.)); #352063=DIRECTION('center_axis',(0.,0.,1.)); #352064=DIRECTION('ref_axis',(1.,0.,0.)); #352065=DIRECTION('',(0.,0.,1.)); #352066=DIRECTION('center_axis',(0.,0.,-1.)); #352067=DIRECTION('ref_axis',(1.,0.,0.)); #352068=DIRECTION('center_axis',(0.,0.,1.)); #352069=DIRECTION('ref_axis',(1.,0.,0.)); #352070=DIRECTION('center_axis',(0.,0.,1.)); #352071=DIRECTION('ref_axis',(1.,0.,0.)); #352072=DIRECTION('',(0.,0.,1.)); #352073=DIRECTION('center_axis',(0.,0.,-1.)); #352074=DIRECTION('ref_axis',(1.,0.,0.)); #352075=DIRECTION('center_axis',(0.,0.,1.)); #352076=DIRECTION('ref_axis',(1.,0.,0.)); #352077=DIRECTION('center_axis',(0.,0.,1.)); #352078=DIRECTION('ref_axis',(1.,0.,0.)); #352079=DIRECTION('',(0.,0.,1.)); #352080=DIRECTION('center_axis',(0.,0.,-1.)); #352081=DIRECTION('ref_axis',(1.,0.,0.)); #352082=DIRECTION('center_axis',(0.,0.,1.)); #352083=DIRECTION('ref_axis',(1.,0.,0.)); #352084=DIRECTION('center_axis',(0.,0.,1.)); #352085=DIRECTION('ref_axis',(1.,0.,0.)); #352086=DIRECTION('',(0.,0.,1.)); #352087=DIRECTION('center_axis',(0.,0.,-1.)); #352088=DIRECTION('ref_axis',(1.,0.,0.)); #352089=DIRECTION('center_axis',(0.,0.,1.)); #352090=DIRECTION('ref_axis',(1.,0.,0.)); #352091=DIRECTION('center_axis',(0.,0.,1.)); #352092=DIRECTION('ref_axis',(1.,0.,0.)); #352093=DIRECTION('',(0.,0.,1.)); #352094=DIRECTION('center_axis',(0.,0.,-1.)); #352095=DIRECTION('ref_axis',(1.,0.,0.)); #352096=DIRECTION('center_axis',(0.,0.,1.)); #352097=DIRECTION('ref_axis',(1.,0.,0.)); #352098=DIRECTION('center_axis',(0.,0.,1.)); #352099=DIRECTION('ref_axis',(1.,0.,0.)); #352100=DIRECTION('',(0.,0.,1.)); #352101=DIRECTION('center_axis',(0.,0.,-1.)); #352102=DIRECTION('ref_axis',(1.,0.,0.)); #352103=DIRECTION('center_axis',(0.,0.,1.)); #352104=DIRECTION('ref_axis',(1.,0.,0.)); #352105=DIRECTION('center_axis',(0.,0.,1.)); #352106=DIRECTION('ref_axis',(1.,0.,0.)); #352107=DIRECTION('',(0.,0.,1.)); #352108=DIRECTION('center_axis',(0.,0.,-1.)); #352109=DIRECTION('ref_axis',(1.,0.,0.)); #352110=DIRECTION('center_axis',(0.,0.,1.)); #352111=DIRECTION('ref_axis',(1.,0.,0.)); #352112=DIRECTION('center_axis',(0.,0.,1.)); #352113=DIRECTION('ref_axis',(1.,0.,0.)); #352114=DIRECTION('',(0.,0.,1.)); #352115=DIRECTION('center_axis',(0.,0.,-1.)); #352116=DIRECTION('ref_axis',(1.,0.,0.)); #352117=DIRECTION('center_axis',(0.,0.,1.)); #352118=DIRECTION('ref_axis',(1.,0.,0.)); #352119=DIRECTION('center_axis',(0.,0.,1.)); #352120=DIRECTION('ref_axis',(1.,0.,0.)); #352121=DIRECTION('',(0.,0.,1.)); #352122=DIRECTION('center_axis',(0.,0.,-1.)); #352123=DIRECTION('ref_axis',(1.,0.,0.)); #352124=DIRECTION('center_axis',(0.,0.,1.)); #352125=DIRECTION('ref_axis',(1.,0.,0.)); #352126=DIRECTION('center_axis',(0.,0.,1.)); #352127=DIRECTION('ref_axis',(1.,0.,0.)); #352128=DIRECTION('',(0.,0.,1.)); #352129=DIRECTION('center_axis',(0.,0.,-1.)); #352130=DIRECTION('ref_axis',(1.,0.,0.)); #352131=DIRECTION('center_axis',(0.,0.,1.)); #352132=DIRECTION('ref_axis',(1.,0.,0.)); #352133=DIRECTION('center_axis',(0.,0.,1.)); #352134=DIRECTION('ref_axis',(1.,0.,0.)); #352135=DIRECTION('',(0.,0.,1.)); #352136=DIRECTION('center_axis',(0.,0.,-1.)); #352137=DIRECTION('ref_axis',(1.,0.,0.)); #352138=DIRECTION('center_axis',(0.,0.,1.)); #352139=DIRECTION('ref_axis',(1.,0.,0.)); #352140=DIRECTION('center_axis',(0.,0.,1.)); #352141=DIRECTION('ref_axis',(1.,0.,0.)); #352142=DIRECTION('',(0.,0.,1.)); #352143=DIRECTION('center_axis',(0.,0.,-1.)); #352144=DIRECTION('ref_axis',(1.,0.,0.)); #352145=DIRECTION('center_axis',(0.,0.,1.)); #352146=DIRECTION('ref_axis',(1.,0.,0.)); #352147=DIRECTION('center_axis',(0.,0.,1.)); #352148=DIRECTION('ref_axis',(1.,0.,0.)); #352149=DIRECTION('',(0.,0.,1.)); #352150=DIRECTION('center_axis',(0.,0.,-1.)); #352151=DIRECTION('ref_axis',(1.,0.,0.)); #352152=DIRECTION('center_axis',(0.,0.,1.)); #352153=DIRECTION('ref_axis',(1.,0.,0.)); #352154=DIRECTION('center_axis',(0.,0.,1.)); #352155=DIRECTION('ref_axis',(1.,0.,0.)); #352156=DIRECTION('',(0.,0.,1.)); #352157=DIRECTION('center_axis',(0.,0.,-1.)); #352158=DIRECTION('ref_axis',(1.,0.,0.)); #352159=DIRECTION('center_axis',(0.,0.,1.)); #352160=DIRECTION('ref_axis',(1.,0.,0.)); #352161=DIRECTION('center_axis',(0.,0.,1.)); #352162=DIRECTION('ref_axis',(1.,0.,0.)); #352163=DIRECTION('',(0.,0.,1.)); #352164=DIRECTION('center_axis',(0.,0.,-1.)); #352165=DIRECTION('ref_axis',(1.,0.,0.)); #352166=DIRECTION('center_axis',(0.,0.,1.)); #352167=DIRECTION('ref_axis',(1.,0.,0.)); #352168=DIRECTION('center_axis',(0.,0.,1.)); #352169=DIRECTION('ref_axis',(1.,0.,0.)); #352170=DIRECTION('',(0.,0.,1.)); #352171=DIRECTION('center_axis',(0.,0.,-1.)); #352172=DIRECTION('ref_axis',(1.,0.,0.)); #352173=DIRECTION('center_axis',(0.,0.,1.)); #352174=DIRECTION('ref_axis',(1.,0.,0.)); #352175=DIRECTION('center_axis',(0.,0.,1.)); #352176=DIRECTION('ref_axis',(1.,0.,0.)); #352177=DIRECTION('',(0.,0.,1.)); #352178=DIRECTION('center_axis',(0.,0.,-1.)); #352179=DIRECTION('ref_axis',(1.,0.,0.)); #352180=DIRECTION('center_axis',(0.,0.,1.)); #352181=DIRECTION('ref_axis',(1.,0.,0.)); #352182=DIRECTION('center_axis',(0.,0.,1.)); #352183=DIRECTION('ref_axis',(1.,0.,0.)); #352184=DIRECTION('',(0.,0.,1.)); #352185=DIRECTION('center_axis',(0.,0.,-1.)); #352186=DIRECTION('ref_axis',(1.,0.,0.)); #352187=DIRECTION('center_axis',(0.,0.,1.)); #352188=DIRECTION('ref_axis',(1.,0.,0.)); #352189=DIRECTION('center_axis',(0.,0.,1.)); #352190=DIRECTION('ref_axis',(1.,0.,0.)); #352191=DIRECTION('',(0.,0.,1.)); #352192=DIRECTION('center_axis',(0.,0.,-1.)); #352193=DIRECTION('ref_axis',(1.,0.,0.)); #352194=DIRECTION('center_axis',(0.,0.,1.)); #352195=DIRECTION('ref_axis',(1.,0.,0.)); #352196=DIRECTION('center_axis',(0.,0.,1.)); #352197=DIRECTION('ref_axis',(1.,0.,0.)); #352198=DIRECTION('',(0.,0.,1.)); #352199=DIRECTION('center_axis',(0.,0.,-1.)); #352200=DIRECTION('ref_axis',(1.,0.,0.)); #352201=DIRECTION('center_axis',(0.,0.,1.)); #352202=DIRECTION('ref_axis',(1.,0.,0.)); #352203=DIRECTION('center_axis',(0.,0.,1.)); #352204=DIRECTION('ref_axis',(1.,0.,0.)); #352205=DIRECTION('',(0.,0.,1.)); #352206=DIRECTION('center_axis',(0.,0.,-1.)); #352207=DIRECTION('ref_axis',(1.,0.,0.)); #352208=DIRECTION('center_axis',(0.,0.,1.)); #352209=DIRECTION('ref_axis',(1.,0.,0.)); #352210=DIRECTION('center_axis',(0.,0.,1.)); #352211=DIRECTION('ref_axis',(1.,0.,0.)); #352212=DIRECTION('',(0.,0.,1.)); #352213=DIRECTION('center_axis',(0.,0.,-1.)); #352214=DIRECTION('ref_axis',(1.,0.,0.)); #352215=DIRECTION('center_axis',(0.,0.,1.)); #352216=DIRECTION('ref_axis',(1.,0.,0.)); #352217=DIRECTION('center_axis',(0.,0.,1.)); #352218=DIRECTION('ref_axis',(1.,0.,0.)); #352219=DIRECTION('',(0.,0.,1.)); #352220=DIRECTION('center_axis',(0.,0.,-1.)); #352221=DIRECTION('ref_axis',(1.,0.,0.)); #352222=DIRECTION('center_axis',(0.,0.,1.)); #352223=DIRECTION('ref_axis',(1.,0.,0.)); #352224=DIRECTION('center_axis',(0.,0.,1.)); #352225=DIRECTION('ref_axis',(1.,0.,0.)); #352226=DIRECTION('',(0.,0.,1.)); #352227=DIRECTION('center_axis',(0.,0.,-1.)); #352228=DIRECTION('ref_axis',(1.,0.,0.)); #352229=DIRECTION('center_axis',(0.,0.,1.)); #352230=DIRECTION('ref_axis',(1.,0.,0.)); #352231=DIRECTION('center_axis',(0.,0.,1.)); #352232=DIRECTION('ref_axis',(1.,0.,0.)); #352233=DIRECTION('',(0.,0.,1.)); #352234=DIRECTION('center_axis',(0.,0.,-1.)); #352235=DIRECTION('ref_axis',(1.,0.,0.)); #352236=DIRECTION('center_axis',(0.,0.,1.)); #352237=DIRECTION('ref_axis',(1.,0.,0.)); #352238=DIRECTION('center_axis',(0.,0.,1.)); #352239=DIRECTION('ref_axis',(1.,0.,0.)); #352240=DIRECTION('',(0.,0.,1.)); #352241=DIRECTION('center_axis',(0.,0.,-1.)); #352242=DIRECTION('ref_axis',(1.,0.,0.)); #352243=DIRECTION('center_axis',(0.,0.,1.)); #352244=DIRECTION('ref_axis',(1.,0.,0.)); #352245=DIRECTION('center_axis',(0.,0.,1.)); #352246=DIRECTION('ref_axis',(1.,0.,0.)); #352247=DIRECTION('',(0.,0.,1.)); #352248=DIRECTION('center_axis',(0.,0.,-1.)); #352249=DIRECTION('ref_axis',(1.,0.,0.)); #352250=DIRECTION('center_axis',(0.,0.,1.)); #352251=DIRECTION('ref_axis',(1.,0.,0.)); #352252=DIRECTION('center_axis',(0.,0.,1.)); #352253=DIRECTION('ref_axis',(1.,0.,0.)); #352254=DIRECTION('',(0.,0.,1.)); #352255=DIRECTION('center_axis',(0.,0.,-1.)); #352256=DIRECTION('ref_axis',(1.,0.,0.)); #352257=DIRECTION('center_axis',(0.,0.,1.)); #352258=DIRECTION('ref_axis',(1.,0.,0.)); #352259=DIRECTION('center_axis',(0.,0.,1.)); #352260=DIRECTION('ref_axis',(1.,0.,0.)); #352261=DIRECTION('',(0.,0.,1.)); #352262=DIRECTION('center_axis',(0.,0.,-1.)); #352263=DIRECTION('ref_axis',(1.,0.,0.)); #352264=DIRECTION('center_axis',(0.,0.,1.)); #352265=DIRECTION('ref_axis',(1.,0.,0.)); #352266=DIRECTION('center_axis',(0.,0.,1.)); #352267=DIRECTION('ref_axis',(1.,0.,0.)); #352268=DIRECTION('',(0.,0.,1.)); #352269=DIRECTION('center_axis',(0.,0.,-1.)); #352270=DIRECTION('ref_axis',(1.,0.,0.)); #352271=DIRECTION('center_axis',(0.,0.,1.)); #352272=DIRECTION('ref_axis',(1.,0.,0.)); #352273=DIRECTION('center_axis',(0.,0.,1.)); #352274=DIRECTION('ref_axis',(1.,0.,0.)); #352275=DIRECTION('',(0.,0.,1.)); #352276=DIRECTION('center_axis',(0.,0.,-1.)); #352277=DIRECTION('ref_axis',(1.,0.,0.)); #352278=DIRECTION('center_axis',(0.,0.,1.)); #352279=DIRECTION('ref_axis',(1.,0.,0.)); #352280=DIRECTION('center_axis',(0.,0.,1.)); #352281=DIRECTION('ref_axis',(1.,0.,0.)); #352282=DIRECTION('',(0.,0.,1.)); #352283=DIRECTION('center_axis',(0.,0.,-1.)); #352284=DIRECTION('ref_axis',(1.,0.,0.)); #352285=DIRECTION('center_axis',(0.,0.,1.)); #352286=DIRECTION('ref_axis',(1.,0.,0.)); #352287=DIRECTION('center_axis',(0.,0.,1.)); #352288=DIRECTION('ref_axis',(1.,0.,0.)); #352289=DIRECTION('',(0.,0.,1.)); #352290=DIRECTION('center_axis',(0.,0.,-1.)); #352291=DIRECTION('ref_axis',(1.,0.,0.)); #352292=DIRECTION('center_axis',(0.,0.,1.)); #352293=DIRECTION('ref_axis',(1.,0.,0.)); #352294=DIRECTION('center_axis',(0.,0.,1.)); #352295=DIRECTION('ref_axis',(1.,0.,0.)); #352296=DIRECTION('',(0.,0.,1.)); #352297=DIRECTION('center_axis',(0.,0.,-1.)); #352298=DIRECTION('ref_axis',(1.,0.,0.)); #352299=DIRECTION('center_axis',(0.,0.,1.)); #352300=DIRECTION('ref_axis',(1.,0.,0.)); #352301=DIRECTION('center_axis',(0.,0.,1.)); #352302=DIRECTION('ref_axis',(1.,0.,0.)); #352303=DIRECTION('',(0.,0.,1.)); #352304=DIRECTION('center_axis',(0.,0.,-1.)); #352305=DIRECTION('ref_axis',(1.,0.,0.)); #352306=DIRECTION('center_axis',(0.,0.,1.)); #352307=DIRECTION('ref_axis',(1.,0.,0.)); #352308=DIRECTION('center_axis',(0.,0.,1.)); #352309=DIRECTION('ref_axis',(1.,0.,0.)); #352310=DIRECTION('',(0.,0.,1.)); #352311=DIRECTION('center_axis',(0.,0.,-1.)); #352312=DIRECTION('ref_axis',(1.,0.,0.)); #352313=DIRECTION('center_axis',(0.,0.,1.)); #352314=DIRECTION('ref_axis',(1.,0.,0.)); #352315=DIRECTION('center_axis',(0.,0.,1.)); #352316=DIRECTION('ref_axis',(1.,0.,0.)); #352317=DIRECTION('',(0.,0.,1.)); #352318=DIRECTION('center_axis',(0.,0.,-1.)); #352319=DIRECTION('ref_axis',(1.,0.,0.)); #352320=DIRECTION('center_axis',(0.,0.,1.)); #352321=DIRECTION('ref_axis',(1.,0.,0.)); #352322=DIRECTION('center_axis',(0.,0.,1.)); #352323=DIRECTION('ref_axis',(1.,0.,0.)); #352324=DIRECTION('',(0.,0.,1.)); #352325=DIRECTION('center_axis',(0.,0.,-1.)); #352326=DIRECTION('ref_axis',(1.,0.,0.)); #352327=DIRECTION('center_axis',(0.,0.,1.)); #352328=DIRECTION('ref_axis',(1.,0.,0.)); #352329=DIRECTION('center_axis',(0.,0.,1.)); #352330=DIRECTION('ref_axis',(1.,0.,0.)); #352331=DIRECTION('',(0.,0.,1.)); #352332=DIRECTION('center_axis',(0.,0.,-1.)); #352333=DIRECTION('ref_axis',(1.,0.,0.)); #352334=DIRECTION('center_axis',(0.,0.,1.)); #352335=DIRECTION('ref_axis',(1.,0.,0.)); #352336=DIRECTION('center_axis',(0.,0.,1.)); #352337=DIRECTION('ref_axis',(1.,0.,0.)); #352338=DIRECTION('',(0.,0.,1.)); #352339=DIRECTION('center_axis',(0.,0.,-1.)); #352340=DIRECTION('ref_axis',(1.,0.,0.)); #352341=DIRECTION('center_axis',(0.,0.,1.)); #352342=DIRECTION('ref_axis',(1.,0.,0.)); #352343=DIRECTION('center_axis',(0.,0.,1.)); #352344=DIRECTION('ref_axis',(1.,0.,0.)); #352345=DIRECTION('',(0.,0.,1.)); #352346=DIRECTION('center_axis',(0.,0.,-1.)); #352347=DIRECTION('ref_axis',(1.,0.,0.)); #352348=DIRECTION('center_axis',(0.,0.,1.)); #352349=DIRECTION('ref_axis',(1.,0.,0.)); #352350=DIRECTION('center_axis',(0.,0.,1.)); #352351=DIRECTION('ref_axis',(1.,0.,0.)); #352352=DIRECTION('',(0.,0.,1.)); #352353=DIRECTION('center_axis',(0.,0.,-1.)); #352354=DIRECTION('ref_axis',(1.,0.,0.)); #352355=DIRECTION('center_axis',(0.,0.,1.)); #352356=DIRECTION('ref_axis',(1.,0.,0.)); #352357=DIRECTION('center_axis',(0.,0.,1.)); #352358=DIRECTION('ref_axis',(1.,0.,0.)); #352359=DIRECTION('',(0.,0.,1.)); #352360=DIRECTION('center_axis',(0.,0.,-1.)); #352361=DIRECTION('ref_axis',(1.,0.,0.)); #352362=DIRECTION('center_axis',(0.,0.,1.)); #352363=DIRECTION('ref_axis',(1.,0.,0.)); #352364=DIRECTION('center_axis',(0.,0.,1.)); #352365=DIRECTION('ref_axis',(1.,0.,0.)); #352366=DIRECTION('',(0.,0.,1.)); #352367=DIRECTION('center_axis',(0.,0.,-1.)); #352368=DIRECTION('ref_axis',(1.,0.,0.)); #352369=DIRECTION('center_axis',(0.,0.,1.)); #352370=DIRECTION('ref_axis',(1.,0.,0.)); #352371=DIRECTION('center_axis',(0.,0.,1.)); #352372=DIRECTION('ref_axis',(1.,0.,0.)); #352373=DIRECTION('',(0.,0.,1.)); #352374=DIRECTION('center_axis',(0.,0.,-1.)); #352375=DIRECTION('ref_axis',(1.,0.,0.)); #352376=DIRECTION('center_axis',(0.,0.,1.)); #352377=DIRECTION('ref_axis',(1.,0.,0.)); #352378=DIRECTION('center_axis',(0.,0.,1.)); #352379=DIRECTION('ref_axis',(1.,0.,0.)); #352380=DIRECTION('',(0.,0.,1.)); #352381=DIRECTION('center_axis',(0.,0.,-1.)); #352382=DIRECTION('ref_axis',(1.,0.,0.)); #352383=DIRECTION('center_axis',(0.,0.,1.)); #352384=DIRECTION('ref_axis',(1.,0.,0.)); #352385=DIRECTION('center_axis',(0.,0.,1.)); #352386=DIRECTION('ref_axis',(1.,0.,0.)); #352387=DIRECTION('',(0.,0.,1.)); #352388=DIRECTION('center_axis',(0.,0.,-1.)); #352389=DIRECTION('ref_axis',(1.,0.,0.)); #352390=DIRECTION('center_axis',(0.,0.,1.)); #352391=DIRECTION('ref_axis',(1.,0.,0.)); #352392=DIRECTION('center_axis',(0.,0.,1.)); #352393=DIRECTION('ref_axis',(1.,0.,0.)); #352394=DIRECTION('',(0.,0.,1.)); #352395=DIRECTION('center_axis',(0.,0.,-1.)); #352396=DIRECTION('ref_axis',(1.,0.,0.)); #352397=DIRECTION('center_axis',(0.,0.,1.)); #352398=DIRECTION('ref_axis',(1.,0.,0.)); #352399=DIRECTION('center_axis',(0.,0.,1.)); #352400=DIRECTION('ref_axis',(1.,0.,0.)); #352401=DIRECTION('',(0.,0.,1.)); #352402=DIRECTION('center_axis',(0.,0.,-1.)); #352403=DIRECTION('ref_axis',(1.,0.,0.)); #352404=DIRECTION('center_axis',(0.,0.,1.)); #352405=DIRECTION('ref_axis',(1.,0.,0.)); #352406=DIRECTION('center_axis',(0.,0.,1.)); #352407=DIRECTION('ref_axis',(1.,0.,0.)); #352408=DIRECTION('',(0.,0.,1.)); #352409=DIRECTION('center_axis',(0.,0.,-1.)); #352410=DIRECTION('ref_axis',(1.,0.,0.)); #352411=DIRECTION('center_axis',(0.,0.,1.)); #352412=DIRECTION('ref_axis',(1.,0.,0.)); #352413=DIRECTION('center_axis',(0.,0.,1.)); #352414=DIRECTION('ref_axis',(1.,0.,0.)); #352415=DIRECTION('',(0.,0.,1.)); #352416=DIRECTION('center_axis',(0.,0.,-1.)); #352417=DIRECTION('ref_axis',(1.,0.,0.)); #352418=DIRECTION('center_axis',(0.,0.,1.)); #352419=DIRECTION('ref_axis',(1.,0.,0.)); #352420=DIRECTION('center_axis',(0.,0.,1.)); #352421=DIRECTION('ref_axis',(1.,0.,0.)); #352422=DIRECTION('',(0.,0.,1.)); #352423=DIRECTION('center_axis',(0.,0.,-1.)); #352424=DIRECTION('ref_axis',(1.,0.,0.)); #352425=DIRECTION('center_axis',(0.,0.,1.)); #352426=DIRECTION('ref_axis',(1.,0.,0.)); #352427=DIRECTION('center_axis',(0.,0.,1.)); #352428=DIRECTION('ref_axis',(1.,0.,0.)); #352429=DIRECTION('',(0.,0.,1.)); #352430=DIRECTION('center_axis',(0.,0.,-1.)); #352431=DIRECTION('ref_axis',(1.,0.,0.)); #352432=DIRECTION('center_axis',(0.,0.,1.)); #352433=DIRECTION('ref_axis',(1.,0.,0.)); #352434=DIRECTION('center_axis',(0.,0.,1.)); #352435=DIRECTION('ref_axis',(1.,0.,0.)); #352436=DIRECTION('',(0.,0.,1.)); #352437=DIRECTION('center_axis',(0.,0.,-1.)); #352438=DIRECTION('ref_axis',(1.,0.,0.)); #352439=DIRECTION('center_axis',(0.,0.,1.)); #352440=DIRECTION('ref_axis',(1.,0.,0.)); #352441=DIRECTION('center_axis',(0.,0.,1.)); #352442=DIRECTION('ref_axis',(1.,0.,0.)); #352443=DIRECTION('',(0.,0.,1.)); #352444=DIRECTION('center_axis',(0.,0.,-1.)); #352445=DIRECTION('ref_axis',(1.,0.,0.)); #352446=DIRECTION('center_axis',(0.,0.,1.)); #352447=DIRECTION('ref_axis',(1.,0.,0.)); #352448=DIRECTION('center_axis',(0.,0.,1.)); #352449=DIRECTION('ref_axis',(1.,0.,0.)); #352450=DIRECTION('',(0.,0.,1.)); #352451=DIRECTION('center_axis',(0.,0.,-1.)); #352452=DIRECTION('ref_axis',(1.,0.,0.)); #352453=DIRECTION('center_axis',(0.,0.,1.)); #352454=DIRECTION('ref_axis',(1.,0.,0.)); #352455=DIRECTION('center_axis',(0.,0.,1.)); #352456=DIRECTION('ref_axis',(1.,0.,0.)); #352457=DIRECTION('',(0.,0.,1.)); #352458=DIRECTION('center_axis',(0.,0.,-1.)); #352459=DIRECTION('ref_axis',(1.,0.,0.)); #352460=DIRECTION('center_axis',(0.,0.,1.)); #352461=DIRECTION('ref_axis',(1.,0.,0.)); #352462=DIRECTION('center_axis',(0.,0.,1.)); #352463=DIRECTION('ref_axis',(1.,0.,0.)); #352464=DIRECTION('',(0.,0.,1.)); #352465=DIRECTION('center_axis',(0.,0.,-1.)); #352466=DIRECTION('ref_axis',(1.,0.,0.)); #352467=DIRECTION('center_axis',(0.,0.,1.)); #352468=DIRECTION('ref_axis',(1.,0.,0.)); #352469=DIRECTION('center_axis',(0.,0.,1.)); #352470=DIRECTION('ref_axis',(1.,0.,0.)); #352471=DIRECTION('',(0.,0.,1.)); #352472=DIRECTION('center_axis',(0.,0.,-1.)); #352473=DIRECTION('ref_axis',(1.,0.,0.)); #352474=DIRECTION('center_axis',(0.,0.,1.)); #352475=DIRECTION('ref_axis',(1.,0.,0.)); #352476=DIRECTION('center_axis',(0.,0.,1.)); #352477=DIRECTION('ref_axis',(1.,0.,0.)); #352478=DIRECTION('',(0.,0.,1.)); #352479=DIRECTION('center_axis',(0.,0.,-1.)); #352480=DIRECTION('ref_axis',(1.,0.,0.)); #352481=DIRECTION('center_axis',(0.,0.,1.)); #352482=DIRECTION('ref_axis',(1.,0.,0.)); #352483=DIRECTION('center_axis',(0.,0.,1.)); #352484=DIRECTION('ref_axis',(1.,0.,0.)); #352485=DIRECTION('',(0.,0.,1.)); #352486=DIRECTION('center_axis',(0.,0.,-1.)); #352487=DIRECTION('ref_axis',(1.,0.,0.)); #352488=DIRECTION('center_axis',(0.,0.,1.)); #352489=DIRECTION('ref_axis',(1.,0.,0.)); #352490=DIRECTION('center_axis',(0.,0.,1.)); #352491=DIRECTION('ref_axis',(1.,0.,0.)); #352492=DIRECTION('',(0.,0.,1.)); #352493=DIRECTION('center_axis',(0.,0.,-1.)); #352494=DIRECTION('ref_axis',(1.,0.,0.)); #352495=DIRECTION('center_axis',(0.,0.,1.)); #352496=DIRECTION('ref_axis',(1.,0.,0.)); #352497=DIRECTION('center_axis',(0.,0.,1.)); #352498=DIRECTION('ref_axis',(1.,0.,0.)); #352499=DIRECTION('',(0.,0.,1.)); #352500=DIRECTION('center_axis',(0.,0.,-1.)); #352501=DIRECTION('ref_axis',(1.,0.,0.)); #352502=DIRECTION('center_axis',(0.,0.,1.)); #352503=DIRECTION('ref_axis',(1.,0.,0.)); #352504=DIRECTION('center_axis',(0.,0.,1.)); #352505=DIRECTION('ref_axis',(1.,0.,0.)); #352506=DIRECTION('',(0.,0.,1.)); #352507=DIRECTION('center_axis',(0.,0.,-1.)); #352508=DIRECTION('ref_axis',(1.,0.,0.)); #352509=DIRECTION('center_axis',(0.,0.,1.)); #352510=DIRECTION('ref_axis',(1.,0.,0.)); #352511=DIRECTION('center_axis',(0.,0.,1.)); #352512=DIRECTION('ref_axis',(1.,0.,0.)); #352513=DIRECTION('',(0.,0.,1.)); #352514=DIRECTION('center_axis',(0.,0.,-1.)); #352515=DIRECTION('ref_axis',(1.,0.,0.)); #352516=DIRECTION('center_axis',(0.,0.,1.)); #352517=DIRECTION('ref_axis',(1.,0.,0.)); #352518=DIRECTION('center_axis',(0.,0.,1.)); #352519=DIRECTION('ref_axis',(1.,0.,0.)); #352520=DIRECTION('',(0.,0.,1.)); #352521=DIRECTION('center_axis',(0.,0.,-1.)); #352522=DIRECTION('ref_axis',(1.,0.,0.)); #352523=DIRECTION('center_axis',(0.,0.,1.)); #352524=DIRECTION('ref_axis',(1.,0.,0.)); #352525=DIRECTION('center_axis',(0.,0.,1.)); #352526=DIRECTION('ref_axis',(1.,0.,0.)); #352527=DIRECTION('',(0.,0.,1.)); #352528=DIRECTION('center_axis',(0.,0.,-1.)); #352529=DIRECTION('ref_axis',(1.,0.,0.)); #352530=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #352531=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #352532=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #352533=DIRECTION('',(0.,0.,1.)); #352534=DIRECTION('',(5.55111499297693E-15,1.,0.)); #352535=DIRECTION('',(0.,0.,1.)); #352536=DIRECTION('center_axis',(0.,0.,1.)); #352537=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #352538=DIRECTION('center_axis',(0.,0.,-1.)); #352539=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #352540=DIRECTION('center_axis',(0.,0.,1.)); #352541=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #352542=DIRECTION('',(0.,0.,1.)); #352543=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #352544=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #352545=DIRECTION('',(5.55111499297693E-15,1.,0.)); #352546=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #352547=DIRECTION('',(0.,0.,1.)); #352548=DIRECTION('center_axis',(0.,0.,1.)); #352549=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #352550=DIRECTION('center_axis',(0.,0.,-1.)); #352551=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #352552=DIRECTION('center_axis',(0.,0.,1.)); #352553=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #352554=DIRECTION('center_axis',(0.,0.,1.)); #352555=DIRECTION('ref_axis',(1.,0.,0.)); #352556=DIRECTION('center_axis',(0.,0.,1.)); #352557=DIRECTION('ref_axis',(1.,0.,0.)); #352558=DIRECTION('',(0.,0.,1.)); #352559=DIRECTION('center_axis',(0.,0.,-1.)); #352560=DIRECTION('ref_axis',(1.,0.,0.)); #352561=DIRECTION('center_axis',(7.40148643419025E-15,1.,0.)); #352562=DIRECTION('ref_axis',(-1.,7.40148643419025E-15,0.)); #352563=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #352564=DIRECTION('',(0.,0.,1.)); #352565=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #352566=DIRECTION('',(0.,0.,1.)); #352567=DIRECTION('center_axis',(0.,0.,1.)); #352568=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #352569=DIRECTION('center_axis',(0.,0.,-1.)); #352570=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #352571=DIRECTION('center_axis',(0.,0.,1.)); #352572=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #352573=DIRECTION('',(0.,0.,1.)); #352574=DIRECTION('center_axis',(-7.40148643419025E-15,-1.,0.)); #352575=DIRECTION('ref_axis',(1.,-7.40148643419025E-15,0.)); #352576=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #352577=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #352578=DIRECTION('',(0.,0.,1.)); #352579=DIRECTION('center_axis',(0.,0.,1.)); #352580=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #352581=DIRECTION('center_axis',(0.,0.,-1.)); #352582=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #352583=DIRECTION('center_axis',(0.,0.,1.)); #352584=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #352585=DIRECTION('center_axis',(0.,0.,1.)); #352586=DIRECTION('ref_axis',(1.,0.,0.)); #352587=DIRECTION('center_axis',(0.,0.,1.)); #352588=DIRECTION('ref_axis',(1.,0.,0.)); #352589=DIRECTION('',(0.,0.,1.)); #352590=DIRECTION('center_axis',(0.,0.,-1.)); #352591=DIRECTION('ref_axis',(1.,0.,0.)); #352592=DIRECTION('center_axis',(0.,0.,1.)); #352593=DIRECTION('ref_axis',(1.,0.,0.)); #352594=DIRECTION('center_axis',(0.,0.,1.)); #352595=DIRECTION('ref_axis',(1.,0.,0.)); #352596=DIRECTION('',(0.,0.,1.)); #352597=DIRECTION('center_axis',(0.,0.,-1.)); #352598=DIRECTION('ref_axis',(1.,0.,0.)); #352599=DIRECTION('center_axis',(0.,0.,1.)); #352600=DIRECTION('ref_axis',(1.,0.,0.)); #352601=DIRECTION('center_axis',(0.,0.,1.)); #352602=DIRECTION('ref_axis',(1.,0.,0.)); #352603=DIRECTION('',(0.,0.,1.)); #352604=DIRECTION('center_axis',(0.,0.,-1.)); #352605=DIRECTION('ref_axis',(1.,0.,0.)); #352606=DIRECTION('center_axis',(7.40148643419025E-15,1.,0.)); #352607=DIRECTION('ref_axis',(-1.,7.40148643419025E-15,0.)); #352608=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #352609=DIRECTION('',(0.,0.,1.)); #352610=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #352611=DIRECTION('',(0.,0.,1.)); #352612=DIRECTION('center_axis',(0.,0.,1.)); #352613=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #352614=DIRECTION('center_axis',(0.,0.,-1.)); #352615=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #352616=DIRECTION('center_axis',(0.,0.,1.)); #352617=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #352618=DIRECTION('',(0.,0.,1.)); #352619=DIRECTION('center_axis',(-7.40148643419025E-15,-1.,0.)); #352620=DIRECTION('ref_axis',(1.,-7.40148643419025E-15,0.)); #352621=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #352622=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #352623=DIRECTION('',(0.,0.,1.)); #352624=DIRECTION('center_axis',(0.,0.,1.)); #352625=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #352626=DIRECTION('center_axis',(0.,0.,-1.)); #352627=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #352628=DIRECTION('center_axis',(0.,0.,1.)); #352629=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #352630=DIRECTION('center_axis',(0.,0.,1.)); #352631=DIRECTION('ref_axis',(1.,0.,0.)); #352632=DIRECTION('center_axis',(0.,0.,1.)); #352633=DIRECTION('ref_axis',(1.,0.,0.)); #352634=DIRECTION('',(0.,0.,1.)); #352635=DIRECTION('center_axis',(0.,0.,-1.)); #352636=DIRECTION('ref_axis',(1.,0.,0.)); #352637=DIRECTION('center_axis',(0.,0.,1.)); #352638=DIRECTION('ref_axis',(1.,0.,0.)); #352639=DIRECTION('center_axis',(0.,0.,1.)); #352640=DIRECTION('ref_axis',(1.,0.,0.)); #352641=DIRECTION('',(0.,0.,1.)); #352642=DIRECTION('center_axis',(0.,0.,-1.)); #352643=DIRECTION('ref_axis',(1.,0.,0.)); #352644=DIRECTION('center_axis',(0.,0.,1.)); #352645=DIRECTION('ref_axis',(1.,0.,0.)); #352646=DIRECTION('center_axis',(0.,0.,1.)); #352647=DIRECTION('ref_axis',(1.,0.,0.)); #352648=DIRECTION('',(0.,0.,1.)); #352649=DIRECTION('center_axis',(0.,0.,-1.)); #352650=DIRECTION('ref_axis',(1.,0.,0.)); #352651=DIRECTION('center_axis',(0.,0.,1.)); #352652=DIRECTION('ref_axis',(1.,0.,0.)); #352653=DIRECTION('center_axis',(0.,0.,1.)); #352654=DIRECTION('ref_axis',(1.,0.,0.)); #352655=DIRECTION('',(0.,0.,1.)); #352656=DIRECTION('center_axis',(0.,0.,-1.)); #352657=DIRECTION('ref_axis',(1.,0.,0.)); #352658=DIRECTION('center_axis',(0.,0.,1.)); #352659=DIRECTION('ref_axis',(1.,0.,0.)); #352660=DIRECTION('center_axis',(0.,0.,1.)); #352661=DIRECTION('ref_axis',(1.,0.,0.)); #352662=DIRECTION('',(0.,0.,1.)); #352663=DIRECTION('center_axis',(0.,0.,-1.)); #352664=DIRECTION('ref_axis',(1.,0.,0.)); #352665=DIRECTION('center_axis',(0.,0.,1.)); #352666=DIRECTION('ref_axis',(1.,0.,0.)); #352667=DIRECTION('center_axis',(0.,0.,1.)); #352668=DIRECTION('ref_axis',(1.,0.,0.)); #352669=DIRECTION('',(0.,0.,1.)); #352670=DIRECTION('center_axis',(0.,0.,-1.)); #352671=DIRECTION('ref_axis',(1.,0.,0.)); #352672=DIRECTION('center_axis',(0.,0.,1.)); #352673=DIRECTION('ref_axis',(1.,0.,0.)); #352674=DIRECTION('center_axis',(0.,0.,1.)); #352675=DIRECTION('ref_axis',(1.,0.,0.)); #352676=DIRECTION('',(0.,0.,1.)); #352677=DIRECTION('center_axis',(0.,0.,-1.)); #352678=DIRECTION('ref_axis',(1.,0.,0.)); #352679=DIRECTION('center_axis',(0.,0.,1.)); #352680=DIRECTION('ref_axis',(1.,0.,0.)); #352681=DIRECTION('center_axis',(0.,0.,1.)); #352682=DIRECTION('ref_axis',(1.,0.,0.)); #352683=DIRECTION('',(0.,0.,1.)); #352684=DIRECTION('center_axis',(0.,0.,-1.)); #352685=DIRECTION('ref_axis',(1.,0.,0.)); #352686=DIRECTION('center_axis',(0.,0.,1.)); #352687=DIRECTION('ref_axis',(1.,0.,0.)); #352688=DIRECTION('center_axis',(0.,0.,1.)); #352689=DIRECTION('ref_axis',(1.,0.,0.)); #352690=DIRECTION('',(0.,0.,1.)); #352691=DIRECTION('center_axis',(0.,0.,-1.)); #352692=DIRECTION('ref_axis',(1.,0.,0.)); #352693=DIRECTION('center_axis',(0.,0.,1.)); #352694=DIRECTION('ref_axis',(1.,0.,0.)); #352695=DIRECTION('center_axis',(0.,0.,1.)); #352696=DIRECTION('ref_axis',(1.,0.,0.)); #352697=DIRECTION('',(0.,0.,1.)); #352698=DIRECTION('center_axis',(0.,0.,-1.)); #352699=DIRECTION('ref_axis',(1.,0.,0.)); #352700=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #352701=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #352702=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #352703=DIRECTION('',(0.,0.,1.)); #352704=DIRECTION('',(5.55111499297693E-15,1.,0.)); #352705=DIRECTION('',(0.,0.,1.)); #352706=DIRECTION('center_axis',(0.,0.,1.)); #352707=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #352708=DIRECTION('center_axis',(0.,0.,-1.)); #352709=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #352710=DIRECTION('center_axis',(0.,0.,1.)); #352711=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #352712=DIRECTION('',(0.,0.,1.)); #352713=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #352714=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #352715=DIRECTION('',(5.55111499297693E-15,1.,0.)); #352716=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #352717=DIRECTION('',(0.,0.,1.)); #352718=DIRECTION('center_axis',(0.,0.,1.)); #352719=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #352720=DIRECTION('center_axis',(0.,0.,-1.)); #352721=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #352722=DIRECTION('center_axis',(0.,0.,1.)); #352723=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #352724=DIRECTION('center_axis',(0.,0.,1.)); #352725=DIRECTION('ref_axis',(1.,0.,0.)); #352726=DIRECTION('center_axis',(0.,0.,1.)); #352727=DIRECTION('ref_axis',(1.,0.,0.)); #352728=DIRECTION('',(0.,0.,1.)); #352729=DIRECTION('center_axis',(0.,0.,-1.)); #352730=DIRECTION('ref_axis',(1.,0.,0.)); #352731=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #352732=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #352733=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #352734=DIRECTION('',(0.,0.,1.)); #352735=DIRECTION('',(5.55111499297693E-15,1.,0.)); #352736=DIRECTION('',(0.,0.,1.)); #352737=DIRECTION('center_axis',(0.,0.,1.)); #352738=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #352739=DIRECTION('center_axis',(0.,0.,-1.)); #352740=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #352741=DIRECTION('center_axis',(0.,0.,1.)); #352742=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #352743=DIRECTION('',(0.,0.,1.)); #352744=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #352745=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #352746=DIRECTION('',(5.55111499297693E-15,1.,0.)); #352747=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #352748=DIRECTION('',(0.,0.,1.)); #352749=DIRECTION('center_axis',(0.,0.,1.)); #352750=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #352751=DIRECTION('center_axis',(0.,0.,-1.)); #352752=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #352753=DIRECTION('center_axis',(0.,0.,1.)); #352754=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #352755=DIRECTION('center_axis',(0.,0.,1.)); #352756=DIRECTION('ref_axis',(1.,0.,0.)); #352757=DIRECTION('center_axis',(0.,0.,1.)); #352758=DIRECTION('ref_axis',(1.,0.,0.)); #352759=DIRECTION('',(0.,0.,1.)); #352760=DIRECTION('center_axis',(0.,0.,-1.)); #352761=DIRECTION('ref_axis',(1.,0.,0.)); #352762=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #352763=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #352764=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #352765=DIRECTION('',(0.,0.,1.)); #352766=DIRECTION('',(5.55111499297693E-15,1.,0.)); #352767=DIRECTION('',(0.,0.,1.)); #352768=DIRECTION('center_axis',(0.,0.,1.)); #352769=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #352770=DIRECTION('center_axis',(0.,0.,-1.)); #352771=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #352772=DIRECTION('center_axis',(0.,0.,1.)); #352773=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #352774=DIRECTION('',(0.,0.,1.)); #352775=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #352776=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #352777=DIRECTION('',(5.55111499297693E-15,1.,0.)); #352778=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #352779=DIRECTION('',(0.,0.,1.)); #352780=DIRECTION('center_axis',(0.,0.,1.)); #352781=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #352782=DIRECTION('center_axis',(0.,0.,-1.)); #352783=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #352784=DIRECTION('center_axis',(0.,0.,1.)); #352785=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #352786=DIRECTION('center_axis',(0.,0.,1.)); #352787=DIRECTION('ref_axis',(1.,0.,0.)); #352788=DIRECTION('center_axis',(0.,0.,1.)); #352789=DIRECTION('ref_axis',(1.,0.,0.)); #352790=DIRECTION('',(0.,0.,1.)); #352791=DIRECTION('center_axis',(0.,0.,-1.)); #352792=DIRECTION('ref_axis',(1.,0.,0.)); #352793=DIRECTION('center_axis',(0.,0.,1.)); #352794=DIRECTION('ref_axis',(1.,0.,0.)); #352795=DIRECTION('center_axis',(0.,0.,1.)); #352796=DIRECTION('ref_axis',(1.,0.,0.)); #352797=DIRECTION('',(0.,0.,1.)); #352798=DIRECTION('center_axis',(0.,0.,-1.)); #352799=DIRECTION('ref_axis',(1.,0.,0.)); #352800=DIRECTION('center_axis',(0.,0.,1.)); #352801=DIRECTION('ref_axis',(1.,0.,0.)); #352802=DIRECTION('center_axis',(0.,0.,1.)); #352803=DIRECTION('ref_axis',(1.,0.,0.)); #352804=DIRECTION('',(0.,0.,1.)); #352805=DIRECTION('center_axis',(0.,0.,-1.)); #352806=DIRECTION('ref_axis',(1.,0.,0.)); #352807=DIRECTION('center_axis',(0.,0.,1.)); #352808=DIRECTION('ref_axis',(1.,0.,0.)); #352809=DIRECTION('center_axis',(0.,0.,1.)); #352810=DIRECTION('ref_axis',(1.,0.,0.)); #352811=DIRECTION('',(0.,0.,1.)); #352812=DIRECTION('center_axis',(0.,0.,-1.)); #352813=DIRECTION('ref_axis',(1.,0.,0.)); #352814=DIRECTION('center_axis',(0.,0.,1.)); #352815=DIRECTION('ref_axis',(1.,0.,0.)); #352816=DIRECTION('center_axis',(0.,0.,1.)); #352817=DIRECTION('ref_axis',(1.,0.,0.)); #352818=DIRECTION('',(0.,0.,1.)); #352819=DIRECTION('center_axis',(0.,0.,-1.)); #352820=DIRECTION('ref_axis',(1.,0.,0.)); #352821=DIRECTION('center_axis',(0.,0.,1.)); #352822=DIRECTION('ref_axis',(1.,0.,0.)); #352823=DIRECTION('center_axis',(0.,0.,1.)); #352824=DIRECTION('ref_axis',(1.,0.,0.)); #352825=DIRECTION('',(0.,0.,1.)); #352826=DIRECTION('center_axis',(0.,0.,-1.)); #352827=DIRECTION('ref_axis',(1.,0.,0.)); #352828=DIRECTION('center_axis',(0.,0.,1.)); #352829=DIRECTION('ref_axis',(1.,0.,0.)); #352830=DIRECTION('center_axis',(0.,0.,1.)); #352831=DIRECTION('ref_axis',(1.,0.,0.)); #352832=DIRECTION('',(0.,0.,1.)); #352833=DIRECTION('center_axis',(0.,0.,-1.)); #352834=DIRECTION('ref_axis',(1.,0.,0.)); #352835=DIRECTION('center_axis',(0.,0.,1.)); #352836=DIRECTION('ref_axis',(1.,0.,0.)); #352837=DIRECTION('center_axis',(0.,0.,1.)); #352838=DIRECTION('ref_axis',(1.,0.,0.)); #352839=DIRECTION('',(0.,0.,1.)); #352840=DIRECTION('center_axis',(0.,0.,-1.)); #352841=DIRECTION('ref_axis',(1.,0.,0.)); #352842=DIRECTION('center_axis',(0.,0.,1.)); #352843=DIRECTION('ref_axis',(1.,0.,0.)); #352844=DIRECTION('center_axis',(0.,0.,1.)); #352845=DIRECTION('ref_axis',(1.,0.,0.)); #352846=DIRECTION('',(0.,0.,1.)); #352847=DIRECTION('center_axis',(0.,0.,-1.)); #352848=DIRECTION('ref_axis',(1.,0.,0.)); #352849=DIRECTION('center_axis',(0.,0.,1.)); #352850=DIRECTION('ref_axis',(1.,0.,0.)); #352851=DIRECTION('center_axis',(0.,0.,1.)); #352852=DIRECTION('ref_axis',(1.,0.,0.)); #352853=DIRECTION('',(0.,0.,1.)); #352854=DIRECTION('center_axis',(0.,0.,-1.)); #352855=DIRECTION('ref_axis',(1.,0.,0.)); #352856=DIRECTION('center_axis',(0.,0.,1.)); #352857=DIRECTION('ref_axis',(1.,0.,0.)); #352858=DIRECTION('center_axis',(0.,0.,1.)); #352859=DIRECTION('ref_axis',(1.,0.,0.)); #352860=DIRECTION('',(0.,0.,1.)); #352861=DIRECTION('center_axis',(0.,0.,-1.)); #352862=DIRECTION('ref_axis',(1.,0.,0.)); #352863=DIRECTION('center_axis',(0.,0.,1.)); #352864=DIRECTION('ref_axis',(1.,0.,0.)); #352865=DIRECTION('center_axis',(0.,0.,1.)); #352866=DIRECTION('ref_axis',(1.,0.,0.)); #352867=DIRECTION('',(0.,0.,1.)); #352868=DIRECTION('center_axis',(0.,0.,-1.)); #352869=DIRECTION('ref_axis',(1.,0.,0.)); #352870=DIRECTION('center_axis',(0.,0.,1.)); #352871=DIRECTION('ref_axis',(1.,0.,0.)); #352872=DIRECTION('center_axis',(0.,0.,1.)); #352873=DIRECTION('ref_axis',(1.,0.,0.)); #352874=DIRECTION('',(0.,0.,1.)); #352875=DIRECTION('center_axis',(0.,0.,-1.)); #352876=DIRECTION('ref_axis',(1.,0.,0.)); #352877=DIRECTION('center_axis',(0.,0.,1.)); #352878=DIRECTION('ref_axis',(1.,0.,0.)); #352879=DIRECTION('center_axis',(0.,0.,1.)); #352880=DIRECTION('ref_axis',(1.,0.,0.)); #352881=DIRECTION('',(0.,0.,1.)); #352882=DIRECTION('center_axis',(0.,0.,-1.)); #352883=DIRECTION('ref_axis',(1.,0.,0.)); #352884=DIRECTION('center_axis',(0.,0.,1.)); #352885=DIRECTION('ref_axis',(1.,0.,0.)); #352886=DIRECTION('center_axis',(0.,0.,1.)); #352887=DIRECTION('ref_axis',(1.,0.,0.)); #352888=DIRECTION('',(0.,0.,1.)); #352889=DIRECTION('center_axis',(0.,0.,-1.)); #352890=DIRECTION('ref_axis',(1.,0.,0.)); #352891=DIRECTION('center_axis',(0.,0.,1.)); #352892=DIRECTION('ref_axis',(1.,0.,0.)); #352893=DIRECTION('center_axis',(0.,0.,1.)); #352894=DIRECTION('ref_axis',(1.,0.,0.)); #352895=DIRECTION('',(0.,0.,1.)); #352896=DIRECTION('center_axis',(0.,0.,-1.)); #352897=DIRECTION('ref_axis',(1.,0.,0.)); #352898=DIRECTION('center_axis',(0.,0.,1.)); #352899=DIRECTION('ref_axis',(1.,0.,0.)); #352900=DIRECTION('center_axis',(0.,0.,1.)); #352901=DIRECTION('ref_axis',(1.,0.,0.)); #352902=DIRECTION('',(0.,0.,1.)); #352903=DIRECTION('center_axis',(0.,0.,-1.)); #352904=DIRECTION('ref_axis',(1.,0.,0.)); #352905=DIRECTION('center_axis',(0.,0.,1.)); #352906=DIRECTION('ref_axis',(1.,0.,0.)); #352907=DIRECTION('center_axis',(0.,0.,1.)); #352908=DIRECTION('ref_axis',(1.,0.,0.)); #352909=DIRECTION('',(0.,0.,1.)); #352910=DIRECTION('center_axis',(0.,0.,-1.)); #352911=DIRECTION('ref_axis',(1.,0.,0.)); #352912=DIRECTION('center_axis',(0.,0.,1.)); #352913=DIRECTION('ref_axis',(1.,0.,0.)); #352914=DIRECTION('center_axis',(0.,0.,1.)); #352915=DIRECTION('ref_axis',(1.,0.,0.)); #352916=DIRECTION('',(0.,0.,1.)); #352917=DIRECTION('center_axis',(0.,0.,-1.)); #352918=DIRECTION('ref_axis',(1.,0.,0.)); #352919=DIRECTION('center_axis',(0.,0.,1.)); #352920=DIRECTION('ref_axis',(1.,0.,0.)); #352921=DIRECTION('center_axis',(0.,0.,1.)); #352922=DIRECTION('ref_axis',(1.,0.,0.)); #352923=DIRECTION('',(0.,0.,1.)); #352924=DIRECTION('center_axis',(0.,0.,-1.)); #352925=DIRECTION('ref_axis',(1.,0.,0.)); #352926=DIRECTION('center_axis',(0.,0.,1.)); #352927=DIRECTION('ref_axis',(1.,0.,0.)); #352928=DIRECTION('center_axis',(0.,0.,1.)); #352929=DIRECTION('ref_axis',(1.,0.,0.)); #352930=DIRECTION('',(0.,0.,1.)); #352931=DIRECTION('center_axis',(0.,0.,-1.)); #352932=DIRECTION('ref_axis',(1.,0.,0.)); #352933=DIRECTION('center_axis',(0.,0.,1.)); #352934=DIRECTION('ref_axis',(1.,0.,0.)); #352935=DIRECTION('center_axis',(0.,0.,1.)); #352936=DIRECTION('ref_axis',(1.,0.,0.)); #352937=DIRECTION('',(0.,0.,1.)); #352938=DIRECTION('center_axis',(0.,0.,-1.)); #352939=DIRECTION('ref_axis',(1.,0.,0.)); #352940=DIRECTION('center_axis',(0.,0.,1.)); #352941=DIRECTION('ref_axis',(1.,0.,0.)); #352942=DIRECTION('center_axis',(0.,0.,1.)); #352943=DIRECTION('ref_axis',(1.,0.,0.)); #352944=DIRECTION('',(0.,0.,1.)); #352945=DIRECTION('center_axis',(0.,0.,-1.)); #352946=DIRECTION('ref_axis',(1.,0.,0.)); #352947=DIRECTION('center_axis',(0.,0.,1.)); #352948=DIRECTION('ref_axis',(1.,0.,0.)); #352949=DIRECTION('center_axis',(0.,0.,1.)); #352950=DIRECTION('ref_axis',(1.,0.,0.)); #352951=DIRECTION('',(0.,0.,1.)); #352952=DIRECTION('center_axis',(0.,0.,-1.)); #352953=DIRECTION('ref_axis',(1.,0.,0.)); #352954=DIRECTION('center_axis',(0.,0.,1.)); #352955=DIRECTION('ref_axis',(1.,0.,0.)); #352956=DIRECTION('center_axis',(0.,0.,1.)); #352957=DIRECTION('ref_axis',(1.,0.,0.)); #352958=DIRECTION('',(0.,0.,1.)); #352959=DIRECTION('center_axis',(0.,0.,-1.)); #352960=DIRECTION('ref_axis',(1.,0.,0.)); #352961=DIRECTION('center_axis',(0.,0.,1.)); #352962=DIRECTION('ref_axis',(1.,0.,0.)); #352963=DIRECTION('center_axis',(0.,0.,1.)); #352964=DIRECTION('ref_axis',(1.,0.,0.)); #352965=DIRECTION('',(0.,0.,1.)); #352966=DIRECTION('center_axis',(0.,0.,-1.)); #352967=DIRECTION('ref_axis',(1.,0.,0.)); #352968=DIRECTION('center_axis',(0.,0.,1.)); #352969=DIRECTION('ref_axis',(1.,0.,0.)); #352970=DIRECTION('center_axis',(0.,0.,1.)); #352971=DIRECTION('ref_axis',(1.,0.,0.)); #352972=DIRECTION('',(0.,0.,1.)); #352973=DIRECTION('center_axis',(0.,0.,-1.)); #352974=DIRECTION('ref_axis',(1.,0.,0.)); #352975=DIRECTION('center_axis',(0.,0.,1.)); #352976=DIRECTION('ref_axis',(1.,0.,0.)); #352977=DIRECTION('center_axis',(0.,0.,1.)); #352978=DIRECTION('ref_axis',(1.,0.,0.)); #352979=DIRECTION('',(0.,0.,1.)); #352980=DIRECTION('center_axis',(0.,0.,-1.)); #352981=DIRECTION('ref_axis',(1.,0.,0.)); #352982=DIRECTION('center_axis',(0.,0.,1.)); #352983=DIRECTION('ref_axis',(1.,0.,0.)); #352984=DIRECTION('center_axis',(0.,0.,1.)); #352985=DIRECTION('ref_axis',(1.,0.,0.)); #352986=DIRECTION('',(0.,0.,1.)); #352987=DIRECTION('center_axis',(0.,0.,-1.)); #352988=DIRECTION('ref_axis',(1.,0.,0.)); #352989=DIRECTION('center_axis',(0.,0.,1.)); #352990=DIRECTION('ref_axis',(1.,0.,0.)); #352991=DIRECTION('center_axis',(0.,0.,1.)); #352992=DIRECTION('ref_axis',(1.,0.,0.)); #352993=DIRECTION('',(0.,0.,1.)); #352994=DIRECTION('center_axis',(0.,0.,-1.)); #352995=DIRECTION('ref_axis',(1.,0.,0.)); #352996=DIRECTION('center_axis',(0.,0.,1.)); #352997=DIRECTION('ref_axis',(1.,0.,0.)); #352998=DIRECTION('center_axis',(0.,0.,1.)); #352999=DIRECTION('ref_axis',(1.,0.,0.)); #353000=DIRECTION('',(0.,0.,1.)); #353001=DIRECTION('center_axis',(0.,0.,-1.)); #353002=DIRECTION('ref_axis',(1.,0.,0.)); #353003=DIRECTION('center_axis',(0.,0.,1.)); #353004=DIRECTION('ref_axis',(1.,0.,0.)); #353005=DIRECTION('center_axis',(0.,0.,1.)); #353006=DIRECTION('ref_axis',(1.,0.,0.)); #353007=DIRECTION('',(0.,0.,1.)); #353008=DIRECTION('center_axis',(0.,0.,-1.)); #353009=DIRECTION('ref_axis',(1.,0.,0.)); #353010=DIRECTION('center_axis',(0.,0.,1.)); #353011=DIRECTION('ref_axis',(1.,0.,0.)); #353012=DIRECTION('center_axis',(0.,0.,1.)); #353013=DIRECTION('ref_axis',(1.,0.,0.)); #353014=DIRECTION('',(0.,0.,1.)); #353015=DIRECTION('center_axis',(0.,0.,-1.)); #353016=DIRECTION('ref_axis',(1.,0.,0.)); #353017=DIRECTION('center_axis',(0.,0.,1.)); #353018=DIRECTION('ref_axis',(1.,0.,0.)); #353019=DIRECTION('center_axis',(0.,0.,1.)); #353020=DIRECTION('ref_axis',(1.,0.,0.)); #353021=DIRECTION('',(0.,0.,1.)); #353022=DIRECTION('center_axis',(0.,0.,-1.)); #353023=DIRECTION('ref_axis',(1.,0.,0.)); #353024=DIRECTION('center_axis',(0.,0.,1.)); #353025=DIRECTION('ref_axis',(1.,0.,0.)); #353026=DIRECTION('center_axis',(0.,0.,1.)); #353027=DIRECTION('ref_axis',(1.,0.,0.)); #353028=DIRECTION('',(0.,0.,1.)); #353029=DIRECTION('center_axis',(0.,0.,-1.)); #353030=DIRECTION('ref_axis',(1.,0.,0.)); #353031=DIRECTION('center_axis',(0.,0.,1.)); #353032=DIRECTION('ref_axis',(1.,0.,0.)); #353033=DIRECTION('center_axis',(0.,0.,1.)); #353034=DIRECTION('ref_axis',(1.,0.,0.)); #353035=DIRECTION('',(0.,0.,1.)); #353036=DIRECTION('center_axis',(0.,0.,-1.)); #353037=DIRECTION('ref_axis',(1.,0.,0.)); #353038=DIRECTION('center_axis',(0.,0.,1.)); #353039=DIRECTION('ref_axis',(1.,0.,0.)); #353040=DIRECTION('center_axis',(0.,0.,1.)); #353041=DIRECTION('ref_axis',(1.,0.,0.)); #353042=DIRECTION('',(0.,0.,1.)); #353043=DIRECTION('center_axis',(0.,0.,-1.)); #353044=DIRECTION('ref_axis',(1.,0.,0.)); #353045=DIRECTION('center_axis',(0.,0.,1.)); #353046=DIRECTION('ref_axis',(1.,0.,0.)); #353047=DIRECTION('center_axis',(0.,0.,1.)); #353048=DIRECTION('ref_axis',(1.,0.,0.)); #353049=DIRECTION('',(0.,0.,1.)); #353050=DIRECTION('center_axis',(0.,0.,-1.)); #353051=DIRECTION('ref_axis',(1.,0.,0.)); #353052=DIRECTION('center_axis',(0.,0.,1.)); #353053=DIRECTION('ref_axis',(1.,0.,0.)); #353054=DIRECTION('center_axis',(0.,0.,1.)); #353055=DIRECTION('ref_axis',(1.,0.,0.)); #353056=DIRECTION('',(0.,0.,1.)); #353057=DIRECTION('center_axis',(0.,0.,-1.)); #353058=DIRECTION('ref_axis',(1.,0.,0.)); #353059=DIRECTION('center_axis',(0.,0.,1.)); #353060=DIRECTION('ref_axis',(1.,0.,0.)); #353061=DIRECTION('center_axis',(0.,0.,1.)); #353062=DIRECTION('ref_axis',(1.,0.,0.)); #353063=DIRECTION('',(0.,0.,1.)); #353064=DIRECTION('center_axis',(0.,0.,-1.)); #353065=DIRECTION('ref_axis',(1.,0.,0.)); #353066=DIRECTION('center_axis',(0.,0.,1.)); #353067=DIRECTION('ref_axis',(1.,0.,0.)); #353068=DIRECTION('center_axis',(0.,0.,1.)); #353069=DIRECTION('ref_axis',(1.,0.,0.)); #353070=DIRECTION('',(0.,0.,1.)); #353071=DIRECTION('center_axis',(0.,0.,-1.)); #353072=DIRECTION('ref_axis',(1.,0.,0.)); #353073=DIRECTION('center_axis',(0.,0.,1.)); #353074=DIRECTION('ref_axis',(1.,0.,0.)); #353075=DIRECTION('center_axis',(0.,0.,1.)); #353076=DIRECTION('ref_axis',(1.,0.,0.)); #353077=DIRECTION('',(0.,0.,1.)); #353078=DIRECTION('center_axis',(0.,0.,-1.)); #353079=DIRECTION('ref_axis',(1.,0.,0.)); #353080=DIRECTION('center_axis',(0.,0.,1.)); #353081=DIRECTION('ref_axis',(1.,0.,0.)); #353082=DIRECTION('center_axis',(0.,0.,1.)); #353083=DIRECTION('ref_axis',(1.,0.,0.)); #353084=DIRECTION('',(0.,0.,1.)); #353085=DIRECTION('center_axis',(0.,0.,-1.)); #353086=DIRECTION('ref_axis',(1.,0.,0.)); #353087=DIRECTION('center_axis',(0.,0.,1.)); #353088=DIRECTION('ref_axis',(1.,0.,0.)); #353089=DIRECTION('center_axis',(0.,0.,1.)); #353090=DIRECTION('ref_axis',(1.,0.,0.)); #353091=DIRECTION('',(0.,0.,1.)); #353092=DIRECTION('center_axis',(0.,0.,-1.)); #353093=DIRECTION('ref_axis',(1.,0.,0.)); #353094=DIRECTION('center_axis',(0.,0.,1.)); #353095=DIRECTION('ref_axis',(1.,0.,0.)); #353096=DIRECTION('center_axis',(0.,0.,1.)); #353097=DIRECTION('ref_axis',(1.,0.,0.)); #353098=DIRECTION('',(0.,0.,1.)); #353099=DIRECTION('center_axis',(0.,0.,-1.)); #353100=DIRECTION('ref_axis',(1.,0.,0.)); #353101=DIRECTION('center_axis',(0.,0.,1.)); #353102=DIRECTION('ref_axis',(1.,0.,0.)); #353103=DIRECTION('center_axis',(0.,0.,1.)); #353104=DIRECTION('ref_axis',(1.,0.,0.)); #353105=DIRECTION('',(0.,0.,1.)); #353106=DIRECTION('center_axis',(0.,0.,-1.)); #353107=DIRECTION('ref_axis',(1.,0.,0.)); #353108=DIRECTION('center_axis',(0.,0.,1.)); #353109=DIRECTION('ref_axis',(1.,0.,0.)); #353110=DIRECTION('center_axis',(0.,0.,1.)); #353111=DIRECTION('ref_axis',(1.,0.,0.)); #353112=DIRECTION('',(0.,0.,1.)); #353113=DIRECTION('center_axis',(0.,0.,-1.)); #353114=DIRECTION('ref_axis',(1.,0.,0.)); #353115=DIRECTION('center_axis',(0.,0.,1.)); #353116=DIRECTION('ref_axis',(1.,0.,0.)); #353117=DIRECTION('center_axis',(0.,0.,1.)); #353118=DIRECTION('ref_axis',(1.,0.,0.)); #353119=DIRECTION('',(0.,0.,1.)); #353120=DIRECTION('center_axis',(0.,0.,-1.)); #353121=DIRECTION('ref_axis',(1.,0.,0.)); #353122=DIRECTION('center_axis',(0.,0.,1.)); #353123=DIRECTION('ref_axis',(1.,0.,0.)); #353124=DIRECTION('center_axis',(0.,0.,1.)); #353125=DIRECTION('ref_axis',(1.,0.,0.)); #353126=DIRECTION('',(0.,0.,1.)); #353127=DIRECTION('center_axis',(0.,0.,-1.)); #353128=DIRECTION('ref_axis',(1.,0.,0.)); #353129=DIRECTION('center_axis',(0.,0.,1.)); #353130=DIRECTION('ref_axis',(1.,0.,0.)); #353131=DIRECTION('center_axis',(0.,0.,1.)); #353132=DIRECTION('ref_axis',(1.,0.,0.)); #353133=DIRECTION('',(0.,0.,1.)); #353134=DIRECTION('center_axis',(0.,0.,-1.)); #353135=DIRECTION('ref_axis',(1.,0.,0.)); #353136=DIRECTION('center_axis',(0.,0.,1.)); #353137=DIRECTION('ref_axis',(1.,0.,0.)); #353138=DIRECTION('center_axis',(0.,0.,1.)); #353139=DIRECTION('ref_axis',(1.,0.,0.)); #353140=DIRECTION('',(0.,0.,1.)); #353141=DIRECTION('center_axis',(0.,0.,-1.)); #353142=DIRECTION('ref_axis',(1.,0.,0.)); #353143=DIRECTION('center_axis',(0.,0.,1.)); #353144=DIRECTION('ref_axis',(1.,0.,0.)); #353145=DIRECTION('center_axis',(0.,0.,1.)); #353146=DIRECTION('ref_axis',(1.,0.,0.)); #353147=DIRECTION('',(0.,0.,1.)); #353148=DIRECTION('center_axis',(0.,0.,-1.)); #353149=DIRECTION('ref_axis',(1.,0.,0.)); #353150=DIRECTION('center_axis',(0.,0.,1.)); #353151=DIRECTION('ref_axis',(1.,0.,0.)); #353152=DIRECTION('center_axis',(0.,0.,1.)); #353153=DIRECTION('ref_axis',(1.,0.,0.)); #353154=DIRECTION('',(0.,0.,1.)); #353155=DIRECTION('center_axis',(0.,0.,-1.)); #353156=DIRECTION('ref_axis',(1.,0.,0.)); #353157=DIRECTION('center_axis',(0.,0.,1.)); #353158=DIRECTION('ref_axis',(1.,0.,0.)); #353159=DIRECTION('center_axis',(0.,0.,1.)); #353160=DIRECTION('ref_axis',(1.,0.,0.)); #353161=DIRECTION('',(0.,0.,1.)); #353162=DIRECTION('center_axis',(0.,0.,-1.)); #353163=DIRECTION('ref_axis',(1.,0.,0.)); #353164=DIRECTION('center_axis',(0.,0.,1.)); #353165=DIRECTION('ref_axis',(1.,0.,0.)); #353166=DIRECTION('center_axis',(0.,0.,1.)); #353167=DIRECTION('ref_axis',(1.,0.,0.)); #353168=DIRECTION('',(0.,0.,1.)); #353169=DIRECTION('center_axis',(0.,0.,-1.)); #353170=DIRECTION('ref_axis',(1.,0.,0.)); #353171=DIRECTION('center_axis',(0.,0.,1.)); #353172=DIRECTION('ref_axis',(1.,0.,0.)); #353173=DIRECTION('center_axis',(0.,0.,1.)); #353174=DIRECTION('ref_axis',(1.,0.,0.)); #353175=DIRECTION('',(0.,0.,1.)); #353176=DIRECTION('center_axis',(0.,0.,-1.)); #353177=DIRECTION('ref_axis',(1.,0.,0.)); #353178=DIRECTION('center_axis',(0.,0.,1.)); #353179=DIRECTION('ref_axis',(1.,0.,0.)); #353180=DIRECTION('center_axis',(0.,0.,1.)); #353181=DIRECTION('ref_axis',(1.,0.,0.)); #353182=DIRECTION('',(0.,0.,1.)); #353183=DIRECTION('center_axis',(0.,0.,-1.)); #353184=DIRECTION('ref_axis',(1.,0.,0.)); #353185=DIRECTION('center_axis',(0.,0.,1.)); #353186=DIRECTION('ref_axis',(1.,0.,0.)); #353187=DIRECTION('center_axis',(0.,0.,1.)); #353188=DIRECTION('ref_axis',(1.,0.,0.)); #353189=DIRECTION('',(0.,0.,1.)); #353190=DIRECTION('center_axis',(0.,0.,-1.)); #353191=DIRECTION('ref_axis',(1.,0.,0.)); #353192=DIRECTION('center_axis',(0.,0.,1.)); #353193=DIRECTION('ref_axis',(1.,0.,0.)); #353194=DIRECTION('center_axis',(0.,0.,1.)); #353195=DIRECTION('ref_axis',(1.,0.,0.)); #353196=DIRECTION('',(0.,0.,1.)); #353197=DIRECTION('center_axis',(0.,0.,-1.)); #353198=DIRECTION('ref_axis',(1.,0.,0.)); #353199=DIRECTION('center_axis',(0.,0.,1.)); #353200=DIRECTION('ref_axis',(1.,0.,0.)); #353201=DIRECTION('center_axis',(0.,0.,1.)); #353202=DIRECTION('ref_axis',(1.,0.,0.)); #353203=DIRECTION('',(0.,0.,1.)); #353204=DIRECTION('center_axis',(0.,0.,-1.)); #353205=DIRECTION('ref_axis',(1.,0.,0.)); #353206=DIRECTION('center_axis',(0.,0.,1.)); #353207=DIRECTION('ref_axis',(1.,0.,0.)); #353208=DIRECTION('center_axis',(0.,0.,1.)); #353209=DIRECTION('ref_axis',(1.,0.,0.)); #353210=DIRECTION('',(0.,0.,1.)); #353211=DIRECTION('center_axis',(0.,0.,-1.)); #353212=DIRECTION('ref_axis',(1.,0.,0.)); #353213=DIRECTION('center_axis',(0.,0.,1.)); #353214=DIRECTION('ref_axis',(1.,0.,0.)); #353215=DIRECTION('center_axis',(0.,0.,1.)); #353216=DIRECTION('ref_axis',(1.,0.,0.)); #353217=DIRECTION('',(0.,0.,1.)); #353218=DIRECTION('center_axis',(0.,0.,-1.)); #353219=DIRECTION('ref_axis',(1.,0.,0.)); #353220=DIRECTION('center_axis',(0.,0.,1.)); #353221=DIRECTION('ref_axis',(1.,0.,0.)); #353222=DIRECTION('center_axis',(0.,0.,1.)); #353223=DIRECTION('ref_axis',(1.,0.,0.)); #353224=DIRECTION('',(0.,0.,1.)); #353225=DIRECTION('center_axis',(0.,0.,-1.)); #353226=DIRECTION('ref_axis',(1.,0.,0.)); #353227=DIRECTION('center_axis',(0.,0.,1.)); #353228=DIRECTION('ref_axis',(1.,0.,0.)); #353229=DIRECTION('center_axis',(0.,0.,1.)); #353230=DIRECTION('ref_axis',(1.,0.,0.)); #353231=DIRECTION('',(0.,0.,1.)); #353232=DIRECTION('center_axis',(0.,0.,-1.)); #353233=DIRECTION('ref_axis',(1.,0.,0.)); #353234=DIRECTION('center_axis',(0.,0.,1.)); #353235=DIRECTION('ref_axis',(1.,0.,0.)); #353236=DIRECTION('center_axis',(0.,0.,1.)); #353237=DIRECTION('ref_axis',(1.,0.,0.)); #353238=DIRECTION('',(0.,0.,1.)); #353239=DIRECTION('center_axis',(0.,0.,-1.)); #353240=DIRECTION('ref_axis',(1.,0.,0.)); #353241=DIRECTION('center_axis',(0.,0.,1.)); #353242=DIRECTION('ref_axis',(1.,0.,0.)); #353243=DIRECTION('center_axis',(0.,0.,1.)); #353244=DIRECTION('ref_axis',(1.,0.,0.)); #353245=DIRECTION('',(0.,0.,1.)); #353246=DIRECTION('center_axis',(0.,0.,-1.)); #353247=DIRECTION('ref_axis',(1.,0.,0.)); #353248=DIRECTION('center_axis',(0.,0.,1.)); #353249=DIRECTION('ref_axis',(1.,0.,0.)); #353250=DIRECTION('center_axis',(0.,0.,1.)); #353251=DIRECTION('ref_axis',(1.,0.,0.)); #353252=DIRECTION('',(0.,0.,1.)); #353253=DIRECTION('center_axis',(0.,0.,-1.)); #353254=DIRECTION('ref_axis',(1.,0.,0.)); #353255=DIRECTION('center_axis',(0.,0.,1.)); #353256=DIRECTION('ref_axis',(1.,0.,0.)); #353257=DIRECTION('center_axis',(0.,0.,1.)); #353258=DIRECTION('ref_axis',(1.,0.,0.)); #353259=DIRECTION('',(0.,0.,1.)); #353260=DIRECTION('center_axis',(0.,0.,-1.)); #353261=DIRECTION('ref_axis',(1.,0.,0.)); #353262=DIRECTION('center_axis',(0.,0.,1.)); #353263=DIRECTION('ref_axis',(1.,0.,0.)); #353264=DIRECTION('center_axis',(0.,0.,1.)); #353265=DIRECTION('ref_axis',(1.,0.,0.)); #353266=DIRECTION('',(0.,0.,1.)); #353267=DIRECTION('center_axis',(0.,0.,-1.)); #353268=DIRECTION('ref_axis',(1.,0.,0.)); #353269=DIRECTION('center_axis',(0.,0.,1.)); #353270=DIRECTION('ref_axis',(1.,0.,0.)); #353271=DIRECTION('center_axis',(0.,0.,1.)); #353272=DIRECTION('ref_axis',(1.,0.,0.)); #353273=DIRECTION('',(0.,0.,1.)); #353274=DIRECTION('center_axis',(0.,0.,-1.)); #353275=DIRECTION('ref_axis',(1.,0.,0.)); #353276=DIRECTION('center_axis',(0.,0.,1.)); #353277=DIRECTION('ref_axis',(1.,0.,0.)); #353278=DIRECTION('center_axis',(0.,0.,1.)); #353279=DIRECTION('ref_axis',(1.,0.,0.)); #353280=DIRECTION('',(0.,0.,1.)); #353281=DIRECTION('center_axis',(0.,0.,-1.)); #353282=DIRECTION('ref_axis',(1.,0.,0.)); #353283=DIRECTION('center_axis',(0.,0.,1.)); #353284=DIRECTION('ref_axis',(1.,0.,0.)); #353285=DIRECTION('center_axis',(0.,0.,1.)); #353286=DIRECTION('ref_axis',(1.,0.,0.)); #353287=DIRECTION('',(0.,0.,1.)); #353288=DIRECTION('center_axis',(0.,0.,-1.)); #353289=DIRECTION('ref_axis',(1.,0.,0.)); #353290=DIRECTION('center_axis',(0.,0.,1.)); #353291=DIRECTION('ref_axis',(1.,0.,0.)); #353292=DIRECTION('center_axis',(0.,0.,1.)); #353293=DIRECTION('ref_axis',(1.,0.,0.)); #353294=DIRECTION('',(0.,0.,1.)); #353295=DIRECTION('center_axis',(0.,0.,-1.)); #353296=DIRECTION('ref_axis',(1.,0.,0.)); #353297=DIRECTION('center_axis',(0.,0.,1.)); #353298=DIRECTION('ref_axis',(1.,0.,0.)); #353299=DIRECTION('center_axis',(0.,0.,1.)); #353300=DIRECTION('ref_axis',(1.,0.,0.)); #353301=DIRECTION('',(0.,0.,1.)); #353302=DIRECTION('center_axis',(0.,0.,-1.)); #353303=DIRECTION('ref_axis',(1.,0.,0.)); #353304=DIRECTION('center_axis',(0.,0.,1.)); #353305=DIRECTION('ref_axis',(1.,0.,0.)); #353306=DIRECTION('center_axis',(0.,0.,1.)); #353307=DIRECTION('ref_axis',(1.,0.,0.)); #353308=DIRECTION('',(0.,0.,1.)); #353309=DIRECTION('center_axis',(0.,0.,-1.)); #353310=DIRECTION('ref_axis',(1.,0.,0.)); #353311=DIRECTION('center_axis',(0.,0.,1.)); #353312=DIRECTION('ref_axis',(1.,0.,0.)); #353313=DIRECTION('center_axis',(0.,0.,1.)); #353314=DIRECTION('ref_axis',(1.,0.,0.)); #353315=DIRECTION('',(0.,0.,1.)); #353316=DIRECTION('center_axis',(0.,0.,-1.)); #353317=DIRECTION('ref_axis',(1.,0.,0.)); #353318=DIRECTION('center_axis',(0.,0.,1.)); #353319=DIRECTION('ref_axis',(1.,0.,0.)); #353320=DIRECTION('center_axis',(0.,0.,1.)); #353321=DIRECTION('ref_axis',(1.,0.,0.)); #353322=DIRECTION('',(0.,0.,1.)); #353323=DIRECTION('center_axis',(0.,0.,-1.)); #353324=DIRECTION('ref_axis',(1.,0.,0.)); #353325=DIRECTION('center_axis',(0.,0.,1.)); #353326=DIRECTION('ref_axis',(1.,0.,0.)); #353327=DIRECTION('center_axis',(0.,0.,1.)); #353328=DIRECTION('ref_axis',(1.,0.,0.)); #353329=DIRECTION('',(0.,0.,1.)); #353330=DIRECTION('center_axis',(0.,0.,-1.)); #353331=DIRECTION('ref_axis',(1.,0.,0.)); #353332=DIRECTION('center_axis',(0.,0.,1.)); #353333=DIRECTION('ref_axis',(1.,0.,0.)); #353334=DIRECTION('center_axis',(0.,0.,1.)); #353335=DIRECTION('ref_axis',(1.,0.,0.)); #353336=DIRECTION('',(0.,0.,1.)); #353337=DIRECTION('center_axis',(0.,0.,-1.)); #353338=DIRECTION('ref_axis',(1.,0.,0.)); #353339=DIRECTION('center_axis',(0.,0.,1.)); #353340=DIRECTION('ref_axis',(1.,0.,0.)); #353341=DIRECTION('center_axis',(0.,0.,1.)); #353342=DIRECTION('ref_axis',(1.,0.,0.)); #353343=DIRECTION('',(0.,0.,1.)); #353344=DIRECTION('center_axis',(0.,0.,-1.)); #353345=DIRECTION('ref_axis',(1.,0.,0.)); #353346=DIRECTION('center_axis',(0.,0.,1.)); #353347=DIRECTION('ref_axis',(1.,0.,0.)); #353348=DIRECTION('center_axis',(0.,0.,1.)); #353349=DIRECTION('ref_axis',(1.,0.,0.)); #353350=DIRECTION('',(0.,0.,1.)); #353351=DIRECTION('center_axis',(0.,0.,-1.)); #353352=DIRECTION('ref_axis',(1.,0.,0.)); #353353=DIRECTION('center_axis',(0.,0.,1.)); #353354=DIRECTION('ref_axis',(1.,0.,0.)); #353355=DIRECTION('center_axis',(0.,0.,1.)); #353356=DIRECTION('ref_axis',(1.,0.,0.)); #353357=DIRECTION('',(0.,0.,1.)); #353358=DIRECTION('center_axis',(0.,0.,-1.)); #353359=DIRECTION('ref_axis',(1.,0.,0.)); #353360=DIRECTION('center_axis',(0.,0.,1.)); #353361=DIRECTION('ref_axis',(1.,0.,0.)); #353362=DIRECTION('center_axis',(0.,0.,1.)); #353363=DIRECTION('ref_axis',(1.,0.,0.)); #353364=DIRECTION('',(0.,0.,1.)); #353365=DIRECTION('center_axis',(0.,0.,-1.)); #353366=DIRECTION('ref_axis',(1.,0.,0.)); #353367=DIRECTION('center_axis',(0.,0.,1.)); #353368=DIRECTION('ref_axis',(1.,0.,0.)); #353369=DIRECTION('center_axis',(0.,0.,1.)); #353370=DIRECTION('ref_axis',(1.,0.,0.)); #353371=DIRECTION('',(0.,0.,1.)); #353372=DIRECTION('center_axis',(0.,0.,-1.)); #353373=DIRECTION('ref_axis',(1.,0.,0.)); #353374=DIRECTION('center_axis',(0.,0.,1.)); #353375=DIRECTION('ref_axis',(1.,0.,0.)); #353376=DIRECTION('center_axis',(0.,0.,1.)); #353377=DIRECTION('ref_axis',(1.,0.,0.)); #353378=DIRECTION('',(0.,0.,1.)); #353379=DIRECTION('center_axis',(0.,0.,-1.)); #353380=DIRECTION('ref_axis',(1.,0.,0.)); #353381=DIRECTION('center_axis',(0.,0.,1.)); #353382=DIRECTION('ref_axis',(1.,0.,0.)); #353383=DIRECTION('center_axis',(0.,0.,1.)); #353384=DIRECTION('ref_axis',(1.,0.,0.)); #353385=DIRECTION('',(0.,0.,1.)); #353386=DIRECTION('center_axis',(0.,0.,-1.)); #353387=DIRECTION('ref_axis',(1.,0.,0.)); #353388=DIRECTION('center_axis',(0.,0.,1.)); #353389=DIRECTION('ref_axis',(1.,0.,0.)); #353390=DIRECTION('center_axis',(0.,0.,1.)); #353391=DIRECTION('ref_axis',(1.,0.,0.)); #353392=DIRECTION('',(0.,0.,1.)); #353393=DIRECTION('center_axis',(0.,0.,-1.)); #353394=DIRECTION('ref_axis',(1.,0.,0.)); #353395=DIRECTION('center_axis',(0.,0.,1.)); #353396=DIRECTION('ref_axis',(1.,0.,0.)); #353397=DIRECTION('center_axis',(0.,0.,1.)); #353398=DIRECTION('ref_axis',(1.,0.,0.)); #353399=DIRECTION('',(0.,0.,1.)); #353400=DIRECTION('center_axis',(0.,0.,-1.)); #353401=DIRECTION('ref_axis',(1.,0.,0.)); #353402=DIRECTION('center_axis',(0.,0.,1.)); #353403=DIRECTION('ref_axis',(1.,0.,0.)); #353404=DIRECTION('center_axis',(0.,0.,1.)); #353405=DIRECTION('ref_axis',(1.,0.,0.)); #353406=DIRECTION('',(0.,0.,1.)); #353407=DIRECTION('center_axis',(0.,0.,-1.)); #353408=DIRECTION('ref_axis',(1.,0.,0.)); #353409=DIRECTION('center_axis',(0.,0.,1.)); #353410=DIRECTION('ref_axis',(1.,0.,0.)); #353411=DIRECTION('center_axis',(0.,0.,1.)); #353412=DIRECTION('ref_axis',(1.,0.,0.)); #353413=DIRECTION('',(0.,0.,1.)); #353414=DIRECTION('center_axis',(0.,0.,-1.)); #353415=DIRECTION('ref_axis',(1.,0.,0.)); #353416=DIRECTION('center_axis',(0.,0.,1.)); #353417=DIRECTION('ref_axis',(1.,0.,0.)); #353418=DIRECTION('center_axis',(0.,0.,1.)); #353419=DIRECTION('ref_axis',(1.,0.,0.)); #353420=DIRECTION('',(0.,0.,1.)); #353421=DIRECTION('center_axis',(0.,0.,-1.)); #353422=DIRECTION('ref_axis',(1.,0.,0.)); #353423=DIRECTION('center_axis',(0.,0.,1.)); #353424=DIRECTION('ref_axis',(1.,0.,0.)); #353425=DIRECTION('center_axis',(0.,0.,1.)); #353426=DIRECTION('ref_axis',(1.,0.,0.)); #353427=DIRECTION('',(0.,0.,1.)); #353428=DIRECTION('center_axis',(0.,0.,-1.)); #353429=DIRECTION('ref_axis',(1.,0.,0.)); #353430=DIRECTION('center_axis',(0.,0.,1.)); #353431=DIRECTION('ref_axis',(1.,0.,0.)); #353432=DIRECTION('center_axis',(0.,0.,1.)); #353433=DIRECTION('ref_axis',(1.,0.,0.)); #353434=DIRECTION('',(0.,0.,1.)); #353435=DIRECTION('center_axis',(0.,0.,-1.)); #353436=DIRECTION('ref_axis',(1.,0.,0.)); #353437=DIRECTION('center_axis',(0.,0.,1.)); #353438=DIRECTION('ref_axis',(1.,0.,0.)); #353439=DIRECTION('center_axis',(0.,0.,1.)); #353440=DIRECTION('ref_axis',(1.,0.,0.)); #353441=DIRECTION('',(0.,0.,1.)); #353442=DIRECTION('center_axis',(0.,0.,-1.)); #353443=DIRECTION('ref_axis',(1.,0.,0.)); #353444=DIRECTION('center_axis',(0.,0.,1.)); #353445=DIRECTION('ref_axis',(1.,0.,0.)); #353446=DIRECTION('center_axis',(0.,0.,1.)); #353447=DIRECTION('ref_axis',(1.,0.,0.)); #353448=DIRECTION('',(0.,0.,1.)); #353449=DIRECTION('center_axis',(0.,0.,-1.)); #353450=DIRECTION('ref_axis',(1.,0.,0.)); #353451=DIRECTION('center_axis',(0.,0.,1.)); #353452=DIRECTION('ref_axis',(1.,0.,0.)); #353453=DIRECTION('center_axis',(0.,0.,1.)); #353454=DIRECTION('ref_axis',(1.,0.,0.)); #353455=DIRECTION('',(0.,0.,1.)); #353456=DIRECTION('center_axis',(0.,0.,-1.)); #353457=DIRECTION('ref_axis',(1.,0.,0.)); #353458=DIRECTION('center_axis',(0.,0.,1.)); #353459=DIRECTION('ref_axis',(1.,0.,0.)); #353460=DIRECTION('center_axis',(0.,0.,1.)); #353461=DIRECTION('ref_axis',(1.,0.,0.)); #353462=DIRECTION('',(0.,0.,1.)); #353463=DIRECTION('center_axis',(0.,0.,-1.)); #353464=DIRECTION('ref_axis',(1.,0.,0.)); #353465=DIRECTION('center_axis',(0.,0.,1.)); #353466=DIRECTION('ref_axis',(1.,0.,0.)); #353467=DIRECTION('center_axis',(0.,0.,1.)); #353468=DIRECTION('ref_axis',(1.,0.,0.)); #353469=DIRECTION('',(0.,0.,1.)); #353470=DIRECTION('center_axis',(0.,0.,-1.)); #353471=DIRECTION('ref_axis',(1.,0.,0.)); #353472=DIRECTION('center_axis',(0.,0.,1.)); #353473=DIRECTION('ref_axis',(1.,0.,0.)); #353474=DIRECTION('center_axis',(0.,0.,1.)); #353475=DIRECTION('ref_axis',(1.,0.,0.)); #353476=DIRECTION('',(0.,0.,1.)); #353477=DIRECTION('center_axis',(0.,0.,-1.)); #353478=DIRECTION('ref_axis',(1.,0.,0.)); #353479=DIRECTION('center_axis',(0.,0.,1.)); #353480=DIRECTION('ref_axis',(1.,0.,0.)); #353481=DIRECTION('center_axis',(0.,0.,1.)); #353482=DIRECTION('ref_axis',(1.,0.,0.)); #353483=DIRECTION('',(0.,0.,1.)); #353484=DIRECTION('center_axis',(0.,0.,-1.)); #353485=DIRECTION('ref_axis',(1.,0.,0.)); #353486=DIRECTION('center_axis',(0.,0.,1.)); #353487=DIRECTION('ref_axis',(1.,0.,0.)); #353488=DIRECTION('center_axis',(0.,0.,1.)); #353489=DIRECTION('ref_axis',(1.,0.,0.)); #353490=DIRECTION('',(0.,0.,1.)); #353491=DIRECTION('center_axis',(0.,0.,-1.)); #353492=DIRECTION('ref_axis',(1.,0.,0.)); #353493=DIRECTION('center_axis',(0.,0.,1.)); #353494=DIRECTION('ref_axis',(1.,0.,0.)); #353495=DIRECTION('center_axis',(0.,0.,1.)); #353496=DIRECTION('ref_axis',(1.,0.,0.)); #353497=DIRECTION('',(0.,0.,1.)); #353498=DIRECTION('center_axis',(0.,0.,-1.)); #353499=DIRECTION('ref_axis',(1.,0.,0.)); #353500=DIRECTION('center_axis',(0.,0.,1.)); #353501=DIRECTION('ref_axis',(1.,0.,0.)); #353502=DIRECTION('center_axis',(0.,0.,1.)); #353503=DIRECTION('ref_axis',(1.,0.,0.)); #353504=DIRECTION('',(0.,0.,1.)); #353505=DIRECTION('center_axis',(0.,0.,-1.)); #353506=DIRECTION('ref_axis',(1.,0.,0.)); #353507=DIRECTION('center_axis',(0.,0.,1.)); #353508=DIRECTION('ref_axis',(1.,0.,0.)); #353509=DIRECTION('center_axis',(0.,0.,1.)); #353510=DIRECTION('ref_axis',(1.,0.,0.)); #353511=DIRECTION('',(0.,0.,1.)); #353512=DIRECTION('center_axis',(0.,0.,-1.)); #353513=DIRECTION('ref_axis',(1.,0.,0.)); #353514=DIRECTION('center_axis',(0.,0.,1.)); #353515=DIRECTION('ref_axis',(1.,0.,0.)); #353516=DIRECTION('center_axis',(0.,0.,1.)); #353517=DIRECTION('ref_axis',(1.,0.,0.)); #353518=DIRECTION('',(0.,0.,1.)); #353519=DIRECTION('center_axis',(0.,0.,-1.)); #353520=DIRECTION('ref_axis',(1.,0.,0.)); #353521=DIRECTION('center_axis',(0.,0.,1.)); #353522=DIRECTION('ref_axis',(1.,0.,0.)); #353523=DIRECTION('center_axis',(0.,0.,1.)); #353524=DIRECTION('ref_axis',(1.,0.,0.)); #353525=DIRECTION('',(0.,0.,1.)); #353526=DIRECTION('center_axis',(0.,0.,-1.)); #353527=DIRECTION('ref_axis',(1.,0.,0.)); #353528=DIRECTION('center_axis',(0.,0.,1.)); #353529=DIRECTION('ref_axis',(1.,0.,0.)); #353530=DIRECTION('center_axis',(0.,0.,1.)); #353531=DIRECTION('ref_axis',(1.,0.,0.)); #353532=DIRECTION('',(0.,0.,1.)); #353533=DIRECTION('center_axis',(0.,0.,-1.)); #353534=DIRECTION('ref_axis',(1.,0.,0.)); #353535=DIRECTION('center_axis',(0.,0.,1.)); #353536=DIRECTION('ref_axis',(1.,0.,0.)); #353537=DIRECTION('center_axis',(0.,0.,1.)); #353538=DIRECTION('ref_axis',(1.,0.,0.)); #353539=DIRECTION('',(0.,0.,1.)); #353540=DIRECTION('center_axis',(0.,0.,-1.)); #353541=DIRECTION('ref_axis',(1.,0.,0.)); #353542=DIRECTION('center_axis',(0.,0.,1.)); #353543=DIRECTION('ref_axis',(1.,0.,0.)); #353544=DIRECTION('center_axis',(0.,0.,1.)); #353545=DIRECTION('ref_axis',(1.,0.,0.)); #353546=DIRECTION('',(0.,0.,1.)); #353547=DIRECTION('center_axis',(0.,0.,-1.)); #353548=DIRECTION('ref_axis',(1.,0.,0.)); #353549=DIRECTION('center_axis',(0.,0.,1.)); #353550=DIRECTION('ref_axis',(1.,0.,0.)); #353551=DIRECTION('center_axis',(0.,0.,1.)); #353552=DIRECTION('ref_axis',(1.,0.,0.)); #353553=DIRECTION('',(0.,0.,1.)); #353554=DIRECTION('center_axis',(0.,0.,-1.)); #353555=DIRECTION('ref_axis',(1.,0.,0.)); #353556=DIRECTION('center_axis',(0.,0.,1.)); #353557=DIRECTION('ref_axis',(1.,0.,0.)); #353558=DIRECTION('center_axis',(0.,0.,1.)); #353559=DIRECTION('ref_axis',(1.,0.,0.)); #353560=DIRECTION('',(0.,0.,1.)); #353561=DIRECTION('center_axis',(0.,0.,-1.)); #353562=DIRECTION('ref_axis',(1.,0.,0.)); #353563=DIRECTION('center_axis',(0.,0.,1.)); #353564=DIRECTION('ref_axis',(1.,0.,0.)); #353565=DIRECTION('center_axis',(0.,0.,1.)); #353566=DIRECTION('ref_axis',(1.,0.,0.)); #353567=DIRECTION('',(0.,0.,1.)); #353568=DIRECTION('center_axis',(0.,0.,-1.)); #353569=DIRECTION('ref_axis',(1.,0.,0.)); #353570=DIRECTION('center_axis',(0.,0.,1.)); #353571=DIRECTION('ref_axis',(1.,0.,0.)); #353572=DIRECTION('center_axis',(0.,0.,1.)); #353573=DIRECTION('ref_axis',(1.,0.,0.)); #353574=DIRECTION('',(0.,0.,1.)); #353575=DIRECTION('center_axis',(0.,0.,-1.)); #353576=DIRECTION('ref_axis',(1.,0.,0.)); #353577=DIRECTION('center_axis',(0.,0.,1.)); #353578=DIRECTION('ref_axis',(1.,0.,0.)); #353579=DIRECTION('center_axis',(0.,0.,1.)); #353580=DIRECTION('ref_axis',(1.,0.,0.)); #353581=DIRECTION('',(0.,0.,1.)); #353582=DIRECTION('center_axis',(0.,0.,-1.)); #353583=DIRECTION('ref_axis',(1.,0.,0.)); #353584=DIRECTION('center_axis',(0.,0.,1.)); #353585=DIRECTION('ref_axis',(1.,0.,0.)); #353586=DIRECTION('center_axis',(0.,0.,1.)); #353587=DIRECTION('ref_axis',(1.,0.,0.)); #353588=DIRECTION('',(0.,0.,1.)); #353589=DIRECTION('center_axis',(0.,0.,-1.)); #353590=DIRECTION('ref_axis',(1.,0.,0.)); #353591=DIRECTION('center_axis',(0.,0.,1.)); #353592=DIRECTION('ref_axis',(1.,0.,0.)); #353593=DIRECTION('center_axis',(0.,0.,1.)); #353594=DIRECTION('ref_axis',(1.,0.,0.)); #353595=DIRECTION('',(0.,0.,1.)); #353596=DIRECTION('center_axis',(0.,0.,-1.)); #353597=DIRECTION('ref_axis',(1.,0.,0.)); #353598=DIRECTION('center_axis',(0.,0.,1.)); #353599=DIRECTION('ref_axis',(1.,0.,0.)); #353600=DIRECTION('center_axis',(0.,0.,1.)); #353601=DIRECTION('ref_axis',(1.,0.,0.)); #353602=DIRECTION('',(0.,0.,1.)); #353603=DIRECTION('center_axis',(0.,0.,-1.)); #353604=DIRECTION('ref_axis',(1.,0.,0.)); #353605=DIRECTION('center_axis',(0.,0.,1.)); #353606=DIRECTION('ref_axis',(1.,0.,0.)); #353607=DIRECTION('center_axis',(0.,0.,1.)); #353608=DIRECTION('ref_axis',(1.,0.,0.)); #353609=DIRECTION('',(0.,0.,1.)); #353610=DIRECTION('center_axis',(0.,0.,-1.)); #353611=DIRECTION('ref_axis',(1.,0.,0.)); #353612=DIRECTION('center_axis',(0.,0.,1.)); #353613=DIRECTION('ref_axis',(1.,0.,0.)); #353614=DIRECTION('center_axis',(0.,0.,1.)); #353615=DIRECTION('ref_axis',(1.,0.,0.)); #353616=DIRECTION('',(0.,0.,1.)); #353617=DIRECTION('center_axis',(0.,0.,-1.)); #353618=DIRECTION('ref_axis',(1.,0.,0.)); #353619=DIRECTION('center_axis',(0.,0.,1.)); #353620=DIRECTION('ref_axis',(1.,0.,0.)); #353621=DIRECTION('center_axis',(0.,0.,1.)); #353622=DIRECTION('ref_axis',(1.,0.,0.)); #353623=DIRECTION('',(0.,0.,1.)); #353624=DIRECTION('center_axis',(0.,0.,-1.)); #353625=DIRECTION('ref_axis',(1.,0.,0.)); #353626=DIRECTION('center_axis',(0.,0.,1.)); #353627=DIRECTION('ref_axis',(1.,0.,0.)); #353628=DIRECTION('center_axis',(0.,0.,1.)); #353629=DIRECTION('ref_axis',(1.,0.,0.)); #353630=DIRECTION('',(0.,0.,1.)); #353631=DIRECTION('center_axis',(0.,0.,-1.)); #353632=DIRECTION('ref_axis',(1.,0.,0.)); #353633=DIRECTION('center_axis',(0.,0.,1.)); #353634=DIRECTION('ref_axis',(1.,0.,0.)); #353635=DIRECTION('center_axis',(0.,0.,1.)); #353636=DIRECTION('ref_axis',(1.,0.,0.)); #353637=DIRECTION('',(0.,0.,1.)); #353638=DIRECTION('center_axis',(0.,0.,-1.)); #353639=DIRECTION('ref_axis',(1.,0.,0.)); #353640=DIRECTION('center_axis',(0.,0.,1.)); #353641=DIRECTION('ref_axis',(1.,0.,0.)); #353642=DIRECTION('center_axis',(0.,0.,1.)); #353643=DIRECTION('ref_axis',(1.,0.,0.)); #353644=DIRECTION('',(0.,0.,1.)); #353645=DIRECTION('center_axis',(0.,0.,-1.)); #353646=DIRECTION('ref_axis',(1.,0.,0.)); #353647=DIRECTION('center_axis',(0.,0.,1.)); #353648=DIRECTION('ref_axis',(1.,0.,0.)); #353649=DIRECTION('center_axis',(0.,0.,1.)); #353650=DIRECTION('ref_axis',(1.,0.,0.)); #353651=DIRECTION('',(0.,0.,1.)); #353652=DIRECTION('center_axis',(0.,0.,-1.)); #353653=DIRECTION('ref_axis',(1.,0.,0.)); #353654=DIRECTION('center_axis',(0.,0.,1.)); #353655=DIRECTION('ref_axis',(1.,0.,0.)); #353656=DIRECTION('center_axis',(0.,0.,1.)); #353657=DIRECTION('ref_axis',(1.,0.,0.)); #353658=DIRECTION('',(0.,0.,1.)); #353659=DIRECTION('center_axis',(0.,0.,-1.)); #353660=DIRECTION('ref_axis',(1.,0.,0.)); #353661=DIRECTION('center_axis',(0.,0.,1.)); #353662=DIRECTION('ref_axis',(1.,0.,0.)); #353663=DIRECTION('center_axis',(0.,0.,1.)); #353664=DIRECTION('ref_axis',(1.,0.,0.)); #353665=DIRECTION('',(0.,0.,1.)); #353666=DIRECTION('center_axis',(0.,0.,-1.)); #353667=DIRECTION('ref_axis',(1.,0.,0.)); #353668=DIRECTION('center_axis',(0.,0.,1.)); #353669=DIRECTION('ref_axis',(1.,0.,0.)); #353670=DIRECTION('center_axis',(0.,0.,1.)); #353671=DIRECTION('ref_axis',(1.,0.,0.)); #353672=DIRECTION('',(0.,0.,1.)); #353673=DIRECTION('center_axis',(0.,0.,-1.)); #353674=DIRECTION('ref_axis',(1.,0.,0.)); #353675=DIRECTION('center_axis',(0.,0.,1.)); #353676=DIRECTION('ref_axis',(1.,0.,0.)); #353677=DIRECTION('center_axis',(0.,0.,1.)); #353678=DIRECTION('ref_axis',(1.,0.,0.)); #353679=DIRECTION('',(0.,0.,1.)); #353680=DIRECTION('center_axis',(0.,0.,-1.)); #353681=DIRECTION('ref_axis',(1.,0.,0.)); #353682=DIRECTION('center_axis',(0.,0.,1.)); #353683=DIRECTION('ref_axis',(1.,0.,0.)); #353684=DIRECTION('center_axis',(0.,0.,1.)); #353685=DIRECTION('ref_axis',(1.,0.,0.)); #353686=DIRECTION('',(0.,0.,1.)); #353687=DIRECTION('center_axis',(0.,0.,-1.)); #353688=DIRECTION('ref_axis',(1.,0.,0.)); #353689=DIRECTION('center_axis',(0.,0.,1.)); #353690=DIRECTION('ref_axis',(1.,0.,0.)); #353691=DIRECTION('center_axis',(0.,0.,1.)); #353692=DIRECTION('ref_axis',(1.,0.,0.)); #353693=DIRECTION('',(0.,0.,1.)); #353694=DIRECTION('center_axis',(0.,0.,-1.)); #353695=DIRECTION('ref_axis',(1.,0.,0.)); #353696=DIRECTION('center_axis',(0.,0.,1.)); #353697=DIRECTION('ref_axis',(1.,0.,0.)); #353698=DIRECTION('center_axis',(0.,0.,1.)); #353699=DIRECTION('ref_axis',(1.,0.,0.)); #353700=DIRECTION('',(0.,0.,1.)); #353701=DIRECTION('center_axis',(0.,0.,-1.)); #353702=DIRECTION('ref_axis',(1.,0.,0.)); #353703=DIRECTION('center_axis',(0.,0.,1.)); #353704=DIRECTION('ref_axis',(1.,0.,0.)); #353705=DIRECTION('center_axis',(0.,0.,1.)); #353706=DIRECTION('ref_axis',(1.,0.,0.)); #353707=DIRECTION('',(0.,0.,1.)); #353708=DIRECTION('center_axis',(0.,0.,-1.)); #353709=DIRECTION('ref_axis',(1.,0.,0.)); #353710=DIRECTION('center_axis',(0.,0.,1.)); #353711=DIRECTION('ref_axis',(1.,0.,0.)); #353712=DIRECTION('center_axis',(0.,0.,1.)); #353713=DIRECTION('ref_axis',(1.,0.,0.)); #353714=DIRECTION('',(0.,0.,-1.)); #353715=DIRECTION('center_axis',(0.,0.,1.)); #353716=DIRECTION('ref_axis',(1.,0.,0.)); #353717=DIRECTION('center_axis',(0.,0.,1.)); #353718=DIRECTION('ref_axis',(1.,0.,0.)); #353719=DIRECTION('center_axis',(0.,0.,1.)); #353720=DIRECTION('ref_axis',(1.,0.,0.)); #353721=DIRECTION('center_axis',(0.,0.,1.)); #353722=DIRECTION('ref_axis',(1.,0.,0.)); #353723=DIRECTION('center_axis',(0.,0.,-1.)); #353724=DIRECTION('ref_axis',(1.,0.,0.)); #353725=DIRECTION('',(0.,0.,-1.)); #353726=DIRECTION('center_axis',(0.,0.,1.)); #353727=DIRECTION('ref_axis',(1.,0.,0.)); #353728=DIRECTION('center_axis',(0.,0.,1.)); #353729=DIRECTION('ref_axis',(1.,0.,0.)); #353730=DIRECTION('center_axis',(0.,0.,1.)); #353731=DIRECTION('ref_axis',(1.,0.,0.)); #353732=DIRECTION('',(0.,0.,-1.)); #353733=DIRECTION('center_axis',(0.,0.,1.)); #353734=DIRECTION('ref_axis',(1.,0.,0.)); #353735=DIRECTION('center_axis',(0.,0.,1.)); #353736=DIRECTION('ref_axis',(1.,0.,0.)); #353737=DIRECTION('center_axis',(0.,0.,1.)); #353738=DIRECTION('ref_axis',(1.,0.,0.)); #353739=DIRECTION('center_axis',(0.,0.,1.)); #353740=DIRECTION('ref_axis',(1.,0.,0.)); #353741=DIRECTION('center_axis',(0.,0.,-1.)); #353742=DIRECTION('ref_axis',(1.,0.,0.)); #353743=DIRECTION('',(0.,0.,-1.)); #353744=DIRECTION('center_axis',(0.,0.,1.)); #353745=DIRECTION('ref_axis',(1.,0.,0.)); #353746=DIRECTION('center_axis',(0.,0.,1.)); #353747=DIRECTION('ref_axis',(1.,0.,0.)); #353748=DIRECTION('center_axis',(0.,0.,1.)); #353749=DIRECTION('ref_axis',(1.,0.,0.)); #353750=DIRECTION('',(0.,0.,-1.)); #353751=DIRECTION('center_axis',(0.,0.,1.)); #353752=DIRECTION('ref_axis',(1.,0.,0.)); #353753=DIRECTION('center_axis',(0.,0.,1.)); #353754=DIRECTION('ref_axis',(1.,0.,0.)); #353755=DIRECTION('center_axis',(0.,0.,1.)); #353756=DIRECTION('ref_axis',(1.,0.,0.)); #353757=DIRECTION('center_axis',(0.,0.,1.)); #353758=DIRECTION('ref_axis',(1.,0.,0.)); #353759=DIRECTION('center_axis',(0.,0.,-1.)); #353760=DIRECTION('ref_axis',(1.,0.,0.)); #353761=DIRECTION('',(0.,0.,-1.)); #353762=DIRECTION('center_axis',(0.,0.,1.)); #353763=DIRECTION('ref_axis',(1.,0.,0.)); #353764=DIRECTION('center_axis',(0.,0.,1.)); #353765=DIRECTION('ref_axis',(1.,0.,0.)); #353766=DIRECTION('center_axis',(0.,0.,1.)); #353767=DIRECTION('ref_axis',(1.,0.,0.)); #353768=DIRECTION('',(0.,0.,-1.)); #353769=DIRECTION('center_axis',(0.,0.,1.)); #353770=DIRECTION('ref_axis',(1.,0.,0.)); #353771=DIRECTION('center_axis',(0.,0.,1.)); #353772=DIRECTION('ref_axis',(1.,0.,0.)); #353773=DIRECTION('center_axis',(0.,0.,1.)); #353774=DIRECTION('ref_axis',(1.,0.,0.)); #353775=DIRECTION('center_axis',(0.,0.,1.)); #353776=DIRECTION('ref_axis',(1.,0.,0.)); #353777=DIRECTION('center_axis',(0.,0.,-1.)); #353778=DIRECTION('ref_axis',(1.,0.,0.)); #353779=DIRECTION('',(0.,0.,-1.)); #353780=DIRECTION('center_axis',(0.,0.,1.)); #353781=DIRECTION('ref_axis',(1.,0.,0.)); #353782=DIRECTION('center_axis',(0.,0.,1.)); #353783=DIRECTION('ref_axis',(1.,0.,0.)); #353784=DIRECTION('center_axis',(0.,0.,1.)); #353785=DIRECTION('ref_axis',(1.,0.,0.)); #353786=DIRECTION('',(0.,0.,-1.)); #353787=DIRECTION('center_axis',(0.,0.,1.)); #353788=DIRECTION('ref_axis',(1.,0.,0.)); #353789=DIRECTION('center_axis',(0.,0.,1.)); #353790=DIRECTION('ref_axis',(1.,0.,0.)); #353791=DIRECTION('center_axis',(0.,0.,1.)); #353792=DIRECTION('ref_axis',(1.,0.,0.)); #353793=DIRECTION('center_axis',(0.,0.,1.)); #353794=DIRECTION('ref_axis',(1.,0.,0.)); #353795=DIRECTION('center_axis',(0.,0.,-1.)); #353796=DIRECTION('ref_axis',(1.,0.,0.)); #353797=DIRECTION('',(0.,0.,-1.)); #353798=DIRECTION('center_axis',(0.,0.,1.)); #353799=DIRECTION('ref_axis',(1.,0.,0.)); #353800=DIRECTION('center_axis',(0.,0.,1.)); #353801=DIRECTION('ref_axis',(1.,0.,0.)); #353802=DIRECTION('center_axis',(0.,0.,1.)); #353803=DIRECTION('ref_axis',(1.,0.,0.)); #353804=DIRECTION('',(0.,0.,-1.)); #353805=DIRECTION('center_axis',(0.,0.,1.)); #353806=DIRECTION('ref_axis',(1.,0.,0.)); #353807=DIRECTION('center_axis',(0.,0.,1.)); #353808=DIRECTION('ref_axis',(1.,0.,0.)); #353809=DIRECTION('center_axis',(0.,0.,1.)); #353810=DIRECTION('ref_axis',(1.,0.,0.)); #353811=DIRECTION('center_axis',(0.,0.,1.)); #353812=DIRECTION('ref_axis',(1.,0.,0.)); #353813=DIRECTION('center_axis',(0.,0.,-1.)); #353814=DIRECTION('ref_axis',(1.,0.,0.)); #353815=DIRECTION('',(0.,0.,-1.)); #353816=DIRECTION('center_axis',(0.,0.,1.)); #353817=DIRECTION('ref_axis',(1.,0.,0.)); #353818=DIRECTION('center_axis',(0.,0.,1.)); #353819=DIRECTION('ref_axis',(1.,0.,0.)); #353820=DIRECTION('center_axis',(0.,0.,1.)); #353821=DIRECTION('ref_axis',(1.,0.,0.)); #353822=DIRECTION('',(0.,0.,-1.)); #353823=DIRECTION('center_axis',(0.,0.,1.)); #353824=DIRECTION('ref_axis',(1.,0.,0.)); #353825=DIRECTION('center_axis',(0.,0.,1.)); #353826=DIRECTION('ref_axis',(1.,0.,0.)); #353827=DIRECTION('center_axis',(0.,0.,1.)); #353828=DIRECTION('ref_axis',(1.,0.,0.)); #353829=DIRECTION('center_axis',(0.,0.,1.)); #353830=DIRECTION('ref_axis',(1.,0.,0.)); #353831=DIRECTION('center_axis',(0.,0.,-1.)); #353832=DIRECTION('ref_axis',(1.,0.,0.)); #353833=DIRECTION('',(0.,0.,-1.)); #353834=DIRECTION('center_axis',(0.,0.,1.)); #353835=DIRECTION('ref_axis',(1.,0.,0.)); #353836=DIRECTION('center_axis',(0.,0.,1.)); #353837=DIRECTION('ref_axis',(1.,0.,0.)); #353838=DIRECTION('center_axis',(0.,0.,1.)); #353839=DIRECTION('ref_axis',(1.,0.,0.)); #353840=DIRECTION('',(0.,0.,-1.)); #353841=DIRECTION('center_axis',(0.,0.,1.)); #353842=DIRECTION('ref_axis',(1.,0.,0.)); #353843=DIRECTION('center_axis',(0.,0.,1.)); #353844=DIRECTION('ref_axis',(1.,0.,0.)); #353845=DIRECTION('center_axis',(0.,0.,1.)); #353846=DIRECTION('ref_axis',(1.,0.,0.)); #353847=DIRECTION('center_axis',(0.,0.,1.)); #353848=DIRECTION('ref_axis',(1.,0.,0.)); #353849=DIRECTION('center_axis',(0.,0.,-1.)); #353850=DIRECTION('ref_axis',(1.,0.,0.)); #353851=DIRECTION('',(0.,0.,-1.)); #353852=DIRECTION('center_axis',(0.,0.,1.)); #353853=DIRECTION('ref_axis',(1.,0.,0.)); #353854=DIRECTION('center_axis',(0.,0.,1.)); #353855=DIRECTION('ref_axis',(1.,0.,0.)); #353856=DIRECTION('center_axis',(0.,0.,1.)); #353857=DIRECTION('ref_axis',(1.,0.,0.)); #353858=DIRECTION('',(0.,0.,-1.)); #353859=DIRECTION('center_axis',(0.,0.,1.)); #353860=DIRECTION('ref_axis',(1.,0.,0.)); #353861=DIRECTION('center_axis',(0.,0.,1.)); #353862=DIRECTION('ref_axis',(1.,0.,0.)); #353863=DIRECTION('center_axis',(0.,0.,1.)); #353864=DIRECTION('ref_axis',(1.,0.,0.)); #353865=DIRECTION('center_axis',(0.,0.,1.)); #353866=DIRECTION('ref_axis',(1.,0.,0.)); #353867=DIRECTION('center_axis',(0.,0.,-1.)); #353868=DIRECTION('ref_axis',(1.,0.,0.)); #353869=DIRECTION('',(0.,0.,-1.)); #353870=DIRECTION('center_axis',(0.,0.,1.)); #353871=DIRECTION('ref_axis',(1.,0.,0.)); #353872=DIRECTION('center_axis',(0.,0.,1.)); #353873=DIRECTION('ref_axis',(1.,0.,0.)); #353874=DIRECTION('center_axis',(0.,0.,1.)); #353875=DIRECTION('ref_axis',(1.,0.,0.)); #353876=DIRECTION('',(0.,0.,-1.)); #353877=DIRECTION('center_axis',(0.,0.,1.)); #353878=DIRECTION('ref_axis',(1.,0.,0.)); #353879=DIRECTION('center_axis',(0.,0.,1.)); #353880=DIRECTION('ref_axis',(1.,0.,0.)); #353881=DIRECTION('center_axis',(0.,0.,1.)); #353882=DIRECTION('ref_axis',(1.,0.,0.)); #353883=DIRECTION('center_axis',(0.,0.,1.)); #353884=DIRECTION('ref_axis',(1.,0.,0.)); #353885=DIRECTION('center_axis',(0.,0.,-1.)); #353886=DIRECTION('ref_axis',(1.,0.,0.)); #353887=DIRECTION('',(0.,0.,-1.)); #353888=DIRECTION('center_axis',(0.,0.,1.)); #353889=DIRECTION('ref_axis',(1.,0.,0.)); #353890=DIRECTION('center_axis',(0.,0.,1.)); #353891=DIRECTION('ref_axis',(1.,0.,0.)); #353892=DIRECTION('center_axis',(0.,0.,1.)); #353893=DIRECTION('ref_axis',(1.,0.,0.)); #353894=DIRECTION('',(0.,0.,-1.)); #353895=DIRECTION('center_axis',(0.,0.,1.)); #353896=DIRECTION('ref_axis',(1.,0.,0.)); #353897=DIRECTION('center_axis',(0.,0.,1.)); #353898=DIRECTION('ref_axis',(1.,0.,0.)); #353899=DIRECTION('center_axis',(0.,0.,1.)); #353900=DIRECTION('ref_axis',(1.,0.,0.)); #353901=DIRECTION('center_axis',(0.,0.,1.)); #353902=DIRECTION('ref_axis',(1.,0.,0.)); #353903=DIRECTION('center_axis',(0.,0.,-1.)); #353904=DIRECTION('ref_axis',(1.,0.,0.)); #353905=DIRECTION('',(0.,0.,-1.)); #353906=DIRECTION('center_axis',(0.,0.,1.)); #353907=DIRECTION('ref_axis',(1.,0.,0.)); #353908=DIRECTION('center_axis',(0.,0.,1.)); #353909=DIRECTION('ref_axis',(1.,0.,0.)); #353910=DIRECTION('center_axis',(0.,0.,1.)); #353911=DIRECTION('ref_axis',(1.,0.,0.)); #353912=DIRECTION('',(0.,0.,-1.)); #353913=DIRECTION('center_axis',(0.,0.,1.)); #353914=DIRECTION('ref_axis',(1.,0.,0.)); #353915=DIRECTION('center_axis',(0.,0.,1.)); #353916=DIRECTION('ref_axis',(1.,0.,0.)); #353917=DIRECTION('center_axis',(0.,0.,1.)); #353918=DIRECTION('ref_axis',(1.,0.,0.)); #353919=DIRECTION('center_axis',(0.,0.,1.)); #353920=DIRECTION('ref_axis',(1.,0.,0.)); #353921=DIRECTION('center_axis',(0.,0.,-1.)); #353922=DIRECTION('ref_axis',(1.,0.,0.)); #353923=DIRECTION('',(0.,0.,-1.)); #353924=DIRECTION('center_axis',(0.,0.,1.)); #353925=DIRECTION('ref_axis',(1.,0.,0.)); #353926=DIRECTION('center_axis',(0.,0.,1.)); #353927=DIRECTION('ref_axis',(1.,0.,0.)); #353928=DIRECTION('center_axis',(0.,0.,1.)); #353929=DIRECTION('ref_axis',(1.,0.,0.)); #353930=DIRECTION('',(0.,0.,-1.)); #353931=DIRECTION('center_axis',(0.,0.,1.)); #353932=DIRECTION('ref_axis',(1.,0.,0.)); #353933=DIRECTION('center_axis',(0.,0.,1.)); #353934=DIRECTION('ref_axis',(1.,0.,0.)); #353935=DIRECTION('center_axis',(0.,0.,1.)); #353936=DIRECTION('ref_axis',(1.,0.,0.)); #353937=DIRECTION('center_axis',(0.,0.,1.)); #353938=DIRECTION('ref_axis',(1.,0.,0.)); #353939=DIRECTION('center_axis',(0.,0.,-1.)); #353940=DIRECTION('ref_axis',(1.,0.,0.)); #353941=DIRECTION('',(0.,0.,-1.)); #353942=DIRECTION('center_axis',(0.,0.,1.)); #353943=DIRECTION('ref_axis',(1.,0.,0.)); #353944=DIRECTION('center_axis',(0.,0.,1.)); #353945=DIRECTION('ref_axis',(1.,0.,0.)); #353946=DIRECTION('center_axis',(0.,0.,1.)); #353947=DIRECTION('ref_axis',(1.,0.,0.)); #353948=DIRECTION('',(0.,0.,-1.)); #353949=DIRECTION('center_axis',(0.,0.,1.)); #353950=DIRECTION('ref_axis',(1.,0.,0.)); #353951=DIRECTION('center_axis',(0.,0.,1.)); #353952=DIRECTION('ref_axis',(1.,0.,0.)); #353953=DIRECTION('center_axis',(0.,0.,1.)); #353954=DIRECTION('ref_axis',(1.,0.,0.)); #353955=DIRECTION('center_axis',(0.,0.,1.)); #353956=DIRECTION('ref_axis',(1.,0.,0.)); #353957=DIRECTION('center_axis',(0.,0.,-1.)); #353958=DIRECTION('ref_axis',(1.,0.,0.)); #353959=DIRECTION('',(0.,0.,-1.)); #353960=DIRECTION('center_axis',(0.,0.,1.)); #353961=DIRECTION('ref_axis',(1.,0.,0.)); #353962=DIRECTION('center_axis',(0.,0.,1.)); #353963=DIRECTION('ref_axis',(1.,0.,0.)); #353964=DIRECTION('center_axis',(0.,0.,1.)); #353965=DIRECTION('ref_axis',(1.,0.,0.)); #353966=DIRECTION('',(0.,0.,-1.)); #353967=DIRECTION('center_axis',(0.,0.,1.)); #353968=DIRECTION('ref_axis',(1.,0.,0.)); #353969=DIRECTION('center_axis',(0.,0.,1.)); #353970=DIRECTION('ref_axis',(1.,0.,0.)); #353971=DIRECTION('center_axis',(0.,0.,1.)); #353972=DIRECTION('ref_axis',(1.,0.,0.)); #353973=DIRECTION('center_axis',(0.,0.,1.)); #353974=DIRECTION('ref_axis',(1.,0.,0.)); #353975=DIRECTION('center_axis',(0.,0.,-1.)); #353976=DIRECTION('ref_axis',(1.,0.,0.)); #353977=DIRECTION('',(0.,0.,-1.)); #353978=DIRECTION('center_axis',(0.,0.,1.)); #353979=DIRECTION('ref_axis',(1.,0.,0.)); #353980=DIRECTION('center_axis',(0.,0.,1.)); #353981=DIRECTION('ref_axis',(1.,0.,0.)); #353982=DIRECTION('center_axis',(0.,0.,1.)); #353983=DIRECTION('ref_axis',(1.,0.,0.)); #353984=DIRECTION('',(0.,0.,-1.)); #353985=DIRECTION('center_axis',(0.,0.,1.)); #353986=DIRECTION('ref_axis',(1.,0.,0.)); #353987=DIRECTION('center_axis',(0.,0.,1.)); #353988=DIRECTION('ref_axis',(1.,0.,0.)); #353989=DIRECTION('center_axis',(0.,0.,1.)); #353990=DIRECTION('ref_axis',(1.,0.,0.)); #353991=DIRECTION('center_axis',(0.,0.,1.)); #353992=DIRECTION('ref_axis',(1.,0.,0.)); #353993=DIRECTION('center_axis',(0.,0.,-1.)); #353994=DIRECTION('ref_axis',(1.,0.,0.)); #353995=DIRECTION('',(0.,0.,-1.)); #353996=DIRECTION('center_axis',(0.,0.,1.)); #353997=DIRECTION('ref_axis',(1.,0.,0.)); #353998=DIRECTION('center_axis',(0.,0.,1.)); #353999=DIRECTION('ref_axis',(1.,0.,0.)); #354000=DIRECTION('center_axis',(0.,0.,1.)); #354001=DIRECTION('ref_axis',(1.,0.,0.)); #354002=DIRECTION('',(0.,0.,-1.)); #354003=DIRECTION('center_axis',(0.,0.,1.)); #354004=DIRECTION('ref_axis',(1.,0.,0.)); #354005=DIRECTION('center_axis',(0.,0.,1.)); #354006=DIRECTION('ref_axis',(1.,0.,0.)); #354007=DIRECTION('center_axis',(0.,0.,1.)); #354008=DIRECTION('ref_axis',(1.,0.,0.)); #354009=DIRECTION('center_axis',(0.,0.,1.)); #354010=DIRECTION('ref_axis',(1.,0.,0.)); #354011=DIRECTION('center_axis',(0.,0.,-1.)); #354012=DIRECTION('ref_axis',(1.,0.,0.)); #354013=DIRECTION('',(0.,0.,-1.)); #354014=DIRECTION('center_axis',(0.,0.,1.)); #354015=DIRECTION('ref_axis',(1.,0.,0.)); #354016=DIRECTION('center_axis',(0.,0.,1.)); #354017=DIRECTION('ref_axis',(1.,0.,0.)); #354018=DIRECTION('center_axis',(0.,0.,1.)); #354019=DIRECTION('ref_axis',(1.,0.,0.)); #354020=DIRECTION('',(0.,0.,-1.)); #354021=DIRECTION('center_axis',(0.,0.,1.)); #354022=DIRECTION('ref_axis',(1.,0.,0.)); #354023=DIRECTION('center_axis',(0.,0.,1.)); #354024=DIRECTION('ref_axis',(1.,0.,0.)); #354025=DIRECTION('center_axis',(0.,0.,1.)); #354026=DIRECTION('ref_axis',(1.,0.,0.)); #354027=DIRECTION('center_axis',(0.,0.,1.)); #354028=DIRECTION('ref_axis',(1.,0.,0.)); #354029=DIRECTION('center_axis',(0.,0.,-1.)); #354030=DIRECTION('ref_axis',(1.,0.,0.)); #354031=DIRECTION('',(0.,0.,-1.)); #354032=DIRECTION('center_axis',(0.,0.,1.)); #354033=DIRECTION('ref_axis',(1.,0.,0.)); #354034=DIRECTION('center_axis',(0.,0.,1.)); #354035=DIRECTION('ref_axis',(1.,0.,0.)); #354036=DIRECTION('center_axis',(0.,0.,1.)); #354037=DIRECTION('ref_axis',(1.,0.,0.)); #354038=DIRECTION('',(0.,0.,-1.)); #354039=DIRECTION('center_axis',(0.,0.,1.)); #354040=DIRECTION('ref_axis',(1.,0.,0.)); #354041=DIRECTION('center_axis',(0.,0.,1.)); #354042=DIRECTION('ref_axis',(1.,0.,0.)); #354043=DIRECTION('center_axis',(0.,0.,1.)); #354044=DIRECTION('ref_axis',(1.,0.,0.)); #354045=DIRECTION('center_axis',(0.,0.,1.)); #354046=DIRECTION('ref_axis',(1.,0.,0.)); #354047=DIRECTION('center_axis',(0.,0.,-1.)); #354048=DIRECTION('ref_axis',(1.,0.,0.)); #354049=DIRECTION('',(0.,0.,-1.)); #354050=DIRECTION('center_axis',(0.,0.,1.)); #354051=DIRECTION('ref_axis',(1.,0.,0.)); #354052=DIRECTION('center_axis',(0.,0.,1.)); #354053=DIRECTION('ref_axis',(1.,0.,0.)); #354054=DIRECTION('center_axis',(0.,0.,1.)); #354055=DIRECTION('ref_axis',(1.,0.,0.)); #354056=DIRECTION('',(0.,0.,-1.)); #354057=DIRECTION('center_axis',(0.,0.,1.)); #354058=DIRECTION('ref_axis',(1.,0.,0.)); #354059=DIRECTION('center_axis',(0.,0.,1.)); #354060=DIRECTION('ref_axis',(1.,0.,0.)); #354061=DIRECTION('center_axis',(0.,0.,1.)); #354062=DIRECTION('ref_axis',(1.,0.,0.)); #354063=DIRECTION('center_axis',(0.,0.,1.)); #354064=DIRECTION('ref_axis',(1.,0.,0.)); #354065=DIRECTION('center_axis',(0.,0.,-1.)); #354066=DIRECTION('ref_axis',(1.,0.,0.)); #354067=DIRECTION('',(0.,0.,-1.)); #354068=DIRECTION('center_axis',(0.,0.,1.)); #354069=DIRECTION('ref_axis',(1.,0.,0.)); #354070=DIRECTION('center_axis',(0.,0.,1.)); #354071=DIRECTION('ref_axis',(1.,0.,0.)); #354072=DIRECTION('center_axis',(0.,0.,1.)); #354073=DIRECTION('ref_axis',(1.,0.,0.)); #354074=DIRECTION('',(0.,0.,-1.)); #354075=DIRECTION('center_axis',(0.,0.,1.)); #354076=DIRECTION('ref_axis',(1.,0.,0.)); #354077=DIRECTION('center_axis',(0.,0.,1.)); #354078=DIRECTION('ref_axis',(1.,0.,0.)); #354079=DIRECTION('center_axis',(0.,0.,1.)); #354080=DIRECTION('ref_axis',(1.,0.,0.)); #354081=DIRECTION('center_axis',(0.,0.,1.)); #354082=DIRECTION('ref_axis',(1.,0.,0.)); #354083=DIRECTION('center_axis',(0.,0.,-1.)); #354084=DIRECTION('ref_axis',(1.,0.,0.)); #354085=DIRECTION('',(0.,0.,-1.)); #354086=DIRECTION('center_axis',(0.,0.,1.)); #354087=DIRECTION('ref_axis',(1.,0.,0.)); #354088=DIRECTION('center_axis',(0.,0.,1.)); #354089=DIRECTION('ref_axis',(1.,0.,0.)); #354090=DIRECTION('center_axis',(0.,0.,1.)); #354091=DIRECTION('ref_axis',(1.,0.,0.)); #354092=DIRECTION('',(0.,0.,-1.)); #354093=DIRECTION('center_axis',(0.,0.,1.)); #354094=DIRECTION('ref_axis',(1.,0.,0.)); #354095=DIRECTION('center_axis',(0.,0.,1.)); #354096=DIRECTION('ref_axis',(1.,0.,0.)); #354097=DIRECTION('center_axis',(0.,0.,1.)); #354098=DIRECTION('ref_axis',(1.,0.,0.)); #354099=DIRECTION('center_axis',(0.,0.,1.)); #354100=DIRECTION('ref_axis',(1.,0.,0.)); #354101=DIRECTION('center_axis',(0.,0.,-1.)); #354102=DIRECTION('ref_axis',(1.,0.,0.)); #354103=DIRECTION('',(0.,0.,-1.)); #354104=DIRECTION('center_axis',(0.,0.,1.)); #354105=DIRECTION('ref_axis',(1.,0.,0.)); #354106=DIRECTION('center_axis',(0.,0.,1.)); #354107=DIRECTION('ref_axis',(1.,0.,0.)); #354108=DIRECTION('center_axis',(0.,0.,1.)); #354109=DIRECTION('ref_axis',(1.,0.,0.)); #354110=DIRECTION('',(0.,0.,-1.)); #354111=DIRECTION('center_axis',(0.,0.,1.)); #354112=DIRECTION('ref_axis',(1.,0.,0.)); #354113=DIRECTION('center_axis',(0.,0.,1.)); #354114=DIRECTION('ref_axis',(1.,0.,0.)); #354115=DIRECTION('center_axis',(0.,0.,1.)); #354116=DIRECTION('ref_axis',(1.,0.,0.)); #354117=DIRECTION('center_axis',(0.,0.,1.)); #354118=DIRECTION('ref_axis',(1.,0.,0.)); #354119=DIRECTION('center_axis',(0.,0.,-1.)); #354120=DIRECTION('ref_axis',(1.,0.,0.)); #354121=DIRECTION('',(0.,0.,-1.)); #354122=DIRECTION('center_axis',(0.,0.,1.)); #354123=DIRECTION('ref_axis',(1.,0.,0.)); #354124=DIRECTION('center_axis',(0.,0.,1.)); #354125=DIRECTION('ref_axis',(1.,0.,0.)); #354126=DIRECTION('center_axis',(0.,0.,1.)); #354127=DIRECTION('ref_axis',(1.,0.,0.)); #354128=DIRECTION('',(0.,0.,-1.)); #354129=DIRECTION('center_axis',(0.,0.,1.)); #354130=DIRECTION('ref_axis',(1.,0.,0.)); #354131=DIRECTION('center_axis',(0.,0.,1.)); #354132=DIRECTION('ref_axis',(1.,0.,0.)); #354133=DIRECTION('center_axis',(0.,0.,1.)); #354134=DIRECTION('ref_axis',(1.,0.,0.)); #354135=DIRECTION('center_axis',(0.,0.,1.)); #354136=DIRECTION('ref_axis',(1.,0.,0.)); #354137=DIRECTION('center_axis',(0.,0.,-1.)); #354138=DIRECTION('ref_axis',(1.,0.,0.)); #354139=DIRECTION('',(0.,0.,-1.)); #354140=DIRECTION('center_axis',(0.,0.,1.)); #354141=DIRECTION('ref_axis',(1.,0.,0.)); #354142=DIRECTION('center_axis',(0.,0.,1.)); #354143=DIRECTION('ref_axis',(1.,0.,0.)); #354144=DIRECTION('center_axis',(0.,0.,1.)); #354145=DIRECTION('ref_axis',(1.,0.,0.)); #354146=DIRECTION('',(0.,0.,-1.)); #354147=DIRECTION('center_axis',(0.,0.,1.)); #354148=DIRECTION('ref_axis',(1.,0.,0.)); #354149=DIRECTION('center_axis',(0.,0.,1.)); #354150=DIRECTION('ref_axis',(1.,0.,0.)); #354151=DIRECTION('center_axis',(0.,0.,1.)); #354152=DIRECTION('ref_axis',(1.,0.,0.)); #354153=DIRECTION('center_axis',(0.,0.,1.)); #354154=DIRECTION('ref_axis',(1.,0.,0.)); #354155=DIRECTION('center_axis',(0.,0.,-1.)); #354156=DIRECTION('ref_axis',(1.,0.,0.)); #354157=DIRECTION('',(0.,0.,-1.)); #354158=DIRECTION('center_axis',(0.,0.,1.)); #354159=DIRECTION('ref_axis',(1.,0.,0.)); #354160=DIRECTION('center_axis',(0.,0.,1.)); #354161=DIRECTION('ref_axis',(1.,0.,0.)); #354162=DIRECTION('center_axis',(0.,0.,1.)); #354163=DIRECTION('ref_axis',(1.,0.,0.)); #354164=DIRECTION('',(0.,0.,-1.)); #354165=DIRECTION('center_axis',(0.,0.,1.)); #354166=DIRECTION('ref_axis',(1.,0.,0.)); #354167=DIRECTION('center_axis',(0.,0.,1.)); #354168=DIRECTION('ref_axis',(1.,0.,0.)); #354169=DIRECTION('center_axis',(0.,0.,1.)); #354170=DIRECTION('ref_axis',(1.,0.,0.)); #354171=DIRECTION('center_axis',(0.,0.,1.)); #354172=DIRECTION('ref_axis',(1.,0.,0.)); #354173=DIRECTION('center_axis',(0.,0.,-1.)); #354174=DIRECTION('ref_axis',(1.,0.,0.)); #354175=DIRECTION('',(0.,0.,-1.)); #354176=DIRECTION('center_axis',(0.,0.,1.)); #354177=DIRECTION('ref_axis',(1.,0.,0.)); #354178=DIRECTION('center_axis',(0.,0.,1.)); #354179=DIRECTION('ref_axis',(1.,0.,0.)); #354180=DIRECTION('center_axis',(0.,0.,1.)); #354181=DIRECTION('ref_axis',(1.,0.,0.)); #354182=DIRECTION('',(0.,0.,-1.)); #354183=DIRECTION('center_axis',(0.,0.,1.)); #354184=DIRECTION('ref_axis',(1.,0.,0.)); #354185=DIRECTION('center_axis',(0.,0.,1.)); #354186=DIRECTION('ref_axis',(1.,0.,0.)); #354187=DIRECTION('center_axis',(0.,0.,1.)); #354188=DIRECTION('ref_axis',(1.,0.,0.)); #354189=DIRECTION('center_axis',(0.,0.,1.)); #354190=DIRECTION('ref_axis',(1.,0.,0.)); #354191=DIRECTION('center_axis',(0.,0.,-1.)); #354192=DIRECTION('ref_axis',(1.,0.,0.)); #354193=DIRECTION('',(0.,0.,-1.)); #354194=DIRECTION('center_axis',(0.,0.,1.)); #354195=DIRECTION('ref_axis',(1.,0.,0.)); #354196=DIRECTION('center_axis',(0.,0.,1.)); #354197=DIRECTION('ref_axis',(1.,0.,0.)); #354198=DIRECTION('center_axis',(0.,0.,1.)); #354199=DIRECTION('ref_axis',(1.,0.,0.)); #354200=DIRECTION('',(0.,0.,-1.)); #354201=DIRECTION('center_axis',(0.,0.,1.)); #354202=DIRECTION('ref_axis',(1.,0.,0.)); #354203=DIRECTION('center_axis',(0.,0.,1.)); #354204=DIRECTION('ref_axis',(1.,0.,0.)); #354205=DIRECTION('center_axis',(0.,0.,1.)); #354206=DIRECTION('ref_axis',(1.,0.,0.)); #354207=DIRECTION('center_axis',(0.,0.,1.)); #354208=DIRECTION('ref_axis',(1.,0.,0.)); #354209=DIRECTION('center_axis',(0.,0.,-1.)); #354210=DIRECTION('ref_axis',(1.,0.,0.)); #354211=DIRECTION('',(0.,0.,-1.)); #354212=DIRECTION('center_axis',(0.,0.,1.)); #354213=DIRECTION('ref_axis',(1.,0.,0.)); #354214=DIRECTION('center_axis',(0.,0.,1.)); #354215=DIRECTION('ref_axis',(1.,0.,0.)); #354216=DIRECTION('center_axis',(0.,0.,1.)); #354217=DIRECTION('ref_axis',(1.,0.,0.)); #354218=DIRECTION('',(0.,0.,-1.)); #354219=DIRECTION('center_axis',(0.,0.,1.)); #354220=DIRECTION('ref_axis',(1.,0.,0.)); #354221=DIRECTION('center_axis',(0.,0.,1.)); #354222=DIRECTION('ref_axis',(1.,0.,0.)); #354223=DIRECTION('center_axis',(0.,0.,1.)); #354224=DIRECTION('ref_axis',(1.,0.,0.)); #354225=DIRECTION('center_axis',(0.,0.,1.)); #354226=DIRECTION('ref_axis',(1.,0.,0.)); #354227=DIRECTION('center_axis',(0.,0.,-1.)); #354228=DIRECTION('ref_axis',(1.,0.,0.)); #354229=DIRECTION('',(0.,0.,-1.)); #354230=DIRECTION('center_axis',(0.,0.,1.)); #354231=DIRECTION('ref_axis',(1.,0.,0.)); #354232=DIRECTION('center_axis',(0.,0.,1.)); #354233=DIRECTION('ref_axis',(1.,0.,0.)); #354234=DIRECTION('center_axis',(0.,0.,1.)); #354235=DIRECTION('ref_axis',(1.,0.,0.)); #354236=DIRECTION('',(0.,0.,-1.)); #354237=DIRECTION('center_axis',(0.,0.,1.)); #354238=DIRECTION('ref_axis',(1.,0.,0.)); #354239=DIRECTION('center_axis',(0.,0.,1.)); #354240=DIRECTION('ref_axis',(1.,0.,0.)); #354241=DIRECTION('center_axis',(0.,0.,1.)); #354242=DIRECTION('ref_axis',(1.,0.,0.)); #354243=DIRECTION('center_axis',(0.,0.,1.)); #354244=DIRECTION('ref_axis',(1.,0.,0.)); #354245=DIRECTION('center_axis',(0.,0.,-1.)); #354246=DIRECTION('ref_axis',(1.,0.,0.)); #354247=DIRECTION('',(0.,0.,-1.)); #354248=DIRECTION('center_axis',(0.,0.,1.)); #354249=DIRECTION('ref_axis',(1.,0.,0.)); #354250=DIRECTION('center_axis',(0.,0.,1.)); #354251=DIRECTION('ref_axis',(1.,0.,0.)); #354252=DIRECTION('center_axis',(0.,0.,1.)); #354253=DIRECTION('ref_axis',(1.,0.,0.)); #354254=DIRECTION('',(0.,0.,-1.)); #354255=DIRECTION('center_axis',(0.,0.,1.)); #354256=DIRECTION('ref_axis',(1.,0.,0.)); #354257=DIRECTION('center_axis',(0.,0.,1.)); #354258=DIRECTION('ref_axis',(1.,0.,0.)); #354259=DIRECTION('center_axis',(0.,0.,1.)); #354260=DIRECTION('ref_axis',(1.,0.,0.)); #354261=DIRECTION('center_axis',(0.,0.,1.)); #354262=DIRECTION('ref_axis',(1.,0.,0.)); #354263=DIRECTION('center_axis',(0.,0.,-1.)); #354264=DIRECTION('ref_axis',(1.,0.,0.)); #354265=DIRECTION('',(0.,0.,-1.)); #354266=DIRECTION('center_axis',(0.,0.,1.)); #354267=DIRECTION('ref_axis',(1.,0.,0.)); #354268=DIRECTION('center_axis',(0.,0.,1.)); #354269=DIRECTION('ref_axis',(1.,0.,0.)); #354270=DIRECTION('center_axis',(0.,0.,1.)); #354271=DIRECTION('ref_axis',(1.,0.,0.)); #354272=DIRECTION('',(0.,0.,-1.)); #354273=DIRECTION('center_axis',(0.,0.,1.)); #354274=DIRECTION('ref_axis',(1.,0.,0.)); #354275=DIRECTION('center_axis',(0.,0.,1.)); #354276=DIRECTION('ref_axis',(1.,0.,0.)); #354277=DIRECTION('center_axis',(0.,0.,1.)); #354278=DIRECTION('ref_axis',(1.,0.,0.)); #354279=DIRECTION('center_axis',(0.,0.,1.)); #354280=DIRECTION('ref_axis',(1.,0.,0.)); #354281=DIRECTION('center_axis',(0.,0.,-1.)); #354282=DIRECTION('ref_axis',(1.,0.,0.)); #354283=DIRECTION('',(0.,0.,-1.)); #354284=DIRECTION('center_axis',(0.,0.,1.)); #354285=DIRECTION('ref_axis',(1.,0.,0.)); #354286=DIRECTION('center_axis',(0.,0.,1.)); #354287=DIRECTION('ref_axis',(1.,0.,0.)); #354288=DIRECTION('center_axis',(0.,0.,1.)); #354289=DIRECTION('ref_axis',(1.,0.,0.)); #354290=DIRECTION('',(0.,0.,-1.)); #354291=DIRECTION('center_axis',(0.,0.,1.)); #354292=DIRECTION('ref_axis',(1.,0.,0.)); #354293=DIRECTION('center_axis',(0.,0.,1.)); #354294=DIRECTION('ref_axis',(1.,0.,0.)); #354295=DIRECTION('center_axis',(0.,0.,1.)); #354296=DIRECTION('ref_axis',(1.,0.,0.)); #354297=DIRECTION('center_axis',(0.,0.,1.)); #354298=DIRECTION('ref_axis',(1.,0.,0.)); #354299=DIRECTION('center_axis',(0.,0.,-1.)); #354300=DIRECTION('ref_axis',(1.,0.,0.)); #354301=DIRECTION('',(0.,0.,-1.)); #354302=DIRECTION('center_axis',(0.,0.,1.)); #354303=DIRECTION('ref_axis',(1.,0.,0.)); #354304=DIRECTION('center_axis',(0.,0.,1.)); #354305=DIRECTION('ref_axis',(1.,0.,0.)); #354306=DIRECTION('center_axis',(0.,0.,1.)); #354307=DIRECTION('ref_axis',(1.,0.,0.)); #354308=DIRECTION('',(0.,0.,-1.)); #354309=DIRECTION('center_axis',(0.,0.,1.)); #354310=DIRECTION('ref_axis',(1.,0.,0.)); #354311=DIRECTION('center_axis',(0.,0.,1.)); #354312=DIRECTION('ref_axis',(1.,0.,0.)); #354313=DIRECTION('center_axis',(0.,0.,1.)); #354314=DIRECTION('ref_axis',(1.,0.,0.)); #354315=DIRECTION('center_axis',(0.,0.,1.)); #354316=DIRECTION('ref_axis',(1.,0.,0.)); #354317=DIRECTION('center_axis',(0.,0.,-1.)); #354318=DIRECTION('ref_axis',(1.,0.,0.)); #354319=DIRECTION('',(0.,0.,-1.)); #354320=DIRECTION('center_axis',(0.,0.,1.)); #354321=DIRECTION('ref_axis',(1.,0.,0.)); #354322=DIRECTION('center_axis',(0.,0.,1.)); #354323=DIRECTION('ref_axis',(1.,0.,0.)); #354324=DIRECTION('center_axis',(0.,0.,1.)); #354325=DIRECTION('ref_axis',(1.,0.,0.)); #354326=DIRECTION('',(0.,0.,-1.)); #354327=DIRECTION('center_axis',(0.,0.,1.)); #354328=DIRECTION('ref_axis',(1.,0.,0.)); #354329=DIRECTION('center_axis',(0.,0.,1.)); #354330=DIRECTION('ref_axis',(1.,0.,0.)); #354331=DIRECTION('center_axis',(0.,0.,1.)); #354332=DIRECTION('ref_axis',(1.,0.,0.)); #354333=DIRECTION('center_axis',(0.,0.,1.)); #354334=DIRECTION('ref_axis',(1.,0.,0.)); #354335=DIRECTION('center_axis',(0.,0.,-1.)); #354336=DIRECTION('ref_axis',(1.,0.,0.)); #354337=DIRECTION('',(0.,0.,-1.)); #354338=DIRECTION('center_axis',(0.,0.,1.)); #354339=DIRECTION('ref_axis',(1.,0.,0.)); #354340=DIRECTION('center_axis',(0.,0.,1.)); #354341=DIRECTION('ref_axis',(1.,0.,0.)); #354342=DIRECTION('center_axis',(0.,0.,1.)); #354343=DIRECTION('ref_axis',(1.,0.,0.)); #354344=DIRECTION('',(0.,0.,-1.)); #354345=DIRECTION('center_axis',(0.,0.,1.)); #354346=DIRECTION('ref_axis',(1.,0.,0.)); #354347=DIRECTION('center_axis',(0.,0.,1.)); #354348=DIRECTION('ref_axis',(1.,0.,0.)); #354349=DIRECTION('center_axis',(0.,0.,1.)); #354350=DIRECTION('ref_axis',(1.,0.,0.)); #354351=DIRECTION('center_axis',(0.,0.,1.)); #354352=DIRECTION('ref_axis',(1.,0.,0.)); #354353=DIRECTION('center_axis',(0.,0.,-1.)); #354354=DIRECTION('ref_axis',(1.,0.,0.)); #354355=DIRECTION('',(0.,0.,-1.)); #354356=DIRECTION('center_axis',(0.,0.,1.)); #354357=DIRECTION('ref_axis',(1.,0.,0.)); #354358=DIRECTION('center_axis',(0.,0.,1.)); #354359=DIRECTION('ref_axis',(1.,0.,0.)); #354360=DIRECTION('center_axis',(0.,0.,1.)); #354361=DIRECTION('ref_axis',(1.,0.,0.)); #354362=DIRECTION('',(0.,0.,-1.)); #354363=DIRECTION('center_axis',(0.,0.,1.)); #354364=DIRECTION('ref_axis',(1.,0.,0.)); #354365=DIRECTION('center_axis',(0.,0.,1.)); #354366=DIRECTION('ref_axis',(1.,0.,0.)); #354367=DIRECTION('center_axis',(0.,0.,1.)); #354368=DIRECTION('ref_axis',(1.,0.,0.)); #354369=DIRECTION('center_axis',(0.,0.,1.)); #354370=DIRECTION('ref_axis',(1.,0.,0.)); #354371=DIRECTION('center_axis',(0.,0.,-1.)); #354372=DIRECTION('ref_axis',(1.,0.,0.)); #354373=DIRECTION('',(0.,0.,-1.)); #354374=DIRECTION('center_axis',(0.,0.,1.)); #354375=DIRECTION('ref_axis',(1.,0.,0.)); #354376=DIRECTION('center_axis',(0.,0.,1.)); #354377=DIRECTION('ref_axis',(1.,0.,0.)); #354378=DIRECTION('center_axis',(0.,0.,1.)); #354379=DIRECTION('ref_axis',(1.,0.,0.)); #354380=DIRECTION('',(0.,0.,-1.)); #354381=DIRECTION('center_axis',(0.,0.,1.)); #354382=DIRECTION('ref_axis',(1.,0.,0.)); #354383=DIRECTION('center_axis',(0.,0.,1.)); #354384=DIRECTION('ref_axis',(1.,0.,0.)); #354385=DIRECTION('center_axis',(0.,0.,1.)); #354386=DIRECTION('ref_axis',(1.,0.,0.)); #354387=DIRECTION('center_axis',(0.,0.,1.)); #354388=DIRECTION('ref_axis',(1.,0.,0.)); #354389=DIRECTION('center_axis',(0.,0.,-1.)); #354390=DIRECTION('ref_axis',(1.,0.,0.)); #354391=DIRECTION('',(0.,0.,-1.)); #354392=DIRECTION('center_axis',(0.,0.,1.)); #354393=DIRECTION('ref_axis',(1.,0.,0.)); #354394=DIRECTION('center_axis',(0.,0.,1.)); #354395=DIRECTION('ref_axis',(1.,0.,0.)); #354396=DIRECTION('center_axis',(0.,0.,1.)); #354397=DIRECTION('ref_axis',(1.,0.,0.)); #354398=DIRECTION('',(0.,0.,-1.)); #354399=DIRECTION('center_axis',(0.,0.,1.)); #354400=DIRECTION('ref_axis',(1.,0.,0.)); #354401=DIRECTION('center_axis',(0.,0.,1.)); #354402=DIRECTION('ref_axis',(1.,0.,0.)); #354403=DIRECTION('center_axis',(0.,0.,1.)); #354404=DIRECTION('ref_axis',(1.,0.,0.)); #354405=DIRECTION('center_axis',(0.,0.,1.)); #354406=DIRECTION('ref_axis',(1.,0.,0.)); #354407=DIRECTION('center_axis',(0.,0.,-1.)); #354408=DIRECTION('ref_axis',(1.,0.,0.)); #354409=DIRECTION('',(0.,0.,-1.)); #354410=DIRECTION('center_axis',(0.,0.,1.)); #354411=DIRECTION('ref_axis',(1.,0.,0.)); #354412=DIRECTION('center_axis',(0.,0.,1.)); #354413=DIRECTION('ref_axis',(1.,0.,0.)); #354414=DIRECTION('center_axis',(0.,0.,1.)); #354415=DIRECTION('ref_axis',(1.,0.,0.)); #354416=DIRECTION('',(0.,0.,-1.)); #354417=DIRECTION('center_axis',(0.,0.,1.)); #354418=DIRECTION('ref_axis',(1.,0.,0.)); #354419=DIRECTION('center_axis',(0.,0.,1.)); #354420=DIRECTION('ref_axis',(1.,0.,0.)); #354421=DIRECTION('center_axis',(0.,0.,1.)); #354422=DIRECTION('ref_axis',(1.,0.,0.)); #354423=DIRECTION('center_axis',(0.,0.,1.)); #354424=DIRECTION('ref_axis',(1.,0.,0.)); #354425=DIRECTION('center_axis',(0.,0.,-1.)); #354426=DIRECTION('ref_axis',(1.,0.,0.)); #354427=DIRECTION('',(0.,0.,-1.)); #354428=DIRECTION('center_axis',(0.,0.,1.)); #354429=DIRECTION('ref_axis',(1.,0.,0.)); #354430=DIRECTION('center_axis',(0.,0.,1.)); #354431=DIRECTION('ref_axis',(1.,0.,0.)); #354432=DIRECTION('center_axis',(0.,0.,1.)); #354433=DIRECTION('ref_axis',(1.,0.,0.)); #354434=DIRECTION('',(0.,0.,-1.)); #354435=DIRECTION('center_axis',(0.,0.,1.)); #354436=DIRECTION('ref_axis',(1.,0.,0.)); #354437=DIRECTION('center_axis',(0.,0.,1.)); #354438=DIRECTION('ref_axis',(1.,0.,0.)); #354439=DIRECTION('center_axis',(0.,0.,1.)); #354440=DIRECTION('ref_axis',(1.,0.,0.)); #354441=DIRECTION('center_axis',(0.,0.,1.)); #354442=DIRECTION('ref_axis',(1.,0.,0.)); #354443=DIRECTION('center_axis',(0.,0.,-1.)); #354444=DIRECTION('ref_axis',(1.,0.,0.)); #354445=DIRECTION('',(0.,0.,-1.)); #354446=DIRECTION('center_axis',(0.,0.,1.)); #354447=DIRECTION('ref_axis',(1.,0.,0.)); #354448=DIRECTION('center_axis',(0.,0.,1.)); #354449=DIRECTION('ref_axis',(1.,0.,0.)); #354450=DIRECTION('center_axis',(0.,0.,1.)); #354451=DIRECTION('ref_axis',(1.,0.,0.)); #354452=DIRECTION('',(0.,0.,-1.)); #354453=DIRECTION('center_axis',(0.,0.,1.)); #354454=DIRECTION('ref_axis',(1.,0.,0.)); #354455=DIRECTION('center_axis',(0.,0.,1.)); #354456=DIRECTION('ref_axis',(1.,0.,0.)); #354457=DIRECTION('center_axis',(0.,0.,1.)); #354458=DIRECTION('ref_axis',(1.,0.,0.)); #354459=DIRECTION('center_axis',(0.,0.,1.)); #354460=DIRECTION('ref_axis',(1.,0.,0.)); #354461=DIRECTION('center_axis',(0.,0.,-1.)); #354462=DIRECTION('ref_axis',(1.,0.,0.)); #354463=DIRECTION('',(0.,0.,-1.)); #354464=DIRECTION('center_axis',(0.,0.,1.)); #354465=DIRECTION('ref_axis',(1.,0.,0.)); #354466=DIRECTION('center_axis',(0.,0.,1.)); #354467=DIRECTION('ref_axis',(1.,0.,0.)); #354468=DIRECTION('center_axis',(0.,0.,1.)); #354469=DIRECTION('ref_axis',(1.,0.,0.)); #354470=DIRECTION('',(0.,0.,-1.)); #354471=DIRECTION('center_axis',(0.,0.,1.)); #354472=DIRECTION('ref_axis',(1.,0.,0.)); #354473=DIRECTION('center_axis',(0.,0.,1.)); #354474=DIRECTION('ref_axis',(1.,0.,0.)); #354475=DIRECTION('center_axis',(0.,0.,1.)); #354476=DIRECTION('ref_axis',(1.,0.,0.)); #354477=DIRECTION('center_axis',(0.,0.,1.)); #354478=DIRECTION('ref_axis',(1.,0.,0.)); #354479=DIRECTION('center_axis',(0.,0.,-1.)); #354480=DIRECTION('ref_axis',(1.,0.,0.)); #354481=DIRECTION('',(0.,0.,-1.)); #354482=DIRECTION('center_axis',(0.,0.,1.)); #354483=DIRECTION('ref_axis',(1.,0.,0.)); #354484=DIRECTION('center_axis',(0.,0.,1.)); #354485=DIRECTION('ref_axis',(1.,0.,0.)); #354486=DIRECTION('center_axis',(0.,0.,1.)); #354487=DIRECTION('ref_axis',(1.,0.,0.)); #354488=DIRECTION('',(0.,0.,-1.)); #354489=DIRECTION('center_axis',(0.,0.,1.)); #354490=DIRECTION('ref_axis',(1.,0.,0.)); #354491=DIRECTION('center_axis',(0.,0.,1.)); #354492=DIRECTION('ref_axis',(1.,0.,0.)); #354493=DIRECTION('center_axis',(0.,0.,1.)); #354494=DIRECTION('ref_axis',(1.,0.,0.)); #354495=DIRECTION('center_axis',(0.,0.,1.)); #354496=DIRECTION('ref_axis',(1.,0.,0.)); #354497=DIRECTION('center_axis',(0.,0.,-1.)); #354498=DIRECTION('ref_axis',(1.,0.,0.)); #354499=DIRECTION('',(0.,0.,-1.)); #354500=DIRECTION('center_axis',(0.,0.,1.)); #354501=DIRECTION('ref_axis',(1.,0.,0.)); #354502=DIRECTION('center_axis',(0.,0.,1.)); #354503=DIRECTION('ref_axis',(1.,0.,0.)); #354504=DIRECTION('center_axis',(0.,0.,1.)); #354505=DIRECTION('ref_axis',(1.,0.,0.)); #354506=DIRECTION('',(0.,0.,-1.)); #354507=DIRECTION('center_axis',(0.,0.,1.)); #354508=DIRECTION('ref_axis',(1.,0.,0.)); #354509=DIRECTION('center_axis',(0.,0.,1.)); #354510=DIRECTION('ref_axis',(1.,0.,0.)); #354511=DIRECTION('center_axis',(0.,0.,1.)); #354512=DIRECTION('ref_axis',(1.,0.,0.)); #354513=DIRECTION('center_axis',(0.,0.,1.)); #354514=DIRECTION('ref_axis',(1.,0.,0.)); #354515=DIRECTION('center_axis',(0.,0.,-1.)); #354516=DIRECTION('ref_axis',(1.,0.,0.)); #354517=DIRECTION('',(0.,0.,-1.)); #354518=DIRECTION('center_axis',(0.,0.,1.)); #354519=DIRECTION('ref_axis',(1.,0.,0.)); #354520=DIRECTION('center_axis',(0.,0.,1.)); #354521=DIRECTION('ref_axis',(1.,0.,0.)); #354522=DIRECTION('center_axis',(0.,0.,1.)); #354523=DIRECTION('ref_axis',(1.,0.,0.)); #354524=DIRECTION('',(0.,0.,-1.)); #354525=DIRECTION('center_axis',(0.,0.,1.)); #354526=DIRECTION('ref_axis',(1.,0.,0.)); #354527=DIRECTION('center_axis',(0.,0.,1.)); #354528=DIRECTION('ref_axis',(1.,0.,0.)); #354529=DIRECTION('center_axis',(0.,0.,1.)); #354530=DIRECTION('ref_axis',(1.,0.,0.)); #354531=DIRECTION('center_axis',(0.,0.,1.)); #354532=DIRECTION('ref_axis',(1.,0.,0.)); #354533=DIRECTION('center_axis',(0.,0.,-1.)); #354534=DIRECTION('ref_axis',(1.,0.,0.)); #354535=DIRECTION('',(0.,0.,-1.)); #354536=DIRECTION('center_axis',(0.,0.,1.)); #354537=DIRECTION('ref_axis',(1.,0.,0.)); #354538=DIRECTION('center_axis',(0.,0.,1.)); #354539=DIRECTION('ref_axis',(1.,0.,0.)); #354540=DIRECTION('center_axis',(0.,0.,1.)); #354541=DIRECTION('ref_axis',(1.,0.,0.)); #354542=DIRECTION('',(0.,0.,-1.)); #354543=DIRECTION('center_axis',(0.,0.,1.)); #354544=DIRECTION('ref_axis',(1.,0.,0.)); #354545=DIRECTION('center_axis',(0.,0.,1.)); #354546=DIRECTION('ref_axis',(1.,0.,0.)); #354547=DIRECTION('center_axis',(0.,0.,1.)); #354548=DIRECTION('ref_axis',(1.,0.,0.)); #354549=DIRECTION('center_axis',(0.,0.,1.)); #354550=DIRECTION('ref_axis',(1.,0.,0.)); #354551=DIRECTION('center_axis',(0.,0.,-1.)); #354552=DIRECTION('ref_axis',(1.,0.,0.)); #354553=DIRECTION('',(0.,0.,-1.)); #354554=DIRECTION('center_axis',(0.,0.,1.)); #354555=DIRECTION('ref_axis',(1.,0.,0.)); #354556=DIRECTION('center_axis',(0.,0.,1.)); #354557=DIRECTION('ref_axis',(1.,0.,0.)); #354558=DIRECTION('center_axis',(0.,0.,1.)); #354559=DIRECTION('ref_axis',(1.,0.,0.)); #354560=DIRECTION('',(0.,0.,-1.)); #354561=DIRECTION('center_axis',(0.,0.,1.)); #354562=DIRECTION('ref_axis',(1.,0.,0.)); #354563=DIRECTION('center_axis',(0.,0.,1.)); #354564=DIRECTION('ref_axis',(1.,0.,0.)); #354565=DIRECTION('center_axis',(0.,0.,1.)); #354566=DIRECTION('ref_axis',(1.,0.,0.)); #354567=DIRECTION('center_axis',(0.,0.,1.)); #354568=DIRECTION('ref_axis',(1.,0.,0.)); #354569=DIRECTION('center_axis',(0.,0.,-1.)); #354570=DIRECTION('ref_axis',(1.,0.,0.)); #354571=DIRECTION('',(0.,0.,-1.)); #354572=DIRECTION('center_axis',(0.,0.,1.)); #354573=DIRECTION('ref_axis',(1.,0.,0.)); #354574=DIRECTION('center_axis',(0.,0.,1.)); #354575=DIRECTION('ref_axis',(1.,0.,0.)); #354576=DIRECTION('center_axis',(0.,0.,1.)); #354577=DIRECTION('ref_axis',(1.,0.,0.)); #354578=DIRECTION('',(0.,0.,-1.)); #354579=DIRECTION('center_axis',(0.,0.,1.)); #354580=DIRECTION('ref_axis',(1.,0.,0.)); #354581=DIRECTION('center_axis',(0.,0.,1.)); #354582=DIRECTION('ref_axis',(1.,0.,0.)); #354583=DIRECTION('center_axis',(0.,0.,1.)); #354584=DIRECTION('ref_axis',(1.,0.,0.)); #354585=DIRECTION('center_axis',(0.,0.,1.)); #354586=DIRECTION('ref_axis',(1.,0.,0.)); #354587=DIRECTION('center_axis',(0.,0.,-1.)); #354588=DIRECTION('ref_axis',(1.,0.,0.)); #354589=DIRECTION('',(0.,0.,-1.)); #354590=DIRECTION('center_axis',(0.,0.,1.)); #354591=DIRECTION('ref_axis',(1.,0.,0.)); #354592=DIRECTION('center_axis',(0.,0.,1.)); #354593=DIRECTION('ref_axis',(1.,0.,0.)); #354594=DIRECTION('center_axis',(0.,0.,1.)); #354595=DIRECTION('ref_axis',(1.,0.,0.)); #354596=DIRECTION('',(0.,0.,-1.)); #354597=DIRECTION('center_axis',(0.,0.,1.)); #354598=DIRECTION('ref_axis',(1.,0.,0.)); #354599=DIRECTION('center_axis',(0.,0.,1.)); #354600=DIRECTION('ref_axis',(1.,0.,0.)); #354601=DIRECTION('center_axis',(0.,0.,1.)); #354602=DIRECTION('ref_axis',(1.,0.,0.)); #354603=DIRECTION('center_axis',(0.,0.,1.)); #354604=DIRECTION('ref_axis',(1.,0.,0.)); #354605=DIRECTION('center_axis',(0.,0.,-1.)); #354606=DIRECTION('ref_axis',(1.,0.,0.)); #354607=DIRECTION('',(0.,0.,-1.)); #354608=DIRECTION('center_axis',(0.,0.,1.)); #354609=DIRECTION('ref_axis',(1.,0.,0.)); #354610=DIRECTION('center_axis',(0.,0.,1.)); #354611=DIRECTION('ref_axis',(1.,0.,0.)); #354612=DIRECTION('center_axis',(0.,0.,1.)); #354613=DIRECTION('ref_axis',(1.,0.,0.)); #354614=DIRECTION('',(0.,0.,-1.)); #354615=DIRECTION('center_axis',(0.,0.,1.)); #354616=DIRECTION('ref_axis',(1.,0.,0.)); #354617=DIRECTION('center_axis',(0.,0.,1.)); #354618=DIRECTION('ref_axis',(1.,0.,0.)); #354619=DIRECTION('center_axis',(0.,0.,1.)); #354620=DIRECTION('ref_axis',(1.,0.,0.)); #354621=DIRECTION('center_axis',(0.,0.,1.)); #354622=DIRECTION('ref_axis',(1.,0.,0.)); #354623=DIRECTION('center_axis',(0.,0.,-1.)); #354624=DIRECTION('ref_axis',(1.,0.,0.)); #354625=DIRECTION('',(0.,0.,-1.)); #354626=DIRECTION('center_axis',(0.,0.,1.)); #354627=DIRECTION('ref_axis',(1.,0.,0.)); #354628=DIRECTION('center_axis',(0.,0.,1.)); #354629=DIRECTION('ref_axis',(1.,0.,0.)); #354630=DIRECTION('center_axis',(0.,0.,1.)); #354631=DIRECTION('ref_axis',(1.,0.,0.)); #354632=DIRECTION('',(0.,0.,-1.)); #354633=DIRECTION('center_axis',(0.,0.,1.)); #354634=DIRECTION('ref_axis',(1.,0.,0.)); #354635=DIRECTION('center_axis',(0.,0.,1.)); #354636=DIRECTION('ref_axis',(1.,0.,0.)); #354637=DIRECTION('center_axis',(0.,0.,1.)); #354638=DIRECTION('ref_axis',(1.,0.,0.)); #354639=DIRECTION('center_axis',(0.,0.,1.)); #354640=DIRECTION('ref_axis',(1.,0.,0.)); #354641=DIRECTION('center_axis',(0.,0.,-1.)); #354642=DIRECTION('ref_axis',(1.,0.,0.)); #354643=DIRECTION('',(0.,0.,-1.)); #354644=DIRECTION('center_axis',(0.,0.,1.)); #354645=DIRECTION('ref_axis',(1.,0.,0.)); #354646=DIRECTION('center_axis',(0.,0.,1.)); #354647=DIRECTION('ref_axis',(1.,0.,0.)); #354648=DIRECTION('center_axis',(0.,0.,1.)); #354649=DIRECTION('ref_axis',(1.,0.,0.)); #354650=DIRECTION('',(0.,0.,-1.)); #354651=DIRECTION('center_axis',(0.,0.,1.)); #354652=DIRECTION('ref_axis',(1.,0.,0.)); #354653=DIRECTION('center_axis',(0.,0.,1.)); #354654=DIRECTION('ref_axis',(1.,0.,0.)); #354655=DIRECTION('center_axis',(0.,0.,1.)); #354656=DIRECTION('ref_axis',(1.,0.,0.)); #354657=DIRECTION('center_axis',(0.,0.,1.)); #354658=DIRECTION('ref_axis',(1.,0.,0.)); #354659=DIRECTION('center_axis',(0.,0.,-1.)); #354660=DIRECTION('ref_axis',(1.,0.,0.)); #354661=DIRECTION('',(0.,0.,-1.)); #354662=DIRECTION('center_axis',(0.,0.,1.)); #354663=DIRECTION('ref_axis',(1.,0.,0.)); #354664=DIRECTION('center_axis',(0.,0.,1.)); #354665=DIRECTION('ref_axis',(1.,0.,0.)); #354666=DIRECTION('center_axis',(0.,0.,1.)); #354667=DIRECTION('ref_axis',(1.,0.,0.)); #354668=DIRECTION('',(0.,0.,-1.)); #354669=DIRECTION('center_axis',(0.,0.,1.)); #354670=DIRECTION('ref_axis',(1.,0.,0.)); #354671=DIRECTION('center_axis',(0.,0.,1.)); #354672=DIRECTION('ref_axis',(1.,0.,0.)); #354673=DIRECTION('center_axis',(0.,0.,1.)); #354674=DIRECTION('ref_axis',(1.,0.,0.)); #354675=DIRECTION('center_axis',(0.,0.,1.)); #354676=DIRECTION('ref_axis',(1.,0.,0.)); #354677=DIRECTION('center_axis',(0.,0.,-1.)); #354678=DIRECTION('ref_axis',(1.,0.,0.)); #354679=DIRECTION('',(0.,0.,-1.)); #354680=DIRECTION('center_axis',(0.,0.,1.)); #354681=DIRECTION('ref_axis',(1.,0.,0.)); #354682=DIRECTION('center_axis',(0.,0.,1.)); #354683=DIRECTION('ref_axis',(1.,0.,0.)); #354684=DIRECTION('center_axis',(0.,0.,1.)); #354685=DIRECTION('ref_axis',(1.,0.,0.)); #354686=DIRECTION('',(0.,0.,-1.)); #354687=DIRECTION('center_axis',(0.,0.,1.)); #354688=DIRECTION('ref_axis',(1.,0.,0.)); #354689=DIRECTION('center_axis',(0.,0.,1.)); #354690=DIRECTION('ref_axis',(1.,0.,0.)); #354691=DIRECTION('center_axis',(0.,0.,1.)); #354692=DIRECTION('ref_axis',(1.,0.,0.)); #354693=DIRECTION('center_axis',(0.,0.,1.)); #354694=DIRECTION('ref_axis',(1.,0.,0.)); #354695=DIRECTION('center_axis',(0.,0.,-1.)); #354696=DIRECTION('ref_axis',(1.,0.,0.)); #354697=DIRECTION('',(0.,0.,-1.)); #354698=DIRECTION('center_axis',(0.,0.,1.)); #354699=DIRECTION('ref_axis',(1.,0.,0.)); #354700=DIRECTION('center_axis',(0.,0.,1.)); #354701=DIRECTION('ref_axis',(1.,0.,0.)); #354702=DIRECTION('center_axis',(0.,0.,1.)); #354703=DIRECTION('ref_axis',(1.,0.,0.)); #354704=DIRECTION('',(0.,0.,-1.)); #354705=DIRECTION('center_axis',(0.,0.,1.)); #354706=DIRECTION('ref_axis',(1.,0.,0.)); #354707=DIRECTION('center_axis',(0.,0.,1.)); #354708=DIRECTION('ref_axis',(1.,0.,0.)); #354709=DIRECTION('center_axis',(0.,0.,1.)); #354710=DIRECTION('ref_axis',(1.,0.,0.)); #354711=DIRECTION('center_axis',(0.,0.,1.)); #354712=DIRECTION('ref_axis',(1.,0.,0.)); #354713=DIRECTION('center_axis',(0.,0.,-1.)); #354714=DIRECTION('ref_axis',(1.,0.,0.)); #354715=DIRECTION('',(0.,0.,-1.)); #354716=DIRECTION('center_axis',(0.,0.,1.)); #354717=DIRECTION('ref_axis',(1.,0.,0.)); #354718=DIRECTION('center_axis',(0.,0.,1.)); #354719=DIRECTION('ref_axis',(1.,0.,0.)); #354720=DIRECTION('center_axis',(0.,0.,1.)); #354721=DIRECTION('ref_axis',(1.,0.,0.)); #354722=DIRECTION('',(0.,0.,-1.)); #354723=DIRECTION('center_axis',(0.,0.,1.)); #354724=DIRECTION('ref_axis',(1.,0.,0.)); #354725=DIRECTION('center_axis',(0.,0.,1.)); #354726=DIRECTION('ref_axis',(1.,0.,0.)); #354727=DIRECTION('center_axis',(0.,0.,1.)); #354728=DIRECTION('ref_axis',(1.,0.,0.)); #354729=DIRECTION('center_axis',(0.,0.,1.)); #354730=DIRECTION('ref_axis',(1.,0.,0.)); #354731=DIRECTION('center_axis',(0.,0.,-1.)); #354732=DIRECTION('ref_axis',(1.,0.,0.)); #354733=DIRECTION('',(0.,0.,-1.)); #354734=DIRECTION('center_axis',(0.,0.,1.)); #354735=DIRECTION('ref_axis',(1.,0.,0.)); #354736=DIRECTION('center_axis',(0.,0.,1.)); #354737=DIRECTION('ref_axis',(1.,0.,0.)); #354738=DIRECTION('center_axis',(0.,0.,1.)); #354739=DIRECTION('ref_axis',(1.,0.,0.)); #354740=DIRECTION('',(0.,0.,-1.)); #354741=DIRECTION('center_axis',(0.,0.,1.)); #354742=DIRECTION('ref_axis',(1.,0.,0.)); #354743=DIRECTION('center_axis',(0.,0.,1.)); #354744=DIRECTION('ref_axis',(1.,0.,0.)); #354745=DIRECTION('center_axis',(0.,0.,1.)); #354746=DIRECTION('ref_axis',(1.,0.,0.)); #354747=DIRECTION('center_axis',(0.,0.,1.)); #354748=DIRECTION('ref_axis',(1.,0.,0.)); #354749=DIRECTION('center_axis',(0.,0.,-1.)); #354750=DIRECTION('ref_axis',(1.,0.,0.)); #354751=DIRECTION('',(0.,0.,-1.)); #354752=DIRECTION('center_axis',(0.,0.,1.)); #354753=DIRECTION('ref_axis',(1.,0.,0.)); #354754=DIRECTION('center_axis',(0.,0.,1.)); #354755=DIRECTION('ref_axis',(1.,0.,0.)); #354756=DIRECTION('center_axis',(0.,0.,1.)); #354757=DIRECTION('ref_axis',(1.,0.,0.)); #354758=DIRECTION('',(0.,0.,-1.)); #354759=DIRECTION('center_axis',(0.,0.,1.)); #354760=DIRECTION('ref_axis',(1.,0.,0.)); #354761=DIRECTION('center_axis',(0.,0.,1.)); #354762=DIRECTION('ref_axis',(1.,0.,0.)); #354763=DIRECTION('center_axis',(0.,0.,1.)); #354764=DIRECTION('ref_axis',(1.,0.,0.)); #354765=DIRECTION('center_axis',(0.,0.,1.)); #354766=DIRECTION('ref_axis',(1.,0.,0.)); #354767=DIRECTION('center_axis',(0.,0.,-1.)); #354768=DIRECTION('ref_axis',(1.,0.,0.)); #354769=DIRECTION('',(0.,0.,-1.)); #354770=DIRECTION('center_axis',(0.,0.,1.)); #354771=DIRECTION('ref_axis',(1.,0.,0.)); #354772=DIRECTION('center_axis',(0.,0.,1.)); #354773=DIRECTION('ref_axis',(1.,0.,0.)); #354774=DIRECTION('center_axis',(0.,0.,1.)); #354775=DIRECTION('ref_axis',(1.,0.,0.)); #354776=DIRECTION('',(0.,0.,-1.)); #354777=DIRECTION('center_axis',(0.,0.,1.)); #354778=DIRECTION('ref_axis',(1.,0.,0.)); #354779=DIRECTION('center_axis',(0.,0.,1.)); #354780=DIRECTION('ref_axis',(1.,0.,0.)); #354781=DIRECTION('center_axis',(0.,0.,1.)); #354782=DIRECTION('ref_axis',(1.,0.,0.)); #354783=DIRECTION('center_axis',(0.,0.,1.)); #354784=DIRECTION('ref_axis',(1.,0.,0.)); #354785=DIRECTION('center_axis',(0.,0.,-1.)); #354786=DIRECTION('ref_axis',(1.,0.,0.)); #354787=DIRECTION('',(0.,0.,-1.)); #354788=DIRECTION('center_axis',(0.,0.,1.)); #354789=DIRECTION('ref_axis',(1.,0.,0.)); #354790=DIRECTION('center_axis',(0.,0.,1.)); #354791=DIRECTION('ref_axis',(1.,0.,0.)); #354792=DIRECTION('center_axis',(0.,0.,1.)); #354793=DIRECTION('ref_axis',(1.,0.,0.)); #354794=DIRECTION('',(0.,0.,-1.)); #354795=DIRECTION('center_axis',(0.,0.,1.)); #354796=DIRECTION('ref_axis',(1.,0.,0.)); #354797=DIRECTION('center_axis',(0.,0.,1.)); #354798=DIRECTION('ref_axis',(1.,0.,0.)); #354799=DIRECTION('center_axis',(0.,0.,1.)); #354800=DIRECTION('ref_axis',(1.,0.,0.)); #354801=DIRECTION('center_axis',(0.,0.,1.)); #354802=DIRECTION('ref_axis',(1.,0.,0.)); #354803=DIRECTION('center_axis',(0.,0.,-1.)); #354804=DIRECTION('ref_axis',(1.,0.,0.)); #354805=DIRECTION('',(0.,0.,-1.)); #354806=DIRECTION('center_axis',(0.,0.,1.)); #354807=DIRECTION('ref_axis',(1.,0.,0.)); #354808=DIRECTION('center_axis',(0.,0.,1.)); #354809=DIRECTION('ref_axis',(1.,0.,0.)); #354810=DIRECTION('center_axis',(0.,0.,1.)); #354811=DIRECTION('ref_axis',(1.,0.,0.)); #354812=DIRECTION('',(0.,0.,-1.)); #354813=DIRECTION('center_axis',(0.,0.,1.)); #354814=DIRECTION('ref_axis',(1.,0.,0.)); #354815=DIRECTION('center_axis',(0.,0.,1.)); #354816=DIRECTION('ref_axis',(1.,0.,0.)); #354817=DIRECTION('center_axis',(0.,0.,1.)); #354818=DIRECTION('ref_axis',(1.,0.,0.)); #354819=DIRECTION('center_axis',(0.,0.,1.)); #354820=DIRECTION('ref_axis',(1.,0.,0.)); #354821=DIRECTION('center_axis',(0.,0.,-1.)); #354822=DIRECTION('ref_axis',(1.,0.,0.)); #354823=DIRECTION('',(0.,0.,-1.)); #354824=DIRECTION('center_axis',(0.,0.,1.)); #354825=DIRECTION('ref_axis',(1.,0.,0.)); #354826=DIRECTION('center_axis',(0.,0.,1.)); #354827=DIRECTION('ref_axis',(1.,0.,0.)); #354828=DIRECTION('center_axis',(0.,0.,1.)); #354829=DIRECTION('ref_axis',(1.,0.,0.)); #354830=DIRECTION('',(0.,0.,-1.)); #354831=DIRECTION('center_axis',(0.,0.,1.)); #354832=DIRECTION('ref_axis',(1.,0.,0.)); #354833=DIRECTION('center_axis',(0.,0.,1.)); #354834=DIRECTION('ref_axis',(1.,0.,0.)); #354835=DIRECTION('center_axis',(0.,0.,1.)); #354836=DIRECTION('ref_axis',(1.,0.,0.)); #354837=DIRECTION('center_axis',(0.,0.,1.)); #354838=DIRECTION('ref_axis',(1.,0.,0.)); #354839=DIRECTION('center_axis',(0.,0.,-1.)); #354840=DIRECTION('ref_axis',(1.,0.,0.)); #354841=DIRECTION('',(0.,0.,-1.)); #354842=DIRECTION('center_axis',(0.,0.,1.)); #354843=DIRECTION('ref_axis',(1.,0.,0.)); #354844=DIRECTION('center_axis',(0.,0.,1.)); #354845=DIRECTION('ref_axis',(1.,0.,0.)); #354846=DIRECTION('center_axis',(0.,0.,1.)); #354847=DIRECTION('ref_axis',(1.,0.,0.)); #354848=DIRECTION('',(0.,0.,-1.)); #354849=DIRECTION('center_axis',(0.,0.,1.)); #354850=DIRECTION('ref_axis',(1.,0.,0.)); #354851=DIRECTION('center_axis',(0.,0.,1.)); #354852=DIRECTION('ref_axis',(1.,0.,0.)); #354853=DIRECTION('center_axis',(0.,0.,1.)); #354854=DIRECTION('ref_axis',(1.,0.,0.)); #354855=DIRECTION('center_axis',(0.,0.,1.)); #354856=DIRECTION('ref_axis',(1.,0.,0.)); #354857=DIRECTION('center_axis',(0.,0.,-1.)); #354858=DIRECTION('ref_axis',(1.,0.,0.)); #354859=DIRECTION('',(0.,0.,-1.)); #354860=DIRECTION('center_axis',(0.,0.,1.)); #354861=DIRECTION('ref_axis',(1.,0.,0.)); #354862=DIRECTION('center_axis',(0.,0.,1.)); #354863=DIRECTION('ref_axis',(1.,0.,0.)); #354864=DIRECTION('center_axis',(0.,0.,1.)); #354865=DIRECTION('ref_axis',(1.,0.,0.)); #354866=DIRECTION('',(0.,0.,-1.)); #354867=DIRECTION('center_axis',(0.,0.,1.)); #354868=DIRECTION('ref_axis',(1.,0.,0.)); #354869=DIRECTION('center_axis',(0.,0.,1.)); #354870=DIRECTION('ref_axis',(1.,0.,0.)); #354871=DIRECTION('center_axis',(0.,0.,1.)); #354872=DIRECTION('ref_axis',(1.,0.,0.)); #354873=DIRECTION('center_axis',(0.,0.,1.)); #354874=DIRECTION('ref_axis',(1.,0.,0.)); #354875=DIRECTION('center_axis',(0.,0.,-1.)); #354876=DIRECTION('ref_axis',(1.,0.,0.)); #354877=DIRECTION('',(0.,0.,-1.)); #354878=DIRECTION('center_axis',(0.,0.,1.)); #354879=DIRECTION('ref_axis',(1.,0.,0.)); #354880=DIRECTION('center_axis',(0.,0.,1.)); #354881=DIRECTION('ref_axis',(1.,0.,0.)); #354882=DIRECTION('center_axis',(0.,0.,1.)); #354883=DIRECTION('ref_axis',(1.,0.,0.)); #354884=DIRECTION('',(0.,0.,-1.)); #354885=DIRECTION('center_axis',(0.,0.,1.)); #354886=DIRECTION('ref_axis',(1.,0.,0.)); #354887=DIRECTION('center_axis',(0.,0.,1.)); #354888=DIRECTION('ref_axis',(1.,0.,0.)); #354889=DIRECTION('center_axis',(0.,0.,1.)); #354890=DIRECTION('ref_axis',(1.,0.,0.)); #354891=DIRECTION('center_axis',(0.,0.,1.)); #354892=DIRECTION('ref_axis',(1.,0.,0.)); #354893=DIRECTION('center_axis',(0.,0.,-1.)); #354894=DIRECTION('ref_axis',(1.,0.,0.)); #354895=DIRECTION('',(0.,0.,-1.)); #354896=DIRECTION('center_axis',(0.,0.,1.)); #354897=DIRECTION('ref_axis',(1.,0.,0.)); #354898=DIRECTION('center_axis',(0.,0.,1.)); #354899=DIRECTION('ref_axis',(1.,0.,0.)); #354900=DIRECTION('center_axis',(0.,0.,1.)); #354901=DIRECTION('ref_axis',(1.,0.,0.)); #354902=DIRECTION('',(0.,0.,-1.)); #354903=DIRECTION('center_axis',(0.,0.,1.)); #354904=DIRECTION('ref_axis',(1.,0.,0.)); #354905=DIRECTION('center_axis',(0.,0.,1.)); #354906=DIRECTION('ref_axis',(1.,0.,0.)); #354907=DIRECTION('center_axis',(0.,0.,1.)); #354908=DIRECTION('ref_axis',(1.,0.,0.)); #354909=DIRECTION('center_axis',(0.,0.,1.)); #354910=DIRECTION('ref_axis',(1.,0.,0.)); #354911=DIRECTION('center_axis',(0.,0.,-1.)); #354912=DIRECTION('ref_axis',(1.,0.,0.)); #354913=DIRECTION('',(0.,0.,-1.)); #354914=DIRECTION('center_axis',(0.,0.,1.)); #354915=DIRECTION('ref_axis',(1.,0.,0.)); #354916=DIRECTION('center_axis',(0.,0.,1.)); #354917=DIRECTION('ref_axis',(1.,0.,0.)); #354918=DIRECTION('center_axis',(0.,0.,1.)); #354919=DIRECTION('ref_axis',(1.,0.,0.)); #354920=DIRECTION('',(0.,0.,-1.)); #354921=DIRECTION('center_axis',(0.,0.,1.)); #354922=DIRECTION('ref_axis',(1.,0.,0.)); #354923=DIRECTION('center_axis',(0.,0.,1.)); #354924=DIRECTION('ref_axis',(1.,0.,0.)); #354925=DIRECTION('center_axis',(0.,0.,1.)); #354926=DIRECTION('ref_axis',(1.,0.,0.)); #354927=DIRECTION('center_axis',(0.,0.,1.)); #354928=DIRECTION('ref_axis',(1.,0.,0.)); #354929=DIRECTION('center_axis',(0.,0.,-1.)); #354930=DIRECTION('ref_axis',(1.,0.,0.)); #354931=DIRECTION('',(0.,0.,-1.)); #354932=DIRECTION('center_axis',(0.,0.,1.)); #354933=DIRECTION('ref_axis',(1.,0.,0.)); #354934=DIRECTION('center_axis',(0.,0.,1.)); #354935=DIRECTION('ref_axis',(1.,0.,0.)); #354936=DIRECTION('center_axis',(0.,0.,1.)); #354937=DIRECTION('ref_axis',(1.,0.,0.)); #354938=DIRECTION('',(0.,0.,-1.)); #354939=DIRECTION('center_axis',(0.,0.,1.)); #354940=DIRECTION('ref_axis',(1.,0.,0.)); #354941=DIRECTION('center_axis',(0.,0.,1.)); #354942=DIRECTION('ref_axis',(1.,0.,0.)); #354943=DIRECTION('center_axis',(0.,0.,1.)); #354944=DIRECTION('ref_axis',(1.,0.,0.)); #354945=DIRECTION('center_axis',(0.,0.,1.)); #354946=DIRECTION('ref_axis',(1.,0.,0.)); #354947=DIRECTION('center_axis',(0.,0.,-1.)); #354948=DIRECTION('ref_axis',(1.,0.,0.)); #354949=DIRECTION('',(0.,0.,-1.)); #354950=DIRECTION('center_axis',(0.,0.,1.)); #354951=DIRECTION('ref_axis',(1.,0.,0.)); #354952=DIRECTION('center_axis',(0.,0.,1.)); #354953=DIRECTION('ref_axis',(1.,0.,0.)); #354954=DIRECTION('center_axis',(0.,0.,1.)); #354955=DIRECTION('ref_axis',(1.,0.,0.)); #354956=DIRECTION('',(0.,0.,-1.)); #354957=DIRECTION('center_axis',(0.,0.,1.)); #354958=DIRECTION('ref_axis',(1.,0.,0.)); #354959=DIRECTION('center_axis',(0.,0.,1.)); #354960=DIRECTION('ref_axis',(1.,0.,0.)); #354961=DIRECTION('center_axis',(0.,0.,1.)); #354962=DIRECTION('ref_axis',(1.,0.,0.)); #354963=DIRECTION('center_axis',(0.,0.,1.)); #354964=DIRECTION('ref_axis',(1.,0.,0.)); #354965=DIRECTION('center_axis',(0.,0.,-1.)); #354966=DIRECTION('ref_axis',(1.,0.,0.)); #354967=DIRECTION('',(0.,0.,-1.)); #354968=DIRECTION('center_axis',(0.,0.,1.)); #354969=DIRECTION('ref_axis',(1.,0.,0.)); #354970=DIRECTION('center_axis',(0.,0.,1.)); #354971=DIRECTION('ref_axis',(1.,0.,0.)); #354972=DIRECTION('center_axis',(0.,0.,1.)); #354973=DIRECTION('ref_axis',(1.,0.,0.)); #354974=DIRECTION('',(0.,0.,-1.)); #354975=DIRECTION('center_axis',(0.,0.,1.)); #354976=DIRECTION('ref_axis',(1.,0.,0.)); #354977=DIRECTION('center_axis',(0.,0.,1.)); #354978=DIRECTION('ref_axis',(1.,0.,0.)); #354979=DIRECTION('center_axis',(0.,0.,1.)); #354980=DIRECTION('ref_axis',(1.,0.,0.)); #354981=DIRECTION('center_axis',(0.,0.,1.)); #354982=DIRECTION('ref_axis',(1.,0.,0.)); #354983=DIRECTION('center_axis',(0.,0.,-1.)); #354984=DIRECTION('ref_axis',(1.,0.,0.)); #354985=DIRECTION('',(0.,0.,-1.)); #354986=DIRECTION('center_axis',(0.,0.,1.)); #354987=DIRECTION('ref_axis',(1.,0.,0.)); #354988=DIRECTION('center_axis',(0.,0.,1.)); #354989=DIRECTION('ref_axis',(1.,0.,0.)); #354990=DIRECTION('center_axis',(0.,0.,1.)); #354991=DIRECTION('ref_axis',(1.,0.,0.)); #354992=DIRECTION('',(0.,0.,-1.)); #354993=DIRECTION('center_axis',(0.,0.,1.)); #354994=DIRECTION('ref_axis',(1.,0.,0.)); #354995=DIRECTION('center_axis',(0.,0.,1.)); #354996=DIRECTION('ref_axis',(1.,0.,0.)); #354997=DIRECTION('center_axis',(0.,0.,1.)); #354998=DIRECTION('ref_axis',(1.,0.,0.)); #354999=DIRECTION('center_axis',(0.,0.,1.)); #355000=DIRECTION('ref_axis',(1.,0.,0.)); #355001=DIRECTION('center_axis',(0.,0.,-1.)); #355002=DIRECTION('ref_axis',(1.,0.,0.)); #355003=DIRECTION('',(0.,0.,-1.)); #355004=DIRECTION('center_axis',(0.,0.,1.)); #355005=DIRECTION('ref_axis',(1.,0.,0.)); #355006=DIRECTION('center_axis',(0.,0.,1.)); #355007=DIRECTION('ref_axis',(1.,0.,0.)); #355008=DIRECTION('center_axis',(0.,0.,1.)); #355009=DIRECTION('ref_axis',(1.,0.,0.)); #355010=DIRECTION('',(0.,0.,-1.)); #355011=DIRECTION('center_axis',(0.,0.,1.)); #355012=DIRECTION('ref_axis',(1.,0.,0.)); #355013=DIRECTION('center_axis',(0.,0.,1.)); #355014=DIRECTION('ref_axis',(1.,0.,0.)); #355015=DIRECTION('center_axis',(0.,0.,1.)); #355016=DIRECTION('ref_axis',(1.,0.,0.)); #355017=DIRECTION('center_axis',(0.,0.,1.)); #355018=DIRECTION('ref_axis',(1.,0.,0.)); #355019=DIRECTION('center_axis',(0.,0.,-1.)); #355020=DIRECTION('ref_axis',(1.,0.,0.)); #355021=DIRECTION('',(0.,0.,-1.)); #355022=DIRECTION('center_axis',(0.,0.,1.)); #355023=DIRECTION('ref_axis',(1.,0.,0.)); #355024=DIRECTION('center_axis',(0.,0.,1.)); #355025=DIRECTION('ref_axis',(1.,0.,0.)); #355026=DIRECTION('center_axis',(0.,0.,1.)); #355027=DIRECTION('ref_axis',(1.,0.,0.)); #355028=DIRECTION('',(0.,0.,-1.)); #355029=DIRECTION('center_axis',(0.,0.,1.)); #355030=DIRECTION('ref_axis',(1.,0.,0.)); #355031=DIRECTION('center_axis',(0.,0.,1.)); #355032=DIRECTION('ref_axis',(1.,0.,0.)); #355033=DIRECTION('center_axis',(0.,0.,1.)); #355034=DIRECTION('ref_axis',(1.,0.,0.)); #355035=DIRECTION('center_axis',(0.,0.,1.)); #355036=DIRECTION('ref_axis',(1.,0.,0.)); #355037=DIRECTION('center_axis',(0.,0.,-1.)); #355038=DIRECTION('ref_axis',(1.,0.,0.)); #355039=DIRECTION('',(0.,0.,-1.)); #355040=DIRECTION('center_axis',(0.,0.,1.)); #355041=DIRECTION('ref_axis',(1.,0.,0.)); #355042=DIRECTION('center_axis',(0.,0.,1.)); #355043=DIRECTION('ref_axis',(1.,0.,0.)); #355044=DIRECTION('center_axis',(0.,0.,1.)); #355045=DIRECTION('ref_axis',(1.,0.,0.)); #355046=DIRECTION('',(0.,0.,-1.)); #355047=DIRECTION('center_axis',(0.,0.,1.)); #355048=DIRECTION('ref_axis',(1.,0.,0.)); #355049=DIRECTION('center_axis',(0.,0.,1.)); #355050=DIRECTION('ref_axis',(1.,0.,0.)); #355051=DIRECTION('center_axis',(0.,0.,1.)); #355052=DIRECTION('ref_axis',(1.,0.,0.)); #355053=DIRECTION('center_axis',(0.,0.,1.)); #355054=DIRECTION('ref_axis',(1.,0.,0.)); #355055=DIRECTION('center_axis',(0.,0.,-1.)); #355056=DIRECTION('ref_axis',(1.,0.,0.)); #355057=DIRECTION('',(0.,0.,-1.)); #355058=DIRECTION('center_axis',(0.,0.,1.)); #355059=DIRECTION('ref_axis',(1.,0.,0.)); #355060=DIRECTION('center_axis',(0.,0.,1.)); #355061=DIRECTION('ref_axis',(1.,0.,0.)); #355062=DIRECTION('center_axis',(0.,0.,1.)); #355063=DIRECTION('ref_axis',(1.,0.,0.)); #355064=DIRECTION('',(0.,0.,-1.)); #355065=DIRECTION('center_axis',(0.,0.,1.)); #355066=DIRECTION('ref_axis',(1.,0.,0.)); #355067=DIRECTION('center_axis',(0.,0.,1.)); #355068=DIRECTION('ref_axis',(1.,0.,0.)); #355069=DIRECTION('center_axis',(0.,0.,1.)); #355070=DIRECTION('ref_axis',(1.,0.,0.)); #355071=DIRECTION('center_axis',(0.,0.,1.)); #355072=DIRECTION('ref_axis',(1.,0.,0.)); #355073=DIRECTION('center_axis',(0.,0.,-1.)); #355074=DIRECTION('ref_axis',(1.,0.,0.)); #355075=DIRECTION('',(0.,0.,-1.)); #355076=DIRECTION('center_axis',(0.,0.,1.)); #355077=DIRECTION('ref_axis',(1.,0.,0.)); #355078=DIRECTION('center_axis',(0.,0.,1.)); #355079=DIRECTION('ref_axis',(1.,0.,0.)); #355080=DIRECTION('center_axis',(0.,0.,1.)); #355081=DIRECTION('ref_axis',(1.,0.,0.)); #355082=DIRECTION('',(0.,0.,-1.)); #355083=DIRECTION('center_axis',(0.,0.,1.)); #355084=DIRECTION('ref_axis',(1.,0.,0.)); #355085=DIRECTION('center_axis',(0.,0.,1.)); #355086=DIRECTION('ref_axis',(1.,0.,0.)); #355087=DIRECTION('center_axis',(0.,0.,1.)); #355088=DIRECTION('ref_axis',(1.,0.,0.)); #355089=DIRECTION('center_axis',(0.,0.,1.)); #355090=DIRECTION('ref_axis',(1.,0.,0.)); #355091=DIRECTION('center_axis',(0.,0.,-1.)); #355092=DIRECTION('ref_axis',(1.,0.,0.)); #355093=DIRECTION('',(0.,0.,-1.)); #355094=DIRECTION('center_axis',(0.,0.,1.)); #355095=DIRECTION('ref_axis',(1.,0.,0.)); #355096=DIRECTION('center_axis',(0.,0.,1.)); #355097=DIRECTION('ref_axis',(1.,0.,0.)); #355098=DIRECTION('center_axis',(0.,0.,1.)); #355099=DIRECTION('ref_axis',(1.,0.,0.)); #355100=DIRECTION('',(0.,0.,-1.)); #355101=DIRECTION('center_axis',(0.,0.,1.)); #355102=DIRECTION('ref_axis',(1.,0.,0.)); #355103=DIRECTION('center_axis',(0.,0.,1.)); #355104=DIRECTION('ref_axis',(1.,0.,0.)); #355105=DIRECTION('center_axis',(0.,0.,1.)); #355106=DIRECTION('ref_axis',(1.,0.,0.)); #355107=DIRECTION('center_axis',(0.,0.,1.)); #355108=DIRECTION('ref_axis',(1.,0.,0.)); #355109=DIRECTION('center_axis',(0.,0.,-1.)); #355110=DIRECTION('ref_axis',(1.,0.,0.)); #355111=DIRECTION('',(0.,0.,-1.)); #355112=DIRECTION('center_axis',(0.,0.,1.)); #355113=DIRECTION('ref_axis',(1.,0.,0.)); #355114=DIRECTION('center_axis',(0.,0.,1.)); #355115=DIRECTION('ref_axis',(1.,0.,0.)); #355116=DIRECTION('center_axis',(0.,0.,1.)); #355117=DIRECTION('ref_axis',(1.,0.,0.)); #355118=DIRECTION('',(0.,0.,-1.)); #355119=DIRECTION('center_axis',(0.,0.,1.)); #355120=DIRECTION('ref_axis',(1.,0.,0.)); #355121=DIRECTION('center_axis',(0.,0.,1.)); #355122=DIRECTION('ref_axis',(1.,0.,0.)); #355123=DIRECTION('center_axis',(0.,0.,1.)); #355124=DIRECTION('ref_axis',(1.,0.,0.)); #355125=DIRECTION('center_axis',(0.,0.,1.)); #355126=DIRECTION('ref_axis',(1.,0.,0.)); #355127=DIRECTION('center_axis',(0.,0.,-1.)); #355128=DIRECTION('ref_axis',(1.,0.,0.)); #355129=DIRECTION('',(0.,0.,-1.)); #355130=DIRECTION('center_axis',(0.,0.,1.)); #355131=DIRECTION('ref_axis',(1.,0.,0.)); #355132=DIRECTION('center_axis',(0.,0.,1.)); #355133=DIRECTION('ref_axis',(1.,0.,0.)); #355134=DIRECTION('center_axis',(0.,0.,1.)); #355135=DIRECTION('ref_axis',(1.,0.,0.)); #355136=DIRECTION('',(0.,0.,-1.)); #355137=DIRECTION('center_axis',(0.,0.,1.)); #355138=DIRECTION('ref_axis',(1.,0.,0.)); #355139=DIRECTION('center_axis',(0.,0.,1.)); #355140=DIRECTION('ref_axis',(1.,0.,0.)); #355141=DIRECTION('center_axis',(0.,0.,1.)); #355142=DIRECTION('ref_axis',(1.,0.,0.)); #355143=DIRECTION('center_axis',(0.,0.,1.)); #355144=DIRECTION('ref_axis',(1.,0.,0.)); #355145=DIRECTION('center_axis',(0.,0.,-1.)); #355146=DIRECTION('ref_axis',(1.,0.,0.)); #355147=DIRECTION('',(0.,0.,-1.)); #355148=DIRECTION('center_axis',(0.,0.,1.)); #355149=DIRECTION('ref_axis',(1.,0.,0.)); #355150=DIRECTION('center_axis',(0.,0.,1.)); #355151=DIRECTION('ref_axis',(1.,0.,0.)); #355152=DIRECTION('center_axis',(0.,0.,1.)); #355153=DIRECTION('ref_axis',(1.,0.,0.)); #355154=DIRECTION('',(0.,0.,-1.)); #355155=DIRECTION('center_axis',(0.,0.,1.)); #355156=DIRECTION('ref_axis',(1.,0.,0.)); #355157=DIRECTION('center_axis',(0.,0.,1.)); #355158=DIRECTION('ref_axis',(1.,0.,0.)); #355159=DIRECTION('center_axis',(0.,0.,1.)); #355160=DIRECTION('ref_axis',(1.,0.,0.)); #355161=DIRECTION('center_axis',(0.,0.,1.)); #355162=DIRECTION('ref_axis',(1.,0.,0.)); #355163=DIRECTION('center_axis',(0.,0.,-1.)); #355164=DIRECTION('ref_axis',(1.,0.,0.)); #355165=DIRECTION('',(0.,0.,-1.)); #355166=DIRECTION('center_axis',(0.,0.,1.)); #355167=DIRECTION('ref_axis',(1.,0.,0.)); #355168=DIRECTION('center_axis',(0.,0.,1.)); #355169=DIRECTION('ref_axis',(1.,0.,0.)); #355170=DIRECTION('center_axis',(0.,0.,1.)); #355171=DIRECTION('ref_axis',(1.,0.,0.)); #355172=DIRECTION('',(0.,0.,-1.)); #355173=DIRECTION('center_axis',(0.,0.,1.)); #355174=DIRECTION('ref_axis',(1.,0.,0.)); #355175=DIRECTION('center_axis',(0.,0.,1.)); #355176=DIRECTION('ref_axis',(1.,0.,0.)); #355177=DIRECTION('center_axis',(0.,0.,1.)); #355178=DIRECTION('ref_axis',(1.,0.,0.)); #355179=DIRECTION('center_axis',(0.,0.,1.)); #355180=DIRECTION('ref_axis',(1.,0.,0.)); #355181=DIRECTION('center_axis',(0.,0.,-1.)); #355182=DIRECTION('ref_axis',(1.,0.,0.)); #355183=DIRECTION('',(0.,0.,-1.)); #355184=DIRECTION('center_axis',(0.,0.,1.)); #355185=DIRECTION('ref_axis',(1.,0.,0.)); #355186=DIRECTION('center_axis',(0.,0.,1.)); #355187=DIRECTION('ref_axis',(1.,0.,0.)); #355188=DIRECTION('center_axis',(0.,0.,1.)); #355189=DIRECTION('ref_axis',(1.,0.,0.)); #355190=DIRECTION('',(0.,0.,-1.)); #355191=DIRECTION('center_axis',(0.,0.,1.)); #355192=DIRECTION('ref_axis',(1.,0.,0.)); #355193=DIRECTION('center_axis',(0.,0.,1.)); #355194=DIRECTION('ref_axis',(1.,0.,0.)); #355195=DIRECTION('center_axis',(0.,0.,1.)); #355196=DIRECTION('ref_axis',(1.,0.,0.)); #355197=DIRECTION('center_axis',(0.,0.,1.)); #355198=DIRECTION('ref_axis',(1.,0.,0.)); #355199=DIRECTION('center_axis',(0.,0.,-1.)); #355200=DIRECTION('ref_axis',(1.,0.,0.)); #355201=DIRECTION('',(0.,0.,-1.)); #355202=DIRECTION('center_axis',(0.,0.,1.)); #355203=DIRECTION('ref_axis',(1.,0.,0.)); #355204=DIRECTION('center_axis',(0.,0.,1.)); #355205=DIRECTION('ref_axis',(1.,0.,0.)); #355206=DIRECTION('center_axis',(0.,0.,1.)); #355207=DIRECTION('ref_axis',(1.,0.,0.)); #355208=DIRECTION('',(0.,0.,-1.)); #355209=DIRECTION('center_axis',(0.,0.,1.)); #355210=DIRECTION('ref_axis',(1.,0.,0.)); #355211=DIRECTION('center_axis',(0.,0.,1.)); #355212=DIRECTION('ref_axis',(1.,0.,0.)); #355213=DIRECTION('center_axis',(0.,0.,1.)); #355214=DIRECTION('ref_axis',(1.,0.,0.)); #355215=DIRECTION('center_axis',(0.,0.,1.)); #355216=DIRECTION('ref_axis',(1.,0.,0.)); #355217=DIRECTION('center_axis',(0.,0.,-1.)); #355218=DIRECTION('ref_axis',(1.,0.,0.)); #355219=DIRECTION('',(0.,0.,-1.)); #355220=DIRECTION('center_axis',(0.,0.,1.)); #355221=DIRECTION('ref_axis',(1.,0.,0.)); #355222=DIRECTION('center_axis',(0.,0.,1.)); #355223=DIRECTION('ref_axis',(1.,0.,0.)); #355224=DIRECTION('center_axis',(0.,0.,1.)); #355225=DIRECTION('ref_axis',(1.,0.,0.)); #355226=DIRECTION('',(0.,0.,-1.)); #355227=DIRECTION('center_axis',(0.,0.,1.)); #355228=DIRECTION('ref_axis',(1.,0.,0.)); #355229=DIRECTION('center_axis',(0.,0.,1.)); #355230=DIRECTION('ref_axis',(1.,0.,0.)); #355231=DIRECTION('center_axis',(0.,0.,1.)); #355232=DIRECTION('ref_axis',(1.,0.,0.)); #355233=DIRECTION('center_axis',(0.,0.,1.)); #355234=DIRECTION('ref_axis',(1.,0.,0.)); #355235=DIRECTION('center_axis',(0.,0.,-1.)); #355236=DIRECTION('ref_axis',(1.,0.,0.)); #355237=DIRECTION('',(0.,0.,-1.)); #355238=DIRECTION('center_axis',(0.,0.,1.)); #355239=DIRECTION('ref_axis',(1.,0.,0.)); #355240=DIRECTION('center_axis',(0.,0.,1.)); #355241=DIRECTION('ref_axis',(1.,0.,0.)); #355242=DIRECTION('center_axis',(0.,0.,1.)); #355243=DIRECTION('ref_axis',(1.,0.,0.)); #355244=DIRECTION('',(0.,0.,-1.)); #355245=DIRECTION('center_axis',(0.,0.,1.)); #355246=DIRECTION('ref_axis',(1.,0.,0.)); #355247=DIRECTION('center_axis',(0.,0.,1.)); #355248=DIRECTION('ref_axis',(1.,0.,0.)); #355249=DIRECTION('center_axis',(0.,0.,1.)); #355250=DIRECTION('ref_axis',(1.,0.,0.)); #355251=DIRECTION('center_axis',(0.,0.,1.)); #355252=DIRECTION('ref_axis',(1.,0.,0.)); #355253=DIRECTION('center_axis',(0.,0.,-1.)); #355254=DIRECTION('ref_axis',(1.,0.,0.)); #355255=DIRECTION('',(0.,0.,-1.)); #355256=DIRECTION('center_axis',(0.,0.,1.)); #355257=DIRECTION('ref_axis',(1.,0.,0.)); #355258=DIRECTION('center_axis',(0.,0.,1.)); #355259=DIRECTION('ref_axis',(1.,0.,0.)); #355260=DIRECTION('center_axis',(0.,0.,1.)); #355261=DIRECTION('ref_axis',(1.,0.,0.)); #355262=DIRECTION('',(0.,0.,-1.)); #355263=DIRECTION('center_axis',(0.,0.,1.)); #355264=DIRECTION('ref_axis',(1.,0.,0.)); #355265=DIRECTION('center_axis',(0.,0.,1.)); #355266=DIRECTION('ref_axis',(1.,0.,0.)); #355267=DIRECTION('center_axis',(0.,0.,1.)); #355268=DIRECTION('ref_axis',(1.,0.,0.)); #355269=DIRECTION('center_axis',(0.,0.,1.)); #355270=DIRECTION('ref_axis',(1.,0.,0.)); #355271=DIRECTION('center_axis',(0.,0.,-1.)); #355272=DIRECTION('ref_axis',(1.,0.,0.)); #355273=DIRECTION('',(0.,0.,-1.)); #355274=DIRECTION('center_axis',(0.,0.,1.)); #355275=DIRECTION('ref_axis',(1.,0.,0.)); #355276=DIRECTION('center_axis',(0.,0.,1.)); #355277=DIRECTION('ref_axis',(1.,0.,0.)); #355278=DIRECTION('center_axis',(0.,0.,1.)); #355279=DIRECTION('ref_axis',(1.,0.,0.)); #355280=DIRECTION('',(0.,0.,-1.)); #355281=DIRECTION('center_axis',(0.,0.,1.)); #355282=DIRECTION('ref_axis',(1.,0.,0.)); #355283=DIRECTION('center_axis',(0.,0.,1.)); #355284=DIRECTION('ref_axis',(1.,0.,0.)); #355285=DIRECTION('center_axis',(0.,0.,1.)); #355286=DIRECTION('ref_axis',(1.,0.,0.)); #355287=DIRECTION('center_axis',(0.,0.,1.)); #355288=DIRECTION('ref_axis',(1.,0.,0.)); #355289=DIRECTION('center_axis',(0.,0.,-1.)); #355290=DIRECTION('ref_axis',(1.,0.,0.)); #355291=DIRECTION('',(0.,0.,-1.)); #355292=DIRECTION('center_axis',(0.,0.,1.)); #355293=DIRECTION('ref_axis',(1.,0.,0.)); #355294=DIRECTION('center_axis',(0.,0.,1.)); #355295=DIRECTION('ref_axis',(1.,0.,0.)); #355296=DIRECTION('center_axis',(0.,0.,1.)); #355297=DIRECTION('ref_axis',(1.,0.,0.)); #355298=DIRECTION('',(0.,0.,-1.)); #355299=DIRECTION('center_axis',(0.,0.,1.)); #355300=DIRECTION('ref_axis',(1.,0.,0.)); #355301=DIRECTION('center_axis',(0.,0.,1.)); #355302=DIRECTION('ref_axis',(1.,0.,0.)); #355303=DIRECTION('center_axis',(0.,0.,1.)); #355304=DIRECTION('ref_axis',(1.,0.,0.)); #355305=DIRECTION('center_axis',(0.,0.,1.)); #355306=DIRECTION('ref_axis',(1.,0.,0.)); #355307=DIRECTION('center_axis',(0.,0.,-1.)); #355308=DIRECTION('ref_axis',(1.,0.,0.)); #355309=DIRECTION('',(0.,0.,-1.)); #355310=DIRECTION('center_axis',(0.,0.,1.)); #355311=DIRECTION('ref_axis',(1.,0.,0.)); #355312=DIRECTION('center_axis',(0.,0.,1.)); #355313=DIRECTION('ref_axis',(1.,0.,0.)); #355314=DIRECTION('center_axis',(0.,0.,1.)); #355315=DIRECTION('ref_axis',(1.,0.,0.)); #355316=DIRECTION('',(0.,0.,-1.)); #355317=DIRECTION('center_axis',(0.,0.,1.)); #355318=DIRECTION('ref_axis',(1.,0.,0.)); #355319=DIRECTION('center_axis',(0.,0.,1.)); #355320=DIRECTION('ref_axis',(1.,0.,0.)); #355321=DIRECTION('center_axis',(0.,0.,1.)); #355322=DIRECTION('ref_axis',(1.,0.,0.)); #355323=DIRECTION('center_axis',(0.,0.,1.)); #355324=DIRECTION('ref_axis',(1.,0.,0.)); #355325=DIRECTION('center_axis',(0.,0.,-1.)); #355326=DIRECTION('ref_axis',(1.,0.,0.)); #355327=DIRECTION('',(0.,0.,-1.)); #355328=DIRECTION('center_axis',(0.,0.,1.)); #355329=DIRECTION('ref_axis',(1.,0.,0.)); #355330=DIRECTION('center_axis',(0.,0.,1.)); #355331=DIRECTION('ref_axis',(1.,0.,0.)); #355332=DIRECTION('center_axis',(0.,0.,1.)); #355333=DIRECTION('ref_axis',(1.,0.,0.)); #355334=DIRECTION('',(0.,0.,-1.)); #355335=DIRECTION('center_axis',(0.,0.,1.)); #355336=DIRECTION('ref_axis',(1.,0.,0.)); #355337=DIRECTION('center_axis',(0.,0.,1.)); #355338=DIRECTION('ref_axis',(1.,0.,0.)); #355339=DIRECTION('center_axis',(0.,0.,1.)); #355340=DIRECTION('ref_axis',(1.,0.,0.)); #355341=DIRECTION('center_axis',(0.,0.,1.)); #355342=DIRECTION('ref_axis',(1.,0.,0.)); #355343=DIRECTION('center_axis',(0.,0.,-1.)); #355344=DIRECTION('ref_axis',(1.,0.,0.)); #355345=DIRECTION('',(0.,0.,-1.)); #355346=DIRECTION('center_axis',(0.,0.,1.)); #355347=DIRECTION('ref_axis',(1.,0.,0.)); #355348=DIRECTION('center_axis',(0.,0.,1.)); #355349=DIRECTION('ref_axis',(1.,0.,0.)); #355350=DIRECTION('center_axis',(0.,0.,1.)); #355351=DIRECTION('ref_axis',(1.,0.,0.)); #355352=DIRECTION('',(0.,0.,-1.)); #355353=DIRECTION('center_axis',(0.,0.,1.)); #355354=DIRECTION('ref_axis',(1.,0.,0.)); #355355=DIRECTION('center_axis',(0.,0.,1.)); #355356=DIRECTION('ref_axis',(1.,0.,0.)); #355357=DIRECTION('center_axis',(0.,0.,1.)); #355358=DIRECTION('ref_axis',(1.,0.,0.)); #355359=DIRECTION('center_axis',(0.,0.,1.)); #355360=DIRECTION('ref_axis',(1.,0.,0.)); #355361=DIRECTION('center_axis',(0.,0.,-1.)); #355362=DIRECTION('ref_axis',(1.,0.,0.)); #355363=DIRECTION('',(0.,0.,-1.)); #355364=DIRECTION('center_axis',(0.,0.,1.)); #355365=DIRECTION('ref_axis',(1.,0.,0.)); #355366=DIRECTION('center_axis',(0.,0.,1.)); #355367=DIRECTION('ref_axis',(1.,0.,0.)); #355368=DIRECTION('center_axis',(0.,0.,1.)); #355369=DIRECTION('ref_axis',(1.,0.,0.)); #355370=DIRECTION('',(0.,0.,-1.)); #355371=DIRECTION('center_axis',(0.,0.,1.)); #355372=DIRECTION('ref_axis',(1.,0.,0.)); #355373=DIRECTION('center_axis',(0.,0.,1.)); #355374=DIRECTION('ref_axis',(1.,0.,0.)); #355375=DIRECTION('center_axis',(0.,0.,1.)); #355376=DIRECTION('ref_axis',(1.,0.,0.)); #355377=DIRECTION('center_axis',(0.,0.,1.)); #355378=DIRECTION('ref_axis',(1.,0.,0.)); #355379=DIRECTION('center_axis',(0.,0.,-1.)); #355380=DIRECTION('ref_axis',(1.,0.,0.)); #355381=DIRECTION('',(0.,0.,-1.)); #355382=DIRECTION('center_axis',(0.,0.,1.)); #355383=DIRECTION('ref_axis',(1.,0.,0.)); #355384=DIRECTION('center_axis',(0.,0.,1.)); #355385=DIRECTION('ref_axis',(1.,0.,0.)); #355386=DIRECTION('center_axis',(0.,0.,1.)); #355387=DIRECTION('ref_axis',(1.,0.,0.)); #355388=DIRECTION('',(0.,0.,-1.)); #355389=DIRECTION('center_axis',(0.,0.,1.)); #355390=DIRECTION('ref_axis',(1.,0.,0.)); #355391=DIRECTION('center_axis',(0.,0.,1.)); #355392=DIRECTION('ref_axis',(1.,0.,0.)); #355393=DIRECTION('center_axis',(0.,0.,1.)); #355394=DIRECTION('ref_axis',(1.,0.,0.)); #355395=DIRECTION('center_axis',(0.,0.,1.)); #355396=DIRECTION('ref_axis',(1.,0.,0.)); #355397=DIRECTION('center_axis',(0.,0.,-1.)); #355398=DIRECTION('ref_axis',(1.,0.,0.)); #355399=DIRECTION('',(0.,0.,-1.)); #355400=DIRECTION('center_axis',(0.,0.,1.)); #355401=DIRECTION('ref_axis',(1.,0.,0.)); #355402=DIRECTION('center_axis',(0.,0.,1.)); #355403=DIRECTION('ref_axis',(1.,0.,0.)); #355404=DIRECTION('center_axis',(0.,0.,1.)); #355405=DIRECTION('ref_axis',(1.,0.,0.)); #355406=DIRECTION('',(0.,0.,-1.)); #355407=DIRECTION('center_axis',(0.,0.,1.)); #355408=DIRECTION('ref_axis',(1.,0.,0.)); #355409=DIRECTION('center_axis',(0.,0.,1.)); #355410=DIRECTION('ref_axis',(1.,0.,0.)); #355411=DIRECTION('center_axis',(0.,0.,1.)); #355412=DIRECTION('ref_axis',(1.,0.,0.)); #355413=DIRECTION('center_axis',(0.,0.,1.)); #355414=DIRECTION('ref_axis',(1.,0.,0.)); #355415=DIRECTION('center_axis',(0.,0.,-1.)); #355416=DIRECTION('ref_axis',(1.,0.,0.)); #355417=DIRECTION('',(0.,0.,-1.)); #355418=DIRECTION('center_axis',(0.,0.,1.)); #355419=DIRECTION('ref_axis',(1.,0.,0.)); #355420=DIRECTION('center_axis',(0.,0.,1.)); #355421=DIRECTION('ref_axis',(1.,0.,0.)); #355422=DIRECTION('center_axis',(0.,0.,1.)); #355423=DIRECTION('ref_axis',(1.,0.,0.)); #355424=DIRECTION('',(0.,0.,-1.)); #355425=DIRECTION('center_axis',(0.,0.,1.)); #355426=DIRECTION('ref_axis',(1.,0.,0.)); #355427=DIRECTION('center_axis',(0.,0.,1.)); #355428=DIRECTION('ref_axis',(1.,0.,0.)); #355429=DIRECTION('center_axis',(0.,0.,1.)); #355430=DIRECTION('ref_axis',(1.,0.,0.)); #355431=DIRECTION('center_axis',(0.,0.,1.)); #355432=DIRECTION('ref_axis',(1.,0.,0.)); #355433=DIRECTION('center_axis',(0.,0.,-1.)); #355434=DIRECTION('ref_axis',(1.,0.,0.)); #355435=DIRECTION('',(0.,0.,-1.)); #355436=DIRECTION('center_axis',(0.,0.,1.)); #355437=DIRECTION('ref_axis',(1.,0.,0.)); #355438=DIRECTION('center_axis',(0.,0.,1.)); #355439=DIRECTION('ref_axis',(1.,0.,0.)); #355440=DIRECTION('center_axis',(0.,0.,1.)); #355441=DIRECTION('ref_axis',(1.,0.,0.)); #355442=DIRECTION('',(0.,0.,-1.)); #355443=DIRECTION('center_axis',(0.,0.,1.)); #355444=DIRECTION('ref_axis',(1.,0.,0.)); #355445=DIRECTION('center_axis',(0.,0.,1.)); #355446=DIRECTION('ref_axis',(1.,0.,0.)); #355447=DIRECTION('center_axis',(0.,0.,1.)); #355448=DIRECTION('ref_axis',(1.,0.,0.)); #355449=DIRECTION('center_axis',(0.,0.,1.)); #355450=DIRECTION('ref_axis',(1.,0.,0.)); #355451=DIRECTION('center_axis',(0.,0.,-1.)); #355452=DIRECTION('ref_axis',(1.,0.,0.)); #355453=DIRECTION('',(0.,0.,-1.)); #355454=DIRECTION('center_axis',(0.,0.,1.)); #355455=DIRECTION('ref_axis',(1.,0.,0.)); #355456=DIRECTION('center_axis',(0.,0.,1.)); #355457=DIRECTION('ref_axis',(1.,0.,0.)); #355458=DIRECTION('center_axis',(0.,0.,1.)); #355459=DIRECTION('ref_axis',(1.,0.,0.)); #355460=DIRECTION('',(0.,0.,-1.)); #355461=DIRECTION('center_axis',(0.,0.,1.)); #355462=DIRECTION('ref_axis',(1.,0.,0.)); #355463=DIRECTION('center_axis',(0.,0.,1.)); #355464=DIRECTION('ref_axis',(1.,0.,0.)); #355465=DIRECTION('center_axis',(0.,0.,1.)); #355466=DIRECTION('ref_axis',(1.,0.,0.)); #355467=DIRECTION('center_axis',(0.,0.,1.)); #355468=DIRECTION('ref_axis',(1.,0.,0.)); #355469=DIRECTION('center_axis',(0.,0.,-1.)); #355470=DIRECTION('ref_axis',(1.,0.,0.)); #355471=DIRECTION('',(0.,0.,-1.)); #355472=DIRECTION('center_axis',(0.,0.,1.)); #355473=DIRECTION('ref_axis',(1.,0.,0.)); #355474=DIRECTION('center_axis',(0.,0.,1.)); #355475=DIRECTION('ref_axis',(1.,0.,0.)); #355476=DIRECTION('center_axis',(0.,0.,1.)); #355477=DIRECTION('ref_axis',(1.,0.,0.)); #355478=DIRECTION('',(0.,0.,-1.)); #355479=DIRECTION('center_axis',(0.,0.,1.)); #355480=DIRECTION('ref_axis',(1.,0.,0.)); #355481=DIRECTION('center_axis',(0.,0.,1.)); #355482=DIRECTION('ref_axis',(1.,0.,0.)); #355483=DIRECTION('center_axis',(0.,0.,1.)); #355484=DIRECTION('ref_axis',(1.,0.,0.)); #355485=DIRECTION('center_axis',(0.,0.,1.)); #355486=DIRECTION('ref_axis',(1.,0.,0.)); #355487=DIRECTION('center_axis',(0.,0.,-1.)); #355488=DIRECTION('ref_axis',(1.,0.,0.)); #355489=DIRECTION('',(0.,0.,-1.)); #355490=DIRECTION('center_axis',(0.,0.,1.)); #355491=DIRECTION('ref_axis',(1.,0.,0.)); #355492=DIRECTION('center_axis',(0.,0.,1.)); #355493=DIRECTION('ref_axis',(1.,0.,0.)); #355494=DIRECTION('center_axis',(0.,0.,1.)); #355495=DIRECTION('ref_axis',(1.,0.,0.)); #355496=DIRECTION('',(0.,0.,-1.)); #355497=DIRECTION('center_axis',(0.,0.,1.)); #355498=DIRECTION('ref_axis',(1.,0.,0.)); #355499=DIRECTION('center_axis',(0.,0.,1.)); #355500=DIRECTION('ref_axis',(1.,0.,0.)); #355501=DIRECTION('center_axis',(0.,0.,1.)); #355502=DIRECTION('ref_axis',(1.,0.,0.)); #355503=DIRECTION('center_axis',(0.,0.,1.)); #355504=DIRECTION('ref_axis',(1.,0.,0.)); #355505=DIRECTION('center_axis',(0.,0.,-1.)); #355506=DIRECTION('ref_axis',(1.,0.,0.)); #355507=DIRECTION('',(0.,0.,-1.)); #355508=DIRECTION('center_axis',(0.,0.,1.)); #355509=DIRECTION('ref_axis',(1.,0.,0.)); #355510=DIRECTION('center_axis',(0.,0.,1.)); #355511=DIRECTION('ref_axis',(1.,0.,0.)); #355512=DIRECTION('center_axis',(0.,0.,1.)); #355513=DIRECTION('ref_axis',(1.,0.,0.)); #355514=DIRECTION('',(0.,0.,-1.)); #355515=DIRECTION('center_axis',(0.,0.,1.)); #355516=DIRECTION('ref_axis',(1.,0.,0.)); #355517=DIRECTION('center_axis',(0.,0.,1.)); #355518=DIRECTION('ref_axis',(1.,0.,0.)); #355519=DIRECTION('center_axis',(0.,0.,1.)); #355520=DIRECTION('ref_axis',(1.,0.,0.)); #355521=DIRECTION('center_axis',(0.,0.,1.)); #355522=DIRECTION('ref_axis',(1.,0.,0.)); #355523=DIRECTION('center_axis',(0.,0.,-1.)); #355524=DIRECTION('ref_axis',(1.,0.,0.)); #355525=DIRECTION('',(0.,0.,-1.)); #355526=DIRECTION('center_axis',(0.,0.,1.)); #355527=DIRECTION('ref_axis',(1.,0.,0.)); #355528=DIRECTION('center_axis',(0.,0.,1.)); #355529=DIRECTION('ref_axis',(1.,0.,0.)); #355530=DIRECTION('center_axis',(0.,0.,1.)); #355531=DIRECTION('ref_axis',(1.,0.,0.)); #355532=DIRECTION('',(0.,0.,-1.)); #355533=DIRECTION('center_axis',(0.,0.,1.)); #355534=DIRECTION('ref_axis',(1.,0.,0.)); #355535=DIRECTION('center_axis',(0.,0.,1.)); #355536=DIRECTION('ref_axis',(1.,0.,0.)); #355537=DIRECTION('center_axis',(0.,0.,1.)); #355538=DIRECTION('ref_axis',(1.,0.,0.)); #355539=DIRECTION('center_axis',(0.,0.,1.)); #355540=DIRECTION('ref_axis',(1.,0.,0.)); #355541=DIRECTION('center_axis',(0.,0.,-1.)); #355542=DIRECTION('ref_axis',(1.,0.,0.)); #355543=DIRECTION('',(0.,0.,-1.)); #355544=DIRECTION('center_axis',(0.,0.,1.)); #355545=DIRECTION('ref_axis',(1.,0.,0.)); #355546=DIRECTION('center_axis',(0.,0.,1.)); #355547=DIRECTION('ref_axis',(1.,0.,0.)); #355548=DIRECTION('center_axis',(0.,0.,1.)); #355549=DIRECTION('ref_axis',(1.,0.,0.)); #355550=DIRECTION('',(0.,0.,-1.)); #355551=DIRECTION('center_axis',(0.,0.,1.)); #355552=DIRECTION('ref_axis',(1.,0.,0.)); #355553=DIRECTION('center_axis',(0.,0.,1.)); #355554=DIRECTION('ref_axis',(1.,0.,0.)); #355555=DIRECTION('center_axis',(0.,0.,1.)); #355556=DIRECTION('ref_axis',(1.,0.,0.)); #355557=DIRECTION('center_axis',(0.,0.,1.)); #355558=DIRECTION('ref_axis',(1.,0.,0.)); #355559=DIRECTION('center_axis',(0.,0.,-1.)); #355560=DIRECTION('ref_axis',(1.,0.,0.)); #355561=DIRECTION('',(0.,0.,-1.)); #355562=DIRECTION('center_axis',(0.,0.,1.)); #355563=DIRECTION('ref_axis',(1.,0.,0.)); #355564=DIRECTION('center_axis',(0.,0.,1.)); #355565=DIRECTION('ref_axis',(1.,0.,0.)); #355566=DIRECTION('center_axis',(0.,0.,1.)); #355567=DIRECTION('ref_axis',(1.,0.,0.)); #355568=DIRECTION('',(0.,0.,-1.)); #355569=DIRECTION('center_axis',(0.,0.,1.)); #355570=DIRECTION('ref_axis',(1.,0.,0.)); #355571=DIRECTION('center_axis',(0.,0.,1.)); #355572=DIRECTION('ref_axis',(1.,0.,0.)); #355573=DIRECTION('center_axis',(0.,0.,1.)); #355574=DIRECTION('ref_axis',(1.,0.,0.)); #355575=DIRECTION('center_axis',(0.,0.,1.)); #355576=DIRECTION('ref_axis',(1.,0.,0.)); #355577=DIRECTION('center_axis',(0.,0.,-1.)); #355578=DIRECTION('ref_axis',(1.,0.,0.)); #355579=DIRECTION('',(0.,0.,-1.)); #355580=DIRECTION('center_axis',(0.,0.,1.)); #355581=DIRECTION('ref_axis',(1.,0.,0.)); #355582=DIRECTION('center_axis',(0.,0.,1.)); #355583=DIRECTION('ref_axis',(1.,0.,0.)); #355584=DIRECTION('center_axis',(0.,0.,1.)); #355585=DIRECTION('ref_axis',(1.,0.,0.)); #355586=DIRECTION('',(0.,0.,-1.)); #355587=DIRECTION('center_axis',(0.,0.,1.)); #355588=DIRECTION('ref_axis',(1.,0.,0.)); #355589=DIRECTION('center_axis',(0.,0.,1.)); #355590=DIRECTION('ref_axis',(1.,0.,0.)); #355591=DIRECTION('center_axis',(0.,0.,1.)); #355592=DIRECTION('ref_axis',(1.,0.,0.)); #355593=DIRECTION('center_axis',(0.,0.,1.)); #355594=DIRECTION('ref_axis',(1.,0.,0.)); #355595=DIRECTION('center_axis',(0.,0.,-1.)); #355596=DIRECTION('ref_axis',(1.,0.,0.)); #355597=DIRECTION('',(0.,0.,-1.)); #355598=DIRECTION('center_axis',(0.,0.,1.)); #355599=DIRECTION('ref_axis',(1.,0.,0.)); #355600=DIRECTION('center_axis',(0.,0.,1.)); #355601=DIRECTION('ref_axis',(1.,0.,0.)); #355602=DIRECTION('center_axis',(0.,0.,1.)); #355603=DIRECTION('ref_axis',(1.,0.,0.)); #355604=DIRECTION('',(0.,0.,-1.)); #355605=DIRECTION('center_axis',(0.,0.,1.)); #355606=DIRECTION('ref_axis',(1.,0.,0.)); #355607=DIRECTION('center_axis',(0.,0.,1.)); #355608=DIRECTION('ref_axis',(1.,0.,0.)); #355609=DIRECTION('center_axis',(0.,0.,1.)); #355610=DIRECTION('ref_axis',(1.,0.,0.)); #355611=DIRECTION('center_axis',(0.,0.,1.)); #355612=DIRECTION('ref_axis',(1.,0.,0.)); #355613=DIRECTION('center_axis',(0.,0.,-1.)); #355614=DIRECTION('ref_axis',(1.,0.,0.)); #355615=DIRECTION('',(0.,0.,-1.)); #355616=DIRECTION('center_axis',(0.,0.,1.)); #355617=DIRECTION('ref_axis',(1.,0.,0.)); #355618=DIRECTION('center_axis',(0.,0.,1.)); #355619=DIRECTION('ref_axis',(1.,0.,0.)); #355620=DIRECTION('center_axis',(0.,0.,1.)); #355621=DIRECTION('ref_axis',(1.,0.,0.)); #355622=DIRECTION('',(0.,0.,-1.)); #355623=DIRECTION('center_axis',(0.,0.,1.)); #355624=DIRECTION('ref_axis',(1.,0.,0.)); #355625=DIRECTION('center_axis',(0.,0.,1.)); #355626=DIRECTION('ref_axis',(1.,0.,0.)); #355627=DIRECTION('center_axis',(0.,0.,1.)); #355628=DIRECTION('ref_axis',(1.,0.,0.)); #355629=DIRECTION('center_axis',(0.,0.,1.)); #355630=DIRECTION('ref_axis',(1.,0.,0.)); #355631=DIRECTION('center_axis',(0.,0.,-1.)); #355632=DIRECTION('ref_axis',(1.,0.,0.)); #355633=DIRECTION('',(0.,0.,-1.)); #355634=DIRECTION('center_axis',(0.,0.,1.)); #355635=DIRECTION('ref_axis',(1.,0.,0.)); #355636=DIRECTION('center_axis',(0.,0.,1.)); #355637=DIRECTION('ref_axis',(1.,0.,0.)); #355638=DIRECTION('center_axis',(0.,0.,1.)); #355639=DIRECTION('ref_axis',(1.,0.,0.)); #355640=DIRECTION('',(0.,0.,-1.)); #355641=DIRECTION('center_axis',(0.,0.,1.)); #355642=DIRECTION('ref_axis',(1.,0.,0.)); #355643=DIRECTION('center_axis',(0.,0.,1.)); #355644=DIRECTION('ref_axis',(1.,0.,0.)); #355645=DIRECTION('center_axis',(0.,0.,1.)); #355646=DIRECTION('ref_axis',(1.,0.,0.)); #355647=DIRECTION('center_axis',(0.,0.,1.)); #355648=DIRECTION('ref_axis',(1.,0.,0.)); #355649=DIRECTION('center_axis',(0.,0.,-1.)); #355650=DIRECTION('ref_axis',(1.,0.,0.)); #355651=DIRECTION('',(0.,0.,-1.)); #355652=DIRECTION('center_axis',(0.,0.,1.)); #355653=DIRECTION('ref_axis',(1.,0.,0.)); #355654=DIRECTION('center_axis',(0.,0.,1.)); #355655=DIRECTION('ref_axis',(1.,0.,0.)); #355656=DIRECTION('center_axis',(0.,0.,1.)); #355657=DIRECTION('ref_axis',(1.,0.,0.)); #355658=DIRECTION('',(0.,0.,-1.)); #355659=DIRECTION('center_axis',(0.,0.,1.)); #355660=DIRECTION('ref_axis',(1.,0.,0.)); #355661=DIRECTION('center_axis',(0.,0.,1.)); #355662=DIRECTION('ref_axis',(1.,0.,0.)); #355663=DIRECTION('center_axis',(0.,0.,1.)); #355664=DIRECTION('ref_axis',(1.,0.,0.)); #355665=DIRECTION('center_axis',(0.,0.,1.)); #355666=DIRECTION('ref_axis',(1.,0.,0.)); #355667=DIRECTION('center_axis',(0.,0.,-1.)); #355668=DIRECTION('ref_axis',(1.,0.,0.)); #355669=DIRECTION('',(0.,0.,-1.)); #355670=DIRECTION('center_axis',(0.,0.,1.)); #355671=DIRECTION('ref_axis',(1.,0.,0.)); #355672=DIRECTION('center_axis',(0.,0.,1.)); #355673=DIRECTION('ref_axis',(1.,0.,0.)); #355674=DIRECTION('center_axis',(0.,0.,1.)); #355675=DIRECTION('ref_axis',(1.,0.,0.)); #355676=DIRECTION('',(0.,0.,-1.)); #355677=DIRECTION('center_axis',(0.,0.,1.)); #355678=DIRECTION('ref_axis',(1.,0.,0.)); #355679=DIRECTION('center_axis',(0.,0.,1.)); #355680=DIRECTION('ref_axis',(1.,0.,0.)); #355681=DIRECTION('center_axis',(0.,0.,1.)); #355682=DIRECTION('ref_axis',(1.,0.,0.)); #355683=DIRECTION('center_axis',(0.,0.,1.)); #355684=DIRECTION('ref_axis',(1.,0.,0.)); #355685=DIRECTION('center_axis',(0.,0.,-1.)); #355686=DIRECTION('ref_axis',(1.,0.,0.)); #355687=DIRECTION('',(0.,0.,-1.)); #355688=DIRECTION('center_axis',(0.,0.,1.)); #355689=DIRECTION('ref_axis',(1.,0.,0.)); #355690=DIRECTION('center_axis',(0.,0.,1.)); #355691=DIRECTION('ref_axis',(1.,0.,0.)); #355692=DIRECTION('center_axis',(0.,0.,1.)); #355693=DIRECTION('ref_axis',(1.,0.,0.)); #355694=DIRECTION('',(0.,0.,-1.)); #355695=DIRECTION('center_axis',(0.,0.,1.)); #355696=DIRECTION('ref_axis',(1.,0.,0.)); #355697=DIRECTION('center_axis',(0.,0.,1.)); #355698=DIRECTION('ref_axis',(1.,0.,0.)); #355699=DIRECTION('center_axis',(0.,0.,1.)); #355700=DIRECTION('ref_axis',(1.,0.,0.)); #355701=DIRECTION('center_axis',(0.,0.,1.)); #355702=DIRECTION('ref_axis',(1.,0.,0.)); #355703=DIRECTION('center_axis',(0.,0.,-1.)); #355704=DIRECTION('ref_axis',(1.,0.,0.)); #355705=DIRECTION('',(0.,0.,-1.)); #355706=DIRECTION('center_axis',(0.,0.,1.)); #355707=DIRECTION('ref_axis',(1.,0.,0.)); #355708=DIRECTION('center_axis',(0.,0.,1.)); #355709=DIRECTION('ref_axis',(1.,0.,0.)); #355710=DIRECTION('center_axis',(0.,0.,1.)); #355711=DIRECTION('ref_axis',(1.,0.,0.)); #355712=DIRECTION('',(0.,0.,-1.)); #355713=DIRECTION('center_axis',(0.,0.,1.)); #355714=DIRECTION('ref_axis',(1.,0.,0.)); #355715=DIRECTION('center_axis',(0.,0.,1.)); #355716=DIRECTION('ref_axis',(1.,0.,0.)); #355717=DIRECTION('center_axis',(0.,0.,1.)); #355718=DIRECTION('ref_axis',(1.,0.,0.)); #355719=DIRECTION('center_axis',(0.,0.,1.)); #355720=DIRECTION('ref_axis',(1.,0.,0.)); #355721=DIRECTION('center_axis',(0.,0.,-1.)); #355722=DIRECTION('ref_axis',(1.,0.,0.)); #355723=DIRECTION('',(0.,0.,-1.)); #355724=DIRECTION('center_axis',(0.,0.,1.)); #355725=DIRECTION('ref_axis',(1.,0.,0.)); #355726=DIRECTION('center_axis',(0.,0.,1.)); #355727=DIRECTION('ref_axis',(1.,0.,0.)); #355728=DIRECTION('center_axis',(0.,0.,1.)); #355729=DIRECTION('ref_axis',(1.,0.,0.)); #355730=DIRECTION('',(0.,0.,-1.)); #355731=DIRECTION('center_axis',(0.,0.,1.)); #355732=DIRECTION('ref_axis',(1.,0.,0.)); #355733=DIRECTION('center_axis',(0.,0.,1.)); #355734=DIRECTION('ref_axis',(1.,0.,0.)); #355735=DIRECTION('center_axis',(0.,0.,1.)); #355736=DIRECTION('ref_axis',(1.,0.,0.)); #355737=DIRECTION('center_axis',(0.,0.,1.)); #355738=DIRECTION('ref_axis',(1.,0.,0.)); #355739=DIRECTION('center_axis',(0.,0.,-1.)); #355740=DIRECTION('ref_axis',(1.,0.,0.)); #355741=DIRECTION('',(0.,0.,-1.)); #355742=DIRECTION('center_axis',(0.,0.,1.)); #355743=DIRECTION('ref_axis',(1.,0.,0.)); #355744=DIRECTION('center_axis',(0.,0.,1.)); #355745=DIRECTION('ref_axis',(1.,0.,0.)); #355746=DIRECTION('center_axis',(0.,0.,1.)); #355747=DIRECTION('ref_axis',(1.,0.,0.)); #355748=DIRECTION('',(0.,0.,-1.)); #355749=DIRECTION('center_axis',(0.,0.,1.)); #355750=DIRECTION('ref_axis',(1.,0.,0.)); #355751=DIRECTION('center_axis',(0.,0.,1.)); #355752=DIRECTION('ref_axis',(1.,0.,0.)); #355753=DIRECTION('center_axis',(0.,0.,1.)); #355754=DIRECTION('ref_axis',(1.,0.,0.)); #355755=DIRECTION('center_axis',(0.,0.,1.)); #355756=DIRECTION('ref_axis',(1.,0.,0.)); #355757=DIRECTION('center_axis',(0.,0.,-1.)); #355758=DIRECTION('ref_axis',(1.,0.,0.)); #355759=DIRECTION('',(0.,0.,-1.)); #355760=DIRECTION('center_axis',(0.,0.,1.)); #355761=DIRECTION('ref_axis',(1.,0.,0.)); #355762=DIRECTION('center_axis',(0.,0.,1.)); #355763=DIRECTION('ref_axis',(1.,0.,0.)); #355764=DIRECTION('center_axis',(0.,0.,1.)); #355765=DIRECTION('ref_axis',(1.,0.,0.)); #355766=DIRECTION('',(0.,0.,-1.)); #355767=DIRECTION('center_axis',(0.,0.,1.)); #355768=DIRECTION('ref_axis',(1.,0.,0.)); #355769=DIRECTION('center_axis',(0.,0.,1.)); #355770=DIRECTION('ref_axis',(1.,0.,0.)); #355771=DIRECTION('center_axis',(0.,0.,1.)); #355772=DIRECTION('ref_axis',(1.,0.,0.)); #355773=DIRECTION('center_axis',(0.,0.,1.)); #355774=DIRECTION('ref_axis',(1.,0.,0.)); #355775=DIRECTION('center_axis',(0.,0.,-1.)); #355776=DIRECTION('ref_axis',(1.,0.,0.)); #355777=DIRECTION('',(0.,0.,-1.)); #355778=DIRECTION('center_axis',(0.,0.,1.)); #355779=DIRECTION('ref_axis',(1.,0.,0.)); #355780=DIRECTION('center_axis',(0.,0.,1.)); #355781=DIRECTION('ref_axis',(1.,0.,0.)); #355782=DIRECTION('center_axis',(0.,0.,1.)); #355783=DIRECTION('ref_axis',(1.,0.,0.)); #355784=DIRECTION('',(0.,0.,-1.)); #355785=DIRECTION('center_axis',(0.,0.,1.)); #355786=DIRECTION('ref_axis',(1.,0.,0.)); #355787=DIRECTION('center_axis',(0.,0.,1.)); #355788=DIRECTION('ref_axis',(1.,0.,0.)); #355789=DIRECTION('center_axis',(0.,0.,1.)); #355790=DIRECTION('ref_axis',(1.,0.,0.)); #355791=DIRECTION('center_axis',(0.,0.,1.)); #355792=DIRECTION('ref_axis',(1.,0.,0.)); #355793=DIRECTION('center_axis',(0.,0.,-1.)); #355794=DIRECTION('ref_axis',(1.,0.,0.)); #355795=DIRECTION('',(0.,0.,-1.)); #355796=DIRECTION('center_axis',(0.,0.,1.)); #355797=DIRECTION('ref_axis',(1.,0.,0.)); #355798=DIRECTION('center_axis',(0.,0.,1.)); #355799=DIRECTION('ref_axis',(1.,0.,0.)); #355800=DIRECTION('center_axis',(0.,0.,1.)); #355801=DIRECTION('ref_axis',(1.,0.,0.)); #355802=DIRECTION('',(0.,0.,-1.)); #355803=DIRECTION('center_axis',(0.,0.,1.)); #355804=DIRECTION('ref_axis',(1.,0.,0.)); #355805=DIRECTION('center_axis',(0.,0.,1.)); #355806=DIRECTION('ref_axis',(1.,0.,0.)); #355807=DIRECTION('center_axis',(0.,0.,1.)); #355808=DIRECTION('ref_axis',(1.,0.,0.)); #355809=DIRECTION('center_axis',(0.,0.,1.)); #355810=DIRECTION('ref_axis',(1.,0.,0.)); #355811=DIRECTION('center_axis',(0.,0.,-1.)); #355812=DIRECTION('ref_axis',(1.,0.,0.)); #355813=DIRECTION('',(0.,0.,-1.)); #355814=DIRECTION('center_axis',(0.,0.,1.)); #355815=DIRECTION('ref_axis',(1.,0.,0.)); #355816=DIRECTION('center_axis',(0.,0.,1.)); #355817=DIRECTION('ref_axis',(1.,0.,0.)); #355818=DIRECTION('center_axis',(0.,0.,1.)); #355819=DIRECTION('ref_axis',(1.,0.,0.)); #355820=DIRECTION('',(0.,0.,-1.)); #355821=DIRECTION('center_axis',(0.,0.,1.)); #355822=DIRECTION('ref_axis',(1.,0.,0.)); #355823=DIRECTION('center_axis',(0.,0.,1.)); #355824=DIRECTION('ref_axis',(1.,0.,0.)); #355825=DIRECTION('center_axis',(0.,0.,1.)); #355826=DIRECTION('ref_axis',(1.,0.,0.)); #355827=DIRECTION('center_axis',(0.,0.,1.)); #355828=DIRECTION('ref_axis',(1.,0.,0.)); #355829=DIRECTION('center_axis',(0.,0.,-1.)); #355830=DIRECTION('ref_axis',(1.,0.,0.)); #355831=DIRECTION('',(0.,0.,-1.)); #355832=DIRECTION('center_axis',(0.,0.,1.)); #355833=DIRECTION('ref_axis',(1.,0.,0.)); #355834=DIRECTION('center_axis',(0.,0.,1.)); #355835=DIRECTION('ref_axis',(1.,0.,0.)); #355836=DIRECTION('center_axis',(0.,0.,1.)); #355837=DIRECTION('ref_axis',(1.,0.,0.)); #355838=DIRECTION('',(0.,0.,-1.)); #355839=DIRECTION('center_axis',(0.,0.,1.)); #355840=DIRECTION('ref_axis',(1.,0.,0.)); #355841=DIRECTION('center_axis',(0.,0.,1.)); #355842=DIRECTION('ref_axis',(1.,0.,0.)); #355843=DIRECTION('center_axis',(0.,0.,1.)); #355844=DIRECTION('ref_axis',(1.,0.,0.)); #355845=DIRECTION('center_axis',(0.,0.,1.)); #355846=DIRECTION('ref_axis',(1.,0.,0.)); #355847=DIRECTION('center_axis',(0.,0.,-1.)); #355848=DIRECTION('ref_axis',(1.,0.,0.)); #355849=DIRECTION('',(0.,0.,-1.)); #355850=DIRECTION('center_axis',(0.,0.,1.)); #355851=DIRECTION('ref_axis',(1.,0.,0.)); #355852=DIRECTION('center_axis',(0.,0.,1.)); #355853=DIRECTION('ref_axis',(1.,0.,0.)); #355854=DIRECTION('center_axis',(0.,0.,1.)); #355855=DIRECTION('ref_axis',(1.,0.,0.)); #355856=DIRECTION('',(0.,0.,-1.)); #355857=DIRECTION('center_axis',(0.,0.,1.)); #355858=DIRECTION('ref_axis',(1.,0.,0.)); #355859=DIRECTION('center_axis',(0.,0.,1.)); #355860=DIRECTION('ref_axis',(1.,0.,0.)); #355861=DIRECTION('center_axis',(0.,0.,1.)); #355862=DIRECTION('ref_axis',(1.,0.,0.)); #355863=DIRECTION('center_axis',(0.,0.,1.)); #355864=DIRECTION('ref_axis',(1.,0.,0.)); #355865=DIRECTION('center_axis',(0.,0.,-1.)); #355866=DIRECTION('ref_axis',(1.,0.,0.)); #355867=DIRECTION('',(0.,0.,-1.)); #355868=DIRECTION('center_axis',(0.,0.,1.)); #355869=DIRECTION('ref_axis',(1.,0.,0.)); #355870=DIRECTION('center_axis',(0.,0.,1.)); #355871=DIRECTION('ref_axis',(1.,0.,0.)); #355872=DIRECTION('center_axis',(0.,0.,1.)); #355873=DIRECTION('ref_axis',(1.,0.,0.)); #355874=DIRECTION('',(0.,0.,-1.)); #355875=DIRECTION('center_axis',(0.,0.,1.)); #355876=DIRECTION('ref_axis',(1.,0.,0.)); #355877=DIRECTION('center_axis',(0.,0.,1.)); #355878=DIRECTION('ref_axis',(1.,0.,0.)); #355879=DIRECTION('center_axis',(0.,0.,1.)); #355880=DIRECTION('ref_axis',(1.,0.,0.)); #355881=DIRECTION('center_axis',(0.,0.,1.)); #355882=DIRECTION('ref_axis',(1.,0.,0.)); #355883=DIRECTION('center_axis',(0.,0.,-1.)); #355884=DIRECTION('ref_axis',(1.,0.,0.)); #355885=DIRECTION('',(0.,0.,-1.)); #355886=DIRECTION('center_axis',(0.,0.,1.)); #355887=DIRECTION('ref_axis',(1.,0.,0.)); #355888=DIRECTION('center_axis',(0.,0.,1.)); #355889=DIRECTION('ref_axis',(1.,0.,0.)); #355890=DIRECTION('center_axis',(0.,0.,1.)); #355891=DIRECTION('ref_axis',(1.,0.,0.)); #355892=DIRECTION('',(0.,0.,-1.)); #355893=DIRECTION('center_axis',(0.,0.,1.)); #355894=DIRECTION('ref_axis',(1.,0.,0.)); #355895=DIRECTION('center_axis',(0.,0.,1.)); #355896=DIRECTION('ref_axis',(1.,0.,0.)); #355897=DIRECTION('center_axis',(0.,0.,1.)); #355898=DIRECTION('ref_axis',(1.,0.,0.)); #355899=DIRECTION('center_axis',(0.,0.,1.)); #355900=DIRECTION('ref_axis',(1.,0.,0.)); #355901=DIRECTION('center_axis',(0.,0.,-1.)); #355902=DIRECTION('ref_axis',(1.,0.,0.)); #355903=DIRECTION('',(0.,0.,-1.)); #355904=DIRECTION('center_axis',(0.,0.,1.)); #355905=DIRECTION('ref_axis',(1.,0.,0.)); #355906=DIRECTION('center_axis',(0.,0.,1.)); #355907=DIRECTION('ref_axis',(1.,0.,0.)); #355908=DIRECTION('center_axis',(0.,0.,1.)); #355909=DIRECTION('ref_axis',(1.,0.,0.)); #355910=DIRECTION('',(0.,0.,-1.)); #355911=DIRECTION('center_axis',(0.,0.,1.)); #355912=DIRECTION('ref_axis',(1.,0.,0.)); #355913=DIRECTION('center_axis',(0.,0.,1.)); #355914=DIRECTION('ref_axis',(1.,0.,0.)); #355915=DIRECTION('center_axis',(0.,0.,1.)); #355916=DIRECTION('ref_axis',(1.,0.,0.)); #355917=DIRECTION('center_axis',(0.,0.,1.)); #355918=DIRECTION('ref_axis',(1.,0.,0.)); #355919=DIRECTION('center_axis',(0.,0.,-1.)); #355920=DIRECTION('ref_axis',(1.,0.,0.)); #355921=DIRECTION('',(0.,0.,-1.)); #355922=DIRECTION('center_axis',(0.,0.,1.)); #355923=DIRECTION('ref_axis',(1.,0.,0.)); #355924=DIRECTION('center_axis',(0.,0.,1.)); #355925=DIRECTION('ref_axis',(1.,0.,0.)); #355926=DIRECTION('center_axis',(0.,0.,1.)); #355927=DIRECTION('ref_axis',(1.,0.,0.)); #355928=DIRECTION('',(0.,0.,-1.)); #355929=DIRECTION('center_axis',(0.,0.,1.)); #355930=DIRECTION('ref_axis',(1.,0.,0.)); #355931=DIRECTION('center_axis',(0.,0.,1.)); #355932=DIRECTION('ref_axis',(1.,0.,0.)); #355933=DIRECTION('center_axis',(0.,0.,1.)); #355934=DIRECTION('ref_axis',(1.,0.,0.)); #355935=DIRECTION('center_axis',(0.,0.,1.)); #355936=DIRECTION('ref_axis',(1.,0.,0.)); #355937=DIRECTION('center_axis',(0.,0.,-1.)); #355938=DIRECTION('ref_axis',(1.,0.,0.)); #355939=DIRECTION('',(0.,0.,-1.)); #355940=DIRECTION('center_axis',(0.,0.,1.)); #355941=DIRECTION('ref_axis',(1.,0.,0.)); #355942=DIRECTION('center_axis',(0.,0.,1.)); #355943=DIRECTION('ref_axis',(1.,0.,0.)); #355944=DIRECTION('center_axis',(0.,0.,1.)); #355945=DIRECTION('ref_axis',(1.,0.,0.)); #355946=DIRECTION('',(0.,0.,-1.)); #355947=DIRECTION('center_axis',(0.,0.,1.)); #355948=DIRECTION('ref_axis',(1.,0.,0.)); #355949=DIRECTION('center_axis',(0.,0.,1.)); #355950=DIRECTION('ref_axis',(1.,0.,0.)); #355951=DIRECTION('center_axis',(0.,0.,1.)); #355952=DIRECTION('ref_axis',(1.,0.,0.)); #355953=DIRECTION('center_axis',(0.,0.,1.)); #355954=DIRECTION('ref_axis',(1.,0.,0.)); #355955=DIRECTION('center_axis',(0.,0.,-1.)); #355956=DIRECTION('ref_axis',(1.,0.,0.)); #355957=DIRECTION('',(0.,0.,-1.)); #355958=DIRECTION('center_axis',(0.,0.,1.)); #355959=DIRECTION('ref_axis',(1.,0.,0.)); #355960=DIRECTION('center_axis',(0.,0.,1.)); #355961=DIRECTION('ref_axis',(1.,0.,0.)); #355962=DIRECTION('center_axis',(0.,0.,1.)); #355963=DIRECTION('ref_axis',(1.,0.,0.)); #355964=DIRECTION('',(0.,0.,-1.)); #355965=DIRECTION('center_axis',(0.,0.,1.)); #355966=DIRECTION('ref_axis',(1.,0.,0.)); #355967=DIRECTION('center_axis',(0.,0.,1.)); #355968=DIRECTION('ref_axis',(1.,0.,0.)); #355969=DIRECTION('center_axis',(0.,0.,1.)); #355970=DIRECTION('ref_axis',(1.,0.,0.)); #355971=DIRECTION('center_axis',(0.,0.,1.)); #355972=DIRECTION('ref_axis',(1.,0.,0.)); #355973=DIRECTION('center_axis',(0.,0.,-1.)); #355974=DIRECTION('ref_axis',(1.,0.,0.)); #355975=DIRECTION('',(0.,0.,-1.)); #355976=DIRECTION('center_axis',(0.,0.,1.)); #355977=DIRECTION('ref_axis',(1.,0.,0.)); #355978=DIRECTION('center_axis',(0.,0.,1.)); #355979=DIRECTION('ref_axis',(1.,0.,0.)); #355980=DIRECTION('center_axis',(0.,0.,1.)); #355981=DIRECTION('ref_axis',(1.,0.,0.)); #355982=DIRECTION('',(0.,0.,-1.)); #355983=DIRECTION('center_axis',(0.,0.,1.)); #355984=DIRECTION('ref_axis',(1.,0.,0.)); #355985=DIRECTION('center_axis',(0.,0.,1.)); #355986=DIRECTION('ref_axis',(1.,0.,0.)); #355987=DIRECTION('center_axis',(0.,0.,1.)); #355988=DIRECTION('ref_axis',(1.,0.,0.)); #355989=DIRECTION('center_axis',(0.,0.,1.)); #355990=DIRECTION('ref_axis',(1.,0.,0.)); #355991=DIRECTION('center_axis',(0.,0.,-1.)); #355992=DIRECTION('ref_axis',(1.,0.,0.)); #355993=DIRECTION('',(0.,0.,-1.)); #355994=DIRECTION('center_axis',(0.,0.,1.)); #355995=DIRECTION('ref_axis',(1.,0.,0.)); #355996=DIRECTION('center_axis',(0.,0.,1.)); #355997=DIRECTION('ref_axis',(1.,0.,0.)); #355998=DIRECTION('center_axis',(0.,0.,1.)); #355999=DIRECTION('ref_axis',(1.,0.,0.)); #356000=DIRECTION('',(0.,0.,-1.)); #356001=DIRECTION('center_axis',(0.,0.,1.)); #356002=DIRECTION('ref_axis',(1.,0.,0.)); #356003=DIRECTION('center_axis',(0.,0.,1.)); #356004=DIRECTION('ref_axis',(1.,0.,0.)); #356005=DIRECTION('center_axis',(0.,0.,1.)); #356006=DIRECTION('ref_axis',(1.,0.,0.)); #356007=DIRECTION('center_axis',(0.,0.,1.)); #356008=DIRECTION('ref_axis',(1.,0.,0.)); #356009=DIRECTION('center_axis',(0.,0.,-1.)); #356010=DIRECTION('ref_axis',(1.,0.,0.)); #356011=DIRECTION('',(0.,0.,-1.)); #356012=DIRECTION('center_axis',(0.,0.,1.)); #356013=DIRECTION('ref_axis',(1.,0.,0.)); #356014=DIRECTION('center_axis',(0.,0.,1.)); #356015=DIRECTION('ref_axis',(1.,0.,0.)); #356016=DIRECTION('center_axis',(0.,0.,1.)); #356017=DIRECTION('ref_axis',(1.,0.,0.)); #356018=DIRECTION('',(0.,0.,-1.)); #356019=DIRECTION('center_axis',(0.,0.,1.)); #356020=DIRECTION('ref_axis',(1.,0.,0.)); #356021=DIRECTION('center_axis',(0.,0.,1.)); #356022=DIRECTION('ref_axis',(1.,0.,0.)); #356023=DIRECTION('center_axis',(0.,0.,1.)); #356024=DIRECTION('ref_axis',(1.,0.,0.)); #356025=DIRECTION('center_axis',(0.,0.,1.)); #356026=DIRECTION('ref_axis',(1.,0.,0.)); #356027=DIRECTION('center_axis',(0.,0.,-1.)); #356028=DIRECTION('ref_axis',(1.,0.,0.)); #356029=DIRECTION('',(0.,0.,-1.)); #356030=DIRECTION('center_axis',(0.,0.,1.)); #356031=DIRECTION('ref_axis',(1.,0.,0.)); #356032=DIRECTION('center_axis',(0.,0.,1.)); #356033=DIRECTION('ref_axis',(1.,0.,0.)); #356034=DIRECTION('center_axis',(0.,0.,1.)); #356035=DIRECTION('ref_axis',(1.,0.,0.)); #356036=DIRECTION('',(0.,0.,-1.)); #356037=DIRECTION('center_axis',(0.,0.,1.)); #356038=DIRECTION('ref_axis',(1.,0.,0.)); #356039=DIRECTION('center_axis',(0.,0.,1.)); #356040=DIRECTION('ref_axis',(1.,0.,0.)); #356041=DIRECTION('center_axis',(0.,0.,1.)); #356042=DIRECTION('ref_axis',(1.,0.,0.)); #356043=DIRECTION('center_axis',(0.,0.,1.)); #356044=DIRECTION('ref_axis',(1.,0.,0.)); #356045=DIRECTION('center_axis',(0.,0.,-1.)); #356046=DIRECTION('ref_axis',(1.,0.,0.)); #356047=DIRECTION('',(0.,0.,-1.)); #356048=DIRECTION('center_axis',(0.,0.,1.)); #356049=DIRECTION('ref_axis',(1.,0.,0.)); #356050=DIRECTION('center_axis',(0.,0.,1.)); #356051=DIRECTION('ref_axis',(1.,0.,0.)); #356052=DIRECTION('center_axis',(0.,0.,1.)); #356053=DIRECTION('ref_axis',(1.,0.,0.)); #356054=DIRECTION('',(0.,0.,-1.)); #356055=DIRECTION('center_axis',(0.,0.,1.)); #356056=DIRECTION('ref_axis',(1.,0.,0.)); #356057=DIRECTION('center_axis',(0.,0.,1.)); #356058=DIRECTION('ref_axis',(1.,0.,0.)); #356059=DIRECTION('center_axis',(0.,0.,1.)); #356060=DIRECTION('ref_axis',(1.,0.,0.)); #356061=DIRECTION('center_axis',(0.,0.,1.)); #356062=DIRECTION('ref_axis',(1.,0.,0.)); #356063=DIRECTION('center_axis',(0.,0.,-1.)); #356064=DIRECTION('ref_axis',(1.,0.,0.)); #356065=DIRECTION('',(0.,0.,-1.)); #356066=DIRECTION('center_axis',(0.,0.,1.)); #356067=DIRECTION('ref_axis',(1.,0.,0.)); #356068=DIRECTION('center_axis',(0.,0.,1.)); #356069=DIRECTION('ref_axis',(1.,0.,0.)); #356070=DIRECTION('center_axis',(0.,0.,1.)); #356071=DIRECTION('ref_axis',(1.,0.,0.)); #356072=DIRECTION('',(0.,0.,-1.)); #356073=DIRECTION('center_axis',(0.,0.,1.)); #356074=DIRECTION('ref_axis',(1.,0.,0.)); #356075=DIRECTION('center_axis',(0.,0.,1.)); #356076=DIRECTION('ref_axis',(1.,0.,0.)); #356077=DIRECTION('center_axis',(0.,0.,1.)); #356078=DIRECTION('ref_axis',(1.,0.,0.)); #356079=DIRECTION('center_axis',(0.,0.,1.)); #356080=DIRECTION('ref_axis',(1.,0.,0.)); #356081=DIRECTION('center_axis',(0.,0.,-1.)); #356082=DIRECTION('ref_axis',(1.,0.,0.)); #356083=DIRECTION('',(0.,0.,-1.)); #356084=DIRECTION('center_axis',(0.,0.,1.)); #356085=DIRECTION('ref_axis',(1.,0.,0.)); #356086=DIRECTION('center_axis',(0.,0.,1.)); #356087=DIRECTION('ref_axis',(1.,0.,0.)); #356088=DIRECTION('center_axis',(0.,0.,1.)); #356089=DIRECTION('ref_axis',(1.,0.,0.)); #356090=DIRECTION('',(0.,0.,-1.)); #356091=DIRECTION('center_axis',(0.,0.,1.)); #356092=DIRECTION('ref_axis',(1.,0.,0.)); #356093=DIRECTION('center_axis',(0.,0.,1.)); #356094=DIRECTION('ref_axis',(1.,0.,0.)); #356095=DIRECTION('center_axis',(0.,0.,1.)); #356096=DIRECTION('ref_axis',(1.,0.,0.)); #356097=DIRECTION('center_axis',(-1.,0.,0.)); #356098=DIRECTION('ref_axis',(0.,-1.,0.)); #356099=DIRECTION('',(0.,-1.,0.)); #356100=DIRECTION('',(0.,0.,1.)); #356101=DIRECTION('',(0.,-1.,0.)); #356102=DIRECTION('',(0.,0.,1.)); #356103=DIRECTION('center_axis',(0.,0.,1.)); #356104=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #356105=DIRECTION('center_axis',(0.,0.,1.)); #356106=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #356107=DIRECTION('center_axis',(0.,0.,-1.)); #356108=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #356109=DIRECTION('',(0.,0.,1.)); #356110=DIRECTION('center_axis',(1.,0.,0.)); #356111=DIRECTION('ref_axis',(0.,1.,0.)); #356112=DIRECTION('',(0.,1.,0.)); #356113=DIRECTION('',(0.,1.,0.)); #356114=DIRECTION('',(0.,0.,1.)); #356115=DIRECTION('center_axis',(0.,0.,1.)); #356116=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #356117=DIRECTION('center_axis',(0.,0.,1.)); #356118=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #356119=DIRECTION('center_axis',(0.,0.,-1.)); #356120=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #356121=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #356122=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #356123=DIRECTION('',(5.55111499297693E-15,1.,0.)); #356124=DIRECTION('',(0.,0.,1.)); #356125=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #356126=DIRECTION('',(0.,0.,1.)); #356127=DIRECTION('center_axis',(0.,0.,1.)); #356128=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #356129=DIRECTION('center_axis',(0.,0.,1.)); #356130=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #356131=DIRECTION('center_axis',(0.,0.,1.)); #356132=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #356133=DIRECTION('',(0.,0.,1.)); #356134=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #356135=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #356136=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #356137=DIRECTION('',(5.55111499297693E-15,1.,0.)); #356138=DIRECTION('',(0.,0.,1.)); #356139=DIRECTION('center_axis',(0.,0.,1.)); #356140=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #356141=DIRECTION('center_axis',(0.,0.,1.)); #356142=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #356143=DIRECTION('center_axis',(0.,0.,1.)); #356144=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #356145=DIRECTION('center_axis',(0.,0.,1.)); #356146=DIRECTION('ref_axis',(1.,0.,0.)); #356147=DIRECTION('center_axis',(0.,0.,1.)); #356148=DIRECTION('ref_axis',(1.,0.,0.)); #356149=DIRECTION('center_axis',(0.,0.,1.)); #356150=DIRECTION('ref_axis',(1.,0.,0.)); #356151=DIRECTION('center_axis',(0.,0.,-1.)); #356152=DIRECTION('ref_axis',(1.,0.,0.)); #356153=DIRECTION('',(0.,0.,-1.)); #356154=DIRECTION('center_axis',(0.,0.,1.)); #356155=DIRECTION('ref_axis',(1.,0.,0.)); #356156=DIRECTION('center_axis',(0.,0.,1.)); #356157=DIRECTION('ref_axis',(1.,0.,0.)); #356158=DIRECTION('center_axis',(0.,0.,1.)); #356159=DIRECTION('ref_axis',(1.,0.,0.)); #356160=DIRECTION('',(0.,0.,-1.)); #356161=DIRECTION('center_axis',(0.,0.,1.)); #356162=DIRECTION('ref_axis',(1.,0.,0.)); #356163=DIRECTION('center_axis',(0.,0.,1.)); #356164=DIRECTION('ref_axis',(1.,0.,0.)); #356165=DIRECTION('center_axis',(0.,0.,1.)); #356166=DIRECTION('ref_axis',(1.,0.,0.)); #356167=DIRECTION('center_axis',(-1.,0.,0.)); #356168=DIRECTION('ref_axis',(0.,-1.,0.)); #356169=DIRECTION('',(0.,-1.,0.)); #356170=DIRECTION('',(0.,0.,1.)); #356171=DIRECTION('',(0.,-1.,0.)); #356172=DIRECTION('',(0.,0.,1.)); #356173=DIRECTION('center_axis',(0.,0.,1.)); #356174=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #356175=DIRECTION('center_axis',(0.,0.,1.)); #356176=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #356177=DIRECTION('center_axis',(0.,0.,-1.)); #356178=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #356179=DIRECTION('',(0.,0.,1.)); #356180=DIRECTION('center_axis',(1.,0.,0.)); #356181=DIRECTION('ref_axis',(0.,1.,0.)); #356182=DIRECTION('',(0.,1.,0.)); #356183=DIRECTION('',(0.,1.,0.)); #356184=DIRECTION('',(0.,0.,1.)); #356185=DIRECTION('center_axis',(0.,0.,1.)); #356186=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #356187=DIRECTION('center_axis',(0.,0.,1.)); #356188=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #356189=DIRECTION('center_axis',(0.,0.,-1.)); #356190=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #356191=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #356192=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #356193=DIRECTION('',(5.55111499297693E-15,1.,0.)); #356194=DIRECTION('',(0.,0.,1.)); #356195=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #356196=DIRECTION('',(0.,0.,1.)); #356197=DIRECTION('center_axis',(0.,0.,1.)); #356198=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #356199=DIRECTION('center_axis',(0.,0.,1.)); #356200=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #356201=DIRECTION('center_axis',(0.,0.,1.)); #356202=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #356203=DIRECTION('',(0.,0.,1.)); #356204=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #356205=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #356206=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #356207=DIRECTION('',(5.55111499297693E-15,1.,0.)); #356208=DIRECTION('',(0.,0.,1.)); #356209=DIRECTION('center_axis',(0.,0.,1.)); #356210=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #356211=DIRECTION('center_axis',(0.,0.,1.)); #356212=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #356213=DIRECTION('center_axis',(0.,0.,1.)); #356214=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #356215=DIRECTION('center_axis',(0.,0.,1.)); #356216=DIRECTION('ref_axis',(1.,0.,0.)); #356217=DIRECTION('center_axis',(0.,0.,1.)); #356218=DIRECTION('ref_axis',(1.,0.,0.)); #356219=DIRECTION('center_axis',(0.,0.,1.)); #356220=DIRECTION('ref_axis',(1.,0.,0.)); #356221=DIRECTION('center_axis',(0.,0.,-1.)); #356222=DIRECTION('ref_axis',(1.,0.,0.)); #356223=DIRECTION('',(0.,0.,-1.)); #356224=DIRECTION('center_axis',(0.,0.,1.)); #356225=DIRECTION('ref_axis',(1.,0.,0.)); #356226=DIRECTION('center_axis',(0.,0.,1.)); #356227=DIRECTION('ref_axis',(1.,0.,0.)); #356228=DIRECTION('center_axis',(0.,0.,1.)); #356229=DIRECTION('ref_axis',(1.,0.,0.)); #356230=DIRECTION('',(0.,0.,-1.)); #356231=DIRECTION('center_axis',(0.,0.,1.)); #356232=DIRECTION('ref_axis',(1.,0.,0.)); #356233=DIRECTION('center_axis',(0.,0.,1.)); #356234=DIRECTION('ref_axis',(1.,0.,0.)); #356235=DIRECTION('center_axis',(0.,0.,1.)); #356236=DIRECTION('ref_axis',(1.,0.,0.)); #356237=DIRECTION('center_axis',(-1.,0.,0.)); #356238=DIRECTION('ref_axis',(0.,-1.,0.)); #356239=DIRECTION('',(0.,-1.,0.)); #356240=DIRECTION('',(0.,0.,1.)); #356241=DIRECTION('',(0.,-1.,0.)); #356242=DIRECTION('',(0.,0.,1.)); #356243=DIRECTION('center_axis',(0.,0.,1.)); #356244=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #356245=DIRECTION('center_axis',(0.,0.,1.)); #356246=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #356247=DIRECTION('center_axis',(0.,0.,-1.)); #356248=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #356249=DIRECTION('',(0.,0.,1.)); #356250=DIRECTION('center_axis',(1.,0.,0.)); #356251=DIRECTION('ref_axis',(0.,1.,0.)); #356252=DIRECTION('',(0.,1.,0.)); #356253=DIRECTION('',(0.,1.,0.)); #356254=DIRECTION('',(0.,0.,1.)); #356255=DIRECTION('center_axis',(0.,0.,1.)); #356256=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #356257=DIRECTION('center_axis',(0.,0.,1.)); #356258=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #356259=DIRECTION('center_axis',(0.,0.,-1.)); #356260=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #356261=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #356262=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #356263=DIRECTION('',(5.55111499297693E-15,1.,0.)); #356264=DIRECTION('',(0.,0.,1.)); #356265=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #356266=DIRECTION('',(0.,0.,1.)); #356267=DIRECTION('center_axis',(0.,0.,1.)); #356268=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #356269=DIRECTION('center_axis',(0.,0.,1.)); #356270=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #356271=DIRECTION('center_axis',(0.,0.,1.)); #356272=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #356273=DIRECTION('',(0.,0.,1.)); #356274=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #356275=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #356276=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #356277=DIRECTION('',(5.55111499297693E-15,1.,0.)); #356278=DIRECTION('',(0.,0.,1.)); #356279=DIRECTION('center_axis',(0.,0.,1.)); #356280=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #356281=DIRECTION('center_axis',(0.,0.,1.)); #356282=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #356283=DIRECTION('center_axis',(0.,0.,1.)); #356284=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #356285=DIRECTION('center_axis',(0.,0.,1.)); #356286=DIRECTION('ref_axis',(1.,0.,0.)); #356287=DIRECTION('center_axis',(0.,0.,1.)); #356288=DIRECTION('ref_axis',(1.,0.,0.)); #356289=DIRECTION('center_axis',(0.,0.,1.)); #356290=DIRECTION('ref_axis',(1.,0.,0.)); #356291=DIRECTION('center_axis',(0.,0.,-1.)); #356292=DIRECTION('ref_axis',(1.,0.,0.)); #356293=DIRECTION('',(0.,0.,-1.)); #356294=DIRECTION('center_axis',(0.,0.,1.)); #356295=DIRECTION('ref_axis',(1.,0.,0.)); #356296=DIRECTION('center_axis',(0.,0.,1.)); #356297=DIRECTION('ref_axis',(1.,0.,0.)); #356298=DIRECTION('center_axis',(0.,0.,1.)); #356299=DIRECTION('ref_axis',(1.,0.,0.)); #356300=DIRECTION('',(0.,0.,-1.)); #356301=DIRECTION('center_axis',(0.,0.,1.)); #356302=DIRECTION('ref_axis',(1.,0.,0.)); #356303=DIRECTION('center_axis',(0.,0.,1.)); #356304=DIRECTION('ref_axis',(1.,0.,0.)); #356305=DIRECTION('center_axis',(0.,0.,1.)); #356306=DIRECTION('ref_axis',(1.,0.,0.)); #356307=DIRECTION('center_axis',(0.,0.,1.)); #356308=DIRECTION('ref_axis',(1.,0.,0.)); #356309=DIRECTION('center_axis',(0.,0.,-1.)); #356310=DIRECTION('ref_axis',(1.,0.,0.)); #356311=DIRECTION('',(0.,0.,-1.)); #356312=DIRECTION('center_axis',(0.,0.,1.)); #356313=DIRECTION('ref_axis',(1.,0.,0.)); #356314=DIRECTION('center_axis',(0.,0.,1.)); #356315=DIRECTION('ref_axis',(1.,0.,0.)); #356316=DIRECTION('center_axis',(0.,0.,1.)); #356317=DIRECTION('ref_axis',(1.,0.,0.)); #356318=DIRECTION('',(0.,0.,-1.)); #356319=DIRECTION('center_axis',(0.,0.,1.)); #356320=DIRECTION('ref_axis',(1.,0.,0.)); #356321=DIRECTION('center_axis',(0.,0.,1.)); #356322=DIRECTION('ref_axis',(1.,0.,0.)); #356323=DIRECTION('center_axis',(0.,0.,1.)); #356324=DIRECTION('ref_axis',(1.,0.,0.)); #356325=DIRECTION('center_axis',(0.,0.,1.)); #356326=DIRECTION('ref_axis',(1.,0.,0.)); #356327=DIRECTION('center_axis',(0.,0.,-1.)); #356328=DIRECTION('ref_axis',(1.,0.,0.)); #356329=DIRECTION('',(0.,0.,-1.)); #356330=DIRECTION('center_axis',(0.,0.,1.)); #356331=DIRECTION('ref_axis',(1.,0.,0.)); #356332=DIRECTION('center_axis',(0.,0.,1.)); #356333=DIRECTION('ref_axis',(1.,0.,0.)); #356334=DIRECTION('center_axis',(0.,0.,1.)); #356335=DIRECTION('ref_axis',(1.,0.,0.)); #356336=DIRECTION('',(0.,0.,-1.)); #356337=DIRECTION('center_axis',(0.,0.,1.)); #356338=DIRECTION('ref_axis',(1.,0.,0.)); #356339=DIRECTION('center_axis',(0.,0.,1.)); #356340=DIRECTION('ref_axis',(1.,0.,0.)); #356341=DIRECTION('center_axis',(0.,0.,1.)); #356342=DIRECTION('ref_axis',(1.,0.,0.)); #356343=DIRECTION('center_axis',(0.,0.,1.)); #356344=DIRECTION('ref_axis',(1.,0.,0.)); #356345=DIRECTION('center_axis',(0.,0.,-1.)); #356346=DIRECTION('ref_axis',(1.,0.,0.)); #356347=DIRECTION('',(0.,0.,-1.)); #356348=DIRECTION('center_axis',(0.,0.,1.)); #356349=DIRECTION('ref_axis',(1.,0.,0.)); #356350=DIRECTION('center_axis',(0.,0.,1.)); #356351=DIRECTION('ref_axis',(1.,0.,0.)); #356352=DIRECTION('center_axis',(0.,0.,1.)); #356353=DIRECTION('ref_axis',(1.,0.,0.)); #356354=DIRECTION('',(0.,0.,-1.)); #356355=DIRECTION('center_axis',(0.,0.,1.)); #356356=DIRECTION('ref_axis',(1.,0.,0.)); #356357=DIRECTION('center_axis',(0.,0.,1.)); #356358=DIRECTION('ref_axis',(1.,0.,0.)); #356359=DIRECTION('center_axis',(0.,0.,1.)); #356360=DIRECTION('ref_axis',(1.,0.,0.)); #356361=DIRECTION('center_axis',(0.,0.,1.)); #356362=DIRECTION('ref_axis',(1.,0.,0.)); #356363=DIRECTION('center_axis',(0.,0.,-1.)); #356364=DIRECTION('ref_axis',(1.,0.,0.)); #356365=DIRECTION('',(0.,0.,-1.)); #356366=DIRECTION('center_axis',(0.,0.,1.)); #356367=DIRECTION('ref_axis',(1.,0.,0.)); #356368=DIRECTION('center_axis',(0.,0.,1.)); #356369=DIRECTION('ref_axis',(1.,0.,0.)); #356370=DIRECTION('center_axis',(0.,0.,1.)); #356371=DIRECTION('ref_axis',(1.,0.,0.)); #356372=DIRECTION('',(0.,0.,-1.)); #356373=DIRECTION('center_axis',(0.,0.,1.)); #356374=DIRECTION('ref_axis',(1.,0.,0.)); #356375=DIRECTION('center_axis',(0.,0.,1.)); #356376=DIRECTION('ref_axis',(1.,0.,0.)); #356377=DIRECTION('center_axis',(0.,0.,1.)); #356378=DIRECTION('ref_axis',(1.,0.,0.)); #356379=DIRECTION('center_axis',(0.,0.,1.)); #356380=DIRECTION('ref_axis',(1.,0.,0.)); #356381=DIRECTION('center_axis',(0.,0.,-1.)); #356382=DIRECTION('ref_axis',(1.,0.,0.)); #356383=DIRECTION('',(0.,0.,-1.)); #356384=DIRECTION('center_axis',(0.,0.,1.)); #356385=DIRECTION('ref_axis',(1.,0.,0.)); #356386=DIRECTION('center_axis',(0.,0.,1.)); #356387=DIRECTION('ref_axis',(1.,0.,0.)); #356388=DIRECTION('center_axis',(0.,0.,1.)); #356389=DIRECTION('ref_axis',(1.,0.,0.)); #356390=DIRECTION('',(0.,0.,-1.)); #356391=DIRECTION('center_axis',(0.,0.,1.)); #356392=DIRECTION('ref_axis',(1.,0.,0.)); #356393=DIRECTION('center_axis',(0.,0.,1.)); #356394=DIRECTION('ref_axis',(1.,0.,0.)); #356395=DIRECTION('center_axis',(0.,0.,1.)); #356396=DIRECTION('ref_axis',(1.,0.,0.)); #356397=DIRECTION('center_axis',(0.,0.,1.)); #356398=DIRECTION('ref_axis',(1.,0.,0.)); #356399=DIRECTION('center_axis',(0.,0.,-1.)); #356400=DIRECTION('ref_axis',(1.,0.,0.)); #356401=DIRECTION('',(0.,0.,-1.)); #356402=DIRECTION('center_axis',(0.,0.,1.)); #356403=DIRECTION('ref_axis',(1.,0.,0.)); #356404=DIRECTION('center_axis',(0.,0.,1.)); #356405=DIRECTION('ref_axis',(1.,0.,0.)); #356406=DIRECTION('center_axis',(0.,0.,1.)); #356407=DIRECTION('ref_axis',(1.,0.,0.)); #356408=DIRECTION('',(0.,0.,-1.)); #356409=DIRECTION('center_axis',(0.,0.,1.)); #356410=DIRECTION('ref_axis',(1.,0.,0.)); #356411=DIRECTION('center_axis',(0.,0.,1.)); #356412=DIRECTION('ref_axis',(1.,0.,0.)); #356413=DIRECTION('center_axis',(0.,0.,1.)); #356414=DIRECTION('ref_axis',(1.,0.,0.)); #356415=DIRECTION('center_axis',(0.,0.,1.)); #356416=DIRECTION('ref_axis',(1.,0.,0.)); #356417=DIRECTION('center_axis',(0.,0.,-1.)); #356418=DIRECTION('ref_axis',(1.,0.,0.)); #356419=DIRECTION('',(0.,0.,-1.)); #356420=DIRECTION('center_axis',(0.,0.,1.)); #356421=DIRECTION('ref_axis',(1.,0.,0.)); #356422=DIRECTION('center_axis',(0.,0.,1.)); #356423=DIRECTION('ref_axis',(1.,0.,0.)); #356424=DIRECTION('center_axis',(0.,0.,1.)); #356425=DIRECTION('ref_axis',(1.,0.,0.)); #356426=DIRECTION('',(0.,0.,-1.)); #356427=DIRECTION('center_axis',(0.,0.,1.)); #356428=DIRECTION('ref_axis',(1.,0.,0.)); #356429=DIRECTION('center_axis',(0.,0.,1.)); #356430=DIRECTION('ref_axis',(1.,0.,0.)); #356431=DIRECTION('center_axis',(0.,0.,1.)); #356432=DIRECTION('ref_axis',(1.,0.,0.)); #356433=DIRECTION('center_axis',(0.,0.,1.)); #356434=DIRECTION('ref_axis',(1.,0.,0.)); #356435=DIRECTION('center_axis',(0.,0.,-1.)); #356436=DIRECTION('ref_axis',(1.,0.,0.)); #356437=DIRECTION('',(0.,0.,-1.)); #356438=DIRECTION('center_axis',(0.,0.,1.)); #356439=DIRECTION('ref_axis',(1.,0.,0.)); #356440=DIRECTION('center_axis',(0.,0.,1.)); #356441=DIRECTION('ref_axis',(1.,0.,0.)); #356442=DIRECTION('center_axis',(0.,0.,1.)); #356443=DIRECTION('ref_axis',(1.,0.,0.)); #356444=DIRECTION('',(0.,0.,-1.)); #356445=DIRECTION('center_axis',(0.,0.,1.)); #356446=DIRECTION('ref_axis',(1.,0.,0.)); #356447=DIRECTION('center_axis',(0.,0.,1.)); #356448=DIRECTION('ref_axis',(1.,0.,0.)); #356449=DIRECTION('center_axis',(0.,0.,1.)); #356450=DIRECTION('ref_axis',(1.,0.,0.)); #356451=DIRECTION('center_axis',(0.,0.,1.)); #356452=DIRECTION('ref_axis',(1.,0.,0.)); #356453=DIRECTION('center_axis',(0.,0.,-1.)); #356454=DIRECTION('ref_axis',(1.,0.,0.)); #356455=DIRECTION('',(0.,0.,-1.)); #356456=DIRECTION('center_axis',(0.,0.,1.)); #356457=DIRECTION('ref_axis',(1.,0.,0.)); #356458=DIRECTION('center_axis',(0.,0.,1.)); #356459=DIRECTION('ref_axis',(1.,0.,0.)); #356460=DIRECTION('center_axis',(0.,0.,1.)); #356461=DIRECTION('ref_axis',(1.,0.,0.)); #356462=DIRECTION('',(0.,0.,-1.)); #356463=DIRECTION('center_axis',(0.,0.,1.)); #356464=DIRECTION('ref_axis',(1.,0.,0.)); #356465=DIRECTION('center_axis',(0.,0.,1.)); #356466=DIRECTION('ref_axis',(1.,0.,0.)); #356467=DIRECTION('center_axis',(0.,0.,1.)); #356468=DIRECTION('ref_axis',(1.,0.,0.)); #356469=DIRECTION('center_axis',(0.,-1.,0.)); #356470=DIRECTION('ref_axis',(1.,0.,0.)); #356471=DIRECTION('',(1.,0.,0.)); #356472=DIRECTION('',(0.,0.,1.)); #356473=DIRECTION('',(1.,0.,0.)); #356474=DIRECTION('',(0.,0.,1.)); #356475=DIRECTION('center_axis',(0.,0.,1.)); #356476=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #356477=DIRECTION('center_axis',(0.,0.,1.)); #356478=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #356479=DIRECTION('center_axis',(0.,0.,-1.)); #356480=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #356481=DIRECTION('',(0.,0.,1.)); #356482=DIRECTION('center_axis',(0.,1.,0.)); #356483=DIRECTION('ref_axis',(-1.,0.,0.)); #356484=DIRECTION('',(-1.,0.,0.)); #356485=DIRECTION('',(-1.,0.,0.)); #356486=DIRECTION('',(0.,0.,1.)); #356487=DIRECTION('center_axis',(0.,0.,1.)); #356488=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #356489=DIRECTION('center_axis',(0.,0.,1.)); #356490=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #356491=DIRECTION('center_axis',(0.,0.,-1.)); #356492=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #356493=DIRECTION('center_axis',(-7.40148643419025E-15,-1.,0.)); #356494=DIRECTION('ref_axis',(1.,-7.40148643419025E-15,0.)); #356495=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #356496=DIRECTION('',(0.,0.,1.)); #356497=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #356498=DIRECTION('',(0.,0.,1.)); #356499=DIRECTION('center_axis',(0.,0.,1.)); #356500=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #356501=DIRECTION('center_axis',(0.,0.,1.)); #356502=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #356503=DIRECTION('center_axis',(0.,0.,1.)); #356504=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #356505=DIRECTION('',(0.,0.,1.)); #356506=DIRECTION('center_axis',(7.40148643419025E-15,1.,0.)); #356507=DIRECTION('ref_axis',(-1.,7.40148643419025E-15,0.)); #356508=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #356509=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #356510=DIRECTION('',(0.,0.,1.)); #356511=DIRECTION('center_axis',(0.,0.,1.)); #356512=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #356513=DIRECTION('center_axis',(0.,0.,1.)); #356514=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #356515=DIRECTION('center_axis',(0.,0.,1.)); #356516=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #356517=DIRECTION('center_axis',(0.,0.,1.)); #356518=DIRECTION('ref_axis',(1.,0.,0.)); #356519=DIRECTION('center_axis',(0.,0.,1.)); #356520=DIRECTION('ref_axis',(1.,0.,0.)); #356521=DIRECTION('center_axis',(0.,0.,1.)); #356522=DIRECTION('ref_axis',(1.,0.,0.)); #356523=DIRECTION('center_axis',(0.,0.,-1.)); #356524=DIRECTION('ref_axis',(1.,0.,0.)); #356525=DIRECTION('',(0.,0.,-1.)); #356526=DIRECTION('center_axis',(0.,0.,1.)); #356527=DIRECTION('ref_axis',(1.,0.,0.)); #356528=DIRECTION('center_axis',(0.,0.,1.)); #356529=DIRECTION('ref_axis',(1.,0.,0.)); #356530=DIRECTION('center_axis',(0.,0.,1.)); #356531=DIRECTION('ref_axis',(1.,0.,0.)); #356532=DIRECTION('',(0.,0.,-1.)); #356533=DIRECTION('center_axis',(0.,0.,1.)); #356534=DIRECTION('ref_axis',(1.,0.,0.)); #356535=DIRECTION('center_axis',(0.,0.,1.)); #356536=DIRECTION('ref_axis',(1.,0.,0.)); #356537=DIRECTION('center_axis',(0.,0.,1.)); #356538=DIRECTION('ref_axis',(1.,0.,0.)); #356539=DIRECTION('center_axis',(0.,0.,1.)); #356540=DIRECTION('ref_axis',(1.,0.,0.)); #356541=DIRECTION('center_axis',(0.,0.,-1.)); #356542=DIRECTION('ref_axis',(1.,0.,0.)); #356543=DIRECTION('',(0.,0.,-1.)); #356544=DIRECTION('center_axis',(0.,0.,1.)); #356545=DIRECTION('ref_axis',(1.,0.,0.)); #356546=DIRECTION('center_axis',(0.,0.,1.)); #356547=DIRECTION('ref_axis',(1.,0.,0.)); #356548=DIRECTION('center_axis',(0.,0.,1.)); #356549=DIRECTION('ref_axis',(1.,0.,0.)); #356550=DIRECTION('',(0.,0.,-1.)); #356551=DIRECTION('center_axis',(0.,0.,1.)); #356552=DIRECTION('ref_axis',(1.,0.,0.)); #356553=DIRECTION('center_axis',(0.,0.,1.)); #356554=DIRECTION('ref_axis',(1.,0.,0.)); #356555=DIRECTION('center_axis',(0.,0.,1.)); #356556=DIRECTION('ref_axis',(1.,0.,0.)); #356557=DIRECTION('center_axis',(0.,0.,1.)); #356558=DIRECTION('ref_axis',(1.,0.,0.)); #356559=DIRECTION('center_axis',(0.,0.,-1.)); #356560=DIRECTION('ref_axis',(1.,0.,0.)); #356561=DIRECTION('',(0.,0.,-1.)); #356562=DIRECTION('center_axis',(0.,0.,1.)); #356563=DIRECTION('ref_axis',(1.,0.,0.)); #356564=DIRECTION('center_axis',(0.,0.,1.)); #356565=DIRECTION('ref_axis',(1.,0.,0.)); #356566=DIRECTION('center_axis',(0.,0.,1.)); #356567=DIRECTION('ref_axis',(1.,0.,0.)); #356568=DIRECTION('',(0.,0.,-1.)); #356569=DIRECTION('center_axis',(0.,0.,1.)); #356570=DIRECTION('ref_axis',(1.,0.,0.)); #356571=DIRECTION('center_axis',(0.,0.,1.)); #356572=DIRECTION('ref_axis',(1.,0.,0.)); #356573=DIRECTION('center_axis',(0.,0.,1.)); #356574=DIRECTION('ref_axis',(1.,0.,0.)); #356575=DIRECTION('center_axis',(0.,-1.,0.)); #356576=DIRECTION('ref_axis',(1.,0.,0.)); #356577=DIRECTION('',(1.,0.,0.)); #356578=DIRECTION('',(0.,0.,1.)); #356579=DIRECTION('',(1.,0.,0.)); #356580=DIRECTION('',(0.,0.,1.)); #356581=DIRECTION('center_axis',(0.,0.,1.)); #356582=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #356583=DIRECTION('center_axis',(0.,0.,1.)); #356584=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #356585=DIRECTION('center_axis',(0.,0.,-1.)); #356586=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #356587=DIRECTION('',(0.,0.,1.)); #356588=DIRECTION('center_axis',(0.,1.,0.)); #356589=DIRECTION('ref_axis',(-1.,0.,0.)); #356590=DIRECTION('',(-1.,0.,0.)); #356591=DIRECTION('',(-1.,0.,0.)); #356592=DIRECTION('',(0.,0.,1.)); #356593=DIRECTION('center_axis',(0.,0.,1.)); #356594=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #356595=DIRECTION('center_axis',(0.,0.,1.)); #356596=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #356597=DIRECTION('center_axis',(0.,0.,-1.)); #356598=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #356599=DIRECTION('center_axis',(-7.40148643419025E-15,-1.,0.)); #356600=DIRECTION('ref_axis',(1.,-7.40148643419025E-15,0.)); #356601=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #356602=DIRECTION('',(0.,0.,1.)); #356603=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #356604=DIRECTION('',(0.,0.,1.)); #356605=DIRECTION('center_axis',(0.,0.,1.)); #356606=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #356607=DIRECTION('center_axis',(0.,0.,1.)); #356608=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #356609=DIRECTION('center_axis',(0.,0.,1.)); #356610=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #356611=DIRECTION('',(0.,0.,1.)); #356612=DIRECTION('center_axis',(7.40148643419025E-15,1.,0.)); #356613=DIRECTION('ref_axis',(-1.,7.40148643419025E-15,0.)); #356614=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #356615=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #356616=DIRECTION('',(0.,0.,1.)); #356617=DIRECTION('center_axis',(0.,0.,1.)); #356618=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #356619=DIRECTION('center_axis',(0.,0.,1.)); #356620=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #356621=DIRECTION('center_axis',(0.,0.,1.)); #356622=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #356623=DIRECTION('center_axis',(0.,0.,1.)); #356624=DIRECTION('ref_axis',(1.,0.,0.)); #356625=DIRECTION('center_axis',(0.,0.,1.)); #356626=DIRECTION('ref_axis',(1.,0.,0.)); #356627=DIRECTION('center_axis',(0.,0.,1.)); #356628=DIRECTION('ref_axis',(1.,0.,0.)); #356629=DIRECTION('center_axis',(0.,0.,-1.)); #356630=DIRECTION('ref_axis',(1.,0.,0.)); #356631=DIRECTION('',(0.,0.,-1.)); #356632=DIRECTION('center_axis',(0.,0.,1.)); #356633=DIRECTION('ref_axis',(1.,0.,0.)); #356634=DIRECTION('center_axis',(0.,0.,1.)); #356635=DIRECTION('ref_axis',(1.,0.,0.)); #356636=DIRECTION('center_axis',(0.,0.,1.)); #356637=DIRECTION('ref_axis',(1.,0.,0.)); #356638=DIRECTION('',(0.,0.,-1.)); #356639=DIRECTION('center_axis',(0.,0.,1.)); #356640=DIRECTION('ref_axis',(1.,0.,0.)); #356641=DIRECTION('center_axis',(0.,0.,1.)); #356642=DIRECTION('ref_axis',(1.,0.,0.)); #356643=DIRECTION('center_axis',(0.,0.,1.)); #356644=DIRECTION('ref_axis',(1.,0.,0.)); #356645=DIRECTION('center_axis',(-1.,0.,0.)); #356646=DIRECTION('ref_axis',(0.,-1.,0.)); #356647=DIRECTION('',(0.,-1.,0.)); #356648=DIRECTION('',(0.,0.,1.)); #356649=DIRECTION('',(0.,-1.,0.)); #356650=DIRECTION('',(0.,0.,1.)); #356651=DIRECTION('center_axis',(0.,0.,1.)); #356652=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #356653=DIRECTION('center_axis',(0.,0.,1.)); #356654=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #356655=DIRECTION('center_axis',(0.,0.,-1.)); #356656=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #356657=DIRECTION('',(0.,0.,1.)); #356658=DIRECTION('center_axis',(1.,0.,0.)); #356659=DIRECTION('ref_axis',(0.,1.,0.)); #356660=DIRECTION('',(0.,1.,0.)); #356661=DIRECTION('',(0.,1.,0.)); #356662=DIRECTION('',(0.,0.,1.)); #356663=DIRECTION('center_axis',(0.,0.,1.)); #356664=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #356665=DIRECTION('center_axis',(0.,0.,1.)); #356666=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #356667=DIRECTION('center_axis',(0.,0.,-1.)); #356668=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #356669=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #356670=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #356671=DIRECTION('',(5.55111499297693E-15,1.,0.)); #356672=DIRECTION('',(0.,0.,1.)); #356673=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #356674=DIRECTION('',(0.,0.,1.)); #356675=DIRECTION('center_axis',(0.,0.,1.)); #356676=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #356677=DIRECTION('center_axis',(0.,0.,1.)); #356678=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #356679=DIRECTION('center_axis',(0.,0.,1.)); #356680=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #356681=DIRECTION('',(0.,0.,1.)); #356682=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #356683=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #356684=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #356685=DIRECTION('',(5.55111499297693E-15,1.,0.)); #356686=DIRECTION('',(0.,0.,1.)); #356687=DIRECTION('center_axis',(0.,0.,1.)); #356688=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #356689=DIRECTION('center_axis',(0.,0.,1.)); #356690=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #356691=DIRECTION('center_axis',(0.,0.,1.)); #356692=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #356693=DIRECTION('center_axis',(0.,0.,1.)); #356694=DIRECTION('ref_axis',(1.,0.,0.)); #356695=DIRECTION('center_axis',(0.,0.,1.)); #356696=DIRECTION('ref_axis',(1.,0.,0.)); #356697=DIRECTION('center_axis',(0.,0.,1.)); #356698=DIRECTION('ref_axis',(1.,0.,0.)); #356699=DIRECTION('center_axis',(0.,0.,-1.)); #356700=DIRECTION('ref_axis',(1.,0.,0.)); #356701=DIRECTION('',(0.,0.,-1.)); #356702=DIRECTION('center_axis',(0.,0.,1.)); #356703=DIRECTION('ref_axis',(1.,0.,0.)); #356704=DIRECTION('center_axis',(0.,0.,1.)); #356705=DIRECTION('ref_axis',(1.,0.,0.)); #356706=DIRECTION('center_axis',(0.,0.,1.)); #356707=DIRECTION('ref_axis',(1.,0.,0.)); #356708=DIRECTION('',(0.,0.,-1.)); #356709=DIRECTION('center_axis',(0.,0.,1.)); #356710=DIRECTION('ref_axis',(1.,0.,0.)); #356711=DIRECTION('center_axis',(0.,0.,1.)); #356712=DIRECTION('ref_axis',(1.,0.,0.)); #356713=DIRECTION('center_axis',(0.,0.,1.)); #356714=DIRECTION('ref_axis',(1.,0.,0.)); #356715=DIRECTION('center_axis',(0.,0.,1.)); #356716=DIRECTION('ref_axis',(1.,0.,0.)); #356717=DIRECTION('center_axis',(0.,0.,-1.)); #356718=DIRECTION('ref_axis',(1.,0.,0.)); #356719=DIRECTION('',(0.,0.,-1.)); #356720=DIRECTION('center_axis',(0.,0.,1.)); #356721=DIRECTION('ref_axis',(1.,0.,0.)); #356722=DIRECTION('center_axis',(0.,0.,1.)); #356723=DIRECTION('ref_axis',(1.,0.,0.)); #356724=DIRECTION('center_axis',(0.,0.,1.)); #356725=DIRECTION('ref_axis',(1.,0.,0.)); #356726=DIRECTION('',(0.,0.,-1.)); #356727=DIRECTION('center_axis',(0.,0.,1.)); #356728=DIRECTION('ref_axis',(1.,0.,0.)); #356729=DIRECTION('center_axis',(0.,0.,1.)); #356730=DIRECTION('ref_axis',(1.,0.,0.)); #356731=DIRECTION('center_axis',(0.,0.,1.)); #356732=DIRECTION('ref_axis',(1.,0.,0.)); #356733=DIRECTION('center_axis',(0.,0.,1.)); #356734=DIRECTION('ref_axis',(1.,0.,0.)); #356735=DIRECTION('center_axis',(0.,0.,-1.)); #356736=DIRECTION('ref_axis',(1.,0.,0.)); #356737=DIRECTION('',(0.,0.,-1.)); #356738=DIRECTION('center_axis',(0.,0.,1.)); #356739=DIRECTION('ref_axis',(1.,0.,0.)); #356740=DIRECTION('center_axis',(0.,0.,1.)); #356741=DIRECTION('ref_axis',(1.,0.,0.)); #356742=DIRECTION('center_axis',(0.,0.,1.)); #356743=DIRECTION('ref_axis',(1.,0.,0.)); #356744=DIRECTION('',(0.,0.,-1.)); #356745=DIRECTION('center_axis',(0.,0.,1.)); #356746=DIRECTION('ref_axis',(1.,0.,0.)); #356747=DIRECTION('center_axis',(0.,0.,1.)); #356748=DIRECTION('ref_axis',(1.,0.,0.)); #356749=DIRECTION('center_axis',(0.,0.,1.)); #356750=DIRECTION('ref_axis',(1.,0.,0.)); #356751=DIRECTION('center_axis',(0.,0.,1.)); #356752=DIRECTION('ref_axis',(1.,0.,0.)); #356753=DIRECTION('center_axis',(0.,0.,-1.)); #356754=DIRECTION('ref_axis',(1.,0.,0.)); #356755=DIRECTION('',(0.,0.,-1.)); #356756=DIRECTION('center_axis',(0.,0.,1.)); #356757=DIRECTION('ref_axis',(1.,0.,0.)); #356758=DIRECTION('center_axis',(0.,0.,1.)); #356759=DIRECTION('ref_axis',(1.,0.,0.)); #356760=DIRECTION('center_axis',(0.,0.,1.)); #356761=DIRECTION('ref_axis',(1.,0.,0.)); #356762=DIRECTION('',(0.,0.,-1.)); #356763=DIRECTION('center_axis',(0.,0.,1.)); #356764=DIRECTION('ref_axis',(1.,0.,0.)); #356765=DIRECTION('center_axis',(0.,0.,1.)); #356766=DIRECTION('ref_axis',(1.,0.,0.)); #356767=DIRECTION('center_axis',(0.,0.,1.)); #356768=DIRECTION('ref_axis',(1.,0.,0.)); #356769=DIRECTION('center_axis',(0.,0.,1.)); #356770=DIRECTION('ref_axis',(1.,0.,0.)); #356771=DIRECTION('center_axis',(0.,0.,-1.)); #356772=DIRECTION('ref_axis',(1.,0.,0.)); #356773=DIRECTION('',(0.,0.,-1.)); #356774=DIRECTION('center_axis',(0.,0.,1.)); #356775=DIRECTION('ref_axis',(1.,0.,0.)); #356776=DIRECTION('center_axis',(0.,0.,1.)); #356777=DIRECTION('ref_axis',(1.,0.,0.)); #356778=DIRECTION('center_axis',(0.,0.,1.)); #356779=DIRECTION('ref_axis',(1.,0.,0.)); #356780=DIRECTION('',(0.,0.,-1.)); #356781=DIRECTION('center_axis',(0.,0.,1.)); #356782=DIRECTION('ref_axis',(1.,0.,0.)); #356783=DIRECTION('center_axis',(0.,0.,1.)); #356784=DIRECTION('ref_axis',(1.,0.,0.)); #356785=DIRECTION('center_axis',(0.,0.,1.)); #356786=DIRECTION('ref_axis',(1.,0.,0.)); #356787=DIRECTION('center_axis',(0.,0.,1.)); #356788=DIRECTION('ref_axis',(1.,0.,0.)); #356789=DIRECTION('center_axis',(0.,0.,-1.)); #356790=DIRECTION('ref_axis',(1.,0.,0.)); #356791=DIRECTION('',(0.,0.,-1.)); #356792=DIRECTION('center_axis',(0.,0.,1.)); #356793=DIRECTION('ref_axis',(1.,0.,0.)); #356794=DIRECTION('center_axis',(0.,0.,1.)); #356795=DIRECTION('ref_axis',(1.,0.,0.)); #356796=DIRECTION('center_axis',(0.,0.,1.)); #356797=DIRECTION('ref_axis',(1.,0.,0.)); #356798=DIRECTION('',(0.,0.,-1.)); #356799=DIRECTION('center_axis',(0.,0.,1.)); #356800=DIRECTION('ref_axis',(1.,0.,0.)); #356801=DIRECTION('center_axis',(0.,0.,1.)); #356802=DIRECTION('ref_axis',(1.,0.,0.)); #356803=DIRECTION('center_axis',(0.,0.,1.)); #356804=DIRECTION('ref_axis',(1.,0.,0.)); #356805=DIRECTION('center_axis',(0.,0.,1.)); #356806=DIRECTION('ref_axis',(1.,0.,0.)); #356807=DIRECTION('center_axis',(0.,0.,-1.)); #356808=DIRECTION('ref_axis',(1.,0.,0.)); #356809=DIRECTION('',(0.,0.,-1.)); #356810=DIRECTION('center_axis',(0.,0.,1.)); #356811=DIRECTION('ref_axis',(1.,0.,0.)); #356812=DIRECTION('center_axis',(0.,0.,1.)); #356813=DIRECTION('ref_axis',(1.,0.,0.)); #356814=DIRECTION('center_axis',(0.,0.,1.)); #356815=DIRECTION('ref_axis',(1.,0.,0.)); #356816=DIRECTION('',(0.,0.,-1.)); #356817=DIRECTION('center_axis',(0.,0.,1.)); #356818=DIRECTION('ref_axis',(1.,0.,0.)); #356819=DIRECTION('center_axis',(0.,0.,1.)); #356820=DIRECTION('ref_axis',(1.,0.,0.)); #356821=DIRECTION('center_axis',(0.,0.,1.)); #356822=DIRECTION('ref_axis',(1.,0.,0.)); #356823=DIRECTION('center_axis',(0.,0.,1.)); #356824=DIRECTION('ref_axis',(1.,0.,0.)); #356825=DIRECTION('center_axis',(0.,0.,-1.)); #356826=DIRECTION('ref_axis',(1.,0.,0.)); #356827=DIRECTION('',(0.,0.,-1.)); #356828=DIRECTION('center_axis',(0.,0.,1.)); #356829=DIRECTION('ref_axis',(1.,0.,0.)); #356830=DIRECTION('center_axis',(0.,0.,1.)); #356831=DIRECTION('ref_axis',(1.,0.,0.)); #356832=DIRECTION('center_axis',(0.,0.,1.)); #356833=DIRECTION('ref_axis',(1.,0.,0.)); #356834=DIRECTION('',(0.,0.,-1.)); #356835=DIRECTION('center_axis',(0.,0.,1.)); #356836=DIRECTION('ref_axis',(1.,0.,0.)); #356837=DIRECTION('center_axis',(0.,0.,1.)); #356838=DIRECTION('ref_axis',(1.,0.,0.)); #356839=DIRECTION('center_axis',(0.,0.,1.)); #356840=DIRECTION('ref_axis',(1.,0.,0.)); #356841=DIRECTION('center_axis',(0.,0.,1.)); #356842=DIRECTION('ref_axis',(1.,0.,0.)); #356843=DIRECTION('center_axis',(0.,0.,-1.)); #356844=DIRECTION('ref_axis',(1.,0.,0.)); #356845=DIRECTION('',(0.,0.,-1.)); #356846=DIRECTION('center_axis',(0.,0.,1.)); #356847=DIRECTION('ref_axis',(1.,0.,0.)); #356848=DIRECTION('center_axis',(0.,0.,1.)); #356849=DIRECTION('ref_axis',(1.,0.,0.)); #356850=DIRECTION('center_axis',(0.,0.,1.)); #356851=DIRECTION('ref_axis',(1.,0.,0.)); #356852=DIRECTION('',(0.,0.,-1.)); #356853=DIRECTION('center_axis',(0.,0.,1.)); #356854=DIRECTION('ref_axis',(1.,0.,0.)); #356855=DIRECTION('center_axis',(0.,0.,1.)); #356856=DIRECTION('ref_axis',(1.,0.,0.)); #356857=DIRECTION('center_axis',(0.,0.,1.)); #356858=DIRECTION('ref_axis',(1.,0.,0.)); #356859=DIRECTION('center_axis',(0.,0.,1.)); #356860=DIRECTION('ref_axis',(1.,0.,0.)); #356861=DIRECTION('center_axis',(0.,0.,-1.)); #356862=DIRECTION('ref_axis',(1.,0.,0.)); #356863=DIRECTION('',(0.,0.,-1.)); #356864=DIRECTION('center_axis',(0.,0.,1.)); #356865=DIRECTION('ref_axis',(1.,0.,0.)); #356866=DIRECTION('center_axis',(0.,0.,1.)); #356867=DIRECTION('ref_axis',(1.,0.,0.)); #356868=DIRECTION('center_axis',(0.,0.,1.)); #356869=DIRECTION('ref_axis',(1.,0.,0.)); #356870=DIRECTION('',(0.,0.,-1.)); #356871=DIRECTION('center_axis',(0.,0.,1.)); #356872=DIRECTION('ref_axis',(1.,0.,0.)); #356873=DIRECTION('center_axis',(0.,0.,1.)); #356874=DIRECTION('ref_axis',(1.,0.,0.)); #356875=DIRECTION('center_axis',(0.,0.,1.)); #356876=DIRECTION('ref_axis',(1.,0.,0.)); #356877=DIRECTION('center_axis',(0.,0.,1.)); #356878=DIRECTION('ref_axis',(1.,0.,0.)); #356879=DIRECTION('center_axis',(0.,0.,-1.)); #356880=DIRECTION('ref_axis',(1.,0.,0.)); #356881=DIRECTION('',(0.,0.,-1.)); #356882=DIRECTION('center_axis',(0.,0.,1.)); #356883=DIRECTION('ref_axis',(1.,0.,0.)); #356884=DIRECTION('center_axis',(0.,0.,1.)); #356885=DIRECTION('ref_axis',(1.,0.,0.)); #356886=DIRECTION('center_axis',(0.,0.,1.)); #356887=DIRECTION('ref_axis',(1.,0.,0.)); #356888=DIRECTION('',(0.,0.,-1.)); #356889=DIRECTION('center_axis',(0.,0.,1.)); #356890=DIRECTION('ref_axis',(1.,0.,0.)); #356891=DIRECTION('center_axis',(0.,0.,1.)); #356892=DIRECTION('ref_axis',(1.,0.,0.)); #356893=DIRECTION('center_axis',(0.,0.,1.)); #356894=DIRECTION('ref_axis',(1.,0.,0.)); #356895=DIRECTION('center_axis',(0.,0.,1.)); #356896=DIRECTION('ref_axis',(1.,0.,0.)); #356897=DIRECTION('center_axis',(0.,0.,-1.)); #356898=DIRECTION('ref_axis',(1.,0.,0.)); #356899=DIRECTION('',(0.,0.,-1.)); #356900=DIRECTION('center_axis',(0.,0.,1.)); #356901=DIRECTION('ref_axis',(1.,0.,0.)); #356902=DIRECTION('center_axis',(0.,0.,1.)); #356903=DIRECTION('ref_axis',(1.,0.,0.)); #356904=DIRECTION('center_axis',(0.,0.,1.)); #356905=DIRECTION('ref_axis',(1.,0.,0.)); #356906=DIRECTION('',(0.,0.,-1.)); #356907=DIRECTION('center_axis',(0.,0.,1.)); #356908=DIRECTION('ref_axis',(1.,0.,0.)); #356909=DIRECTION('center_axis',(0.,0.,1.)); #356910=DIRECTION('ref_axis',(1.,0.,0.)); #356911=DIRECTION('center_axis',(0.,0.,1.)); #356912=DIRECTION('ref_axis',(1.,0.,0.)); #356913=DIRECTION('center_axis',(0.,0.,1.)); #356914=DIRECTION('ref_axis',(1.,0.,0.)); #356915=DIRECTION('center_axis',(0.,0.,-1.)); #356916=DIRECTION('ref_axis',(1.,0.,0.)); #356917=DIRECTION('',(0.,0.,-1.)); #356918=DIRECTION('center_axis',(0.,0.,1.)); #356919=DIRECTION('ref_axis',(1.,0.,0.)); #356920=DIRECTION('center_axis',(0.,0.,1.)); #356921=DIRECTION('ref_axis',(1.,0.,0.)); #356922=DIRECTION('center_axis',(0.,0.,1.)); #356923=DIRECTION('ref_axis',(1.,0.,0.)); #356924=DIRECTION('',(0.,0.,-1.)); #356925=DIRECTION('center_axis',(0.,0.,1.)); #356926=DIRECTION('ref_axis',(1.,0.,0.)); #356927=DIRECTION('center_axis',(0.,0.,1.)); #356928=DIRECTION('ref_axis',(1.,0.,0.)); #356929=DIRECTION('center_axis',(0.,0.,1.)); #356930=DIRECTION('ref_axis',(1.,0.,0.)); #356931=DIRECTION('center_axis',(0.,0.,1.)); #356932=DIRECTION('ref_axis',(1.,0.,0.)); #356933=DIRECTION('center_axis',(0.,0.,-1.)); #356934=DIRECTION('ref_axis',(1.,0.,0.)); #356935=DIRECTION('',(0.,0.,-1.)); #356936=DIRECTION('center_axis',(0.,0.,1.)); #356937=DIRECTION('ref_axis',(1.,0.,0.)); #356938=DIRECTION('center_axis',(0.,0.,1.)); #356939=DIRECTION('ref_axis',(1.,0.,0.)); #356940=DIRECTION('center_axis',(0.,0.,1.)); #356941=DIRECTION('ref_axis',(1.,0.,0.)); #356942=DIRECTION('',(0.,0.,-1.)); #356943=DIRECTION('center_axis',(0.,0.,1.)); #356944=DIRECTION('ref_axis',(1.,0.,0.)); #356945=DIRECTION('center_axis',(0.,0.,1.)); #356946=DIRECTION('ref_axis',(1.,0.,0.)); #356947=DIRECTION('center_axis',(0.,0.,1.)); #356948=DIRECTION('ref_axis',(1.,0.,0.)); #356949=DIRECTION('center_axis',(0.,0.,1.)); #356950=DIRECTION('ref_axis',(1.,0.,0.)); #356951=DIRECTION('center_axis',(0.,0.,-1.)); #356952=DIRECTION('ref_axis',(1.,0.,0.)); #356953=DIRECTION('',(0.,0.,-1.)); #356954=DIRECTION('center_axis',(0.,0.,1.)); #356955=DIRECTION('ref_axis',(1.,0.,0.)); #356956=DIRECTION('center_axis',(0.,0.,1.)); #356957=DIRECTION('ref_axis',(1.,0.,0.)); #356958=DIRECTION('center_axis',(0.,0.,1.)); #356959=DIRECTION('ref_axis',(1.,0.,0.)); #356960=DIRECTION('',(0.,0.,-1.)); #356961=DIRECTION('center_axis',(0.,0.,1.)); #356962=DIRECTION('ref_axis',(1.,0.,0.)); #356963=DIRECTION('center_axis',(0.,0.,1.)); #356964=DIRECTION('ref_axis',(1.,0.,0.)); #356965=DIRECTION('center_axis',(0.,0.,1.)); #356966=DIRECTION('ref_axis',(1.,0.,0.)); #356967=DIRECTION('center_axis',(0.,0.,1.)); #356968=DIRECTION('ref_axis',(1.,0.,0.)); #356969=DIRECTION('center_axis',(0.,0.,-1.)); #356970=DIRECTION('ref_axis',(1.,0.,0.)); #356971=DIRECTION('',(0.,0.,-1.)); #356972=DIRECTION('center_axis',(0.,0.,1.)); #356973=DIRECTION('ref_axis',(1.,0.,0.)); #356974=DIRECTION('center_axis',(0.,0.,1.)); #356975=DIRECTION('ref_axis',(1.,0.,0.)); #356976=DIRECTION('center_axis',(0.,0.,1.)); #356977=DIRECTION('ref_axis',(1.,0.,0.)); #356978=DIRECTION('',(0.,0.,-1.)); #356979=DIRECTION('center_axis',(0.,0.,1.)); #356980=DIRECTION('ref_axis',(1.,0.,0.)); #356981=DIRECTION('center_axis',(0.,0.,1.)); #356982=DIRECTION('ref_axis',(1.,0.,0.)); #356983=DIRECTION('center_axis',(0.,0.,1.)); #356984=DIRECTION('ref_axis',(1.,0.,0.)); #356985=DIRECTION('center_axis',(0.,0.,1.)); #356986=DIRECTION('ref_axis',(1.,0.,0.)); #356987=DIRECTION('center_axis',(0.,0.,-1.)); #356988=DIRECTION('ref_axis',(1.,0.,0.)); #356989=DIRECTION('',(0.,0.,-1.)); #356990=DIRECTION('center_axis',(0.,0.,1.)); #356991=DIRECTION('ref_axis',(1.,0.,0.)); #356992=DIRECTION('center_axis',(0.,0.,1.)); #356993=DIRECTION('ref_axis',(1.,0.,0.)); #356994=DIRECTION('center_axis',(0.,0.,1.)); #356995=DIRECTION('ref_axis',(1.,0.,0.)); #356996=DIRECTION('',(0.,0.,-1.)); #356997=DIRECTION('center_axis',(0.,0.,1.)); #356998=DIRECTION('ref_axis',(1.,0.,0.)); #356999=DIRECTION('center_axis',(0.,0.,1.)); #357000=DIRECTION('ref_axis',(1.,0.,0.)); #357001=DIRECTION('center_axis',(0.,0.,1.)); #357002=DIRECTION('ref_axis',(1.,0.,0.)); #357003=DIRECTION('center_axis',(0.,0.,1.)); #357004=DIRECTION('ref_axis',(1.,0.,0.)); #357005=DIRECTION('center_axis',(0.,0.,-1.)); #357006=DIRECTION('ref_axis',(1.,0.,0.)); #357007=DIRECTION('',(0.,0.,-1.)); #357008=DIRECTION('center_axis',(0.,0.,1.)); #357009=DIRECTION('ref_axis',(1.,0.,0.)); #357010=DIRECTION('center_axis',(0.,0.,1.)); #357011=DIRECTION('ref_axis',(1.,0.,0.)); #357012=DIRECTION('center_axis',(0.,0.,1.)); #357013=DIRECTION('ref_axis',(1.,0.,0.)); #357014=DIRECTION('',(0.,0.,-1.)); #357015=DIRECTION('center_axis',(0.,0.,1.)); #357016=DIRECTION('ref_axis',(1.,0.,0.)); #357017=DIRECTION('center_axis',(0.,0.,1.)); #357018=DIRECTION('ref_axis',(1.,0.,0.)); #357019=DIRECTION('center_axis',(0.,0.,1.)); #357020=DIRECTION('ref_axis',(1.,0.,0.)); #357021=DIRECTION('center_axis',(0.,0.,1.)); #357022=DIRECTION('ref_axis',(1.,0.,0.)); #357023=DIRECTION('center_axis',(0.,0.,-1.)); #357024=DIRECTION('ref_axis',(1.,0.,0.)); #357025=DIRECTION('',(0.,0.,-1.)); #357026=DIRECTION('center_axis',(0.,0.,1.)); #357027=DIRECTION('ref_axis',(1.,0.,0.)); #357028=DIRECTION('center_axis',(0.,0.,1.)); #357029=DIRECTION('ref_axis',(1.,0.,0.)); #357030=DIRECTION('center_axis',(0.,0.,1.)); #357031=DIRECTION('ref_axis',(1.,0.,0.)); #357032=DIRECTION('',(0.,0.,-1.)); #357033=DIRECTION('center_axis',(0.,0.,1.)); #357034=DIRECTION('ref_axis',(1.,0.,0.)); #357035=DIRECTION('center_axis',(0.,0.,1.)); #357036=DIRECTION('ref_axis',(1.,0.,0.)); #357037=DIRECTION('center_axis',(0.,0.,1.)); #357038=DIRECTION('ref_axis',(1.,0.,0.)); #357039=DIRECTION('center_axis',(0.,0.,1.)); #357040=DIRECTION('ref_axis',(1.,0.,0.)); #357041=DIRECTION('center_axis',(0.,0.,-1.)); #357042=DIRECTION('ref_axis',(1.,0.,0.)); #357043=DIRECTION('',(0.,0.,-1.)); #357044=DIRECTION('center_axis',(0.,0.,1.)); #357045=DIRECTION('ref_axis',(1.,0.,0.)); #357046=DIRECTION('center_axis',(0.,0.,1.)); #357047=DIRECTION('ref_axis',(1.,0.,0.)); #357048=DIRECTION('center_axis',(0.,0.,1.)); #357049=DIRECTION('ref_axis',(1.,0.,0.)); #357050=DIRECTION('',(0.,0.,-1.)); #357051=DIRECTION('center_axis',(0.,0.,1.)); #357052=DIRECTION('ref_axis',(1.,0.,0.)); #357053=DIRECTION('center_axis',(0.,0.,1.)); #357054=DIRECTION('ref_axis',(1.,0.,0.)); #357055=DIRECTION('center_axis',(0.,0.,1.)); #357056=DIRECTION('ref_axis',(1.,0.,0.)); #357057=DIRECTION('center_axis',(0.,0.,1.)); #357058=DIRECTION('ref_axis',(1.,0.,0.)); #357059=DIRECTION('center_axis',(0.,0.,-1.)); #357060=DIRECTION('ref_axis',(1.,0.,0.)); #357061=DIRECTION('',(0.,0.,-1.)); #357062=DIRECTION('center_axis',(0.,0.,1.)); #357063=DIRECTION('ref_axis',(1.,0.,0.)); #357064=DIRECTION('center_axis',(0.,0.,1.)); #357065=DIRECTION('ref_axis',(1.,0.,0.)); #357066=DIRECTION('center_axis',(0.,0.,1.)); #357067=DIRECTION('ref_axis',(1.,0.,0.)); #357068=DIRECTION('',(0.,0.,-1.)); #357069=DIRECTION('center_axis',(0.,0.,1.)); #357070=DIRECTION('ref_axis',(1.,0.,0.)); #357071=DIRECTION('center_axis',(0.,0.,1.)); #357072=DIRECTION('ref_axis',(1.,0.,0.)); #357073=DIRECTION('center_axis',(0.,0.,1.)); #357074=DIRECTION('ref_axis',(1.,0.,0.)); #357075=DIRECTION('center_axis',(0.,0.,1.)); #357076=DIRECTION('ref_axis',(1.,0.,0.)); #357077=DIRECTION('center_axis',(0.,0.,-1.)); #357078=DIRECTION('ref_axis',(1.,0.,0.)); #357079=DIRECTION('',(0.,0.,-1.)); #357080=DIRECTION('center_axis',(0.,0.,1.)); #357081=DIRECTION('ref_axis',(1.,0.,0.)); #357082=DIRECTION('center_axis',(0.,0.,1.)); #357083=DIRECTION('ref_axis',(1.,0.,0.)); #357084=DIRECTION('center_axis',(0.,0.,1.)); #357085=DIRECTION('ref_axis',(1.,0.,0.)); #357086=DIRECTION('',(0.,0.,-1.)); #357087=DIRECTION('center_axis',(0.,0.,1.)); #357088=DIRECTION('ref_axis',(1.,0.,0.)); #357089=DIRECTION('center_axis',(0.,0.,1.)); #357090=DIRECTION('ref_axis',(1.,0.,0.)); #357091=DIRECTION('center_axis',(0.,0.,1.)); #357092=DIRECTION('ref_axis',(1.,0.,0.)); #357093=DIRECTION('center_axis',(0.,0.,1.)); #357094=DIRECTION('ref_axis',(1.,0.,0.)); #357095=DIRECTION('center_axis',(0.,0.,-1.)); #357096=DIRECTION('ref_axis',(1.,0.,0.)); #357097=DIRECTION('',(0.,0.,-1.)); #357098=DIRECTION('center_axis',(0.,0.,1.)); #357099=DIRECTION('ref_axis',(1.,0.,0.)); #357100=DIRECTION('center_axis',(0.,0.,1.)); #357101=DIRECTION('ref_axis',(1.,0.,0.)); #357102=DIRECTION('center_axis',(0.,0.,1.)); #357103=DIRECTION('ref_axis',(1.,0.,0.)); #357104=DIRECTION('',(0.,0.,-1.)); #357105=DIRECTION('center_axis',(0.,0.,1.)); #357106=DIRECTION('ref_axis',(1.,0.,0.)); #357107=DIRECTION('center_axis',(0.,0.,1.)); #357108=DIRECTION('ref_axis',(1.,0.,0.)); #357109=DIRECTION('center_axis',(0.,0.,1.)); #357110=DIRECTION('ref_axis',(1.,0.,0.)); #357111=DIRECTION('center_axis',(0.,0.,1.)); #357112=DIRECTION('ref_axis',(1.,0.,0.)); #357113=DIRECTION('center_axis',(0.,0.,-1.)); #357114=DIRECTION('ref_axis',(1.,0.,0.)); #357115=DIRECTION('',(0.,0.,-1.)); #357116=DIRECTION('center_axis',(0.,0.,1.)); #357117=DIRECTION('ref_axis',(1.,0.,0.)); #357118=DIRECTION('center_axis',(0.,0.,1.)); #357119=DIRECTION('ref_axis',(1.,0.,0.)); #357120=DIRECTION('center_axis',(0.,0.,1.)); #357121=DIRECTION('ref_axis',(1.,0.,0.)); #357122=DIRECTION('',(0.,0.,-1.)); #357123=DIRECTION('center_axis',(0.,0.,1.)); #357124=DIRECTION('ref_axis',(1.,0.,0.)); #357125=DIRECTION('center_axis',(0.,0.,1.)); #357126=DIRECTION('ref_axis',(1.,0.,0.)); #357127=DIRECTION('center_axis',(0.,0.,1.)); #357128=DIRECTION('ref_axis',(1.,0.,0.)); #357129=DIRECTION('center_axis',(0.,0.,1.)); #357130=DIRECTION('ref_axis',(1.,0.,0.)); #357131=DIRECTION('center_axis',(0.,0.,-1.)); #357132=DIRECTION('ref_axis',(1.,0.,0.)); #357133=DIRECTION('',(0.,0.,-1.)); #357134=DIRECTION('center_axis',(0.,0.,1.)); #357135=DIRECTION('ref_axis',(1.,0.,0.)); #357136=DIRECTION('center_axis',(0.,0.,1.)); #357137=DIRECTION('ref_axis',(1.,0.,0.)); #357138=DIRECTION('center_axis',(0.,0.,1.)); #357139=DIRECTION('ref_axis',(1.,0.,0.)); #357140=DIRECTION('',(0.,0.,-1.)); #357141=DIRECTION('center_axis',(0.,0.,1.)); #357142=DIRECTION('ref_axis',(1.,0.,0.)); #357143=DIRECTION('center_axis',(0.,0.,1.)); #357144=DIRECTION('ref_axis',(1.,0.,0.)); #357145=DIRECTION('center_axis',(0.,0.,1.)); #357146=DIRECTION('ref_axis',(1.,0.,0.)); #357147=DIRECTION('center_axis',(0.,0.,1.)); #357148=DIRECTION('ref_axis',(1.,0.,0.)); #357149=DIRECTION('center_axis',(0.,0.,-1.)); #357150=DIRECTION('ref_axis',(1.,0.,0.)); #357151=DIRECTION('',(0.,0.,-1.)); #357152=DIRECTION('center_axis',(0.,0.,1.)); #357153=DIRECTION('ref_axis',(1.,0.,0.)); #357154=DIRECTION('center_axis',(0.,0.,1.)); #357155=DIRECTION('ref_axis',(1.,0.,0.)); #357156=DIRECTION('center_axis',(0.,0.,1.)); #357157=DIRECTION('ref_axis',(1.,0.,0.)); #357158=DIRECTION('',(0.,0.,-1.)); #357159=DIRECTION('center_axis',(0.,0.,1.)); #357160=DIRECTION('ref_axis',(1.,0.,0.)); #357161=DIRECTION('center_axis',(0.,0.,1.)); #357162=DIRECTION('ref_axis',(1.,0.,0.)); #357163=DIRECTION('center_axis',(0.,0.,1.)); #357164=DIRECTION('ref_axis',(1.,0.,0.)); #357165=DIRECTION('center_axis',(0.,0.,1.)); #357166=DIRECTION('ref_axis',(1.,0.,0.)); #357167=DIRECTION('center_axis',(0.,0.,-1.)); #357168=DIRECTION('ref_axis',(1.,0.,0.)); #357169=DIRECTION('',(0.,0.,-1.)); #357170=DIRECTION('center_axis',(0.,0.,1.)); #357171=DIRECTION('ref_axis',(1.,0.,0.)); #357172=DIRECTION('center_axis',(0.,0.,1.)); #357173=DIRECTION('ref_axis',(1.,0.,0.)); #357174=DIRECTION('center_axis',(0.,0.,1.)); #357175=DIRECTION('ref_axis',(1.,0.,0.)); #357176=DIRECTION('',(0.,0.,-1.)); #357177=DIRECTION('center_axis',(0.,0.,1.)); #357178=DIRECTION('ref_axis',(1.,0.,0.)); #357179=DIRECTION('center_axis',(0.,0.,1.)); #357180=DIRECTION('ref_axis',(1.,0.,0.)); #357181=DIRECTION('center_axis',(0.,0.,1.)); #357182=DIRECTION('ref_axis',(1.,0.,0.)); #357183=DIRECTION('center_axis',(0.,0.,1.)); #357184=DIRECTION('ref_axis',(1.,0.,0.)); #357185=DIRECTION('center_axis',(0.,0.,-1.)); #357186=DIRECTION('ref_axis',(1.,0.,0.)); #357187=DIRECTION('',(0.,0.,-1.)); #357188=DIRECTION('center_axis',(0.,0.,1.)); #357189=DIRECTION('ref_axis',(1.,0.,0.)); #357190=DIRECTION('center_axis',(0.,0.,1.)); #357191=DIRECTION('ref_axis',(1.,0.,0.)); #357192=DIRECTION('center_axis',(0.,0.,1.)); #357193=DIRECTION('ref_axis',(1.,0.,0.)); #357194=DIRECTION('',(0.,0.,-1.)); #357195=DIRECTION('center_axis',(0.,0.,1.)); #357196=DIRECTION('ref_axis',(1.,0.,0.)); #357197=DIRECTION('center_axis',(0.,0.,1.)); #357198=DIRECTION('ref_axis',(1.,0.,0.)); #357199=DIRECTION('center_axis',(0.,0.,1.)); #357200=DIRECTION('ref_axis',(1.,0.,0.)); #357201=DIRECTION('center_axis',(0.,0.,1.)); #357202=DIRECTION('ref_axis',(1.,0.,0.)); #357203=DIRECTION('center_axis',(0.,0.,-1.)); #357204=DIRECTION('ref_axis',(1.,0.,0.)); #357205=DIRECTION('',(0.,0.,-1.)); #357206=DIRECTION('center_axis',(0.,0.,1.)); #357207=DIRECTION('ref_axis',(1.,0.,0.)); #357208=DIRECTION('center_axis',(0.,0.,1.)); #357209=DIRECTION('ref_axis',(1.,0.,0.)); #357210=DIRECTION('center_axis',(0.,0.,1.)); #357211=DIRECTION('ref_axis',(1.,0.,0.)); #357212=DIRECTION('',(0.,0.,-1.)); #357213=DIRECTION('center_axis',(0.,0.,1.)); #357214=DIRECTION('ref_axis',(1.,0.,0.)); #357215=DIRECTION('center_axis',(0.,0.,1.)); #357216=DIRECTION('ref_axis',(1.,0.,0.)); #357217=DIRECTION('center_axis',(0.,0.,1.)); #357218=DIRECTION('ref_axis',(1.,0.,0.)); #357219=DIRECTION('center_axis',(0.,0.,1.)); #357220=DIRECTION('ref_axis',(1.,0.,0.)); #357221=DIRECTION('center_axis',(0.,0.,-1.)); #357222=DIRECTION('ref_axis',(1.,0.,0.)); #357223=DIRECTION('',(0.,0.,-1.)); #357224=DIRECTION('center_axis',(0.,0.,1.)); #357225=DIRECTION('ref_axis',(1.,0.,0.)); #357226=DIRECTION('center_axis',(0.,0.,1.)); #357227=DIRECTION('ref_axis',(1.,0.,0.)); #357228=DIRECTION('center_axis',(0.,0.,1.)); #357229=DIRECTION('ref_axis',(1.,0.,0.)); #357230=DIRECTION('',(0.,0.,-1.)); #357231=DIRECTION('center_axis',(0.,0.,1.)); #357232=DIRECTION('ref_axis',(1.,0.,0.)); #357233=DIRECTION('center_axis',(0.,0.,1.)); #357234=DIRECTION('ref_axis',(1.,0.,0.)); #357235=DIRECTION('center_axis',(0.,0.,1.)); #357236=DIRECTION('ref_axis',(1.,0.,0.)); #357237=DIRECTION('center_axis',(0.,0.,1.)); #357238=DIRECTION('ref_axis',(1.,0.,0.)); #357239=DIRECTION('center_axis',(0.,0.,-1.)); #357240=DIRECTION('ref_axis',(1.,0.,0.)); #357241=DIRECTION('',(0.,0.,-1.)); #357242=DIRECTION('center_axis',(0.,0.,1.)); #357243=DIRECTION('ref_axis',(1.,0.,0.)); #357244=DIRECTION('center_axis',(0.,0.,1.)); #357245=DIRECTION('ref_axis',(1.,0.,0.)); #357246=DIRECTION('center_axis',(0.,0.,1.)); #357247=DIRECTION('ref_axis',(1.,0.,0.)); #357248=DIRECTION('',(0.,0.,-1.)); #357249=DIRECTION('center_axis',(0.,0.,1.)); #357250=DIRECTION('ref_axis',(1.,0.,0.)); #357251=DIRECTION('center_axis',(0.,0.,1.)); #357252=DIRECTION('ref_axis',(1.,0.,0.)); #357253=DIRECTION('center_axis',(0.,0.,1.)); #357254=DIRECTION('ref_axis',(1.,0.,0.)); #357255=DIRECTION('center_axis',(0.,0.,1.)); #357256=DIRECTION('ref_axis',(1.,0.,0.)); #357257=DIRECTION('center_axis',(0.,0.,-1.)); #357258=DIRECTION('ref_axis',(1.,0.,0.)); #357259=DIRECTION('',(0.,0.,-1.)); #357260=DIRECTION('center_axis',(0.,0.,1.)); #357261=DIRECTION('ref_axis',(1.,0.,0.)); #357262=DIRECTION('center_axis',(0.,0.,1.)); #357263=DIRECTION('ref_axis',(1.,0.,0.)); #357264=DIRECTION('center_axis',(0.,0.,1.)); #357265=DIRECTION('ref_axis',(1.,0.,0.)); #357266=DIRECTION('',(0.,0.,-1.)); #357267=DIRECTION('center_axis',(0.,0.,1.)); #357268=DIRECTION('ref_axis',(1.,0.,0.)); #357269=DIRECTION('center_axis',(0.,0.,1.)); #357270=DIRECTION('ref_axis',(1.,0.,0.)); #357271=DIRECTION('center_axis',(0.,0.,1.)); #357272=DIRECTION('ref_axis',(1.,0.,0.)); #357273=DIRECTION('center_axis',(0.,0.,1.)); #357274=DIRECTION('ref_axis',(1.,0.,0.)); #357275=DIRECTION('center_axis',(0.,0.,-1.)); #357276=DIRECTION('ref_axis',(1.,0.,0.)); #357277=DIRECTION('',(0.,0.,-1.)); #357278=DIRECTION('center_axis',(0.,0.,1.)); #357279=DIRECTION('ref_axis',(1.,0.,0.)); #357280=DIRECTION('center_axis',(0.,0.,1.)); #357281=DIRECTION('ref_axis',(1.,0.,0.)); #357282=DIRECTION('center_axis',(0.,0.,1.)); #357283=DIRECTION('ref_axis',(1.,0.,0.)); #357284=DIRECTION('',(0.,0.,-1.)); #357285=DIRECTION('center_axis',(0.,0.,1.)); #357286=DIRECTION('ref_axis',(1.,0.,0.)); #357287=DIRECTION('center_axis',(0.,0.,1.)); #357288=DIRECTION('ref_axis',(1.,0.,0.)); #357289=DIRECTION('center_axis',(0.,0.,1.)); #357290=DIRECTION('ref_axis',(1.,0.,0.)); #357291=DIRECTION('center_axis',(0.,0.,1.)); #357292=DIRECTION('ref_axis',(1.,0.,0.)); #357293=DIRECTION('center_axis',(0.,0.,-1.)); #357294=DIRECTION('ref_axis',(1.,0.,0.)); #357295=DIRECTION('',(0.,0.,-1.)); #357296=DIRECTION('center_axis',(0.,0.,1.)); #357297=DIRECTION('ref_axis',(1.,0.,0.)); #357298=DIRECTION('center_axis',(0.,0.,1.)); #357299=DIRECTION('ref_axis',(1.,0.,0.)); #357300=DIRECTION('center_axis',(0.,0.,1.)); #357301=DIRECTION('ref_axis',(1.,0.,0.)); #357302=DIRECTION('',(0.,0.,-1.)); #357303=DIRECTION('center_axis',(0.,0.,1.)); #357304=DIRECTION('ref_axis',(1.,0.,0.)); #357305=DIRECTION('center_axis',(0.,0.,1.)); #357306=DIRECTION('ref_axis',(1.,0.,0.)); #357307=DIRECTION('center_axis',(0.,0.,1.)); #357308=DIRECTION('ref_axis',(1.,0.,0.)); #357309=DIRECTION('center_axis',(0.,0.,1.)); #357310=DIRECTION('ref_axis',(1.,0.,0.)); #357311=DIRECTION('center_axis',(0.,0.,-1.)); #357312=DIRECTION('ref_axis',(1.,0.,0.)); #357313=DIRECTION('',(0.,0.,-1.)); #357314=DIRECTION('center_axis',(0.,0.,1.)); #357315=DIRECTION('ref_axis',(1.,0.,0.)); #357316=DIRECTION('center_axis',(0.,0.,1.)); #357317=DIRECTION('ref_axis',(1.,0.,0.)); #357318=DIRECTION('center_axis',(0.,0.,1.)); #357319=DIRECTION('ref_axis',(1.,0.,0.)); #357320=DIRECTION('',(0.,0.,-1.)); #357321=DIRECTION('center_axis',(0.,0.,1.)); #357322=DIRECTION('ref_axis',(1.,0.,0.)); #357323=DIRECTION('center_axis',(0.,0.,1.)); #357324=DIRECTION('ref_axis',(1.,0.,0.)); #357325=DIRECTION('center_axis',(0.,0.,1.)); #357326=DIRECTION('ref_axis',(1.,0.,0.)); #357327=DIRECTION('center_axis',(0.,0.,1.)); #357328=DIRECTION('ref_axis',(1.,0.,0.)); #357329=DIRECTION('center_axis',(0.,0.,-1.)); #357330=DIRECTION('ref_axis',(1.,0.,0.)); #357331=DIRECTION('',(0.,0.,-1.)); #357332=DIRECTION('center_axis',(0.,0.,1.)); #357333=DIRECTION('ref_axis',(1.,0.,0.)); #357334=DIRECTION('center_axis',(0.,0.,1.)); #357335=DIRECTION('ref_axis',(1.,0.,0.)); #357336=DIRECTION('center_axis',(0.,0.,1.)); #357337=DIRECTION('ref_axis',(1.,0.,0.)); #357338=DIRECTION('',(0.,0.,-1.)); #357339=DIRECTION('center_axis',(0.,0.,1.)); #357340=DIRECTION('ref_axis',(1.,0.,0.)); #357341=DIRECTION('center_axis',(0.,0.,1.)); #357342=DIRECTION('ref_axis',(1.,0.,0.)); #357343=DIRECTION('center_axis',(0.,0.,1.)); #357344=DIRECTION('ref_axis',(1.,0.,0.)); #357345=DIRECTION('center_axis',(0.,0.,1.)); #357346=DIRECTION('ref_axis',(1.,0.,0.)); #357347=DIRECTION('center_axis',(0.,0.,-1.)); #357348=DIRECTION('ref_axis',(1.,0.,0.)); #357349=DIRECTION('',(0.,0.,-1.)); #357350=DIRECTION('center_axis',(0.,0.,1.)); #357351=DIRECTION('ref_axis',(1.,0.,0.)); #357352=DIRECTION('center_axis',(0.,0.,1.)); #357353=DIRECTION('ref_axis',(1.,0.,0.)); #357354=DIRECTION('center_axis',(0.,0.,1.)); #357355=DIRECTION('ref_axis',(1.,0.,0.)); #357356=DIRECTION('',(0.,0.,-1.)); #357357=DIRECTION('center_axis',(0.,0.,1.)); #357358=DIRECTION('ref_axis',(1.,0.,0.)); #357359=DIRECTION('center_axis',(0.,0.,1.)); #357360=DIRECTION('ref_axis',(1.,0.,0.)); #357361=DIRECTION('center_axis',(0.,0.,1.)); #357362=DIRECTION('ref_axis',(1.,0.,0.)); #357363=DIRECTION('center_axis',(0.,0.,1.)); #357364=DIRECTION('ref_axis',(1.,0.,0.)); #357365=DIRECTION('center_axis',(0.,0.,-1.)); #357366=DIRECTION('ref_axis',(1.,0.,0.)); #357367=DIRECTION('',(0.,0.,-1.)); #357368=DIRECTION('center_axis',(0.,0.,1.)); #357369=DIRECTION('ref_axis',(1.,0.,0.)); #357370=DIRECTION('center_axis',(0.,0.,1.)); #357371=DIRECTION('ref_axis',(1.,0.,0.)); #357372=DIRECTION('center_axis',(0.,0.,1.)); #357373=DIRECTION('ref_axis',(1.,0.,0.)); #357374=DIRECTION('',(0.,0.,-1.)); #357375=DIRECTION('center_axis',(0.,0.,1.)); #357376=DIRECTION('ref_axis',(1.,0.,0.)); #357377=DIRECTION('center_axis',(0.,0.,1.)); #357378=DIRECTION('ref_axis',(1.,0.,0.)); #357379=DIRECTION('center_axis',(0.,0.,1.)); #357380=DIRECTION('ref_axis',(1.,0.,0.)); #357381=DIRECTION('center_axis',(0.,0.,1.)); #357382=DIRECTION('ref_axis',(1.,0.,0.)); #357383=DIRECTION('center_axis',(0.,0.,-1.)); #357384=DIRECTION('ref_axis',(1.,0.,0.)); #357385=DIRECTION('',(0.,0.,-1.)); #357386=DIRECTION('center_axis',(0.,0.,1.)); #357387=DIRECTION('ref_axis',(1.,0.,0.)); #357388=DIRECTION('center_axis',(0.,0.,1.)); #357389=DIRECTION('ref_axis',(1.,0.,0.)); #357390=DIRECTION('center_axis',(0.,0.,1.)); #357391=DIRECTION('ref_axis',(1.,0.,0.)); #357392=DIRECTION('',(0.,0.,-1.)); #357393=DIRECTION('center_axis',(0.,0.,1.)); #357394=DIRECTION('ref_axis',(1.,0.,0.)); #357395=DIRECTION('center_axis',(0.,0.,1.)); #357396=DIRECTION('ref_axis',(1.,0.,0.)); #357397=DIRECTION('center_axis',(0.,0.,1.)); #357398=DIRECTION('ref_axis',(1.,0.,0.)); #357399=DIRECTION('center_axis',(0.,0.,1.)); #357400=DIRECTION('ref_axis',(1.,0.,0.)); #357401=DIRECTION('center_axis',(0.,0.,-1.)); #357402=DIRECTION('ref_axis',(1.,0.,0.)); #357403=DIRECTION('',(0.,0.,-1.)); #357404=DIRECTION('center_axis',(0.,0.,1.)); #357405=DIRECTION('ref_axis',(1.,0.,0.)); #357406=DIRECTION('center_axis',(0.,0.,1.)); #357407=DIRECTION('ref_axis',(1.,0.,0.)); #357408=DIRECTION('center_axis',(0.,0.,1.)); #357409=DIRECTION('ref_axis',(1.,0.,0.)); #357410=DIRECTION('',(0.,0.,-1.)); #357411=DIRECTION('center_axis',(0.,0.,1.)); #357412=DIRECTION('ref_axis',(1.,0.,0.)); #357413=DIRECTION('center_axis',(0.,0.,1.)); #357414=DIRECTION('ref_axis',(1.,0.,0.)); #357415=DIRECTION('center_axis',(0.,0.,1.)); #357416=DIRECTION('ref_axis',(1.,0.,0.)); #357417=DIRECTION('center_axis',(0.,0.,1.)); #357418=DIRECTION('ref_axis',(1.,0.,0.)); #357419=DIRECTION('center_axis',(0.,0.,-1.)); #357420=DIRECTION('ref_axis',(1.,0.,0.)); #357421=DIRECTION('',(0.,0.,-1.)); #357422=DIRECTION('center_axis',(0.,0.,1.)); #357423=DIRECTION('ref_axis',(1.,0.,0.)); #357424=DIRECTION('center_axis',(0.,0.,1.)); #357425=DIRECTION('ref_axis',(1.,0.,0.)); #357426=DIRECTION('center_axis',(0.,0.,1.)); #357427=DIRECTION('ref_axis',(1.,0.,0.)); #357428=DIRECTION('',(0.,0.,-1.)); #357429=DIRECTION('center_axis',(0.,0.,1.)); #357430=DIRECTION('ref_axis',(1.,0.,0.)); #357431=DIRECTION('center_axis',(0.,0.,1.)); #357432=DIRECTION('ref_axis',(1.,0.,0.)); #357433=DIRECTION('center_axis',(0.,0.,1.)); #357434=DIRECTION('ref_axis',(1.,0.,0.)); #357435=DIRECTION('center_axis',(0.,0.,1.)); #357436=DIRECTION('ref_axis',(1.,0.,0.)); #357437=DIRECTION('center_axis',(0.,0.,-1.)); #357438=DIRECTION('ref_axis',(1.,0.,0.)); #357439=DIRECTION('',(0.,0.,-1.)); #357440=DIRECTION('center_axis',(0.,0.,1.)); #357441=DIRECTION('ref_axis',(1.,0.,0.)); #357442=DIRECTION('center_axis',(0.,0.,1.)); #357443=DIRECTION('ref_axis',(1.,0.,0.)); #357444=DIRECTION('center_axis',(0.,0.,1.)); #357445=DIRECTION('ref_axis',(1.,0.,0.)); #357446=DIRECTION('',(0.,0.,-1.)); #357447=DIRECTION('center_axis',(0.,0.,1.)); #357448=DIRECTION('ref_axis',(1.,0.,0.)); #357449=DIRECTION('center_axis',(0.,0.,1.)); #357450=DIRECTION('ref_axis',(1.,0.,0.)); #357451=DIRECTION('center_axis',(0.,0.,1.)); #357452=DIRECTION('ref_axis',(1.,0.,0.)); #357453=DIRECTION('center_axis',(0.,0.,1.)); #357454=DIRECTION('ref_axis',(1.,0.,0.)); #357455=DIRECTION('center_axis',(0.,0.,-1.)); #357456=DIRECTION('ref_axis',(1.,0.,0.)); #357457=DIRECTION('',(0.,0.,-1.)); #357458=DIRECTION('center_axis',(0.,0.,1.)); #357459=DIRECTION('ref_axis',(1.,0.,0.)); #357460=DIRECTION('center_axis',(0.,0.,1.)); #357461=DIRECTION('ref_axis',(1.,0.,0.)); #357462=DIRECTION('center_axis',(0.,0.,1.)); #357463=DIRECTION('ref_axis',(1.,0.,0.)); #357464=DIRECTION('',(0.,0.,-1.)); #357465=DIRECTION('center_axis',(0.,0.,1.)); #357466=DIRECTION('ref_axis',(1.,0.,0.)); #357467=DIRECTION('center_axis',(0.,0.,1.)); #357468=DIRECTION('ref_axis',(1.,0.,0.)); #357469=DIRECTION('center_axis',(0.,0.,1.)); #357470=DIRECTION('ref_axis',(1.,0.,0.)); #357471=DIRECTION('center_axis',(0.,0.,1.)); #357472=DIRECTION('ref_axis',(1.,0.,0.)); #357473=DIRECTION('center_axis',(0.,0.,-1.)); #357474=DIRECTION('ref_axis',(1.,0.,0.)); #357475=DIRECTION('',(0.,0.,-1.)); #357476=DIRECTION('center_axis',(0.,0.,1.)); #357477=DIRECTION('ref_axis',(1.,0.,0.)); #357478=DIRECTION('center_axis',(0.,0.,1.)); #357479=DIRECTION('ref_axis',(1.,0.,0.)); #357480=DIRECTION('center_axis',(0.,0.,1.)); #357481=DIRECTION('ref_axis',(1.,0.,0.)); #357482=DIRECTION('',(0.,0.,-1.)); #357483=DIRECTION('center_axis',(0.,0.,1.)); #357484=DIRECTION('ref_axis',(1.,0.,0.)); #357485=DIRECTION('center_axis',(0.,0.,1.)); #357486=DIRECTION('ref_axis',(1.,0.,0.)); #357487=DIRECTION('center_axis',(0.,0.,1.)); #357488=DIRECTION('ref_axis',(1.,0.,0.)); #357489=DIRECTION('center_axis',(0.,0.,1.)); #357490=DIRECTION('ref_axis',(1.,0.,0.)); #357491=DIRECTION('center_axis',(0.,0.,-1.)); #357492=DIRECTION('ref_axis',(1.,0.,0.)); #357493=DIRECTION('',(0.,0.,-1.)); #357494=DIRECTION('center_axis',(0.,0.,1.)); #357495=DIRECTION('ref_axis',(1.,0.,0.)); #357496=DIRECTION('center_axis',(0.,0.,1.)); #357497=DIRECTION('ref_axis',(1.,0.,0.)); #357498=DIRECTION('center_axis',(0.,0.,1.)); #357499=DIRECTION('ref_axis',(1.,0.,0.)); #357500=DIRECTION('',(0.,0.,-1.)); #357501=DIRECTION('center_axis',(0.,0.,1.)); #357502=DIRECTION('ref_axis',(1.,0.,0.)); #357503=DIRECTION('center_axis',(0.,0.,1.)); #357504=DIRECTION('ref_axis',(1.,0.,0.)); #357505=DIRECTION('center_axis',(0.,0.,1.)); #357506=DIRECTION('ref_axis',(1.,0.,0.)); #357507=DIRECTION('center_axis',(0.,0.,1.)); #357508=DIRECTION('ref_axis',(1.,0.,0.)); #357509=DIRECTION('center_axis',(0.,0.,-1.)); #357510=DIRECTION('ref_axis',(1.,0.,0.)); #357511=DIRECTION('',(0.,0.,-1.)); #357512=DIRECTION('center_axis',(0.,0.,1.)); #357513=DIRECTION('ref_axis',(1.,0.,0.)); #357514=DIRECTION('center_axis',(0.,0.,1.)); #357515=DIRECTION('ref_axis',(1.,0.,0.)); #357516=DIRECTION('center_axis',(0.,0.,1.)); #357517=DIRECTION('ref_axis',(1.,0.,0.)); #357518=DIRECTION('',(0.,0.,-1.)); #357519=DIRECTION('center_axis',(0.,0.,1.)); #357520=DIRECTION('ref_axis',(1.,0.,0.)); #357521=DIRECTION('center_axis',(0.,0.,1.)); #357522=DIRECTION('ref_axis',(1.,0.,0.)); #357523=DIRECTION('center_axis',(0.,0.,1.)); #357524=DIRECTION('ref_axis',(1.,0.,0.)); #357525=DIRECTION('center_axis',(0.,0.,1.)); #357526=DIRECTION('ref_axis',(1.,0.,0.)); #357527=DIRECTION('center_axis',(0.,0.,-1.)); #357528=DIRECTION('ref_axis',(1.,0.,0.)); #357529=DIRECTION('',(0.,0.,-1.)); #357530=DIRECTION('center_axis',(0.,0.,1.)); #357531=DIRECTION('ref_axis',(1.,0.,0.)); #357532=DIRECTION('center_axis',(0.,0.,1.)); #357533=DIRECTION('ref_axis',(1.,0.,0.)); #357534=DIRECTION('center_axis',(0.,0.,1.)); #357535=DIRECTION('ref_axis',(1.,0.,0.)); #357536=DIRECTION('',(0.,0.,-1.)); #357537=DIRECTION('center_axis',(0.,0.,1.)); #357538=DIRECTION('ref_axis',(1.,0.,0.)); #357539=DIRECTION('center_axis',(0.,0.,1.)); #357540=DIRECTION('ref_axis',(1.,0.,0.)); #357541=DIRECTION('center_axis',(0.,0.,1.)); #357542=DIRECTION('ref_axis',(1.,0.,0.)); #357543=DIRECTION('center_axis',(0.,0.,1.)); #357544=DIRECTION('ref_axis',(1.,0.,0.)); #357545=DIRECTION('center_axis',(0.,0.,-1.)); #357546=DIRECTION('ref_axis',(1.,0.,0.)); #357547=DIRECTION('',(0.,0.,-1.)); #357548=DIRECTION('center_axis',(0.,0.,1.)); #357549=DIRECTION('ref_axis',(1.,0.,0.)); #357550=DIRECTION('center_axis',(0.,0.,1.)); #357551=DIRECTION('ref_axis',(1.,0.,0.)); #357552=DIRECTION('center_axis',(0.,0.,1.)); #357553=DIRECTION('ref_axis',(1.,0.,0.)); #357554=DIRECTION('',(0.,0.,-1.)); #357555=DIRECTION('center_axis',(0.,0.,1.)); #357556=DIRECTION('ref_axis',(1.,0.,0.)); #357557=DIRECTION('center_axis',(0.,0.,1.)); #357558=DIRECTION('ref_axis',(1.,0.,0.)); #357559=DIRECTION('center_axis',(0.,0.,1.)); #357560=DIRECTION('ref_axis',(1.,0.,0.)); #357561=DIRECTION('center_axis',(0.,0.,1.)); #357562=DIRECTION('ref_axis',(1.,0.,0.)); #357563=DIRECTION('center_axis',(0.,0.,-1.)); #357564=DIRECTION('ref_axis',(1.,0.,0.)); #357565=DIRECTION('',(0.,0.,-1.)); #357566=DIRECTION('center_axis',(0.,0.,1.)); #357567=DIRECTION('ref_axis',(1.,0.,0.)); #357568=DIRECTION('center_axis',(0.,0.,1.)); #357569=DIRECTION('ref_axis',(1.,0.,0.)); #357570=DIRECTION('center_axis',(0.,0.,1.)); #357571=DIRECTION('ref_axis',(1.,0.,0.)); #357572=DIRECTION('',(0.,0.,-1.)); #357573=DIRECTION('center_axis',(0.,0.,1.)); #357574=DIRECTION('ref_axis',(1.,0.,0.)); #357575=DIRECTION('center_axis',(0.,0.,1.)); #357576=DIRECTION('ref_axis',(1.,0.,0.)); #357577=DIRECTION('center_axis',(0.,0.,1.)); #357578=DIRECTION('ref_axis',(1.,0.,0.)); #357579=DIRECTION('center_axis',(0.,0.,1.)); #357580=DIRECTION('ref_axis',(1.,0.,0.)); #357581=DIRECTION('center_axis',(0.,0.,-1.)); #357582=DIRECTION('ref_axis',(1.,0.,0.)); #357583=DIRECTION('',(0.,0.,-1.)); #357584=DIRECTION('center_axis',(0.,0.,1.)); #357585=DIRECTION('ref_axis',(1.,0.,0.)); #357586=DIRECTION('center_axis',(0.,0.,1.)); #357587=DIRECTION('ref_axis',(1.,0.,0.)); #357588=DIRECTION('center_axis',(0.,0.,1.)); #357589=DIRECTION('ref_axis',(1.,0.,0.)); #357590=DIRECTION('',(0.,0.,-1.)); #357591=DIRECTION('center_axis',(0.,0.,1.)); #357592=DIRECTION('ref_axis',(1.,0.,0.)); #357593=DIRECTION('center_axis',(0.,0.,1.)); #357594=DIRECTION('ref_axis',(1.,0.,0.)); #357595=DIRECTION('center_axis',(0.,0.,1.)); #357596=DIRECTION('ref_axis',(1.,0.,0.)); #357597=DIRECTION('center_axis',(0.,0.,1.)); #357598=DIRECTION('ref_axis',(1.,0.,0.)); #357599=DIRECTION('center_axis',(0.,0.,-1.)); #357600=DIRECTION('ref_axis',(1.,0.,0.)); #357601=DIRECTION('',(0.,0.,-1.)); #357602=DIRECTION('center_axis',(0.,0.,1.)); #357603=DIRECTION('ref_axis',(1.,0.,0.)); #357604=DIRECTION('center_axis',(0.,0.,1.)); #357605=DIRECTION('ref_axis',(1.,0.,0.)); #357606=DIRECTION('center_axis',(0.,0.,1.)); #357607=DIRECTION('ref_axis',(1.,0.,0.)); #357608=DIRECTION('',(0.,0.,-1.)); #357609=DIRECTION('center_axis',(0.,0.,1.)); #357610=DIRECTION('ref_axis',(1.,0.,0.)); #357611=DIRECTION('center_axis',(0.,0.,1.)); #357612=DIRECTION('ref_axis',(1.,0.,0.)); #357613=DIRECTION('center_axis',(0.,0.,1.)); #357614=DIRECTION('ref_axis',(1.,0.,0.)); #357615=DIRECTION('center_axis',(0.,0.,1.)); #357616=DIRECTION('ref_axis',(1.,0.,0.)); #357617=DIRECTION('center_axis',(0.,0.,-1.)); #357618=DIRECTION('ref_axis',(1.,0.,0.)); #357619=DIRECTION('',(0.,0.,-1.)); #357620=DIRECTION('center_axis',(0.,0.,1.)); #357621=DIRECTION('ref_axis',(1.,0.,0.)); #357622=DIRECTION('center_axis',(0.,0.,1.)); #357623=DIRECTION('ref_axis',(1.,0.,0.)); #357624=DIRECTION('center_axis',(0.,0.,1.)); #357625=DIRECTION('ref_axis',(1.,0.,0.)); #357626=DIRECTION('',(0.,0.,-1.)); #357627=DIRECTION('center_axis',(0.,0.,1.)); #357628=DIRECTION('ref_axis',(1.,0.,0.)); #357629=DIRECTION('center_axis',(0.,0.,1.)); #357630=DIRECTION('ref_axis',(1.,0.,0.)); #357631=DIRECTION('center_axis',(0.,0.,1.)); #357632=DIRECTION('ref_axis',(1.,0.,0.)); #357633=DIRECTION('center_axis',(0.,0.,1.)); #357634=DIRECTION('ref_axis',(1.,0.,0.)); #357635=DIRECTION('center_axis',(0.,0.,-1.)); #357636=DIRECTION('ref_axis',(1.,0.,0.)); #357637=DIRECTION('',(0.,0.,-1.)); #357638=DIRECTION('center_axis',(0.,0.,1.)); #357639=DIRECTION('ref_axis',(1.,0.,0.)); #357640=DIRECTION('center_axis',(0.,0.,1.)); #357641=DIRECTION('ref_axis',(1.,0.,0.)); #357642=DIRECTION('center_axis',(0.,0.,1.)); #357643=DIRECTION('ref_axis',(1.,0.,0.)); #357644=DIRECTION('',(0.,0.,-1.)); #357645=DIRECTION('center_axis',(0.,0.,1.)); #357646=DIRECTION('ref_axis',(1.,0.,0.)); #357647=DIRECTION('center_axis',(0.,0.,1.)); #357648=DIRECTION('ref_axis',(1.,0.,0.)); #357649=DIRECTION('center_axis',(0.,0.,1.)); #357650=DIRECTION('ref_axis',(1.,0.,0.)); #357651=DIRECTION('center_axis',(0.,0.,1.)); #357652=DIRECTION('ref_axis',(1.,0.,0.)); #357653=DIRECTION('center_axis',(0.,0.,-1.)); #357654=DIRECTION('ref_axis',(1.,0.,0.)); #357655=DIRECTION('',(0.,0.,-1.)); #357656=DIRECTION('center_axis',(0.,0.,1.)); #357657=DIRECTION('ref_axis',(1.,0.,0.)); #357658=DIRECTION('center_axis',(0.,0.,1.)); #357659=DIRECTION('ref_axis',(1.,0.,0.)); #357660=DIRECTION('center_axis',(0.,0.,1.)); #357661=DIRECTION('ref_axis',(1.,0.,0.)); #357662=DIRECTION('',(0.,0.,-1.)); #357663=DIRECTION('center_axis',(0.,0.,1.)); #357664=DIRECTION('ref_axis',(1.,0.,0.)); #357665=DIRECTION('center_axis',(0.,0.,1.)); #357666=DIRECTION('ref_axis',(1.,0.,0.)); #357667=DIRECTION('center_axis',(0.,0.,1.)); #357668=DIRECTION('ref_axis',(1.,0.,0.)); #357669=DIRECTION('center_axis',(0.,0.,1.)); #357670=DIRECTION('ref_axis',(1.,0.,0.)); #357671=DIRECTION('center_axis',(0.,0.,-1.)); #357672=DIRECTION('ref_axis',(1.,0.,0.)); #357673=DIRECTION('',(0.,0.,-1.)); #357674=DIRECTION('center_axis',(0.,0.,1.)); #357675=DIRECTION('ref_axis',(1.,0.,0.)); #357676=DIRECTION('center_axis',(0.,0.,1.)); #357677=DIRECTION('ref_axis',(1.,0.,0.)); #357678=DIRECTION('center_axis',(0.,0.,1.)); #357679=DIRECTION('ref_axis',(1.,0.,0.)); #357680=DIRECTION('',(0.,0.,-1.)); #357681=DIRECTION('center_axis',(0.,0.,1.)); #357682=DIRECTION('ref_axis',(1.,0.,0.)); #357683=DIRECTION('center_axis',(0.,0.,1.)); #357684=DIRECTION('ref_axis',(1.,0.,0.)); #357685=DIRECTION('center_axis',(0.,0.,1.)); #357686=DIRECTION('ref_axis',(1.,0.,0.)); #357687=DIRECTION('center_axis',(0.,0.,1.)); #357688=DIRECTION('ref_axis',(1.,0.,0.)); #357689=DIRECTION('center_axis',(0.,0.,-1.)); #357690=DIRECTION('ref_axis',(1.,0.,0.)); #357691=DIRECTION('',(0.,0.,-1.)); #357692=DIRECTION('center_axis',(0.,0.,1.)); #357693=DIRECTION('ref_axis',(1.,0.,0.)); #357694=DIRECTION('center_axis',(0.,0.,1.)); #357695=DIRECTION('ref_axis',(1.,0.,0.)); #357696=DIRECTION('center_axis',(0.,0.,1.)); #357697=DIRECTION('ref_axis',(1.,0.,0.)); #357698=DIRECTION('',(0.,0.,-1.)); #357699=DIRECTION('center_axis',(0.,0.,1.)); #357700=DIRECTION('ref_axis',(1.,0.,0.)); #357701=DIRECTION('center_axis',(0.,0.,1.)); #357702=DIRECTION('ref_axis',(1.,0.,0.)); #357703=DIRECTION('center_axis',(0.,0.,1.)); #357704=DIRECTION('ref_axis',(1.,0.,0.)); #357705=DIRECTION('center_axis',(0.,0.,1.)); #357706=DIRECTION('ref_axis',(1.,0.,0.)); #357707=DIRECTION('center_axis',(0.,0.,-1.)); #357708=DIRECTION('ref_axis',(1.,0.,0.)); #357709=DIRECTION('',(0.,0.,-1.)); #357710=DIRECTION('center_axis',(0.,0.,1.)); #357711=DIRECTION('ref_axis',(1.,0.,0.)); #357712=DIRECTION('center_axis',(0.,0.,1.)); #357713=DIRECTION('ref_axis',(1.,0.,0.)); #357714=DIRECTION('center_axis',(0.,0.,1.)); #357715=DIRECTION('ref_axis',(1.,0.,0.)); #357716=DIRECTION('',(0.,0.,-1.)); #357717=DIRECTION('center_axis',(0.,0.,1.)); #357718=DIRECTION('ref_axis',(1.,0.,0.)); #357719=DIRECTION('center_axis',(0.,0.,1.)); #357720=DIRECTION('ref_axis',(1.,0.,0.)); #357721=DIRECTION('center_axis',(0.,0.,1.)); #357722=DIRECTION('ref_axis',(1.,0.,0.)); #357723=DIRECTION('center_axis',(0.,0.,1.)); #357724=DIRECTION('ref_axis',(1.,0.,0.)); #357725=DIRECTION('center_axis',(0.,0.,-1.)); #357726=DIRECTION('ref_axis',(1.,0.,0.)); #357727=DIRECTION('',(0.,0.,-1.)); #357728=DIRECTION('center_axis',(0.,0.,1.)); #357729=DIRECTION('ref_axis',(1.,0.,0.)); #357730=DIRECTION('center_axis',(0.,0.,1.)); #357731=DIRECTION('ref_axis',(1.,0.,0.)); #357732=DIRECTION('center_axis',(0.,0.,1.)); #357733=DIRECTION('ref_axis',(1.,0.,0.)); #357734=DIRECTION('',(0.,0.,-1.)); #357735=DIRECTION('center_axis',(0.,0.,1.)); #357736=DIRECTION('ref_axis',(1.,0.,0.)); #357737=DIRECTION('center_axis',(0.,0.,1.)); #357738=DIRECTION('ref_axis',(1.,0.,0.)); #357739=DIRECTION('center_axis',(0.,0.,1.)); #357740=DIRECTION('ref_axis',(1.,0.,0.)); #357741=DIRECTION('center_axis',(0.,0.,1.)); #357742=DIRECTION('ref_axis',(1.,0.,0.)); #357743=DIRECTION('center_axis',(0.,0.,-1.)); #357744=DIRECTION('ref_axis',(1.,0.,0.)); #357745=DIRECTION('',(0.,0.,-1.)); #357746=DIRECTION('center_axis',(0.,0.,1.)); #357747=DIRECTION('ref_axis',(1.,0.,0.)); #357748=DIRECTION('center_axis',(0.,0.,1.)); #357749=DIRECTION('ref_axis',(1.,0.,0.)); #357750=DIRECTION('center_axis',(0.,0.,1.)); #357751=DIRECTION('ref_axis',(1.,0.,0.)); #357752=DIRECTION('',(0.,0.,-1.)); #357753=DIRECTION('center_axis',(0.,0.,1.)); #357754=DIRECTION('ref_axis',(1.,0.,0.)); #357755=DIRECTION('center_axis',(0.,0.,1.)); #357756=DIRECTION('ref_axis',(1.,0.,0.)); #357757=DIRECTION('center_axis',(0.,0.,1.)); #357758=DIRECTION('ref_axis',(1.,0.,0.)); #357759=DIRECTION('center_axis',(0.,0.,1.)); #357760=DIRECTION('ref_axis',(1.,0.,0.)); #357761=DIRECTION('center_axis',(0.,0.,-1.)); #357762=DIRECTION('ref_axis',(1.,0.,0.)); #357763=DIRECTION('',(0.,0.,-1.)); #357764=DIRECTION('center_axis',(0.,0.,1.)); #357765=DIRECTION('ref_axis',(1.,0.,0.)); #357766=DIRECTION('center_axis',(0.,0.,1.)); #357767=DIRECTION('ref_axis',(1.,0.,0.)); #357768=DIRECTION('center_axis',(0.,0.,1.)); #357769=DIRECTION('ref_axis',(1.,0.,0.)); #357770=DIRECTION('',(0.,0.,-1.)); #357771=DIRECTION('center_axis',(0.,0.,1.)); #357772=DIRECTION('ref_axis',(1.,0.,0.)); #357773=DIRECTION('center_axis',(0.,0.,1.)); #357774=DIRECTION('ref_axis',(1.,0.,0.)); #357775=DIRECTION('center_axis',(0.,0.,1.)); #357776=DIRECTION('ref_axis',(1.,0.,0.)); #357777=DIRECTION('center_axis',(0.,0.,1.)); #357778=DIRECTION('ref_axis',(1.,0.,0.)); #357779=DIRECTION('center_axis',(0.,0.,-1.)); #357780=DIRECTION('ref_axis',(1.,0.,0.)); #357781=DIRECTION('',(0.,0.,-1.)); #357782=DIRECTION('center_axis',(0.,0.,1.)); #357783=DIRECTION('ref_axis',(1.,0.,0.)); #357784=DIRECTION('center_axis',(0.,0.,1.)); #357785=DIRECTION('ref_axis',(1.,0.,0.)); #357786=DIRECTION('center_axis',(0.,0.,1.)); #357787=DIRECTION('ref_axis',(1.,0.,0.)); #357788=DIRECTION('',(0.,0.,-1.)); #357789=DIRECTION('center_axis',(0.,0.,1.)); #357790=DIRECTION('ref_axis',(1.,0.,0.)); #357791=DIRECTION('center_axis',(0.,0.,1.)); #357792=DIRECTION('ref_axis',(1.,0.,0.)); #357793=DIRECTION('center_axis',(0.,0.,1.)); #357794=DIRECTION('ref_axis',(1.,0.,0.)); #357795=DIRECTION('center_axis',(0.,0.,1.)); #357796=DIRECTION('ref_axis',(1.,0.,0.)); #357797=DIRECTION('center_axis',(0.,0.,-1.)); #357798=DIRECTION('ref_axis',(1.,0.,0.)); #357799=DIRECTION('',(0.,0.,-1.)); #357800=DIRECTION('center_axis',(0.,0.,1.)); #357801=DIRECTION('ref_axis',(1.,0.,0.)); #357802=DIRECTION('center_axis',(0.,0.,1.)); #357803=DIRECTION('ref_axis',(1.,0.,0.)); #357804=DIRECTION('center_axis',(0.,0.,1.)); #357805=DIRECTION('ref_axis',(1.,0.,0.)); #357806=DIRECTION('',(0.,0.,-1.)); #357807=DIRECTION('center_axis',(0.,0.,1.)); #357808=DIRECTION('ref_axis',(1.,0.,0.)); #357809=DIRECTION('center_axis',(0.,0.,1.)); #357810=DIRECTION('ref_axis',(1.,0.,0.)); #357811=DIRECTION('center_axis',(0.,0.,1.)); #357812=DIRECTION('ref_axis',(1.,0.,0.)); #357813=DIRECTION('center_axis',(0.,0.,1.)); #357814=DIRECTION('ref_axis',(1.,0.,0.)); #357815=DIRECTION('center_axis',(0.,0.,-1.)); #357816=DIRECTION('ref_axis',(1.,0.,0.)); #357817=DIRECTION('',(0.,0.,-1.)); #357818=DIRECTION('center_axis',(0.,0.,1.)); #357819=DIRECTION('ref_axis',(1.,0.,0.)); #357820=DIRECTION('center_axis',(0.,0.,1.)); #357821=DIRECTION('ref_axis',(1.,0.,0.)); #357822=DIRECTION('center_axis',(0.,0.,1.)); #357823=DIRECTION('ref_axis',(1.,0.,0.)); #357824=DIRECTION('',(0.,0.,-1.)); #357825=DIRECTION('center_axis',(0.,0.,1.)); #357826=DIRECTION('ref_axis',(1.,0.,0.)); #357827=DIRECTION('center_axis',(0.,0.,1.)); #357828=DIRECTION('ref_axis',(1.,0.,0.)); #357829=DIRECTION('center_axis',(0.,0.,1.)); #357830=DIRECTION('ref_axis',(1.,0.,0.)); #357831=DIRECTION('center_axis',(0.,0.,1.)); #357832=DIRECTION('ref_axis',(1.,0.,0.)); #357833=DIRECTION('center_axis',(0.,0.,-1.)); #357834=DIRECTION('ref_axis',(1.,0.,0.)); #357835=DIRECTION('',(0.,0.,-1.)); #357836=DIRECTION('center_axis',(0.,0.,1.)); #357837=DIRECTION('ref_axis',(1.,0.,0.)); #357838=DIRECTION('center_axis',(0.,0.,1.)); #357839=DIRECTION('ref_axis',(1.,0.,0.)); #357840=DIRECTION('center_axis',(0.,0.,1.)); #357841=DIRECTION('ref_axis',(1.,0.,0.)); #357842=DIRECTION('',(0.,0.,-1.)); #357843=DIRECTION('center_axis',(0.,0.,1.)); #357844=DIRECTION('ref_axis',(1.,0.,0.)); #357845=DIRECTION('center_axis',(0.,0.,1.)); #357846=DIRECTION('ref_axis',(1.,0.,0.)); #357847=DIRECTION('center_axis',(0.,0.,1.)); #357848=DIRECTION('ref_axis',(1.,0.,0.)); #357849=DIRECTION('center_axis',(0.,0.,1.)); #357850=DIRECTION('ref_axis',(1.,0.,0.)); #357851=DIRECTION('center_axis',(0.,0.,-1.)); #357852=DIRECTION('ref_axis',(1.,0.,0.)); #357853=DIRECTION('',(0.,0.,-1.)); #357854=DIRECTION('center_axis',(0.,0.,1.)); #357855=DIRECTION('ref_axis',(1.,0.,0.)); #357856=DIRECTION('center_axis',(0.,0.,1.)); #357857=DIRECTION('ref_axis',(1.,0.,0.)); #357858=DIRECTION('center_axis',(0.,0.,1.)); #357859=DIRECTION('ref_axis',(1.,0.,0.)); #357860=DIRECTION('',(0.,0.,-1.)); #357861=DIRECTION('center_axis',(0.,0.,1.)); #357862=DIRECTION('ref_axis',(1.,0.,0.)); #357863=DIRECTION('center_axis',(0.,0.,1.)); #357864=DIRECTION('ref_axis',(1.,0.,0.)); #357865=DIRECTION('center_axis',(0.,0.,1.)); #357866=DIRECTION('ref_axis',(1.,0.,0.)); #357867=DIRECTION('center_axis',(0.,0.,1.)); #357868=DIRECTION('ref_axis',(1.,0.,0.)); #357869=DIRECTION('center_axis',(0.,0.,-1.)); #357870=DIRECTION('ref_axis',(1.,0.,0.)); #357871=DIRECTION('',(0.,0.,-1.)); #357872=DIRECTION('center_axis',(0.,0.,1.)); #357873=DIRECTION('ref_axis',(1.,0.,0.)); #357874=DIRECTION('center_axis',(0.,0.,1.)); #357875=DIRECTION('ref_axis',(1.,0.,0.)); #357876=DIRECTION('center_axis',(0.,0.,1.)); #357877=DIRECTION('ref_axis',(1.,0.,0.)); #357878=DIRECTION('',(0.,0.,-1.)); #357879=DIRECTION('center_axis',(0.,0.,1.)); #357880=DIRECTION('ref_axis',(1.,0.,0.)); #357881=DIRECTION('center_axis',(0.,0.,1.)); #357882=DIRECTION('ref_axis',(1.,0.,0.)); #357883=DIRECTION('center_axis',(0.,0.,1.)); #357884=DIRECTION('ref_axis',(1.,0.,0.)); #357885=DIRECTION('center_axis',(0.,0.,1.)); #357886=DIRECTION('ref_axis',(1.,0.,0.)); #357887=DIRECTION('center_axis',(0.,0.,-1.)); #357888=DIRECTION('ref_axis',(1.,0.,0.)); #357889=DIRECTION('',(0.,0.,-1.)); #357890=DIRECTION('center_axis',(0.,0.,1.)); #357891=DIRECTION('ref_axis',(1.,0.,0.)); #357892=DIRECTION('center_axis',(0.,0.,1.)); #357893=DIRECTION('ref_axis',(1.,0.,0.)); #357894=DIRECTION('center_axis',(0.,0.,1.)); #357895=DIRECTION('ref_axis',(1.,0.,0.)); #357896=DIRECTION('',(0.,0.,-1.)); #357897=DIRECTION('center_axis',(0.,0.,1.)); #357898=DIRECTION('ref_axis',(1.,0.,0.)); #357899=DIRECTION('center_axis',(0.,0.,1.)); #357900=DIRECTION('ref_axis',(1.,0.,0.)); #357901=DIRECTION('center_axis',(0.,0.,1.)); #357902=DIRECTION('ref_axis',(1.,0.,0.)); #357903=DIRECTION('center_axis',(0.,0.,1.)); #357904=DIRECTION('ref_axis',(1.,0.,0.)); #357905=DIRECTION('center_axis',(0.,0.,-1.)); #357906=DIRECTION('ref_axis',(1.,0.,0.)); #357907=DIRECTION('',(0.,0.,-1.)); #357908=DIRECTION('center_axis',(0.,0.,1.)); #357909=DIRECTION('ref_axis',(1.,0.,0.)); #357910=DIRECTION('center_axis',(0.,0.,1.)); #357911=DIRECTION('ref_axis',(1.,0.,0.)); #357912=DIRECTION('center_axis',(0.,0.,1.)); #357913=DIRECTION('ref_axis',(1.,0.,0.)); #357914=DIRECTION('',(0.,0.,-1.)); #357915=DIRECTION('center_axis',(0.,0.,1.)); #357916=DIRECTION('ref_axis',(1.,0.,0.)); #357917=DIRECTION('center_axis',(0.,0.,1.)); #357918=DIRECTION('ref_axis',(1.,0.,0.)); #357919=DIRECTION('center_axis',(0.,0.,1.)); #357920=DIRECTION('ref_axis',(1.,0.,0.)); #357921=DIRECTION('center_axis',(0.,0.,1.)); #357922=DIRECTION('ref_axis',(1.,0.,0.)); #357923=DIRECTION('center_axis',(0.,0.,-1.)); #357924=DIRECTION('ref_axis',(1.,0.,0.)); #357925=DIRECTION('',(0.,0.,-1.)); #357926=DIRECTION('center_axis',(0.,0.,1.)); #357927=DIRECTION('ref_axis',(1.,0.,0.)); #357928=DIRECTION('center_axis',(0.,0.,1.)); #357929=DIRECTION('ref_axis',(1.,0.,0.)); #357930=DIRECTION('center_axis',(0.,0.,1.)); #357931=DIRECTION('ref_axis',(1.,0.,0.)); #357932=DIRECTION('',(0.,0.,-1.)); #357933=DIRECTION('center_axis',(0.,0.,1.)); #357934=DIRECTION('ref_axis',(1.,0.,0.)); #357935=DIRECTION('center_axis',(0.,0.,1.)); #357936=DIRECTION('ref_axis',(1.,0.,0.)); #357937=DIRECTION('center_axis',(0.,0.,1.)); #357938=DIRECTION('ref_axis',(1.,0.,0.)); #357939=DIRECTION('center_axis',(0.,0.,1.)); #357940=DIRECTION('ref_axis',(1.,0.,0.)); #357941=DIRECTION('center_axis',(0.,0.,-1.)); #357942=DIRECTION('ref_axis',(1.,0.,0.)); #357943=DIRECTION('',(0.,0.,-1.)); #357944=DIRECTION('center_axis',(0.,0.,1.)); #357945=DIRECTION('ref_axis',(1.,0.,0.)); #357946=DIRECTION('center_axis',(0.,0.,1.)); #357947=DIRECTION('ref_axis',(1.,0.,0.)); #357948=DIRECTION('center_axis',(0.,0.,1.)); #357949=DIRECTION('ref_axis',(1.,0.,0.)); #357950=DIRECTION('',(0.,0.,-1.)); #357951=DIRECTION('center_axis',(0.,0.,1.)); #357952=DIRECTION('ref_axis',(1.,0.,0.)); #357953=DIRECTION('center_axis',(0.,0.,1.)); #357954=DIRECTION('ref_axis',(1.,0.,0.)); #357955=DIRECTION('center_axis',(0.,0.,1.)); #357956=DIRECTION('ref_axis',(1.,0.,0.)); #357957=DIRECTION('center_axis',(0.,0.,1.)); #357958=DIRECTION('ref_axis',(1.,0.,0.)); #357959=DIRECTION('center_axis',(0.,0.,-1.)); #357960=DIRECTION('ref_axis',(1.,0.,0.)); #357961=DIRECTION('',(0.,0.,-1.)); #357962=DIRECTION('center_axis',(0.,0.,1.)); #357963=DIRECTION('ref_axis',(1.,0.,0.)); #357964=DIRECTION('center_axis',(0.,0.,1.)); #357965=DIRECTION('ref_axis',(1.,0.,0.)); #357966=DIRECTION('center_axis',(0.,0.,1.)); #357967=DIRECTION('ref_axis',(1.,0.,0.)); #357968=DIRECTION('',(0.,0.,-1.)); #357969=DIRECTION('center_axis',(0.,0.,1.)); #357970=DIRECTION('ref_axis',(1.,0.,0.)); #357971=DIRECTION('center_axis',(0.,0.,1.)); #357972=DIRECTION('ref_axis',(1.,0.,0.)); #357973=DIRECTION('center_axis',(0.,0.,1.)); #357974=DIRECTION('ref_axis',(1.,0.,0.)); #357975=DIRECTION('center_axis',(0.,0.,1.)); #357976=DIRECTION('ref_axis',(1.,0.,0.)); #357977=DIRECTION('center_axis',(0.,0.,-1.)); #357978=DIRECTION('ref_axis',(1.,0.,0.)); #357979=DIRECTION('',(0.,0.,-1.)); #357980=DIRECTION('center_axis',(0.,0.,1.)); #357981=DIRECTION('ref_axis',(1.,0.,0.)); #357982=DIRECTION('center_axis',(0.,0.,1.)); #357983=DIRECTION('ref_axis',(1.,0.,0.)); #357984=DIRECTION('center_axis',(0.,0.,1.)); #357985=DIRECTION('ref_axis',(1.,0.,0.)); #357986=DIRECTION('',(0.,0.,-1.)); #357987=DIRECTION('center_axis',(0.,0.,1.)); #357988=DIRECTION('ref_axis',(1.,0.,0.)); #357989=DIRECTION('center_axis',(0.,0.,1.)); #357990=DIRECTION('ref_axis',(1.,0.,0.)); #357991=DIRECTION('center_axis',(0.,0.,1.)); #357992=DIRECTION('ref_axis',(1.,0.,0.)); #357993=DIRECTION('center_axis',(0.,0.,1.)); #357994=DIRECTION('ref_axis',(1.,0.,0.)); #357995=DIRECTION('center_axis',(0.,0.,-1.)); #357996=DIRECTION('ref_axis',(1.,0.,0.)); #357997=DIRECTION('',(0.,0.,-1.)); #357998=DIRECTION('center_axis',(0.,0.,1.)); #357999=DIRECTION('ref_axis',(1.,0.,0.)); #358000=DIRECTION('center_axis',(0.,0.,1.)); #358001=DIRECTION('ref_axis',(1.,0.,0.)); #358002=DIRECTION('center_axis',(0.,0.,1.)); #358003=DIRECTION('ref_axis',(1.,0.,0.)); #358004=DIRECTION('',(0.,0.,-1.)); #358005=DIRECTION('center_axis',(0.,0.,1.)); #358006=DIRECTION('ref_axis',(1.,0.,0.)); #358007=DIRECTION('center_axis',(0.,0.,1.)); #358008=DIRECTION('ref_axis',(1.,0.,0.)); #358009=DIRECTION('center_axis',(0.,0.,1.)); #358010=DIRECTION('ref_axis',(1.,0.,0.)); #358011=DIRECTION('center_axis',(0.,0.,1.)); #358012=DIRECTION('ref_axis',(1.,0.,0.)); #358013=DIRECTION('center_axis',(0.,0.,-1.)); #358014=DIRECTION('ref_axis',(1.,0.,0.)); #358015=DIRECTION('',(0.,0.,-1.)); #358016=DIRECTION('center_axis',(0.,0.,1.)); #358017=DIRECTION('ref_axis',(1.,0.,0.)); #358018=DIRECTION('center_axis',(0.,0.,1.)); #358019=DIRECTION('ref_axis',(1.,0.,0.)); #358020=DIRECTION('center_axis',(0.,0.,1.)); #358021=DIRECTION('ref_axis',(1.,0.,0.)); #358022=DIRECTION('',(0.,0.,-1.)); #358023=DIRECTION('center_axis',(0.,0.,1.)); #358024=DIRECTION('ref_axis',(1.,0.,0.)); #358025=DIRECTION('center_axis',(0.,0.,1.)); #358026=DIRECTION('ref_axis',(1.,0.,0.)); #358027=DIRECTION('center_axis',(0.,0.,1.)); #358028=DIRECTION('ref_axis',(1.,0.,0.)); #358029=DIRECTION('center_axis',(0.,0.,1.)); #358030=DIRECTION('ref_axis',(1.,0.,0.)); #358031=DIRECTION('center_axis',(0.,0.,-1.)); #358032=DIRECTION('ref_axis',(1.,0.,0.)); #358033=DIRECTION('',(0.,0.,-1.)); #358034=DIRECTION('center_axis',(0.,0.,1.)); #358035=DIRECTION('ref_axis',(1.,0.,0.)); #358036=DIRECTION('center_axis',(0.,0.,1.)); #358037=DIRECTION('ref_axis',(1.,0.,0.)); #358038=DIRECTION('center_axis',(0.,0.,1.)); #358039=DIRECTION('ref_axis',(1.,0.,0.)); #358040=DIRECTION('',(0.,0.,-1.)); #358041=DIRECTION('center_axis',(0.,0.,1.)); #358042=DIRECTION('ref_axis',(1.,0.,0.)); #358043=DIRECTION('center_axis',(0.,0.,1.)); #358044=DIRECTION('ref_axis',(1.,0.,0.)); #358045=DIRECTION('center_axis',(0.,0.,1.)); #358046=DIRECTION('ref_axis',(1.,0.,0.)); #358047=DIRECTION('center_axis',(0.,0.,1.)); #358048=DIRECTION('ref_axis',(1.,0.,0.)); #358049=DIRECTION('center_axis',(0.,0.,-1.)); #358050=DIRECTION('ref_axis',(1.,0.,0.)); #358051=DIRECTION('',(0.,0.,-1.)); #358052=DIRECTION('center_axis',(0.,0.,1.)); #358053=DIRECTION('ref_axis',(1.,0.,0.)); #358054=DIRECTION('center_axis',(0.,0.,1.)); #358055=DIRECTION('ref_axis',(1.,0.,0.)); #358056=DIRECTION('center_axis',(0.,0.,1.)); #358057=DIRECTION('ref_axis',(1.,0.,0.)); #358058=DIRECTION('',(0.,0.,-1.)); #358059=DIRECTION('center_axis',(0.,0.,1.)); #358060=DIRECTION('ref_axis',(1.,0.,0.)); #358061=DIRECTION('center_axis',(0.,0.,1.)); #358062=DIRECTION('ref_axis',(1.,0.,0.)); #358063=DIRECTION('center_axis',(0.,0.,1.)); #358064=DIRECTION('ref_axis',(1.,0.,0.)); #358065=DIRECTION('center_axis',(0.,0.,1.)); #358066=DIRECTION('ref_axis',(1.,0.,0.)); #358067=DIRECTION('center_axis',(0.,0.,-1.)); #358068=DIRECTION('ref_axis',(1.,0.,0.)); #358069=DIRECTION('',(0.,0.,-1.)); #358070=DIRECTION('center_axis',(0.,0.,1.)); #358071=DIRECTION('ref_axis',(1.,0.,0.)); #358072=DIRECTION('center_axis',(0.,0.,1.)); #358073=DIRECTION('ref_axis',(1.,0.,0.)); #358074=DIRECTION('center_axis',(0.,0.,1.)); #358075=DIRECTION('ref_axis',(1.,0.,0.)); #358076=DIRECTION('',(0.,0.,-1.)); #358077=DIRECTION('center_axis',(0.,0.,1.)); #358078=DIRECTION('ref_axis',(1.,0.,0.)); #358079=DIRECTION('center_axis',(0.,0.,1.)); #358080=DIRECTION('ref_axis',(1.,0.,0.)); #358081=DIRECTION('center_axis',(0.,0.,1.)); #358082=DIRECTION('ref_axis',(1.,0.,0.)); #358083=DIRECTION('center_axis',(0.,0.,1.)); #358084=DIRECTION('ref_axis',(1.,0.,0.)); #358085=DIRECTION('center_axis',(0.,0.,-1.)); #358086=DIRECTION('ref_axis',(1.,0.,0.)); #358087=DIRECTION('',(0.,0.,-1.)); #358088=DIRECTION('center_axis',(0.,0.,1.)); #358089=DIRECTION('ref_axis',(1.,0.,0.)); #358090=DIRECTION('center_axis',(0.,0.,1.)); #358091=DIRECTION('ref_axis',(1.,0.,0.)); #358092=DIRECTION('center_axis',(0.,0.,1.)); #358093=DIRECTION('ref_axis',(1.,0.,0.)); #358094=DIRECTION('',(0.,0.,-1.)); #358095=DIRECTION('center_axis',(0.,0.,1.)); #358096=DIRECTION('ref_axis',(1.,0.,0.)); #358097=DIRECTION('center_axis',(0.,0.,1.)); #358098=DIRECTION('ref_axis',(1.,0.,0.)); #358099=DIRECTION('center_axis',(0.,0.,1.)); #358100=DIRECTION('ref_axis',(1.,0.,0.)); #358101=DIRECTION('center_axis',(0.,0.,1.)); #358102=DIRECTION('ref_axis',(1.,0.,0.)); #358103=DIRECTION('center_axis',(0.,0.,-1.)); #358104=DIRECTION('ref_axis',(1.,0.,0.)); #358105=DIRECTION('',(0.,0.,-1.)); #358106=DIRECTION('center_axis',(0.,0.,1.)); #358107=DIRECTION('ref_axis',(1.,0.,0.)); #358108=DIRECTION('center_axis',(0.,0.,1.)); #358109=DIRECTION('ref_axis',(1.,0.,0.)); #358110=DIRECTION('center_axis',(0.,0.,1.)); #358111=DIRECTION('ref_axis',(1.,0.,0.)); #358112=DIRECTION('',(0.,0.,-1.)); #358113=DIRECTION('center_axis',(0.,0.,1.)); #358114=DIRECTION('ref_axis',(1.,0.,0.)); #358115=DIRECTION('center_axis',(0.,0.,1.)); #358116=DIRECTION('ref_axis',(1.,0.,0.)); #358117=DIRECTION('center_axis',(0.,0.,1.)); #358118=DIRECTION('ref_axis',(1.,0.,0.)); #358119=DIRECTION('center_axis',(0.,0.,1.)); #358120=DIRECTION('ref_axis',(1.,0.,0.)); #358121=DIRECTION('center_axis',(0.,0.,-1.)); #358122=DIRECTION('ref_axis',(1.,0.,0.)); #358123=DIRECTION('',(0.,0.,-1.)); #358124=DIRECTION('center_axis',(0.,0.,1.)); #358125=DIRECTION('ref_axis',(1.,0.,0.)); #358126=DIRECTION('center_axis',(0.,0.,1.)); #358127=DIRECTION('ref_axis',(1.,0.,0.)); #358128=DIRECTION('center_axis',(0.,0.,1.)); #358129=DIRECTION('ref_axis',(1.,0.,0.)); #358130=DIRECTION('',(0.,0.,-1.)); #358131=DIRECTION('center_axis',(0.,0.,1.)); #358132=DIRECTION('ref_axis',(1.,0.,0.)); #358133=DIRECTION('center_axis',(0.,0.,1.)); #358134=DIRECTION('ref_axis',(1.,0.,0.)); #358135=DIRECTION('center_axis',(0.,0.,1.)); #358136=DIRECTION('ref_axis',(1.,0.,0.)); #358137=DIRECTION('center_axis',(0.,0.,1.)); #358138=DIRECTION('ref_axis',(1.,0.,0.)); #358139=DIRECTION('center_axis',(0.,0.,-1.)); #358140=DIRECTION('ref_axis',(1.,0.,0.)); #358141=DIRECTION('',(0.,0.,-1.)); #358142=DIRECTION('center_axis',(0.,0.,1.)); #358143=DIRECTION('ref_axis',(1.,0.,0.)); #358144=DIRECTION('center_axis',(0.,0.,1.)); #358145=DIRECTION('ref_axis',(1.,0.,0.)); #358146=DIRECTION('center_axis',(0.,0.,1.)); #358147=DIRECTION('ref_axis',(1.,0.,0.)); #358148=DIRECTION('',(0.,0.,-1.)); #358149=DIRECTION('center_axis',(0.,0.,1.)); #358150=DIRECTION('ref_axis',(1.,0.,0.)); #358151=DIRECTION('center_axis',(0.,0.,1.)); #358152=DIRECTION('ref_axis',(1.,0.,0.)); #358153=DIRECTION('center_axis',(0.,0.,1.)); #358154=DIRECTION('ref_axis',(1.,0.,0.)); #358155=DIRECTION('center_axis',(0.,0.,1.)); #358156=DIRECTION('ref_axis',(1.,0.,0.)); #358157=DIRECTION('center_axis',(0.,0.,-1.)); #358158=DIRECTION('ref_axis',(1.,0.,0.)); #358159=DIRECTION('',(0.,0.,-1.)); #358160=DIRECTION('center_axis',(0.,0.,1.)); #358161=DIRECTION('ref_axis',(1.,0.,0.)); #358162=DIRECTION('center_axis',(0.,0.,1.)); #358163=DIRECTION('ref_axis',(1.,0.,0.)); #358164=DIRECTION('center_axis',(0.,0.,1.)); #358165=DIRECTION('ref_axis',(1.,0.,0.)); #358166=DIRECTION('',(0.,0.,-1.)); #358167=DIRECTION('center_axis',(0.,0.,1.)); #358168=DIRECTION('ref_axis',(1.,0.,0.)); #358169=DIRECTION('center_axis',(0.,0.,1.)); #358170=DIRECTION('ref_axis',(1.,0.,0.)); #358171=DIRECTION('center_axis',(0.,0.,1.)); #358172=DIRECTION('ref_axis',(1.,0.,0.)); #358173=DIRECTION('center_axis',(0.,0.,1.)); #358174=DIRECTION('ref_axis',(1.,0.,0.)); #358175=DIRECTION('center_axis',(0.,0.,-1.)); #358176=DIRECTION('ref_axis',(1.,0.,0.)); #358177=DIRECTION('',(0.,0.,-1.)); #358178=DIRECTION('center_axis',(0.,0.,1.)); #358179=DIRECTION('ref_axis',(1.,0.,0.)); #358180=DIRECTION('center_axis',(0.,0.,1.)); #358181=DIRECTION('ref_axis',(1.,0.,0.)); #358182=DIRECTION('center_axis',(0.,0.,1.)); #358183=DIRECTION('ref_axis',(1.,0.,0.)); #358184=DIRECTION('',(0.,0.,-1.)); #358185=DIRECTION('center_axis',(0.,0.,1.)); #358186=DIRECTION('ref_axis',(1.,0.,0.)); #358187=DIRECTION('center_axis',(0.,0.,1.)); #358188=DIRECTION('ref_axis',(1.,0.,0.)); #358189=DIRECTION('center_axis',(0.,0.,1.)); #358190=DIRECTION('ref_axis',(1.,0.,0.)); #358191=DIRECTION('center_axis',(0.,0.,1.)); #358192=DIRECTION('ref_axis',(1.,0.,0.)); #358193=DIRECTION('center_axis',(0.,0.,-1.)); #358194=DIRECTION('ref_axis',(1.,0.,0.)); #358195=DIRECTION('',(0.,0.,-1.)); #358196=DIRECTION('center_axis',(0.,0.,1.)); #358197=DIRECTION('ref_axis',(1.,0.,0.)); #358198=DIRECTION('center_axis',(0.,0.,1.)); #358199=DIRECTION('ref_axis',(1.,0.,0.)); #358200=DIRECTION('center_axis',(0.,0.,1.)); #358201=DIRECTION('ref_axis',(1.,0.,0.)); #358202=DIRECTION('',(0.,0.,-1.)); #358203=DIRECTION('center_axis',(0.,0.,1.)); #358204=DIRECTION('ref_axis',(1.,0.,0.)); #358205=DIRECTION('center_axis',(0.,0.,1.)); #358206=DIRECTION('ref_axis',(1.,0.,0.)); #358207=DIRECTION('center_axis',(0.,0.,1.)); #358208=DIRECTION('ref_axis',(1.,0.,0.)); #358209=DIRECTION('center_axis',(0.,0.,1.)); #358210=DIRECTION('ref_axis',(1.,0.,0.)); #358211=DIRECTION('center_axis',(0.,0.,-1.)); #358212=DIRECTION('ref_axis',(1.,0.,0.)); #358213=DIRECTION('',(0.,0.,-1.)); #358214=DIRECTION('center_axis',(0.,0.,1.)); #358215=DIRECTION('ref_axis',(1.,0.,0.)); #358216=DIRECTION('center_axis',(0.,0.,1.)); #358217=DIRECTION('ref_axis',(1.,0.,0.)); #358218=DIRECTION('center_axis',(0.,0.,1.)); #358219=DIRECTION('ref_axis',(1.,0.,0.)); #358220=DIRECTION('',(0.,0.,-1.)); #358221=DIRECTION('center_axis',(0.,0.,1.)); #358222=DIRECTION('ref_axis',(1.,0.,0.)); #358223=DIRECTION('center_axis',(0.,0.,1.)); #358224=DIRECTION('ref_axis',(1.,0.,0.)); #358225=DIRECTION('center_axis',(0.,0.,1.)); #358226=DIRECTION('ref_axis',(1.,0.,0.)); #358227=DIRECTION('center_axis',(0.,0.,1.)); #358228=DIRECTION('ref_axis',(1.,0.,0.)); #358229=DIRECTION('center_axis',(0.,0.,-1.)); #358230=DIRECTION('ref_axis',(1.,0.,0.)); #358231=DIRECTION('',(0.,0.,-1.)); #358232=DIRECTION('center_axis',(0.,0.,1.)); #358233=DIRECTION('ref_axis',(1.,0.,0.)); #358234=DIRECTION('center_axis',(0.,0.,1.)); #358235=DIRECTION('ref_axis',(1.,0.,0.)); #358236=DIRECTION('center_axis',(0.,0.,1.)); #358237=DIRECTION('ref_axis',(1.,0.,0.)); #358238=DIRECTION('',(0.,0.,-1.)); #358239=DIRECTION('center_axis',(0.,0.,1.)); #358240=DIRECTION('ref_axis',(1.,0.,0.)); #358241=DIRECTION('center_axis',(0.,0.,1.)); #358242=DIRECTION('ref_axis',(1.,0.,0.)); #358243=DIRECTION('center_axis',(0.,0.,1.)); #358244=DIRECTION('ref_axis',(1.,0.,0.)); #358245=DIRECTION('center_axis',(0.,0.,1.)); #358246=DIRECTION('ref_axis',(1.,0.,0.)); #358247=DIRECTION('center_axis',(0.,0.,-1.)); #358248=DIRECTION('ref_axis',(1.,0.,0.)); #358249=DIRECTION('',(0.,0.,-1.)); #358250=DIRECTION('center_axis',(0.,0.,1.)); #358251=DIRECTION('ref_axis',(1.,0.,0.)); #358252=DIRECTION('center_axis',(0.,0.,1.)); #358253=DIRECTION('ref_axis',(1.,0.,0.)); #358254=DIRECTION('center_axis',(0.,0.,1.)); #358255=DIRECTION('ref_axis',(1.,0.,0.)); #358256=DIRECTION('',(0.,0.,-1.)); #358257=DIRECTION('center_axis',(0.,0.,1.)); #358258=DIRECTION('ref_axis',(1.,0.,0.)); #358259=DIRECTION('center_axis',(0.,0.,1.)); #358260=DIRECTION('ref_axis',(1.,0.,0.)); #358261=DIRECTION('center_axis',(0.,0.,1.)); #358262=DIRECTION('ref_axis',(1.,0.,0.)); #358263=DIRECTION('center_axis',(0.,0.,1.)); #358264=DIRECTION('ref_axis',(1.,0.,0.)); #358265=DIRECTION('center_axis',(0.,0.,-1.)); #358266=DIRECTION('ref_axis',(1.,0.,0.)); #358267=DIRECTION('',(0.,0.,-1.)); #358268=DIRECTION('center_axis',(0.,0.,1.)); #358269=DIRECTION('ref_axis',(1.,0.,0.)); #358270=DIRECTION('center_axis',(0.,0.,1.)); #358271=DIRECTION('ref_axis',(1.,0.,0.)); #358272=DIRECTION('center_axis',(0.,0.,1.)); #358273=DIRECTION('ref_axis',(1.,0.,0.)); #358274=DIRECTION('',(0.,0.,-1.)); #358275=DIRECTION('center_axis',(0.,0.,1.)); #358276=DIRECTION('ref_axis',(1.,0.,0.)); #358277=DIRECTION('center_axis',(0.,0.,1.)); #358278=DIRECTION('ref_axis',(1.,0.,0.)); #358279=DIRECTION('center_axis',(0.,0.,1.)); #358280=DIRECTION('ref_axis',(1.,0.,0.)); #358281=DIRECTION('center_axis',(0.,0.,1.)); #358282=DIRECTION('ref_axis',(1.,0.,0.)); #358283=DIRECTION('center_axis',(0.,0.,-1.)); #358284=DIRECTION('ref_axis',(1.,0.,0.)); #358285=DIRECTION('',(0.,0.,-1.)); #358286=DIRECTION('center_axis',(0.,0.,1.)); #358287=DIRECTION('ref_axis',(1.,0.,0.)); #358288=DIRECTION('center_axis',(0.,0.,1.)); #358289=DIRECTION('ref_axis',(1.,0.,0.)); #358290=DIRECTION('center_axis',(0.,0.,1.)); #358291=DIRECTION('ref_axis',(1.,0.,0.)); #358292=DIRECTION('',(0.,0.,-1.)); #358293=DIRECTION('center_axis',(0.,0.,1.)); #358294=DIRECTION('ref_axis',(1.,0.,0.)); #358295=DIRECTION('center_axis',(0.,0.,1.)); #358296=DIRECTION('ref_axis',(1.,0.,0.)); #358297=DIRECTION('center_axis',(0.,0.,1.)); #358298=DIRECTION('ref_axis',(1.,0.,0.)); #358299=DIRECTION('center_axis',(0.,0.,1.)); #358300=DIRECTION('ref_axis',(1.,0.,0.)); #358301=DIRECTION('center_axis',(0.,0.,-1.)); #358302=DIRECTION('ref_axis',(1.,0.,0.)); #358303=DIRECTION('',(0.,0.,-1.)); #358304=DIRECTION('center_axis',(0.,0.,1.)); #358305=DIRECTION('ref_axis',(1.,0.,0.)); #358306=DIRECTION('center_axis',(0.,0.,1.)); #358307=DIRECTION('ref_axis',(1.,0.,0.)); #358308=DIRECTION('center_axis',(0.,0.,1.)); #358309=DIRECTION('ref_axis',(1.,0.,0.)); #358310=DIRECTION('',(0.,0.,-1.)); #358311=DIRECTION('center_axis',(0.,0.,1.)); #358312=DIRECTION('ref_axis',(1.,0.,0.)); #358313=DIRECTION('center_axis',(0.,0.,1.)); #358314=DIRECTION('ref_axis',(1.,0.,0.)); #358315=DIRECTION('center_axis',(0.,0.,1.)); #358316=DIRECTION('ref_axis',(1.,0.,0.)); #358317=DIRECTION('center_axis',(0.,0.,1.)); #358318=DIRECTION('ref_axis',(1.,0.,0.)); #358319=DIRECTION('center_axis',(0.,0.,-1.)); #358320=DIRECTION('ref_axis',(1.,0.,0.)); #358321=DIRECTION('',(0.,0.,-1.)); #358322=DIRECTION('center_axis',(0.,0.,1.)); #358323=DIRECTION('ref_axis',(1.,0.,0.)); #358324=DIRECTION('center_axis',(0.,0.,1.)); #358325=DIRECTION('ref_axis',(1.,0.,0.)); #358326=DIRECTION('center_axis',(0.,0.,1.)); #358327=DIRECTION('ref_axis',(1.,0.,0.)); #358328=DIRECTION('',(0.,0.,-1.)); #358329=DIRECTION('center_axis',(0.,0.,1.)); #358330=DIRECTION('ref_axis',(1.,0.,0.)); #358331=DIRECTION('center_axis',(0.,0.,1.)); #358332=DIRECTION('ref_axis',(1.,0.,0.)); #358333=DIRECTION('center_axis',(0.,0.,1.)); #358334=DIRECTION('ref_axis',(1.,0.,0.)); #358335=DIRECTION('center_axis',(0.,0.,1.)); #358336=DIRECTION('ref_axis',(1.,0.,0.)); #358337=DIRECTION('center_axis',(0.,0.,-1.)); #358338=DIRECTION('ref_axis',(1.,0.,0.)); #358339=DIRECTION('',(0.,0.,-1.)); #358340=DIRECTION('center_axis',(0.,0.,1.)); #358341=DIRECTION('ref_axis',(1.,0.,0.)); #358342=DIRECTION('center_axis',(0.,0.,1.)); #358343=DIRECTION('ref_axis',(1.,0.,0.)); #358344=DIRECTION('center_axis',(0.,0.,1.)); #358345=DIRECTION('ref_axis',(1.,0.,0.)); #358346=DIRECTION('',(0.,0.,-1.)); #358347=DIRECTION('center_axis',(0.,0.,1.)); #358348=DIRECTION('ref_axis',(1.,0.,0.)); #358349=DIRECTION('center_axis',(0.,0.,1.)); #358350=DIRECTION('ref_axis',(1.,0.,0.)); #358351=DIRECTION('center_axis',(0.,0.,1.)); #358352=DIRECTION('ref_axis',(1.,0.,0.)); #358353=DIRECTION('center_axis',(0.,0.,1.)); #358354=DIRECTION('ref_axis',(1.,0.,0.)); #358355=DIRECTION('center_axis',(0.,0.,-1.)); #358356=DIRECTION('ref_axis',(1.,0.,0.)); #358357=DIRECTION('',(0.,0.,-1.)); #358358=DIRECTION('center_axis',(0.,0.,1.)); #358359=DIRECTION('ref_axis',(1.,0.,0.)); #358360=DIRECTION('center_axis',(0.,0.,1.)); #358361=DIRECTION('ref_axis',(1.,0.,0.)); #358362=DIRECTION('center_axis',(0.,0.,1.)); #358363=DIRECTION('ref_axis',(1.,0.,0.)); #358364=DIRECTION('',(0.,0.,-1.)); #358365=DIRECTION('center_axis',(0.,0.,1.)); #358366=DIRECTION('ref_axis',(1.,0.,0.)); #358367=DIRECTION('center_axis',(0.,0.,1.)); #358368=DIRECTION('ref_axis',(1.,0.,0.)); #358369=DIRECTION('center_axis',(0.,0.,1.)); #358370=DIRECTION('ref_axis',(1.,0.,0.)); #358371=DIRECTION('center_axis',(0.,0.,1.)); #358372=DIRECTION('ref_axis',(1.,0.,0.)); #358373=DIRECTION('center_axis',(0.,0.,-1.)); #358374=DIRECTION('ref_axis',(1.,0.,0.)); #358375=DIRECTION('',(0.,0.,-1.)); #358376=DIRECTION('center_axis',(0.,0.,1.)); #358377=DIRECTION('ref_axis',(1.,0.,0.)); #358378=DIRECTION('center_axis',(0.,0.,1.)); #358379=DIRECTION('ref_axis',(1.,0.,0.)); #358380=DIRECTION('center_axis',(0.,0.,1.)); #358381=DIRECTION('ref_axis',(1.,0.,0.)); #358382=DIRECTION('',(0.,0.,-1.)); #358383=DIRECTION('center_axis',(0.,0.,1.)); #358384=DIRECTION('ref_axis',(1.,0.,0.)); #358385=DIRECTION('center_axis',(0.,0.,1.)); #358386=DIRECTION('ref_axis',(1.,0.,0.)); #358387=DIRECTION('center_axis',(0.,0.,1.)); #358388=DIRECTION('ref_axis',(1.,0.,0.)); #358389=DIRECTION('center_axis',(0.,0.,1.)); #358390=DIRECTION('ref_axis',(1.,0.,0.)); #358391=DIRECTION('center_axis',(0.,0.,-1.)); #358392=DIRECTION('ref_axis',(1.,0.,0.)); #358393=DIRECTION('',(0.,0.,-1.)); #358394=DIRECTION('center_axis',(0.,0.,1.)); #358395=DIRECTION('ref_axis',(1.,0.,0.)); #358396=DIRECTION('center_axis',(0.,0.,1.)); #358397=DIRECTION('ref_axis',(1.,0.,0.)); #358398=DIRECTION('center_axis',(0.,0.,1.)); #358399=DIRECTION('ref_axis',(1.,0.,0.)); #358400=DIRECTION('',(0.,0.,-1.)); #358401=DIRECTION('center_axis',(0.,0.,1.)); #358402=DIRECTION('ref_axis',(1.,0.,0.)); #358403=DIRECTION('center_axis',(0.,0.,1.)); #358404=DIRECTION('ref_axis',(1.,0.,0.)); #358405=DIRECTION('center_axis',(0.,0.,1.)); #358406=DIRECTION('ref_axis',(1.,0.,0.)); #358407=DIRECTION('center_axis',(0.,0.,1.)); #358408=DIRECTION('ref_axis',(1.,0.,0.)); #358409=DIRECTION('center_axis',(0.,0.,-1.)); #358410=DIRECTION('ref_axis',(1.,0.,0.)); #358411=DIRECTION('',(0.,0.,-1.)); #358412=DIRECTION('center_axis',(0.,0.,1.)); #358413=DIRECTION('ref_axis',(1.,0.,0.)); #358414=DIRECTION('center_axis',(0.,0.,1.)); #358415=DIRECTION('ref_axis',(1.,0.,0.)); #358416=DIRECTION('center_axis',(0.,0.,1.)); #358417=DIRECTION('ref_axis',(1.,0.,0.)); #358418=DIRECTION('',(0.,0.,-1.)); #358419=DIRECTION('center_axis',(0.,0.,1.)); #358420=DIRECTION('ref_axis',(1.,0.,0.)); #358421=DIRECTION('center_axis',(0.,0.,1.)); #358422=DIRECTION('ref_axis',(1.,0.,0.)); #358423=DIRECTION('center_axis',(0.,0.,1.)); #358424=DIRECTION('ref_axis',(1.,0.,0.)); #358425=DIRECTION('center_axis',(0.,0.,1.)); #358426=DIRECTION('ref_axis',(1.,0.,0.)); #358427=DIRECTION('center_axis',(0.,0.,-1.)); #358428=DIRECTION('ref_axis',(1.,0.,0.)); #358429=DIRECTION('',(0.,0.,-1.)); #358430=DIRECTION('center_axis',(0.,0.,1.)); #358431=DIRECTION('ref_axis',(1.,0.,0.)); #358432=DIRECTION('center_axis',(0.,0.,1.)); #358433=DIRECTION('ref_axis',(1.,0.,0.)); #358434=DIRECTION('center_axis',(0.,0.,1.)); #358435=DIRECTION('ref_axis',(1.,0.,0.)); #358436=DIRECTION('',(0.,0.,-1.)); #358437=DIRECTION('center_axis',(0.,0.,1.)); #358438=DIRECTION('ref_axis',(1.,0.,0.)); #358439=DIRECTION('center_axis',(0.,0.,1.)); #358440=DIRECTION('ref_axis',(1.,0.,0.)); #358441=DIRECTION('center_axis',(0.,0.,1.)); #358442=DIRECTION('ref_axis',(1.,0.,0.)); #358443=DIRECTION('center_axis',(0.,0.,1.)); #358444=DIRECTION('ref_axis',(1.,0.,0.)); #358445=DIRECTION('center_axis',(0.,0.,-1.)); #358446=DIRECTION('ref_axis',(1.,0.,0.)); #358447=DIRECTION('',(0.,0.,-1.)); #358448=DIRECTION('center_axis',(0.,0.,1.)); #358449=DIRECTION('ref_axis',(1.,0.,0.)); #358450=DIRECTION('center_axis',(0.,0.,1.)); #358451=DIRECTION('ref_axis',(1.,0.,0.)); #358452=DIRECTION('center_axis',(0.,0.,1.)); #358453=DIRECTION('ref_axis',(1.,0.,0.)); #358454=DIRECTION('',(0.,0.,-1.)); #358455=DIRECTION('center_axis',(0.,0.,1.)); #358456=DIRECTION('ref_axis',(1.,0.,0.)); #358457=DIRECTION('center_axis',(0.,0.,1.)); #358458=DIRECTION('ref_axis',(1.,0.,0.)); #358459=DIRECTION('center_axis',(0.,0.,1.)); #358460=DIRECTION('ref_axis',(1.,0.,0.)); #358461=DIRECTION('center_axis',(0.,0.,1.)); #358462=DIRECTION('ref_axis',(1.,0.,0.)); #358463=DIRECTION('center_axis',(0.,0.,-1.)); #358464=DIRECTION('ref_axis',(1.,0.,0.)); #358465=DIRECTION('',(0.,0.,-1.)); #358466=DIRECTION('center_axis',(0.,0.,1.)); #358467=DIRECTION('ref_axis',(1.,0.,0.)); #358468=DIRECTION('center_axis',(0.,0.,1.)); #358469=DIRECTION('ref_axis',(1.,0.,0.)); #358470=DIRECTION('center_axis',(0.,0.,1.)); #358471=DIRECTION('ref_axis',(1.,0.,0.)); #358472=DIRECTION('',(0.,0.,-1.)); #358473=DIRECTION('center_axis',(0.,0.,1.)); #358474=DIRECTION('ref_axis',(1.,0.,0.)); #358475=DIRECTION('center_axis',(0.,0.,1.)); #358476=DIRECTION('ref_axis',(1.,0.,0.)); #358477=DIRECTION('center_axis',(0.,0.,1.)); #358478=DIRECTION('ref_axis',(1.,0.,0.)); #358479=DIRECTION('center_axis',(0.,0.,1.)); #358480=DIRECTION('ref_axis',(1.,0.,0.)); #358481=DIRECTION('center_axis',(0.,0.,-1.)); #358482=DIRECTION('ref_axis',(1.,0.,0.)); #358483=DIRECTION('',(0.,0.,-1.)); #358484=DIRECTION('center_axis',(0.,0.,1.)); #358485=DIRECTION('ref_axis',(1.,0.,0.)); #358486=DIRECTION('center_axis',(0.,0.,1.)); #358487=DIRECTION('ref_axis',(1.,0.,0.)); #358488=DIRECTION('center_axis',(0.,0.,1.)); #358489=DIRECTION('ref_axis',(1.,0.,0.)); #358490=DIRECTION('',(0.,0.,-1.)); #358491=DIRECTION('center_axis',(0.,0.,1.)); #358492=DIRECTION('ref_axis',(1.,0.,0.)); #358493=DIRECTION('center_axis',(0.,0.,1.)); #358494=DIRECTION('ref_axis',(1.,0.,0.)); #358495=DIRECTION('center_axis',(0.,0.,1.)); #358496=DIRECTION('ref_axis',(1.,0.,0.)); #358497=DIRECTION('center_axis',(0.,0.,1.)); #358498=DIRECTION('ref_axis',(1.,0.,0.)); #358499=DIRECTION('center_axis',(0.,0.,-1.)); #358500=DIRECTION('ref_axis',(1.,0.,0.)); #358501=DIRECTION('',(0.,0.,-1.)); #358502=DIRECTION('center_axis',(0.,0.,1.)); #358503=DIRECTION('ref_axis',(1.,0.,0.)); #358504=DIRECTION('center_axis',(0.,0.,1.)); #358505=DIRECTION('ref_axis',(1.,0.,0.)); #358506=DIRECTION('center_axis',(0.,0.,1.)); #358507=DIRECTION('ref_axis',(1.,0.,0.)); #358508=DIRECTION('',(0.,0.,-1.)); #358509=DIRECTION('center_axis',(0.,0.,1.)); #358510=DIRECTION('ref_axis',(1.,0.,0.)); #358511=DIRECTION('center_axis',(0.,0.,1.)); #358512=DIRECTION('ref_axis',(1.,0.,0.)); #358513=DIRECTION('center_axis',(0.,0.,1.)); #358514=DIRECTION('ref_axis',(1.,0.,0.)); #358515=DIRECTION('center_axis',(0.,0.,1.)); #358516=DIRECTION('ref_axis',(1.,0.,0.)); #358517=DIRECTION('center_axis',(0.,0.,-1.)); #358518=DIRECTION('ref_axis',(1.,0.,0.)); #358519=DIRECTION('',(0.,0.,-1.)); #358520=DIRECTION('center_axis',(0.,0.,1.)); #358521=DIRECTION('ref_axis',(1.,0.,0.)); #358522=DIRECTION('center_axis',(0.,0.,1.)); #358523=DIRECTION('ref_axis',(1.,0.,0.)); #358524=DIRECTION('center_axis',(0.,0.,1.)); #358525=DIRECTION('ref_axis',(1.,0.,0.)); #358526=DIRECTION('',(0.,0.,-1.)); #358527=DIRECTION('center_axis',(0.,0.,1.)); #358528=DIRECTION('ref_axis',(1.,0.,0.)); #358529=DIRECTION('center_axis',(0.,0.,1.)); #358530=DIRECTION('ref_axis',(1.,0.,0.)); #358531=DIRECTION('center_axis',(0.,0.,1.)); #358532=DIRECTION('ref_axis',(1.,0.,0.)); #358533=DIRECTION('center_axis',(0.,0.,1.)); #358534=DIRECTION('ref_axis',(1.,0.,0.)); #358535=DIRECTION('center_axis',(0.,0.,-1.)); #358536=DIRECTION('ref_axis',(1.,0.,0.)); #358537=DIRECTION('',(0.,0.,-1.)); #358538=DIRECTION('center_axis',(0.,0.,1.)); #358539=DIRECTION('ref_axis',(1.,0.,0.)); #358540=DIRECTION('center_axis',(0.,0.,1.)); #358541=DIRECTION('ref_axis',(1.,0.,0.)); #358542=DIRECTION('center_axis',(0.,0.,1.)); #358543=DIRECTION('ref_axis',(1.,0.,0.)); #358544=DIRECTION('',(0.,0.,-1.)); #358545=DIRECTION('center_axis',(0.,0.,1.)); #358546=DIRECTION('ref_axis',(1.,0.,0.)); #358547=DIRECTION('center_axis',(0.,0.,1.)); #358548=DIRECTION('ref_axis',(1.,0.,0.)); #358549=DIRECTION('center_axis',(0.,0.,1.)); #358550=DIRECTION('ref_axis',(1.,0.,0.)); #358551=DIRECTION('center_axis',(0.,0.,1.)); #358552=DIRECTION('ref_axis',(1.,0.,0.)); #358553=DIRECTION('center_axis',(0.,0.,-1.)); #358554=DIRECTION('ref_axis',(1.,0.,0.)); #358555=DIRECTION('',(0.,0.,-1.)); #358556=DIRECTION('center_axis',(0.,0.,1.)); #358557=DIRECTION('ref_axis',(1.,0.,0.)); #358558=DIRECTION('center_axis',(0.,0.,1.)); #358559=DIRECTION('ref_axis',(1.,0.,0.)); #358560=DIRECTION('center_axis',(0.,0.,1.)); #358561=DIRECTION('ref_axis',(1.,0.,0.)); #358562=DIRECTION('',(0.,0.,-1.)); #358563=DIRECTION('center_axis',(0.,0.,1.)); #358564=DIRECTION('ref_axis',(1.,0.,0.)); #358565=DIRECTION('center_axis',(0.,0.,1.)); #358566=DIRECTION('ref_axis',(1.,0.,0.)); #358567=DIRECTION('center_axis',(0.,0.,1.)); #358568=DIRECTION('ref_axis',(1.,0.,0.)); #358569=DIRECTION('center_axis',(0.,0.,1.)); #358570=DIRECTION('ref_axis',(1.,0.,0.)); #358571=DIRECTION('center_axis',(0.,0.,-1.)); #358572=DIRECTION('ref_axis',(1.,0.,0.)); #358573=DIRECTION('',(0.,0.,-1.)); #358574=DIRECTION('center_axis',(0.,0.,1.)); #358575=DIRECTION('ref_axis',(1.,0.,0.)); #358576=DIRECTION('center_axis',(0.,0.,1.)); #358577=DIRECTION('ref_axis',(1.,0.,0.)); #358578=DIRECTION('center_axis',(0.,0.,1.)); #358579=DIRECTION('ref_axis',(1.,0.,0.)); #358580=DIRECTION('',(0.,0.,-1.)); #358581=DIRECTION('center_axis',(0.,0.,1.)); #358582=DIRECTION('ref_axis',(1.,0.,0.)); #358583=DIRECTION('center_axis',(0.,0.,1.)); #358584=DIRECTION('ref_axis',(1.,0.,0.)); #358585=DIRECTION('center_axis',(0.,0.,1.)); #358586=DIRECTION('ref_axis',(1.,0.,0.)); #358587=DIRECTION('center_axis',(0.,0.,1.)); #358588=DIRECTION('ref_axis',(1.,0.,0.)); #358589=DIRECTION('center_axis',(0.,0.,-1.)); #358590=DIRECTION('ref_axis',(1.,0.,0.)); #358591=DIRECTION('',(0.,0.,-1.)); #358592=DIRECTION('center_axis',(0.,0.,1.)); #358593=DIRECTION('ref_axis',(1.,0.,0.)); #358594=DIRECTION('center_axis',(0.,0.,1.)); #358595=DIRECTION('ref_axis',(1.,0.,0.)); #358596=DIRECTION('center_axis',(0.,0.,1.)); #358597=DIRECTION('ref_axis',(1.,0.,0.)); #358598=DIRECTION('',(0.,0.,-1.)); #358599=DIRECTION('center_axis',(0.,0.,1.)); #358600=DIRECTION('ref_axis',(1.,0.,0.)); #358601=DIRECTION('center_axis',(0.,0.,1.)); #358602=DIRECTION('ref_axis',(1.,0.,0.)); #358603=DIRECTION('center_axis',(0.,0.,1.)); #358604=DIRECTION('ref_axis',(1.,0.,0.)); #358605=DIRECTION('center_axis',(0.,0.,1.)); #358606=DIRECTION('ref_axis',(1.,0.,0.)); #358607=DIRECTION('center_axis',(0.,0.,-1.)); #358608=DIRECTION('ref_axis',(1.,0.,0.)); #358609=DIRECTION('',(0.,0.,-1.)); #358610=DIRECTION('center_axis',(0.,0.,1.)); #358611=DIRECTION('ref_axis',(1.,0.,0.)); #358612=DIRECTION('center_axis',(0.,0.,1.)); #358613=DIRECTION('ref_axis',(1.,0.,0.)); #358614=DIRECTION('center_axis',(0.,0.,1.)); #358615=DIRECTION('ref_axis',(1.,0.,0.)); #358616=DIRECTION('',(0.,0.,-1.)); #358617=DIRECTION('center_axis',(0.,0.,1.)); #358618=DIRECTION('ref_axis',(1.,0.,0.)); #358619=DIRECTION('center_axis',(0.,0.,1.)); #358620=DIRECTION('ref_axis',(1.,0.,0.)); #358621=DIRECTION('center_axis',(0.,0.,1.)); #358622=DIRECTION('ref_axis',(1.,0.,0.)); #358623=DIRECTION('center_axis',(0.,0.,1.)); #358624=DIRECTION('ref_axis',(1.,0.,0.)); #358625=DIRECTION('center_axis',(0.,0.,-1.)); #358626=DIRECTION('ref_axis',(1.,0.,0.)); #358627=DIRECTION('',(0.,0.,-1.)); #358628=DIRECTION('center_axis',(0.,0.,1.)); #358629=DIRECTION('ref_axis',(1.,0.,0.)); #358630=DIRECTION('center_axis',(0.,0.,1.)); #358631=DIRECTION('ref_axis',(1.,0.,0.)); #358632=DIRECTION('center_axis',(0.,0.,1.)); #358633=DIRECTION('ref_axis',(1.,0.,0.)); #358634=DIRECTION('',(0.,0.,-1.)); #358635=DIRECTION('center_axis',(0.,0.,1.)); #358636=DIRECTION('ref_axis',(1.,0.,0.)); #358637=DIRECTION('center_axis',(0.,0.,1.)); #358638=DIRECTION('ref_axis',(1.,0.,0.)); #358639=DIRECTION('center_axis',(0.,0.,1.)); #358640=DIRECTION('ref_axis',(1.,0.,0.)); #358641=DIRECTION('center_axis',(0.,0.,1.)); #358642=DIRECTION('ref_axis',(1.,0.,0.)); #358643=DIRECTION('center_axis',(0.,0.,-1.)); #358644=DIRECTION('ref_axis',(1.,0.,0.)); #358645=DIRECTION('',(0.,0.,-1.)); #358646=DIRECTION('center_axis',(0.,0.,1.)); #358647=DIRECTION('ref_axis',(1.,0.,0.)); #358648=DIRECTION('center_axis',(0.,0.,1.)); #358649=DIRECTION('ref_axis',(1.,0.,0.)); #358650=DIRECTION('center_axis',(0.,0.,1.)); #358651=DIRECTION('ref_axis',(1.,0.,0.)); #358652=DIRECTION('',(0.,0.,-1.)); #358653=DIRECTION('center_axis',(0.,0.,1.)); #358654=DIRECTION('ref_axis',(1.,0.,0.)); #358655=DIRECTION('center_axis',(0.,0.,1.)); #358656=DIRECTION('ref_axis',(1.,0.,0.)); #358657=DIRECTION('center_axis',(0.,0.,1.)); #358658=DIRECTION('ref_axis',(1.,0.,0.)); #358659=DIRECTION('center_axis',(0.,0.,1.)); #358660=DIRECTION('ref_axis',(1.,0.,0.)); #358661=DIRECTION('center_axis',(0.,0.,-1.)); #358662=DIRECTION('ref_axis',(1.,0.,0.)); #358663=DIRECTION('',(0.,0.,-1.)); #358664=DIRECTION('center_axis',(0.,0.,1.)); #358665=DIRECTION('ref_axis',(1.,0.,0.)); #358666=DIRECTION('center_axis',(0.,0.,1.)); #358667=DIRECTION('ref_axis',(1.,0.,0.)); #358668=DIRECTION('center_axis',(0.,0.,1.)); #358669=DIRECTION('ref_axis',(1.,0.,0.)); #358670=DIRECTION('',(0.,0.,-1.)); #358671=DIRECTION('center_axis',(0.,0.,1.)); #358672=DIRECTION('ref_axis',(1.,0.,0.)); #358673=DIRECTION('center_axis',(0.,0.,1.)); #358674=DIRECTION('ref_axis',(1.,0.,0.)); #358675=DIRECTION('center_axis',(0.,0.,1.)); #358676=DIRECTION('ref_axis',(1.,0.,0.)); #358677=DIRECTION('center_axis',(0.,0.,1.)); #358678=DIRECTION('ref_axis',(1.,0.,0.)); #358679=DIRECTION('center_axis',(0.,0.,-1.)); #358680=DIRECTION('ref_axis',(1.,0.,0.)); #358681=DIRECTION('',(0.,0.,-1.)); #358682=DIRECTION('center_axis',(0.,0.,1.)); #358683=DIRECTION('ref_axis',(1.,0.,0.)); #358684=DIRECTION('center_axis',(0.,0.,1.)); #358685=DIRECTION('ref_axis',(1.,0.,0.)); #358686=DIRECTION('center_axis',(0.,0.,1.)); #358687=DIRECTION('ref_axis',(1.,0.,0.)); #358688=DIRECTION('',(0.,0.,-1.)); #358689=DIRECTION('center_axis',(0.,0.,1.)); #358690=DIRECTION('ref_axis',(1.,0.,0.)); #358691=DIRECTION('center_axis',(0.,0.,1.)); #358692=DIRECTION('ref_axis',(1.,0.,0.)); #358693=DIRECTION('center_axis',(0.,0.,1.)); #358694=DIRECTION('ref_axis',(1.,0.,0.)); #358695=DIRECTION('center_axis',(0.,0.,1.)); #358696=DIRECTION('ref_axis',(1.,0.,0.)); #358697=DIRECTION('center_axis',(0.,0.,-1.)); #358698=DIRECTION('ref_axis',(1.,0.,0.)); #358699=DIRECTION('',(0.,0.,-1.)); #358700=DIRECTION('center_axis',(0.,0.,1.)); #358701=DIRECTION('ref_axis',(1.,0.,0.)); #358702=DIRECTION('center_axis',(0.,0.,1.)); #358703=DIRECTION('ref_axis',(1.,0.,0.)); #358704=DIRECTION('center_axis',(0.,0.,1.)); #358705=DIRECTION('ref_axis',(1.,0.,0.)); #358706=DIRECTION('',(0.,0.,-1.)); #358707=DIRECTION('center_axis',(0.,0.,1.)); #358708=DIRECTION('ref_axis',(1.,0.,0.)); #358709=DIRECTION('center_axis',(0.,0.,1.)); #358710=DIRECTION('ref_axis',(1.,0.,0.)); #358711=DIRECTION('center_axis',(0.,0.,1.)); #358712=DIRECTION('ref_axis',(1.,0.,0.)); #358713=DIRECTION('center_axis',(0.,0.,1.)); #358714=DIRECTION('ref_axis',(1.,0.,0.)); #358715=DIRECTION('center_axis',(0.,0.,-1.)); #358716=DIRECTION('ref_axis',(1.,0.,0.)); #358717=DIRECTION('',(0.,0.,-1.)); #358718=DIRECTION('center_axis',(0.,0.,1.)); #358719=DIRECTION('ref_axis',(1.,0.,0.)); #358720=DIRECTION('center_axis',(0.,0.,1.)); #358721=DIRECTION('ref_axis',(1.,0.,0.)); #358722=DIRECTION('center_axis',(0.,0.,1.)); #358723=DIRECTION('ref_axis',(1.,0.,0.)); #358724=DIRECTION('',(0.,0.,-1.)); #358725=DIRECTION('center_axis',(0.,0.,1.)); #358726=DIRECTION('ref_axis',(1.,0.,0.)); #358727=DIRECTION('center_axis',(0.,0.,1.)); #358728=DIRECTION('ref_axis',(1.,0.,0.)); #358729=DIRECTION('center_axis',(0.,0.,1.)); #358730=DIRECTION('ref_axis',(1.,0.,0.)); #358731=DIRECTION('center_axis',(0.,0.,1.)); #358732=DIRECTION('ref_axis',(1.,0.,0.)); #358733=DIRECTION('center_axis',(0.,0.,-1.)); #358734=DIRECTION('ref_axis',(1.,0.,0.)); #358735=DIRECTION('',(0.,0.,-1.)); #358736=DIRECTION('center_axis',(0.,0.,1.)); #358737=DIRECTION('ref_axis',(1.,0.,0.)); #358738=DIRECTION('center_axis',(0.,0.,1.)); #358739=DIRECTION('ref_axis',(1.,0.,0.)); #358740=DIRECTION('center_axis',(0.,0.,1.)); #358741=DIRECTION('ref_axis',(1.,0.,0.)); #358742=DIRECTION('',(0.,0.,-1.)); #358743=DIRECTION('center_axis',(0.,0.,1.)); #358744=DIRECTION('ref_axis',(1.,0.,0.)); #358745=DIRECTION('center_axis',(0.,0.,1.)); #358746=DIRECTION('ref_axis',(1.,0.,0.)); #358747=DIRECTION('center_axis',(0.,0.,1.)); #358748=DIRECTION('ref_axis',(1.,0.,0.)); #358749=DIRECTION('center_axis',(0.,0.,1.)); #358750=DIRECTION('ref_axis',(1.,0.,0.)); #358751=DIRECTION('center_axis',(0.,0.,-1.)); #358752=DIRECTION('ref_axis',(1.,0.,0.)); #358753=DIRECTION('',(0.,0.,-1.)); #358754=DIRECTION('center_axis',(0.,0.,1.)); #358755=DIRECTION('ref_axis',(1.,0.,0.)); #358756=DIRECTION('center_axis',(0.,0.,1.)); #358757=DIRECTION('ref_axis',(1.,0.,0.)); #358758=DIRECTION('center_axis',(0.,0.,1.)); #358759=DIRECTION('ref_axis',(1.,0.,0.)); #358760=DIRECTION('',(0.,0.,-1.)); #358761=DIRECTION('center_axis',(0.,0.,1.)); #358762=DIRECTION('ref_axis',(1.,0.,0.)); #358763=DIRECTION('center_axis',(0.,0.,1.)); #358764=DIRECTION('ref_axis',(1.,0.,0.)); #358765=DIRECTION('center_axis',(0.,0.,1.)); #358766=DIRECTION('ref_axis',(1.,0.,0.)); #358767=DIRECTION('center_axis',(0.,0.,1.)); #358768=DIRECTION('ref_axis',(1.,0.,0.)); #358769=DIRECTION('center_axis',(0.,0.,-1.)); #358770=DIRECTION('ref_axis',(1.,0.,0.)); #358771=DIRECTION('',(0.,0.,-1.)); #358772=DIRECTION('center_axis',(0.,0.,1.)); #358773=DIRECTION('ref_axis',(1.,0.,0.)); #358774=DIRECTION('center_axis',(0.,0.,1.)); #358775=DIRECTION('ref_axis',(1.,0.,0.)); #358776=DIRECTION('center_axis',(0.,0.,1.)); #358777=DIRECTION('ref_axis',(1.,0.,0.)); #358778=DIRECTION('',(0.,0.,-1.)); #358779=DIRECTION('center_axis',(0.,0.,1.)); #358780=DIRECTION('ref_axis',(1.,0.,0.)); #358781=DIRECTION('center_axis',(0.,0.,1.)); #358782=DIRECTION('ref_axis',(1.,0.,0.)); #358783=DIRECTION('center_axis',(0.,0.,1.)); #358784=DIRECTION('ref_axis',(1.,0.,0.)); #358785=DIRECTION('center_axis',(0.,0.,1.)); #358786=DIRECTION('ref_axis',(1.,0.,0.)); #358787=DIRECTION('center_axis',(0.,0.,-1.)); #358788=DIRECTION('ref_axis',(1.,0.,0.)); #358789=DIRECTION('',(0.,0.,-1.)); #358790=DIRECTION('center_axis',(0.,0.,1.)); #358791=DIRECTION('ref_axis',(1.,0.,0.)); #358792=DIRECTION('center_axis',(0.,0.,1.)); #358793=DIRECTION('ref_axis',(1.,0.,0.)); #358794=DIRECTION('center_axis',(0.,0.,1.)); #358795=DIRECTION('ref_axis',(1.,0.,0.)); #358796=DIRECTION('',(0.,0.,-1.)); #358797=DIRECTION('center_axis',(0.,0.,1.)); #358798=DIRECTION('ref_axis',(1.,0.,0.)); #358799=DIRECTION('center_axis',(0.,0.,1.)); #358800=DIRECTION('ref_axis',(1.,0.,0.)); #358801=DIRECTION('center_axis',(0.,0.,1.)); #358802=DIRECTION('ref_axis',(1.,0.,0.)); #358803=DIRECTION('center_axis',(0.,0.,1.)); #358804=DIRECTION('ref_axis',(1.,0.,0.)); #358805=DIRECTION('center_axis',(0.,0.,-1.)); #358806=DIRECTION('ref_axis',(1.,0.,0.)); #358807=DIRECTION('',(0.,0.,-1.)); #358808=DIRECTION('center_axis',(0.,0.,1.)); #358809=DIRECTION('ref_axis',(1.,0.,0.)); #358810=DIRECTION('center_axis',(0.,0.,1.)); #358811=DIRECTION('ref_axis',(1.,0.,0.)); #358812=DIRECTION('center_axis',(0.,0.,1.)); #358813=DIRECTION('ref_axis',(1.,0.,0.)); #358814=DIRECTION('',(0.,0.,-1.)); #358815=DIRECTION('center_axis',(0.,0.,1.)); #358816=DIRECTION('ref_axis',(1.,0.,0.)); #358817=DIRECTION('center_axis',(0.,0.,1.)); #358818=DIRECTION('ref_axis',(1.,0.,0.)); #358819=DIRECTION('center_axis',(0.,0.,1.)); #358820=DIRECTION('ref_axis',(1.,0.,0.)); #358821=DIRECTION('center_axis',(0.,0.,1.)); #358822=DIRECTION('ref_axis',(1.,0.,0.)); #358823=DIRECTION('center_axis',(0.,0.,-1.)); #358824=DIRECTION('ref_axis',(1.,0.,0.)); #358825=DIRECTION('',(0.,0.,-1.)); #358826=DIRECTION('center_axis',(0.,0.,1.)); #358827=DIRECTION('ref_axis',(1.,0.,0.)); #358828=DIRECTION('center_axis',(0.,0.,1.)); #358829=DIRECTION('ref_axis',(1.,0.,0.)); #358830=DIRECTION('center_axis',(0.,0.,1.)); #358831=DIRECTION('ref_axis',(1.,0.,0.)); #358832=DIRECTION('',(0.,0.,-1.)); #358833=DIRECTION('center_axis',(0.,0.,1.)); #358834=DIRECTION('ref_axis',(1.,0.,0.)); #358835=DIRECTION('center_axis',(0.,0.,1.)); #358836=DIRECTION('ref_axis',(1.,0.,0.)); #358837=DIRECTION('center_axis',(0.,0.,1.)); #358838=DIRECTION('ref_axis',(1.,0.,0.)); #358839=DIRECTION('center_axis',(0.,0.,1.)); #358840=DIRECTION('ref_axis',(1.,0.,0.)); #358841=DIRECTION('center_axis',(0.,0.,-1.)); #358842=DIRECTION('ref_axis',(1.,0.,0.)); #358843=DIRECTION('',(0.,0.,-1.)); #358844=DIRECTION('center_axis',(0.,0.,1.)); #358845=DIRECTION('ref_axis',(1.,0.,0.)); #358846=DIRECTION('center_axis',(0.,0.,1.)); #358847=DIRECTION('ref_axis',(1.,0.,0.)); #358848=DIRECTION('center_axis',(0.,0.,1.)); #358849=DIRECTION('ref_axis',(1.,0.,0.)); #358850=DIRECTION('',(0.,0.,-1.)); #358851=DIRECTION('center_axis',(0.,0.,1.)); #358852=DIRECTION('ref_axis',(1.,0.,0.)); #358853=DIRECTION('center_axis',(0.,0.,1.)); #358854=DIRECTION('ref_axis',(1.,0.,0.)); #358855=DIRECTION('center_axis',(0.,0.,1.)); #358856=DIRECTION('ref_axis',(1.,0.,0.)); #358857=DIRECTION('center_axis',(0.,0.,1.)); #358858=DIRECTION('ref_axis',(1.,0.,0.)); #358859=DIRECTION('center_axis',(0.,0.,-1.)); #358860=DIRECTION('ref_axis',(1.,0.,0.)); #358861=DIRECTION('',(0.,0.,-1.)); #358862=DIRECTION('center_axis',(0.,0.,1.)); #358863=DIRECTION('ref_axis',(1.,0.,0.)); #358864=DIRECTION('center_axis',(0.,0.,1.)); #358865=DIRECTION('ref_axis',(1.,0.,0.)); #358866=DIRECTION('center_axis',(0.,0.,1.)); #358867=DIRECTION('ref_axis',(1.,0.,0.)); #358868=DIRECTION('',(0.,0.,-1.)); #358869=DIRECTION('center_axis',(0.,0.,1.)); #358870=DIRECTION('ref_axis',(1.,0.,0.)); #358871=DIRECTION('center_axis',(0.,0.,1.)); #358872=DIRECTION('ref_axis',(1.,0.,0.)); #358873=DIRECTION('center_axis',(0.,0.,1.)); #358874=DIRECTION('ref_axis',(1.,0.,0.)); #358875=DIRECTION('center_axis',(0.,0.,1.)); #358876=DIRECTION('ref_axis',(1.,0.,0.)); #358877=DIRECTION('center_axis',(0.,0.,-1.)); #358878=DIRECTION('ref_axis',(1.,0.,0.)); #358879=DIRECTION('',(0.,0.,-1.)); #358880=DIRECTION('center_axis',(0.,0.,1.)); #358881=DIRECTION('ref_axis',(1.,0.,0.)); #358882=DIRECTION('center_axis',(0.,0.,1.)); #358883=DIRECTION('ref_axis',(1.,0.,0.)); #358884=DIRECTION('center_axis',(0.,0.,1.)); #358885=DIRECTION('ref_axis',(1.,0.,0.)); #358886=DIRECTION('',(0.,0.,-1.)); #358887=DIRECTION('center_axis',(0.,0.,1.)); #358888=DIRECTION('ref_axis',(1.,0.,0.)); #358889=DIRECTION('center_axis',(0.,0.,1.)); #358890=DIRECTION('ref_axis',(1.,0.,0.)); #358891=DIRECTION('center_axis',(0.,0.,1.)); #358892=DIRECTION('ref_axis',(1.,0.,0.)); #358893=DIRECTION('center_axis',(0.,0.,1.)); #358894=DIRECTION('ref_axis',(1.,0.,0.)); #358895=DIRECTION('center_axis',(0.,0.,-1.)); #358896=DIRECTION('ref_axis',(1.,0.,0.)); #358897=DIRECTION('',(0.,0.,-1.)); #358898=DIRECTION('center_axis',(0.,0.,1.)); #358899=DIRECTION('ref_axis',(1.,0.,0.)); #358900=DIRECTION('center_axis',(0.,0.,1.)); #358901=DIRECTION('ref_axis',(1.,0.,0.)); #358902=DIRECTION('center_axis',(0.,0.,1.)); #358903=DIRECTION('ref_axis',(1.,0.,0.)); #358904=DIRECTION('',(0.,0.,-1.)); #358905=DIRECTION('center_axis',(0.,0.,1.)); #358906=DIRECTION('ref_axis',(1.,0.,0.)); #358907=DIRECTION('center_axis',(0.,0.,1.)); #358908=DIRECTION('ref_axis',(1.,0.,0.)); #358909=DIRECTION('center_axis',(0.,0.,1.)); #358910=DIRECTION('ref_axis',(1.,0.,0.)); #358911=DIRECTION('center_axis',(0.,0.,1.)); #358912=DIRECTION('ref_axis',(1.,0.,0.)); #358913=DIRECTION('center_axis',(0.,0.,-1.)); #358914=DIRECTION('ref_axis',(1.,0.,0.)); #358915=DIRECTION('',(0.,0.,-1.)); #358916=DIRECTION('center_axis',(0.,0.,1.)); #358917=DIRECTION('ref_axis',(1.,0.,0.)); #358918=DIRECTION('center_axis',(0.,0.,1.)); #358919=DIRECTION('ref_axis',(1.,0.,0.)); #358920=DIRECTION('center_axis',(0.,0.,1.)); #358921=DIRECTION('ref_axis',(1.,0.,0.)); #358922=DIRECTION('',(0.,0.,-1.)); #358923=DIRECTION('center_axis',(0.,0.,1.)); #358924=DIRECTION('ref_axis',(1.,0.,0.)); #358925=DIRECTION('center_axis',(0.,0.,1.)); #358926=DIRECTION('ref_axis',(1.,0.,0.)); #358927=DIRECTION('center_axis',(0.,0.,1.)); #358928=DIRECTION('ref_axis',(1.,0.,0.)); #358929=DIRECTION('center_axis',(0.,0.,1.)); #358930=DIRECTION('ref_axis',(1.,0.,0.)); #358931=DIRECTION('center_axis',(0.,0.,-1.)); #358932=DIRECTION('ref_axis',(1.,0.,0.)); #358933=DIRECTION('',(0.,0.,-1.)); #358934=DIRECTION('center_axis',(0.,0.,1.)); #358935=DIRECTION('ref_axis',(1.,0.,0.)); #358936=DIRECTION('center_axis',(0.,0.,1.)); #358937=DIRECTION('ref_axis',(1.,0.,0.)); #358938=DIRECTION('center_axis',(0.,0.,1.)); #358939=DIRECTION('ref_axis',(1.,0.,0.)); #358940=DIRECTION('',(0.,0.,-1.)); #358941=DIRECTION('center_axis',(0.,0.,1.)); #358942=DIRECTION('ref_axis',(1.,0.,0.)); #358943=DIRECTION('center_axis',(0.,0.,1.)); #358944=DIRECTION('ref_axis',(1.,0.,0.)); #358945=DIRECTION('center_axis',(0.,0.,1.)); #358946=DIRECTION('ref_axis',(1.,0.,0.)); #358947=DIRECTION('center_axis',(0.,0.,1.)); #358948=DIRECTION('ref_axis',(1.,0.,0.)); #358949=DIRECTION('center_axis',(0.,0.,-1.)); #358950=DIRECTION('ref_axis',(1.,0.,0.)); #358951=DIRECTION('',(0.,0.,-1.)); #358952=DIRECTION('center_axis',(0.,0.,1.)); #358953=DIRECTION('ref_axis',(1.,0.,0.)); #358954=DIRECTION('center_axis',(0.,0.,1.)); #358955=DIRECTION('ref_axis',(1.,0.,0.)); #358956=DIRECTION('center_axis',(0.,0.,1.)); #358957=DIRECTION('ref_axis',(1.,0.,0.)); #358958=DIRECTION('',(0.,0.,-1.)); #358959=DIRECTION('center_axis',(0.,0.,1.)); #358960=DIRECTION('ref_axis',(1.,0.,0.)); #358961=DIRECTION('center_axis',(0.,0.,1.)); #358962=DIRECTION('ref_axis',(1.,0.,0.)); #358963=DIRECTION('center_axis',(0.,0.,1.)); #358964=DIRECTION('ref_axis',(1.,0.,0.)); #358965=DIRECTION('center_axis',(0.,0.,1.)); #358966=DIRECTION('ref_axis',(1.,0.,0.)); #358967=DIRECTION('center_axis',(0.,0.,-1.)); #358968=DIRECTION('ref_axis',(1.,0.,0.)); #358969=DIRECTION('',(0.,0.,-1.)); #358970=DIRECTION('center_axis',(0.,0.,1.)); #358971=DIRECTION('ref_axis',(1.,0.,0.)); #358972=DIRECTION('center_axis',(0.,0.,1.)); #358973=DIRECTION('ref_axis',(1.,0.,0.)); #358974=DIRECTION('center_axis',(0.,0.,1.)); #358975=DIRECTION('ref_axis',(1.,0.,0.)); #358976=DIRECTION('',(0.,0.,-1.)); #358977=DIRECTION('center_axis',(0.,0.,1.)); #358978=DIRECTION('ref_axis',(1.,0.,0.)); #358979=DIRECTION('center_axis',(0.,0.,1.)); #358980=DIRECTION('ref_axis',(1.,0.,0.)); #358981=DIRECTION('center_axis',(0.,0.,1.)); #358982=DIRECTION('ref_axis',(1.,0.,0.)); #358983=DIRECTION('center_axis',(0.,0.,1.)); #358984=DIRECTION('ref_axis',(1.,0.,0.)); #358985=DIRECTION('center_axis',(0.,0.,-1.)); #358986=DIRECTION('ref_axis',(1.,0.,0.)); #358987=DIRECTION('',(0.,0.,-1.)); #358988=DIRECTION('center_axis',(0.,0.,1.)); #358989=DIRECTION('ref_axis',(1.,0.,0.)); #358990=DIRECTION('center_axis',(0.,0.,1.)); #358991=DIRECTION('ref_axis',(1.,0.,0.)); #358992=DIRECTION('center_axis',(0.,0.,1.)); #358993=DIRECTION('ref_axis',(1.,0.,0.)); #358994=DIRECTION('',(0.,0.,-1.)); #358995=DIRECTION('center_axis',(0.,0.,1.)); #358996=DIRECTION('ref_axis',(1.,0.,0.)); #358997=DIRECTION('center_axis',(0.,0.,1.)); #358998=DIRECTION('ref_axis',(1.,0.,0.)); #358999=DIRECTION('center_axis',(0.,0.,1.)); #359000=DIRECTION('ref_axis',(1.,0.,0.)); #359001=DIRECTION('center_axis',(0.,0.,1.)); #359002=DIRECTION('ref_axis',(1.,0.,0.)); #359003=DIRECTION('center_axis',(0.,0.,-1.)); #359004=DIRECTION('ref_axis',(1.,0.,0.)); #359005=DIRECTION('',(0.,0.,-1.)); #359006=DIRECTION('center_axis',(0.,0.,1.)); #359007=DIRECTION('ref_axis',(1.,0.,0.)); #359008=DIRECTION('center_axis',(0.,0.,1.)); #359009=DIRECTION('ref_axis',(1.,0.,0.)); #359010=DIRECTION('center_axis',(0.,0.,1.)); #359011=DIRECTION('ref_axis',(1.,0.,0.)); #359012=DIRECTION('',(0.,0.,-1.)); #359013=DIRECTION('center_axis',(0.,0.,1.)); #359014=DIRECTION('ref_axis',(1.,0.,0.)); #359015=DIRECTION('center_axis',(0.,0.,1.)); #359016=DIRECTION('ref_axis',(1.,0.,0.)); #359017=DIRECTION('center_axis',(0.,0.,1.)); #359018=DIRECTION('ref_axis',(1.,0.,0.)); #359019=DIRECTION('center_axis',(0.,0.,1.)); #359020=DIRECTION('ref_axis',(1.,0.,0.)); #359021=DIRECTION('center_axis',(0.,0.,-1.)); #359022=DIRECTION('ref_axis',(1.,0.,0.)); #359023=DIRECTION('',(0.,0.,-1.)); #359024=DIRECTION('center_axis',(0.,0.,1.)); #359025=DIRECTION('ref_axis',(1.,0.,0.)); #359026=DIRECTION('center_axis',(0.,0.,1.)); #359027=DIRECTION('ref_axis',(1.,0.,0.)); #359028=DIRECTION('center_axis',(0.,0.,1.)); #359029=DIRECTION('ref_axis',(1.,0.,0.)); #359030=DIRECTION('',(0.,0.,-1.)); #359031=DIRECTION('center_axis',(0.,0.,1.)); #359032=DIRECTION('ref_axis',(1.,0.,0.)); #359033=DIRECTION('center_axis',(0.,0.,1.)); #359034=DIRECTION('ref_axis',(1.,0.,0.)); #359035=DIRECTION('center_axis',(0.,0.,1.)); #359036=DIRECTION('ref_axis',(1.,0.,0.)); #359037=DIRECTION('center_axis',(0.,0.,1.)); #359038=DIRECTION('ref_axis',(1.,0.,0.)); #359039=DIRECTION('center_axis',(0.,0.,-1.)); #359040=DIRECTION('ref_axis',(1.,0.,0.)); #359041=DIRECTION('',(0.,0.,-1.)); #359042=DIRECTION('center_axis',(0.,0.,1.)); #359043=DIRECTION('ref_axis',(1.,0.,0.)); #359044=DIRECTION('center_axis',(0.,0.,1.)); #359045=DIRECTION('ref_axis',(1.,0.,0.)); #359046=DIRECTION('center_axis',(0.,0.,1.)); #359047=DIRECTION('ref_axis',(1.,0.,0.)); #359048=DIRECTION('',(0.,0.,-1.)); #359049=DIRECTION('center_axis',(0.,0.,1.)); #359050=DIRECTION('ref_axis',(1.,0.,0.)); #359051=DIRECTION('center_axis',(0.,0.,1.)); #359052=DIRECTION('ref_axis',(1.,0.,0.)); #359053=DIRECTION('center_axis',(0.,0.,1.)); #359054=DIRECTION('ref_axis',(1.,0.,0.)); #359055=DIRECTION('center_axis',(0.,0.,1.)); #359056=DIRECTION('ref_axis',(1.,0.,0.)); #359057=DIRECTION('center_axis',(0.,0.,-1.)); #359058=DIRECTION('ref_axis',(1.,0.,0.)); #359059=DIRECTION('',(0.,0.,-1.)); #359060=DIRECTION('center_axis',(0.,0.,1.)); #359061=DIRECTION('ref_axis',(1.,0.,0.)); #359062=DIRECTION('center_axis',(0.,0.,1.)); #359063=DIRECTION('ref_axis',(1.,0.,0.)); #359064=DIRECTION('center_axis',(0.,0.,1.)); #359065=DIRECTION('ref_axis',(1.,0.,0.)); #359066=DIRECTION('',(0.,0.,-1.)); #359067=DIRECTION('center_axis',(0.,0.,1.)); #359068=DIRECTION('ref_axis',(1.,0.,0.)); #359069=DIRECTION('center_axis',(0.,0.,1.)); #359070=DIRECTION('ref_axis',(1.,0.,0.)); #359071=DIRECTION('center_axis',(0.,0.,1.)); #359072=DIRECTION('ref_axis',(1.,0.,0.)); #359073=DIRECTION('center_axis',(0.,0.,1.)); #359074=DIRECTION('ref_axis',(1.,0.,0.)); #359075=DIRECTION('center_axis',(0.,0.,-1.)); #359076=DIRECTION('ref_axis',(1.,0.,0.)); #359077=DIRECTION('',(0.,0.,-1.)); #359078=DIRECTION('center_axis',(0.,0.,1.)); #359079=DIRECTION('ref_axis',(1.,0.,0.)); #359080=DIRECTION('center_axis',(0.,0.,1.)); #359081=DIRECTION('ref_axis',(1.,0.,0.)); #359082=DIRECTION('center_axis',(0.,0.,1.)); #359083=DIRECTION('ref_axis',(1.,0.,0.)); #359084=DIRECTION('',(0.,0.,-1.)); #359085=DIRECTION('center_axis',(0.,0.,1.)); #359086=DIRECTION('ref_axis',(1.,0.,0.)); #359087=DIRECTION('center_axis',(0.,0.,1.)); #359088=DIRECTION('ref_axis',(1.,0.,0.)); #359089=DIRECTION('center_axis',(0.,0.,1.)); #359090=DIRECTION('ref_axis',(1.,0.,0.)); #359091=DIRECTION('center_axis',(0.,0.,1.)); #359092=DIRECTION('ref_axis',(1.,0.,0.)); #359093=DIRECTION('center_axis',(0.,0.,-1.)); #359094=DIRECTION('ref_axis',(1.,0.,0.)); #359095=DIRECTION('',(0.,0.,-1.)); #359096=DIRECTION('center_axis',(0.,0.,1.)); #359097=DIRECTION('ref_axis',(1.,0.,0.)); #359098=DIRECTION('center_axis',(0.,0.,1.)); #359099=DIRECTION('ref_axis',(1.,0.,0.)); #359100=DIRECTION('center_axis',(0.,0.,1.)); #359101=DIRECTION('ref_axis',(1.,0.,0.)); #359102=DIRECTION('',(0.,0.,-1.)); #359103=DIRECTION('center_axis',(0.,0.,1.)); #359104=DIRECTION('ref_axis',(1.,0.,0.)); #359105=DIRECTION('center_axis',(0.,0.,1.)); #359106=DIRECTION('ref_axis',(1.,0.,0.)); #359107=DIRECTION('center_axis',(0.,0.,1.)); #359108=DIRECTION('ref_axis',(1.,0.,0.)); #359109=DIRECTION('center_axis',(0.,0.,1.)); #359110=DIRECTION('ref_axis',(1.,0.,0.)); #359111=DIRECTION('center_axis',(0.,0.,-1.)); #359112=DIRECTION('ref_axis',(1.,0.,0.)); #359113=DIRECTION('',(0.,0.,-1.)); #359114=DIRECTION('center_axis',(0.,0.,1.)); #359115=DIRECTION('ref_axis',(1.,0.,0.)); #359116=DIRECTION('center_axis',(0.,0.,1.)); #359117=DIRECTION('ref_axis',(1.,0.,0.)); #359118=DIRECTION('center_axis',(0.,0.,1.)); #359119=DIRECTION('ref_axis',(1.,0.,0.)); #359120=DIRECTION('',(0.,0.,-1.)); #359121=DIRECTION('center_axis',(0.,0.,1.)); #359122=DIRECTION('ref_axis',(1.,0.,0.)); #359123=DIRECTION('center_axis',(0.,0.,1.)); #359124=DIRECTION('ref_axis',(1.,0.,0.)); #359125=DIRECTION('center_axis',(0.,0.,1.)); #359126=DIRECTION('ref_axis',(1.,0.,0.)); #359127=DIRECTION('center_axis',(0.,0.,1.)); #359128=DIRECTION('ref_axis',(1.,0.,0.)); #359129=DIRECTION('center_axis',(0.,0.,-1.)); #359130=DIRECTION('ref_axis',(1.,0.,0.)); #359131=DIRECTION('',(0.,0.,-1.)); #359132=DIRECTION('center_axis',(0.,0.,1.)); #359133=DIRECTION('ref_axis',(1.,0.,0.)); #359134=DIRECTION('center_axis',(0.,0.,1.)); #359135=DIRECTION('ref_axis',(1.,0.,0.)); #359136=DIRECTION('center_axis',(0.,0.,1.)); #359137=DIRECTION('ref_axis',(1.,0.,0.)); #359138=DIRECTION('',(0.,0.,-1.)); #359139=DIRECTION('center_axis',(0.,0.,1.)); #359140=DIRECTION('ref_axis',(1.,0.,0.)); #359141=DIRECTION('center_axis',(0.,0.,1.)); #359142=DIRECTION('ref_axis',(1.,0.,0.)); #359143=DIRECTION('center_axis',(0.,0.,1.)); #359144=DIRECTION('ref_axis',(1.,0.,0.)); #359145=DIRECTION('center_axis',(0.,0.,1.)); #359146=DIRECTION('ref_axis',(1.,0.,0.)); #359147=DIRECTION('center_axis',(0.,0.,-1.)); #359148=DIRECTION('ref_axis',(1.,0.,0.)); #359149=DIRECTION('',(0.,0.,-1.)); #359150=DIRECTION('center_axis',(0.,0.,1.)); #359151=DIRECTION('ref_axis',(1.,0.,0.)); #359152=DIRECTION('center_axis',(0.,0.,1.)); #359153=DIRECTION('ref_axis',(1.,0.,0.)); #359154=DIRECTION('center_axis',(0.,0.,1.)); #359155=DIRECTION('ref_axis',(1.,0.,0.)); #359156=DIRECTION('',(0.,0.,-1.)); #359157=DIRECTION('center_axis',(0.,0.,1.)); #359158=DIRECTION('ref_axis',(1.,0.,0.)); #359159=DIRECTION('center_axis',(0.,0.,1.)); #359160=DIRECTION('ref_axis',(1.,0.,0.)); #359161=DIRECTION('center_axis',(0.,0.,1.)); #359162=DIRECTION('ref_axis',(1.,0.,0.)); #359163=DIRECTION('center_axis',(0.,0.,1.)); #359164=DIRECTION('ref_axis',(1.,0.,0.)); #359165=DIRECTION('center_axis',(0.,0.,-1.)); #359166=DIRECTION('ref_axis',(1.,0.,0.)); #359167=DIRECTION('',(0.,0.,-1.)); #359168=DIRECTION('center_axis',(0.,0.,1.)); #359169=DIRECTION('ref_axis',(1.,0.,0.)); #359170=DIRECTION('center_axis',(0.,0.,1.)); #359171=DIRECTION('ref_axis',(1.,0.,0.)); #359172=DIRECTION('center_axis',(0.,0.,1.)); #359173=DIRECTION('ref_axis',(1.,0.,0.)); #359174=DIRECTION('',(0.,0.,-1.)); #359175=DIRECTION('center_axis',(0.,0.,1.)); #359176=DIRECTION('ref_axis',(1.,0.,0.)); #359177=DIRECTION('center_axis',(0.,0.,1.)); #359178=DIRECTION('ref_axis',(1.,0.,0.)); #359179=DIRECTION('center_axis',(0.,0.,1.)); #359180=DIRECTION('ref_axis',(1.,0.,0.)); #359181=DIRECTION('center_axis',(0.,0.,1.)); #359182=DIRECTION('ref_axis',(1.,0.,0.)); #359183=DIRECTION('center_axis',(0.,0.,-1.)); #359184=DIRECTION('ref_axis',(1.,0.,0.)); #359185=DIRECTION('',(0.,0.,-1.)); #359186=DIRECTION('center_axis',(0.,0.,1.)); #359187=DIRECTION('ref_axis',(1.,0.,0.)); #359188=DIRECTION('center_axis',(0.,0.,1.)); #359189=DIRECTION('ref_axis',(1.,0.,0.)); #359190=DIRECTION('center_axis',(0.,0.,1.)); #359191=DIRECTION('ref_axis',(1.,0.,0.)); #359192=DIRECTION('',(0.,0.,-1.)); #359193=DIRECTION('center_axis',(0.,0.,1.)); #359194=DIRECTION('ref_axis',(1.,0.,0.)); #359195=DIRECTION('center_axis',(0.,0.,1.)); #359196=DIRECTION('ref_axis',(1.,0.,0.)); #359197=DIRECTION('center_axis',(0.,0.,1.)); #359198=DIRECTION('ref_axis',(1.,0.,0.)); #359199=DIRECTION('center_axis',(0.,0.,1.)); #359200=DIRECTION('ref_axis',(1.,0.,0.)); #359201=DIRECTION('center_axis',(0.,0.,-1.)); #359202=DIRECTION('ref_axis',(1.,0.,0.)); #359203=DIRECTION('',(0.,0.,-1.)); #359204=DIRECTION('center_axis',(0.,0.,1.)); #359205=DIRECTION('ref_axis',(1.,0.,0.)); #359206=DIRECTION('center_axis',(0.,0.,1.)); #359207=DIRECTION('ref_axis',(1.,0.,0.)); #359208=DIRECTION('center_axis',(0.,0.,1.)); #359209=DIRECTION('ref_axis',(1.,0.,0.)); #359210=DIRECTION('',(0.,0.,-1.)); #359211=DIRECTION('center_axis',(0.,0.,1.)); #359212=DIRECTION('ref_axis',(1.,0.,0.)); #359213=DIRECTION('center_axis',(0.,0.,1.)); #359214=DIRECTION('ref_axis',(1.,0.,0.)); #359215=DIRECTION('center_axis',(0.,0.,1.)); #359216=DIRECTION('ref_axis',(1.,0.,0.)); #359217=DIRECTION('center_axis',(0.,0.,1.)); #359218=DIRECTION('ref_axis',(1.,0.,0.)); #359219=DIRECTION('center_axis',(0.,0.,-1.)); #359220=DIRECTION('ref_axis',(1.,0.,0.)); #359221=DIRECTION('',(0.,0.,-1.)); #359222=DIRECTION('center_axis',(0.,0.,1.)); #359223=DIRECTION('ref_axis',(1.,0.,0.)); #359224=DIRECTION('center_axis',(0.,0.,1.)); #359225=DIRECTION('ref_axis',(1.,0.,0.)); #359226=DIRECTION('center_axis',(0.,0.,1.)); #359227=DIRECTION('ref_axis',(1.,0.,0.)); #359228=DIRECTION('',(0.,0.,-1.)); #359229=DIRECTION('center_axis',(0.,0.,1.)); #359230=DIRECTION('ref_axis',(1.,0.,0.)); #359231=DIRECTION('center_axis',(0.,0.,1.)); #359232=DIRECTION('ref_axis',(1.,0.,0.)); #359233=DIRECTION('center_axis',(0.,0.,1.)); #359234=DIRECTION('ref_axis',(1.,0.,0.)); #359235=DIRECTION('center_axis',(0.,0.,1.)); #359236=DIRECTION('ref_axis',(1.,0.,0.)); #359237=DIRECTION('center_axis',(0.,0.,-1.)); #359238=DIRECTION('ref_axis',(1.,0.,0.)); #359239=DIRECTION('',(0.,0.,-1.)); #359240=DIRECTION('center_axis',(0.,0.,1.)); #359241=DIRECTION('ref_axis',(1.,0.,0.)); #359242=DIRECTION('center_axis',(0.,0.,1.)); #359243=DIRECTION('ref_axis',(1.,0.,0.)); #359244=DIRECTION('center_axis',(0.,0.,1.)); #359245=DIRECTION('ref_axis',(1.,0.,0.)); #359246=DIRECTION('',(0.,0.,-1.)); #359247=DIRECTION('center_axis',(0.,0.,1.)); #359248=DIRECTION('ref_axis',(1.,0.,0.)); #359249=DIRECTION('center_axis',(0.,0.,1.)); #359250=DIRECTION('ref_axis',(1.,0.,0.)); #359251=DIRECTION('center_axis',(0.,0.,1.)); #359252=DIRECTION('ref_axis',(1.,0.,0.)); #359253=DIRECTION('center_axis',(0.,0.,1.)); #359254=DIRECTION('ref_axis',(1.,0.,0.)); #359255=DIRECTION('center_axis',(0.,0.,-1.)); #359256=DIRECTION('ref_axis',(1.,0.,0.)); #359257=DIRECTION('',(0.,0.,-1.)); #359258=DIRECTION('center_axis',(0.,0.,1.)); #359259=DIRECTION('ref_axis',(1.,0.,0.)); #359260=DIRECTION('center_axis',(0.,0.,1.)); #359261=DIRECTION('ref_axis',(1.,0.,0.)); #359262=DIRECTION('center_axis',(0.,0.,1.)); #359263=DIRECTION('ref_axis',(1.,0.,0.)); #359264=DIRECTION('',(0.,0.,-1.)); #359265=DIRECTION('center_axis',(0.,0.,1.)); #359266=DIRECTION('ref_axis',(1.,0.,0.)); #359267=DIRECTION('center_axis',(0.,0.,1.)); #359268=DIRECTION('ref_axis',(1.,0.,0.)); #359269=DIRECTION('center_axis',(0.,0.,1.)); #359270=DIRECTION('ref_axis',(1.,0.,0.)); #359271=DIRECTION('center_axis',(0.,0.,1.)); #359272=DIRECTION('ref_axis',(1.,0.,0.)); #359273=DIRECTION('center_axis',(0.,0.,-1.)); #359274=DIRECTION('ref_axis',(1.,0.,0.)); #359275=DIRECTION('',(0.,0.,-1.)); #359276=DIRECTION('center_axis',(0.,0.,1.)); #359277=DIRECTION('ref_axis',(1.,0.,0.)); #359278=DIRECTION('center_axis',(0.,0.,1.)); #359279=DIRECTION('ref_axis',(1.,0.,0.)); #359280=DIRECTION('center_axis',(0.,0.,1.)); #359281=DIRECTION('ref_axis',(1.,0.,0.)); #359282=DIRECTION('',(0.,0.,-1.)); #359283=DIRECTION('center_axis',(0.,0.,1.)); #359284=DIRECTION('ref_axis',(1.,0.,0.)); #359285=DIRECTION('center_axis',(0.,0.,1.)); #359286=DIRECTION('ref_axis',(1.,0.,0.)); #359287=DIRECTION('center_axis',(0.,0.,1.)); #359288=DIRECTION('ref_axis',(1.,0.,0.)); #359289=DIRECTION('center_axis',(0.,0.,1.)); #359290=DIRECTION('ref_axis',(1.,0.,0.)); #359291=DIRECTION('center_axis',(0.,0.,-1.)); #359292=DIRECTION('ref_axis',(1.,0.,0.)); #359293=DIRECTION('',(0.,0.,-1.)); #359294=DIRECTION('center_axis',(0.,0.,1.)); #359295=DIRECTION('ref_axis',(1.,0.,0.)); #359296=DIRECTION('center_axis',(0.,0.,1.)); #359297=DIRECTION('ref_axis',(1.,0.,0.)); #359298=DIRECTION('center_axis',(0.,0.,1.)); #359299=DIRECTION('ref_axis',(1.,0.,0.)); #359300=DIRECTION('',(0.,0.,-1.)); #359301=DIRECTION('center_axis',(0.,0.,1.)); #359302=DIRECTION('ref_axis',(1.,0.,0.)); #359303=DIRECTION('center_axis',(0.,0.,1.)); #359304=DIRECTION('ref_axis',(1.,0.,0.)); #359305=DIRECTION('center_axis',(0.,0.,1.)); #359306=DIRECTION('ref_axis',(1.,0.,0.)); #359307=DIRECTION('center_axis',(0.,0.,1.)); #359308=DIRECTION('ref_axis',(1.,0.,0.)); #359309=DIRECTION('center_axis',(0.,0.,-1.)); #359310=DIRECTION('ref_axis',(1.,0.,0.)); #359311=DIRECTION('',(0.,0.,-1.)); #359312=DIRECTION('center_axis',(0.,0.,1.)); #359313=DIRECTION('ref_axis',(1.,0.,0.)); #359314=DIRECTION('center_axis',(0.,0.,1.)); #359315=DIRECTION('ref_axis',(1.,0.,0.)); #359316=DIRECTION('center_axis',(0.,0.,1.)); #359317=DIRECTION('ref_axis',(1.,0.,0.)); #359318=DIRECTION('',(0.,0.,-1.)); #359319=DIRECTION('center_axis',(0.,0.,1.)); #359320=DIRECTION('ref_axis',(1.,0.,0.)); #359321=DIRECTION('center_axis',(0.,0.,1.)); #359322=DIRECTION('ref_axis',(1.,0.,0.)); #359323=DIRECTION('center_axis',(0.,0.,1.)); #359324=DIRECTION('ref_axis',(1.,0.,0.)); #359325=DIRECTION('center_axis',(0.,0.,1.)); #359326=DIRECTION('ref_axis',(1.,0.,0.)); #359327=DIRECTION('center_axis',(0.,0.,-1.)); #359328=DIRECTION('ref_axis',(1.,0.,0.)); #359329=DIRECTION('',(0.,0.,-1.)); #359330=DIRECTION('center_axis',(0.,0.,1.)); #359331=DIRECTION('ref_axis',(1.,0.,0.)); #359332=DIRECTION('center_axis',(0.,0.,1.)); #359333=DIRECTION('ref_axis',(1.,0.,0.)); #359334=DIRECTION('center_axis',(0.,0.,1.)); #359335=DIRECTION('ref_axis',(1.,0.,0.)); #359336=DIRECTION('',(0.,0.,-1.)); #359337=DIRECTION('center_axis',(0.,0.,1.)); #359338=DIRECTION('ref_axis',(1.,0.,0.)); #359339=DIRECTION('center_axis',(0.,0.,1.)); #359340=DIRECTION('ref_axis',(1.,0.,0.)); #359341=DIRECTION('center_axis',(0.,0.,1.)); #359342=DIRECTION('ref_axis',(1.,0.,0.)); #359343=DIRECTION('center_axis',(0.,0.,1.)); #359344=DIRECTION('ref_axis',(1.,0.,0.)); #359345=DIRECTION('center_axis',(0.,0.,-1.)); #359346=DIRECTION('ref_axis',(1.,0.,0.)); #359347=DIRECTION('',(0.,0.,-1.)); #359348=DIRECTION('center_axis',(0.,0.,1.)); #359349=DIRECTION('ref_axis',(1.,0.,0.)); #359350=DIRECTION('center_axis',(0.,0.,1.)); #359351=DIRECTION('ref_axis',(1.,0.,0.)); #359352=DIRECTION('center_axis',(0.,0.,1.)); #359353=DIRECTION('ref_axis',(1.,0.,0.)); #359354=DIRECTION('',(0.,0.,-1.)); #359355=DIRECTION('center_axis',(0.,0.,1.)); #359356=DIRECTION('ref_axis',(1.,0.,0.)); #359357=DIRECTION('center_axis',(0.,0.,1.)); #359358=DIRECTION('ref_axis',(1.,0.,0.)); #359359=DIRECTION('center_axis',(0.,0.,1.)); #359360=DIRECTION('ref_axis',(1.,0.,0.)); #359361=DIRECTION('center_axis',(0.,0.,1.)); #359362=DIRECTION('ref_axis',(1.,0.,0.)); #359363=DIRECTION('center_axis',(0.,0.,-1.)); #359364=DIRECTION('ref_axis',(1.,0.,0.)); #359365=DIRECTION('',(0.,0.,-1.)); #359366=DIRECTION('center_axis',(0.,0.,1.)); #359367=DIRECTION('ref_axis',(1.,0.,0.)); #359368=DIRECTION('center_axis',(0.,0.,1.)); #359369=DIRECTION('ref_axis',(1.,0.,0.)); #359370=DIRECTION('center_axis',(0.,0.,1.)); #359371=DIRECTION('ref_axis',(1.,0.,0.)); #359372=DIRECTION('',(0.,0.,-1.)); #359373=DIRECTION('center_axis',(0.,0.,1.)); #359374=DIRECTION('ref_axis',(1.,0.,0.)); #359375=DIRECTION('center_axis',(0.,0.,1.)); #359376=DIRECTION('ref_axis',(1.,0.,0.)); #359377=DIRECTION('center_axis',(0.,0.,1.)); #359378=DIRECTION('ref_axis',(1.,0.,0.)); #359379=DIRECTION('center_axis',(0.,0.,1.)); #359380=DIRECTION('ref_axis',(1.,0.,0.)); #359381=DIRECTION('center_axis',(0.,0.,-1.)); #359382=DIRECTION('ref_axis',(1.,0.,0.)); #359383=DIRECTION('',(0.,0.,-1.)); #359384=DIRECTION('center_axis',(0.,0.,1.)); #359385=DIRECTION('ref_axis',(1.,0.,0.)); #359386=DIRECTION('center_axis',(0.,0.,1.)); #359387=DIRECTION('ref_axis',(1.,0.,0.)); #359388=DIRECTION('center_axis',(0.,0.,1.)); #359389=DIRECTION('ref_axis',(1.,0.,0.)); #359390=DIRECTION('',(0.,0.,-1.)); #359391=DIRECTION('center_axis',(0.,0.,1.)); #359392=DIRECTION('ref_axis',(1.,0.,0.)); #359393=DIRECTION('center_axis',(0.,0.,1.)); #359394=DIRECTION('ref_axis',(1.,0.,0.)); #359395=DIRECTION('center_axis',(0.,0.,1.)); #359396=DIRECTION('ref_axis',(1.,0.,0.)); #359397=DIRECTION('center_axis',(0.,0.,1.)); #359398=DIRECTION('ref_axis',(1.,0.,0.)); #359399=DIRECTION('center_axis',(0.,0.,-1.)); #359400=DIRECTION('ref_axis',(1.,0.,0.)); #359401=DIRECTION('',(0.,0.,-1.)); #359402=DIRECTION('center_axis',(0.,0.,1.)); #359403=DIRECTION('ref_axis',(1.,0.,0.)); #359404=DIRECTION('center_axis',(0.,0.,1.)); #359405=DIRECTION('ref_axis',(1.,0.,0.)); #359406=DIRECTION('center_axis',(0.,0.,1.)); #359407=DIRECTION('ref_axis',(1.,0.,0.)); #359408=DIRECTION('',(0.,0.,-1.)); #359409=DIRECTION('center_axis',(0.,0.,1.)); #359410=DIRECTION('ref_axis',(1.,0.,0.)); #359411=DIRECTION('center_axis',(0.,0.,1.)); #359412=DIRECTION('ref_axis',(1.,0.,0.)); #359413=DIRECTION('center_axis',(0.,0.,1.)); #359414=DIRECTION('ref_axis',(1.,0.,0.)); #359415=DIRECTION('center_axis',(0.,0.,1.)); #359416=DIRECTION('ref_axis',(1.,0.,0.)); #359417=DIRECTION('center_axis',(0.,0.,-1.)); #359418=DIRECTION('ref_axis',(1.,0.,0.)); #359419=DIRECTION('',(0.,0.,-1.)); #359420=DIRECTION('center_axis',(0.,0.,1.)); #359421=DIRECTION('ref_axis',(1.,0.,0.)); #359422=DIRECTION('center_axis',(0.,0.,1.)); #359423=DIRECTION('ref_axis',(1.,0.,0.)); #359424=DIRECTION('center_axis',(0.,0.,1.)); #359425=DIRECTION('ref_axis',(1.,0.,0.)); #359426=DIRECTION('',(0.,0.,-1.)); #359427=DIRECTION('center_axis',(0.,0.,1.)); #359428=DIRECTION('ref_axis',(1.,0.,0.)); #359429=DIRECTION('center_axis',(0.,0.,1.)); #359430=DIRECTION('ref_axis',(1.,0.,0.)); #359431=DIRECTION('center_axis',(0.,0.,1.)); #359432=DIRECTION('ref_axis',(1.,0.,0.)); #359433=DIRECTION('center_axis',(0.,0.,1.)); #359434=DIRECTION('ref_axis',(1.,0.,0.)); #359435=DIRECTION('center_axis',(0.,0.,-1.)); #359436=DIRECTION('ref_axis',(1.,0.,0.)); #359437=DIRECTION('',(0.,0.,-1.)); #359438=DIRECTION('center_axis',(0.,0.,1.)); #359439=DIRECTION('ref_axis',(1.,0.,0.)); #359440=DIRECTION('center_axis',(0.,0.,1.)); #359441=DIRECTION('ref_axis',(1.,0.,0.)); #359442=DIRECTION('center_axis',(0.,0.,1.)); #359443=DIRECTION('ref_axis',(1.,0.,0.)); #359444=DIRECTION('',(0.,0.,-1.)); #359445=DIRECTION('center_axis',(0.,0.,1.)); #359446=DIRECTION('ref_axis',(1.,0.,0.)); #359447=DIRECTION('center_axis',(0.,0.,1.)); #359448=DIRECTION('ref_axis',(1.,0.,0.)); #359449=DIRECTION('center_axis',(0.,0.,1.)); #359450=DIRECTION('ref_axis',(1.,0.,0.)); #359451=DIRECTION('center_axis',(0.,0.,1.)); #359452=DIRECTION('ref_axis',(1.,0.,0.)); #359453=DIRECTION('center_axis',(0.,0.,-1.)); #359454=DIRECTION('ref_axis',(1.,0.,0.)); #359455=DIRECTION('',(0.,0.,-1.)); #359456=DIRECTION('center_axis',(0.,0.,1.)); #359457=DIRECTION('ref_axis',(1.,0.,0.)); #359458=DIRECTION('center_axis',(0.,0.,1.)); #359459=DIRECTION('ref_axis',(1.,0.,0.)); #359460=DIRECTION('center_axis',(0.,0.,1.)); #359461=DIRECTION('ref_axis',(1.,0.,0.)); #359462=DIRECTION('',(0.,0.,-1.)); #359463=DIRECTION('center_axis',(0.,0.,1.)); #359464=DIRECTION('ref_axis',(1.,0.,0.)); #359465=DIRECTION('center_axis',(0.,0.,1.)); #359466=DIRECTION('ref_axis',(1.,0.,0.)); #359467=DIRECTION('center_axis',(0.,0.,1.)); #359468=DIRECTION('ref_axis',(1.,0.,0.)); #359469=DIRECTION('center_axis',(0.,0.,1.)); #359470=DIRECTION('ref_axis',(1.,0.,0.)); #359471=DIRECTION('center_axis',(0.,0.,-1.)); #359472=DIRECTION('ref_axis',(1.,0.,0.)); #359473=DIRECTION('',(0.,0.,-1.)); #359474=DIRECTION('center_axis',(0.,0.,1.)); #359475=DIRECTION('ref_axis',(1.,0.,0.)); #359476=DIRECTION('center_axis',(0.,0.,1.)); #359477=DIRECTION('ref_axis',(1.,0.,0.)); #359478=DIRECTION('center_axis',(0.,0.,1.)); #359479=DIRECTION('ref_axis',(1.,0.,0.)); #359480=DIRECTION('',(0.,0.,-1.)); #359481=DIRECTION('center_axis',(0.,0.,1.)); #359482=DIRECTION('ref_axis',(1.,0.,0.)); #359483=DIRECTION('center_axis',(0.,0.,1.)); #359484=DIRECTION('ref_axis',(1.,0.,0.)); #359485=DIRECTION('center_axis',(0.,0.,1.)); #359486=DIRECTION('ref_axis',(1.,0.,0.)); #359487=DIRECTION('center_axis',(0.,0.,1.)); #359488=DIRECTION('ref_axis',(1.,0.,0.)); #359489=DIRECTION('center_axis',(0.,0.,-1.)); #359490=DIRECTION('ref_axis',(1.,0.,0.)); #359491=DIRECTION('',(0.,0.,-1.)); #359492=DIRECTION('center_axis',(0.,0.,1.)); #359493=DIRECTION('ref_axis',(1.,0.,0.)); #359494=DIRECTION('center_axis',(0.,0.,1.)); #359495=DIRECTION('ref_axis',(1.,0.,0.)); #359496=DIRECTION('center_axis',(0.,0.,1.)); #359497=DIRECTION('ref_axis',(1.,0.,0.)); #359498=DIRECTION('',(0.,0.,-1.)); #359499=DIRECTION('center_axis',(0.,0.,1.)); #359500=DIRECTION('ref_axis',(1.,0.,0.)); #359501=DIRECTION('center_axis',(0.,0.,1.)); #359502=DIRECTION('ref_axis',(1.,0.,0.)); #359503=DIRECTION('center_axis',(0.,0.,1.)); #359504=DIRECTION('ref_axis',(1.,0.,0.)); #359505=DIRECTION('center_axis',(0.,0.,1.)); #359506=DIRECTION('ref_axis',(1.,0.,0.)); #359507=DIRECTION('center_axis',(0.,0.,-1.)); #359508=DIRECTION('ref_axis',(1.,0.,0.)); #359509=DIRECTION('',(0.,0.,-1.)); #359510=DIRECTION('center_axis',(0.,0.,1.)); #359511=DIRECTION('ref_axis',(1.,0.,0.)); #359512=DIRECTION('center_axis',(0.,0.,1.)); #359513=DIRECTION('ref_axis',(1.,0.,0.)); #359514=DIRECTION('center_axis',(0.,0.,1.)); #359515=DIRECTION('ref_axis',(1.,0.,0.)); #359516=DIRECTION('',(0.,0.,-1.)); #359517=DIRECTION('center_axis',(0.,0.,1.)); #359518=DIRECTION('ref_axis',(1.,0.,0.)); #359519=DIRECTION('center_axis',(0.,0.,1.)); #359520=DIRECTION('ref_axis',(1.,0.,0.)); #359521=DIRECTION('center_axis',(0.,0.,1.)); #359522=DIRECTION('ref_axis',(1.,0.,0.)); #359523=DIRECTION('center_axis',(0.,0.,1.)); #359524=DIRECTION('ref_axis',(1.,0.,0.)); #359525=DIRECTION('center_axis',(0.,0.,-1.)); #359526=DIRECTION('ref_axis',(1.,0.,0.)); #359527=DIRECTION('',(0.,0.,-1.)); #359528=DIRECTION('center_axis',(0.,0.,1.)); #359529=DIRECTION('ref_axis',(1.,0.,0.)); #359530=DIRECTION('center_axis',(0.,0.,1.)); #359531=DIRECTION('ref_axis',(1.,0.,0.)); #359532=DIRECTION('center_axis',(0.,0.,1.)); #359533=DIRECTION('ref_axis',(1.,0.,0.)); #359534=DIRECTION('',(0.,0.,-1.)); #359535=DIRECTION('center_axis',(0.,0.,1.)); #359536=DIRECTION('ref_axis',(1.,0.,0.)); #359537=DIRECTION('center_axis',(0.,0.,1.)); #359538=DIRECTION('ref_axis',(1.,0.,0.)); #359539=DIRECTION('center_axis',(0.,0.,1.)); #359540=DIRECTION('ref_axis',(1.,0.,0.)); #359541=DIRECTION('center_axis',(0.,0.,1.)); #359542=DIRECTION('ref_axis',(1.,0.,0.)); #359543=DIRECTION('center_axis',(0.,0.,-1.)); #359544=DIRECTION('ref_axis',(1.,0.,0.)); #359545=DIRECTION('',(0.,0.,-1.)); #359546=DIRECTION('center_axis',(0.,0.,1.)); #359547=DIRECTION('ref_axis',(1.,0.,0.)); #359548=DIRECTION('center_axis',(0.,0.,1.)); #359549=DIRECTION('ref_axis',(1.,0.,0.)); #359550=DIRECTION('center_axis',(0.,0.,1.)); #359551=DIRECTION('ref_axis',(1.,0.,0.)); #359552=DIRECTION('',(0.,0.,-1.)); #359553=DIRECTION('center_axis',(0.,0.,1.)); #359554=DIRECTION('ref_axis',(1.,0.,0.)); #359555=DIRECTION('center_axis',(0.,0.,1.)); #359556=DIRECTION('ref_axis',(1.,0.,0.)); #359557=DIRECTION('center_axis',(0.,0.,1.)); #359558=DIRECTION('ref_axis',(1.,0.,0.)); #359559=DIRECTION('center_axis',(0.,0.,1.)); #359560=DIRECTION('ref_axis',(1.,0.,0.)); #359561=DIRECTION('center_axis',(0.,0.,-1.)); #359562=DIRECTION('ref_axis',(1.,0.,0.)); #359563=DIRECTION('',(0.,0.,-1.)); #359564=DIRECTION('center_axis',(0.,0.,1.)); #359565=DIRECTION('ref_axis',(1.,0.,0.)); #359566=DIRECTION('center_axis',(0.,0.,1.)); #359567=DIRECTION('ref_axis',(1.,0.,0.)); #359568=DIRECTION('center_axis',(0.,0.,1.)); #359569=DIRECTION('ref_axis',(1.,0.,0.)); #359570=DIRECTION('',(0.,0.,-1.)); #359571=DIRECTION('center_axis',(0.,0.,1.)); #359572=DIRECTION('ref_axis',(1.,0.,0.)); #359573=DIRECTION('center_axis',(0.,0.,1.)); #359574=DIRECTION('ref_axis',(1.,0.,0.)); #359575=DIRECTION('center_axis',(0.,0.,1.)); #359576=DIRECTION('ref_axis',(1.,0.,0.)); #359577=DIRECTION('center_axis',(0.,0.,1.)); #359578=DIRECTION('ref_axis',(1.,0.,0.)); #359579=DIRECTION('center_axis',(0.,0.,-1.)); #359580=DIRECTION('ref_axis',(1.,0.,0.)); #359581=DIRECTION('',(0.,0.,-1.)); #359582=DIRECTION('center_axis',(0.,0.,1.)); #359583=DIRECTION('ref_axis',(1.,0.,0.)); #359584=DIRECTION('center_axis',(0.,0.,1.)); #359585=DIRECTION('ref_axis',(1.,0.,0.)); #359586=DIRECTION('center_axis',(0.,0.,1.)); #359587=DIRECTION('ref_axis',(1.,0.,0.)); #359588=DIRECTION('',(0.,0.,-1.)); #359589=DIRECTION('center_axis',(0.,0.,1.)); #359590=DIRECTION('ref_axis',(1.,0.,0.)); #359591=DIRECTION('center_axis',(0.,0.,1.)); #359592=DIRECTION('ref_axis',(1.,0.,0.)); #359593=DIRECTION('center_axis',(0.,0.,1.)); #359594=DIRECTION('ref_axis',(1.,0.,0.)); #359595=DIRECTION('axis',(0.,0.,1.)); #359596=DIRECTION('refdir',(1.,0.,0.)); #359597=DIRECTION('center_axis',(0.,0.,1.)); #359598=DIRECTION('ref_axis',(1.,0.,0.)); #359599=DIRECTION('center_axis',(0.,0.,1.)); #359600=DIRECTION('ref_axis',(1.,0.,0.)); #359601=DIRECTION('',(0.,0.,1.)); #359602=DIRECTION('center_axis',(0.,0.,-1.)); #359603=DIRECTION('ref_axis',(1.,0.,0.)); #359604=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #359605=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #359606=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #359607=DIRECTION('',(0.,0.,1.)); #359608=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #359609=DIRECTION('',(0.,0.,1.)); #359610=DIRECTION('center_axis',(0.,0.,1.)); #359611=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #359612=DIRECTION('center_axis',(0.,0.,1.)); #359613=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #359614=DIRECTION('center_axis',(0.,0.,1.)); #359615=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #359616=DIRECTION('',(0.,0.,1.)); #359617=DIRECTION('center_axis',(0.,0.,1.)); #359618=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #359619=DIRECTION('center_axis',(0.,0.,1.)); #359620=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #359621=DIRECTION('center_axis',(0.,0.,1.)); #359622=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #359623=DIRECTION('center_axis',(0.,0.,1.)); #359624=DIRECTION('ref_axis',(1.,0.,0.)); #359625=DIRECTION('center_axis',(0.,0.,1.)); #359626=DIRECTION('ref_axis',(1.,0.,0.)); #359627=DIRECTION('center_axis',(1.,0.,0.)); #359628=DIRECTION('ref_axis',(0.,1.,0.)); #359629=DIRECTION('',(0.,1.,0.)); #359630=DIRECTION('',(0.,0.,1.)); #359631=DIRECTION('',(0.,1.,0.)); #359632=DIRECTION('',(0.,0.,1.)); #359633=DIRECTION('center_axis',(0.,-1.,0.)); #359634=DIRECTION('ref_axis',(1.,0.,0.)); #359635=DIRECTION('',(1.,0.,0.)); #359636=DIRECTION('',(1.,0.,0.)); #359637=DIRECTION('',(0.,0.,1.)); #359638=DIRECTION('center_axis',(-1.,0.,0.)); #359639=DIRECTION('ref_axis',(0.,-1.,0.)); #359640=DIRECTION('',(0.,-1.,0.)); #359641=DIRECTION('',(0.,-1.,0.)); #359642=DIRECTION('',(0.,0.,1.)); #359643=DIRECTION('center_axis',(0.,1.,0.)); #359644=DIRECTION('ref_axis',(-1.,0.,0.)); #359645=DIRECTION('',(-1.,0.,0.)); #359646=DIRECTION('',(-1.,0.,0.)); #359647=DIRECTION('center_axis',(0.,0.,1.)); #359648=DIRECTION('ref_axis',(1.,0.,0.)); #359649=DIRECTION('center_axis',(0.,0.,1.)); #359650=DIRECTION('ref_axis',(1.,0.,0.)); #359651=DIRECTION('center_axis',(1.,0.,0.)); #359652=DIRECTION('ref_axis',(0.,1.,0.)); #359653=DIRECTION('',(0.,1.,0.)); #359654=DIRECTION('',(0.,0.,1.)); #359655=DIRECTION('',(0.,1.,0.)); #359656=DIRECTION('',(0.,0.,1.)); #359657=DIRECTION('center_axis',(0.,-1.,0.)); #359658=DIRECTION('ref_axis',(1.,0.,0.)); #359659=DIRECTION('',(1.,0.,0.)); #359660=DIRECTION('',(1.,0.,0.)); #359661=DIRECTION('',(0.,0.,1.)); #359662=DIRECTION('center_axis',(-1.,0.,0.)); #359663=DIRECTION('ref_axis',(0.,-1.,0.)); #359664=DIRECTION('',(0.,-1.,0.)); #359665=DIRECTION('',(0.,-1.,0.)); #359666=DIRECTION('',(0.,0.,1.)); #359667=DIRECTION('center_axis',(0.,1.,0.)); #359668=DIRECTION('ref_axis',(-1.,0.,0.)); #359669=DIRECTION('',(-1.,0.,0.)); #359670=DIRECTION('',(-1.,0.,0.)); #359671=DIRECTION('center_axis',(0.,0.,1.)); #359672=DIRECTION('ref_axis',(1.,0.,0.)); #359673=DIRECTION('center_axis',(0.,0.,1.)); #359674=DIRECTION('ref_axis',(1.,0.,0.)); #359675=DIRECTION('center_axis',(1.,0.,0.)); #359676=DIRECTION('ref_axis',(0.,1.,0.)); #359677=DIRECTION('',(0.,1.,0.)); #359678=DIRECTION('',(0.,0.,1.)); #359679=DIRECTION('',(0.,1.,0.)); #359680=DIRECTION('',(0.,0.,1.)); #359681=DIRECTION('center_axis',(0.,-1.,0.)); #359682=DIRECTION('ref_axis',(1.,0.,0.)); #359683=DIRECTION('',(1.,0.,0.)); #359684=DIRECTION('',(1.,0.,0.)); #359685=DIRECTION('',(0.,0.,1.)); #359686=DIRECTION('center_axis',(-1.,0.,0.)); #359687=DIRECTION('ref_axis',(0.,-1.,0.)); #359688=DIRECTION('',(0.,-1.,0.)); #359689=DIRECTION('',(0.,-1.,0.)); #359690=DIRECTION('',(0.,0.,1.)); #359691=DIRECTION('center_axis',(0.,1.,0.)); #359692=DIRECTION('ref_axis',(-1.,0.,0.)); #359693=DIRECTION('',(-1.,0.,0.)); #359694=DIRECTION('',(-1.,0.,0.)); #359695=DIRECTION('center_axis',(0.,0.,1.)); #359696=DIRECTION('ref_axis',(1.,0.,0.)); #359697=DIRECTION('center_axis',(0.,0.,1.)); #359698=DIRECTION('ref_axis',(1.,0.,0.)); #359699=DIRECTION('center_axis',(1.,0.,0.)); #359700=DIRECTION('ref_axis',(0.,1.,0.)); #359701=DIRECTION('',(0.,1.,0.)); #359702=DIRECTION('',(0.,0.,1.)); #359703=DIRECTION('',(0.,1.,0.)); #359704=DIRECTION('',(0.,0.,1.)); #359705=DIRECTION('center_axis',(0.,-1.,0.)); #359706=DIRECTION('ref_axis',(1.,0.,0.)); #359707=DIRECTION('',(1.,0.,0.)); #359708=DIRECTION('',(1.,0.,0.)); #359709=DIRECTION('',(0.,0.,1.)); #359710=DIRECTION('center_axis',(-1.,0.,0.)); #359711=DIRECTION('ref_axis',(0.,-1.,0.)); #359712=DIRECTION('',(0.,-1.,0.)); #359713=DIRECTION('',(0.,-1.,0.)); #359714=DIRECTION('',(0.,0.,1.)); #359715=DIRECTION('center_axis',(0.,1.,0.)); #359716=DIRECTION('ref_axis',(-1.,0.,0.)); #359717=DIRECTION('',(-1.,0.,0.)); #359718=DIRECTION('',(-1.,0.,0.)); #359719=DIRECTION('center_axis',(0.,0.,1.)); #359720=DIRECTION('ref_axis',(1.,0.,0.)); #359721=DIRECTION('center_axis',(0.,0.,1.)); #359722=DIRECTION('ref_axis',(1.,0.,0.)); #359723=DIRECTION('center_axis',(1.,0.,0.)); #359724=DIRECTION('ref_axis',(0.,1.,0.)); #359725=DIRECTION('',(0.,1.,0.)); #359726=DIRECTION('',(0.,0.,1.)); #359727=DIRECTION('',(0.,1.,0.)); #359728=DIRECTION('',(0.,0.,1.)); #359729=DIRECTION('center_axis',(0.,-1.,0.)); #359730=DIRECTION('ref_axis',(1.,0.,0.)); #359731=DIRECTION('',(1.,0.,0.)); #359732=DIRECTION('',(1.,0.,0.)); #359733=DIRECTION('',(0.,0.,1.)); #359734=DIRECTION('center_axis',(-1.,0.,0.)); #359735=DIRECTION('ref_axis',(0.,-1.,0.)); #359736=DIRECTION('',(0.,-1.,0.)); #359737=DIRECTION('',(0.,-1.,0.)); #359738=DIRECTION('',(0.,0.,1.)); #359739=DIRECTION('center_axis',(0.,1.,0.)); #359740=DIRECTION('ref_axis',(-1.,0.,0.)); #359741=DIRECTION('',(-1.,0.,0.)); #359742=DIRECTION('',(-1.,0.,0.)); #359743=DIRECTION('center_axis',(0.,0.,1.)); #359744=DIRECTION('ref_axis',(1.,0.,0.)); #359745=DIRECTION('center_axis',(0.,0.,1.)); #359746=DIRECTION('ref_axis',(1.,0.,0.)); #359747=DIRECTION('center_axis',(1.,0.,0.)); #359748=DIRECTION('ref_axis',(0.,1.,0.)); #359749=DIRECTION('',(0.,1.,0.)); #359750=DIRECTION('',(0.,0.,1.)); #359751=DIRECTION('',(0.,1.,0.)); #359752=DIRECTION('',(0.,0.,1.)); #359753=DIRECTION('center_axis',(0.,-1.,0.)); #359754=DIRECTION('ref_axis',(1.,0.,0.)); #359755=DIRECTION('',(1.,0.,0.)); #359756=DIRECTION('',(1.,0.,0.)); #359757=DIRECTION('',(0.,0.,1.)); #359758=DIRECTION('center_axis',(-1.,0.,0.)); #359759=DIRECTION('ref_axis',(0.,-1.,0.)); #359760=DIRECTION('',(0.,-1.,0.)); #359761=DIRECTION('',(0.,-1.,0.)); #359762=DIRECTION('',(0.,0.,1.)); #359763=DIRECTION('center_axis',(0.,1.,0.)); #359764=DIRECTION('ref_axis',(-1.,0.,0.)); #359765=DIRECTION('',(-1.,0.,0.)); #359766=DIRECTION('',(-1.,0.,0.)); #359767=DIRECTION('center_axis',(0.,0.,1.)); #359768=DIRECTION('ref_axis',(1.,0.,0.)); #359769=DIRECTION('center_axis',(0.,0.,1.)); #359770=DIRECTION('ref_axis',(1.,0.,0.)); #359771=DIRECTION('center_axis',(1.,0.,0.)); #359772=DIRECTION('ref_axis',(0.,1.,0.)); #359773=DIRECTION('',(0.,1.,0.)); #359774=DIRECTION('',(0.,0.,1.)); #359775=DIRECTION('',(0.,1.,0.)); #359776=DIRECTION('',(0.,0.,1.)); #359777=DIRECTION('center_axis',(0.,-1.,0.)); #359778=DIRECTION('ref_axis',(1.,0.,0.)); #359779=DIRECTION('',(1.,0.,0.)); #359780=DIRECTION('',(1.,0.,0.)); #359781=DIRECTION('',(0.,0.,1.)); #359782=DIRECTION('center_axis',(-1.,0.,0.)); #359783=DIRECTION('ref_axis',(0.,-1.,0.)); #359784=DIRECTION('',(0.,-1.,0.)); #359785=DIRECTION('',(0.,-1.,0.)); #359786=DIRECTION('',(0.,0.,1.)); #359787=DIRECTION('center_axis',(0.,1.,0.)); #359788=DIRECTION('ref_axis',(-1.,0.,0.)); #359789=DIRECTION('',(-1.,0.,0.)); #359790=DIRECTION('',(-1.,0.,0.)); #359791=DIRECTION('center_axis',(0.,0.,1.)); #359792=DIRECTION('ref_axis',(1.,0.,0.)); #359793=DIRECTION('center_axis',(0.,0.,1.)); #359794=DIRECTION('ref_axis',(1.,0.,0.)); #359795=DIRECTION('center_axis',(1.,0.,0.)); #359796=DIRECTION('ref_axis',(0.,1.,0.)); #359797=DIRECTION('',(0.,1.,0.)); #359798=DIRECTION('',(0.,0.,1.)); #359799=DIRECTION('',(0.,1.,0.)); #359800=DIRECTION('',(0.,0.,1.)); #359801=DIRECTION('center_axis',(0.,-1.,0.)); #359802=DIRECTION('ref_axis',(1.,0.,0.)); #359803=DIRECTION('',(1.,0.,0.)); #359804=DIRECTION('',(1.,0.,0.)); #359805=DIRECTION('',(0.,0.,1.)); #359806=DIRECTION('center_axis',(-1.,0.,0.)); #359807=DIRECTION('ref_axis',(0.,-1.,0.)); #359808=DIRECTION('',(0.,-1.,0.)); #359809=DIRECTION('',(0.,-1.,0.)); #359810=DIRECTION('',(0.,0.,1.)); #359811=DIRECTION('center_axis',(0.,1.,0.)); #359812=DIRECTION('ref_axis',(-1.,0.,0.)); #359813=DIRECTION('',(-1.,0.,0.)); #359814=DIRECTION('',(-1.,0.,0.)); #359815=DIRECTION('center_axis',(0.,0.,1.)); #359816=DIRECTION('ref_axis',(1.,0.,0.)); #359817=DIRECTION('center_axis',(0.,0.,1.)); #359818=DIRECTION('ref_axis',(1.,0.,0.)); #359819=DIRECTION('center_axis',(1.,0.,0.)); #359820=DIRECTION('ref_axis',(0.,1.,0.)); #359821=DIRECTION('',(0.,1.,0.)); #359822=DIRECTION('',(0.,0.,1.)); #359823=DIRECTION('',(0.,1.,0.)); #359824=DIRECTION('',(0.,0.,1.)); #359825=DIRECTION('center_axis',(0.,-1.,0.)); #359826=DIRECTION('ref_axis',(1.,0.,0.)); #359827=DIRECTION('',(1.,0.,0.)); #359828=DIRECTION('',(1.,0.,0.)); #359829=DIRECTION('',(0.,0.,1.)); #359830=DIRECTION('center_axis',(-1.,0.,0.)); #359831=DIRECTION('ref_axis',(0.,-1.,0.)); #359832=DIRECTION('',(0.,-1.,0.)); #359833=DIRECTION('',(0.,-1.,0.)); #359834=DIRECTION('',(0.,0.,1.)); #359835=DIRECTION('center_axis',(0.,1.,0.)); #359836=DIRECTION('ref_axis',(-1.,0.,0.)); #359837=DIRECTION('',(-1.,0.,0.)); #359838=DIRECTION('',(-1.,0.,0.)); #359839=DIRECTION('center_axis',(0.,0.,1.)); #359840=DIRECTION('ref_axis',(1.,0.,0.)); #359841=DIRECTION('center_axis',(0.,0.,1.)); #359842=DIRECTION('ref_axis',(1.,0.,0.)); #359843=DIRECTION('center_axis',(1.,0.,0.)); #359844=DIRECTION('ref_axis',(0.,1.,0.)); #359845=DIRECTION('',(0.,1.,0.)); #359846=DIRECTION('',(0.,0.,1.)); #359847=DIRECTION('',(0.,1.,0.)); #359848=DIRECTION('',(0.,0.,1.)); #359849=DIRECTION('center_axis',(0.,-1.,0.)); #359850=DIRECTION('ref_axis',(1.,0.,0.)); #359851=DIRECTION('',(1.,0.,0.)); #359852=DIRECTION('',(1.,0.,0.)); #359853=DIRECTION('',(0.,0.,1.)); #359854=DIRECTION('center_axis',(-1.,0.,0.)); #359855=DIRECTION('ref_axis',(0.,-1.,0.)); #359856=DIRECTION('',(0.,-1.,0.)); #359857=DIRECTION('',(0.,-1.,0.)); #359858=DIRECTION('',(0.,0.,1.)); #359859=DIRECTION('center_axis',(0.,1.,0.)); #359860=DIRECTION('ref_axis',(-1.,0.,0.)); #359861=DIRECTION('',(-1.,0.,0.)); #359862=DIRECTION('',(-1.,0.,0.)); #359863=DIRECTION('center_axis',(0.,0.,1.)); #359864=DIRECTION('ref_axis',(1.,0.,0.)); #359865=DIRECTION('center_axis',(0.,0.,1.)); #359866=DIRECTION('ref_axis',(1.,0.,0.)); #359867=DIRECTION('center_axis',(0.,0.,1.)); #359868=DIRECTION('ref_axis',(1.,0.,0.)); #359869=DIRECTION('center_axis',(0.,0.,1.)); #359870=DIRECTION('ref_axis',(1.,0.,0.)); #359871=DIRECTION('',(0.,0.,1.)); #359872=DIRECTION('center_axis',(0.,0.,-1.)); #359873=DIRECTION('ref_axis',(1.,0.,0.)); #359874=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #359875=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #359876=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #359877=DIRECTION('',(0.,0.,1.)); #359878=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #359879=DIRECTION('',(0.,0.,1.)); #359880=DIRECTION('center_axis',(0.,0.,1.)); #359881=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #359882=DIRECTION('center_axis',(0.,0.,1.)); #359883=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #359884=DIRECTION('center_axis',(0.,0.,1.)); #359885=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #359886=DIRECTION('',(0.,0.,1.)); #359887=DIRECTION('center_axis',(0.,0.,1.)); #359888=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #359889=DIRECTION('center_axis',(0.,0.,1.)); #359890=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #359891=DIRECTION('center_axis',(0.,0.,1.)); #359892=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #359893=DIRECTION('center_axis',(0.,0.,1.)); #359894=DIRECTION('ref_axis',(1.,0.,0.)); #359895=DIRECTION('center_axis',(0.,0.,1.)); #359896=DIRECTION('ref_axis',(1.,0.,0.)); #359897=DIRECTION('center_axis',(0.,0.,1.)); #359898=DIRECTION('ref_axis',(1.,0.,0.)); #359899=DIRECTION('center_axis',(0.,0.,1.)); #359900=DIRECTION('ref_axis',(1.,0.,0.)); #359901=DIRECTION('',(0.,0.,1.)); #359902=DIRECTION('center_axis',(0.,0.,-1.)); #359903=DIRECTION('ref_axis',(1.,0.,0.)); #359904=DIRECTION('center_axis',(0.,0.,1.)); #359905=DIRECTION('ref_axis',(1.,0.,0.)); #359906=DIRECTION('center_axis',(0.,0.,1.)); #359907=DIRECTION('ref_axis',(1.,0.,0.)); #359908=DIRECTION('',(0.,0.,1.)); #359909=DIRECTION('center_axis',(0.,0.,-1.)); #359910=DIRECTION('ref_axis',(1.,0.,0.)); #359911=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #359912=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #359913=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #359914=DIRECTION('',(0.,0.,1.)); #359915=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #359916=DIRECTION('',(0.,0.,1.)); #359917=DIRECTION('center_axis',(0.,0.,1.)); #359918=DIRECTION('ref_axis',(-0.382679799465878,-0.923881037299043,0.)); #359919=DIRECTION('center_axis',(0.,0.,1.)); #359920=DIRECTION('ref_axis',(-0.382679799465878,-0.923881037299043,0.)); #359921=DIRECTION('center_axis',(0.,0.,1.)); #359922=DIRECTION('ref_axis',(-0.382679799465878,-0.923881037299043,0.)); #359923=DIRECTION('',(0.,0.,1.)); #359924=DIRECTION('center_axis',(0.,0.,1.)); #359925=DIRECTION('ref_axis',(0.300709399253543,0.953715815744173,0.)); #359926=DIRECTION('center_axis',(0.,0.,1.)); #359927=DIRECTION('ref_axis',(0.300709399253543,0.953715815744173,0.)); #359928=DIRECTION('center_axis',(0.,0.,1.)); #359929=DIRECTION('ref_axis',(0.300709399253543,0.953715815744173,0.)); #359930=DIRECTION('',(0.,0.,1.)); #359931=DIRECTION('center_axis',(-0.707106475088,0.707107087284963,0.)); #359932=DIRECTION('ref_axis',(-0.707107087284963,-0.707106475088,0.)); #359933=DIRECTION('',(-0.707107087284963,-0.707106475088,0.)); #359934=DIRECTION('',(-0.707107087284963,-0.707106475088,0.)); #359935=DIRECTION('',(0.,0.,1.)); #359936=DIRECTION('center_axis',(0.,0.,1.)); #359937=DIRECTION('ref_axis',(0.382023508072098,0.924152606056103,0.)); #359938=DIRECTION('center_axis',(0.,0.,1.)); #359939=DIRECTION('ref_axis',(0.382023508072098,0.924152606056103,0.)); #359940=DIRECTION('center_axis',(0.,0.,1.)); #359941=DIRECTION('ref_axis',(0.382023508072098,0.924152606056103,0.)); #359942=DIRECTION('',(0.,0.,1.)); #359943=DIRECTION('center_axis',(0.,0.,1.)); #359944=DIRECTION('ref_axis',(-0.300711620456772,-0.953715115389424,0.)); #359945=DIRECTION('center_axis',(0.,0.,1.)); #359946=DIRECTION('ref_axis',(-0.300711620456772,-0.953715115389424,0.)); #359947=DIRECTION('center_axis',(0.,0.,1.)); #359948=DIRECTION('ref_axis',(-0.300711620456772,-0.953715115389424,0.)); #359949=DIRECTION('',(0.,0.,1.)); #359950=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #359951=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #359952=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #359953=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #359954=DIRECTION('',(0.,0.,1.)); #359955=DIRECTION('center_axis',(0.,0.,1.)); #359956=DIRECTION('ref_axis',(0.234831124216078,-0.97203618404842,0.)); #359957=DIRECTION('center_axis',(0.,0.,1.)); #359958=DIRECTION('ref_axis',(0.234831124216078,-0.97203618404842,0.)); #359959=DIRECTION('center_axis',(0.,0.,1.)); #359960=DIRECTION('ref_axis',(0.234831124216078,-0.97203618404842,0.)); #359961=DIRECTION('center_axis',(0.,0.,1.)); #359962=DIRECTION('ref_axis',(1.,0.,0.)); #359963=DIRECTION('center_axis',(0.,0.,1.)); #359964=DIRECTION('ref_axis',(1.,0.,0.)); #359965=DIRECTION('center_axis',(0.,0.,1.)); #359966=DIRECTION('ref_axis',(1.,0.,0.)); #359967=DIRECTION('center_axis',(0.,0.,1.)); #359968=DIRECTION('ref_axis',(1.,0.,0.)); #359969=DIRECTION('',(0.,0.,1.)); #359970=DIRECTION('center_axis',(0.,0.,-1.)); #359971=DIRECTION('ref_axis',(1.,0.,0.)); #359972=DIRECTION('center_axis',(0.0979988322081603,-0.995186529694729, 0.)); #359973=DIRECTION('ref_axis',(0.995186529694729,0.0979988322081603,0.)); #359974=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #359975=DIRECTION('',(0.,0.,1.)); #359976=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #359977=DIRECTION('',(0.,0.,1.)); #359978=DIRECTION('center_axis',(0.,0.,1.)); #359979=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #359980=DIRECTION('center_axis',(0.,0.,1.)); #359981=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #359982=DIRECTION('center_axis',(0.,0.,1.)); #359983=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #359984=DIRECTION('',(0.,0.,1.)); #359985=DIRECTION('center_axis',(0.,0.,1.)); #359986=DIRECTION('ref_axis',(0.146731885739879,-0.989176300619469,0.)); #359987=DIRECTION('center_axis',(0.,0.,1.)); #359988=DIRECTION('ref_axis',(0.146731885739879,-0.989176300619469,0.)); #359989=DIRECTION('center_axis',(0.,0.,1.)); #359990=DIRECTION('ref_axis',(0.146731885739879,-0.989176300619469,0.)); #359991=DIRECTION('center_axis',(0.,0.,1.)); #359992=DIRECTION('ref_axis',(1.,0.,0.)); #359993=DIRECTION('center_axis',(0.,0.,1.)); #359994=DIRECTION('ref_axis',(1.,0.,0.)); #359995=DIRECTION('center_axis',(0.,0.,1.)); #359996=DIRECTION('ref_axis',(1.,0.,0.)); #359997=DIRECTION('center_axis',(0.,0.,1.)); #359998=DIRECTION('ref_axis',(1.,0.,0.)); #359999=DIRECTION('',(0.,0.,1.)); #360000=DIRECTION('center_axis',(0.,0.,-1.)); #360001=DIRECTION('ref_axis',(1.,0.,0.)); #360002=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #360003=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #360004=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #360005=DIRECTION('',(0.,0.,1.)); #360006=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #360007=DIRECTION('',(0.,0.,1.)); #360008=DIRECTION('center_axis',(0.,0.,1.)); #360009=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #360010=DIRECTION('center_axis',(0.,0.,1.)); #360011=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #360012=DIRECTION('center_axis',(0.,0.,1.)); #360013=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #360014=DIRECTION('',(0.,0.,1.)); #360015=DIRECTION('center_axis',(0.,0.,1.)); #360016=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #360017=DIRECTION('center_axis',(0.,0.,1.)); #360018=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #360019=DIRECTION('center_axis',(0.,0.,1.)); #360020=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #360021=DIRECTION('center_axis',(0.,0.,1.)); #360022=DIRECTION('ref_axis',(1.,0.,0.)); #360023=DIRECTION('center_axis',(0.,0.,1.)); #360024=DIRECTION('ref_axis',(1.,0.,0.)); #360025=DIRECTION('center_axis',(0.,0.,1.)); #360026=DIRECTION('ref_axis',(1.,0.,0.)); #360027=DIRECTION('center_axis',(0.,0.,1.)); #360028=DIRECTION('ref_axis',(1.,0.,0.)); #360029=DIRECTION('',(0.,0.,1.)); #360030=DIRECTION('center_axis',(0.,0.,-1.)); #360031=DIRECTION('ref_axis',(1.,0.,0.)); #360032=DIRECTION('center_axis',(0.156447762252332,-0.987686234431886,0.)); #360033=DIRECTION('ref_axis',(0.987686234431886,0.156447762252332,0.)); #360034=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #360035=DIRECTION('',(0.,0.,1.)); #360036=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #360037=DIRECTION('',(0.,0.,1.)); #360038=DIRECTION('center_axis',(0.,0.,1.)); #360039=DIRECTION('ref_axis',(-0.888045829238897,-0.459754940344746,0.)); #360040=DIRECTION('center_axis',(0.,0.,1.)); #360041=DIRECTION('ref_axis',(-0.888045829238897,-0.459754940344746,0.)); #360042=DIRECTION('center_axis',(0.,0.,1.)); #360043=DIRECTION('ref_axis',(-0.888045829238897,-0.459754940344746,0.)); #360044=DIRECTION('',(0.,0.,1.)); #360045=DIRECTION('center_axis',(0.,-1.,0.)); #360046=DIRECTION('ref_axis',(1.,0.,0.)); #360047=DIRECTION('',(1.,0.,0.)); #360048=DIRECTION('',(1.,0.,0.)); #360049=DIRECTION('',(0.,0.,1.)); #360050=DIRECTION('center_axis',(0.,0.,1.)); #360051=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984462,0.)); #360052=DIRECTION('center_axis',(0.,0.,1.)); #360053=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984462,0.)); #360054=DIRECTION('center_axis',(0.,0.,1.)); #360055=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984462,0.)); #360056=DIRECTION('',(0.,0.,1.)); #360057=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #360058=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #360059=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #360060=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #360061=DIRECTION('',(0.,0.,1.)); #360062=DIRECTION('center_axis',(0.,-1.,0.)); #360063=DIRECTION('ref_axis',(1.,0.,0.)); #360064=DIRECTION('',(1.,0.,0.)); #360065=DIRECTION('',(1.,0.,0.)); #360066=DIRECTION('',(0.,0.,1.)); #360067=DIRECTION('center_axis',(-1.,0.,0.)); #360068=DIRECTION('ref_axis',(0.,-1.,0.)); #360069=DIRECTION('',(0.,-1.,0.)); #360070=DIRECTION('',(0.,-1.,0.)); #360071=DIRECTION('',(0.,0.,1.)); #360072=DIRECTION('center_axis',(0.,1.,0.)); #360073=DIRECTION('ref_axis',(-1.,0.,0.)); #360074=DIRECTION('',(-1.,0.,0.)); #360075=DIRECTION('',(-1.,0.,0.)); #360076=DIRECTION('',(0.,0.,1.)); #360077=DIRECTION('center_axis',(1.,0.,0.)); #360078=DIRECTION('ref_axis',(0.,1.,0.)); #360079=DIRECTION('',(0.,1.,0.)); #360080=DIRECTION('',(0.,1.,0.)); #360081=DIRECTION('',(0.,0.,1.)); #360082=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #360083=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #360084=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #360085=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #360086=DIRECTION('',(0.,0.,1.)); #360087=DIRECTION('center_axis',(0.,1.,0.)); #360088=DIRECTION('ref_axis',(-1.,0.,0.)); #360089=DIRECTION('',(-1.,0.,0.)); #360090=DIRECTION('',(-1.,0.,0.)); #360091=DIRECTION('',(0.,0.,1.)); #360092=DIRECTION('center_axis',(0.,0.,1.)); #360093=DIRECTION('ref_axis',(-0.236706046226626,0.971581312953146,0.)); #360094=DIRECTION('center_axis',(0.,0.,1.)); #360095=DIRECTION('ref_axis',(-0.236706046226626,0.971581312953146,0.)); #360096=DIRECTION('center_axis',(0.,0.,1.)); #360097=DIRECTION('ref_axis',(-0.236706046226626,0.971581312953146,0.)); #360098=DIRECTION('',(0.,0.,1.)); #360099=DIRECTION('center_axis',(0.,0.,1.)); #360100=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #360101=DIRECTION('center_axis',(0.,0.,1.)); #360102=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #360103=DIRECTION('center_axis',(0.,0.,1.)); #360104=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #360105=DIRECTION('center_axis',(0.,0.,1.)); #360106=DIRECTION('ref_axis',(1.,0.,0.)); #360107=DIRECTION('center_axis',(0.,0.,1.)); #360108=DIRECTION('ref_axis',(1.,0.,0.)); #360109=DIRECTION('center_axis',(0.,0.,1.)); #360110=DIRECTION('ref_axis',(1.,0.,0.)); #360111=DIRECTION('center_axis',(0.,0.,1.)); #360112=DIRECTION('ref_axis',(1.,0.,0.)); #360113=DIRECTION('',(0.,0.,1.)); #360114=DIRECTION('center_axis',(0.,0.,-1.)); #360115=DIRECTION('ref_axis',(1.,0.,0.)); #360116=DIRECTION('center_axis',(0.156447762252348,-0.987686234431883,0.)); #360117=DIRECTION('ref_axis',(0.987686234431883,0.156447762252348,0.)); #360118=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #360119=DIRECTION('',(0.,0.,1.)); #360120=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #360121=DIRECTION('',(0.,0.,1.)); #360122=DIRECTION('center_axis',(0.,0.,1.)); #360123=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #360124=DIRECTION('center_axis',(0.,0.,1.)); #360125=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #360126=DIRECTION('center_axis',(0.,0.,1.)); #360127=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #360128=DIRECTION('',(0.,0.,1.)); #360129=DIRECTION('center_axis',(-1.,0.,0.)); #360130=DIRECTION('ref_axis',(0.,-1.,0.)); #360131=DIRECTION('',(0.,-1.,0.)); #360132=DIRECTION('',(0.,-1.,0.)); #360133=DIRECTION('',(0.,0.,1.)); #360134=DIRECTION('center_axis',(0.,-1.,0.)); #360135=DIRECTION('ref_axis',(1.,0.,0.)); #360136=DIRECTION('',(1.,0.,0.)); #360137=DIRECTION('',(1.,0.,0.)); #360138=DIRECTION('',(0.,0.,1.)); #360139=DIRECTION('center_axis',(-1.,0.,0.)); #360140=DIRECTION('ref_axis',(0.,-1.,0.)); #360141=DIRECTION('',(0.,-1.,0.)); #360142=DIRECTION('',(0.,-1.,0.)); #360143=DIRECTION('',(0.,0.,1.)); #360144=DIRECTION('center_axis',(0.,1.,0.)); #360145=DIRECTION('ref_axis',(-1.,0.,0.)); #360146=DIRECTION('',(-1.,0.,0.)); #360147=DIRECTION('',(-1.,0.,0.)); #360148=DIRECTION('',(0.,0.,1.)); #360149=DIRECTION('center_axis',(1.,0.,0.)); #360150=DIRECTION('ref_axis',(0.,1.,0.)); #360151=DIRECTION('',(0.,1.,0.)); #360152=DIRECTION('',(0.,1.,0.)); #360153=DIRECTION('',(0.,0.,1.)); #360154=DIRECTION('center_axis',(0.,-1.,0.)); #360155=DIRECTION('ref_axis',(1.,0.,0.)); #360156=DIRECTION('',(1.,0.,0.)); #360157=DIRECTION('',(1.,0.,0.)); #360158=DIRECTION('',(0.,0.,1.)); #360159=DIRECTION('center_axis',(1.,0.,0.)); #360160=DIRECTION('ref_axis',(0.,1.,0.)); #360161=DIRECTION('',(0.,1.,0.)); #360162=DIRECTION('',(0.,1.,0.)); #360163=DIRECTION('',(0.,0.,1.)); #360164=DIRECTION('center_axis',(0.,0.,1.)); #360165=DIRECTION('ref_axis',(0.23400412146881,-0.972235604745892,0.)); #360166=DIRECTION('center_axis',(0.,0.,1.)); #360167=DIRECTION('ref_axis',(0.23400412146881,-0.972235604745892,0.)); #360168=DIRECTION('center_axis',(0.,0.,1.)); #360169=DIRECTION('ref_axis',(0.23400412146881,-0.972235604745892,0.)); #360170=DIRECTION('center_axis',(0.,0.,1.)); #360171=DIRECTION('ref_axis',(1.,0.,0.)); #360172=DIRECTION('center_axis',(0.,0.,1.)); #360173=DIRECTION('ref_axis',(1.,0.,0.)); #360174=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #360175=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #360176=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #360177=DIRECTION('',(0.,0.,1.)); #360178=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #360179=DIRECTION('',(0.,0.,1.)); #360180=DIRECTION('center_axis',(0.,1.,0.)); #360181=DIRECTION('ref_axis',(-1.,0.,0.)); #360182=DIRECTION('',(-1.,0.,0.)); #360183=DIRECTION('',(-1.,0.,0.)); #360184=DIRECTION('',(0.,0.,1.)); #360185=DIRECTION('center_axis',(-1.,0.,0.)); #360186=DIRECTION('ref_axis',(0.,-1.,0.)); #360187=DIRECTION('',(0.,-1.,0.)); #360188=DIRECTION('',(0.,-1.,0.)); #360189=DIRECTION('',(0.,0.,1.)); #360190=DIRECTION('center_axis',(0.,1.,0.)); #360191=DIRECTION('ref_axis',(-1.,0.,0.)); #360192=DIRECTION('',(-1.,0.,0.)); #360193=DIRECTION('',(-1.,0.,0.)); #360194=DIRECTION('',(0.,0.,1.)); #360195=DIRECTION('center_axis',(1.,0.,0.)); #360196=DIRECTION('ref_axis',(0.,1.,0.)); #360197=DIRECTION('',(0.,1.,0.)); #360198=DIRECTION('',(0.,1.,0.)); #360199=DIRECTION('',(0.,0.,1.)); #360200=DIRECTION('center_axis',(0.,-1.,0.)); #360201=DIRECTION('ref_axis',(1.,0.,0.)); #360202=DIRECTION('',(1.,0.,0.)); #360203=DIRECTION('',(1.,0.,0.)); #360204=DIRECTION('',(0.,0.,1.)); #360205=DIRECTION('center_axis',(-1.,0.,0.)); #360206=DIRECTION('ref_axis',(0.,-1.,0.)); #360207=DIRECTION('',(0.,-1.,0.)); #360208=DIRECTION('',(0.,-1.,0.)); #360209=DIRECTION('',(0.,0.,1.)); #360210=DIRECTION('center_axis',(0.,-1.,0.)); #360211=DIRECTION('ref_axis',(1.,0.,0.)); #360212=DIRECTION('',(1.,0.,0.)); #360213=DIRECTION('',(1.,0.,0.)); #360214=DIRECTION('',(0.,0.,1.)); #360215=DIRECTION('center_axis',(0.,0.,1.)); #360216=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984461,0.)); #360217=DIRECTION('center_axis',(0.,0.,1.)); #360218=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984461,0.)); #360219=DIRECTION('center_axis',(0.,0.,1.)); #360220=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984461,0.)); #360221=DIRECTION('',(0.,0.,1.)); #360222=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #360223=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #360224=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #360225=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #360226=DIRECTION('',(0.,0.,1.)); #360227=DIRECTION('center_axis',(0.,0.,1.)); #360228=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396481E-6,0.)); #360229=DIRECTION('center_axis',(0.,0.,1.)); #360230=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396481E-6,0.)); #360231=DIRECTION('center_axis',(0.,0.,1.)); #360232=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396481E-6,0.)); #360233=DIRECTION('',(0.,0.,1.)); #360234=DIRECTION('center_axis',(-1.,0.,0.)); #360235=DIRECTION('ref_axis',(0.,-1.,0.)); #360236=DIRECTION('',(0.,-1.,0.)); #360237=DIRECTION('',(0.,-1.,0.)); #360238=DIRECTION('',(0.,0.,1.)); #360239=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #360240=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #360241=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #360242=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #360243=DIRECTION('',(0.,0.,1.)); #360244=DIRECTION('center_axis',(0.,-1.,0.)); #360245=DIRECTION('ref_axis',(1.,0.,0.)); #360246=DIRECTION('',(1.,0.,0.)); #360247=DIRECTION('',(1.,0.,0.)); #360248=DIRECTION('',(0.,0.,1.)); #360249=DIRECTION('center_axis',(-1.,0.,0.)); #360250=DIRECTION('ref_axis',(0.,-1.,0.)); #360251=DIRECTION('',(0.,-1.,0.)); #360252=DIRECTION('',(0.,-1.,0.)); #360253=DIRECTION('',(0.,0.,1.)); #360254=DIRECTION('center_axis',(0.,1.,0.)); #360255=DIRECTION('ref_axis',(-1.,0.,0.)); #360256=DIRECTION('',(-1.,0.,0.)); #360257=DIRECTION('',(-1.,0.,0.)); #360258=DIRECTION('',(0.,0.,1.)); #360259=DIRECTION('center_axis',(1.,0.,0.)); #360260=DIRECTION('ref_axis',(0.,1.,0.)); #360261=DIRECTION('',(0.,1.,0.)); #360262=DIRECTION('',(0.,1.,0.)); #360263=DIRECTION('',(0.,0.,1.)); #360264=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #360265=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #360266=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #360267=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #360268=DIRECTION('',(0.,0.,1.)); #360269=DIRECTION('center_axis',(0.,0.,1.)); #360270=DIRECTION('ref_axis',(0.999999999982576,-5.90313866444152E-6,0.)); #360271=DIRECTION('center_axis',(0.,0.,1.)); #360272=DIRECTION('ref_axis',(0.999999999982576,-5.90313866444152E-6,0.)); #360273=DIRECTION('center_axis',(0.,0.,1.)); #360274=DIRECTION('ref_axis',(0.999999999982576,-5.90313866444152E-6,0.)); #360275=DIRECTION('',(0.,0.,1.)); #360276=DIRECTION('center_axis',(1.,0.,0.)); #360277=DIRECTION('ref_axis',(0.,1.,0.)); #360278=DIRECTION('',(0.,1.,0.)); #360279=DIRECTION('',(0.,1.,0.)); #360280=DIRECTION('center_axis',(0.,0.,1.)); #360281=DIRECTION('ref_axis',(1.,0.,0.)); #360282=DIRECTION('center_axis',(0.,0.,1.)); #360283=DIRECTION('ref_axis',(1.,0.,0.)); #360284=DIRECTION('center_axis',(1.,0.,0.)); #360285=DIRECTION('ref_axis',(0.,1.,0.)); #360286=DIRECTION('',(0.,1.,0.)); #360287=DIRECTION('',(0.,0.,1.)); #360288=DIRECTION('',(0.,1.,0.)); #360289=DIRECTION('',(0.,0.,1.)); #360290=DIRECTION('center_axis',(0.,-1.,0.)); #360291=DIRECTION('ref_axis',(1.,0.,0.)); #360292=DIRECTION('',(1.,0.,0.)); #360293=DIRECTION('',(1.,0.,0.)); #360294=DIRECTION('',(0.,0.,1.)); #360295=DIRECTION('center_axis',(-1.,0.,0.)); #360296=DIRECTION('ref_axis',(0.,-1.,0.)); #360297=DIRECTION('',(0.,-1.,0.)); #360298=DIRECTION('',(0.,-1.,0.)); #360299=DIRECTION('',(0.,0.,1.)); #360300=DIRECTION('center_axis',(0.,1.,0.)); #360301=DIRECTION('ref_axis',(-1.,0.,0.)); #360302=DIRECTION('',(-1.,0.,0.)); #360303=DIRECTION('',(-1.,0.,0.)); #360304=DIRECTION('',(0.,0.,1.)); #360305=DIRECTION('center_axis',(-1.,0.,0.)); #360306=DIRECTION('ref_axis',(0.,-1.,0.)); #360307=DIRECTION('',(0.,-1.,0.)); #360308=DIRECTION('',(0.,-1.,0.)); #360309=DIRECTION('',(0.,0.,1.)); #360310=DIRECTION('center_axis',(0.,0.,1.)); #360311=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #360312=DIRECTION('center_axis',(0.,0.,1.)); #360313=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #360314=DIRECTION('center_axis',(0.,0.,1.)); #360315=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #360316=DIRECTION('',(0.,0.,1.)); #360317=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #360318=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #360319=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #360320=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #360321=DIRECTION('',(0.,0.,1.)); #360322=DIRECTION('center_axis',(-1.,0.,0.)); #360323=DIRECTION('ref_axis',(0.,-1.,0.)); #360324=DIRECTION('',(0.,-1.,0.)); #360325=DIRECTION('',(0.,-1.,0.)); #360326=DIRECTION('',(0.,0.,1.)); #360327=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #360328=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #360329=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #360330=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #360331=DIRECTION('',(0.,0.,1.)); #360332=DIRECTION('center_axis',(0.,-1.,0.)); #360333=DIRECTION('ref_axis',(1.,0.,0.)); #360334=DIRECTION('',(1.,0.,0.)); #360335=DIRECTION('',(1.,0.,0.)); #360336=DIRECTION('',(0.,0.,1.)); #360337=DIRECTION('center_axis',(-1.,0.,0.)); #360338=DIRECTION('ref_axis',(0.,-1.,0.)); #360339=DIRECTION('',(0.,-1.,0.)); #360340=DIRECTION('',(0.,-1.,0.)); #360341=DIRECTION('',(0.,0.,1.)); #360342=DIRECTION('center_axis',(0.,1.,0.)); #360343=DIRECTION('ref_axis',(-1.,0.,0.)); #360344=DIRECTION('',(-1.,0.,0.)); #360345=DIRECTION('',(-1.,0.,0.)); #360346=DIRECTION('',(0.,0.,1.)); #360347=DIRECTION('center_axis',(-1.,0.,0.)); #360348=DIRECTION('ref_axis',(0.,-1.,0.)); #360349=DIRECTION('',(0.,-1.,0.)); #360350=DIRECTION('',(0.,-1.,0.)); #360351=DIRECTION('',(0.,0.,1.)); #360352=DIRECTION('center_axis',(0.,0.,1.)); #360353=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #360354=DIRECTION('center_axis',(0.,0.,1.)); #360355=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #360356=DIRECTION('center_axis',(0.,0.,1.)); #360357=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #360358=DIRECTION('',(0.,0.,1.)); #360359=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #360360=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #360361=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #360362=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #360363=DIRECTION('',(0.,0.,1.)); #360364=DIRECTION('center_axis',(-1.,0.,0.)); #360365=DIRECTION('ref_axis',(0.,-1.,0.)); #360366=DIRECTION('',(0.,-1.,0.)); #360367=DIRECTION('',(0.,-1.,0.)); #360368=DIRECTION('',(0.,0.,1.)); #360369=DIRECTION('center_axis',(0.,0.,1.)); #360370=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #360371=DIRECTION('center_axis',(0.,0.,1.)); #360372=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #360373=DIRECTION('center_axis',(0.,0.,1.)); #360374=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #360375=DIRECTION('',(0.,0.,1.)); #360376=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #360377=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #360378=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #360379=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #360380=DIRECTION('',(0.,0.,1.)); #360381=DIRECTION('center_axis',(-1.,0.,0.)); #360382=DIRECTION('ref_axis',(0.,-1.,0.)); #360383=DIRECTION('',(0.,-1.,0.)); #360384=DIRECTION('',(0.,-1.,0.)); #360385=DIRECTION('',(0.,0.,1.)); #360386=DIRECTION('center_axis',(0.,1.,0.)); #360387=DIRECTION('ref_axis',(-1.,0.,0.)); #360388=DIRECTION('',(-1.,0.,0.)); #360389=DIRECTION('',(-1.,0.,0.)); #360390=DIRECTION('',(0.,0.,1.)); #360391=DIRECTION('center_axis',(1.,0.,0.)); #360392=DIRECTION('ref_axis',(0.,1.,0.)); #360393=DIRECTION('',(0.,1.,0.)); #360394=DIRECTION('',(0.,1.,0.)); #360395=DIRECTION('',(0.,0.,1.)); #360396=DIRECTION('center_axis',(0.,-1.,0.)); #360397=DIRECTION('ref_axis',(1.,0.,0.)); #360398=DIRECTION('',(1.,0.,0.)); #360399=DIRECTION('',(1.,0.,0.)); #360400=DIRECTION('',(0.,0.,1.)); #360401=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #360402=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #360403=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #360404=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #360405=DIRECTION('',(0.,0.,1.)); #360406=DIRECTION('center_axis',(1.,0.,0.)); #360407=DIRECTION('ref_axis',(0.,1.,0.)); #360408=DIRECTION('',(0.,1.,0.)); #360409=DIRECTION('',(0.,1.,0.)); #360410=DIRECTION('',(0.,0.,1.)); #360411=DIRECTION('center_axis',(0.,0.,1.)); #360412=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #360413=DIRECTION('center_axis',(0.,0.,1.)); #360414=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #360415=DIRECTION('center_axis',(0.,0.,1.)); #360416=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #360417=DIRECTION('',(0.,0.,1.)); #360418=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #360419=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #360420=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #360421=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #360422=DIRECTION('',(0.,0.,1.)); #360423=DIRECTION('center_axis',(1.,0.,0.)); #360424=DIRECTION('ref_axis',(0.,1.,0.)); #360425=DIRECTION('',(0.,1.,0.)); #360426=DIRECTION('',(0.,1.,0.)); #360427=DIRECTION('',(0.,0.,1.)); #360428=DIRECTION('center_axis',(0.,1.,0.)); #360429=DIRECTION('ref_axis',(-1.,0.,0.)); #360430=DIRECTION('',(-1.,0.,0.)); #360431=DIRECTION('',(-1.,0.,0.)); #360432=DIRECTION('',(0.,0.,1.)); #360433=DIRECTION('center_axis',(1.,0.,0.)); #360434=DIRECTION('ref_axis',(0.,1.,0.)); #360435=DIRECTION('',(0.,1.,0.)); #360436=DIRECTION('',(0.,1.,0.)); #360437=DIRECTION('',(0.,0.,1.)); #360438=DIRECTION('center_axis',(0.707106781186546,0.707106781186549,0.)); #360439=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #360440=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #360441=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #360442=DIRECTION('',(0.,0.,1.)); #360443=DIRECTION('center_axis',(0.,0.,1.)); #360444=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #360445=DIRECTION('center_axis',(0.,0.,1.)); #360446=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #360447=DIRECTION('center_axis',(0.,0.,1.)); #360448=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #360449=DIRECTION('',(0.,0.,1.)); #360450=DIRECTION('center_axis',(1.,0.,0.)); #360451=DIRECTION('ref_axis',(0.,1.,0.)); #360452=DIRECTION('',(0.,1.,0.)); #360453=DIRECTION('',(0.,1.,0.)); #360454=DIRECTION('',(0.,0.,1.)); #360455=DIRECTION('center_axis',(0.,0.,1.)); #360456=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #360457=DIRECTION('center_axis',(0.,0.,1.)); #360458=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #360459=DIRECTION('center_axis',(0.,0.,1.)); #360460=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #360461=DIRECTION('',(0.,0.,1.)); #360462=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #360463=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #360464=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #360465=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #360466=DIRECTION('',(0.,0.,1.)); #360467=DIRECTION('center_axis',(1.,0.,0.)); #360468=DIRECTION('ref_axis',(0.,1.,0.)); #360469=DIRECTION('',(0.,1.,0.)); #360470=DIRECTION('',(0.,1.,0.)); #360471=DIRECTION('',(0.,0.,1.)); #360472=DIRECTION('center_axis',(0.,1.,0.)); #360473=DIRECTION('ref_axis',(-1.,0.,0.)); #360474=DIRECTION('',(-1.,0.,0.)); #360475=DIRECTION('',(-1.,0.,0.)); #360476=DIRECTION('center_axis',(0.,0.,1.)); #360477=DIRECTION('ref_axis',(1.,0.,0.)); #360478=DIRECTION('center_axis',(0.,0.,1.)); #360479=DIRECTION('ref_axis',(1.,0.,0.)); #360480=DIRECTION('center_axis',(1.,0.,0.)); #360481=DIRECTION('ref_axis',(0.,1.,0.)); #360482=DIRECTION('',(0.,1.,0.)); #360483=DIRECTION('',(0.,0.,1.)); #360484=DIRECTION('',(0.,1.,0.)); #360485=DIRECTION('',(0.,0.,1.)); #360486=DIRECTION('center_axis',(0.,-1.,0.)); #360487=DIRECTION('ref_axis',(1.,0.,0.)); #360488=DIRECTION('',(1.,0.,0.)); #360489=DIRECTION('',(1.,0.,0.)); #360490=DIRECTION('',(0.,0.,1.)); #360491=DIRECTION('center_axis',(-1.,0.,0.)); #360492=DIRECTION('ref_axis',(0.,-1.,0.)); #360493=DIRECTION('',(0.,-1.,0.)); #360494=DIRECTION('',(0.,-1.,0.)); #360495=DIRECTION('',(0.,0.,1.)); #360496=DIRECTION('center_axis',(0.,1.,0.)); #360497=DIRECTION('ref_axis',(-1.,0.,0.)); #360498=DIRECTION('',(-1.,0.,0.)); #360499=DIRECTION('',(-1.,0.,0.)); #360500=DIRECTION('',(0.,0.,1.)); #360501=DIRECTION('center_axis',(-1.,0.,0.)); #360502=DIRECTION('ref_axis',(0.,-1.,0.)); #360503=DIRECTION('',(0.,-1.,0.)); #360504=DIRECTION('',(0.,-1.,0.)); #360505=DIRECTION('',(0.,0.,1.)); #360506=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #360507=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #360508=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #360509=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #360510=DIRECTION('',(0.,0.,1.)); #360511=DIRECTION('center_axis',(0.,0.,1.)); #360512=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #360513=DIRECTION('center_axis',(0.,0.,1.)); #360514=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #360515=DIRECTION('center_axis',(0.,0.,1.)); #360516=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #360517=DIRECTION('',(0.,0.,1.)); #360518=DIRECTION('center_axis',(-1.,0.,0.)); #360519=DIRECTION('ref_axis',(0.,-1.,0.)); #360520=DIRECTION('',(0.,-1.,0.)); #360521=DIRECTION('',(0.,-1.,0.)); #360522=DIRECTION('',(0.,0.,1.)); #360523=DIRECTION('center_axis',(0.,0.,1.)); #360524=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #360525=DIRECTION('center_axis',(0.,0.,1.)); #360526=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #360527=DIRECTION('center_axis',(0.,0.,1.)); #360528=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #360529=DIRECTION('',(0.,0.,1.)); #360530=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,0.)); #360531=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.)); #360532=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #360533=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #360534=DIRECTION('',(0.,0.,1.)); #360535=DIRECTION('center_axis',(-1.,0.,0.)); #360536=DIRECTION('ref_axis',(0.,-1.,0.)); #360537=DIRECTION('',(0.,-1.,0.)); #360538=DIRECTION('',(0.,-1.,0.)); #360539=DIRECTION('',(0.,0.,1.)); #360540=DIRECTION('center_axis',(0.,1.,0.)); #360541=DIRECTION('ref_axis',(-1.,0.,0.)); #360542=DIRECTION('',(-1.,0.,0.)); #360543=DIRECTION('',(-1.,0.,0.)); #360544=DIRECTION('',(0.,0.,1.)); #360545=DIRECTION('center_axis',(-1.,0.,0.)); #360546=DIRECTION('ref_axis',(0.,-1.,0.)); #360547=DIRECTION('',(0.,-1.,0.)); #360548=DIRECTION('',(0.,-1.,0.)); #360549=DIRECTION('',(0.,0.,1.)); #360550=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #360551=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #360552=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #360553=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #360554=DIRECTION('',(0.,0.,1.)); #360555=DIRECTION('center_axis',(0.,0.,1.)); #360556=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192593E-6,0.)); #360557=DIRECTION('center_axis',(0.,0.,1.)); #360558=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192593E-6,0.)); #360559=DIRECTION('center_axis',(0.,0.,1.)); #360560=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192593E-6,0.)); #360561=DIRECTION('',(0.,0.,1.)); #360562=DIRECTION('center_axis',(-1.,0.,0.)); #360563=DIRECTION('ref_axis',(0.,-1.,0.)); #360564=DIRECTION('',(0.,-1.,0.)); #360565=DIRECTION('',(0.,-1.,0.)); #360566=DIRECTION('',(0.,0.,1.)); #360567=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #360568=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #360569=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #360570=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #360571=DIRECTION('',(0.,0.,1.)); #360572=DIRECTION('center_axis',(0.,-1.,0.)); #360573=DIRECTION('ref_axis',(1.,0.,0.)); #360574=DIRECTION('',(1.,0.,0.)); #360575=DIRECTION('',(1.,0.,0.)); #360576=DIRECTION('',(0.,0.,1.)); #360577=DIRECTION('center_axis',(-1.,0.,0.)); #360578=DIRECTION('ref_axis',(0.,-1.,0.)); #360579=DIRECTION('',(0.,-1.,0.)); #360580=DIRECTION('',(0.,-1.,0.)); #360581=DIRECTION('',(0.,0.,1.)); #360582=DIRECTION('center_axis',(0.,1.,0.)); #360583=DIRECTION('ref_axis',(-1.,0.,0.)); #360584=DIRECTION('',(-1.,0.,0.)); #360585=DIRECTION('',(-1.,0.,0.)); #360586=DIRECTION('',(0.,0.,1.)); #360587=DIRECTION('center_axis',(1.,0.,0.)); #360588=DIRECTION('ref_axis',(0.,1.,0.)); #360589=DIRECTION('',(0.,1.,0.)); #360590=DIRECTION('',(0.,1.,0.)); #360591=DIRECTION('',(0.,0.,1.)); #360592=DIRECTION('center_axis',(0.707106781186535,0.70710678118656,0.)); #360593=DIRECTION('ref_axis',(-0.70710678118656,0.707106781186535,0.)); #360594=DIRECTION('',(-0.70710678118656,0.707106781186535,0.)); #360595=DIRECTION('',(-0.70710678118656,0.707106781186535,0.)); #360596=DIRECTION('',(0.,0.,1.)); #360597=DIRECTION('center_axis',(0.,0.,1.)); #360598=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689267E-6,0.)); #360599=DIRECTION('center_axis',(0.,0.,1.)); #360600=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689267E-6,0.)); #360601=DIRECTION('center_axis',(0.,0.,1.)); #360602=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689267E-6,0.)); #360603=DIRECTION('',(0.,0.,1.)); #360604=DIRECTION('center_axis',(1.,0.,0.)); #360605=DIRECTION('ref_axis',(0.,1.,0.)); #360606=DIRECTION('',(0.,1.,0.)); #360607=DIRECTION('',(0.,1.,0.)); #360608=DIRECTION('',(0.,0.,1.)); #360609=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #360610=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #360611=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #360612=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #360613=DIRECTION('',(0.,0.,1.)); #360614=DIRECTION('center_axis',(0.,0.,1.)); #360615=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #360616=DIRECTION('center_axis',(0.,0.,1.)); #360617=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #360618=DIRECTION('center_axis',(0.,0.,1.)); #360619=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #360620=DIRECTION('',(0.,0.,1.)); #360621=DIRECTION('center_axis',(1.,0.,0.)); #360622=DIRECTION('ref_axis',(0.,1.,0.)); #360623=DIRECTION('',(0.,1.,0.)); #360624=DIRECTION('',(0.,1.,0.)); #360625=DIRECTION('',(0.,0.,1.)); #360626=DIRECTION('center_axis',(0.,1.,0.)); #360627=DIRECTION('ref_axis',(-1.,0.,0.)); #360628=DIRECTION('',(-1.,0.,0.)); #360629=DIRECTION('',(-1.,0.,0.)); #360630=DIRECTION('',(0.,0.,1.)); #360631=DIRECTION('center_axis',(1.,0.,0.)); #360632=DIRECTION('ref_axis',(0.,1.,0.)); #360633=DIRECTION('',(0.,1.,0.)); #360634=DIRECTION('',(0.,1.,0.)); #360635=DIRECTION('',(0.,0.,1.)); #360636=DIRECTION('center_axis',(0.,-1.,0.)); #360637=DIRECTION('ref_axis',(1.,0.,0.)); #360638=DIRECTION('',(1.,0.,0.)); #360639=DIRECTION('',(1.,0.,0.)); #360640=DIRECTION('',(0.,0.,1.)); #360641=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #360642=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #360643=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #360644=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #360645=DIRECTION('',(0.,0.,1.)); #360646=DIRECTION('center_axis',(1.,0.,0.)); #360647=DIRECTION('ref_axis',(0.,1.,0.)); #360648=DIRECTION('',(0.,1.,0.)); #360649=DIRECTION('',(0.,1.,0.)); #360650=DIRECTION('',(0.,0.,1.)); #360651=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #360652=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #360653=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #360654=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #360655=DIRECTION('',(0.,0.,1.)); #360656=DIRECTION('center_axis',(0.,0.,1.)); #360657=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #360658=DIRECTION('center_axis',(0.,0.,1.)); #360659=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #360660=DIRECTION('center_axis',(0.,0.,1.)); #360661=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #360662=DIRECTION('',(0.,0.,1.)); #360663=DIRECTION('center_axis',(1.,0.,0.)); #360664=DIRECTION('ref_axis',(0.,1.,0.)); #360665=DIRECTION('',(0.,1.,0.)); #360666=DIRECTION('',(0.,1.,0.)); #360667=DIRECTION('',(0.,0.,1.)); #360668=DIRECTION('center_axis',(0.,1.,0.)); #360669=DIRECTION('ref_axis',(-1.,0.,0.)); #360670=DIRECTION('',(-1.,0.,0.)); #360671=DIRECTION('',(-1.,0.,0.)); #360672=DIRECTION('center_axis',(0.,0.,1.)); #360673=DIRECTION('ref_axis',(1.,0.,0.)); #360674=DIRECTION('center_axis',(0.,0.,1.)); #360675=DIRECTION('ref_axis',(1.,0.,0.)); #360676=DIRECTION('center_axis',(0.,0.,1.)); #360677=DIRECTION('ref_axis',(1.,0.,0.)); #360678=DIRECTION('center_axis',(0.,0.,1.)); #360679=DIRECTION('ref_axis',(1.,0.,0.)); #360680=DIRECTION('',(0.,0.,1.)); #360681=DIRECTION('center_axis',(0.,0.,-1.)); #360682=DIRECTION('ref_axis',(1.,0.,0.)); #360683=DIRECTION('center_axis',(0.156445508580651,-0.98768659140688,0.)); #360684=DIRECTION('ref_axis',(0.98768659140688,0.156445508580651,0.)); #360685=DIRECTION('',(0.98768659140688,0.156445508580651,0.)); #360686=DIRECTION('',(0.,0.,1.)); #360687=DIRECTION('',(0.98768659140688,0.156445508580651,0.)); #360688=DIRECTION('',(0.,0.,1.)); #360689=DIRECTION('center_axis',(0.,0.,1.)); #360690=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #360691=DIRECTION('center_axis',(0.,0.,1.)); #360692=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #360693=DIRECTION('center_axis',(0.,0.,1.)); #360694=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #360695=DIRECTION('',(0.,0.,1.)); #360696=DIRECTION('center_axis',(-1.,0.,0.)); #360697=DIRECTION('ref_axis',(0.,-1.,0.)); #360698=DIRECTION('',(0.,-1.,0.)); #360699=DIRECTION('',(0.,-1.,0.)); #360700=DIRECTION('',(0.,0.,1.)); #360701=DIRECTION('center_axis',(-0.707106947327658,-0.707106615045398, 0.)); #360702=DIRECTION('ref_axis',(0.707106615045398,-0.707106947327658,0.)); #360703=DIRECTION('',(0.707106615045398,-0.707106947327658,0.)); #360704=DIRECTION('',(0.707106615045398,-0.707106947327658,0.)); #360705=DIRECTION('',(0.,0.,1.)); #360706=DIRECTION('center_axis',(0.,0.,1.)); #360707=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #360708=DIRECTION('center_axis',(0.,0.,1.)); #360709=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #360710=DIRECTION('center_axis',(0.,0.,1.)); #360711=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #360712=DIRECTION('',(0.,0.,1.)); #360713=DIRECTION('center_axis',(-1.,0.,0.)); #360714=DIRECTION('ref_axis',(0.,-1.,0.)); #360715=DIRECTION('',(0.,-1.,0.)); #360716=DIRECTION('',(0.,-1.,0.)); #360717=DIRECTION('',(0.,0.,1.)); #360718=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #360719=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #360720=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #360721=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #360722=DIRECTION('',(0.,0.,1.)); #360723=DIRECTION('center_axis',(0.,0.,1.)); #360724=DIRECTION('ref_axis',(-0.999999999982576,5.90313866444152E-6,0.)); #360725=DIRECTION('center_axis',(0.,0.,1.)); #360726=DIRECTION('ref_axis',(-0.999999999982576,5.90313866444152E-6,0.)); #360727=DIRECTION('center_axis',(0.,0.,1.)); #360728=DIRECTION('ref_axis',(-0.999999999982576,5.90313866444152E-6,0.)); #360729=DIRECTION('',(0.,0.,1.)); #360730=DIRECTION('center_axis',(-1.,0.,0.)); #360731=DIRECTION('ref_axis',(0.,-1.,0.)); #360732=DIRECTION('',(0.,-1.,0.)); #360733=DIRECTION('',(0.,-1.,0.)); #360734=DIRECTION('',(0.,0.,1.)); #360735=DIRECTION('center_axis',(0.,-1.,0.)); #360736=DIRECTION('ref_axis',(1.,0.,0.)); #360737=DIRECTION('',(1.,0.,0.)); #360738=DIRECTION('',(1.,0.,0.)); #360739=DIRECTION('',(0.,0.,1.)); #360740=DIRECTION('center_axis',(-1.,0.,0.)); #360741=DIRECTION('ref_axis',(0.,-1.,0.)); #360742=DIRECTION('',(0.,-1.,0.)); #360743=DIRECTION('',(0.,-1.,0.)); #360744=DIRECTION('',(0.,0.,1.)); #360745=DIRECTION('center_axis',(0.,1.,0.)); #360746=DIRECTION('ref_axis',(-1.,0.,0.)); #360747=DIRECTION('',(-1.,0.,0.)); #360748=DIRECTION('',(-1.,0.,0.)); #360749=DIRECTION('',(0.,0.,1.)); #360750=DIRECTION('center_axis',(1.,0.,0.)); #360751=DIRECTION('ref_axis',(0.,1.,0.)); #360752=DIRECTION('',(0.,1.,0.)); #360753=DIRECTION('',(0.,1.,0.)); #360754=DIRECTION('',(0.,0.,1.)); #360755=DIRECTION('center_axis',(0.,-1.,0.)); #360756=DIRECTION('ref_axis',(1.,0.,0.)); #360757=DIRECTION('',(1.,0.,0.)); #360758=DIRECTION('',(1.,0.,0.)); #360759=DIRECTION('',(0.,0.,1.)); #360760=DIRECTION('center_axis',(1.,0.,0.)); #360761=DIRECTION('ref_axis',(0.,1.,0.)); #360762=DIRECTION('',(0.,1.,0.)); #360763=DIRECTION('',(0.,1.,0.)); #360764=DIRECTION('',(0.,0.,1.)); #360765=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #360766=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #360767=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #360768=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #360769=DIRECTION('',(0.,0.,1.)); #360770=DIRECTION('center_axis',(0.,0.,1.)); #360771=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #360772=DIRECTION('center_axis',(0.,0.,1.)); #360773=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #360774=DIRECTION('center_axis',(0.,0.,1.)); #360775=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #360776=DIRECTION('',(0.,0.,1.)); #360777=DIRECTION('center_axis',(1.,0.,0.)); #360778=DIRECTION('ref_axis',(0.,1.,0.)); #360779=DIRECTION('',(0.,1.,0.)); #360780=DIRECTION('',(0.,1.,0.)); #360781=DIRECTION('',(0.,0.,1.)); #360782=DIRECTION('center_axis',(0.707107113468654,0.707106448904285,0.)); #360783=DIRECTION('ref_axis',(-0.707106448904285,0.707107113468654,0.)); #360784=DIRECTION('',(-0.707106448904285,0.707107113468654,0.)); #360785=DIRECTION('',(-0.707106448904285,0.707107113468654,0.)); #360786=DIRECTION('',(0.,0.,1.)); #360787=DIRECTION('center_axis',(0.,0.,1.)); #360788=DIRECTION('ref_axis',(0.999999999980175,-6.29683249865397E-6,0.)); #360789=DIRECTION('center_axis',(0.,0.,1.)); #360790=DIRECTION('ref_axis',(0.999999999980175,-6.29683249865397E-6,0.)); #360791=DIRECTION('center_axis',(0.,0.,1.)); #360792=DIRECTION('ref_axis',(0.999999999980175,-6.29683249865397E-6,0.)); #360793=DIRECTION('',(0.,0.,1.)); #360794=DIRECTION('center_axis',(1.,0.,0.)); #360795=DIRECTION('ref_axis',(0.,1.,0.)); #360796=DIRECTION('',(0.,1.,0.)); #360797=DIRECTION('',(0.,1.,0.)); #360798=DIRECTION('',(0.,0.,1.)); #360799=DIRECTION('center_axis',(0.,0.,1.)); #360800=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #360801=DIRECTION('center_axis',(0.,0.,1.)); #360802=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #360803=DIRECTION('center_axis',(0.,0.,1.)); #360804=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #360805=DIRECTION('center_axis',(0.,0.,1.)); #360806=DIRECTION('ref_axis',(1.,0.,0.)); #360807=DIRECTION('center_axis',(0.,0.,1.)); #360808=DIRECTION('ref_axis',(1.,0.,0.)); #360809=DIRECTION('center_axis',(0.,0.,1.)); #360810=DIRECTION('ref_axis',(1.,0.,0.)); #360811=DIRECTION('center_axis',(0.,0.,1.)); #360812=DIRECTION('ref_axis',(1.,0.,0.)); #360813=DIRECTION('',(0.,0.,1.)); #360814=DIRECTION('center_axis',(0.,0.,-1.)); #360815=DIRECTION('ref_axis',(1.,0.,0.)); #360816=DIRECTION('center_axis',(0.156445508580676,-0.987686591406876,0.)); #360817=DIRECTION('ref_axis',(0.987686591406876,0.156445508580676,0.)); #360818=DIRECTION('',(0.987686591406876,0.156445508580676,0.)); #360819=DIRECTION('',(0.,0.,1.)); #360820=DIRECTION('',(0.987686591406876,0.156445508580676,0.)); #360821=DIRECTION('',(0.,0.,1.)); #360822=DIRECTION('center_axis',(0.,0.,1.)); #360823=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #360824=DIRECTION('center_axis',(0.,0.,1.)); #360825=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #360826=DIRECTION('center_axis',(0.,0.,1.)); #360827=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #360828=DIRECTION('',(0.,0.,1.)); #360829=DIRECTION('center_axis',(-1.,0.,0.)); #360830=DIRECTION('ref_axis',(0.,-1.,0.)); #360831=DIRECTION('',(0.,-1.,0.)); #360832=DIRECTION('',(0.,-1.,0.)); #360833=DIRECTION('',(0.,0.,1.)); #360834=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546, 0.)); #360835=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #360836=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #360837=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #360838=DIRECTION('',(0.,0.,1.)); #360839=DIRECTION('center_axis',(0.,0.,1.)); #360840=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #360841=DIRECTION('center_axis',(0.,0.,1.)); #360842=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #360843=DIRECTION('center_axis',(0.,0.,1.)); #360844=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #360845=DIRECTION('',(0.,0.,1.)); #360846=DIRECTION('center_axis',(-1.,0.,0.)); #360847=DIRECTION('ref_axis',(0.,-1.,0.)); #360848=DIRECTION('',(0.,-1.,0.)); #360849=DIRECTION('',(0.,-1.,0.)); #360850=DIRECTION('',(0.,0.,1.)); #360851=DIRECTION('center_axis',(0.,-1.,0.)); #360852=DIRECTION('ref_axis',(1.,0.,0.)); #360853=DIRECTION('',(1.,0.,0.)); #360854=DIRECTION('',(1.,0.,0.)); #360855=DIRECTION('',(0.,0.,1.)); #360856=DIRECTION('center_axis',(-1.,0.,0.)); #360857=DIRECTION('ref_axis',(0.,-1.,0.)); #360858=DIRECTION('',(0.,-1.,0.)); #360859=DIRECTION('',(0.,-1.,0.)); #360860=DIRECTION('',(0.,0.,1.)); #360861=DIRECTION('center_axis',(0.,1.,0.)); #360862=DIRECTION('ref_axis',(-1.,0.,0.)); #360863=DIRECTION('',(-1.,0.,0.)); #360864=DIRECTION('',(-1.,0.,0.)); #360865=DIRECTION('',(0.,0.,1.)); #360866=DIRECTION('center_axis',(1.,0.,0.)); #360867=DIRECTION('ref_axis',(0.,1.,0.)); #360868=DIRECTION('',(0.,1.,0.)); #360869=DIRECTION('',(0.,1.,0.)); #360870=DIRECTION('',(0.,0.,1.)); #360871=DIRECTION('center_axis',(0.,-1.,0.)); #360872=DIRECTION('ref_axis',(1.,0.,0.)); #360873=DIRECTION('',(1.,0.,0.)); #360874=DIRECTION('',(1.,0.,0.)); #360875=DIRECTION('',(0.,0.,1.)); #360876=DIRECTION('center_axis',(1.,0.,0.)); #360877=DIRECTION('ref_axis',(0.,1.,0.)); #360878=DIRECTION('',(0.,1.,0.)); #360879=DIRECTION('',(0.,1.,0.)); #360880=DIRECTION('',(0.,0.,1.)); #360881=DIRECTION('center_axis',(0.707106781186546,0.707106781186549,0.)); #360882=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #360883=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #360884=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #360885=DIRECTION('',(0.,0.,1.)); #360886=DIRECTION('center_axis',(0.,0.,1.)); #360887=DIRECTION('ref_axis',(0.999999999982576,-5.90313866444152E-6,0.)); #360888=DIRECTION('center_axis',(0.,0.,1.)); #360889=DIRECTION('ref_axis',(0.999999999982576,-5.90313866444152E-6,0.)); #360890=DIRECTION('center_axis',(0.,0.,1.)); #360891=DIRECTION('ref_axis',(0.999999999982576,-5.90313866444152E-6,0.)); #360892=DIRECTION('',(0.,0.,1.)); #360893=DIRECTION('center_axis',(1.,0.,0.)); #360894=DIRECTION('ref_axis',(0.,1.,0.)); #360895=DIRECTION('',(0.,1.,0.)); #360896=DIRECTION('',(0.,1.,0.)); #360897=DIRECTION('',(0.,0.,1.)); #360898=DIRECTION('center_axis',(0.,0.,1.)); #360899=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #360900=DIRECTION('center_axis',(0.,0.,1.)); #360901=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #360902=DIRECTION('center_axis',(0.,0.,1.)); #360903=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #360904=DIRECTION('center_axis',(0.,0.,1.)); #360905=DIRECTION('ref_axis',(1.,0.,0.)); #360906=DIRECTION('center_axis',(0.,0.,1.)); #360907=DIRECTION('ref_axis',(1.,0.,0.)); #360908=DIRECTION('center_axis',(0.,0.,1.)); #360909=DIRECTION('ref_axis',(1.,0.,0.)); #360910=DIRECTION('center_axis',(0.,0.,1.)); #360911=DIRECTION('ref_axis',(1.,0.,0.)); #360912=DIRECTION('',(0.,0.,1.)); #360913=DIRECTION('center_axis',(0.,0.,-1.)); #360914=DIRECTION('ref_axis',(1.,0.,0.)); #360915=DIRECTION('center_axis',(0.156447762252348,-0.987686234431883,0.)); #360916=DIRECTION('ref_axis',(0.987686234431883,0.156447762252348,0.)); #360917=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #360918=DIRECTION('',(0.,0.,1.)); #360919=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #360920=DIRECTION('',(0.,0.,1.)); #360921=DIRECTION('center_axis',(0.,0.,1.)); #360922=DIRECTION('ref_axis',(-0.461527865934839,0.887125712041779,0.)); #360923=DIRECTION('center_axis',(0.,0.,1.)); #360924=DIRECTION('ref_axis',(-0.461527865934839,0.887125712041779,0.)); #360925=DIRECTION('center_axis',(0.,0.,1.)); #360926=DIRECTION('ref_axis',(-0.461527865934839,0.887125712041779,0.)); #360927=DIRECTION('',(0.,0.,1.)); #360928=DIRECTION('center_axis',(-1.,0.,0.)); #360929=DIRECTION('ref_axis',(0.,-1.,0.)); #360930=DIRECTION('',(0.,-1.,0.)); #360931=DIRECTION('',(0.,-1.,0.)); #360932=DIRECTION('',(0.,0.,1.)); #360933=DIRECTION('center_axis',(-0.707106781186552,-0.707106781186543, 0.)); #360934=DIRECTION('ref_axis',(0.707106781186543,-0.707106781186552,0.)); #360935=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #360936=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #360937=DIRECTION('',(0.,0.,1.)); #360938=DIRECTION('center_axis',(0.,-1.,0.)); #360939=DIRECTION('ref_axis',(1.,0.,0.)); #360940=DIRECTION('',(1.,0.,0.)); #360941=DIRECTION('',(1.,0.,0.)); #360942=DIRECTION('',(0.,0.,1.)); #360943=DIRECTION('center_axis',(-1.,0.,0.)); #360944=DIRECTION('ref_axis',(0.,-1.,0.)); #360945=DIRECTION('',(0.,-1.,0.)); #360946=DIRECTION('',(0.,-1.,0.)); #360947=DIRECTION('',(0.,0.,1.)); #360948=DIRECTION('center_axis',(0.,1.,0.)); #360949=DIRECTION('ref_axis',(-1.,0.,0.)); #360950=DIRECTION('',(-1.,0.,0.)); #360951=DIRECTION('',(-1.,0.,0.)); #360952=DIRECTION('',(0.,0.,1.)); #360953=DIRECTION('center_axis',(1.,0.,0.)); #360954=DIRECTION('ref_axis',(0.,1.,0.)); #360955=DIRECTION('',(0.,1.,0.)); #360956=DIRECTION('',(0.,1.,0.)); #360957=DIRECTION('',(0.,0.,1.)); #360958=DIRECTION('center_axis',(0.70710678118654,0.707106781186555,0.)); #360959=DIRECTION('ref_axis',(-0.707106781186555,0.70710678118654,0.)); #360960=DIRECTION('',(-0.707106781186555,0.70710678118654,0.)); #360961=DIRECTION('',(-0.707106781186555,0.70710678118654,0.)); #360962=DIRECTION('',(0.,0.,1.)); #360963=DIRECTION('center_axis',(0.,0.,1.)); #360964=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #360965=DIRECTION('center_axis',(0.,0.,1.)); #360966=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #360967=DIRECTION('center_axis',(0.,0.,1.)); #360968=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #360969=DIRECTION('',(0.,0.,1.)); #360970=DIRECTION('center_axis',(1.,0.,0.)); #360971=DIRECTION('ref_axis',(0.,1.,0.)); #360972=DIRECTION('',(0.,1.,0.)); #360973=DIRECTION('',(0.,1.,0.)); #360974=DIRECTION('',(0.,0.,1.)); #360975=DIRECTION('center_axis',(0.,0.,1.)); #360976=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #360977=DIRECTION('center_axis',(0.,0.,1.)); #360978=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #360979=DIRECTION('center_axis',(0.,0.,1.)); #360980=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #360981=DIRECTION('center_axis',(0.,0.,1.)); #360982=DIRECTION('ref_axis',(1.,0.,0.)); #360983=DIRECTION('center_axis',(0.,0.,1.)); #360984=DIRECTION('ref_axis',(1.,0.,0.)); #360985=DIRECTION('center_axis',(0.,0.,1.)); #360986=DIRECTION('ref_axis',(1.,0.,0.)); #360987=DIRECTION('center_axis',(0.,0.,1.)); #360988=DIRECTION('ref_axis',(1.,0.,0.)); #360989=DIRECTION('',(0.,0.,1.)); #360990=DIRECTION('center_axis',(0.,0.,-1.)); #360991=DIRECTION('ref_axis',(1.,0.,0.)); #360992=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #360993=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #360994=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #360995=DIRECTION('',(0.,0.,1.)); #360996=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #360997=DIRECTION('',(0.,0.,1.)); #360998=DIRECTION('center_axis',(0.,0.,1.)); #360999=DIRECTION('ref_axis',(-0.953425737718333,0.30162785457622,0.)); #361000=DIRECTION('center_axis',(0.,0.,1.)); #361001=DIRECTION('ref_axis',(-0.953425737718333,0.30162785457622,0.)); #361002=DIRECTION('center_axis',(0.,0.,1.)); #361003=DIRECTION('ref_axis',(-0.953425737718333,0.30162785457622,0.)); #361004=DIRECTION('',(0.,0.,1.)); #361005=DIRECTION('center_axis',(-0.707106781186544,-0.707106781186551, 0.)); #361006=DIRECTION('ref_axis',(0.707106781186551,-0.707106781186544,0.)); #361007=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #361008=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #361009=DIRECTION('',(0.,0.,1.)); #361010=DIRECTION('center_axis',(0.,0.,1.)); #361011=DIRECTION('ref_axis',(-0.999999999982576,5.90313869940909E-6,0.)); #361012=DIRECTION('center_axis',(0.,0.,1.)); #361013=DIRECTION('ref_axis',(-0.999999999982576,5.90313869940909E-6,0.)); #361014=DIRECTION('center_axis',(0.,0.,1.)); #361015=DIRECTION('ref_axis',(-0.999999999982576,5.90313869940909E-6,0.)); #361016=DIRECTION('',(0.,0.,1.)); #361017=DIRECTION('center_axis',(-1.,0.,0.)); #361018=DIRECTION('ref_axis',(0.,-1.,0.)); #361019=DIRECTION('',(0.,-1.,0.)); #361020=DIRECTION('',(0.,-1.,0.)); #361021=DIRECTION('',(0.,0.,1.)); #361022=DIRECTION('center_axis',(0.,-1.,0.)); #361023=DIRECTION('ref_axis',(1.,0.,0.)); #361024=DIRECTION('',(1.,0.,0.)); #361025=DIRECTION('',(1.,0.,0.)); #361026=DIRECTION('',(0.,0.,1.)); #361027=DIRECTION('center_axis',(-1.,0.,0.)); #361028=DIRECTION('ref_axis',(0.,-1.,0.)); #361029=DIRECTION('',(0.,-1.,0.)); #361030=DIRECTION('',(0.,-1.,0.)); #361031=DIRECTION('',(0.,0.,1.)); #361032=DIRECTION('center_axis',(0.,1.,0.)); #361033=DIRECTION('ref_axis',(-1.,0.,0.)); #361034=DIRECTION('',(-1.,0.,0.)); #361035=DIRECTION('',(-1.,0.,0.)); #361036=DIRECTION('',(0.,0.,1.)); #361037=DIRECTION('center_axis',(1.,0.,0.)); #361038=DIRECTION('ref_axis',(0.,1.,0.)); #361039=DIRECTION('',(0.,1.,0.)); #361040=DIRECTION('',(0.,1.,0.)); #361041=DIRECTION('',(0.,0.,1.)); #361042=DIRECTION('center_axis',(0.,-1.,0.)); #361043=DIRECTION('ref_axis',(1.,0.,0.)); #361044=DIRECTION('',(1.,0.,0.)); #361045=DIRECTION('',(1.,0.,0.)); #361046=DIRECTION('',(0.,0.,1.)); #361047=DIRECTION('center_axis',(1.,0.,0.)); #361048=DIRECTION('ref_axis',(0.,1.,0.)); #361049=DIRECTION('',(0.,1.,0.)); #361050=DIRECTION('',(0.,1.,0.)); #361051=DIRECTION('',(0.,0.,1.)); #361052=DIRECTION('center_axis',(0.707106041253763,0.707107521118558,0.)); #361053=DIRECTION('ref_axis',(-0.707107521118558,0.707106041253763,0.)); #361054=DIRECTION('',(-0.707107521118558,0.707106041253763,0.)); #361055=DIRECTION('',(-0.707107521118558,0.707106041253763,0.)); #361056=DIRECTION('',(0.,0.,1.)); #361057=DIRECTION('center_axis',(-0.308977861954611,0.951069230299223,0.)); #361058=DIRECTION('ref_axis',(-0.951069230299223,-0.308977861954611,0.)); #361059=DIRECTION('',(-0.951069230299223,-0.308977861954611,0.)); #361060=DIRECTION('',(-0.951069230299223,-0.308977861954611,0.)); #361061=DIRECTION('',(0.,0.,1.)); #361062=DIRECTION('center_axis',(0.,0.,1.)); #361063=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #361064=DIRECTION('center_axis',(0.,0.,1.)); #361065=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #361066=DIRECTION('center_axis',(0.,0.,1.)); #361067=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #361068=DIRECTION('center_axis',(0.,0.,1.)); #361069=DIRECTION('ref_axis',(1.,0.,0.)); #361070=DIRECTION('center_axis',(0.,0.,1.)); #361071=DIRECTION('ref_axis',(1.,0.,0.)); #361072=DIRECTION('center_axis',(0.,0.,1.)); #361073=DIRECTION('ref_axis',(1.,0.,0.)); #361074=DIRECTION('center_axis',(0.,0.,1.)); #361075=DIRECTION('ref_axis',(1.,0.,0.)); #361076=DIRECTION('',(0.,0.,1.)); #361077=DIRECTION('center_axis',(0.,0.,-1.)); #361078=DIRECTION('ref_axis',(1.,0.,0.)); #361079=DIRECTION('center_axis',(0.,0.,1.)); #361080=DIRECTION('ref_axis',(1.,0.,0.)); #361081=DIRECTION('center_axis',(0.,0.,1.)); #361082=DIRECTION('ref_axis',(1.,0.,0.)); #361083=DIRECTION('',(0.,0.,1.)); #361084=DIRECTION('center_axis',(0.,0.,-1.)); #361085=DIRECTION('ref_axis',(1.,0.,0.)); #361086=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #361087=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #361088=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #361089=DIRECTION('',(0.,0.,1.)); #361090=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #361091=DIRECTION('',(0.,0.,1.)); #361092=DIRECTION('center_axis',(0.,0.,1.)); #361093=DIRECTION('ref_axis',(-0.382679799465882,-0.923881037299041,0.)); #361094=DIRECTION('center_axis',(0.,0.,1.)); #361095=DIRECTION('ref_axis',(-0.382679799465882,-0.923881037299041,0.)); #361096=DIRECTION('center_axis',(0.,0.,1.)); #361097=DIRECTION('ref_axis',(-0.382679799465882,-0.923881037299041,0.)); #361098=DIRECTION('',(0.,0.,1.)); #361099=DIRECTION('center_axis',(0.,0.,1.)); #361100=DIRECTION('ref_axis',(0.300709399253546,0.953715815744172,0.)); #361101=DIRECTION('center_axis',(0.,0.,1.)); #361102=DIRECTION('ref_axis',(0.300709399253546,0.953715815744172,0.)); #361103=DIRECTION('center_axis',(0.,0.,1.)); #361104=DIRECTION('ref_axis',(0.300709399253546,0.953715815744172,0.)); #361105=DIRECTION('',(0.,0.,1.)); #361106=DIRECTION('center_axis',(-0.707106390225302,0.707107172147577,0.)); #361107=DIRECTION('ref_axis',(-0.707107172147577,-0.707106390225302,0.)); #361108=DIRECTION('',(-0.707107172147577,-0.707106390225302,0.)); #361109=DIRECTION('',(-0.707107172147577,-0.707106390225302,0.)); #361110=DIRECTION('',(0.,0.,1.)); #361111=DIRECTION('center_axis',(0.,0.,1.)); #361112=DIRECTION('ref_axis',(0.382041212939084,0.924145287071267,0.)); #361113=DIRECTION('center_axis',(0.,0.,1.)); #361114=DIRECTION('ref_axis',(0.382041212939084,0.924145287071267,0.)); #361115=DIRECTION('center_axis',(0.,0.,1.)); #361116=DIRECTION('ref_axis',(0.382041212939084,0.924145287071267,0.)); #361117=DIRECTION('',(0.,0.,1.)); #361118=DIRECTION('center_axis',(0.,0.,1.)); #361119=DIRECTION('ref_axis',(-0.308674711171629,-0.951167662761467,0.)); #361120=DIRECTION('center_axis',(0.,0.,1.)); #361121=DIRECTION('ref_axis',(-0.308674711171629,-0.951167662761467,0.)); #361122=DIRECTION('center_axis',(0.,0.,1.)); #361123=DIRECTION('ref_axis',(-0.308674711171629,-0.951167662761467,0.)); #361124=DIRECTION('',(0.,0.,1.)); #361125=DIRECTION('center_axis',(0.707106781186545,-0.70710678118655,0.)); #361126=DIRECTION('ref_axis',(0.70710678118655,0.707106781186545,0.)); #361127=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #361128=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #361129=DIRECTION('',(0.,0.,1.)); #361130=DIRECTION('center_axis',(0.,0.,1.)); #361131=DIRECTION('ref_axis',(0.234831124216084,-0.972036184048418,0.)); #361132=DIRECTION('center_axis',(0.,0.,1.)); #361133=DIRECTION('ref_axis',(0.234831124216084,-0.972036184048418,0.)); #361134=DIRECTION('center_axis',(0.,0.,1.)); #361135=DIRECTION('ref_axis',(0.234831124216084,-0.972036184048418,0.)); #361136=DIRECTION('center_axis',(0.,0.,1.)); #361137=DIRECTION('ref_axis',(1.,0.,0.)); #361138=DIRECTION('center_axis',(0.,0.,1.)); #361139=DIRECTION('ref_axis',(1.,0.,0.)); #361140=DIRECTION('center_axis',(0.,0.,1.)); #361141=DIRECTION('ref_axis',(1.,0.,0.)); #361142=DIRECTION('center_axis',(0.,0.,1.)); #361143=DIRECTION('ref_axis',(1.,0.,0.)); #361144=DIRECTION('',(0.,0.,1.)); #361145=DIRECTION('center_axis',(0.,0.,-1.)); #361146=DIRECTION('ref_axis',(1.,0.,0.)); #361147=DIRECTION('center_axis',(0.156445508580676,-0.987686591406876,0.)); #361148=DIRECTION('ref_axis',(0.987686591406876,0.156445508580676,0.)); #361149=DIRECTION('',(0.987686591406876,0.156445508580676,0.)); #361150=DIRECTION('',(0.,0.,1.)); #361151=DIRECTION('',(0.987686591406876,0.156445508580676,0.)); #361152=DIRECTION('',(0.,0.,1.)); #361153=DIRECTION('center_axis',(0.,0.,1.)); #361154=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #361155=DIRECTION('center_axis',(0.,0.,1.)); #361156=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #361157=DIRECTION('center_axis',(0.,0.,1.)); #361158=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #361159=DIRECTION('',(0.,0.,1.)); #361160=DIRECTION('center_axis',(-1.,0.,0.)); #361161=DIRECTION('ref_axis',(0.,-1.,0.)); #361162=DIRECTION('',(0.,-1.,0.)); #361163=DIRECTION('',(0.,-1.,0.)); #361164=DIRECTION('',(0.,0.,1.)); #361165=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #361166=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #361167=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #361168=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #361169=DIRECTION('',(0.,0.,1.)); #361170=DIRECTION('center_axis',(0.,0.,1.)); #361171=DIRECTION('ref_axis',(-0.999999999951587,9.84004341648154E-6,0.)); #361172=DIRECTION('center_axis',(0.,0.,1.)); #361173=DIRECTION('ref_axis',(-0.999999999951587,9.84004341648154E-6,0.)); #361174=DIRECTION('center_axis',(0.,0.,1.)); #361175=DIRECTION('ref_axis',(-0.999999999951587,9.84004341648154E-6,0.)); #361176=DIRECTION('',(0.,0.,1.)); #361177=DIRECTION('center_axis',(-1.,0.,0.)); #361178=DIRECTION('ref_axis',(0.,-1.,0.)); #361179=DIRECTION('',(0.,-1.,0.)); #361180=DIRECTION('',(0.,-1.,0.)); #361181=DIRECTION('',(0.,0.,1.)); #361182=DIRECTION('center_axis',(0.,-1.,0.)); #361183=DIRECTION('ref_axis',(1.,0.,0.)); #361184=DIRECTION('',(1.,0.,0.)); #361185=DIRECTION('',(1.,0.,0.)); #361186=DIRECTION('',(0.,0.,1.)); #361187=DIRECTION('center_axis',(-1.,0.,0.)); #361188=DIRECTION('ref_axis',(0.,-1.,0.)); #361189=DIRECTION('',(0.,-1.,0.)); #361190=DIRECTION('',(0.,-1.,0.)); #361191=DIRECTION('',(0.,0.,1.)); #361192=DIRECTION('center_axis',(0.,1.,0.)); #361193=DIRECTION('ref_axis',(-1.,0.,0.)); #361194=DIRECTION('',(-1.,0.,0.)); #361195=DIRECTION('',(-1.,0.,0.)); #361196=DIRECTION('',(0.,0.,1.)); #361197=DIRECTION('center_axis',(1.,0.,0.)); #361198=DIRECTION('ref_axis',(0.,1.,0.)); #361199=DIRECTION('',(0.,1.,0.)); #361200=DIRECTION('',(0.,1.,0.)); #361201=DIRECTION('',(0.,0.,1.)); #361202=DIRECTION('center_axis',(0.,-1.,0.)); #361203=DIRECTION('ref_axis',(1.,0.,0.)); #361204=DIRECTION('',(1.,0.,0.)); #361205=DIRECTION('',(1.,0.,0.)); #361206=DIRECTION('',(0.,0.,1.)); #361207=DIRECTION('center_axis',(1.,0.,0.)); #361208=DIRECTION('ref_axis',(0.,1.,0.)); #361209=DIRECTION('',(0.,1.,0.)); #361210=DIRECTION('',(0.,1.,0.)); #361211=DIRECTION('',(0.,0.,1.)); #361212=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #361213=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #361214=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #361215=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #361216=DIRECTION('',(0.,0.,1.)); #361217=DIRECTION('center_axis',(0.,0.,1.)); #361218=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #361219=DIRECTION('center_axis',(0.,0.,1.)); #361220=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #361221=DIRECTION('center_axis',(0.,0.,1.)); #361222=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #361223=DIRECTION('',(0.,0.,1.)); #361224=DIRECTION('center_axis',(1.,0.,0.)); #361225=DIRECTION('ref_axis',(0.,1.,0.)); #361226=DIRECTION('',(0.,1.,0.)); #361227=DIRECTION('',(0.,1.,0.)); #361228=DIRECTION('',(0.,0.,1.)); #361229=DIRECTION('center_axis',(0.,0.,1.)); #361230=DIRECTION('ref_axis',(0.234004167193525,-0.972235593740563,0.)); #361231=DIRECTION('center_axis',(0.,0.,1.)); #361232=DIRECTION('ref_axis',(0.234004167193525,-0.972235593740563,0.)); #361233=DIRECTION('center_axis',(0.,0.,1.)); #361234=DIRECTION('ref_axis',(0.234004167193525,-0.972235593740563,0.)); #361235=DIRECTION('center_axis',(0.,0.,1.)); #361236=DIRECTION('ref_axis',(1.,0.,0.)); #361237=DIRECTION('center_axis',(0.,0.,1.)); #361238=DIRECTION('ref_axis',(1.,0.,0.)); #361239=DIRECTION('center_axis',(0.,0.,1.)); #361240=DIRECTION('ref_axis',(1.,0.,0.)); #361241=DIRECTION('center_axis',(0.,0.,1.)); #361242=DIRECTION('ref_axis',(1.,0.,0.)); #361243=DIRECTION('',(0.,0.,1.)); #361244=DIRECTION('center_axis',(0.,0.,-1.)); #361245=DIRECTION('ref_axis',(1.,0.,0.)); #361246=DIRECTION('center_axis',(0.156445508580651,-0.98768659140688,0.)); #361247=DIRECTION('ref_axis',(0.98768659140688,0.156445508580651,0.)); #361248=DIRECTION('',(0.98768659140688,0.156445508580651,0.)); #361249=DIRECTION('',(0.,0.,1.)); #361250=DIRECTION('',(0.98768659140688,0.156445508580651,0.)); #361251=DIRECTION('',(0.,0.,1.)); #361252=DIRECTION('center_axis',(0.,0.,1.)); #361253=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #361254=DIRECTION('center_axis',(0.,0.,1.)); #361255=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #361256=DIRECTION('center_axis',(0.,0.,1.)); #361257=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #361258=DIRECTION('',(0.,0.,1.)); #361259=DIRECTION('center_axis',(-1.,0.,0.)); #361260=DIRECTION('ref_axis',(0.,-1.,0.)); #361261=DIRECTION('',(0.,-1.,0.)); #361262=DIRECTION('',(0.,-1.,0.)); #361263=DIRECTION('',(0.,0.,1.)); #361264=DIRECTION('center_axis',(-0.70710694732766,-0.707106615045396,0.)); #361265=DIRECTION('ref_axis',(0.707106615045396,-0.70710694732766,0.)); #361266=DIRECTION('',(0.707106615045396,-0.70710694732766,0.)); #361267=DIRECTION('',(0.707106615045396,-0.70710694732766,0.)); #361268=DIRECTION('',(0.,0.,1.)); #361269=DIRECTION('center_axis',(0.,0.,1.)); #361270=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #361271=DIRECTION('center_axis',(0.,0.,1.)); #361272=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #361273=DIRECTION('center_axis',(0.,0.,1.)); #361274=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #361275=DIRECTION('',(0.,0.,1.)); #361276=DIRECTION('center_axis',(-1.,0.,0.)); #361277=DIRECTION('ref_axis',(0.,-1.,0.)); #361278=DIRECTION('',(0.,-1.,0.)); #361279=DIRECTION('',(0.,-1.,0.)); #361280=DIRECTION('',(0.,0.,1.)); #361281=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #361282=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #361283=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #361284=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #361285=DIRECTION('',(0.,0.,1.)); #361286=DIRECTION('center_axis',(0.,0.,1.)); #361287=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #361288=DIRECTION('center_axis',(0.,0.,1.)); #361289=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #361290=DIRECTION('center_axis',(0.,0.,1.)); #361291=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #361292=DIRECTION('',(0.,0.,1.)); #361293=DIRECTION('center_axis',(-1.,0.,0.)); #361294=DIRECTION('ref_axis',(0.,-1.,0.)); #361295=DIRECTION('',(0.,-1.,0.)); #361296=DIRECTION('',(0.,-1.,0.)); #361297=DIRECTION('',(0.,0.,1.)); #361298=DIRECTION('center_axis',(0.,-1.,0.)); #361299=DIRECTION('ref_axis',(1.,0.,0.)); #361300=DIRECTION('',(1.,0.,0.)); #361301=DIRECTION('',(1.,0.,0.)); #361302=DIRECTION('',(0.,0.,1.)); #361303=DIRECTION('center_axis',(-1.,0.,0.)); #361304=DIRECTION('ref_axis',(0.,-1.,0.)); #361305=DIRECTION('',(0.,-1.,0.)); #361306=DIRECTION('',(0.,-1.,0.)); #361307=DIRECTION('',(0.,0.,1.)); #361308=DIRECTION('center_axis',(0.,1.,0.)); #361309=DIRECTION('ref_axis',(-1.,0.,0.)); #361310=DIRECTION('',(-1.,0.,0.)); #361311=DIRECTION('',(-1.,0.,0.)); #361312=DIRECTION('',(0.,0.,1.)); #361313=DIRECTION('center_axis',(1.,0.,0.)); #361314=DIRECTION('ref_axis',(0.,1.,0.)); #361315=DIRECTION('',(0.,1.,0.)); #361316=DIRECTION('',(0.,1.,0.)); #361317=DIRECTION('',(0.,0.,1.)); #361318=DIRECTION('center_axis',(0.,-1.,0.)); #361319=DIRECTION('ref_axis',(1.,0.,0.)); #361320=DIRECTION('',(1.,0.,0.)); #361321=DIRECTION('',(1.,0.,0.)); #361322=DIRECTION('',(0.,0.,1.)); #361323=DIRECTION('center_axis',(1.,0.,0.)); #361324=DIRECTION('ref_axis',(0.,1.,0.)); #361325=DIRECTION('',(0.,1.,0.)); #361326=DIRECTION('',(0.,1.,0.)); #361327=DIRECTION('',(0.,0.,1.)); #361328=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #361329=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #361330=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #361331=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #361332=DIRECTION('',(0.,0.,1.)); #361333=DIRECTION('center_axis',(0.,0.,1.)); #361334=DIRECTION('ref_axis',(0.999999999982576,-5.9031386994093E-6,0.)); #361335=DIRECTION('center_axis',(0.,0.,1.)); #361336=DIRECTION('ref_axis',(0.999999999982576,-5.9031386994093E-6,0.)); #361337=DIRECTION('center_axis',(0.,0.,1.)); #361338=DIRECTION('ref_axis',(0.999999999982576,-5.9031386994093E-6,0.)); #361339=DIRECTION('',(0.,0.,1.)); #361340=DIRECTION('center_axis',(1.,0.,0.)); #361341=DIRECTION('ref_axis',(0.,1.,0.)); #361342=DIRECTION('',(0.,1.,0.)); #361343=DIRECTION('',(0.,1.,0.)); #361344=DIRECTION('',(0.,0.,1.)); #361345=DIRECTION('center_axis',(0.707106947327653,0.707106615045403,0.)); #361346=DIRECTION('ref_axis',(-0.707106615045403,0.707106947327653,0.)); #361347=DIRECTION('',(-0.707106615045403,0.707106947327653,0.)); #361348=DIRECTION('',(-0.707106615045403,0.707106947327653,0.)); #361349=DIRECTION('',(0.,0.,1.)); #361350=DIRECTION('center_axis',(0.,0.,1.)); #361351=DIRECTION('ref_axis',(0.999999999980175,-6.29683249865397E-6,0.)); #361352=DIRECTION('center_axis',(0.,0.,1.)); #361353=DIRECTION('ref_axis',(0.999999999980175,-6.29683249865397E-6,0.)); #361354=DIRECTION('center_axis',(0.,0.,1.)); #361355=DIRECTION('ref_axis',(0.999999999980175,-6.29683249865397E-6,0.)); #361356=DIRECTION('',(0.,0.,1.)); #361357=DIRECTION('center_axis',(1.,0.,0.)); #361358=DIRECTION('ref_axis',(0.,1.,0.)); #361359=DIRECTION('',(0.,1.,0.)); #361360=DIRECTION('',(0.,1.,0.)); #361361=DIRECTION('',(0.,0.,1.)); #361362=DIRECTION('center_axis',(0.,0.,1.)); #361363=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #361364=DIRECTION('center_axis',(0.,0.,1.)); #361365=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #361366=DIRECTION('center_axis',(0.,0.,1.)); #361367=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #361368=DIRECTION('center_axis',(0.,0.,1.)); #361369=DIRECTION('ref_axis',(1.,0.,0.)); #361370=DIRECTION('center_axis',(0.,0.,1.)); #361371=DIRECTION('ref_axis',(1.,0.,0.)); #361372=DIRECTION('center_axis',(1.,0.,0.)); #361373=DIRECTION('ref_axis',(0.,1.,0.)); #361374=DIRECTION('',(0.,1.,0.)); #361375=DIRECTION('',(0.,0.,1.)); #361376=DIRECTION('',(0.,1.,0.)); #361377=DIRECTION('',(0.,0.,1.)); #361378=DIRECTION('center_axis',(0.,-1.,0.)); #361379=DIRECTION('ref_axis',(1.,0.,0.)); #361380=DIRECTION('',(1.,0.,0.)); #361381=DIRECTION('',(1.,0.,0.)); #361382=DIRECTION('',(0.,0.,1.)); #361383=DIRECTION('center_axis',(-1.,0.,0.)); #361384=DIRECTION('ref_axis',(0.,-1.,0.)); #361385=DIRECTION('',(0.,-1.,0.)); #361386=DIRECTION('',(0.,-1.,0.)); #361387=DIRECTION('',(0.,0.,1.)); #361388=DIRECTION('center_axis',(0.,-1.,0.)); #361389=DIRECTION('ref_axis',(1.,0.,0.)); #361390=DIRECTION('',(1.,0.,0.)); #361391=DIRECTION('',(1.,0.,0.)); #361392=DIRECTION('',(0.,0.,1.)); #361393=DIRECTION('center_axis',(0.,0.,1.)); #361394=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #361395=DIRECTION('center_axis',(0.,0.,1.)); #361396=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #361397=DIRECTION('center_axis',(0.,0.,1.)); #361398=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #361399=DIRECTION('',(0.,0.,1.)); #361400=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #361401=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #361402=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #361403=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #361404=DIRECTION('',(0.,0.,1.)); #361405=DIRECTION('center_axis',(0.,0.,1.)); #361406=DIRECTION('ref_axis',(-0.999999999982576,5.90313866444152E-6,0.)); #361407=DIRECTION('center_axis',(0.,0.,1.)); #361408=DIRECTION('ref_axis',(-0.999999999982576,5.90313866444152E-6,0.)); #361409=DIRECTION('center_axis',(0.,0.,1.)); #361410=DIRECTION('ref_axis',(-0.999999999982576,5.90313866444152E-6,0.)); #361411=DIRECTION('',(0.,0.,1.)); #361412=DIRECTION('center_axis',(-1.,0.,0.)); #361413=DIRECTION('ref_axis',(0.,-1.,0.)); #361414=DIRECTION('',(0.,-1.,0.)); #361415=DIRECTION('',(0.,-1.,0.)); #361416=DIRECTION('',(0.,0.,1.)); #361417=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546, 0.)); #361418=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #361419=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #361420=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #361421=DIRECTION('',(0.,0.,1.)); #361422=DIRECTION('center_axis',(0.,-1.,0.)); #361423=DIRECTION('ref_axis',(1.,0.,0.)); #361424=DIRECTION('',(1.,0.,0.)); #361425=DIRECTION('',(1.,0.,0.)); #361426=DIRECTION('',(0.,0.,1.)); #361427=DIRECTION('center_axis',(0.,0.,1.)); #361428=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #361429=DIRECTION('center_axis',(0.,0.,1.)); #361430=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #361431=DIRECTION('center_axis',(0.,0.,1.)); #361432=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #361433=DIRECTION('',(0.,0.,1.)); #361434=DIRECTION('center_axis',(-0.707106781186544,-0.707106781186551, 0.)); #361435=DIRECTION('ref_axis',(0.707106781186551,-0.707106781186544,0.)); #361436=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #361437=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #361438=DIRECTION('',(0.,0.,1.)); #361439=DIRECTION('center_axis',(0.,-1.,0.)); #361440=DIRECTION('ref_axis',(1.,0.,0.)); #361441=DIRECTION('',(1.,0.,0.)); #361442=DIRECTION('',(1.,0.,0.)); #361443=DIRECTION('',(0.,0.,1.)); #361444=DIRECTION('center_axis',(-1.,0.,0.)); #361445=DIRECTION('ref_axis',(0.,-1.,0.)); #361446=DIRECTION('',(0.,-1.,0.)); #361447=DIRECTION('',(0.,-1.,0.)); #361448=DIRECTION('',(0.,0.,1.)); #361449=DIRECTION('center_axis',(0.,1.,0.)); #361450=DIRECTION('ref_axis',(-1.,0.,0.)); #361451=DIRECTION('',(-1.,0.,0.)); #361452=DIRECTION('',(-1.,0.,0.)); #361453=DIRECTION('',(0.,0.,1.)); #361454=DIRECTION('center_axis',(1.,0.,0.)); #361455=DIRECTION('ref_axis',(0.,1.,0.)); #361456=DIRECTION('',(0.,1.,0.)); #361457=DIRECTION('',(0.,1.,0.)); #361458=DIRECTION('',(0.,0.,1.)); #361459=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #361460=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #361461=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #361462=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #361463=DIRECTION('',(0.,0.,1.)); #361464=DIRECTION('center_axis',(0.,1.,0.)); #361465=DIRECTION('ref_axis',(-1.,0.,0.)); #361466=DIRECTION('',(-1.,0.,0.)); #361467=DIRECTION('',(-1.,0.,0.)); #361468=DIRECTION('',(0.,0.,1.)); #361469=DIRECTION('center_axis',(0.,0.,1.)); #361470=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #361471=DIRECTION('center_axis',(0.,0.,1.)); #361472=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #361473=DIRECTION('center_axis',(0.,0.,1.)); #361474=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #361475=DIRECTION('',(0.,0.,1.)); #361476=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #361477=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #361478=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #361479=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #361480=DIRECTION('',(0.,0.,1.)); #361481=DIRECTION('center_axis',(0.,0.,1.)); #361482=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #361483=DIRECTION('center_axis',(0.,0.,1.)); #361484=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #361485=DIRECTION('center_axis',(0.,0.,1.)); #361486=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #361487=DIRECTION('',(0.,0.,1.)); #361488=DIRECTION('center_axis',(1.,0.,0.)); #361489=DIRECTION('ref_axis',(0.,1.,0.)); #361490=DIRECTION('',(0.,1.,0.)); #361491=DIRECTION('',(0.,1.,0.)); #361492=DIRECTION('',(0.,0.,1.)); #361493=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #361494=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #361495=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #361496=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #361497=DIRECTION('',(0.,0.,1.)); #361498=DIRECTION('center_axis',(0.,1.,0.)); #361499=DIRECTION('ref_axis',(-1.,0.,0.)); #361500=DIRECTION('',(-1.,0.,0.)); #361501=DIRECTION('',(-1.,0.,0.)); #361502=DIRECTION('',(0.,0.,1.)); #361503=DIRECTION('center_axis',(-1.,0.,0.)); #361504=DIRECTION('ref_axis',(0.,-1.,0.)); #361505=DIRECTION('',(0.,-1.,0.)); #361506=DIRECTION('',(0.,-1.,0.)); #361507=DIRECTION('',(0.,0.,1.)); #361508=DIRECTION('center_axis',(0.,1.,0.)); #361509=DIRECTION('ref_axis',(-1.,0.,0.)); #361510=DIRECTION('',(-1.,0.,0.)); #361511=DIRECTION('',(-1.,0.,0.)); #361512=DIRECTION('center_axis',(0.,0.,1.)); #361513=DIRECTION('ref_axis',(1.,0.,0.)); #361514=DIRECTION('center_axis',(0.,0.,1.)); #361515=DIRECTION('ref_axis',(1.,0.,0.)); #361516=DIRECTION('center_axis',(0.,0.,1.)); #361517=DIRECTION('ref_axis',(1.,0.,0.)); #361518=DIRECTION('center_axis',(0.,0.,1.)); #361519=DIRECTION('ref_axis',(1.,0.,0.)); #361520=DIRECTION('',(0.,0.,1.)); #361521=DIRECTION('center_axis',(0.,0.,-1.)); #361522=DIRECTION('ref_axis',(1.,0.,0.)); #361523=DIRECTION('center_axis',(0.156447762252348,-0.987686234431883,0.)); #361524=DIRECTION('ref_axis',(0.987686234431883,0.156447762252348,0.)); #361525=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #361526=DIRECTION('',(0.,0.,1.)); #361527=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #361528=DIRECTION('',(0.,0.,1.)); #361529=DIRECTION('center_axis',(0.,0.,1.)); #361530=DIRECTION('ref_axis',(-0.461527865934839,0.887125712041779,0.)); #361531=DIRECTION('center_axis',(0.,0.,1.)); #361532=DIRECTION('ref_axis',(-0.461527865934839,0.887125712041779,0.)); #361533=DIRECTION('center_axis',(0.,0.,1.)); #361534=DIRECTION('ref_axis',(-0.461527865934839,0.887125712041779,0.)); #361535=DIRECTION('',(0.,0.,1.)); #361536=DIRECTION('center_axis',(-1.,0.,0.)); #361537=DIRECTION('ref_axis',(0.,-1.,0.)); #361538=DIRECTION('',(0.,-1.,0.)); #361539=DIRECTION('',(0.,-1.,0.)); #361540=DIRECTION('',(0.,0.,1.)); #361541=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #361542=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #361543=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #361544=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #361545=DIRECTION('',(0.,0.,1.)); #361546=DIRECTION('center_axis',(0.,0.,1.)); #361547=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #361548=DIRECTION('center_axis',(0.,0.,1.)); #361549=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #361550=DIRECTION('center_axis',(0.,0.,1.)); #361551=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #361552=DIRECTION('',(0.,0.,1.)); #361553=DIRECTION('center_axis',(-1.,0.,0.)); #361554=DIRECTION('ref_axis',(0.,-1.,0.)); #361555=DIRECTION('',(0.,-1.,0.)); #361556=DIRECTION('',(0.,-1.,0.)); #361557=DIRECTION('',(0.,0.,1.)); #361558=DIRECTION('center_axis',(0.,0.,1.)); #361559=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #361560=DIRECTION('center_axis',(0.,0.,1.)); #361561=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #361562=DIRECTION('center_axis',(0.,0.,1.)); #361563=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #361564=DIRECTION('',(0.,0.,1.)); #361565=DIRECTION('center_axis',(-0.707106781186546,0.707106781186549,0.)); #361566=DIRECTION('ref_axis',(-0.707106781186549,-0.707106781186546,0.)); #361567=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #361568=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #361569=DIRECTION('',(0.,0.,1.)); #361570=DIRECTION('center_axis',(0.,0.,1.)); #361571=DIRECTION('ref_axis',(5.90313864695753E-6,0.999999999982576,0.)); #361572=DIRECTION('center_axis',(0.,0.,1.)); #361573=DIRECTION('ref_axis',(5.90313864695753E-6,0.999999999982576,0.)); #361574=DIRECTION('center_axis',(0.,0.,1.)); #361575=DIRECTION('ref_axis',(5.90313864695753E-6,0.999999999982576,0.)); #361576=DIRECTION('',(0.,0.,1.)); #361577=DIRECTION('center_axis',(0.,1.,0.)); #361578=DIRECTION('ref_axis',(-1.,0.,0.)); #361579=DIRECTION('',(-1.,0.,0.)); #361580=DIRECTION('',(-1.,0.,0.)); #361581=DIRECTION('',(0.,0.,1.)); #361582=DIRECTION('center_axis',(-0.707106781186543,0.707106781186552,0.)); #361583=DIRECTION('ref_axis',(-0.707106781186552,-0.707106781186543,0.)); #361584=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #361585=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #361586=DIRECTION('',(0.,0.,1.)); #361587=DIRECTION('center_axis',(-1.,0.,0.)); #361588=DIRECTION('ref_axis',(0.,-1.,0.)); #361589=DIRECTION('',(0.,-1.,0.)); #361590=DIRECTION('',(0.,-1.,0.)); #361591=DIRECTION('',(0.,0.,1.)); #361592=DIRECTION('center_axis',(0.,1.,0.)); #361593=DIRECTION('ref_axis',(-1.,0.,0.)); #361594=DIRECTION('',(-1.,0.,0.)); #361595=DIRECTION('',(-1.,0.,0.)); #361596=DIRECTION('',(0.,0.,1.)); #361597=DIRECTION('center_axis',(1.,0.,0.)); #361598=DIRECTION('ref_axis',(0.,1.,0.)); #361599=DIRECTION('',(0.,1.,0.)); #361600=DIRECTION('',(0.,1.,0.)); #361601=DIRECTION('',(0.,0.,1.)); #361602=DIRECTION('center_axis',(0.,-1.,0.)); #361603=DIRECTION('ref_axis',(1.,0.,0.)); #361604=DIRECTION('',(1.,0.,0.)); #361605=DIRECTION('',(1.,0.,0.)); #361606=DIRECTION('',(0.,0.,1.)); #361607=DIRECTION('center_axis',(0.707106781186559,-0.707106781186536,0.)); #361608=DIRECTION('ref_axis',(0.707106781186536,0.707106781186559,0.)); #361609=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #361610=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #361611=DIRECTION('',(0.,0.,1.)); #361612=DIRECTION('center_axis',(0.,0.,1.)); #361613=DIRECTION('ref_axis',(-5.90313871689267E-6,-0.999999999982576,0.)); #361614=DIRECTION('center_axis',(0.,0.,1.)); #361615=DIRECTION('ref_axis',(-5.90313871689267E-6,-0.999999999982576,0.)); #361616=DIRECTION('center_axis',(0.,0.,1.)); #361617=DIRECTION('ref_axis',(-5.90313871689267E-6,-0.999999999982576,0.)); #361618=DIRECTION('',(0.,0.,1.)); #361619=DIRECTION('center_axis',(0.,-1.,0.)); #361620=DIRECTION('ref_axis',(1.,0.,0.)); #361621=DIRECTION('',(1.,0.,0.)); #361622=DIRECTION('',(1.,0.,0.)); #361623=DIRECTION('',(0.,0.,1.)); #361624=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #361625=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #361626=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #361627=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #361628=DIRECTION('',(0.,0.,1.)); #361629=DIRECTION('center_axis',(1.,0.,0.)); #361630=DIRECTION('ref_axis',(0.,1.,0.)); #361631=DIRECTION('',(0.,1.,0.)); #361632=DIRECTION('',(0.,1.,0.)); #361633=DIRECTION('',(0.,0.,1.)); #361634=DIRECTION('center_axis',(0.707106781186538,0.707106781186557,0.)); #361635=DIRECTION('ref_axis',(-0.707106781186557,0.707106781186538,0.)); #361636=DIRECTION('',(-0.707106781186557,0.707106781186538,0.)); #361637=DIRECTION('',(-0.707106781186557,0.707106781186538,0.)); #361638=DIRECTION('',(0.,0.,1.)); #361639=DIRECTION('center_axis',(0.,0.,1.)); #361640=DIRECTION('ref_axis',(0.999999999982576,-5.90313875186003E-6,0.)); #361641=DIRECTION('center_axis',(0.,0.,1.)); #361642=DIRECTION('ref_axis',(0.999999999982576,-5.90313875186003E-6,0.)); #361643=DIRECTION('center_axis',(0.,0.,1.)); #361644=DIRECTION('ref_axis',(0.999999999982576,-5.90313875186003E-6,0.)); #361645=DIRECTION('',(0.,0.,1.)); #361646=DIRECTION('center_axis',(1.,0.,0.)); #361647=DIRECTION('ref_axis',(0.,1.,0.)); #361648=DIRECTION('',(0.,1.,0.)); #361649=DIRECTION('',(0.,1.,0.)); #361650=DIRECTION('',(0.,0.,1.)); #361651=DIRECTION('center_axis',(0.,0.,1.)); #361652=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #361653=DIRECTION('center_axis',(0.,0.,1.)); #361654=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #361655=DIRECTION('center_axis',(0.,0.,1.)); #361656=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #361657=DIRECTION('center_axis',(0.,0.,1.)); #361658=DIRECTION('ref_axis',(1.,0.,0.)); #361659=DIRECTION('center_axis',(0.,0.,1.)); #361660=DIRECTION('ref_axis',(1.,0.,0.)); #361661=DIRECTION('center_axis',(0.,0.,1.)); #361662=DIRECTION('ref_axis',(1.,0.,0.)); #361663=DIRECTION('center_axis',(0.,0.,1.)); #361664=DIRECTION('ref_axis',(1.,0.,0.)); #361665=DIRECTION('',(0.,0.,1.)); #361666=DIRECTION('center_axis',(0.,0.,-1.)); #361667=DIRECTION('ref_axis',(1.,0.,0.)); #361668=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #361669=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #361670=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #361671=DIRECTION('',(0.,0.,1.)); #361672=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #361673=DIRECTION('',(0.,0.,1.)); #361674=DIRECTION('center_axis',(0.,0.,1.)); #361675=DIRECTION('ref_axis',(-0.88804582923889,-0.459754940344759,0.)); #361676=DIRECTION('center_axis',(0.,0.,1.)); #361677=DIRECTION('ref_axis',(-0.88804582923889,-0.459754940344759,0.)); #361678=DIRECTION('center_axis',(0.,0.,1.)); #361679=DIRECTION('ref_axis',(-0.88804582923889,-0.459754940344759,0.)); #361680=DIRECTION('',(0.,0.,1.)); #361681=DIRECTION('center_axis',(0.,-1.,0.)); #361682=DIRECTION('ref_axis',(1.,0.,0.)); #361683=DIRECTION('',(1.,0.,0.)); #361684=DIRECTION('',(1.,0.,0.)); #361685=DIRECTION('',(0.,0.,1.)); #361686=DIRECTION('center_axis',(1.,0.,0.)); #361687=DIRECTION('ref_axis',(0.,1.,0.)); #361688=DIRECTION('',(0.,1.,0.)); #361689=DIRECTION('',(0.,1.,0.)); #361690=DIRECTION('',(0.,0.,1.)); #361691=DIRECTION('center_axis',(0.,-1.,0.)); #361692=DIRECTION('ref_axis',(1.,0.,0.)); #361693=DIRECTION('',(1.,0.,0.)); #361694=DIRECTION('',(1.,0.,0.)); #361695=DIRECTION('',(0.,0.,1.)); #361696=DIRECTION('center_axis',(-1.,0.,0.)); #361697=DIRECTION('ref_axis',(0.,-1.,0.)); #361698=DIRECTION('',(0.,-1.,0.)); #361699=DIRECTION('',(0.,-1.,0.)); #361700=DIRECTION('',(0.,0.,1.)); #361701=DIRECTION('center_axis',(0.,1.,0.)); #361702=DIRECTION('ref_axis',(-1.,0.,0.)); #361703=DIRECTION('',(-1.,0.,0.)); #361704=DIRECTION('',(-1.,0.,0.)); #361705=DIRECTION('',(0.,0.,1.)); #361706=DIRECTION('center_axis',(1.,0.,0.)); #361707=DIRECTION('ref_axis',(0.,1.,0.)); #361708=DIRECTION('',(0.,1.,0.)); #361709=DIRECTION('',(0.,1.,0.)); #361710=DIRECTION('',(0.,0.,1.)); #361711=DIRECTION('center_axis',(0.,1.,0.)); #361712=DIRECTION('ref_axis',(-1.,0.,0.)); #361713=DIRECTION('',(-1.,0.,0.)); #361714=DIRECTION('',(-1.,0.,0.)); #361715=DIRECTION('',(0.,0.,1.)); #361716=DIRECTION('center_axis',(0.,0.,1.)); #361717=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #361718=DIRECTION('center_axis',(0.,0.,1.)); #361719=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #361720=DIRECTION('center_axis',(0.,0.,1.)); #361721=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #361722=DIRECTION('',(0.,0.,1.)); #361723=DIRECTION('center_axis',(0.,0.,1.)); #361724=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #361725=DIRECTION('center_axis',(0.,0.,1.)); #361726=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #361727=DIRECTION('center_axis',(0.,0.,1.)); #361728=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #361729=DIRECTION('center_axis',(0.,0.,1.)); #361730=DIRECTION('ref_axis',(1.,0.,0.)); #361731=DIRECTION('center_axis',(0.,0.,1.)); #361732=DIRECTION('ref_axis',(1.,0.,0.)); #361733=DIRECTION('center_axis',(1.,0.,0.)); #361734=DIRECTION('ref_axis',(0.,1.,0.)); #361735=DIRECTION('',(0.,1.,0.)); #361736=DIRECTION('',(0.,0.,1.)); #361737=DIRECTION('',(0.,1.,0.)); #361738=DIRECTION('',(0.,0.,1.)); #361739=DIRECTION('center_axis',(0.,-1.,0.)); #361740=DIRECTION('ref_axis',(1.,0.,0.)); #361741=DIRECTION('',(1.,0.,0.)); #361742=DIRECTION('',(1.,0.,0.)); #361743=DIRECTION('',(0.,0.,1.)); #361744=DIRECTION('center_axis',(-1.,0.,0.)); #361745=DIRECTION('ref_axis',(0.,-1.,0.)); #361746=DIRECTION('',(0.,-1.,0.)); #361747=DIRECTION('',(0.,-1.,0.)); #361748=DIRECTION('',(0.,0.,1.)); #361749=DIRECTION('center_axis',(0.,1.,0.)); #361750=DIRECTION('ref_axis',(-1.,0.,0.)); #361751=DIRECTION('',(-1.,0.,0.)); #361752=DIRECTION('',(-1.,0.,0.)); #361753=DIRECTION('',(0.,0.,1.)); #361754=DIRECTION('center_axis',(-1.,0.,0.)); #361755=DIRECTION('ref_axis',(0.,-1.,0.)); #361756=DIRECTION('',(0.,-1.,0.)); #361757=DIRECTION('',(0.,-1.,0.)); #361758=DIRECTION('',(0.,0.,1.)); #361759=DIRECTION('center_axis',(0.,0.,1.)); #361760=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #361761=DIRECTION('center_axis',(0.,0.,1.)); #361762=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #361763=DIRECTION('center_axis',(0.,0.,1.)); #361764=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #361765=DIRECTION('',(0.,0.,1.)); #361766=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #361767=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #361768=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #361769=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #361770=DIRECTION('',(0.,0.,1.)); #361771=DIRECTION('center_axis',(-1.,0.,0.)); #361772=DIRECTION('ref_axis',(0.,-1.,0.)); #361773=DIRECTION('',(0.,-1.,0.)); #361774=DIRECTION('',(0.,-1.,0.)); #361775=DIRECTION('',(0.,0.,1.)); #361776=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #361777=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #361778=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #361779=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #361780=DIRECTION('',(0.,0.,1.)); #361781=DIRECTION('center_axis',(0.,0.,1.)); #361782=DIRECTION('ref_axis',(-0.999999999982576,5.9031386819251E-6,0.)); #361783=DIRECTION('center_axis',(0.,0.,1.)); #361784=DIRECTION('ref_axis',(-0.999999999982576,5.9031386819251E-6,0.)); #361785=DIRECTION('center_axis',(0.,0.,1.)); #361786=DIRECTION('ref_axis',(-0.999999999982576,5.9031386819251E-6,0.)); #361787=DIRECTION('',(0.,0.,1.)); #361788=DIRECTION('center_axis',(-1.,0.,0.)); #361789=DIRECTION('ref_axis',(0.,-1.,0.)); #361790=DIRECTION('',(0.,-1.,0.)); #361791=DIRECTION('',(0.,-1.,0.)); #361792=DIRECTION('',(0.,0.,1.)); #361793=DIRECTION('center_axis',(0.,0.,1.)); #361794=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #361795=DIRECTION('center_axis',(0.,0.,1.)); #361796=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #361797=DIRECTION('center_axis',(0.,0.,1.)); #361798=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #361799=DIRECTION('',(0.,0.,1.)); #361800=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #361801=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #361802=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #361803=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #361804=DIRECTION('',(0.,0.,1.)); #361805=DIRECTION('center_axis',(0.,0.,1.)); #361806=DIRECTION('ref_axis',(5.90313871689288E-6,0.999999999982576,0.)); #361807=DIRECTION('center_axis',(0.,0.,1.)); #361808=DIRECTION('ref_axis',(5.90313871689288E-6,0.999999999982576,0.)); #361809=DIRECTION('center_axis',(0.,0.,1.)); #361810=DIRECTION('ref_axis',(5.90313871689288E-6,0.999999999982576,0.)); #361811=DIRECTION('',(0.,0.,1.)); #361812=DIRECTION('center_axis',(0.,1.,0.)); #361813=DIRECTION('ref_axis',(-1.,0.,0.)); #361814=DIRECTION('',(-1.,0.,0.)); #361815=DIRECTION('',(-1.,0.,0.)); #361816=DIRECTION('',(0.,0.,1.)); #361817=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #361818=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #361819=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #361820=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #361821=DIRECTION('',(0.,0.,1.)); #361822=DIRECTION('center_axis',(0.,0.,1.)); #361823=DIRECTION('ref_axis',(5.90313868192531E-6,0.999999999982576,0.)); #361824=DIRECTION('center_axis',(0.,0.,1.)); #361825=DIRECTION('ref_axis',(5.90313868192531E-6,0.999999999982576,0.)); #361826=DIRECTION('center_axis',(0.,0.,1.)); #361827=DIRECTION('ref_axis',(5.90313868192531E-6,0.999999999982576,0.)); #361828=DIRECTION('',(0.,0.,1.)); #361829=DIRECTION('center_axis',(0.,1.,0.)); #361830=DIRECTION('ref_axis',(-1.,0.,0.)); #361831=DIRECTION('',(-1.,0.,0.)); #361832=DIRECTION('',(-1.,0.,0.)); #361833=DIRECTION('',(0.,0.,1.)); #361834=DIRECTION('center_axis',(-0.707106781186552,0.707106781186543,0.)); #361835=DIRECTION('ref_axis',(-0.707106781186543,-0.707106781186552,0.)); #361836=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #361837=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #361838=DIRECTION('',(0.,0.,1.)); #361839=DIRECTION('center_axis',(-1.,0.,0.)); #361840=DIRECTION('ref_axis',(0.,-1.,0.)); #361841=DIRECTION('',(0.,-1.,0.)); #361842=DIRECTION('',(0.,-1.,0.)); #361843=DIRECTION('',(0.,0.,1.)); #361844=DIRECTION('center_axis',(0.,1.,0.)); #361845=DIRECTION('ref_axis',(-1.,0.,0.)); #361846=DIRECTION('',(-1.,0.,0.)); #361847=DIRECTION('',(-1.,0.,0.)); #361848=DIRECTION('',(0.,0.,1.)); #361849=DIRECTION('center_axis',(1.,0.,0.)); #361850=DIRECTION('ref_axis',(0.,1.,0.)); #361851=DIRECTION('',(0.,1.,0.)); #361852=DIRECTION('',(0.,1.,0.)); #361853=DIRECTION('',(0.,0.,1.)); #361854=DIRECTION('center_axis',(0.,-1.,0.)); #361855=DIRECTION('ref_axis',(1.,0.,0.)); #361856=DIRECTION('',(1.,0.,0.)); #361857=DIRECTION('',(1.,0.,0.)); #361858=DIRECTION('',(0.,0.,1.)); #361859=DIRECTION('center_axis',(0.707106781186544,-0.707106781186551,0.)); #361860=DIRECTION('ref_axis',(0.707106781186551,0.707106781186544,0.)); #361861=DIRECTION('',(0.707106781186551,0.707106781186544,0.)); #361862=DIRECTION('',(0.707106781186551,0.707106781186544,0.)); #361863=DIRECTION('',(0.,0.,1.)); #361864=DIRECTION('center_axis',(0.,0.,1.)); #361865=DIRECTION('ref_axis',(-5.90313861198996E-6,-0.999999999982576,0.)); #361866=DIRECTION('center_axis',(0.,0.,1.)); #361867=DIRECTION('ref_axis',(-5.90313861198996E-6,-0.999999999982576,0.)); #361868=DIRECTION('center_axis',(0.,0.,1.)); #361869=DIRECTION('ref_axis',(-5.90313861198996E-6,-0.999999999982576,0.)); #361870=DIRECTION('',(0.,0.,1.)); #361871=DIRECTION('center_axis',(0.,-1.,0.)); #361872=DIRECTION('ref_axis',(1.,0.,0.)); #361873=DIRECTION('',(1.,0.,0.)); #361874=DIRECTION('',(1.,0.,0.)); #361875=DIRECTION('',(0.,0.,1.)); #361876=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #361877=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #361878=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #361879=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #361880=DIRECTION('',(0.,0.,1.)); #361881=DIRECTION('center_axis',(0.,0.,1.)); #361882=DIRECTION('ref_axis',(-5.90313861199058E-6,-0.999999999982576,0.)); #361883=DIRECTION('center_axis',(0.,0.,1.)); #361884=DIRECTION('ref_axis',(-5.90313861199058E-6,-0.999999999982576,0.)); #361885=DIRECTION('center_axis',(0.,0.,1.)); #361886=DIRECTION('ref_axis',(-5.90313861199058E-6,-0.999999999982576,0.)); #361887=DIRECTION('',(0.,0.,1.)); #361888=DIRECTION('center_axis',(0.,-1.,0.)); #361889=DIRECTION('ref_axis',(1.,0.,0.)); #361890=DIRECTION('',(1.,0.,0.)); #361891=DIRECTION('',(1.,0.,0.)); #361892=DIRECTION('',(0.,0.,1.)); #361893=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #361894=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #361895=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #361896=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #361897=DIRECTION('',(0.,0.,1.)); #361898=DIRECTION('center_axis',(1.,0.,0.)); #361899=DIRECTION('ref_axis',(0.,1.,0.)); #361900=DIRECTION('',(0.,1.,0.)); #361901=DIRECTION('',(0.,1.,0.)); #361902=DIRECTION('',(0.,0.,1.)); #361903=DIRECTION('center_axis',(0.707107058417278,0.707106503955709,0.)); #361904=DIRECTION('ref_axis',(-0.707106503955709,0.707107058417278,0.)); #361905=DIRECTION('',(-0.707106503955709,0.707107058417278,0.)); #361906=DIRECTION('',(-0.707106503955709,0.707107058417278,0.)); #361907=DIRECTION('',(0.,0.,1.)); #361908=DIRECTION('center_axis',(0.,0.,1.)); #361909=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #361910=DIRECTION('center_axis',(0.,0.,1.)); #361911=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #361912=DIRECTION('center_axis',(0.,0.,1.)); #361913=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #361914=DIRECTION('',(0.,0.,1.)); #361915=DIRECTION('center_axis',(1.,0.,0.)); #361916=DIRECTION('ref_axis',(0.,1.,0.)); #361917=DIRECTION('',(0.,1.,0.)); #361918=DIRECTION('',(0.,1.,0.)); #361919=DIRECTION('',(0.,0.,1.)); #361920=DIRECTION('center_axis',(0.,0.,1.)); #361921=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #361922=DIRECTION('center_axis',(0.,0.,1.)); #361923=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #361924=DIRECTION('center_axis',(0.,0.,1.)); #361925=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #361926=DIRECTION('',(0.,0.,1.)); #361927=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #361928=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #361929=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #361930=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #361931=DIRECTION('',(0.,0.,1.)); #361932=DIRECTION('center_axis',(1.,0.,0.)); #361933=DIRECTION('ref_axis',(0.,1.,0.)); #361934=DIRECTION('',(0.,1.,0.)); #361935=DIRECTION('',(0.,1.,0.)); #361936=DIRECTION('',(0.,0.,1.)); #361937=DIRECTION('center_axis',(0.,1.,0.)); #361938=DIRECTION('ref_axis',(-1.,0.,0.)); #361939=DIRECTION('',(-1.,0.,0.)); #361940=DIRECTION('',(-1.,0.,0.)); #361941=DIRECTION('center_axis',(0.,0.,1.)); #361942=DIRECTION('ref_axis',(1.,0.,0.)); #361943=DIRECTION('center_axis',(0.,0.,1.)); #361944=DIRECTION('ref_axis',(1.,0.,0.)); #361945=DIRECTION('center_axis',(1.,0.,0.)); #361946=DIRECTION('ref_axis',(0.,1.,0.)); #361947=DIRECTION('',(0.,1.,0.)); #361948=DIRECTION('',(0.,0.,1.)); #361949=DIRECTION('',(0.,1.,0.)); #361950=DIRECTION('',(0.,0.,1.)); #361951=DIRECTION('center_axis',(0.,-1.,0.)); #361952=DIRECTION('ref_axis',(1.,0.,0.)); #361953=DIRECTION('',(1.,0.,0.)); #361954=DIRECTION('',(1.,0.,0.)); #361955=DIRECTION('',(0.,0.,1.)); #361956=DIRECTION('center_axis',(-1.,0.,0.)); #361957=DIRECTION('ref_axis',(0.,-1.,0.)); #361958=DIRECTION('',(0.,-1.,0.)); #361959=DIRECTION('',(0.,-1.,0.)); #361960=DIRECTION('',(0.,0.,1.)); #361961=DIRECTION('center_axis',(0.,1.,0.)); #361962=DIRECTION('ref_axis',(-1.,0.,0.)); #361963=DIRECTION('',(-1.,0.,0.)); #361964=DIRECTION('',(-1.,0.,0.)); #361965=DIRECTION('',(0.,0.,1.)); #361966=DIRECTION('center_axis',(-1.,0.,0.)); #361967=DIRECTION('ref_axis',(0.,-1.,0.)); #361968=DIRECTION('',(0.,-1.,0.)); #361969=DIRECTION('',(0.,-1.,0.)); #361970=DIRECTION('',(0.,0.,1.)); #361971=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #361972=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #361973=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #361974=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #361975=DIRECTION('',(0.,0.,1.)); #361976=DIRECTION('center_axis',(0.,0.,1.)); #361977=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #361978=DIRECTION('center_axis',(0.,0.,1.)); #361979=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #361980=DIRECTION('center_axis',(0.,0.,1.)); #361981=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #361982=DIRECTION('',(0.,0.,1.)); #361983=DIRECTION('center_axis',(-1.,0.,0.)); #361984=DIRECTION('ref_axis',(0.,-1.,0.)); #361985=DIRECTION('',(0.,-1.,0.)); #361986=DIRECTION('',(0.,-1.,0.)); #361987=DIRECTION('',(0.,0.,1.)); #361988=DIRECTION('center_axis',(0.,0.,1.)); #361989=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #361990=DIRECTION('center_axis',(0.,0.,1.)); #361991=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #361992=DIRECTION('center_axis',(0.,0.,1.)); #361993=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #361994=DIRECTION('',(0.,0.,1.)); #361995=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #361996=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #361997=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #361998=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #361999=DIRECTION('',(0.,0.,1.)); #362000=DIRECTION('center_axis',(-1.,0.,0.)); #362001=DIRECTION('ref_axis',(0.,-1.,0.)); #362002=DIRECTION('',(0.,-1.,0.)); #362003=DIRECTION('',(0.,-1.,0.)); #362004=DIRECTION('',(0.,0.,1.)); #362005=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #362006=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #362007=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #362008=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #362009=DIRECTION('',(0.,0.,1.)); #362010=DIRECTION('center_axis',(0.,-1.,0.)); #362011=DIRECTION('ref_axis',(1.,0.,0.)); #362012=DIRECTION('',(1.,0.,0.)); #362013=DIRECTION('',(1.,0.,0.)); #362014=DIRECTION('',(0.,0.,1.)); #362015=DIRECTION('center_axis',(0.,0.,1.)); #362016=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #362017=DIRECTION('center_axis',(0.,0.,1.)); #362018=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #362019=DIRECTION('center_axis',(0.,0.,1.)); #362020=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #362021=DIRECTION('',(0.,0.,1.)); #362022=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #362023=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #362024=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #362025=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #362026=DIRECTION('',(0.,0.,1.)); #362027=DIRECTION('center_axis',(0.,-1.,0.)); #362028=DIRECTION('ref_axis',(1.,0.,0.)); #362029=DIRECTION('',(1.,0.,0.)); #362030=DIRECTION('',(1.,0.,0.)); #362031=DIRECTION('',(0.,0.,1.)); #362032=DIRECTION('center_axis',(0.,0.,1.)); #362033=DIRECTION('ref_axis',(-0.707102614154319,-0.70711094819422,0.)); #362034=DIRECTION('center_axis',(0.,0.,1.)); #362035=DIRECTION('ref_axis',(-0.707102614154319,-0.70711094819422,0.)); #362036=DIRECTION('center_axis',(0.,0.,1.)); #362037=DIRECTION('ref_axis',(-0.707102614154319,-0.70711094819422,0.)); #362038=DIRECTION('',(0.,0.,1.)); #362039=DIRECTION('center_axis',(-0.707106781186544,-0.707106781186551, 0.)); #362040=DIRECTION('ref_axis',(0.707106781186551,-0.707106781186544,0.)); #362041=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #362042=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #362043=DIRECTION('',(0.,0.,1.)); #362044=DIRECTION('center_axis',(0.,-1.,0.)); #362045=DIRECTION('ref_axis',(1.,0.,0.)); #362046=DIRECTION('',(1.,0.,0.)); #362047=DIRECTION('',(1.,0.,0.)); #362048=DIRECTION('',(0.,0.,1.)); #362049=DIRECTION('center_axis',(-1.,0.,0.)); #362050=DIRECTION('ref_axis',(0.,-1.,0.)); #362051=DIRECTION('',(0.,-1.,0.)); #362052=DIRECTION('',(0.,-1.,0.)); #362053=DIRECTION('',(0.,0.,1.)); #362054=DIRECTION('center_axis',(0.,1.,0.)); #362055=DIRECTION('ref_axis',(-1.,0.,0.)); #362056=DIRECTION('',(-1.,0.,0.)); #362057=DIRECTION('',(-1.,0.,0.)); #362058=DIRECTION('',(0.,0.,1.)); #362059=DIRECTION('center_axis',(1.,0.,0.)); #362060=DIRECTION('ref_axis',(0.,1.,0.)); #362061=DIRECTION('',(0.,1.,0.)); #362062=DIRECTION('',(0.,1.,0.)); #362063=DIRECTION('',(0.,0.,1.)); #362064=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #362065=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #362066=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #362067=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #362068=DIRECTION('',(0.,0.,1.)); #362069=DIRECTION('center_axis',(0.,1.,0.)); #362070=DIRECTION('ref_axis',(-1.,0.,0.)); #362071=DIRECTION('',(-1.,0.,0.)); #362072=DIRECTION('',(-1.,0.,0.)); #362073=DIRECTION('',(0.,0.,1.)); #362074=DIRECTION('center_axis',(0.,0.,1.)); #362075=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #362076=DIRECTION('center_axis',(0.,0.,1.)); #362077=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #362078=DIRECTION('center_axis',(0.,0.,1.)); #362079=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #362080=DIRECTION('',(0.,0.,1.)); #362081=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #362082=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #362083=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #362084=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #362085=DIRECTION('',(0.,0.,1.)); #362086=DIRECTION('center_axis',(0.,1.,0.)); #362087=DIRECTION('ref_axis',(-1.,0.,0.)); #362088=DIRECTION('',(-1.,0.,0.)); #362089=DIRECTION('',(-1.,0.,0.)); #362090=DIRECTION('',(0.,0.,1.)); #362091=DIRECTION('center_axis',(0.,0.,1.)); #362092=DIRECTION('ref_axis',(0.707102614154214,0.707110948194325,0.)); #362093=DIRECTION('center_axis',(0.,0.,1.)); #362094=DIRECTION('ref_axis',(0.707102614154214,0.707110948194325,0.)); #362095=DIRECTION('center_axis',(0.,0.,1.)); #362096=DIRECTION('ref_axis',(0.707102614154214,0.707110948194325,0.)); #362097=DIRECTION('',(0.,0.,1.)); #362098=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #362099=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #362100=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #362101=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #362102=DIRECTION('',(0.,0.,1.)); #362103=DIRECTION('center_axis',(0.,0.,1.)); #362104=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #362105=DIRECTION('center_axis',(0.,0.,1.)); #362106=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #362107=DIRECTION('center_axis',(0.,0.,1.)); #362108=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #362109=DIRECTION('',(0.,0.,1.)); #362110=DIRECTION('center_axis',(1.,0.,0.)); #362111=DIRECTION('ref_axis',(0.,1.,0.)); #362112=DIRECTION('',(0.,1.,0.)); #362113=DIRECTION('',(0.,1.,0.)); #362114=DIRECTION('',(0.,0.,1.)); #362115=DIRECTION('center_axis',(0.,0.,1.)); #362116=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #362117=DIRECTION('center_axis',(0.,0.,1.)); #362118=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #362119=DIRECTION('center_axis',(0.,0.,1.)); #362120=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #362121=DIRECTION('',(0.,0.,1.)); #362122=DIRECTION('center_axis',(0.707106781186546,-0.707106781186549,0.)); #362123=DIRECTION('ref_axis',(0.707106781186549,0.707106781186546,0.)); #362124=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #362125=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #362126=DIRECTION('',(0.,0.,1.)); #362127=DIRECTION('center_axis',(1.,0.,0.)); #362128=DIRECTION('ref_axis',(0.,1.,0.)); #362129=DIRECTION('',(0.,1.,0.)); #362130=DIRECTION('',(0.,1.,0.)); #362131=DIRECTION('',(0.,0.,1.)); #362132=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #362133=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #362134=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #362135=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #362136=DIRECTION('',(0.,0.,1.)); #362137=DIRECTION('center_axis',(0.,0.,1.)); #362138=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #362139=DIRECTION('center_axis',(0.,0.,1.)); #362140=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #362141=DIRECTION('center_axis',(0.,0.,1.)); #362142=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #362143=DIRECTION('',(0.,0.,1.)); #362144=DIRECTION('center_axis',(1.,0.,0.)); #362145=DIRECTION('ref_axis',(0.,1.,0.)); #362146=DIRECTION('',(0.,1.,0.)); #362147=DIRECTION('',(0.,1.,0.)); #362148=DIRECTION('',(0.,0.,1.)); #362149=DIRECTION('center_axis',(0.,1.,0.)); #362150=DIRECTION('ref_axis',(-1.,0.,0.)); #362151=DIRECTION('',(-1.,0.,0.)); #362152=DIRECTION('',(-1.,0.,0.)); #362153=DIRECTION('center_axis',(0.,0.,1.)); #362154=DIRECTION('ref_axis',(1.,0.,0.)); #362155=DIRECTION('center_axis',(0.,0.,1.)); #362156=DIRECTION('ref_axis',(1.,0.,0.)); #362157=DIRECTION('center_axis',(1.,0.,0.)); #362158=DIRECTION('ref_axis',(0.,1.,0.)); #362159=DIRECTION('',(0.,1.,0.)); #362160=DIRECTION('',(0.,0.,1.)); #362161=DIRECTION('',(0.,1.,0.)); #362162=DIRECTION('',(0.,0.,1.)); #362163=DIRECTION('center_axis',(0.,-1.,0.)); #362164=DIRECTION('ref_axis',(1.,0.,0.)); #362165=DIRECTION('',(1.,0.,0.)); #362166=DIRECTION('',(1.,0.,0.)); #362167=DIRECTION('',(0.,0.,1.)); #362168=DIRECTION('center_axis',(-1.,0.,0.)); #362169=DIRECTION('ref_axis',(0.,-1.,0.)); #362170=DIRECTION('',(0.,-1.,0.)); #362171=DIRECTION('',(0.,-1.,0.)); #362172=DIRECTION('',(0.,0.,1.)); #362173=DIRECTION('center_axis',(0.,-1.,0.)); #362174=DIRECTION('ref_axis',(1.,0.,0.)); #362175=DIRECTION('',(1.,0.,0.)); #362176=DIRECTION('',(1.,0.,0.)); #362177=DIRECTION('',(0.,0.,1.)); #362178=DIRECTION('center_axis',(0.,0.,1.)); #362179=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #362180=DIRECTION('center_axis',(0.,0.,1.)); #362181=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #362182=DIRECTION('center_axis',(0.,0.,1.)); #362183=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #362184=DIRECTION('',(0.,0.,1.)); #362185=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #362186=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #362187=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #362188=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #362189=DIRECTION('',(0.,0.,1.)); #362190=DIRECTION('center_axis',(0.,-1.,0.)); #362191=DIRECTION('ref_axis',(1.,0.,0.)); #362192=DIRECTION('',(1.,0.,0.)); #362193=DIRECTION('',(1.,0.,0.)); #362194=DIRECTION('',(0.,0.,1.)); #362195=DIRECTION('center_axis',(0.,0.,1.)); #362196=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #362197=DIRECTION('center_axis',(0.,0.,1.)); #362198=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #362199=DIRECTION('center_axis',(0.,0.,1.)); #362200=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #362201=DIRECTION('',(0.,0.,1.)); #362202=DIRECTION('center_axis',(-0.707106781186546,-0.70710678118655,0.)); #362203=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186545,0.)); #362204=DIRECTION('',(0.707106781186549,-0.707106781186545,0.)); #362205=DIRECTION('',(0.707106781186549,-0.707106781186545,0.)); #362206=DIRECTION('',(0.,0.,1.)); #362207=DIRECTION('center_axis',(0.,-1.,0.)); #362208=DIRECTION('ref_axis',(1.,0.,0.)); #362209=DIRECTION('',(1.,0.,0.)); #362210=DIRECTION('',(1.,0.,0.)); #362211=DIRECTION('',(0.,0.,1.)); #362212=DIRECTION('center_axis',(-1.,0.,0.)); #362213=DIRECTION('ref_axis',(0.,-1.,0.)); #362214=DIRECTION('',(0.,-1.,0.)); #362215=DIRECTION('',(0.,-1.,0.)); #362216=DIRECTION('',(0.,0.,1.)); #362217=DIRECTION('center_axis',(0.,1.,0.)); #362218=DIRECTION('ref_axis',(-1.,0.,0.)); #362219=DIRECTION('',(-1.,0.,0.)); #362220=DIRECTION('',(-1.,0.,0.)); #362221=DIRECTION('',(0.,0.,1.)); #362222=DIRECTION('center_axis',(1.,0.,0.)); #362223=DIRECTION('ref_axis',(0.,1.,0.)); #362224=DIRECTION('',(0.,1.,0.)); #362225=DIRECTION('',(0.,1.,0.)); #362226=DIRECTION('',(0.,0.,1.)); #362227=DIRECTION('center_axis',(0.70710678118655,0.707106781186546,0.)); #362228=DIRECTION('ref_axis',(-0.707106781186545,0.707106781186549,0.)); #362229=DIRECTION('',(-0.707106781186545,0.707106781186549,0.)); #362230=DIRECTION('',(-0.707106781186545,0.707106781186549,0.)); #362231=DIRECTION('',(0.,0.,1.)); #362232=DIRECTION('center_axis',(0.,1.,0.)); #362233=DIRECTION('ref_axis',(-1.,0.,0.)); #362234=DIRECTION('',(-1.,0.,0.)); #362235=DIRECTION('',(-1.,0.,0.)); #362236=DIRECTION('',(0.,0.,1.)); #362237=DIRECTION('center_axis',(0.,0.,1.)); #362238=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #362239=DIRECTION('center_axis',(0.,0.,1.)); #362240=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #362241=DIRECTION('center_axis',(0.,0.,1.)); #362242=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #362243=DIRECTION('',(0.,0.,1.)); #362244=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #362245=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #362246=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #362247=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #362248=DIRECTION('',(0.,0.,1.)); #362249=DIRECTION('center_axis',(0.,1.,0.)); #362250=DIRECTION('ref_axis',(-1.,0.,0.)); #362251=DIRECTION('',(-1.,0.,0.)); #362252=DIRECTION('',(-1.,0.,0.)); #362253=DIRECTION('',(0.,0.,1.)); #362254=DIRECTION('center_axis',(-1.,0.,0.)); #362255=DIRECTION('ref_axis',(0.,-1.,0.)); #362256=DIRECTION('',(0.,-1.,0.)); #362257=DIRECTION('',(0.,-1.,0.)); #362258=DIRECTION('',(0.,0.,1.)); #362259=DIRECTION('center_axis',(0.,1.,0.)); #362260=DIRECTION('ref_axis',(-1.,0.,0.)); #362261=DIRECTION('',(-1.,0.,0.)); #362262=DIRECTION('',(-1.,0.,0.)); #362263=DIRECTION('center_axis',(0.,0.,1.)); #362264=DIRECTION('ref_axis',(1.,0.,0.)); #362265=DIRECTION('center_axis',(0.,0.,1.)); #362266=DIRECTION('ref_axis',(1.,0.,0.)); #362267=DIRECTION('center_axis',(0.,0.,1.)); #362268=DIRECTION('ref_axis',(1.,0.,0.)); #362269=DIRECTION('center_axis',(0.,0.,1.)); #362270=DIRECTION('ref_axis',(1.,0.,0.)); #362271=DIRECTION('',(0.,0.,1.)); #362272=DIRECTION('center_axis',(0.,0.,-1.)); #362273=DIRECTION('ref_axis',(1.,0.,0.)); #362274=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #362275=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #362276=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #362277=DIRECTION('',(0.,0.,1.)); #362278=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #362279=DIRECTION('',(0.,0.,1.)); #362280=DIRECTION('center_axis',(0.,1.,0.)); #362281=DIRECTION('ref_axis',(-1.,0.,0.)); #362282=DIRECTION('',(-1.,0.,0.)); #362283=DIRECTION('',(-1.,0.,0.)); #362284=DIRECTION('',(0.,0.,1.)); #362285=DIRECTION('center_axis',(0.,0.,1.)); #362286=DIRECTION('ref_axis',(0.123732154653925,0.992315652352968,0.)); #362287=DIRECTION('center_axis',(0.,0.,1.)); #362288=DIRECTION('ref_axis',(0.123732154653925,0.992315652352968,0.)); #362289=DIRECTION('center_axis',(0.,0.,1.)); #362290=DIRECTION('ref_axis',(0.123732154653925,0.992315652352968,0.)); #362291=DIRECTION('',(0.,0.,1.)); #362292=DIRECTION('center_axis',(0.228549733743527,0.973532238400847,0.)); #362293=DIRECTION('ref_axis',(-0.973532238400847,0.228549733743527,0.)); #362294=DIRECTION('',(-0.973532238400847,0.228549733743527,0.)); #362295=DIRECTION('',(-0.973532238400847,0.228549733743527,0.)); #362296=DIRECTION('',(0.,0.,1.)); #362297=DIRECTION('center_axis',(0.127810440204558,0.991798614323854,0.)); #362298=DIRECTION('ref_axis',(-0.991798614323854,0.127810440204558,0.)); #362299=DIRECTION('',(-0.991798614323854,0.127810440204558,0.)); #362300=DIRECTION('',(-0.991798614323854,0.127810440204558,0.)); #362301=DIRECTION('',(0.,0.,1.)); #362302=DIRECTION('center_axis',(0.358957638710899,0.933353852304203,0.)); #362303=DIRECTION('ref_axis',(-0.933353852304203,0.358957638710899,0.)); #362304=DIRECTION('',(-0.933353852304203,0.358957638710899,0.)); #362305=DIRECTION('',(-0.933353852304203,0.358957638710899,0.)); #362306=DIRECTION('',(0.,0.,1.)); #362307=DIRECTION('center_axis',(0.,0.,1.)); #362308=DIRECTION('ref_axis',(0.827588579700001,0.561335142985128,0.)); #362309=DIRECTION('center_axis',(0.,0.,1.)); #362310=DIRECTION('ref_axis',(0.827588579700001,0.561335142985128,0.)); #362311=DIRECTION('center_axis',(0.,0.,1.)); #362312=DIRECTION('ref_axis',(0.827588579700001,0.561335142985128,0.)); #362313=DIRECTION('',(0.,0.,1.)); #362314=DIRECTION('center_axis',(0.707106876875023,0.70710668549806,0.)); #362315=DIRECTION('ref_axis',(-0.707106685498059,0.707106876875023,0.)); #362316=DIRECTION('',(-0.707106685498059,0.707106876875023,0.)); #362317=DIRECTION('',(-0.707106685498059,0.707106876875023,0.)); #362318=DIRECTION('',(0.,0.,1.)); #362319=DIRECTION('center_axis',(0.,1.,0.)); #362320=DIRECTION('ref_axis',(-1.,0.,0.)); #362321=DIRECTION('',(-1.,0.,0.)); #362322=DIRECTION('',(-1.,0.,0.)); #362323=DIRECTION('',(0.,0.,1.)); #362324=DIRECTION('center_axis',(0.,0.,1.)); #362325=DIRECTION('ref_axis',(0.923607696029128,0.383339045540324,0.)); #362326=DIRECTION('center_axis',(0.,0.,1.)); #362327=DIRECTION('ref_axis',(0.923607696029128,0.383339045540324,0.)); #362328=DIRECTION('center_axis',(0.,0.,1.)); #362329=DIRECTION('ref_axis',(0.923607696029128,0.383339045540324,0.)); #362330=DIRECTION('',(0.,0.,1.)); #362331=DIRECTION('center_axis',(0.,0.,1.)); #362332=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #362333=DIRECTION('center_axis',(0.,0.,1.)); #362334=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #362335=DIRECTION('center_axis',(0.,0.,1.)); #362336=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #362337=DIRECTION('',(0.,0.,1.)); #362338=DIRECTION('center_axis',(0.,-1.,0.)); #362339=DIRECTION('ref_axis',(1.,0.,0.)); #362340=DIRECTION('',(1.,0.,0.)); #362341=DIRECTION('',(1.,0.,0.)); #362342=DIRECTION('',(0.,0.,1.)); #362343=DIRECTION('center_axis',(0.,0.,1.)); #362344=DIRECTION('ref_axis',(-0.707102614154292,-0.707110948194246,0.)); #362345=DIRECTION('center_axis',(0.,0.,1.)); #362346=DIRECTION('ref_axis',(-0.707102614154292,-0.707110948194246,0.)); #362347=DIRECTION('center_axis',(0.,0.,1.)); #362348=DIRECTION('ref_axis',(-0.707102614154292,-0.707110948194246,0.)); #362349=DIRECTION('',(0.,0.,1.)); #362350=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #362351=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #362352=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #362353=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #362354=DIRECTION('',(0.,0.,1.)); #362355=DIRECTION('center_axis',(0.,-1.,0.)); #362356=DIRECTION('ref_axis',(1.,0.,0.)); #362357=DIRECTION('',(1.,0.,0.)); #362358=DIRECTION('',(1.,0.,0.)); #362359=DIRECTION('',(0.,0.,1.)); #362360=DIRECTION('center_axis',(0.,0.,1.)); #362361=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #362362=DIRECTION('center_axis',(0.,0.,1.)); #362363=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #362364=DIRECTION('center_axis',(0.,0.,1.)); #362365=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #362366=DIRECTION('',(0.,0.,1.)); #362367=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #362368=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #362369=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #362370=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #362371=DIRECTION('',(0.,0.,1.)); #362372=DIRECTION('center_axis',(0.,-1.,0.)); #362373=DIRECTION('ref_axis',(1.,0.,0.)); #362374=DIRECTION('',(1.,0.,0.)); #362375=DIRECTION('',(1.,0.,0.)); #362376=DIRECTION('',(0.,0.,1.)); #362377=DIRECTION('center_axis',(-1.,0.,0.)); #362378=DIRECTION('ref_axis',(0.,-1.,0.)); #362379=DIRECTION('',(0.,-1.,0.)); #362380=DIRECTION('',(0.,-1.,0.)); #362381=DIRECTION('',(0.,0.,1.)); #362382=DIRECTION('center_axis',(0.,1.,0.)); #362383=DIRECTION('ref_axis',(-1.,0.,0.)); #362384=DIRECTION('',(-1.,0.,0.)); #362385=DIRECTION('',(-1.,0.,0.)); #362386=DIRECTION('',(0.,0.,1.)); #362387=DIRECTION('center_axis',(1.,0.,0.)); #362388=DIRECTION('ref_axis',(0.,1.,0.)); #362389=DIRECTION('',(0.,1.,0.)); #362390=DIRECTION('',(0.,1.,0.)); #362391=DIRECTION('center_axis',(0.,0.,1.)); #362392=DIRECTION('ref_axis',(1.,0.,0.)); #362393=DIRECTION('center_axis',(0.,0.,1.)); #362394=DIRECTION('ref_axis',(1.,0.,0.)); #362395=DIRECTION('center_axis',(0.,0.,1.)); #362396=DIRECTION('ref_axis',(1.,0.,0.)); #362397=DIRECTION('center_axis',(0.,0.,1.)); #362398=DIRECTION('ref_axis',(1.,0.,0.)); #362399=DIRECTION('',(0.,0.,1.)); #362400=DIRECTION('center_axis',(0.,0.,-1.)); #362401=DIRECTION('ref_axis',(1.,0.,0.)); #362402=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #362403=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #362404=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #362405=DIRECTION('',(0.,0.,1.)); #362406=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #362407=DIRECTION('',(0.,0.,1.)); #362408=DIRECTION('center_axis',(0.,0.,1.)); #362409=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #362410=DIRECTION('center_axis',(0.,0.,1.)); #362411=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #362412=DIRECTION('center_axis',(0.,0.,1.)); #362413=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #362414=DIRECTION('',(0.,0.,1.)); #362415=DIRECTION('center_axis',(-1.,0.,0.)); #362416=DIRECTION('ref_axis',(0.,-1.,0.)); #362417=DIRECTION('',(0.,-1.,0.)); #362418=DIRECTION('',(0.,-1.,0.)); #362419=DIRECTION('',(0.,0.,1.)); #362420=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #362421=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #362422=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #362423=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #362424=DIRECTION('',(0.,0.,1.)); #362425=DIRECTION('center_axis',(0.,0.,1.)); #362426=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #362427=DIRECTION('center_axis',(0.,0.,1.)); #362428=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #362429=DIRECTION('center_axis',(0.,0.,1.)); #362430=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #362431=DIRECTION('',(0.,0.,1.)); #362432=DIRECTION('center_axis',(-1.,0.,0.)); #362433=DIRECTION('ref_axis',(0.,-1.,0.)); #362434=DIRECTION('',(0.,-1.,0.)); #362435=DIRECTION('',(0.,-1.,0.)); #362436=DIRECTION('',(0.,0.,1.)); #362437=DIRECTION('center_axis',(0.,-1.,0.)); #362438=DIRECTION('ref_axis',(1.,0.,0.)); #362439=DIRECTION('',(1.,0.,0.)); #362440=DIRECTION('',(1.,0.,0.)); #362441=DIRECTION('',(0.,0.,1.)); #362442=DIRECTION('center_axis',(-1.,0.,0.)); #362443=DIRECTION('ref_axis',(0.,-1.,0.)); #362444=DIRECTION('',(0.,-1.,0.)); #362445=DIRECTION('',(0.,-1.,0.)); #362446=DIRECTION('',(0.,0.,1.)); #362447=DIRECTION('center_axis',(0.,1.,0.)); #362448=DIRECTION('ref_axis',(-1.,0.,0.)); #362449=DIRECTION('',(-1.,0.,0.)); #362450=DIRECTION('',(-1.,0.,0.)); #362451=DIRECTION('',(0.,0.,1.)); #362452=DIRECTION('center_axis',(1.,0.,0.)); #362453=DIRECTION('ref_axis',(0.,1.,0.)); #362454=DIRECTION('',(0.,1.,0.)); #362455=DIRECTION('',(0.,1.,0.)); #362456=DIRECTION('',(0.,0.,1.)); #362457=DIRECTION('center_axis',(0.,-1.,0.)); #362458=DIRECTION('ref_axis',(1.,0.,0.)); #362459=DIRECTION('',(1.,0.,0.)); #362460=DIRECTION('',(1.,0.,0.)); #362461=DIRECTION('',(0.,0.,1.)); #362462=DIRECTION('center_axis',(1.,0.,0.)); #362463=DIRECTION('ref_axis',(0.,1.,0.)); #362464=DIRECTION('',(0.,1.,0.)); #362465=DIRECTION('',(0.,1.,0.)); #362466=DIRECTION('',(0.,0.,1.)); #362467=DIRECTION('center_axis',(0.707106781186551,0.707106781186544,0.)); #362468=DIRECTION('ref_axis',(-0.707106781186544,0.707106781186551,0.)); #362469=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #362470=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #362471=DIRECTION('',(0.,0.,1.)); #362472=DIRECTION('center_axis',(0.,0.,1.)); #362473=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #362474=DIRECTION('center_axis',(0.,0.,1.)); #362475=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #362476=DIRECTION('center_axis',(0.,0.,1.)); #362477=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #362478=DIRECTION('',(0.,0.,1.)); #362479=DIRECTION('center_axis',(1.,0.,0.)); #362480=DIRECTION('ref_axis',(0.,1.,0.)); #362481=DIRECTION('',(0.,1.,0.)); #362482=DIRECTION('',(0.,1.,0.)); #362483=DIRECTION('',(0.,0.,1.)); #362484=DIRECTION('center_axis',(0.,0.,1.)); #362485=DIRECTION('ref_axis',(0.234004121468793,-0.972235604745896,0.)); #362486=DIRECTION('center_axis',(0.,0.,1.)); #362487=DIRECTION('ref_axis',(0.234004121468793,-0.972235604745896,0.)); #362488=DIRECTION('center_axis',(0.,0.,1.)); #362489=DIRECTION('ref_axis',(0.234004121468793,-0.972235604745896,0.)); #362490=DIRECTION('center_axis',(0.,0.,1.)); #362491=DIRECTION('ref_axis',(1.,0.,0.)); #362492=DIRECTION('center_axis',(0.,0.,1.)); #362493=DIRECTION('ref_axis',(1.,0.,0.)); #362494=DIRECTION('center_axis',(0.,0.,1.)); #362495=DIRECTION('ref_axis',(1.,0.,0.)); #362496=DIRECTION('center_axis',(0.,0.,1.)); #362497=DIRECTION('ref_axis',(1.,0.,0.)); #362498=DIRECTION('',(0.,0.,1.)); #362499=DIRECTION('center_axis',(0.,0.,-1.)); #362500=DIRECTION('ref_axis',(1.,0.,0.)); #362501=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #362502=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #362503=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #362504=DIRECTION('',(0.,0.,1.)); #362505=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #362506=DIRECTION('',(0.,0.,1.)); #362507=DIRECTION('center_axis',(0.,0.,1.)); #362508=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #362509=DIRECTION('center_axis',(0.,0.,1.)); #362510=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #362511=DIRECTION('center_axis',(0.,0.,1.)); #362512=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #362513=DIRECTION('',(0.,0.,1.)); #362514=DIRECTION('center_axis',(0.,0.,1.)); #362515=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #362516=DIRECTION('center_axis',(0.,0.,1.)); #362517=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #362518=DIRECTION('center_axis',(0.,0.,1.)); #362519=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #362520=DIRECTION('',(0.,0.,1.)); #362521=DIRECTION('center_axis',(0.,1.,0.)); #362522=DIRECTION('ref_axis',(-1.,0.,0.)); #362523=DIRECTION('',(-1.,0.,0.)); #362524=DIRECTION('',(-1.,0.,0.)); #362525=DIRECTION('',(0.,0.,1.)); #362526=DIRECTION('center_axis',(-0.707106781186547,0.707106781186549,0.)); #362527=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186546,0.)); #362528=DIRECTION('',(-0.707106781186548,-0.707106781186546,0.)); #362529=DIRECTION('',(-0.707106781186548,-0.707106781186546,0.)); #362530=DIRECTION('',(0.,0.,1.)); #362531=DIRECTION('center_axis',(-1.,0.,0.)); #362532=DIRECTION('ref_axis',(0.,-1.,0.)); #362533=DIRECTION('',(0.,-1.,0.)); #362534=DIRECTION('',(0.,-1.,0.)); #362535=DIRECTION('',(0.,0.,1.)); #362536=DIRECTION('center_axis',(0.,1.,0.)); #362537=DIRECTION('ref_axis',(-1.,0.,0.)); #362538=DIRECTION('',(-1.,0.,0.)); #362539=DIRECTION('',(-1.,0.,0.)); #362540=DIRECTION('',(0.,0.,1.)); #362541=DIRECTION('center_axis',(1.,0.,0.)); #362542=DIRECTION('ref_axis',(0.,1.,0.)); #362543=DIRECTION('',(0.,1.,0.)); #362544=DIRECTION('',(0.,1.,0.)); #362545=DIRECTION('',(0.,0.,1.)); #362546=DIRECTION('center_axis',(0.,-1.,0.)); #362547=DIRECTION('ref_axis',(1.,0.,0.)); #362548=DIRECTION('',(1.,0.,0.)); #362549=DIRECTION('',(1.,0.,0.)); #362550=DIRECTION('',(0.,0.,1.)); #362551=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #362552=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #362553=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #362554=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #362555=DIRECTION('',(0.,0.,1.)); #362556=DIRECTION('center_axis',(0.,0.,1.)); #362557=DIRECTION('ref_axis',(-5.90313868192593E-6,-0.999999999982576,0.)); #362558=DIRECTION('center_axis',(0.,0.,1.)); #362559=DIRECTION('ref_axis',(-5.90313868192593E-6,-0.999999999982576,0.)); #362560=DIRECTION('center_axis',(0.,0.,1.)); #362561=DIRECTION('ref_axis',(-5.90313868192593E-6,-0.999999999982576,0.)); #362562=DIRECTION('',(0.,0.,1.)); #362563=DIRECTION('center_axis',(0.,-1.,0.)); #362564=DIRECTION('ref_axis',(1.,0.,0.)); #362565=DIRECTION('',(1.,0.,0.)); #362566=DIRECTION('',(1.,0.,0.)); #362567=DIRECTION('',(0.,0.,1.)); #362568=DIRECTION('center_axis',(0.,0.,1.)); #362569=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #362570=DIRECTION('center_axis',(0.,0.,1.)); #362571=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #362572=DIRECTION('center_axis',(0.,0.,1.)); #362573=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #362574=DIRECTION('center_axis',(0.,0.,1.)); #362575=DIRECTION('ref_axis',(1.,0.,0.)); #362576=DIRECTION('center_axis',(0.,0.,1.)); #362577=DIRECTION('ref_axis',(1.,0.,0.)); #362578=DIRECTION('center_axis',(0.,0.,1.)); #362579=DIRECTION('ref_axis',(1.,0.,0.)); #362580=DIRECTION('center_axis',(0.,0.,1.)); #362581=DIRECTION('ref_axis',(1.,0.,0.)); #362582=DIRECTION('',(0.,0.,1.)); #362583=DIRECTION('center_axis',(0.,0.,-1.)); #362584=DIRECTION('ref_axis',(1.,0.,0.)); #362585=DIRECTION('center_axis',(1.,0.,0.)); #362586=DIRECTION('ref_axis',(0.,1.,0.)); #362587=DIRECTION('',(0.,1.,0.)); #362588=DIRECTION('',(0.,0.,1.)); #362589=DIRECTION('',(0.,1.,0.)); #362590=DIRECTION('',(0.,0.,1.)); #362591=DIRECTION('center_axis',(0.,-1.,0.)); #362592=DIRECTION('ref_axis',(1.,0.,0.)); #362593=DIRECTION('',(1.,0.,0.)); #362594=DIRECTION('',(1.,0.,0.)); #362595=DIRECTION('',(0.,0.,1.)); #362596=DIRECTION('center_axis',(-1.,0.,0.)); #362597=DIRECTION('ref_axis',(0.,-1.,0.)); #362598=DIRECTION('',(0.,-1.,0.)); #362599=DIRECTION('',(0.,-1.,0.)); #362600=DIRECTION('',(0.,0.,1.)); #362601=DIRECTION('center_axis',(0.,1.,0.)); #362602=DIRECTION('ref_axis',(-1.,0.,0.)); #362603=DIRECTION('',(-1.,0.,0.)); #362604=DIRECTION('',(-1.,0.,0.)); #362605=DIRECTION('',(0.,0.,1.)); #362606=DIRECTION('center_axis',(-1.,0.,0.)); #362607=DIRECTION('ref_axis',(0.,-1.,0.)); #362608=DIRECTION('',(0.,-1.,0.)); #362609=DIRECTION('',(0.,-1.,0.)); #362610=DIRECTION('',(0.,0.,1.)); #362611=DIRECTION('center_axis',(0.,0.,1.)); #362612=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #362613=DIRECTION('center_axis',(0.,0.,1.)); #362614=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #362615=DIRECTION('center_axis',(0.,0.,1.)); #362616=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #362617=DIRECTION('',(0.,0.,1.)); #362618=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #362619=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #362620=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #362621=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #362622=DIRECTION('',(0.,0.,1.)); #362623=DIRECTION('center_axis',(-1.,0.,0.)); #362624=DIRECTION('ref_axis',(0.,-1.,0.)); #362625=DIRECTION('',(0.,-1.,0.)); #362626=DIRECTION('',(0.,-1.,0.)); #362627=DIRECTION('',(0.,0.,1.)); #362628=DIRECTION('center_axis',(0.,0.,1.)); #362629=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #362630=DIRECTION('center_axis',(0.,0.,1.)); #362631=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #362632=DIRECTION('center_axis',(0.,0.,1.)); #362633=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #362634=DIRECTION('',(0.,0.,1.)); #362635=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,0.)); #362636=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); #362637=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #362638=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #362639=DIRECTION('',(0.,0.,1.)); #362640=DIRECTION('center_axis',(0.,0.,1.)); #362641=DIRECTION('ref_axis',(0.382023508072098,0.924152606056103,0.)); #362642=DIRECTION('center_axis',(0.,0.,1.)); #362643=DIRECTION('ref_axis',(0.382023508072098,0.924152606056103,0.)); #362644=DIRECTION('center_axis',(0.,0.,1.)); #362645=DIRECTION('ref_axis',(0.382023508072098,0.924152606056103,0.)); #362646=DIRECTION('',(0.,0.,1.)); #362647=DIRECTION('center_axis',(0.,0.,1.)); #362648=DIRECTION('ref_axis',(-0.300711620456786,-0.95371511538942,0.)); #362649=DIRECTION('center_axis',(0.,0.,1.)); #362650=DIRECTION('ref_axis',(-0.300711620456786,-0.95371511538942,0.)); #362651=DIRECTION('center_axis',(0.,0.,1.)); #362652=DIRECTION('ref_axis',(-0.300711620456786,-0.95371511538942,0.)); #362653=DIRECTION('',(0.,0.,1.)); #362654=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #362655=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #362656=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #362657=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #362658=DIRECTION('',(0.,0.,1.)); #362659=DIRECTION('center_axis',(1.,0.,0.)); #362660=DIRECTION('ref_axis',(0.,1.,0.)); #362661=DIRECTION('',(0.,1.,0.)); #362662=DIRECTION('',(0.,1.,0.)); #362663=DIRECTION('',(0.,0.,1.)); #362664=DIRECTION('center_axis',(0.,0.,1.)); #362665=DIRECTION('ref_axis',(0.707110948194325,-0.707102614154214,0.)); #362666=DIRECTION('center_axis',(0.,0.,1.)); #362667=DIRECTION('ref_axis',(0.707110948194325,-0.707102614154214,0.)); #362668=DIRECTION('center_axis',(0.,0.,1.)); #362669=DIRECTION('ref_axis',(0.707110948194325,-0.707102614154214,0.)); #362670=DIRECTION('',(0.,0.,1.)); #362671=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #362672=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #362673=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #362674=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #362675=DIRECTION('',(0.,0.,1.)); #362676=DIRECTION('center_axis',(1.,0.,0.)); #362677=DIRECTION('ref_axis',(0.,1.,0.)); #362678=DIRECTION('',(0.,1.,0.)); #362679=DIRECTION('',(0.,1.,0.)); #362680=DIRECTION('',(0.,0.,1.)); #362681=DIRECTION('center_axis',(0.,1.,0.)); #362682=DIRECTION('ref_axis',(-1.,0.,0.)); #362683=DIRECTION('',(-1.,0.,0.)); #362684=DIRECTION('',(-1.,0.,0.)); #362685=DIRECTION('center_axis',(0.,0.,1.)); #362686=DIRECTION('ref_axis',(1.,0.,0.)); #362687=DIRECTION('center_axis',(0.,0.,1.)); #362688=DIRECTION('ref_axis',(1.,0.,0.)); #362689=DIRECTION('center_axis',(0.,0.,1.)); #362690=DIRECTION('ref_axis',(1.,0.,0.)); #362691=DIRECTION('center_axis',(0.,0.,1.)); #362692=DIRECTION('ref_axis',(1.,0.,0.)); #362693=DIRECTION('',(0.,0.,1.)); #362694=DIRECTION('center_axis',(0.,0.,-1.)); #362695=DIRECTION('ref_axis',(1.,0.,0.)); #362696=DIRECTION('center_axis',(0.156447762252232,-0.987686234431902,0.)); #362697=DIRECTION('ref_axis',(0.987686234431902,0.156447762252232,0.)); #362698=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #362699=DIRECTION('',(0.,0.,1.)); #362700=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #362701=DIRECTION('',(0.,0.,1.)); #362702=DIRECTION('center_axis',(0.,0.,1.)); #362703=DIRECTION('ref_axis',(-0.888045829238886,-0.459754940344765,0.)); #362704=DIRECTION('center_axis',(0.,0.,1.)); #362705=DIRECTION('ref_axis',(-0.888045829238886,-0.459754940344765,0.)); #362706=DIRECTION('center_axis',(0.,0.,1.)); #362707=DIRECTION('ref_axis',(-0.888045829238886,-0.459754940344765,0.)); #362708=DIRECTION('',(0.,0.,1.)); #362709=DIRECTION('center_axis',(0.,-1.,0.)); #362710=DIRECTION('ref_axis',(1.,0.,0.)); #362711=DIRECTION('',(1.,0.,0.)); #362712=DIRECTION('',(1.,0.,0.)); #362713=DIRECTION('',(0.,0.,1.)); #362714=DIRECTION('center_axis',(0.,0.,1.)); #362715=DIRECTION('ref_axis',(-0.707099830320263,-0.707113731984505,0.)); #362716=DIRECTION('center_axis',(0.,0.,1.)); #362717=DIRECTION('ref_axis',(-0.707099830320263,-0.707113731984505,0.)); #362718=DIRECTION('center_axis',(0.,0.,1.)); #362719=DIRECTION('ref_axis',(-0.707099830320263,-0.707113731984505,0.)); #362720=DIRECTION('',(0.,0.,1.)); #362721=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #362722=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #362723=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #362724=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #362725=DIRECTION('',(0.,0.,1.)); #362726=DIRECTION('center_axis',(0.,-1.,0.)); #362727=DIRECTION('ref_axis',(1.,0.,0.)); #362728=DIRECTION('',(1.,0.,0.)); #362729=DIRECTION('',(1.,0.,0.)); #362730=DIRECTION('',(0.,0.,1.)); #362731=DIRECTION('center_axis',(-1.,0.,0.)); #362732=DIRECTION('ref_axis',(0.,-1.,0.)); #362733=DIRECTION('',(0.,-1.,0.)); #362734=DIRECTION('',(0.,-1.,0.)); #362735=DIRECTION('',(0.,0.,1.)); #362736=DIRECTION('center_axis',(0.,1.,0.)); #362737=DIRECTION('ref_axis',(-1.,0.,0.)); #362738=DIRECTION('',(-1.,0.,0.)); #362739=DIRECTION('',(-1.,0.,0.)); #362740=DIRECTION('',(0.,0.,1.)); #362741=DIRECTION('center_axis',(1.,0.,0.)); #362742=DIRECTION('ref_axis',(0.,1.,0.)); #362743=DIRECTION('',(0.,1.,0.)); #362744=DIRECTION('',(0.,1.,0.)); #362745=DIRECTION('',(0.,0.,1.)); #362746=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #362747=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #362748=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #362749=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #362750=DIRECTION('',(0.,0.,1.)); #362751=DIRECTION('center_axis',(0.,1.,0.)); #362752=DIRECTION('ref_axis',(-1.,0.,0.)); #362753=DIRECTION('',(-1.,0.,0.)); #362754=DIRECTION('',(-1.,0.,0.)); #362755=DIRECTION('',(0.,0.,1.)); #362756=DIRECTION('center_axis',(0.,0.,1.)); #362757=DIRECTION('ref_axis',(-0.236706046226624,0.971581312953146,0.)); #362758=DIRECTION('center_axis',(0.,0.,1.)); #362759=DIRECTION('ref_axis',(-0.236706046226624,0.971581312953146,0.)); #362760=DIRECTION('center_axis',(0.,0.,1.)); #362761=DIRECTION('ref_axis',(-0.236706046226624,0.971581312953146,0.)); #362762=DIRECTION('',(0.,0.,1.)); #362763=DIRECTION('center_axis',(0.,0.,1.)); #362764=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268748,0.)); #362765=DIRECTION('center_axis',(0.,0.,1.)); #362766=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268748,0.)); #362767=DIRECTION('center_axis',(0.,0.,1.)); #362768=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268748,0.)); #362769=DIRECTION('center_axis',(0.,0.,1.)); #362770=DIRECTION('ref_axis',(1.,0.,0.)); #362771=DIRECTION('center_axis',(0.,0.,1.)); #362772=DIRECTION('ref_axis',(1.,0.,0.)); #362773=DIRECTION('center_axis',(0.,0.,1.)); #362774=DIRECTION('ref_axis',(1.,0.,0.)); #362775=DIRECTION('center_axis',(0.,0.,1.)); #362776=DIRECTION('ref_axis',(1.,0.,0.)); #362777=DIRECTION('',(0.,0.,1.)); #362778=DIRECTION('center_axis',(0.,0.,-1.)); #362779=DIRECTION('ref_axis',(1.,0.,0.)); #362780=DIRECTION('center_axis',(1.,0.,0.)); #362781=DIRECTION('ref_axis',(0.,1.,0.)); #362782=DIRECTION('',(0.,1.,0.)); #362783=DIRECTION('',(0.,0.,1.)); #362784=DIRECTION('',(0.,1.,0.)); #362785=DIRECTION('',(0.,0.,1.)); #362786=DIRECTION('center_axis',(0.,-1.,0.)); #362787=DIRECTION('ref_axis',(1.,0.,0.)); #362788=DIRECTION('',(1.,0.,0.)); #362789=DIRECTION('',(1.,0.,0.)); #362790=DIRECTION('',(0.,0.,1.)); #362791=DIRECTION('center_axis',(-1.,0.,0.)); #362792=DIRECTION('ref_axis',(0.,-1.,0.)); #362793=DIRECTION('',(0.,-1.,0.)); #362794=DIRECTION('',(0.,-1.,0.)); #362795=DIRECTION('',(0.,0.,1.)); #362796=DIRECTION('center_axis',(0.,1.,0.)); #362797=DIRECTION('ref_axis',(-1.,0.,0.)); #362798=DIRECTION('',(-1.,0.,0.)); #362799=DIRECTION('',(-1.,0.,0.)); #362800=DIRECTION('',(0.,0.,1.)); #362801=DIRECTION('center_axis',(-1.,0.,0.)); #362802=DIRECTION('ref_axis',(0.,-1.,0.)); #362803=DIRECTION('',(0.,-1.,0.)); #362804=DIRECTION('',(0.,-1.,0.)); #362805=DIRECTION('',(0.,0.,1.)); #362806=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #362807=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #362808=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #362809=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #362810=DIRECTION('',(0.,0.,1.)); #362811=DIRECTION('center_axis',(0.,0.,1.)); #362812=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #362813=DIRECTION('center_axis',(0.,0.,1.)); #362814=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #362815=DIRECTION('center_axis',(0.,0.,1.)); #362816=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #362817=DIRECTION('',(0.,0.,1.)); #362818=DIRECTION('center_axis',(-1.,0.,0.)); #362819=DIRECTION('ref_axis',(0.,-1.,0.)); #362820=DIRECTION('',(0.,-1.,0.)); #362821=DIRECTION('',(0.,-1.,0.)); #362822=DIRECTION('',(0.,0.,1.)); #362823=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #362824=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #362825=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #362826=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #362827=DIRECTION('',(0.,0.,1.)); #362828=DIRECTION('center_axis',(0.,0.,1.)); #362829=DIRECTION('ref_axis',(-0.924152606056098,0.382023508072112,0.)); #362830=DIRECTION('center_axis',(0.,0.,1.)); #362831=DIRECTION('ref_axis',(-0.924152606056098,0.382023508072112,0.)); #362832=DIRECTION('center_axis',(0.,0.,1.)); #362833=DIRECTION('ref_axis',(-0.924152606056098,0.382023508072112,0.)); #362834=DIRECTION('',(0.,0.,1.)); #362835=DIRECTION('center_axis',(0.,0.,1.)); #362836=DIRECTION('ref_axis',(0.953715302291666,-0.300711027690565,0.)); #362837=DIRECTION('center_axis',(0.,0.,1.)); #362838=DIRECTION('ref_axis',(0.953715302291666,-0.300711027690565,0.)); #362839=DIRECTION('center_axis',(0.,0.,1.)); #362840=DIRECTION('ref_axis',(0.953715302291666,-0.300711027690565,0.)); #362841=DIRECTION('',(0.,0.,1.)); #362842=DIRECTION('center_axis',(0.707106962262367,0.707106600110681,0.)); #362843=DIRECTION('ref_axis',(-0.707106600110681,0.707106962262367,0.)); #362844=DIRECTION('',(-0.707106600110681,0.707106962262367,0.)); #362845=DIRECTION('',(-0.707106600110681,0.707106962262367,0.)); #362846=DIRECTION('',(0.,0.,1.)); #362847=DIRECTION('center_axis',(0.,0.,1.)); #362848=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #362849=DIRECTION('center_axis',(0.,0.,1.)); #362850=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #362851=DIRECTION('center_axis',(0.,0.,1.)); #362852=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #362853=DIRECTION('',(0.,0.,1.)); #362854=DIRECTION('center_axis',(1.,0.,0.)); #362855=DIRECTION('ref_axis',(0.,1.,0.)); #362856=DIRECTION('',(0.,1.,0.)); #362857=DIRECTION('',(0.,1.,0.)); #362858=DIRECTION('',(0.,0.,1.)); #362859=DIRECTION('center_axis',(0.707106781186553,0.707106781186542,0.)); #362860=DIRECTION('ref_axis',(-0.707106781186542,0.707106781186553,0.)); #362861=DIRECTION('',(-0.707106781186542,0.707106781186553,0.)); #362862=DIRECTION('',(-0.707106781186542,0.707106781186553,0.)); #362863=DIRECTION('',(0.,0.,1.)); #362864=DIRECTION('center_axis',(0.,0.,1.)); #362865=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #362866=DIRECTION('center_axis',(0.,0.,1.)); #362867=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #362868=DIRECTION('center_axis',(0.,0.,1.)); #362869=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #362870=DIRECTION('',(0.,0.,1.)); #362871=DIRECTION('center_axis',(1.,0.,0.)); #362872=DIRECTION('ref_axis',(0.,1.,0.)); #362873=DIRECTION('',(0.,1.,0.)); #362874=DIRECTION('',(0.,1.,0.)); #362875=DIRECTION('',(0.,0.,1.)); #362876=DIRECTION('center_axis',(0.,1.,0.)); #362877=DIRECTION('ref_axis',(-1.,0.,0.)); #362878=DIRECTION('',(-1.,0.,0.)); #362879=DIRECTION('',(-1.,0.,0.)); #362880=DIRECTION('center_axis',(0.,0.,1.)); #362881=DIRECTION('ref_axis',(1.,0.,0.)); #362882=DIRECTION('center_axis',(0.,0.,1.)); #362883=DIRECTION('ref_axis',(1.,0.,0.)); #362884=DIRECTION('center_axis',(0.,0.,1.)); #362885=DIRECTION('ref_axis',(1.,0.,0.)); #362886=DIRECTION('center_axis',(0.,0.,1.)); #362887=DIRECTION('ref_axis',(1.,0.,0.)); #362888=DIRECTION('',(0.,0.,1.)); #362889=DIRECTION('center_axis',(0.,0.,-1.)); #362890=DIRECTION('ref_axis',(1.,0.,0.)); #362891=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #362892=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #362893=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #362894=DIRECTION('',(0.,0.,1.)); #362895=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #362896=DIRECTION('',(0.,0.,1.)); #362897=DIRECTION('center_axis',(0.,0.,1.)); #362898=DIRECTION('ref_axis',(-0.88804582923889,-0.459754940344759,0.)); #362899=DIRECTION('center_axis',(0.,0.,1.)); #362900=DIRECTION('ref_axis',(-0.88804582923889,-0.459754940344759,0.)); #362901=DIRECTION('center_axis',(0.,0.,1.)); #362902=DIRECTION('ref_axis',(-0.88804582923889,-0.459754940344759,0.)); #362903=DIRECTION('',(0.,0.,1.)); #362904=DIRECTION('center_axis',(0.,-1.,0.)); #362905=DIRECTION('ref_axis',(1.,0.,0.)); #362906=DIRECTION('',(1.,0.,0.)); #362907=DIRECTION('',(1.,0.,0.)); #362908=DIRECTION('',(0.,0.,1.)); #362909=DIRECTION('center_axis',(1.,0.,0.)); #362910=DIRECTION('ref_axis',(0.,1.,0.)); #362911=DIRECTION('',(0.,1.,0.)); #362912=DIRECTION('',(0.,1.,0.)); #362913=DIRECTION('',(0.,0.,1.)); #362914=DIRECTION('center_axis',(0.,-1.,0.)); #362915=DIRECTION('ref_axis',(1.,0.,0.)); #362916=DIRECTION('',(1.,0.,0.)); #362917=DIRECTION('',(1.,0.,0.)); #362918=DIRECTION('',(0.,0.,1.)); #362919=DIRECTION('center_axis',(-1.,0.,0.)); #362920=DIRECTION('ref_axis',(0.,-1.,0.)); #362921=DIRECTION('',(0.,-1.,0.)); #362922=DIRECTION('',(0.,-1.,0.)); #362923=DIRECTION('',(0.,0.,1.)); #362924=DIRECTION('center_axis',(0.,1.,0.)); #362925=DIRECTION('ref_axis',(-1.,0.,0.)); #362926=DIRECTION('',(-1.,0.,0.)); #362927=DIRECTION('',(-1.,0.,0.)); #362928=DIRECTION('',(0.,0.,1.)); #362929=DIRECTION('center_axis',(1.,0.,0.)); #362930=DIRECTION('ref_axis',(0.,1.,0.)); #362931=DIRECTION('',(0.,1.,0.)); #362932=DIRECTION('',(0.,1.,0.)); #362933=DIRECTION('',(0.,0.,1.)); #362934=DIRECTION('center_axis',(0.,1.,0.)); #362935=DIRECTION('ref_axis',(-1.,0.,0.)); #362936=DIRECTION('',(-1.,0.,0.)); #362937=DIRECTION('',(-1.,0.,0.)); #362938=DIRECTION('',(0.,0.,1.)); #362939=DIRECTION('center_axis',(0.,0.,1.)); #362940=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #362941=DIRECTION('center_axis',(0.,0.,1.)); #362942=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #362943=DIRECTION('center_axis',(0.,0.,1.)); #362944=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #362945=DIRECTION('',(0.,0.,1.)); #362946=DIRECTION('center_axis',(0.,0.,1.)); #362947=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #362948=DIRECTION('center_axis',(0.,0.,1.)); #362949=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #362950=DIRECTION('center_axis',(0.,0.,1.)); #362951=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #362952=DIRECTION('center_axis',(0.,0.,1.)); #362953=DIRECTION('ref_axis',(1.,0.,0.)); #362954=DIRECTION('center_axis',(0.,0.,1.)); #362955=DIRECTION('ref_axis',(1.,0.,0.)); #362956=DIRECTION('center_axis',(0.,0.,1.)); #362957=DIRECTION('ref_axis',(1.,0.,0.)); #362958=DIRECTION('center_axis',(0.,0.,1.)); #362959=DIRECTION('ref_axis',(1.,0.,0.)); #362960=DIRECTION('',(0.,0.,1.)); #362961=DIRECTION('center_axis',(0.,0.,-1.)); #362962=DIRECTION('ref_axis',(1.,0.,0.)); #362963=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #362964=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #362965=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #362966=DIRECTION('',(0.,0.,1.)); #362967=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #362968=DIRECTION('',(0.,0.,1.)); #362969=DIRECTION('center_axis',(0.,0.,1.)); #362970=DIRECTION('ref_axis',(-0.923881211222879,-0.382679379571654,0.)); #362971=DIRECTION('center_axis',(0.,0.,1.)); #362972=DIRECTION('ref_axis',(-0.923881211222879,-0.382679379571654,0.)); #362973=DIRECTION('center_axis',(0.,0.,1.)); #362974=DIRECTION('ref_axis',(-0.923881211222879,-0.382679379571654,0.)); #362975=DIRECTION('',(0.,0.,1.)); #362976=DIRECTION('center_axis',(0.,0.,1.)); #362977=DIRECTION('ref_axis',(0.887013571520812,0.461743352889776,0.)); #362978=DIRECTION('center_axis',(0.,0.,1.)); #362979=DIRECTION('ref_axis',(0.887013571520812,0.461743352889776,0.)); #362980=DIRECTION('center_axis',(0.,0.,1.)); #362981=DIRECTION('ref_axis',(0.887013571520812,0.461743352889776,0.)); #362982=DIRECTION('',(0.,0.,1.)); #362983=DIRECTION('center_axis',(0.,1.,0.)); #362984=DIRECTION('ref_axis',(-1.,0.,0.)); #362985=DIRECTION('',(-1.,0.,0.)); #362986=DIRECTION('',(-1.,0.,0.)); #362987=DIRECTION('',(0.,0.,1.)); #362988=DIRECTION('center_axis',(-1.,0.,0.)); #362989=DIRECTION('ref_axis',(0.,-1.,0.)); #362990=DIRECTION('',(0.,-1.,0.)); #362991=DIRECTION('',(0.,-1.,0.)); #362992=DIRECTION('',(0.,0.,1.)); #362993=DIRECTION('center_axis',(0.,1.,0.)); #362994=DIRECTION('ref_axis',(-1.,0.,0.)); #362995=DIRECTION('',(-1.,0.,0.)); #362996=DIRECTION('',(-1.,0.,0.)); #362997=DIRECTION('',(0.,0.,1.)); #362998=DIRECTION('center_axis',(1.,0.,0.)); #362999=DIRECTION('ref_axis',(0.,1.,0.)); #363000=DIRECTION('',(0.,1.,0.)); #363001=DIRECTION('',(0.,1.,0.)); #363002=DIRECTION('',(0.,0.,1.)); #363003=DIRECTION('center_axis',(0.,-1.,0.)); #363004=DIRECTION('ref_axis',(1.,0.,0.)); #363005=DIRECTION('',(1.,0.,0.)); #363006=DIRECTION('',(1.,0.,0.)); #363007=DIRECTION('',(0.,0.,1.)); #363008=DIRECTION('center_axis',(-1.,0.,0.)); #363009=DIRECTION('ref_axis',(0.,-1.,0.)); #363010=DIRECTION('',(0.,-1.,0.)); #363011=DIRECTION('',(0.,-1.,0.)); #363012=DIRECTION('',(0.,0.,1.)); #363013=DIRECTION('center_axis',(0.,-1.,0.)); #363014=DIRECTION('ref_axis',(1.,0.,0.)); #363015=DIRECTION('',(1.,0.,0.)); #363016=DIRECTION('',(1.,0.,0.)); #363017=DIRECTION('',(0.,0.,1.)); #363018=DIRECTION('center_axis',(0.,0.,1.)); #363019=DIRECTION('ref_axis',(0.23670604622663,-0.971581312953145,0.)); #363020=DIRECTION('center_axis',(0.,0.,1.)); #363021=DIRECTION('ref_axis',(0.23670604622663,-0.971581312953145,0.)); #363022=DIRECTION('center_axis',(0.,0.,1.)); #363023=DIRECTION('ref_axis',(0.23670604622663,-0.971581312953145,0.)); #363024=DIRECTION('center_axis',(0.,0.,1.)); #363025=DIRECTION('ref_axis',(1.,0.,0.)); #363026=DIRECTION('center_axis',(0.,0.,1.)); #363027=DIRECTION('ref_axis',(1.,0.,0.)); #363028=DIRECTION('center_axis',(0.,0.,1.)); #363029=DIRECTION('ref_axis',(1.,0.,0.)); #363030=DIRECTION('center_axis',(0.,0.,1.)); #363031=DIRECTION('ref_axis',(1.,0.,0.)); #363032=DIRECTION('',(0.,0.,1.)); #363033=DIRECTION('center_axis',(0.,0.,-1.)); #363034=DIRECTION('ref_axis',(1.,0.,0.)); #363035=DIRECTION('center_axis',(1.,0.,0.)); #363036=DIRECTION('ref_axis',(0.,1.,0.)); #363037=DIRECTION('',(0.,1.,0.)); #363038=DIRECTION('',(0.,0.,1.)); #363039=DIRECTION('',(0.,1.,0.)); #363040=DIRECTION('',(0.,0.,1.)); #363041=DIRECTION('center_axis',(0.,-1.,0.)); #363042=DIRECTION('ref_axis',(1.,0.,0.)); #363043=DIRECTION('',(1.,0.,0.)); #363044=DIRECTION('',(1.,0.,0.)); #363045=DIRECTION('',(0.,0.,1.)); #363046=DIRECTION('center_axis',(-1.,0.,0.)); #363047=DIRECTION('ref_axis',(0.,-1.,0.)); #363048=DIRECTION('',(0.,-1.,0.)); #363049=DIRECTION('',(0.,-1.,0.)); #363050=DIRECTION('',(0.,0.,1.)); #363051=DIRECTION('center_axis',(0.,1.,0.)); #363052=DIRECTION('ref_axis',(-1.,0.,0.)); #363053=DIRECTION('',(-1.,0.,0.)); #363054=DIRECTION('',(-1.,0.,0.)); #363055=DIRECTION('',(0.,0.,1.)); #363056=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #363057=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #363058=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #363059=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #363060=DIRECTION('',(0.,0.,1.)); #363061=DIRECTION('center_axis',(0.,0.,1.)); #363062=DIRECTION('ref_axis',(5.90313861198996E-6,0.999999999982576,0.)); #363063=DIRECTION('center_axis',(0.,0.,1.)); #363064=DIRECTION('ref_axis',(5.90313861198996E-6,0.999999999982576,0.)); #363065=DIRECTION('center_axis',(0.,0.,1.)); #363066=DIRECTION('ref_axis',(5.90313861198996E-6,0.999999999982576,0.)); #363067=DIRECTION('',(0.,0.,1.)); #363068=DIRECTION('center_axis',(0.,1.,0.)); #363069=DIRECTION('ref_axis',(-1.,0.,0.)); #363070=DIRECTION('',(-1.,0.,0.)); #363071=DIRECTION('',(-1.,0.,0.)); #363072=DIRECTION('',(0.,0.,1.)); #363073=DIRECTION('center_axis',(-0.707106781186543,0.707106781186552,0.)); #363074=DIRECTION('ref_axis',(-0.707106781186552,-0.707106781186543,0.)); #363075=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #363076=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #363077=DIRECTION('',(0.,0.,1.)); #363078=DIRECTION('center_axis',(-1.,0.,0.)); #363079=DIRECTION('ref_axis',(0.,-1.,0.)); #363080=DIRECTION('',(0.,-1.,0.)); #363081=DIRECTION('',(0.,-1.,0.)); #363082=DIRECTION('',(0.,0.,1.)); #363083=DIRECTION('center_axis',(-0.707106781186536,-0.707106781186559, 0.)); #363084=DIRECTION('ref_axis',(0.707106781186559,-0.707106781186536,0.)); #363085=DIRECTION('',(0.707106781186559,-0.707106781186536,0.)); #363086=DIRECTION('',(0.707106781186559,-0.707106781186536,0.)); #363087=DIRECTION('',(0.,0.,1.)); #363088=DIRECTION('center_axis',(0.,-1.,0.)); #363089=DIRECTION('ref_axis',(1.,0.,0.)); #363090=DIRECTION('',(1.,0.,0.)); #363091=DIRECTION('',(1.,0.,0.)); #363092=DIRECTION('',(0.,0.,1.)); #363093=DIRECTION('center_axis',(0.,0.,1.)); #363094=DIRECTION('ref_axis',(-0.923608463162002,-0.383337197223964,0.)); #363095=DIRECTION('center_axis',(0.,0.,1.)); #363096=DIRECTION('ref_axis',(-0.923608463162002,-0.383337197223964,0.)); #363097=DIRECTION('center_axis',(0.,0.,1.)); #363098=DIRECTION('ref_axis',(-0.923608463162002,-0.383337197223964,0.)); #363099=DIRECTION('',(0.,0.,1.)); #363100=DIRECTION('center_axis',(0.,0.,1.)); #363101=DIRECTION('ref_axis',(0.887013571520819,0.461743352889764,0.)); #363102=DIRECTION('center_axis',(0.,0.,1.)); #363103=DIRECTION('ref_axis',(0.887013571520819,0.461743352889764,0.)); #363104=DIRECTION('center_axis',(0.,0.,1.)); #363105=DIRECTION('ref_axis',(0.887013571520819,0.461743352889764,0.)); #363106=DIRECTION('',(0.,0.,1.)); #363107=DIRECTION('center_axis',(0.,1.,0.)); #363108=DIRECTION('ref_axis',(-1.,0.,0.)); #363109=DIRECTION('',(-1.,0.,0.)); #363110=DIRECTION('',(-1.,0.,0.)); #363111=DIRECTION('',(0.,0.,1.)); #363112=DIRECTION('center_axis',(0.,0.,1.)); #363113=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #363114=DIRECTION('center_axis',(0.,0.,1.)); #363115=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #363116=DIRECTION('center_axis',(0.,0.,1.)); #363117=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #363118=DIRECTION('',(0.,0.,1.)); #363119=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #363120=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #363121=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #363122=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #363123=DIRECTION('',(0.,0.,1.)); #363124=DIRECTION('center_axis',(0.,0.,1.)); #363125=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #363126=DIRECTION('center_axis',(0.,0.,1.)); #363127=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #363128=DIRECTION('center_axis',(0.,0.,1.)); #363129=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #363130=DIRECTION('',(0.,0.,1.)); #363131=DIRECTION('center_axis',(1.,0.,0.)); #363132=DIRECTION('ref_axis',(0.,1.,0.)); #363133=DIRECTION('',(0.,1.,0.)); #363134=DIRECTION('',(0.,1.,0.)); #363135=DIRECTION('',(0.,0.,1.)); #363136=DIRECTION('center_axis',(0.,0.,1.)); #363137=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #363138=DIRECTION('center_axis',(0.,0.,1.)); #363139=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #363140=DIRECTION('center_axis',(0.,0.,1.)); #363141=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #363142=DIRECTION('',(0.,0.,1.)); #363143=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #363144=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #363145=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #363146=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #363147=DIRECTION('',(0.,0.,1.)); #363148=DIRECTION('center_axis',(0.,0.,1.)); #363149=DIRECTION('ref_axis',(-5.90313868192551E-6,-0.999999999982576,0.)); #363150=DIRECTION('center_axis',(0.,0.,1.)); #363151=DIRECTION('ref_axis',(-5.90313868192551E-6,-0.999999999982576,0.)); #363152=DIRECTION('center_axis',(0.,0.,1.)); #363153=DIRECTION('ref_axis',(-5.90313868192551E-6,-0.999999999982576,0.)); #363154=DIRECTION('',(0.,0.,1.)); #363155=DIRECTION('center_axis',(0.,-1.,0.)); #363156=DIRECTION('ref_axis',(1.,0.,0.)); #363157=DIRECTION('',(1.,0.,0.)); #363158=DIRECTION('',(1.,0.,0.)); #363159=DIRECTION('',(0.,0.,1.)); #363160=DIRECTION('center_axis',(0.707106781186552,-0.707106781186543,0.)); #363161=DIRECTION('ref_axis',(0.707106781186543,0.707106781186552,0.)); #363162=DIRECTION('',(0.707106781186543,0.707106781186552,0.)); #363163=DIRECTION('',(0.707106781186543,0.707106781186552,0.)); #363164=DIRECTION('center_axis',(0.,0.,1.)); #363165=DIRECTION('ref_axis',(1.,0.,0.)); #363166=DIRECTION('center_axis',(0.,0.,1.)); #363167=DIRECTION('ref_axis',(1.,0.,0.)); #363168=DIRECTION('center_axis',(0.,0.,1.)); #363169=DIRECTION('ref_axis',(1.,0.,0.)); #363170=DIRECTION('center_axis',(0.,0.,1.)); #363171=DIRECTION('ref_axis',(1.,0.,0.)); #363172=DIRECTION('',(0.,0.,1.)); #363173=DIRECTION('center_axis',(0.,0.,-1.)); #363174=DIRECTION('ref_axis',(1.,0.,0.)); #363175=DIRECTION('center_axis',(1.,0.,0.)); #363176=DIRECTION('ref_axis',(0.,1.,0.)); #363177=DIRECTION('',(0.,1.,0.)); #363178=DIRECTION('',(0.,0.,1.)); #363179=DIRECTION('',(0.,1.,0.)); #363180=DIRECTION('',(0.,0.,1.)); #363181=DIRECTION('center_axis',(0.,-1.,0.)); #363182=DIRECTION('ref_axis',(1.,0.,0.)); #363183=DIRECTION('',(1.,0.,0.)); #363184=DIRECTION('',(1.,0.,0.)); #363185=DIRECTION('',(0.,0.,1.)); #363186=DIRECTION('center_axis',(-1.,0.,0.)); #363187=DIRECTION('ref_axis',(0.,-1.,0.)); #363188=DIRECTION('',(0.,-1.,0.)); #363189=DIRECTION('',(0.,-1.,0.)); #363190=DIRECTION('',(0.,0.,1.)); #363191=DIRECTION('center_axis',(0.,1.,0.)); #363192=DIRECTION('ref_axis',(-1.,0.,0.)); #363193=DIRECTION('',(-1.,0.,0.)); #363194=DIRECTION('',(-1.,0.,0.)); #363195=DIRECTION('',(0.,0.,1.)); #363196=DIRECTION('center_axis',(-0.707106781186546,0.707106781186549,0.)); #363197=DIRECTION('ref_axis',(-0.707106781186549,-0.707106781186546,0.)); #363198=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #363199=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #363200=DIRECTION('',(0.,0.,1.)); #363201=DIRECTION('center_axis',(-1.,0.,0.)); #363202=DIRECTION('ref_axis',(0.,-1.,0.)); #363203=DIRECTION('',(0.,-1.,0.)); #363204=DIRECTION('',(0.,-1.,0.)); #363205=DIRECTION('',(0.,0.,1.)); #363206=DIRECTION('center_axis',(-0.707106781186544,-0.707106781186551, 0.)); #363207=DIRECTION('ref_axis',(0.707106781186551,-0.707106781186544,0.)); #363208=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #363209=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #363210=DIRECTION('',(0.,0.,1.)); #363211=DIRECTION('center_axis',(0.,-1.,0.)); #363212=DIRECTION('ref_axis',(1.,0.,0.)); #363213=DIRECTION('',(1.,0.,0.)); #363214=DIRECTION('',(1.,0.,0.)); #363215=DIRECTION('',(0.,0.,1.)); #363216=DIRECTION('center_axis',(0.,0.,1.)); #363217=DIRECTION('ref_axis',(-0.923608463162,-0.383337197223969,0.)); #363218=DIRECTION('center_axis',(0.,0.,1.)); #363219=DIRECTION('ref_axis',(-0.923608463162,-0.383337197223969,0.)); #363220=DIRECTION('center_axis',(0.,0.,1.)); #363221=DIRECTION('ref_axis',(-0.923608463162,-0.383337197223969,0.)); #363222=DIRECTION('',(0.,0.,1.)); #363223=DIRECTION('center_axis',(0.,0.,1.)); #363224=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #363225=DIRECTION('center_axis',(0.,0.,1.)); #363226=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #363227=DIRECTION('center_axis',(0.,0.,1.)); #363228=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #363229=DIRECTION('',(0.,0.,1.)); #363230=DIRECTION('center_axis',(0.,1.,0.)); #363231=DIRECTION('ref_axis',(-1.,0.,0.)); #363232=DIRECTION('',(-1.,0.,0.)); #363233=DIRECTION('',(-1.,0.,0.)); #363234=DIRECTION('',(0.,0.,1.)); #363235=DIRECTION('center_axis',(0.,0.,1.)); #363236=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #363237=DIRECTION('center_axis',(0.,0.,1.)); #363238=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #363239=DIRECTION('center_axis',(0.,0.,1.)); #363240=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #363241=DIRECTION('',(0.,0.,1.)); #363242=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.)); #363243=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #363244=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #363245=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #363246=DIRECTION('',(0.,0.,1.)); #363247=DIRECTION('center_axis',(0.,0.,1.)); #363248=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #363249=DIRECTION('center_axis',(0.,0.,1.)); #363250=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #363251=DIRECTION('center_axis',(0.,0.,1.)); #363252=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #363253=DIRECTION('',(0.,0.,1.)); #363254=DIRECTION('center_axis',(1.,0.,0.)); #363255=DIRECTION('ref_axis',(0.,1.,0.)); #363256=DIRECTION('',(0.,1.,0.)); #363257=DIRECTION('',(0.,1.,0.)); #363258=DIRECTION('',(0.,0.,1.)); #363259=DIRECTION('center_axis',(0.,0.,1.)); #363260=DIRECTION('ref_axis',(0.707113731984418,-0.707099830320351,0.)); #363261=DIRECTION('center_axis',(0.,0.,1.)); #363262=DIRECTION('ref_axis',(0.707113731984418,-0.707099830320351,0.)); #363263=DIRECTION('center_axis',(0.,0.,1.)); #363264=DIRECTION('ref_axis',(0.707113731984418,-0.707099830320351,0.)); #363265=DIRECTION('',(0.,0.,1.)); #363266=DIRECTION('center_axis',(0.707106781186551,-0.707106781186544,0.)); #363267=DIRECTION('ref_axis',(0.707106781186544,0.707106781186551,0.)); #363268=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #363269=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #363270=DIRECTION('center_axis',(0.,0.,1.)); #363271=DIRECTION('ref_axis',(1.,0.,0.)); #363272=DIRECTION('center_axis',(0.,0.,1.)); #363273=DIRECTION('ref_axis',(1.,0.,0.)); #363274=DIRECTION('center_axis',(0.,0.,1.)); #363275=DIRECTION('ref_axis',(1.,0.,0.)); #363276=DIRECTION('center_axis',(0.,0.,1.)); #363277=DIRECTION('ref_axis',(1.,0.,0.)); #363278=DIRECTION('',(0.,0.,1.)); #363279=DIRECTION('center_axis',(0.,0.,-1.)); #363280=DIRECTION('ref_axis',(1.,0.,0.)); #363281=DIRECTION('center_axis',(1.,0.,0.)); #363282=DIRECTION('ref_axis',(0.,1.,0.)); #363283=DIRECTION('',(0.,1.,0.)); #363284=DIRECTION('',(0.,0.,1.)); #363285=DIRECTION('',(0.,1.,0.)); #363286=DIRECTION('',(0.,0.,1.)); #363287=DIRECTION('center_axis',(0.,-1.,0.)); #363288=DIRECTION('ref_axis',(1.,0.,0.)); #363289=DIRECTION('',(1.,0.,0.)); #363290=DIRECTION('',(1.,0.,0.)); #363291=DIRECTION('',(0.,0.,1.)); #363292=DIRECTION('center_axis',(-1.,0.,0.)); #363293=DIRECTION('ref_axis',(0.,-1.,0.)); #363294=DIRECTION('',(0.,-1.,0.)); #363295=DIRECTION('',(0.,-1.,0.)); #363296=DIRECTION('',(0.,0.,1.)); #363297=DIRECTION('center_axis',(-0.707106781186557,-0.707106781186538, 0.)); #363298=DIRECTION('ref_axis',(0.707106781186538,-0.707106781186557,0.)); #363299=DIRECTION('',(0.707106781186538,-0.707106781186557,0.)); #363300=DIRECTION('',(0.707106781186538,-0.707106781186557,0.)); #363301=DIRECTION('',(0.,0.,1.)); #363302=DIRECTION('center_axis',(0.,-1.,0.)); #363303=DIRECTION('ref_axis',(1.,0.,0.)); #363304=DIRECTION('',(1.,0.,0.)); #363305=DIRECTION('',(1.,0.,0.)); #363306=DIRECTION('',(0.,0.,1.)); #363307=DIRECTION('center_axis',(0.,0.,1.)); #363308=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #363309=DIRECTION('center_axis',(0.,0.,1.)); #363310=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #363311=DIRECTION('center_axis',(0.,0.,1.)); #363312=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #363313=DIRECTION('',(0.,0.,1.)); #363314=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #363315=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #363316=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #363317=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #363318=DIRECTION('',(0.,0.,1.)); #363319=DIRECTION('center_axis',(0.,0.,1.)); #363320=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192551E-6,0.)); #363321=DIRECTION('center_axis',(0.,0.,1.)); #363322=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192551E-6,0.)); #363323=DIRECTION('center_axis',(0.,0.,1.)); #363324=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192551E-6,0.)); #363325=DIRECTION('',(0.,0.,1.)); #363326=DIRECTION('center_axis',(-1.,0.,0.)); #363327=DIRECTION('ref_axis',(0.,-1.,0.)); #363328=DIRECTION('',(0.,-1.,0.)); #363329=DIRECTION('',(0.,-1.,0.)); #363330=DIRECTION('',(0.,0.,1.)); #363331=DIRECTION('center_axis',(0.,0.,1.)); #363332=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #363333=DIRECTION('center_axis',(0.,0.,1.)); #363334=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #363335=DIRECTION('center_axis',(0.,0.,1.)); #363336=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #363337=DIRECTION('',(0.,0.,1.)); #363338=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #363339=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #363340=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #363341=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #363342=DIRECTION('',(0.,0.,1.)); #363343=DIRECTION('center_axis',(0.,0.,1.)); #363344=DIRECTION('ref_axis',(5.90313875185983E-6,0.999999999982576,0.)); #363345=DIRECTION('center_axis',(0.,0.,1.)); #363346=DIRECTION('ref_axis',(5.90313875185983E-6,0.999999999982576,0.)); #363347=DIRECTION('center_axis',(0.,0.,1.)); #363348=DIRECTION('ref_axis',(5.90313875185983E-6,0.999999999982576,0.)); #363349=DIRECTION('',(0.,0.,1.)); #363350=DIRECTION('center_axis',(0.,1.,0.)); #363351=DIRECTION('ref_axis',(-1.,0.,0.)); #363352=DIRECTION('',(-1.,0.,0.)); #363353=DIRECTION('',(-1.,0.,0.)); #363354=DIRECTION('',(0.,0.,1.)); #363355=DIRECTION('center_axis',(0.,0.,1.)); #363356=DIRECTION('ref_axis',(0.923607696029133,0.38333904554031,0.)); #363357=DIRECTION('center_axis',(0.,0.,1.)); #363358=DIRECTION('ref_axis',(0.923607696029133,0.38333904554031,0.)); #363359=DIRECTION('center_axis',(0.,0.,1.)); #363360=DIRECTION('ref_axis',(0.923607696029133,0.38333904554031,0.)); #363361=DIRECTION('',(0.,0.,1.)); #363362=DIRECTION('center_axis',(0.,0.,1.)); #363363=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #363364=DIRECTION('center_axis',(0.,0.,1.)); #363365=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #363366=DIRECTION('center_axis',(0.,0.,1.)); #363367=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #363368=DIRECTION('',(0.,0.,1.)); #363369=DIRECTION('center_axis',(0.,-1.,0.)); #363370=DIRECTION('ref_axis',(1.,0.,0.)); #363371=DIRECTION('',(1.,0.,0.)); #363372=DIRECTION('',(1.,0.,0.)); #363373=DIRECTION('',(0.,0.,1.)); #363374=DIRECTION('center_axis',(0.70710678118654,-0.707106781186555,0.)); #363375=DIRECTION('ref_axis',(0.707106781186555,0.70710678118654,0.)); #363376=DIRECTION('',(0.707106781186555,0.70710678118654,0.)); #363377=DIRECTION('',(0.707106781186555,0.70710678118654,0.)); #363378=DIRECTION('',(0.,0.,1.)); #363379=DIRECTION('center_axis',(1.,0.,0.)); #363380=DIRECTION('ref_axis',(0.,1.,0.)); #363381=DIRECTION('',(0.,1.,0.)); #363382=DIRECTION('',(0.,1.,0.)); #363383=DIRECTION('',(0.,0.,1.)); #363384=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #363385=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #363386=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #363387=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #363388=DIRECTION('',(0.,0.,1.)); #363389=DIRECTION('center_axis',(0.,1.,0.)); #363390=DIRECTION('ref_axis',(-1.,0.,0.)); #363391=DIRECTION('',(-1.,0.,0.)); #363392=DIRECTION('',(-1.,0.,0.)); #363393=DIRECTION('',(0.,0.,1.)); #363394=DIRECTION('center_axis',(0.,0.,1.)); #363395=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #363396=DIRECTION('center_axis',(0.,0.,1.)); #363397=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #363398=DIRECTION('center_axis',(0.,0.,1.)); #363399=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #363400=DIRECTION('',(0.,0.,1.)); #363401=DIRECTION('center_axis',(0.70710678118654,0.707106781186555,0.)); #363402=DIRECTION('ref_axis',(-0.707106781186555,0.70710678118654,0.)); #363403=DIRECTION('',(-0.707106781186555,0.70710678118654,0.)); #363404=DIRECTION('',(-0.707106781186555,0.70710678118654,0.)); #363405=DIRECTION('',(0.,0.,1.)); #363406=DIRECTION('center_axis',(0.,1.,0.)); #363407=DIRECTION('ref_axis',(-1.,0.,0.)); #363408=DIRECTION('',(-1.,0.,0.)); #363409=DIRECTION('',(-1.,0.,0.)); #363410=DIRECTION('center_axis',(0.,0.,1.)); #363411=DIRECTION('ref_axis',(1.,0.,0.)); #363412=DIRECTION('center_axis',(0.,0.,1.)); #363413=DIRECTION('ref_axis',(1.,0.,0.)); #363414=DIRECTION('center_axis',(1.,0.,0.)); #363415=DIRECTION('ref_axis',(0.,1.,0.)); #363416=DIRECTION('',(0.,1.,0.)); #363417=DIRECTION('',(0.,0.,1.)); #363418=DIRECTION('',(0.,1.,0.)); #363419=DIRECTION('',(0.,0.,1.)); #363420=DIRECTION('center_axis',(0.,-1.,0.)); #363421=DIRECTION('ref_axis',(1.,0.,0.)); #363422=DIRECTION('',(1.,0.,0.)); #363423=DIRECTION('',(1.,0.,0.)); #363424=DIRECTION('',(0.,0.,1.)); #363425=DIRECTION('center_axis',(-1.,0.,0.)); #363426=DIRECTION('ref_axis',(0.,-1.,0.)); #363427=DIRECTION('',(0.,-1.,0.)); #363428=DIRECTION('',(0.,-1.,0.)); #363429=DIRECTION('',(0.,0.,1.)); #363430=DIRECTION('center_axis',(0.,-1.,0.)); #363431=DIRECTION('ref_axis',(1.,0.,0.)); #363432=DIRECTION('',(1.,0.,0.)); #363433=DIRECTION('',(1.,0.,0.)); #363434=DIRECTION('',(0.,0.,1.)); #363435=DIRECTION('center_axis',(0.,0.,1.)); #363436=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #363437=DIRECTION('center_axis',(0.,0.,1.)); #363438=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #363439=DIRECTION('center_axis',(0.,0.,1.)); #363440=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #363441=DIRECTION('',(0.,0.,1.)); #363442=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #363443=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #363444=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #363445=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #363446=DIRECTION('',(0.,0.,1.)); #363447=DIRECTION('center_axis',(0.,0.,1.)); #363448=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #363449=DIRECTION('center_axis',(0.,0.,1.)); #363450=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #363451=DIRECTION('center_axis',(0.,0.,1.)); #363452=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #363453=DIRECTION('',(0.,0.,1.)); #363454=DIRECTION('center_axis',(-1.,0.,0.)); #363455=DIRECTION('ref_axis',(0.,-1.,0.)); #363456=DIRECTION('',(0.,-1.,0.)); #363457=DIRECTION('',(0.,-1.,0.)); #363458=DIRECTION('',(0.,0.,1.)); #363459=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #363460=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #363461=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #363462=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #363463=DIRECTION('',(0.,0.,1.)); #363464=DIRECTION('center_axis',(0.,-1.,0.)); #363465=DIRECTION('ref_axis',(1.,0.,0.)); #363466=DIRECTION('',(1.,0.,0.)); #363467=DIRECTION('',(1.,0.,0.)); #363468=DIRECTION('',(0.,0.,1.)); #363469=DIRECTION('center_axis',(1.,0.,0.)); #363470=DIRECTION('ref_axis',(0.,1.,0.)); #363471=DIRECTION('',(0.,1.,0.)); #363472=DIRECTION('',(0.,1.,0.)); #363473=DIRECTION('',(0.,0.,1.)); #363474=DIRECTION('center_axis',(0.,-1.,0.)); #363475=DIRECTION('ref_axis',(1.,0.,0.)); #363476=DIRECTION('',(1.,0.,0.)); #363477=DIRECTION('',(1.,0.,0.)); #363478=DIRECTION('',(0.,0.,1.)); #363479=DIRECTION('center_axis',(-1.,0.,0.)); #363480=DIRECTION('ref_axis',(0.,-1.,0.)); #363481=DIRECTION('',(0.,-1.,0.)); #363482=DIRECTION('',(0.,-1.,0.)); #363483=DIRECTION('',(0.,0.,1.)); #363484=DIRECTION('center_axis',(0.,1.,0.)); #363485=DIRECTION('ref_axis',(-1.,0.,0.)); #363486=DIRECTION('',(-1.,0.,0.)); #363487=DIRECTION('',(-1.,0.,0.)); #363488=DIRECTION('',(0.,0.,1.)); #363489=DIRECTION('center_axis',(1.,0.,0.)); #363490=DIRECTION('ref_axis',(0.,1.,0.)); #363491=DIRECTION('',(0.,1.,0.)); #363492=DIRECTION('',(0.,1.,0.)); #363493=DIRECTION('',(0.,0.,1.)); #363494=DIRECTION('center_axis',(0.,1.,0.)); #363495=DIRECTION('ref_axis',(-1.,0.,0.)); #363496=DIRECTION('',(-1.,0.,0.)); #363497=DIRECTION('',(-1.,0.,0.)); #363498=DIRECTION('',(0.,0.,1.)); #363499=DIRECTION('center_axis',(0.,0.,1.)); #363500=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #363501=DIRECTION('center_axis',(0.,0.,1.)); #363502=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #363503=DIRECTION('center_axis',(0.,0.,1.)); #363504=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #363505=DIRECTION('',(0.,0.,1.)); #363506=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #363507=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #363508=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #363509=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #363510=DIRECTION('',(0.,0.,1.)); #363511=DIRECTION('center_axis',(0.,0.,1.)); #363512=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #363513=DIRECTION('center_axis',(0.,0.,1.)); #363514=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #363515=DIRECTION('center_axis',(0.,0.,1.)); #363516=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #363517=DIRECTION('',(0.,0.,1.)); #363518=DIRECTION('center_axis',(1.,0.,0.)); #363519=DIRECTION('ref_axis',(0.,1.,0.)); #363520=DIRECTION('',(0.,1.,0.)); #363521=DIRECTION('',(0.,1.,0.)); #363522=DIRECTION('',(0.,0.,1.)); #363523=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #363524=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #363525=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #363526=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #363527=DIRECTION('',(0.,0.,1.)); #363528=DIRECTION('center_axis',(0.,1.,0.)); #363529=DIRECTION('ref_axis',(-1.,0.,0.)); #363530=DIRECTION('',(-1.,0.,0.)); #363531=DIRECTION('',(-1.,0.,0.)); #363532=DIRECTION('',(0.,0.,1.)); #363533=DIRECTION('center_axis',(-1.,0.,0.)); #363534=DIRECTION('ref_axis',(0.,-1.,0.)); #363535=DIRECTION('',(0.,-1.,0.)); #363536=DIRECTION('',(0.,-1.,0.)); #363537=DIRECTION('',(0.,0.,1.)); #363538=DIRECTION('center_axis',(0.,1.,0.)); #363539=DIRECTION('ref_axis',(-1.,0.,0.)); #363540=DIRECTION('',(-1.,0.,0.)); #363541=DIRECTION('',(-1.,0.,0.)); #363542=DIRECTION('center_axis',(0.,0.,1.)); #363543=DIRECTION('ref_axis',(1.,0.,0.)); #363544=DIRECTION('center_axis',(0.,0.,1.)); #363545=DIRECTION('ref_axis',(1.,0.,0.)); #363546=DIRECTION('center_axis',(0.,0.,1.)); #363547=DIRECTION('ref_axis',(1.,0.,0.)); #363548=DIRECTION('center_axis',(0.,0.,1.)); #363549=DIRECTION('ref_axis',(1.,0.,0.)); #363550=DIRECTION('',(0.,0.,1.)); #363551=DIRECTION('center_axis',(0.,0.,-1.)); #363552=DIRECTION('ref_axis',(1.,0.,0.)); #363553=DIRECTION('center_axis',(1.,0.,0.)); #363554=DIRECTION('ref_axis',(0.,1.,0.)); #363555=DIRECTION('',(0.,1.,0.)); #363556=DIRECTION('',(0.,0.,1.)); #363557=DIRECTION('',(0.,1.,0.)); #363558=DIRECTION('',(0.,0.,1.)); #363559=DIRECTION('center_axis',(0.,-1.,0.)); #363560=DIRECTION('ref_axis',(1.,0.,0.)); #363561=DIRECTION('',(1.,0.,0.)); #363562=DIRECTION('',(1.,0.,0.)); #363563=DIRECTION('',(0.,0.,1.)); #363564=DIRECTION('center_axis',(-1.,0.,0.)); #363565=DIRECTION('ref_axis',(0.,-1.,0.)); #363566=DIRECTION('',(0.,-1.,0.)); #363567=DIRECTION('',(0.,-1.,0.)); #363568=DIRECTION('',(0.,0.,1.)); #363569=DIRECTION('center_axis',(0.,1.,0.)); #363570=DIRECTION('ref_axis',(-1.,0.,0.)); #363571=DIRECTION('',(-1.,0.,0.)); #363572=DIRECTION('',(-1.,0.,0.)); #363573=DIRECTION('center_axis',(0.,0.,1.)); #363574=DIRECTION('ref_axis',(1.,0.,0.)); #363575=DIRECTION('center_axis',(0.,0.,1.)); #363576=DIRECTION('ref_axis',(1.,0.,0.)); #363577=DIRECTION('center_axis',(0.,0.,1.)); #363578=DIRECTION('ref_axis',(1.,0.,0.)); #363579=DIRECTION('center_axis',(0.,0.,1.)); #363580=DIRECTION('ref_axis',(1.,0.,0.)); #363581=DIRECTION('',(0.,0.,1.)); #363582=DIRECTION('center_axis',(0.,0.,-1.)); #363583=DIRECTION('ref_axis',(1.,0.,0.)); #363584=DIRECTION('center_axis',(0.156447762252348,-0.987686234431883,0.)); #363585=DIRECTION('ref_axis',(0.987686234431883,0.156447762252348,0.)); #363586=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #363587=DIRECTION('',(0.,0.,1.)); #363588=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #363589=DIRECTION('',(0.,0.,1.)); #363590=DIRECTION('center_axis',(0.,0.,1.)); #363591=DIRECTION('ref_axis',(-0.461527865934839,0.887125712041779,0.)); #363592=DIRECTION('center_axis',(0.,0.,1.)); #363593=DIRECTION('ref_axis',(-0.461527865934839,0.887125712041779,0.)); #363594=DIRECTION('center_axis',(0.,0.,1.)); #363595=DIRECTION('ref_axis',(-0.461527865934839,0.887125712041779,0.)); #363596=DIRECTION('',(0.,0.,1.)); #363597=DIRECTION('center_axis',(-1.,0.,0.)); #363598=DIRECTION('ref_axis',(0.,-1.,0.)); #363599=DIRECTION('',(0.,-1.,0.)); #363600=DIRECTION('',(0.,-1.,0.)); #363601=DIRECTION('',(0.,0.,1.)); #363602=DIRECTION('center_axis',(0.,0.,1.)); #363603=DIRECTION('ref_axis',(-0.707110948194308,0.707102614154231,0.)); #363604=DIRECTION('center_axis',(0.,0.,1.)); #363605=DIRECTION('ref_axis',(-0.707110948194308,0.707102614154231,0.)); #363606=DIRECTION('center_axis',(0.,0.,1.)); #363607=DIRECTION('ref_axis',(-0.707110948194308,0.707102614154231,0.)); #363608=DIRECTION('',(0.,0.,1.)); #363609=DIRECTION('center_axis',(-0.707106781186538,0.707106781186557,0.)); #363610=DIRECTION('ref_axis',(-0.707106781186557,-0.707106781186538,0.)); #363611=DIRECTION('',(-0.707106781186557,-0.707106781186538,0.)); #363612=DIRECTION('',(-0.707106781186557,-0.707106781186538,0.)); #363613=DIRECTION('',(0.,0.,1.)); #363614=DIRECTION('center_axis',(-1.,0.,0.)); #363615=DIRECTION('ref_axis',(0.,-1.,0.)); #363616=DIRECTION('',(0.,-1.,0.)); #363617=DIRECTION('',(0.,-1.,0.)); #363618=DIRECTION('',(0.,0.,1.)); #363619=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #363620=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #363621=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #363622=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #363623=DIRECTION('',(0.,0.,1.)); #363624=DIRECTION('center_axis',(0.,-1.,0.)); #363625=DIRECTION('ref_axis',(1.,0.,0.)); #363626=DIRECTION('',(1.,0.,0.)); #363627=DIRECTION('',(1.,0.,0.)); #363628=DIRECTION('',(0.,0.,1.)); #363629=DIRECTION('center_axis',(0.,0.,1.)); #363630=DIRECTION('ref_axis',(-0.707102614154231,-0.707110948194308,0.)); #363631=DIRECTION('center_axis',(0.,0.,1.)); #363632=DIRECTION('ref_axis',(-0.707102614154231,-0.707110948194308,0.)); #363633=DIRECTION('center_axis',(0.,0.,1.)); #363634=DIRECTION('ref_axis',(-0.707102614154231,-0.707110948194308,0.)); #363635=DIRECTION('',(0.,0.,1.)); #363636=DIRECTION('center_axis',(-0.707106781186559,-0.707106781186536, 0.)); #363637=DIRECTION('ref_axis',(0.707106781186536,-0.707106781186559,0.)); #363638=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #363639=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #363640=DIRECTION('',(0.,0.,1.)); #363641=DIRECTION('center_axis',(0.,-1.,0.)); #363642=DIRECTION('ref_axis',(1.,0.,0.)); #363643=DIRECTION('',(1.,0.,0.)); #363644=DIRECTION('',(1.,0.,0.)); #363645=DIRECTION('',(0.,0.,1.)); #363646=DIRECTION('center_axis',(-1.,0.,0.)); #363647=DIRECTION('ref_axis',(0.,-1.,0.)); #363648=DIRECTION('',(0.,-1.,0.)); #363649=DIRECTION('',(0.,-1.,0.)); #363650=DIRECTION('',(0.,0.,1.)); #363651=DIRECTION('center_axis',(0.,1.,0.)); #363652=DIRECTION('ref_axis',(-1.,0.,0.)); #363653=DIRECTION('',(-1.,0.,0.)); #363654=DIRECTION('',(-1.,0.,0.)); #363655=DIRECTION('',(0.,0.,1.)); #363656=DIRECTION('center_axis',(1.,0.,0.)); #363657=DIRECTION('ref_axis',(0.,1.,0.)); #363658=DIRECTION('',(0.,1.,0.)); #363659=DIRECTION('',(0.,1.,0.)); #363660=DIRECTION('',(0.,0.,1.)); #363661=DIRECTION('center_axis',(0.707106781186539,0.707106781186556,0.)); #363662=DIRECTION('ref_axis',(-0.707106781186556,0.707106781186539,0.)); #363663=DIRECTION('',(-0.707106781186556,0.707106781186539,0.)); #363664=DIRECTION('',(-0.707106781186556,0.707106781186539,0.)); #363665=DIRECTION('',(0.,0.,1.)); #363666=DIRECTION('center_axis',(0.,1.,0.)); #363667=DIRECTION('ref_axis',(-1.,0.,0.)); #363668=DIRECTION('',(-1.,0.,0.)); #363669=DIRECTION('',(-1.,0.,0.)); #363670=DIRECTION('',(0.,0.,1.)); #363671=DIRECTION('center_axis',(0.,0.,1.)); #363672=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #363673=DIRECTION('center_axis',(0.,0.,1.)); #363674=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #363675=DIRECTION('center_axis',(0.,0.,1.)); #363676=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #363677=DIRECTION('',(0.,0.,1.)); #363678=DIRECTION('center_axis',(0.707106781186546,0.707106781186549,0.)); #363679=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #363680=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #363681=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #363682=DIRECTION('',(0.,0.,1.)); #363683=DIRECTION('center_axis',(0.,0.,1.)); #363684=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #363685=DIRECTION('center_axis',(0.,0.,1.)); #363686=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #363687=DIRECTION('center_axis',(0.,0.,1.)); #363688=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #363689=DIRECTION('',(0.,0.,1.)); #363690=DIRECTION('center_axis',(1.,0.,0.)); #363691=DIRECTION('ref_axis',(0.,1.,0.)); #363692=DIRECTION('',(0.,1.,0.)); #363693=DIRECTION('',(0.,1.,0.)); #363694=DIRECTION('',(0.,0.,1.)); #363695=DIRECTION('center_axis',(0.,0.,1.)); #363696=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #363697=DIRECTION('center_axis',(0.,0.,1.)); #363698=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #363699=DIRECTION('center_axis',(0.,0.,1.)); #363700=DIRECTION('ref_axis',(0.70711094819429,-0.707102614154248,0.)); #363701=DIRECTION('',(0.,0.,1.)); #363702=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #363703=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #363704=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #363705=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #363706=DIRECTION('',(0.,0.,1.)); #363707=DIRECTION('center_axis',(1.,0.,0.)); #363708=DIRECTION('ref_axis',(0.,1.,0.)); #363709=DIRECTION('',(0.,1.,0.)); #363710=DIRECTION('',(0.,1.,0.)); #363711=DIRECTION('',(0.,0.,1.)); #363712=DIRECTION('center_axis',(0.,0.,1.)); #363713=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #363714=DIRECTION('center_axis',(0.,0.,1.)); #363715=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #363716=DIRECTION('center_axis',(0.,0.,1.)); #363717=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #363718=DIRECTION('center_axis',(0.,0.,1.)); #363719=DIRECTION('ref_axis',(1.,0.,0.)); #363720=DIRECTION('center_axis',(0.,0.,1.)); #363721=DIRECTION('ref_axis',(1.,0.,0.)); #363722=DIRECTION('center_axis',(0.,0.,1.)); #363723=DIRECTION('ref_axis',(1.,0.,0.)); #363724=DIRECTION('center_axis',(0.,0.,1.)); #363725=DIRECTION('ref_axis',(1.,0.,0.)); #363726=DIRECTION('',(0.,0.,1.)); #363727=DIRECTION('center_axis',(0.,0.,-1.)); #363728=DIRECTION('ref_axis',(1.,0.,0.)); #363729=DIRECTION('center_axis',(1.,0.,0.)); #363730=DIRECTION('ref_axis',(0.,1.,0.)); #363731=DIRECTION('',(0.,1.,0.)); #363732=DIRECTION('',(0.,0.,1.)); #363733=DIRECTION('',(0.,1.,0.)); #363734=DIRECTION('',(0.,0.,1.)); #363735=DIRECTION('center_axis',(0.,-1.,0.)); #363736=DIRECTION('ref_axis',(1.,0.,0.)); #363737=DIRECTION('',(1.,0.,0.)); #363738=DIRECTION('',(1.,0.,0.)); #363739=DIRECTION('',(0.,0.,1.)); #363740=DIRECTION('center_axis',(-1.,0.,0.)); #363741=DIRECTION('ref_axis',(0.,-1.,0.)); #363742=DIRECTION('',(0.,-1.,0.)); #363743=DIRECTION('',(0.,-1.,0.)); #363744=DIRECTION('',(0.,0.,1.)); #363745=DIRECTION('center_axis',(0.,1.,0.)); #363746=DIRECTION('ref_axis',(-1.,0.,0.)); #363747=DIRECTION('',(-1.,0.,0.)); #363748=DIRECTION('',(-1.,0.,0.)); #363749=DIRECTION('',(0.,0.,1.)); #363750=DIRECTION('center_axis',(1.,0.,0.)); #363751=DIRECTION('ref_axis',(0.,1.,0.)); #363752=DIRECTION('',(0.,1.,0.)); #363753=DIRECTION('',(0.,1.,0.)); #363754=DIRECTION('',(0.,0.,1.)); #363755=DIRECTION('center_axis',(0.,1.,0.)); #363756=DIRECTION('ref_axis',(-1.,0.,0.)); #363757=DIRECTION('',(-1.,0.,0.)); #363758=DIRECTION('',(-1.,0.,0.)); #363759=DIRECTION('',(0.,0.,1.)); #363760=DIRECTION('center_axis',(-0.707106781186559,0.707106781186536,0.)); #363761=DIRECTION('ref_axis',(-0.707106781186536,-0.707106781186559,0.)); #363762=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #363763=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #363764=DIRECTION('',(0.,0.,1.)); #363765=DIRECTION('center_axis',(0.,0.,1.)); #363766=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #363767=DIRECTION('center_axis',(0.,0.,1.)); #363768=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #363769=DIRECTION('center_axis',(0.,0.,1.)); #363770=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #363771=DIRECTION('',(0.,0.,1.)); #363772=DIRECTION('center_axis',(0.,1.,0.)); #363773=DIRECTION('ref_axis',(-1.,0.,0.)); #363774=DIRECTION('',(-1.,0.,0.)); #363775=DIRECTION('',(-1.,0.,0.)); #363776=DIRECTION('',(0.,0.,1.)); #363777=DIRECTION('center_axis',(0.,0.,1.)); #363778=DIRECTION('ref_axis',(0.923607696029123,0.383339045540335,0.)); #363779=DIRECTION('center_axis',(0.,0.,1.)); #363780=DIRECTION('ref_axis',(0.923607696029123,0.383339045540335,0.)); #363781=DIRECTION('center_axis',(0.,0.,1.)); #363782=DIRECTION('ref_axis',(0.923607696029123,0.383339045540335,0.)); #363783=DIRECTION('',(0.,0.,1.)); #363784=DIRECTION('center_axis',(0.,0.,1.)); #363785=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676714,0.)); #363786=DIRECTION('center_axis',(0.,0.,1.)); #363787=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676714,0.)); #363788=DIRECTION('center_axis',(0.,0.,1.)); #363789=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676714,0.)); #363790=DIRECTION('',(0.,0.,1.)); #363791=DIRECTION('center_axis',(0.,-1.,0.)); #363792=DIRECTION('ref_axis',(1.,0.,0.)); #363793=DIRECTION('',(1.,0.,0.)); #363794=DIRECTION('',(1.,0.,0.)); #363795=DIRECTION('',(0.,0.,1.)); #363796=DIRECTION('center_axis',(0.707106781186559,-0.707106781186536,0.)); #363797=DIRECTION('ref_axis',(0.707106781186536,0.707106781186559,0.)); #363798=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #363799=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #363800=DIRECTION('',(0.,0.,1.)); #363801=DIRECTION('center_axis',(0.,0.,1.)); #363802=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #363803=DIRECTION('center_axis',(0.,0.,1.)); #363804=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #363805=DIRECTION('center_axis',(0.,0.,1.)); #363806=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #363807=DIRECTION('',(0.,0.,1.)); #363808=DIRECTION('center_axis',(0.,-1.,0.)); #363809=DIRECTION('ref_axis',(1.,0.,0.)); #363810=DIRECTION('',(1.,0.,0.)); #363811=DIRECTION('',(1.,0.,0.)); #363812=DIRECTION('center_axis',(0.,0.,1.)); #363813=DIRECTION('ref_axis',(1.,0.,0.)); #363814=DIRECTION('center_axis',(0.,0.,1.)); #363815=DIRECTION('ref_axis',(1.,0.,0.)); #363816=DIRECTION('center_axis',(0.,0.,1.)); #363817=DIRECTION('ref_axis',(1.,0.,0.)); #363818=DIRECTION('center_axis',(0.,0.,1.)); #363819=DIRECTION('ref_axis',(1.,0.,0.)); #363820=DIRECTION('',(0.,0.,1.)); #363821=DIRECTION('center_axis',(0.,0.,-1.)); #363822=DIRECTION('ref_axis',(1.,0.,0.)); #363823=DIRECTION('center_axis',(1.,0.,0.)); #363824=DIRECTION('ref_axis',(0.,1.,0.)); #363825=DIRECTION('',(0.,1.,0.)); #363826=DIRECTION('',(0.,0.,1.)); #363827=DIRECTION('',(0.,1.,0.)); #363828=DIRECTION('',(0.,0.,1.)); #363829=DIRECTION('center_axis',(0.,1.,0.)); #363830=DIRECTION('ref_axis',(-1.,0.,0.)); #363831=DIRECTION('',(-1.,0.,0.)); #363832=DIRECTION('',(-1.,0.,0.)); #363833=DIRECTION('',(0.,0.,1.)); #363834=DIRECTION('center_axis',(-1.,0.,0.)); #363835=DIRECTION('ref_axis',(0.,-1.,0.)); #363836=DIRECTION('',(0.,-1.,0.)); #363837=DIRECTION('',(0.,-1.,0.)); #363838=DIRECTION('',(0.,0.,1.)); #363839=DIRECTION('center_axis',(0.,1.,0.)); #363840=DIRECTION('ref_axis',(-1.,0.,0.)); #363841=DIRECTION('',(-1.,0.,0.)); #363842=DIRECTION('',(-1.,0.,0.)); #363843=DIRECTION('',(0.,0.,1.)); #363844=DIRECTION('center_axis',(1.,0.,0.)); #363845=DIRECTION('ref_axis',(0.,1.,0.)); #363846=DIRECTION('',(0.,1.,0.)); #363847=DIRECTION('',(0.,1.,0.)); #363848=DIRECTION('',(0.,0.,1.)); #363849=DIRECTION('center_axis',(0.,-1.,0.)); #363850=DIRECTION('ref_axis',(1.,0.,0.)); #363851=DIRECTION('',(1.,0.,0.)); #363852=DIRECTION('',(1.,0.,0.)); #363853=DIRECTION('',(0.,0.,1.)); #363854=DIRECTION('center_axis',(-1.,0.,0.)); #363855=DIRECTION('ref_axis',(0.,-1.,0.)); #363856=DIRECTION('',(0.,-1.,0.)); #363857=DIRECTION('',(0.,-1.,0.)); #363858=DIRECTION('',(0.,0.,1.)); #363859=DIRECTION('center_axis',(0.,-1.,0.)); #363860=DIRECTION('ref_axis',(1.,0.,0.)); #363861=DIRECTION('',(1.,0.,0.)); #363862=DIRECTION('',(1.,0.,0.)); #363863=DIRECTION('',(0.,0.,1.)); #363864=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #363865=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #363866=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #363867=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #363868=DIRECTION('',(0.,0.,1.)); #363869=DIRECTION('center_axis',(1.,0.,0.)); #363870=DIRECTION('ref_axis',(0.,1.,0.)); #363871=DIRECTION('',(0.,1.,0.)); #363872=DIRECTION('',(0.,1.,0.)); #363873=DIRECTION('',(0.,0.,1.)); #363874=DIRECTION('center_axis',(0.,0.,1.)); #363875=DIRECTION('ref_axis',(0.70711094819436,-0.707102614154179,0.)); #363876=DIRECTION('center_axis',(0.,0.,1.)); #363877=DIRECTION('ref_axis',(0.70711094819436,-0.707102614154179,0.)); #363878=DIRECTION('center_axis',(0.,0.,1.)); #363879=DIRECTION('ref_axis',(0.70711094819436,-0.707102614154179,0.)); #363880=DIRECTION('',(0.,0.,1.)); #363881=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #363882=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #363883=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #363884=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #363885=DIRECTION('',(0.,0.,1.)); #363886=DIRECTION('center_axis',(0.,0.,1.)); #363887=DIRECTION('ref_axis',(-5.90313875185983E-6,-0.999999999982576,0.)); #363888=DIRECTION('center_axis',(0.,0.,1.)); #363889=DIRECTION('ref_axis',(-5.90313875185983E-6,-0.999999999982576,0.)); #363890=DIRECTION('center_axis',(0.,0.,1.)); #363891=DIRECTION('ref_axis',(-5.90313875185983E-6,-0.999999999982576,0.)); #363892=DIRECTION('',(0.,0.,1.)); #363893=DIRECTION('center_axis',(0.,-1.,0.)); #363894=DIRECTION('ref_axis',(1.,0.,0.)); #363895=DIRECTION('',(1.,0.,0.)); #363896=DIRECTION('',(1.,0.,0.)); #363897=DIRECTION('',(0.,0.,1.)); #363898=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #363899=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #363900=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #363901=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #363902=DIRECTION('',(0.,0.,1.)); #363903=DIRECTION('center_axis',(1.,0.,0.)); #363904=DIRECTION('ref_axis',(0.,1.,0.)); #363905=DIRECTION('',(0.,1.,0.)); #363906=DIRECTION('',(0.,1.,0.)); #363907=DIRECTION('',(0.,0.,1.)); #363908=DIRECTION('center_axis',(0.,0.,1.)); #363909=DIRECTION('ref_axis',(0.707113731984488,-0.707099830320281,0.)); #363910=DIRECTION('center_axis',(0.,0.,1.)); #363911=DIRECTION('ref_axis',(0.707113731984488,-0.707099830320281,0.)); #363912=DIRECTION('center_axis',(0.,0.,1.)); #363913=DIRECTION('ref_axis',(0.707113731984488,-0.707099830320281,0.)); #363914=DIRECTION('',(0.,0.,1.)); #363915=DIRECTION('center_axis',(0.707106781186545,-0.70710678118655,0.)); #363916=DIRECTION('ref_axis',(0.70710678118655,0.707106781186545,0.)); #363917=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #363918=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #363919=DIRECTION('',(0.,0.,1.)); #363920=DIRECTION('center_axis',(0.,0.,1.)); #363921=DIRECTION('ref_axis',(-5.90313861199058E-6,-0.999999999982576,0.)); #363922=DIRECTION('center_axis',(0.,0.,1.)); #363923=DIRECTION('ref_axis',(-5.90313861199058E-6,-0.999999999982576,0.)); #363924=DIRECTION('center_axis',(0.,0.,1.)); #363925=DIRECTION('ref_axis',(-5.90313861199058E-6,-0.999999999982576,0.)); #363926=DIRECTION('',(0.,0.,1.)); #363927=DIRECTION('center_axis',(0.,-1.,0.)); #363928=DIRECTION('ref_axis',(1.,0.,0.)); #363929=DIRECTION('',(1.,0.,0.)); #363930=DIRECTION('',(1.,0.,0.)); #363931=DIRECTION('',(0.,0.,1.)); #363932=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #363933=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #363934=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #363935=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #363936=DIRECTION('',(0.,0.,1.)); #363937=DIRECTION('center_axis',(1.,0.,0.)); #363938=DIRECTION('ref_axis',(0.,1.,0.)); #363939=DIRECTION('',(0.,1.,0.)); #363940=DIRECTION('',(0.,1.,0.)); #363941=DIRECTION('',(0.,0.,1.)); #363942=DIRECTION('center_axis',(0.,0.,1.)); #363943=DIRECTION('ref_axis',(0.383339045540316,-0.923607696029131,0.)); #363944=DIRECTION('center_axis',(0.,0.,1.)); #363945=DIRECTION('ref_axis',(0.383339045540316,-0.923607696029131,0.)); #363946=DIRECTION('center_axis',(0.,0.,1.)); #363947=DIRECTION('ref_axis',(0.383339045540316,-0.923607696029131,0.)); #363948=DIRECTION('',(0.,0.,1.)); #363949=DIRECTION('center_axis',(0.,0.,1.)); #363950=DIRECTION('ref_axis',(-0.46174481367672,0.887012811092743,0.)); #363951=DIRECTION('center_axis',(0.,0.,1.)); #363952=DIRECTION('ref_axis',(-0.46174481367672,0.887012811092743,0.)); #363953=DIRECTION('center_axis',(0.,0.,1.)); #363954=DIRECTION('ref_axis',(-0.46174481367672,0.887012811092743,0.)); #363955=DIRECTION('',(0.,0.,1.)); #363956=DIRECTION('center_axis',(-1.,0.,0.)); #363957=DIRECTION('ref_axis',(0.,-1.,0.)); #363958=DIRECTION('',(0.,-1.,0.)); #363959=DIRECTION('',(0.,-1.,0.)); #363960=DIRECTION('',(0.,0.,1.)); #363961=DIRECTION('center_axis',(0.,0.,1.)); #363962=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #363963=DIRECTION('center_axis',(0.,0.,1.)); #363964=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #363965=DIRECTION('center_axis',(0.,0.,1.)); #363966=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #363967=DIRECTION('',(0.,0.,1.)); #363968=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #363969=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #363970=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #363971=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #363972=DIRECTION('',(0.,0.,1.)); #363973=DIRECTION('center_axis',(0.,0.,1.)); #363974=DIRECTION('ref_axis',(5.90313861199058E-6,0.999999999982576,0.)); #363975=DIRECTION('center_axis',(0.,0.,1.)); #363976=DIRECTION('ref_axis',(5.90313861199058E-6,0.999999999982576,0.)); #363977=DIRECTION('center_axis',(0.,0.,1.)); #363978=DIRECTION('ref_axis',(5.90313861199058E-6,0.999999999982576,0.)); #363979=DIRECTION('',(0.,0.,1.)); #363980=DIRECTION('center_axis',(0.,1.,0.)); #363981=DIRECTION('ref_axis',(-1.,0.,0.)); #363982=DIRECTION('',(-1.,0.,0.)); #363983=DIRECTION('',(-1.,0.,0.)); #363984=DIRECTION('',(0.,0.,1.)); #363985=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #363986=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #363987=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #363988=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #363989=DIRECTION('',(0.,0.,1.)); #363990=DIRECTION('center_axis',(-1.,0.,0.)); #363991=DIRECTION('ref_axis',(0.,-1.,0.)); #363992=DIRECTION('',(0.,-1.,0.)); #363993=DIRECTION('',(0.,-1.,0.)); #363994=DIRECTION('',(0.,0.,1.)); #363995=DIRECTION('center_axis',(0.,0.,1.)); #363996=DIRECTION('ref_axis',(-0.707110948194255,0.707102614154283,0.)); #363997=DIRECTION('center_axis',(0.,0.,1.)); #363998=DIRECTION('ref_axis',(-0.707110948194255,0.707102614154283,0.)); #363999=DIRECTION('center_axis',(0.,0.,1.)); #364000=DIRECTION('ref_axis',(-0.707110948194255,0.707102614154283,0.)); #364001=DIRECTION('',(0.,0.,1.)); #364002=DIRECTION('center_axis',(-0.707106781186551,0.707106781186544,0.)); #364003=DIRECTION('ref_axis',(-0.707106781186544,-0.707106781186551,0.)); #364004=DIRECTION('',(-0.707106781186544,-0.707106781186551,0.)); #364005=DIRECTION('',(-0.707106781186544,-0.707106781186551,0.)); #364006=DIRECTION('',(0.,0.,1.)); #364007=DIRECTION('center_axis',(0.,0.,1.)); #364008=DIRECTION('ref_axis',(5.90313868192551E-6,0.999999999982576,0.)); #364009=DIRECTION('center_axis',(0.,0.,1.)); #364010=DIRECTION('ref_axis',(5.90313868192551E-6,0.999999999982576,0.)); #364011=DIRECTION('center_axis',(0.,0.,1.)); #364012=DIRECTION('ref_axis',(5.90313868192551E-6,0.999999999982576,0.)); #364013=DIRECTION('',(0.,0.,1.)); #364014=DIRECTION('center_axis',(0.,1.,0.)); #364015=DIRECTION('ref_axis',(-1.,0.,0.)); #364016=DIRECTION('',(-1.,0.,0.)); #364017=DIRECTION('',(-1.,0.,0.)); #364018=DIRECTION('',(0.,0.,1.)); #364019=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #364020=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #364021=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #364022=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #364023=DIRECTION('',(0.,0.,1.)); #364024=DIRECTION('center_axis',(-1.,0.,0.)); #364025=DIRECTION('ref_axis',(0.,-1.,0.)); #364026=DIRECTION('',(0.,-1.,0.)); #364027=DIRECTION('',(0.,-1.,0.)); #364028=DIRECTION('',(0.,0.,1.)); #364029=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #364030=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #364031=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #364032=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #364033=DIRECTION('',(0.,0.,1.)); #364034=DIRECTION('center_axis',(0.,-1.,0.)); #364035=DIRECTION('ref_axis',(1.,0.,0.)); #364036=DIRECTION('',(1.,0.,0.)); #364037=DIRECTION('',(1.,0.,0.)); #364038=DIRECTION('',(0.,0.,1.)); #364039=DIRECTION('center_axis',(1.,0.,0.)); #364040=DIRECTION('ref_axis',(0.,1.,0.)); #364041=DIRECTION('',(0.,1.,0.)); #364042=DIRECTION('',(0.,1.,0.)); #364043=DIRECTION('',(0.,0.,1.)); #364044=DIRECTION('center_axis',(0.,-1.,0.)); #364045=DIRECTION('ref_axis',(1.,0.,0.)); #364046=DIRECTION('',(1.,0.,0.)); #364047=DIRECTION('',(1.,0.,0.)); #364048=DIRECTION('',(0.,0.,1.)); #364049=DIRECTION('center_axis',(-1.,0.,0.)); #364050=DIRECTION('ref_axis',(0.,-1.,0.)); #364051=DIRECTION('',(0.,-1.,0.)); #364052=DIRECTION('',(0.,-1.,0.)); #364053=DIRECTION('',(0.,0.,1.)); #364054=DIRECTION('center_axis',(0.,1.,0.)); #364055=DIRECTION('ref_axis',(-1.,0.,0.)); #364056=DIRECTION('',(-1.,0.,0.)); #364057=DIRECTION('',(-1.,0.,0.)); #364058=DIRECTION('center_axis',(0.,0.,1.)); #364059=DIRECTION('ref_axis',(1.,0.,0.)); #364060=DIRECTION('center_axis',(0.,0.,1.)); #364061=DIRECTION('ref_axis',(1.,0.,0.)); #364062=DIRECTION('center_axis',(0.,0.,1.)); #364063=DIRECTION('ref_axis',(1.,0.,0.)); #364064=DIRECTION('center_axis',(0.,0.,1.)); #364065=DIRECTION('ref_axis',(1.,0.,0.)); #364066=DIRECTION('',(0.,0.,1.)); #364067=DIRECTION('center_axis',(0.,0.,-1.)); #364068=DIRECTION('ref_axis',(1.,0.,0.)); #364069=DIRECTION('center_axis',(1.,0.,0.)); #364070=DIRECTION('ref_axis',(0.,1.,0.)); #364071=DIRECTION('',(0.,1.,0.)); #364072=DIRECTION('',(0.,0.,1.)); #364073=DIRECTION('',(0.,1.,0.)); #364074=DIRECTION('',(0.,0.,1.)); #364075=DIRECTION('center_axis',(0.,-1.,0.)); #364076=DIRECTION('ref_axis',(1.,0.,0.)); #364077=DIRECTION('',(1.,0.,0.)); #364078=DIRECTION('',(1.,0.,0.)); #364079=DIRECTION('',(0.,0.,1.)); #364080=DIRECTION('center_axis',(-1.,0.,0.)); #364081=DIRECTION('ref_axis',(0.,-1.,0.)); #364082=DIRECTION('',(0.,-1.,0.)); #364083=DIRECTION('',(0.,-1.,0.)); #364084=DIRECTION('',(0.,0.,1.)); #364085=DIRECTION('center_axis',(0.,1.,0.)); #364086=DIRECTION('ref_axis',(-1.,0.,0.)); #364087=DIRECTION('',(-1.,0.,0.)); #364088=DIRECTION('',(-1.,0.,0.)); #364089=DIRECTION('',(0.,0.,1.)); #364090=DIRECTION('center_axis',(-1.,0.,0.)); #364091=DIRECTION('ref_axis',(0.,-1.,0.)); #364092=DIRECTION('',(0.,-1.,0.)); #364093=DIRECTION('',(0.,-1.,0.)); #364094=DIRECTION('',(0.,0.,1.)); #364095=DIRECTION('center_axis',(0.,0.,1.)); #364096=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #364097=DIRECTION('center_axis',(0.,0.,1.)); #364098=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #364099=DIRECTION('center_axis',(0.,0.,1.)); #364100=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #364101=DIRECTION('',(0.,0.,1.)); #364102=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,0.)); #364103=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); #364104=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #364105=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #364106=DIRECTION('',(0.,0.,1.)); #364107=DIRECTION('center_axis',(-1.,0.,0.)); #364108=DIRECTION('ref_axis',(0.,-1.,0.)); #364109=DIRECTION('',(0.,-1.,0.)); #364110=DIRECTION('',(0.,-1.,0.)); #364111=DIRECTION('',(0.,0.,1.)); #364112=DIRECTION('center_axis',(0.,0.,1.)); #364113=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #364114=DIRECTION('center_axis',(0.,0.,1.)); #364115=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #364116=DIRECTION('center_axis',(0.,0.,1.)); #364117=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #364118=DIRECTION('',(0.,0.,1.)); #364119=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #364120=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #364121=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #364122=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #364123=DIRECTION('',(0.,0.,1.)); #364124=DIRECTION('center_axis',(-1.,0.,0.)); #364125=DIRECTION('ref_axis',(0.,-1.,0.)); #364126=DIRECTION('',(0.,-1.,0.)); #364127=DIRECTION('',(0.,-1.,0.)); #364128=DIRECTION('',(0.,0.,1.)); #364129=DIRECTION('center_axis',(0.,0.,1.)); #364130=DIRECTION('ref_axis',(-0.38333719722397,0.923608463162,0.)); #364131=DIRECTION('center_axis',(0.,0.,1.)); #364132=DIRECTION('ref_axis',(-0.38333719722397,0.923608463162,0.)); #364133=DIRECTION('center_axis',(0.,0.,1.)); #364134=DIRECTION('ref_axis',(-0.38333719722397,0.923608463162,0.)); #364135=DIRECTION('',(0.,0.,1.)); #364136=DIRECTION('center_axis',(0.,0.,1.)); #364137=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #364138=DIRECTION('center_axis',(0.,0.,1.)); #364139=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #364140=DIRECTION('center_axis',(0.,0.,1.)); #364141=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #364142=DIRECTION('',(0.,0.,1.)); #364143=DIRECTION('center_axis',(1.,0.,0.)); #364144=DIRECTION('ref_axis',(0.,1.,0.)); #364145=DIRECTION('',(0.,1.,0.)); #364146=DIRECTION('',(0.,1.,0.)); #364147=DIRECTION('',(0.,0.,1.)); #364148=DIRECTION('center_axis',(0.,0.,1.)); #364149=DIRECTION('ref_axis',(0.707113731984435,-0.707099830320333,0.)); #364150=DIRECTION('center_axis',(0.,0.,1.)); #364151=DIRECTION('ref_axis',(0.707113731984435,-0.707099830320333,0.)); #364152=DIRECTION('center_axis',(0.,0.,1.)); #364153=DIRECTION('ref_axis',(0.707113731984435,-0.707099830320333,0.)); #364154=DIRECTION('',(0.,0.,1.)); #364155=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #364156=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #364157=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #364158=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #364159=DIRECTION('',(0.,0.,1.)); #364160=DIRECTION('center_axis',(1.,0.,0.)); #364161=DIRECTION('ref_axis',(0.,1.,0.)); #364162=DIRECTION('',(0.,1.,0.)); #364163=DIRECTION('',(0.,1.,0.)); #364164=DIRECTION('',(0.,0.,1.)); #364165=DIRECTION('center_axis',(0.,0.,1.)); #364166=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #364167=DIRECTION('center_axis',(0.,0.,1.)); #364168=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #364169=DIRECTION('center_axis',(0.,0.,1.)); #364170=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #364171=DIRECTION('',(0.,0.,1.)); #364172=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #364173=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #364174=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #364175=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #364176=DIRECTION('',(0.,0.,1.)); #364177=DIRECTION('center_axis',(1.,0.,0.)); #364178=DIRECTION('ref_axis',(0.,1.,0.)); #364179=DIRECTION('',(0.,1.,0.)); #364180=DIRECTION('',(0.,1.,0.)); #364181=DIRECTION('',(0.,0.,1.)); #364182=DIRECTION('center_axis',(0.,1.,0.)); #364183=DIRECTION('ref_axis',(-1.,0.,0.)); #364184=DIRECTION('',(-1.,0.,0.)); #364185=DIRECTION('',(-1.,0.,0.)); #364186=DIRECTION('center_axis',(0.,0.,1.)); #364187=DIRECTION('ref_axis',(1.,0.,0.)); #364188=DIRECTION('center_axis',(0.,0.,1.)); #364189=DIRECTION('ref_axis',(1.,0.,0.)); #364190=DIRECTION('center_axis',(0.,0.,1.)); #364191=DIRECTION('ref_axis',(1.,0.,0.)); #364192=DIRECTION('center_axis',(0.,0.,1.)); #364193=DIRECTION('ref_axis',(1.,0.,0.)); #364194=DIRECTION('',(0.,0.,1.)); #364195=DIRECTION('center_axis',(0.,0.,-1.)); #364196=DIRECTION('ref_axis',(1.,0.,0.)); #364197=DIRECTION('center_axis',(1.,0.,0.)); #364198=DIRECTION('ref_axis',(0.,1.,0.)); #364199=DIRECTION('',(0.,1.,0.)); #364200=DIRECTION('',(0.,0.,1.)); #364201=DIRECTION('',(0.,1.,0.)); #364202=DIRECTION('',(0.,0.,1.)); #364203=DIRECTION('center_axis',(0.,-1.,0.)); #364204=DIRECTION('ref_axis',(1.,0.,0.)); #364205=DIRECTION('',(1.,0.,0.)); #364206=DIRECTION('',(1.,0.,0.)); #364207=DIRECTION('',(0.,0.,1.)); #364208=DIRECTION('center_axis',(-1.,0.,0.)); #364209=DIRECTION('ref_axis',(0.,-1.,0.)); #364210=DIRECTION('',(0.,-1.,0.)); #364211=DIRECTION('',(0.,-1.,0.)); #364212=DIRECTION('',(0.,0.,1.)); #364213=DIRECTION('center_axis',(0.,1.,0.)); #364214=DIRECTION('ref_axis',(-1.,0.,0.)); #364215=DIRECTION('',(-1.,0.,0.)); #364216=DIRECTION('',(-1.,0.,0.)); #364217=DIRECTION('center_axis',(0.,0.,1.)); #364218=DIRECTION('ref_axis',(1.,0.,0.)); #364219=DIRECTION('center_axis',(0.,0.,1.)); #364220=DIRECTION('ref_axis',(1.,0.,0.)); #364221=DIRECTION('center_axis',(0.,0.,1.)); #364222=DIRECTION('ref_axis',(1.,0.,0.)); #364223=DIRECTION('center_axis',(0.,0.,1.)); #364224=DIRECTION('ref_axis',(1.,0.,0.)); #364225=DIRECTION('',(0.,0.,1.)); #364226=DIRECTION('center_axis',(0.,0.,-1.)); #364227=DIRECTION('ref_axis',(1.,0.,0.)); #364228=DIRECTION('center_axis',(1.,0.,0.)); #364229=DIRECTION('ref_axis',(0.,1.,0.)); #364230=DIRECTION('',(0.,1.,0.)); #364231=DIRECTION('',(0.,0.,1.)); #364232=DIRECTION('',(0.,1.,0.)); #364233=DIRECTION('',(0.,0.,1.)); #364234=DIRECTION('center_axis',(0.,-1.,0.)); #364235=DIRECTION('ref_axis',(1.,0.,0.)); #364236=DIRECTION('',(1.,0.,0.)); #364237=DIRECTION('',(1.,0.,0.)); #364238=DIRECTION('',(0.,0.,1.)); #364239=DIRECTION('center_axis',(-1.,0.,0.)); #364240=DIRECTION('ref_axis',(0.,-1.,0.)); #364241=DIRECTION('',(0.,-1.,0.)); #364242=DIRECTION('',(0.,-1.,0.)); #364243=DIRECTION('',(0.,0.,1.)); #364244=DIRECTION('center_axis',(0.,1.,0.)); #364245=DIRECTION('ref_axis',(-1.,0.,0.)); #364246=DIRECTION('',(-1.,0.,0.)); #364247=DIRECTION('',(-1.,0.,0.)); #364248=DIRECTION('center_axis',(0.,0.,1.)); #364249=DIRECTION('ref_axis',(1.,0.,0.)); #364250=DIRECTION('center_axis',(0.,0.,1.)); #364251=DIRECTION('ref_axis',(1.,0.,0.)); #364252=DIRECTION('center_axis',(0.,0.,1.)); #364253=DIRECTION('ref_axis',(1.,0.,0.)); #364254=DIRECTION('center_axis',(0.,0.,1.)); #364255=DIRECTION('ref_axis',(1.,0.,0.)); #364256=DIRECTION('',(0.,0.,1.)); #364257=DIRECTION('center_axis',(0.,0.,-1.)); #364258=DIRECTION('ref_axis',(1.,0.,0.)); #364259=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #364260=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #364261=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #364262=DIRECTION('',(0.,0.,1.)); #364263=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #364264=DIRECTION('',(0.,0.,1.)); #364265=DIRECTION('center_axis',(0.,0.,1.)); #364266=DIRECTION('ref_axis',(-0.953425737718333,0.30162785457622,0.)); #364267=DIRECTION('center_axis',(0.,0.,1.)); #364268=DIRECTION('ref_axis',(-0.953425737718333,0.30162785457622,0.)); #364269=DIRECTION('center_axis',(0.,0.,1.)); #364270=DIRECTION('ref_axis',(-0.953425737718333,0.30162785457622,0.)); #364271=DIRECTION('',(0.,0.,1.)); #364272=DIRECTION('center_axis',(-0.707106781186542,-0.707106781186553, 0.)); #364273=DIRECTION('ref_axis',(0.707106781186553,-0.707106781186542,0.)); #364274=DIRECTION('',(0.707106781186553,-0.707106781186542,0.)); #364275=DIRECTION('',(0.707106781186553,-0.707106781186542,0.)); #364276=DIRECTION('',(0.,0.,1.)); #364277=DIRECTION('center_axis',(0.,0.,1.)); #364278=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #364279=DIRECTION('center_axis',(0.,0.,1.)); #364280=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #364281=DIRECTION('center_axis',(0.,0.,1.)); #364282=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #364283=DIRECTION('',(0.,0.,1.)); #364284=DIRECTION('center_axis',(-1.,0.,0.)); #364285=DIRECTION('ref_axis',(0.,-1.,0.)); #364286=DIRECTION('',(0.,-1.,0.)); #364287=DIRECTION('',(0.,-1.,0.)); #364288=DIRECTION('',(0.,0.,1.)); #364289=DIRECTION('center_axis',(0.,-1.,0.)); #364290=DIRECTION('ref_axis',(1.,0.,0.)); #364291=DIRECTION('',(1.,0.,0.)); #364292=DIRECTION('',(1.,0.,0.)); #364293=DIRECTION('',(0.,0.,1.)); #364294=DIRECTION('center_axis',(-1.,0.,0.)); #364295=DIRECTION('ref_axis',(0.,-1.,0.)); #364296=DIRECTION('',(0.,-1.,0.)); #364297=DIRECTION('',(0.,-1.,0.)); #364298=DIRECTION('',(0.,0.,1.)); #364299=DIRECTION('center_axis',(0.,1.,0.)); #364300=DIRECTION('ref_axis',(-1.,0.,0.)); #364301=DIRECTION('',(-1.,0.,0.)); #364302=DIRECTION('',(-1.,0.,0.)); #364303=DIRECTION('',(0.,0.,1.)); #364304=DIRECTION('center_axis',(1.,0.,0.)); #364305=DIRECTION('ref_axis',(0.,1.,0.)); #364306=DIRECTION('',(0.,1.,0.)); #364307=DIRECTION('',(0.,1.,0.)); #364308=DIRECTION('',(0.,0.,1.)); #364309=DIRECTION('center_axis',(0.,-1.,0.)); #364310=DIRECTION('ref_axis',(1.,0.,0.)); #364311=DIRECTION('',(1.,0.,0.)); #364312=DIRECTION('',(1.,0.,0.)); #364313=DIRECTION('',(0.,0.,1.)); #364314=DIRECTION('center_axis',(1.,0.,0.)); #364315=DIRECTION('ref_axis',(0.,1.,0.)); #364316=DIRECTION('',(0.,1.,0.)); #364317=DIRECTION('',(0.,1.,0.)); #364318=DIRECTION('',(0.,0.,1.)); #364319=DIRECTION('center_axis',(0.707103342561715,0.707110219794658,0.)); #364320=DIRECTION('ref_axis',(-0.707110219794658,0.707103342561715,0.)); #364321=DIRECTION('',(-0.707110219794658,0.707103342561715,0.)); #364322=DIRECTION('',(-0.707110219794658,0.707103342561715,0.)); #364323=DIRECTION('',(0.,0.,1.)); #364324=DIRECTION('center_axis',(-0.308977861954611,0.951069230299223,0.)); #364325=DIRECTION('ref_axis',(-0.951069230299223,-0.308977861954611,0.)); #364326=DIRECTION('',(-0.951069230299223,-0.308977861954611,0.)); #364327=DIRECTION('',(-0.951069230299223,-0.308977861954611,0.)); #364328=DIRECTION('',(0.,0.,1.)); #364329=DIRECTION('center_axis',(0.,0.,1.)); #364330=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #364331=DIRECTION('center_axis',(0.,0.,1.)); #364332=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #364333=DIRECTION('center_axis',(0.,0.,1.)); #364334=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #364335=DIRECTION('center_axis',(0.,0.,1.)); #364336=DIRECTION('ref_axis',(1.,0.,0.)); #364337=DIRECTION('center_axis',(0.,0.,1.)); #364338=DIRECTION('ref_axis',(1.,0.,0.)); #364339=DIRECTION('center_axis',(0.,0.,1.)); #364340=DIRECTION('ref_axis',(1.,0.,0.)); #364341=DIRECTION('center_axis',(0.,0.,1.)); #364342=DIRECTION('ref_axis',(1.,0.,0.)); #364343=DIRECTION('',(0.,0.,1.)); #364344=DIRECTION('center_axis',(0.,0.,-1.)); #364345=DIRECTION('ref_axis',(1.,0.,0.)); #364346=DIRECTION('center_axis',(0.0980028398661646,-0.995186135041162, 0.)); #364347=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661646,0.)); #364348=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #364349=DIRECTION('',(0.,0.,1.)); #364350=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #364351=DIRECTION('',(0.,0.,1.)); #364352=DIRECTION('center_axis',(0.,0.,1.)); #364353=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #364354=DIRECTION('center_axis',(0.,0.,1.)); #364355=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #364356=DIRECTION('center_axis',(0.,0.,1.)); #364357=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #364358=DIRECTION('',(0.,0.,1.)); #364359=DIRECTION('center_axis',(0.,0.,1.)); #364360=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #364361=DIRECTION('center_axis',(0.,0.,1.)); #364362=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #364363=DIRECTION('center_axis',(0.,0.,1.)); #364364=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #364365=DIRECTION('center_axis',(0.,0.,1.)); #364366=DIRECTION('ref_axis',(1.,0.,0.)); #364367=DIRECTION('center_axis',(0.,0.,1.)); #364368=DIRECTION('ref_axis',(1.,0.,0.)); #364369=DIRECTION('center_axis',(0.,0.,1.)); #364370=DIRECTION('ref_axis',(1.,0.,0.)); #364371=DIRECTION('center_axis',(0.,0.,1.)); #364372=DIRECTION('ref_axis',(1.,0.,0.)); #364373=DIRECTION('',(0.,0.,1.)); #364374=DIRECTION('center_axis',(0.,0.,-1.)); #364375=DIRECTION('ref_axis',(1.,0.,0.)); #364376=DIRECTION('center_axis',(0.,0.,1.)); #364377=DIRECTION('ref_axis',(1.,0.,0.)); #364378=DIRECTION('center_axis',(0.,0.,1.)); #364379=DIRECTION('ref_axis',(1.,0.,0.)); #364380=DIRECTION('',(0.,0.,1.)); #364381=DIRECTION('center_axis',(0.,0.,-1.)); #364382=DIRECTION('ref_axis',(1.,0.,0.)); #364383=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #364384=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #364385=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #364386=DIRECTION('',(0.,0.,1.)); #364387=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #364388=DIRECTION('',(0.,0.,1.)); #364389=DIRECTION('center_axis',(0.,0.,1.)); #364390=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #364391=DIRECTION('center_axis',(0.,0.,1.)); #364392=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #364393=DIRECTION('center_axis',(0.,0.,1.)); #364394=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #364395=DIRECTION('',(0.,0.,1.)); #364396=DIRECTION('center_axis',(-1.,0.,0.)); #364397=DIRECTION('ref_axis',(0.,-1.,0.)); #364398=DIRECTION('',(0.,-1.,0.)); #364399=DIRECTION('',(0.,-1.,0.)); #364400=DIRECTION('',(0.,0.,1.)); #364401=DIRECTION('center_axis',(0.,0.,1.)); #364402=DIRECTION('ref_axis',(-0.14679923663854,0.989166307615834,0.)); #364403=DIRECTION('center_axis',(0.,0.,1.)); #364404=DIRECTION('ref_axis',(-0.14679923663854,0.989166307615834,0.)); #364405=DIRECTION('center_axis',(0.,0.,1.)); #364406=DIRECTION('ref_axis',(-0.14679923663854,0.989166307615834,0.)); #364407=DIRECTION('',(0.,0.,1.)); #364408=DIRECTION('center_axis',(0.,0.,1.)); #364409=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #364410=DIRECTION('center_axis',(0.,0.,1.)); #364411=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #364412=DIRECTION('center_axis',(0.,0.,1.)); #364413=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #364414=DIRECTION('',(0.,0.,1.)); #364415=DIRECTION('center_axis',(1.,0.,0.)); #364416=DIRECTION('ref_axis',(0.,1.,0.)); #364417=DIRECTION('',(0.,1.,0.)); #364418=DIRECTION('',(0.,1.,0.)); #364419=DIRECTION('',(0.,0.,1.)); #364420=DIRECTION('center_axis',(0.,0.,1.)); #364421=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #364422=DIRECTION('center_axis',(0.,0.,1.)); #364423=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #364424=DIRECTION('center_axis',(0.,0.,1.)); #364425=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #364426=DIRECTION('center_axis',(0.,0.,1.)); #364427=DIRECTION('ref_axis',(1.,0.,0.)); #364428=DIRECTION('center_axis',(0.,0.,1.)); #364429=DIRECTION('ref_axis',(1.,0.,0.)); #364430=DIRECTION('center_axis',(0.,0.,1.)); #364431=DIRECTION('ref_axis',(1.,0.,0.)); #364432=DIRECTION('center_axis',(0.,0.,1.)); #364433=DIRECTION('ref_axis',(1.,0.,0.)); #364434=DIRECTION('',(0.,0.,1.)); #364435=DIRECTION('center_axis',(0.,0.,-1.)); #364436=DIRECTION('ref_axis',(1.,0.,0.)); #364437=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #364438=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #364439=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #364440=DIRECTION('',(0.,0.,1.)); #364441=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #364442=DIRECTION('',(0.,0.,1.)); #364443=DIRECTION('center_axis',(0.,0.,1.)); #364444=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #364445=DIRECTION('center_axis',(0.,0.,1.)); #364446=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #364447=DIRECTION('center_axis',(0.,0.,1.)); #364448=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #364449=DIRECTION('',(0.,0.,1.)); #364450=DIRECTION('center_axis',(0.,0.,1.)); #364451=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #364452=DIRECTION('center_axis',(0.,0.,1.)); #364453=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #364454=DIRECTION('center_axis',(0.,0.,1.)); #364455=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #364456=DIRECTION('center_axis',(0.,0.,1.)); #364457=DIRECTION('ref_axis',(1.,0.,0.)); #364458=DIRECTION('center_axis',(0.,0.,1.)); #364459=DIRECTION('ref_axis',(1.,0.,0.)); #364460=DIRECTION('center_axis',(0.,0.,1.)); #364461=DIRECTION('ref_axis',(1.,0.,0.)); #364462=DIRECTION('center_axis',(0.,0.,1.)); #364463=DIRECTION('ref_axis',(1.,0.,0.)); #364464=DIRECTION('',(0.,0.,1.)); #364465=DIRECTION('center_axis',(0.,0.,-1.)); #364466=DIRECTION('ref_axis',(1.,0.,0.)); #364467=DIRECTION('center_axis',(1.,0.,0.)); #364468=DIRECTION('ref_axis',(0.,1.,0.)); #364469=DIRECTION('',(0.,1.,0.)); #364470=DIRECTION('',(0.,0.,1.)); #364471=DIRECTION('',(0.,1.,0.)); #364472=DIRECTION('',(0.,0.,1.)); #364473=DIRECTION('center_axis',(0.,-1.,0.)); #364474=DIRECTION('ref_axis',(1.,0.,0.)); #364475=DIRECTION('',(1.,0.,0.)); #364476=DIRECTION('',(1.,0.,0.)); #364477=DIRECTION('',(0.,0.,1.)); #364478=DIRECTION('center_axis',(-1.,0.,0.)); #364479=DIRECTION('ref_axis',(0.,-1.,0.)); #364480=DIRECTION('',(0.,-1.,0.)); #364481=DIRECTION('',(0.,-1.,0.)); #364482=DIRECTION('',(0.,0.,1.)); #364483=DIRECTION('center_axis',(0.,1.,0.)); #364484=DIRECTION('ref_axis',(-1.,0.,0.)); #364485=DIRECTION('',(-1.,0.,0.)); #364486=DIRECTION('',(-1.,0.,0.)); #364487=DIRECTION('center_axis',(0.,0.,1.)); #364488=DIRECTION('ref_axis',(1.,0.,0.)); #364489=DIRECTION('center_axis',(0.,0.,1.)); #364490=DIRECTION('ref_axis',(1.,0.,0.)); #364491=DIRECTION('center_axis',(0.,0.,1.)); #364492=DIRECTION('ref_axis',(1.,0.,0.)); #364493=DIRECTION('center_axis',(0.,0.,1.)); #364494=DIRECTION('ref_axis',(1.,0.,0.)); #364495=DIRECTION('',(0.,0.,1.)); #364496=DIRECTION('center_axis',(0.,0.,-1.)); #364497=DIRECTION('ref_axis',(1.,0.,0.)); #364498=DIRECTION('center_axis',(1.,0.,0.)); #364499=DIRECTION('ref_axis',(0.,1.,0.)); #364500=DIRECTION('',(0.,1.,0.)); #364501=DIRECTION('',(0.,0.,1.)); #364502=DIRECTION('',(0.,1.,0.)); #364503=DIRECTION('',(0.,0.,1.)); #364504=DIRECTION('center_axis',(0.,1.,0.)); #364505=DIRECTION('ref_axis',(-1.,0.,0.)); #364506=DIRECTION('',(-1.,0.,0.)); #364507=DIRECTION('',(-1.,0.,0.)); #364508=DIRECTION('',(0.,0.,1.)); #364509=DIRECTION('center_axis',(-1.,0.,0.)); #364510=DIRECTION('ref_axis',(0.,-1.,0.)); #364511=DIRECTION('',(0.,-1.,0.)); #364512=DIRECTION('',(0.,-1.,0.)); #364513=DIRECTION('',(0.,0.,1.)); #364514=DIRECTION('center_axis',(0.,1.,0.)); #364515=DIRECTION('ref_axis',(-1.,0.,0.)); #364516=DIRECTION('',(-1.,0.,0.)); #364517=DIRECTION('',(-1.,0.,0.)); #364518=DIRECTION('',(0.,0.,1.)); #364519=DIRECTION('center_axis',(1.,0.,0.)); #364520=DIRECTION('ref_axis',(0.,1.,0.)); #364521=DIRECTION('',(0.,1.,0.)); #364522=DIRECTION('',(0.,1.,0.)); #364523=DIRECTION('',(0.,0.,1.)); #364524=DIRECTION('center_axis',(0.,-1.,0.)); #364525=DIRECTION('ref_axis',(1.,0.,0.)); #364526=DIRECTION('',(1.,0.,0.)); #364527=DIRECTION('',(1.,0.,0.)); #364528=DIRECTION('',(0.,0.,1.)); #364529=DIRECTION('center_axis',(-1.,0.,0.)); #364530=DIRECTION('ref_axis',(0.,-1.,0.)); #364531=DIRECTION('',(0.,-1.,0.)); #364532=DIRECTION('',(0.,-1.,0.)); #364533=DIRECTION('',(0.,0.,1.)); #364534=DIRECTION('center_axis',(0.,-1.,0.)); #364535=DIRECTION('ref_axis',(1.,0.,0.)); #364536=DIRECTION('',(1.,0.,0.)); #364537=DIRECTION('',(1.,0.,0.)); #364538=DIRECTION('',(0.,0.,1.)); #364539=DIRECTION('center_axis',(0.707106781186539,-0.707106781186556,0.)); #364540=DIRECTION('ref_axis',(0.707106781186556,0.707106781186539,0.)); #364541=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #364542=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #364543=DIRECTION('',(0.,0.,1.)); #364544=DIRECTION('center_axis',(1.,0.,0.)); #364545=DIRECTION('ref_axis',(0.,1.,0.)); #364546=DIRECTION('',(0.,1.,0.)); #364547=DIRECTION('',(0.,1.,0.)); #364548=DIRECTION('',(0.,0.,1.)); #364549=DIRECTION('center_axis',(0.707106781186546,0.707106781186549,0.)); #364550=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #364551=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #364552=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #364553=DIRECTION('',(0.,0.,1.)); #364554=DIRECTION('center_axis',(0.,1.,0.)); #364555=DIRECTION('ref_axis',(-1.,0.,0.)); #364556=DIRECTION('',(-1.,0.,0.)); #364557=DIRECTION('',(-1.,0.,0.)); #364558=DIRECTION('',(0.,0.,1.)); #364559=DIRECTION('center_axis',(0.,0.,1.)); #364560=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #364561=DIRECTION('center_axis',(0.,0.,1.)); #364562=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #364563=DIRECTION('center_axis',(0.,0.,1.)); #364564=DIRECTION('ref_axis',(0.707102614154231,0.707110948194308,0.)); #364565=DIRECTION('',(0.,0.,1.)); #364566=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #364567=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #364568=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #364569=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #364570=DIRECTION('',(0.,0.,1.)); #364571=DIRECTION('center_axis',(0.,0.,1.)); #364572=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #364573=DIRECTION('center_axis',(0.,0.,1.)); #364574=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #364575=DIRECTION('center_axis',(0.,0.,1.)); #364576=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #364577=DIRECTION('',(0.,0.,1.)); #364578=DIRECTION('center_axis',(1.,0.,0.)); #364579=DIRECTION('ref_axis',(0.,1.,0.)); #364580=DIRECTION('',(0.,1.,0.)); #364581=DIRECTION('',(0.,1.,0.)); #364582=DIRECTION('',(0.,0.,1.)); #364583=DIRECTION('center_axis',(0.707106781186542,0.707106781186554,0.)); #364584=DIRECTION('ref_axis',(-0.707106781186553,0.707106781186541,0.)); #364585=DIRECTION('',(-0.707106781186553,0.707106781186542,0.)); #364586=DIRECTION('',(-0.707106781186553,0.707106781186542,0.)); #364587=DIRECTION('',(0.,0.,1.)); #364588=DIRECTION('center_axis',(0.,1.,0.)); #364589=DIRECTION('ref_axis',(-1.,0.,0.)); #364590=DIRECTION('',(-1.,0.,0.)); #364591=DIRECTION('',(-1.,0.,0.)); #364592=DIRECTION('',(0.,0.,1.)); #364593=DIRECTION('center_axis',(0.,0.,1.)); #364594=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #364595=DIRECTION('center_axis',(0.,0.,1.)); #364596=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #364597=DIRECTION('center_axis',(0.,0.,1.)); #364598=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #364599=DIRECTION('',(0.,0.,1.)); #364600=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.)); #364601=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #364602=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #364603=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #364604=DIRECTION('',(0.,0.,1.)); #364605=DIRECTION('center_axis',(0.,0.,1.)); #364606=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #364607=DIRECTION('center_axis',(0.,0.,1.)); #364608=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #364609=DIRECTION('center_axis',(0.,0.,1.)); #364610=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #364611=DIRECTION('',(0.,0.,1.)); #364612=DIRECTION('center_axis',(1.,0.,0.)); #364613=DIRECTION('ref_axis',(0.,1.,0.)); #364614=DIRECTION('',(0.,1.,0.)); #364615=DIRECTION('',(0.,1.,0.)); #364616=DIRECTION('',(0.,0.,1.)); #364617=DIRECTION('center_axis',(0.,0.,1.)); #364618=DIRECTION('ref_axis',(0.383339045540335,-0.923607696029123,0.)); #364619=DIRECTION('center_axis',(0.,0.,1.)); #364620=DIRECTION('ref_axis',(0.383339045540335,-0.923607696029123,0.)); #364621=DIRECTION('center_axis',(0.,0.,1.)); #364622=DIRECTION('ref_axis',(0.383339045540335,-0.923607696029123,0.)); #364623=DIRECTION('',(0.,0.,1.)); #364624=DIRECTION('center_axis',(0.,0.,1.)); #364625=DIRECTION('ref_axis',(-0.46174481367672,0.887012811092743,0.)); #364626=DIRECTION('center_axis',(0.,0.,1.)); #364627=DIRECTION('ref_axis',(-0.46174481367672,0.887012811092743,0.)); #364628=DIRECTION('center_axis',(0.,0.,1.)); #364629=DIRECTION('ref_axis',(-0.46174481367672,0.887012811092743,0.)); #364630=DIRECTION('',(0.,0.,1.)); #364631=DIRECTION('center_axis',(-1.,0.,0.)); #364632=DIRECTION('ref_axis',(0.,-1.,0.)); #364633=DIRECTION('',(0.,-1.,0.)); #364634=DIRECTION('',(0.,-1.,0.)); #364635=DIRECTION('',(0.,0.,1.)); #364636=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #364637=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #364638=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #364639=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #364640=DIRECTION('',(0.,0.,1.)); #364641=DIRECTION('center_axis',(0.,-1.,0.)); #364642=DIRECTION('ref_axis',(1.,0.,0.)); #364643=DIRECTION('',(1.,0.,0.)); #364644=DIRECTION('',(1.,0.,0.)); #364645=DIRECTION('',(0.,0.,1.)); #364646=DIRECTION('center_axis',(0.,0.,1.)); #364647=DIRECTION('ref_axis',(-0.707099830320316,-0.707113731984453,0.)); #364648=DIRECTION('center_axis',(0.,0.,1.)); #364649=DIRECTION('ref_axis',(-0.707099830320316,-0.707113731984453,0.)); #364650=DIRECTION('center_axis',(0.,0.,1.)); #364651=DIRECTION('ref_axis',(-0.707099830320316,-0.707113731984453,0.)); #364652=DIRECTION('',(0.,0.,1.)); #364653=DIRECTION('center_axis',(-0.70710678118655,-0.707106781186545,0.)); #364654=DIRECTION('ref_axis',(0.707106781186545,-0.70710678118655,0.)); #364655=DIRECTION('',(0.707106781186545,-0.70710678118655,0.)); #364656=DIRECTION('',(0.707106781186545,-0.70710678118655,0.)); #364657=DIRECTION('',(0.,0.,1.)); #364658=DIRECTION('center_axis',(0.,0.,1.)); #364659=DIRECTION('ref_axis',(-0.999999999951587,9.8400434339655E-6,0.)); #364660=DIRECTION('center_axis',(0.,0.,1.)); #364661=DIRECTION('ref_axis',(-0.999999999951587,9.8400434339655E-6,0.)); #364662=DIRECTION('center_axis',(0.,0.,1.)); #364663=DIRECTION('ref_axis',(-0.999999999951587,9.8400434339655E-6,0.)); #364664=DIRECTION('',(0.,0.,1.)); #364665=DIRECTION('center_axis',(-1.,0.,0.)); #364666=DIRECTION('ref_axis',(0.,-1.,0.)); #364667=DIRECTION('',(0.,-1.,0.)); #364668=DIRECTION('',(0.,-1.,0.)); #364669=DIRECTION('',(0.,0.,1.)); #364670=DIRECTION('center_axis',(-0.707106781186545,-0.70710678118655,0.)); #364671=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,0.)); #364672=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #364673=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #364674=DIRECTION('',(0.,0.,1.)); #364675=DIRECTION('center_axis',(0.,-1.,0.)); #364676=DIRECTION('ref_axis',(1.,0.,0.)); #364677=DIRECTION('',(1.,0.,0.)); #364678=DIRECTION('',(1.,0.,0.)); #364679=DIRECTION('',(0.,0.,1.)); #364680=DIRECTION('center_axis',(0.,0.,1.)); #364681=DIRECTION('ref_axis',(-0.707102614154231,-0.707110948194308,0.)); #364682=DIRECTION('center_axis',(0.,0.,1.)); #364683=DIRECTION('ref_axis',(-0.707102614154231,-0.707110948194308,0.)); #364684=DIRECTION('center_axis',(0.,0.,1.)); #364685=DIRECTION('ref_axis',(-0.707102614154231,-0.707110948194308,0.)); #364686=DIRECTION('',(0.,0.,1.)); #364687=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #364688=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #364689=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #364690=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #364691=DIRECTION('',(0.,0.,1.)); #364692=DIRECTION('center_axis',(0.,0.,1.)); #364693=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192479E-6,0.)); #364694=DIRECTION('center_axis',(0.,0.,1.)); #364695=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192479E-6,0.)); #364696=DIRECTION('center_axis',(0.,0.,1.)); #364697=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192479E-6,0.)); #364698=DIRECTION('',(0.,0.,1.)); #364699=DIRECTION('center_axis',(-1.,0.,0.)); #364700=DIRECTION('ref_axis',(0.,-1.,0.)); #364701=DIRECTION('',(0.,-1.,0.)); #364702=DIRECTION('',(0.,-1.,0.)); #364703=DIRECTION('',(0.,0.,1.)); #364704=DIRECTION('center_axis',(-0.707106781186534,-0.707106781186561, 0.)); #364705=DIRECTION('ref_axis',(0.707106781186561,-0.707106781186534,0.)); #364706=DIRECTION('',(0.707106781186561,-0.707106781186534,0.)); #364707=DIRECTION('',(0.707106781186561,-0.707106781186534,0.)); #364708=DIRECTION('',(0.,0.,1.)); #364709=DIRECTION('center_axis',(0.,-1.,0.)); #364710=DIRECTION('ref_axis',(1.,0.,0.)); #364711=DIRECTION('',(1.,0.,0.)); #364712=DIRECTION('',(1.,0.,0.)); #364713=DIRECTION('',(0.,0.,1.)); #364714=DIRECTION('center_axis',(1.,0.,0.)); #364715=DIRECTION('ref_axis',(0.,1.,0.)); #364716=DIRECTION('',(0.,1.,0.)); #364717=DIRECTION('',(0.,1.,0.)); #364718=DIRECTION('',(0.,0.,1.)); #364719=DIRECTION('center_axis',(0.,-1.,0.)); #364720=DIRECTION('ref_axis',(1.,0.,0.)); #364721=DIRECTION('',(1.,0.,0.)); #364722=DIRECTION('',(1.,0.,0.)); #364723=DIRECTION('',(0.,0.,1.)); #364724=DIRECTION('center_axis',(-1.,0.,0.)); #364725=DIRECTION('ref_axis',(0.,-1.,0.)); #364726=DIRECTION('',(0.,-1.,0.)); #364727=DIRECTION('',(0.,-1.,0.)); #364728=DIRECTION('',(0.,0.,1.)); #364729=DIRECTION('center_axis',(0.,1.,0.)); #364730=DIRECTION('ref_axis',(-1.,0.,0.)); #364731=DIRECTION('',(-1.,0.,0.)); #364732=DIRECTION('',(-1.,0.,0.)); #364733=DIRECTION('center_axis',(0.,0.,1.)); #364734=DIRECTION('ref_axis',(1.,0.,0.)); #364735=DIRECTION('center_axis',(0.,0.,1.)); #364736=DIRECTION('ref_axis',(1.,0.,0.)); #364737=DIRECTION('center_axis',(0.,0.,1.)); #364738=DIRECTION('ref_axis',(1.,0.,0.)); #364739=DIRECTION('center_axis',(0.,0.,1.)); #364740=DIRECTION('ref_axis',(1.,0.,0.)); #364741=DIRECTION('',(0.,0.,1.)); #364742=DIRECTION('center_axis',(0.,0.,-1.)); #364743=DIRECTION('ref_axis',(1.,0.,0.)); #364744=DIRECTION('center_axis',(1.,0.,0.)); #364745=DIRECTION('ref_axis',(0.,1.,0.)); #364746=DIRECTION('',(0.,1.,0.)); #364747=DIRECTION('',(0.,0.,1.)); #364748=DIRECTION('',(0.,1.,0.)); #364749=DIRECTION('',(0.,0.,1.)); #364750=DIRECTION('center_axis',(0.,-1.,0.)); #364751=DIRECTION('ref_axis',(1.,0.,0.)); #364752=DIRECTION('',(1.,0.,0.)); #364753=DIRECTION('',(1.,0.,0.)); #364754=DIRECTION('',(0.,0.,1.)); #364755=DIRECTION('center_axis',(-1.,0.,0.)); #364756=DIRECTION('ref_axis',(0.,-1.,0.)); #364757=DIRECTION('',(0.,-1.,0.)); #364758=DIRECTION('',(0.,-1.,0.)); #364759=DIRECTION('',(0.,0.,1.)); #364760=DIRECTION('center_axis',(0.,-1.,0.)); #364761=DIRECTION('ref_axis',(1.,0.,0.)); #364762=DIRECTION('',(1.,0.,0.)); #364763=DIRECTION('',(1.,0.,0.)); #364764=DIRECTION('',(0.,0.,1.)); #364765=DIRECTION('center_axis',(0.,0.,1.)); #364766=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #364767=DIRECTION('center_axis',(0.,0.,1.)); #364768=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #364769=DIRECTION('center_axis',(0.,0.,1.)); #364770=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #364771=DIRECTION('',(0.,0.,1.)); #364772=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #364773=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #364774=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #364775=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #364776=DIRECTION('',(0.,0.,1.)); #364777=DIRECTION('center_axis',(0.,0.,1.)); #364778=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #364779=DIRECTION('center_axis',(0.,0.,1.)); #364780=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #364781=DIRECTION('center_axis',(0.,0.,1.)); #364782=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #364783=DIRECTION('',(0.,0.,1.)); #364784=DIRECTION('center_axis',(-1.,0.,0.)); #364785=DIRECTION('ref_axis',(0.,-1.,0.)); #364786=DIRECTION('',(0.,-1.,0.)); #364787=DIRECTION('',(0.,-1.,0.)); #364788=DIRECTION('',(0.,0.,1.)); #364789=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #364790=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #364791=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #364792=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #364793=DIRECTION('',(0.,0.,1.)); #364794=DIRECTION('center_axis',(0.,0.,1.)); #364795=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #364796=DIRECTION('center_axis',(0.,0.,1.)); #364797=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #364798=DIRECTION('center_axis',(0.,0.,1.)); #364799=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #364800=DIRECTION('',(0.,0.,1.)); #364801=DIRECTION('center_axis',(-1.,0.,0.)); #364802=DIRECTION('ref_axis',(0.,-1.,0.)); #364803=DIRECTION('',(0.,-1.,0.)); #364804=DIRECTION('',(0.,-1.,0.)); #364805=DIRECTION('',(0.,0.,1.)); #364806=DIRECTION('center_axis',(0.,0.,1.)); #364807=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #364808=DIRECTION('center_axis',(0.,0.,1.)); #364809=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #364810=DIRECTION('center_axis',(0.,0.,1.)); #364811=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #364812=DIRECTION('',(0.,0.,1.)); #364813=DIRECTION('center_axis',(0.,0.,1.)); #364814=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #364815=DIRECTION('center_axis',(0.,0.,1.)); #364816=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #364817=DIRECTION('center_axis',(0.,0.,1.)); #364818=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #364819=DIRECTION('',(0.,0.,1.)); #364820=DIRECTION('center_axis',(1.,0.,0.)); #364821=DIRECTION('ref_axis',(0.,1.,0.)); #364822=DIRECTION('',(0.,1.,0.)); #364823=DIRECTION('',(0.,1.,0.)); #364824=DIRECTION('',(0.,0.,1.)); #364825=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #364826=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #364827=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #364828=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #364829=DIRECTION('',(0.,0.,1.)); #364830=DIRECTION('center_axis',(0.,0.,1.)); #364831=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #364832=DIRECTION('center_axis',(0.,0.,1.)); #364833=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #364834=DIRECTION('center_axis',(0.,0.,1.)); #364835=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #364836=DIRECTION('',(0.,0.,1.)); #364837=DIRECTION('center_axis',(1.,0.,0.)); #364838=DIRECTION('ref_axis',(0.,1.,0.)); #364839=DIRECTION('',(0.,1.,0.)); #364840=DIRECTION('',(0.,1.,0.)); #364841=DIRECTION('',(0.,0.,1.)); #364842=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.)); #364843=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #364844=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #364845=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #364846=DIRECTION('',(0.,0.,1.)); #364847=DIRECTION('center_axis',(0.,1.,0.)); #364848=DIRECTION('ref_axis',(-1.,0.,0.)); #364849=DIRECTION('',(-1.,0.,0.)); #364850=DIRECTION('',(-1.,0.,0.)); #364851=DIRECTION('',(0.,0.,1.)); #364852=DIRECTION('center_axis',(-1.,0.,0.)); #364853=DIRECTION('ref_axis',(0.,-1.,0.)); #364854=DIRECTION('',(0.,-1.,0.)); #364855=DIRECTION('',(0.,-1.,0.)); #364856=DIRECTION('',(0.,0.,1.)); #364857=DIRECTION('center_axis',(0.,1.,0.)); #364858=DIRECTION('ref_axis',(-1.,0.,0.)); #364859=DIRECTION('',(-1.,0.,0.)); #364860=DIRECTION('',(-1.,0.,0.)); #364861=DIRECTION('center_axis',(0.,0.,1.)); #364862=DIRECTION('ref_axis',(1.,0.,0.)); #364863=DIRECTION('center_axis',(0.,0.,1.)); #364864=DIRECTION('ref_axis',(1.,0.,0.)); #364865=DIRECTION('center_axis',(1.,0.,0.)); #364866=DIRECTION('ref_axis',(0.,1.,0.)); #364867=DIRECTION('',(0.,1.,0.)); #364868=DIRECTION('',(0.,0.,1.)); #364869=DIRECTION('',(0.,1.,0.)); #364870=DIRECTION('',(0.,0.,1.)); #364871=DIRECTION('center_axis',(0.,-1.,0.)); #364872=DIRECTION('ref_axis',(1.,0.,0.)); #364873=DIRECTION('',(1.,0.,0.)); #364874=DIRECTION('',(1.,0.,0.)); #364875=DIRECTION('',(0.,0.,1.)); #364876=DIRECTION('center_axis',(-1.,0.,0.)); #364877=DIRECTION('ref_axis',(0.,-1.,0.)); #364878=DIRECTION('',(0.,-1.,0.)); #364879=DIRECTION('',(0.,-1.,0.)); #364880=DIRECTION('',(0.,0.,1.)); #364881=DIRECTION('center_axis',(0.,1.,0.)); #364882=DIRECTION('ref_axis',(-1.,0.,0.)); #364883=DIRECTION('',(-1.,0.,0.)); #364884=DIRECTION('',(-1.,0.,0.)); #364885=DIRECTION('',(0.,0.,1.)); #364886=DIRECTION('center_axis',(-1.,0.,0.)); #364887=DIRECTION('ref_axis',(0.,-1.,0.)); #364888=DIRECTION('',(0.,-1.,0.)); #364889=DIRECTION('',(0.,-1.,0.)); #364890=DIRECTION('',(0.,0.,1.)); #364891=DIRECTION('center_axis',(0.,-1.,0.)); #364892=DIRECTION('ref_axis',(1.,0.,0.)); #364893=DIRECTION('',(1.,0.,0.)); #364894=DIRECTION('',(1.,0.,0.)); #364895=DIRECTION('',(0.,0.,1.)); #364896=DIRECTION('center_axis',(-1.,0.,0.)); #364897=DIRECTION('ref_axis',(0.,-1.,0.)); #364898=DIRECTION('',(0.,-1.,0.)); #364899=DIRECTION('',(0.,-1.,0.)); #364900=DIRECTION('',(0.,0.,1.)); #364901=DIRECTION('center_axis',(0.,1.,0.)); #364902=DIRECTION('ref_axis',(-1.,0.,0.)); #364903=DIRECTION('',(-1.,0.,0.)); #364904=DIRECTION('',(-1.,0.,0.)); #364905=DIRECTION('',(0.,0.,1.)); #364906=DIRECTION('center_axis',(1.,0.,0.)); #364907=DIRECTION('ref_axis',(0.,1.,0.)); #364908=DIRECTION('',(0.,1.,0.)); #364909=DIRECTION('',(0.,1.,0.)); #364910=DIRECTION('',(0.,0.,1.)); #364911=DIRECTION('center_axis',(0.,-1.,0.)); #364912=DIRECTION('ref_axis',(1.,0.,0.)); #364913=DIRECTION('',(1.,0.,0.)); #364914=DIRECTION('',(1.,0.,0.)); #364915=DIRECTION('',(0.,0.,1.)); #364916=DIRECTION('center_axis',(1.,0.,0.)); #364917=DIRECTION('ref_axis',(0.,1.,0.)); #364918=DIRECTION('',(0.,1.,0.)); #364919=DIRECTION('',(0.,1.,0.)); #364920=DIRECTION('',(0.,0.,1.)); #364921=DIRECTION('center_axis',(0.,1.,0.)); #364922=DIRECTION('ref_axis',(-1.,0.,0.)); #364923=DIRECTION('',(-1.,0.,0.)); #364924=DIRECTION('',(-1.,0.,0.)); #364925=DIRECTION('center_axis',(0.,0.,1.)); #364926=DIRECTION('ref_axis',(1.,0.,0.)); #364927=DIRECTION('center_axis',(0.,0.,1.)); #364928=DIRECTION('ref_axis',(1.,0.,0.)); #364929=DIRECTION('center_axis',(1.,0.,0.)); #364930=DIRECTION('ref_axis',(0.,1.,0.)); #364931=DIRECTION('',(0.,1.,0.)); #364932=DIRECTION('',(0.,0.,1.)); #364933=DIRECTION('',(0.,1.,0.)); #364934=DIRECTION('',(0.,0.,1.)); #364935=DIRECTION('center_axis',(0.,-1.,0.)); #364936=DIRECTION('ref_axis',(1.,0.,0.)); #364937=DIRECTION('',(1.,0.,0.)); #364938=DIRECTION('',(1.,0.,0.)); #364939=DIRECTION('',(0.,0.,1.)); #364940=DIRECTION('center_axis',(-1.,0.,0.)); #364941=DIRECTION('ref_axis',(0.,-1.,0.)); #364942=DIRECTION('',(0.,-1.,0.)); #364943=DIRECTION('',(0.,-1.,0.)); #364944=DIRECTION('',(0.,0.,1.)); #364945=DIRECTION('center_axis',(0.,1.,0.)); #364946=DIRECTION('ref_axis',(-1.,0.,0.)); #364947=DIRECTION('',(-1.,0.,0.)); #364948=DIRECTION('',(-1.,0.,0.)); #364949=DIRECTION('',(0.,0.,1.)); #364950=DIRECTION('center_axis',(-1.,0.,0.)); #364951=DIRECTION('ref_axis',(0.,-1.,0.)); #364952=DIRECTION('',(0.,-1.,0.)); #364953=DIRECTION('',(0.,-1.,0.)); #364954=DIRECTION('',(0.,0.,1.)); #364955=DIRECTION('center_axis',(0.,-1.,0.)); #364956=DIRECTION('ref_axis',(1.,0.,0.)); #364957=DIRECTION('',(1.,0.,0.)); #364958=DIRECTION('',(1.,0.,0.)); #364959=DIRECTION('',(0.,0.,1.)); #364960=DIRECTION('center_axis',(-1.,0.,0.)); #364961=DIRECTION('ref_axis',(0.,-1.,0.)); #364962=DIRECTION('',(0.,-1.,0.)); #364963=DIRECTION('',(0.,-1.,0.)); #364964=DIRECTION('',(0.,0.,1.)); #364965=DIRECTION('center_axis',(0.,1.,0.)); #364966=DIRECTION('ref_axis',(-1.,0.,0.)); #364967=DIRECTION('',(-1.,0.,0.)); #364968=DIRECTION('',(-1.,0.,0.)); #364969=DIRECTION('',(0.,0.,1.)); #364970=DIRECTION('center_axis',(1.,0.,0.)); #364971=DIRECTION('ref_axis',(0.,1.,0.)); #364972=DIRECTION('',(0.,1.,0.)); #364973=DIRECTION('',(0.,1.,0.)); #364974=DIRECTION('',(0.,0.,1.)); #364975=DIRECTION('center_axis',(0.,-1.,0.)); #364976=DIRECTION('ref_axis',(1.,0.,0.)); #364977=DIRECTION('',(1.,0.,0.)); #364978=DIRECTION('',(1.,0.,0.)); #364979=DIRECTION('',(0.,0.,1.)); #364980=DIRECTION('center_axis',(1.,0.,0.)); #364981=DIRECTION('ref_axis',(0.,1.,0.)); #364982=DIRECTION('',(0.,1.,0.)); #364983=DIRECTION('',(0.,1.,0.)); #364984=DIRECTION('',(0.,0.,1.)); #364985=DIRECTION('center_axis',(0.,1.,0.)); #364986=DIRECTION('ref_axis',(-1.,0.,0.)); #364987=DIRECTION('',(-1.,0.,0.)); #364988=DIRECTION('',(-1.,0.,0.)); #364989=DIRECTION('center_axis',(0.,0.,1.)); #364990=DIRECTION('ref_axis',(1.,0.,0.)); #364991=DIRECTION('center_axis',(0.,0.,1.)); #364992=DIRECTION('ref_axis',(1.,0.,0.)); #364993=DIRECTION('center_axis',(0.,0.,1.)); #364994=DIRECTION('ref_axis',(1.,0.,0.)); #364995=DIRECTION('center_axis',(0.,0.,1.)); #364996=DIRECTION('ref_axis',(1.,0.,0.)); #364997=DIRECTION('',(0.,0.,1.)); #364998=DIRECTION('center_axis',(0.,0.,-1.)); #364999=DIRECTION('ref_axis',(1.,0.,0.)); #365000=DIRECTION('center_axis',(1.,0.,0.)); #365001=DIRECTION('ref_axis',(0.,1.,0.)); #365002=DIRECTION('',(0.,1.,0.)); #365003=DIRECTION('',(0.,0.,1.)); #365004=DIRECTION('',(0.,1.,0.)); #365005=DIRECTION('',(0.,0.,1.)); #365006=DIRECTION('center_axis',(0.,-1.,0.)); #365007=DIRECTION('ref_axis',(1.,0.,0.)); #365008=DIRECTION('',(1.,0.,0.)); #365009=DIRECTION('',(1.,0.,0.)); #365010=DIRECTION('',(0.,0.,1.)); #365011=DIRECTION('center_axis',(-1.,0.,0.)); #365012=DIRECTION('ref_axis',(0.,-1.,0.)); #365013=DIRECTION('',(0.,-1.,0.)); #365014=DIRECTION('',(0.,-1.,0.)); #365015=DIRECTION('',(0.,0.,1.)); #365016=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #365017=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #365018=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #365019=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #365020=DIRECTION('',(0.,0.,1.)); #365021=DIRECTION('center_axis',(0.,0.,1.)); #365022=DIRECTION('ref_axis',(-0.999999999951587,9.84004336403171E-6,0.)); #365023=DIRECTION('center_axis',(0.,0.,1.)); #365024=DIRECTION('ref_axis',(-0.999999999951587,9.84004336403171E-6,0.)); #365025=DIRECTION('center_axis',(0.,0.,1.)); #365026=DIRECTION('ref_axis',(-0.999999999951587,9.84004336403171E-6,0.)); #365027=DIRECTION('',(0.,0.,1.)); #365028=DIRECTION('center_axis',(-1.,0.,0.)); #365029=DIRECTION('ref_axis',(0.,-1.,0.)); #365030=DIRECTION('',(0.,-1.,0.)); #365031=DIRECTION('',(0.,-1.,0.)); #365032=DIRECTION('',(0.,0.,1.)); #365033=DIRECTION('center_axis',(0.,0.,1.)); #365034=DIRECTION('ref_axis',(-0.707110948194255,0.707102614154283,0.)); #365035=DIRECTION('center_axis',(0.,0.,1.)); #365036=DIRECTION('ref_axis',(-0.707110948194255,0.707102614154283,0.)); #365037=DIRECTION('center_axis',(0.,0.,1.)); #365038=DIRECTION('ref_axis',(-0.707110948194255,0.707102614154283,0.)); #365039=DIRECTION('',(0.,0.,1.)); #365040=DIRECTION('center_axis',(-0.707106781186551,0.707106781186544,0.)); #365041=DIRECTION('ref_axis',(-0.707106781186544,-0.707106781186551,0.)); #365042=DIRECTION('',(-0.707106781186544,-0.707106781186551,0.)); #365043=DIRECTION('',(-0.707106781186544,-0.707106781186551,0.)); #365044=DIRECTION('',(0.,0.,1.)); #365045=DIRECTION('center_axis',(0.,0.,1.)); #365046=DIRECTION('ref_axis',(5.90313875185983E-6,0.999999999982576,0.)); #365047=DIRECTION('center_axis',(0.,0.,1.)); #365048=DIRECTION('ref_axis',(5.90313875185983E-6,0.999999999982576,0.)); #365049=DIRECTION('center_axis',(0.,0.,1.)); #365050=DIRECTION('ref_axis',(5.90313875185983E-6,0.999999999982576,0.)); #365051=DIRECTION('',(0.,0.,1.)); #365052=DIRECTION('center_axis',(0.,1.,0.)); #365053=DIRECTION('ref_axis',(-1.,0.,0.)); #365054=DIRECTION('',(-1.,0.,0.)); #365055=DIRECTION('',(-1.,0.,0.)); #365056=DIRECTION('',(0.,0.,1.)); #365057=DIRECTION('center_axis',(0.,0.,1.)); #365058=DIRECTION('ref_axis',(0.923607696029133,0.38333904554031,0.)); #365059=DIRECTION('center_axis',(0.,0.,1.)); #365060=DIRECTION('ref_axis',(0.923607696029133,0.38333904554031,0.)); #365061=DIRECTION('center_axis',(0.,0.,1.)); #365062=DIRECTION('ref_axis',(0.923607696029133,0.38333904554031,0.)); #365063=DIRECTION('',(0.,0.,1.)); #365064=DIRECTION('center_axis',(0.,0.,1.)); #365065=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #365066=DIRECTION('center_axis',(0.,0.,1.)); #365067=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #365068=DIRECTION('center_axis',(0.,0.,1.)); #365069=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #365070=DIRECTION('',(0.,0.,1.)); #365071=DIRECTION('center_axis',(0.,-1.,0.)); #365072=DIRECTION('ref_axis',(1.,0.,0.)); #365073=DIRECTION('',(1.,0.,0.)); #365074=DIRECTION('',(1.,0.,0.)); #365075=DIRECTION('',(0.,0.,1.)); #365076=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #365077=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #365078=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #365079=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #365080=DIRECTION('',(0.,0.,1.)); #365081=DIRECTION('center_axis',(1.,0.,0.)); #365082=DIRECTION('ref_axis',(0.,1.,0.)); #365083=DIRECTION('',(0.,1.,0.)); #365084=DIRECTION('',(0.,1.,0.)); #365085=DIRECTION('',(0.,0.,1.)); #365086=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #365087=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #365088=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #365089=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #365090=DIRECTION('',(0.,0.,1.)); #365091=DIRECTION('center_axis',(0.,1.,0.)); #365092=DIRECTION('ref_axis',(-1.,0.,0.)); #365093=DIRECTION('',(-1.,0.,0.)); #365094=DIRECTION('',(-1.,0.,0.)); #365095=DIRECTION('center_axis',(0.,0.,1.)); #365096=DIRECTION('ref_axis',(1.,0.,0.)); #365097=DIRECTION('center_axis',(0.,0.,1.)); #365098=DIRECTION('ref_axis',(1.,0.,0.)); #365099=DIRECTION('center_axis',(0.,0.,1.)); #365100=DIRECTION('ref_axis',(1.,0.,0.)); #365101=DIRECTION('center_axis',(0.,0.,1.)); #365102=DIRECTION('ref_axis',(1.,0.,0.)); #365103=DIRECTION('',(0.,0.,1.)); #365104=DIRECTION('center_axis',(0.,0.,-1.)); #365105=DIRECTION('ref_axis',(1.,0.,0.)); #365106=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #365107=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #365108=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #365109=DIRECTION('',(0.,0.,1.)); #365110=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #365111=DIRECTION('',(0.,0.,1.)); #365112=DIRECTION('center_axis',(0.,0.,1.)); #365113=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #365114=DIRECTION('center_axis',(0.,0.,1.)); #365115=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #365116=DIRECTION('center_axis',(0.,0.,1.)); #365117=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #365118=DIRECTION('',(0.,0.,1.)); #365119=DIRECTION('center_axis',(-1.,0.,0.)); #365120=DIRECTION('ref_axis',(0.,-1.,0.)); #365121=DIRECTION('',(0.,-1.,0.)); #365122=DIRECTION('',(0.,-1.,0.)); #365123=DIRECTION('',(0.,0.,1.)); #365124=DIRECTION('center_axis',(0.,0.,1.)); #365125=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #365126=DIRECTION('center_axis',(0.,0.,1.)); #365127=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #365128=DIRECTION('center_axis',(0.,0.,1.)); #365129=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #365130=DIRECTION('',(0.,0.,1.)); #365131=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #365132=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #365133=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #365134=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #365135=DIRECTION('',(0.,0.,1.)); #365136=DIRECTION('center_axis',(0.,0.,1.)); #365137=DIRECTION('ref_axis',(5.9031386819251E-6,0.999999999982576,0.)); #365138=DIRECTION('center_axis',(0.,0.,1.)); #365139=DIRECTION('ref_axis',(5.9031386819251E-6,0.999999999982576,0.)); #365140=DIRECTION('center_axis',(0.,0.,1.)); #365141=DIRECTION('ref_axis',(5.9031386819251E-6,0.999999999982576,0.)); #365142=DIRECTION('',(0.,0.,1.)); #365143=DIRECTION('center_axis',(0.,1.,0.)); #365144=DIRECTION('ref_axis',(-1.,0.,0.)); #365145=DIRECTION('',(-1.,0.,0.)); #365146=DIRECTION('',(-1.,0.,0.)); #365147=DIRECTION('',(0.,0.,1.)); #365148=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #365149=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #365150=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #365151=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #365152=DIRECTION('',(0.,0.,1.)); #365153=DIRECTION('center_axis',(-1.,0.,0.)); #365154=DIRECTION('ref_axis',(0.,-1.,0.)); #365155=DIRECTION('',(0.,-1.,0.)); #365156=DIRECTION('',(0.,-1.,0.)); #365157=DIRECTION('',(0.,0.,1.)); #365158=DIRECTION('center_axis',(0.,-1.,0.)); #365159=DIRECTION('ref_axis',(1.,0.,0.)); #365160=DIRECTION('',(1.,0.,0.)); #365161=DIRECTION('',(1.,0.,0.)); #365162=DIRECTION('',(0.,0.,1.)); #365163=DIRECTION('center_axis',(-1.,0.,0.)); #365164=DIRECTION('ref_axis',(0.,-1.,0.)); #365165=DIRECTION('',(0.,-1.,0.)); #365166=DIRECTION('',(0.,-1.,0.)); #365167=DIRECTION('',(0.,0.,1.)); #365168=DIRECTION('center_axis',(0.,1.,0.)); #365169=DIRECTION('ref_axis',(-1.,0.,0.)); #365170=DIRECTION('',(-1.,0.,0.)); #365171=DIRECTION('',(-1.,0.,0.)); #365172=DIRECTION('',(0.,0.,1.)); #365173=DIRECTION('center_axis',(1.,0.,0.)); #365174=DIRECTION('ref_axis',(0.,1.,0.)); #365175=DIRECTION('',(0.,1.,0.)); #365176=DIRECTION('',(0.,1.,0.)); #365177=DIRECTION('',(0.,0.,1.)); #365178=DIRECTION('center_axis',(0.,-1.,0.)); #365179=DIRECTION('ref_axis',(1.,0.,0.)); #365180=DIRECTION('',(1.,0.,0.)); #365181=DIRECTION('',(1.,0.,0.)); #365182=DIRECTION('',(0.,0.,1.)); #365183=DIRECTION('center_axis',(1.,0.,0.)); #365184=DIRECTION('ref_axis',(0.,1.,0.)); #365185=DIRECTION('',(0.,1.,0.)); #365186=DIRECTION('',(0.,1.,0.)); #365187=DIRECTION('',(0.,0.,1.)); #365188=DIRECTION('center_axis',(0.,0.,1.)); #365189=DIRECTION('ref_axis',(0.707110948194255,-0.707102614154283,0.)); #365190=DIRECTION('center_axis',(0.,0.,1.)); #365191=DIRECTION('ref_axis',(0.707110948194255,-0.707102614154283,0.)); #365192=DIRECTION('center_axis',(0.,0.,1.)); #365193=DIRECTION('ref_axis',(0.707110948194255,-0.707102614154283,0.)); #365194=DIRECTION('',(0.,0.,1.)); #365195=DIRECTION('center_axis',(0.707106781186545,-0.70710678118655,0.)); #365196=DIRECTION('ref_axis',(0.70710678118655,0.707106781186545,0.)); #365197=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #365198=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #365199=DIRECTION('',(0.,0.,1.)); #365200=DIRECTION('center_axis',(0.,0.,1.)); #365201=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #365202=DIRECTION('center_axis',(0.,0.,1.)); #365203=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #365204=DIRECTION('center_axis',(0.,0.,1.)); #365205=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #365206=DIRECTION('',(0.,0.,1.)); #365207=DIRECTION('center_axis',(0.,-1.,0.)); #365208=DIRECTION('ref_axis',(1.,0.,0.)); #365209=DIRECTION('',(1.,0.,0.)); #365210=DIRECTION('',(1.,0.,0.)); #365211=DIRECTION('',(0.,0.,1.)); #365212=DIRECTION('center_axis',(0.707106781186549,-0.707106781186546,0.)); #365213=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,0.)); #365214=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #365215=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #365216=DIRECTION('',(0.,0.,1.)); #365217=DIRECTION('center_axis',(1.,0.,0.)); #365218=DIRECTION('ref_axis',(0.,1.,0.)); #365219=DIRECTION('',(0.,1.,0.)); #365220=DIRECTION('',(0.,1.,0.)); #365221=DIRECTION('',(0.,0.,1.)); #365222=DIRECTION('center_axis',(0.,0.,1.)); #365223=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #365224=DIRECTION('center_axis',(0.,0.,1.)); #365225=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #365226=DIRECTION('center_axis',(0.,0.,1.)); #365227=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #365228=DIRECTION('center_axis',(0.,0.,1.)); #365229=DIRECTION('ref_axis',(1.,0.,0.)); #365230=DIRECTION('center_axis',(0.,0.,1.)); #365231=DIRECTION('ref_axis',(1.,0.,0.)); #365232=DIRECTION('center_axis',(0.,0.,1.)); #365233=DIRECTION('ref_axis',(1.,0.,0.)); #365234=DIRECTION('center_axis',(0.,0.,1.)); #365235=DIRECTION('ref_axis',(1.,0.,0.)); #365236=DIRECTION('',(0.,0.,1.)); #365237=DIRECTION('center_axis',(0.,0.,-1.)); #365238=DIRECTION('ref_axis',(1.,0.,0.)); #365239=DIRECTION('center_axis',(0.156447762252332,-0.987686234431886,0.)); #365240=DIRECTION('ref_axis',(0.987686234431886,0.156447762252332,0.)); #365241=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #365242=DIRECTION('',(0.,0.,1.)); #365243=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #365244=DIRECTION('',(0.,0.,1.)); #365245=DIRECTION('center_axis',(0.,0.,1.)); #365246=DIRECTION('ref_axis',(-0.382679799465922,-0.923881037299025,0.)); #365247=DIRECTION('center_axis',(0.,0.,1.)); #365248=DIRECTION('ref_axis',(-0.382679799465922,-0.923881037299025,0.)); #365249=DIRECTION('center_axis',(0.,0.,1.)); #365250=DIRECTION('ref_axis',(-0.382679799465922,-0.923881037299025,0.)); #365251=DIRECTION('',(0.,0.,1.)); #365252=DIRECTION('center_axis',(0.,0.,1.)); #365253=DIRECTION('ref_axis',(0.300709399253546,0.953715815744172,0.)); #365254=DIRECTION('center_axis',(0.,0.,1.)); #365255=DIRECTION('ref_axis',(0.300709399253546,0.953715815744172,0.)); #365256=DIRECTION('center_axis',(0.,0.,1.)); #365257=DIRECTION('ref_axis',(0.300709399253546,0.953715815744172,0.)); #365258=DIRECTION('',(0.,0.,1.)); #365259=DIRECTION('center_axis',(-0.707094924240216,0.707118637934062,0.)); #365260=DIRECTION('ref_axis',(-0.707118637934062,-0.707094924240216,0.)); #365261=DIRECTION('',(-0.707118637934062,-0.707094924240216,0.)); #365262=DIRECTION('',(-0.707118637934062,-0.707094924240216,0.)); #365263=DIRECTION('',(0.,0.,1.)); #365264=DIRECTION('center_axis',(-1.,0.,0.)); #365265=DIRECTION('ref_axis',(0.,-1.,0.)); #365266=DIRECTION('',(0.,-1.,0.)); #365267=DIRECTION('',(0.,-1.,0.)); #365268=DIRECTION('',(0.,0.,1.)); #365269=DIRECTION('center_axis',(0.,1.,0.)); #365270=DIRECTION('ref_axis',(-1.,0.,0.)); #365271=DIRECTION('',(-1.,0.,0.)); #365272=DIRECTION('',(-1.,0.,0.)); #365273=DIRECTION('',(0.,0.,1.)); #365274=DIRECTION('center_axis',(1.,0.,0.)); #365275=DIRECTION('ref_axis',(0.,1.,0.)); #365276=DIRECTION('',(0.,1.,0.)); #365277=DIRECTION('',(0.,1.,0.)); #365278=DIRECTION('',(0.,0.,1.)); #365279=DIRECTION('center_axis',(0.,-1.,0.)); #365280=DIRECTION('ref_axis',(1.,0.,0.)); #365281=DIRECTION('',(1.,0.,0.)); #365282=DIRECTION('',(1.,0.,0.)); #365283=DIRECTION('',(0.,0.,1.)); #365284=DIRECTION('center_axis',(1.,0.,0.)); #365285=DIRECTION('ref_axis',(0.,1.,0.)); #365286=DIRECTION('',(0.,1.,0.)); #365287=DIRECTION('',(0.,1.,0.)); #365288=DIRECTION('',(0.,0.,1.)); #365289=DIRECTION('center_axis',(0.,0.,1.)); #365290=DIRECTION('ref_axis',(0.707110948194281,-0.707102614154257,0.)); #365291=DIRECTION('center_axis',(0.,0.,1.)); #365292=DIRECTION('ref_axis',(0.707110948194281,-0.707102614154257,0.)); #365293=DIRECTION('center_axis',(0.,0.,1.)); #365294=DIRECTION('ref_axis',(0.707110948194281,-0.707102614154257,0.)); #365295=DIRECTION('',(0.,0.,1.)); #365296=DIRECTION('center_axis',(0.70711134223886,-0.707102220104815,0.)); #365297=DIRECTION('ref_axis',(0.707102220104815,0.70711134223886,0.)); #365298=DIRECTION('',(0.707102220104815,0.70711134223886,0.)); #365299=DIRECTION('',(0.707102220104815,0.70711134223886,0.)); #365300=DIRECTION('',(0.,0.,1.)); #365301=DIRECTION('center_axis',(0.,0.,1.)); #365302=DIRECTION('ref_axis',(0.234829022546279,-0.972036691781724,0.)); #365303=DIRECTION('center_axis',(0.,0.,1.)); #365304=DIRECTION('ref_axis',(0.234829022546279,-0.972036691781724,0.)); #365305=DIRECTION('center_axis',(0.,0.,1.)); #365306=DIRECTION('ref_axis',(0.234829022546279,-0.972036691781724,0.)); #365307=DIRECTION('center_axis',(0.,0.,1.)); #365308=DIRECTION('ref_axis',(1.,0.,0.)); #365309=DIRECTION('center_axis',(0.,0.,1.)); #365310=DIRECTION('ref_axis',(1.,0.,0.)); #365311=DIRECTION('center_axis',(1.,0.,0.)); #365312=DIRECTION('ref_axis',(0.,1.,0.)); #365313=DIRECTION('',(0.,1.,0.)); #365314=DIRECTION('',(0.,0.,1.)); #365315=DIRECTION('',(0.,1.,0.)); #365316=DIRECTION('',(0.,0.,1.)); #365317=DIRECTION('center_axis',(0.,-1.,0.)); #365318=DIRECTION('ref_axis',(1.,0.,0.)); #365319=DIRECTION('',(1.,0.,0.)); #365320=DIRECTION('',(1.,0.,0.)); #365321=DIRECTION('',(0.,0.,1.)); #365322=DIRECTION('center_axis',(-1.,0.,0.)); #365323=DIRECTION('ref_axis',(0.,-1.,0.)); #365324=DIRECTION('',(0.,-1.,0.)); #365325=DIRECTION('',(0.,-1.,0.)); #365326=DIRECTION('',(0.,0.,1.)); #365327=DIRECTION('center_axis',(0.,-1.,0.)); #365328=DIRECTION('ref_axis',(1.,0.,0.)); #365329=DIRECTION('',(1.,0.,0.)); #365330=DIRECTION('',(1.,0.,0.)); #365331=DIRECTION('',(0.,0.,1.)); #365332=DIRECTION('center_axis',(0.,0.,1.)); #365333=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984462,0.)); #365334=DIRECTION('center_axis',(0.,0.,1.)); #365335=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984462,0.)); #365336=DIRECTION('center_axis',(0.,0.,1.)); #365337=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984462,0.)); #365338=DIRECTION('',(0.,0.,1.)); #365339=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #365340=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #365341=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #365342=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #365343=DIRECTION('',(0.,0.,1.)); #365344=DIRECTION('center_axis',(0.,0.,1.)); #365345=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #365346=DIRECTION('center_axis',(0.,0.,1.)); #365347=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #365348=DIRECTION('center_axis',(0.,0.,1.)); #365349=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #365350=DIRECTION('',(0.,0.,1.)); #365351=DIRECTION('center_axis',(-1.,0.,0.)); #365352=DIRECTION('ref_axis',(0.,-1.,0.)); #365353=DIRECTION('',(0.,-1.,0.)); #365354=DIRECTION('',(0.,-1.,0.)); #365355=DIRECTION('',(0.,0.,1.)); #365356=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #365357=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #365358=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #365359=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #365360=DIRECTION('',(0.,0.,1.)); #365361=DIRECTION('center_axis',(0.,-1.,0.)); #365362=DIRECTION('ref_axis',(1.,0.,0.)); #365363=DIRECTION('',(1.,0.,0.)); #365364=DIRECTION('',(1.,0.,0.)); #365365=DIRECTION('',(0.,0.,1.)); #365366=DIRECTION('center_axis',(0.,0.,1.)); #365367=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #365368=DIRECTION('center_axis',(0.,0.,1.)); #365369=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #365370=DIRECTION('center_axis',(0.,0.,1.)); #365371=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #365372=DIRECTION('',(0.,0.,1.)); #365373=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #365374=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #365375=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #365376=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #365377=DIRECTION('',(0.,0.,1.)); #365378=DIRECTION('center_axis',(0.,0.,1.)); #365379=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #365380=DIRECTION('center_axis',(0.,0.,1.)); #365381=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #365382=DIRECTION('center_axis',(0.,0.,1.)); #365383=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #365384=DIRECTION('',(0.,0.,1.)); #365385=DIRECTION('center_axis',(-1.,0.,0.)); #365386=DIRECTION('ref_axis',(0.,-1.,0.)); #365387=DIRECTION('',(0.,-1.,0.)); #365388=DIRECTION('',(0.,-1.,0.)); #365389=DIRECTION('',(0.,0.,1.)); #365390=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #365391=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #365392=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #365393=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #365394=DIRECTION('',(0.,0.,1.)); #365395=DIRECTION('center_axis',(0.,-1.,0.)); #365396=DIRECTION('ref_axis',(1.,0.,0.)); #365397=DIRECTION('',(1.,0.,0.)); #365398=DIRECTION('',(1.,0.,0.)); #365399=DIRECTION('',(0.,0.,1.)); #365400=DIRECTION('center_axis',(1.,0.,0.)); #365401=DIRECTION('ref_axis',(0.,1.,0.)); #365402=DIRECTION('',(0.,1.,0.)); #365403=DIRECTION('',(0.,1.,0.)); #365404=DIRECTION('',(0.,0.,1.)); #365405=DIRECTION('center_axis',(0.,-1.,0.)); #365406=DIRECTION('ref_axis',(1.,0.,0.)); #365407=DIRECTION('',(1.,0.,0.)); #365408=DIRECTION('',(1.,0.,0.)); #365409=DIRECTION('',(0.,0.,1.)); #365410=DIRECTION('center_axis',(-1.,0.,0.)); #365411=DIRECTION('ref_axis',(0.,-1.,0.)); #365412=DIRECTION('',(0.,-1.,0.)); #365413=DIRECTION('',(0.,-1.,0.)); #365414=DIRECTION('',(0.,0.,1.)); #365415=DIRECTION('center_axis',(0.,1.,0.)); #365416=DIRECTION('ref_axis',(-1.,0.,0.)); #365417=DIRECTION('',(-1.,0.,0.)); #365418=DIRECTION('',(-1.,0.,0.)); #365419=DIRECTION('',(0.,0.,1.)); #365420=DIRECTION('center_axis',(1.,0.,0.)); #365421=DIRECTION('ref_axis',(0.,1.,0.)); #365422=DIRECTION('',(0.,1.,0.)); #365423=DIRECTION('',(0.,1.,0.)); #365424=DIRECTION('',(0.,0.,1.)); #365425=DIRECTION('center_axis',(0.,1.,0.)); #365426=DIRECTION('ref_axis',(-1.,0.,0.)); #365427=DIRECTION('',(-1.,0.,0.)); #365428=DIRECTION('',(-1.,0.,0.)); #365429=DIRECTION('',(0.,0.,1.)); #365430=DIRECTION('center_axis',(0.,0.,1.)); #365431=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #365432=DIRECTION('center_axis',(0.,0.,1.)); #365433=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #365434=DIRECTION('center_axis',(0.,0.,1.)); #365435=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #365436=DIRECTION('',(0.,0.,1.)); #365437=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #365438=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #365439=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #365440=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #365441=DIRECTION('',(0.,0.,1.)); #365442=DIRECTION('center_axis',(0.,0.,1.)); #365443=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #365444=DIRECTION('center_axis',(0.,0.,1.)); #365445=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #365446=DIRECTION('center_axis',(0.,0.,1.)); #365447=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #365448=DIRECTION('',(0.,0.,1.)); #365449=DIRECTION('center_axis',(1.,0.,0.)); #365450=DIRECTION('ref_axis',(0.,1.,0.)); #365451=DIRECTION('',(0.,1.,0.)); #365452=DIRECTION('',(0.,1.,0.)); #365453=DIRECTION('',(0.,0.,1.)); #365454=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #365455=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #365456=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #365457=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #365458=DIRECTION('',(0.,0.,1.)); #365459=DIRECTION('center_axis',(0.,1.,0.)); #365460=DIRECTION('ref_axis',(-1.,0.,0.)); #365461=DIRECTION('',(-1.,0.,0.)); #365462=DIRECTION('',(-1.,0.,0.)); #365463=DIRECTION('',(0.,0.,1.)); #365464=DIRECTION('center_axis',(0.,0.,1.)); #365465=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #365466=DIRECTION('center_axis',(0.,0.,1.)); #365467=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #365468=DIRECTION('center_axis',(0.,0.,1.)); #365469=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #365470=DIRECTION('',(0.,0.,1.)); #365471=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #365472=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #365473=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #365474=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #365475=DIRECTION('',(0.,0.,1.)); #365476=DIRECTION('center_axis',(0.,0.,1.)); #365477=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #365478=DIRECTION('center_axis',(0.,0.,1.)); #365479=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #365480=DIRECTION('center_axis',(0.,0.,1.)); #365481=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #365482=DIRECTION('',(0.,0.,1.)); #365483=DIRECTION('center_axis',(1.,0.,0.)); #365484=DIRECTION('ref_axis',(0.,1.,0.)); #365485=DIRECTION('',(0.,1.,0.)); #365486=DIRECTION('',(0.,1.,0.)); #365487=DIRECTION('',(0.,0.,1.)); #365488=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #365489=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #365490=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #365491=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #365492=DIRECTION('',(0.,0.,1.)); #365493=DIRECTION('center_axis',(0.,1.,0.)); #365494=DIRECTION('ref_axis',(-1.,0.,0.)); #365495=DIRECTION('',(-1.,0.,0.)); #365496=DIRECTION('',(-1.,0.,0.)); #365497=DIRECTION('',(0.,0.,1.)); #365498=DIRECTION('center_axis',(-1.,0.,0.)); #365499=DIRECTION('ref_axis',(0.,-1.,0.)); #365500=DIRECTION('',(0.,-1.,0.)); #365501=DIRECTION('',(0.,-1.,0.)); #365502=DIRECTION('',(0.,0.,1.)); #365503=DIRECTION('center_axis',(0.,1.,0.)); #365504=DIRECTION('ref_axis',(-1.,0.,0.)); #365505=DIRECTION('',(-1.,0.,0.)); #365506=DIRECTION('',(-1.,0.,0.)); #365507=DIRECTION('center_axis',(0.,0.,1.)); #365508=DIRECTION('ref_axis',(1.,0.,0.)); #365509=DIRECTION('center_axis',(0.,0.,1.)); #365510=DIRECTION('ref_axis',(1.,0.,0.)); #365511=DIRECTION('center_axis',(0.,0.,1.)); #365512=DIRECTION('ref_axis',(1.,0.,0.)); #365513=DIRECTION('center_axis',(0.,0.,1.)); #365514=DIRECTION('ref_axis',(1.,0.,0.)); #365515=DIRECTION('',(0.,0.,1.)); #365516=DIRECTION('center_axis',(0.,0.,-1.)); #365517=DIRECTION('ref_axis',(1.,0.,0.)); #365518=DIRECTION('center_axis',(0.156447762252232,-0.987686234431902,0.)); #365519=DIRECTION('ref_axis',(0.987686234431902,0.156447762252232,0.)); #365520=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #365521=DIRECTION('',(0.,0.,1.)); #365522=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #365523=DIRECTION('',(0.,0.,1.)); #365524=DIRECTION('center_axis',(0.,0.,1.)); #365525=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #365526=DIRECTION('center_axis',(0.,0.,1.)); #365527=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #365528=DIRECTION('center_axis',(0.,0.,1.)); #365529=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #365530=DIRECTION('',(0.,0.,1.)); #365531=DIRECTION('center_axis',(-1.,0.,0.)); #365532=DIRECTION('ref_axis',(0.,-1.,0.)); #365533=DIRECTION('',(0.,-1.,0.)); #365534=DIRECTION('',(0.,-1.,0.)); #365535=DIRECTION('',(0.,0.,1.)); #365536=DIRECTION('center_axis',(0.,-1.,0.)); #365537=DIRECTION('ref_axis',(1.,0.,0.)); #365538=DIRECTION('',(1.,0.,0.)); #365539=DIRECTION('',(1.,0.,0.)); #365540=DIRECTION('',(0.,0.,1.)); #365541=DIRECTION('center_axis',(-1.,0.,0.)); #365542=DIRECTION('ref_axis',(0.,-1.,0.)); #365543=DIRECTION('',(0.,-1.,0.)); #365544=DIRECTION('',(0.,-1.,0.)); #365545=DIRECTION('',(0.,0.,1.)); #365546=DIRECTION('center_axis',(0.,1.,0.)); #365547=DIRECTION('ref_axis',(-1.,0.,0.)); #365548=DIRECTION('',(-1.,0.,0.)); #365549=DIRECTION('',(-1.,0.,0.)); #365550=DIRECTION('',(0.,0.,1.)); #365551=DIRECTION('center_axis',(1.,0.,0.)); #365552=DIRECTION('ref_axis',(0.,1.,0.)); #365553=DIRECTION('',(0.,1.,0.)); #365554=DIRECTION('',(0.,1.,0.)); #365555=DIRECTION('',(0.,0.,1.)); #365556=DIRECTION('center_axis',(0.,-1.,0.)); #365557=DIRECTION('ref_axis',(1.,0.,0.)); #365558=DIRECTION('',(1.,0.,0.)); #365559=DIRECTION('',(1.,0.,0.)); #365560=DIRECTION('',(0.,0.,1.)); #365561=DIRECTION('center_axis',(1.,0.,0.)); #365562=DIRECTION('ref_axis',(0.,1.,0.)); #365563=DIRECTION('',(0.,1.,0.)); #365564=DIRECTION('',(0.,1.,0.)); #365565=DIRECTION('',(0.,0.,1.)); #365566=DIRECTION('center_axis',(0.,0.,1.)); #365567=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #365568=DIRECTION('center_axis',(0.,0.,1.)); #365569=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #365570=DIRECTION('center_axis',(0.,0.,1.)); #365571=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #365572=DIRECTION('center_axis',(0.,0.,1.)); #365573=DIRECTION('ref_axis',(1.,0.,0.)); #365574=DIRECTION('center_axis',(0.,0.,1.)); #365575=DIRECTION('ref_axis',(1.,0.,0.)); #365576=DIRECTION('center_axis',(0.,0.,1.)); #365577=DIRECTION('ref_axis',(1.,0.,0.)); #365578=DIRECTION('center_axis',(0.,0.,1.)); #365579=DIRECTION('ref_axis',(1.,0.,0.)); #365580=DIRECTION('',(0.,0.,1.)); #365581=DIRECTION('center_axis',(0.,0.,-1.)); #365582=DIRECTION('ref_axis',(1.,0.,0.)); #365583=DIRECTION('center_axis',(0.,0.,1.)); #365584=DIRECTION('ref_axis',(1.,0.,0.)); #365585=DIRECTION('center_axis',(0.,0.,1.)); #365586=DIRECTION('ref_axis',(1.,0.,0.)); #365587=DIRECTION('',(0.,0.,1.)); #365588=DIRECTION('center_axis',(0.,0.,-1.)); #365589=DIRECTION('ref_axis',(1.,0.,0.)); #365590=DIRECTION('center_axis',(-0.993822986070332,-0.11097690011101,0.)); #365591=DIRECTION('ref_axis',(0.11097690011101,-0.993822986070332,0.)); #365592=DIRECTION('',(0.11097690011101,-0.993822986070332,0.)); #365593=DIRECTION('',(0.,0.,1.)); #365594=DIRECTION('',(0.11097690011101,-0.993822986070332,0.)); #365595=DIRECTION('',(0.,0.,1.)); #365596=DIRECTION('center_axis',(-1.,0.,0.)); #365597=DIRECTION('ref_axis',(0.,-1.,0.)); #365598=DIRECTION('',(0.,-1.,0.)); #365599=DIRECTION('',(0.,-1.,0.)); #365600=DIRECTION('',(0.,0.,1.)); #365601=DIRECTION('center_axis',(0.,0.,1.)); #365602=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #365603=DIRECTION('center_axis',(0.,0.,1.)); #365604=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #365605=DIRECTION('center_axis',(0.,0.,1.)); #365606=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #365607=DIRECTION('',(0.,0.,1.)); #365608=DIRECTION('center_axis',(0.,0.,1.)); #365609=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #365610=DIRECTION('center_axis',(0.,0.,1.)); #365611=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #365612=DIRECTION('center_axis',(0.,0.,1.)); #365613=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #365614=DIRECTION('',(0.,0.,1.)); #365615=DIRECTION('center_axis',(1.,0.,0.)); #365616=DIRECTION('ref_axis',(0.,1.,0.)); #365617=DIRECTION('',(0.,1.,0.)); #365618=DIRECTION('',(0.,1.,0.)); #365619=DIRECTION('',(0.,0.,1.)); #365620=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #365621=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #365622=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #365623=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #365624=DIRECTION('',(0.,0.,1.)); #365625=DIRECTION('center_axis',(0.,0.,1.)); #365626=DIRECTION('ref_axis',(0.852390916810226,0.522905082151075,0.)); #365627=DIRECTION('center_axis',(0.,0.,1.)); #365628=DIRECTION('ref_axis',(0.852390916810226,0.522905082151075,0.)); #365629=DIRECTION('center_axis',(0.,0.,1.)); #365630=DIRECTION('ref_axis',(0.852390916810226,0.522905082151075,0.)); #365631=DIRECTION('',(0.,0.,1.)); #365632=DIRECTION('center_axis',(0.,0.,1.)); #365633=DIRECTION('ref_axis',(-0.840683265709484,-0.541527143138769,0.)); #365634=DIRECTION('center_axis',(0.,0.,1.)); #365635=DIRECTION('ref_axis',(-0.840683265709484,-0.541527143138769,0.)); #365636=DIRECTION('center_axis',(0.,0.,1.)); #365637=DIRECTION('ref_axis',(-0.840683265709484,-0.541527143138769,0.)); #365638=DIRECTION('',(0.,0.,1.)); #365639=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #365640=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #365641=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #365642=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #365643=DIRECTION('',(0.,0.,1.)); #365644=DIRECTION('center_axis',(1.,0.,0.)); #365645=DIRECTION('ref_axis',(0.,1.,0.)); #365646=DIRECTION('',(0.,1.,0.)); #365647=DIRECTION('',(0.,1.,0.)); #365648=DIRECTION('',(0.,0.,1.)); #365649=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #365650=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #365651=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #365652=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #365653=DIRECTION('',(0.,0.,1.)); #365654=DIRECTION('center_axis',(0.,1.,0.)); #365655=DIRECTION('ref_axis',(-1.,0.,0.)); #365656=DIRECTION('',(-1.,0.,0.)); #365657=DIRECTION('',(-1.,0.,0.)); #365658=DIRECTION('',(0.,0.,1.)); #365659=DIRECTION('center_axis',(-1.,0.,0.)); #365660=DIRECTION('ref_axis',(0.,-1.,0.)); #365661=DIRECTION('',(0.,-1.,0.)); #365662=DIRECTION('',(0.,-1.,0.)); #365663=DIRECTION('',(0.,0.,1.)); #365664=DIRECTION('center_axis',(0.,1.,0.)); #365665=DIRECTION('ref_axis',(-1.,0.,0.)); #365666=DIRECTION('',(-1.,0.,0.)); #365667=DIRECTION('',(-1.,0.,0.)); #365668=DIRECTION('',(0.,0.,1.)); #365669=DIRECTION('center_axis',(1.,0.,0.)); #365670=DIRECTION('ref_axis',(0.,1.,0.)); #365671=DIRECTION('',(0.,1.,0.)); #365672=DIRECTION('',(0.,1.,0.)); #365673=DIRECTION('',(0.,0.,1.)); #365674=DIRECTION('center_axis',(0.,-1.,0.)); #365675=DIRECTION('ref_axis',(1.,0.,0.)); #365676=DIRECTION('',(1.,0.,0.)); #365677=DIRECTION('',(1.,0.,0.)); #365678=DIRECTION('',(0.,0.,1.)); #365679=DIRECTION('center_axis',(-1.,0.,0.)); #365680=DIRECTION('ref_axis',(0.,-1.,0.)); #365681=DIRECTION('',(0.,-1.,0.)); #365682=DIRECTION('',(0.,-1.,0.)); #365683=DIRECTION('',(0.,0.,1.)); #365684=DIRECTION('center_axis',(0.,-1.,0.)); #365685=DIRECTION('ref_axis',(1.,0.,0.)); #365686=DIRECTION('',(1.,0.,0.)); #365687=DIRECTION('',(1.,0.,0.)); #365688=DIRECTION('',(0.,0.,1.)); #365689=DIRECTION('center_axis',(0.,0.,1.)); #365690=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #365691=DIRECTION('center_axis',(0.,0.,1.)); #365692=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #365693=DIRECTION('center_axis',(0.,0.,1.)); #365694=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #365695=DIRECTION('',(0.,0.,1.)); #365696=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #365697=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #365698=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #365699=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #365700=DIRECTION('',(0.,0.,1.)); #365701=DIRECTION('center_axis',(0.,0.,1.)); #365702=DIRECTION('ref_axis',(-0.999287545164094,-0.0377412517004872,0.)); #365703=DIRECTION('center_axis',(0.,0.,1.)); #365704=DIRECTION('ref_axis',(-0.999287545164094,-0.0377412517004872,0.)); #365705=DIRECTION('center_axis',(0.,0.,1.)); #365706=DIRECTION('ref_axis',(-0.999287545164094,-0.0377412517004872,0.)); #365707=DIRECTION('center_axis',(0.,0.,1.)); #365708=DIRECTION('ref_axis',(1.,0.,0.)); #365709=DIRECTION('center_axis',(0.,0.,1.)); #365710=DIRECTION('ref_axis',(1.,0.,0.)); #365711=DIRECTION('center_axis',(1.,0.,0.)); #365712=DIRECTION('ref_axis',(0.,1.,0.)); #365713=DIRECTION('',(0.,1.,0.)); #365714=DIRECTION('',(0.,0.,1.)); #365715=DIRECTION('',(0.,1.,0.)); #365716=DIRECTION('',(0.,0.,1.)); #365717=DIRECTION('center_axis',(0.,-1.,0.)); #365718=DIRECTION('ref_axis',(1.,0.,0.)); #365719=DIRECTION('',(1.,0.,0.)); #365720=DIRECTION('',(1.,0.,0.)); #365721=DIRECTION('',(0.,0.,1.)); #365722=DIRECTION('center_axis',(-1.,0.,0.)); #365723=DIRECTION('ref_axis',(0.,-1.,0.)); #365724=DIRECTION('',(0.,-1.,0.)); #365725=DIRECTION('',(0.,-1.,0.)); #365726=DIRECTION('',(0.,0.,1.)); #365727=DIRECTION('center_axis',(0.,-1.,0.)); #365728=DIRECTION('ref_axis',(1.,0.,0.)); #365729=DIRECTION('',(1.,0.,0.)); #365730=DIRECTION('',(1.,0.,0.)); #365731=DIRECTION('',(0.,0.,1.)); #365732=DIRECTION('center_axis',(0.,0.,1.)); #365733=DIRECTION('ref_axis',(-0.707099830320334,-0.707113731984435,0.)); #365734=DIRECTION('center_axis',(0.,0.,1.)); #365735=DIRECTION('ref_axis',(-0.707099830320334,-0.707113731984435,0.)); #365736=DIRECTION('center_axis',(0.,0.,1.)); #365737=DIRECTION('ref_axis',(-0.707099830320334,-0.707113731984435,0.)); #365738=DIRECTION('',(0.,0.,1.)); #365739=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #365740=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #365741=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #365742=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #365743=DIRECTION('',(0.,0.,1.)); #365744=DIRECTION('center_axis',(0.,-1.,0.)); #365745=DIRECTION('ref_axis',(1.,0.,0.)); #365746=DIRECTION('',(1.,0.,0.)); #365747=DIRECTION('',(1.,0.,0.)); #365748=DIRECTION('',(0.,0.,1.)); #365749=DIRECTION('center_axis',(-1.,0.,0.)); #365750=DIRECTION('ref_axis',(0.,-1.,0.)); #365751=DIRECTION('',(0.,-1.,0.)); #365752=DIRECTION('',(0.,-1.,0.)); #365753=DIRECTION('',(0.,0.,1.)); #365754=DIRECTION('center_axis',(0.,1.,0.)); #365755=DIRECTION('ref_axis',(-1.,0.,0.)); #365756=DIRECTION('',(-1.,0.,0.)); #365757=DIRECTION('',(-1.,0.,0.)); #365758=DIRECTION('',(0.,0.,1.)); #365759=DIRECTION('center_axis',(1.,0.,0.)); #365760=DIRECTION('ref_axis',(0.,1.,0.)); #365761=DIRECTION('',(0.,1.,0.)); #365762=DIRECTION('',(0.,1.,0.)); #365763=DIRECTION('',(0.,0.,1.)); #365764=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #365765=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #365766=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #365767=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #365768=DIRECTION('',(0.,0.,1.)); #365769=DIRECTION('center_axis',(0.,1.,0.)); #365770=DIRECTION('ref_axis',(-1.,0.,0.)); #365771=DIRECTION('',(-1.,0.,0.)); #365772=DIRECTION('',(-1.,0.,0.)); #365773=DIRECTION('',(0.,0.,1.)); #365774=DIRECTION('center_axis',(-1.,0.,0.)); #365775=DIRECTION('ref_axis',(0.,-1.,0.)); #365776=DIRECTION('',(0.,-1.,0.)); #365777=DIRECTION('',(0.,-1.,0.)); #365778=DIRECTION('',(0.,0.,1.)); #365779=DIRECTION('center_axis',(0.,1.,0.)); #365780=DIRECTION('ref_axis',(-1.,0.,0.)); #365781=DIRECTION('',(-1.,0.,0.)); #365782=DIRECTION('',(-1.,0.,0.)); #365783=DIRECTION('center_axis',(0.,0.,1.)); #365784=DIRECTION('ref_axis',(1.,0.,0.)); #365785=DIRECTION('center_axis',(0.,0.,1.)); #365786=DIRECTION('ref_axis',(1.,0.,0.)); #365787=DIRECTION('center_axis',(0.,0.,1.)); #365788=DIRECTION('ref_axis',(1.,0.,0.)); #365789=DIRECTION('center_axis',(0.,0.,1.)); #365790=DIRECTION('ref_axis',(1.,0.,0.)); #365791=DIRECTION('',(0.,0.,1.)); #365792=DIRECTION('center_axis',(0.,0.,-1.)); #365793=DIRECTION('ref_axis',(1.,0.,0.)); #365794=DIRECTION('center_axis',(0.,0.,1.)); #365795=DIRECTION('ref_axis',(1.,0.,0.)); #365796=DIRECTION('center_axis',(0.,0.,1.)); #365797=DIRECTION('ref_axis',(1.,0.,0.)); #365798=DIRECTION('',(0.,0.,1.)); #365799=DIRECTION('center_axis',(0.,0.,-1.)); #365800=DIRECTION('ref_axis',(1.,0.,0.)); #365801=DIRECTION('center_axis',(0.,0.,1.)); #365802=DIRECTION('ref_axis',(1.,0.,0.)); #365803=DIRECTION('center_axis',(0.,0.,1.)); #365804=DIRECTION('ref_axis',(1.,0.,0.)); #365805=DIRECTION('',(0.,0.,1.)); #365806=DIRECTION('center_axis',(0.,0.,-1.)); #365807=DIRECTION('ref_axis',(1.,0.,0.)); #365808=DIRECTION('center_axis',(0.,0.,1.)); #365809=DIRECTION('ref_axis',(1.,0.,0.)); #365810=DIRECTION('center_axis',(0.,0.,1.)); #365811=DIRECTION('ref_axis',(1.,0.,0.)); #365812=DIRECTION('',(0.,0.,1.)); #365813=DIRECTION('center_axis',(0.,0.,-1.)); #365814=DIRECTION('ref_axis',(1.,0.,0.)); #365815=DIRECTION('center_axis',(0.,0.,1.)); #365816=DIRECTION('ref_axis',(1.,0.,0.)); #365817=DIRECTION('center_axis',(0.,0.,1.)); #365818=DIRECTION('ref_axis',(1.,0.,0.)); #365819=DIRECTION('',(0.,0.,1.)); #365820=DIRECTION('center_axis',(0.,0.,-1.)); #365821=DIRECTION('ref_axis',(1.,0.,0.)); #365822=DIRECTION('center_axis',(0.,0.,1.)); #365823=DIRECTION('ref_axis',(1.,0.,0.)); #365824=DIRECTION('center_axis',(0.,0.,1.)); #365825=DIRECTION('ref_axis',(1.,0.,0.)); #365826=DIRECTION('',(0.,0.,1.)); #365827=DIRECTION('center_axis',(0.,0.,-1.)); #365828=DIRECTION('ref_axis',(1.,0.,0.)); #365829=DIRECTION('center_axis',(0.,0.,1.)); #365830=DIRECTION('ref_axis',(1.,0.,0.)); #365831=DIRECTION('center_axis',(0.,0.,1.)); #365832=DIRECTION('ref_axis',(1.,0.,0.)); #365833=DIRECTION('',(0.,0.,1.)); #365834=DIRECTION('center_axis',(0.,0.,-1.)); #365835=DIRECTION('ref_axis',(1.,0.,0.)); #365836=DIRECTION('center_axis',(0.,0.,1.)); #365837=DIRECTION('ref_axis',(1.,0.,0.)); #365838=DIRECTION('center_axis',(0.,0.,1.)); #365839=DIRECTION('ref_axis',(1.,0.,0.)); #365840=DIRECTION('',(0.,0.,1.)); #365841=DIRECTION('center_axis',(0.,0.,-1.)); #365842=DIRECTION('ref_axis',(1.,0.,0.)); #365843=DIRECTION('center_axis',(0.,0.,1.)); #365844=DIRECTION('ref_axis',(1.,0.,0.)); #365845=DIRECTION('center_axis',(0.,0.,1.)); #365846=DIRECTION('ref_axis',(1.,0.,0.)); #365847=DIRECTION('',(0.,0.,1.)); #365848=DIRECTION('center_axis',(0.,0.,-1.)); #365849=DIRECTION('ref_axis',(1.,0.,0.)); #365850=DIRECTION('center_axis',(0.,0.,1.)); #365851=DIRECTION('ref_axis',(1.,0.,0.)); #365852=DIRECTION('center_axis',(0.,0.,1.)); #365853=DIRECTION('ref_axis',(1.,0.,0.)); #365854=DIRECTION('',(0.,0.,1.)); #365855=DIRECTION('center_axis',(0.,0.,-1.)); #365856=DIRECTION('ref_axis',(1.,0.,0.)); #365857=DIRECTION('center_axis',(0.,0.,1.)); #365858=DIRECTION('ref_axis',(1.,0.,0.)); #365859=DIRECTION('center_axis',(0.,0.,1.)); #365860=DIRECTION('ref_axis',(1.,0.,0.)); #365861=DIRECTION('',(0.,0.,1.)); #365862=DIRECTION('center_axis',(0.,0.,-1.)); #365863=DIRECTION('ref_axis',(1.,0.,0.)); #365864=DIRECTION('center_axis',(1.,0.,0.)); #365865=DIRECTION('ref_axis',(0.,1.,0.)); #365866=DIRECTION('',(0.,1.,0.)); #365867=DIRECTION('',(0.,0.,1.)); #365868=DIRECTION('',(0.,1.,0.)); #365869=DIRECTION('',(0.,0.,1.)); #365870=DIRECTION('center_axis',(0.,-1.,0.)); #365871=DIRECTION('ref_axis',(1.,0.,0.)); #365872=DIRECTION('',(1.,0.,0.)); #365873=DIRECTION('',(1.,0.,0.)); #365874=DIRECTION('',(0.,0.,1.)); #365875=DIRECTION('center_axis',(-1.,0.,0.)); #365876=DIRECTION('ref_axis',(0.,-1.,0.)); #365877=DIRECTION('',(0.,-1.,0.)); #365878=DIRECTION('',(0.,-1.,0.)); #365879=DIRECTION('',(0.,0.,1.)); #365880=DIRECTION('center_axis',(0.,-1.,0.)); #365881=DIRECTION('ref_axis',(1.,0.,0.)); #365882=DIRECTION('',(1.,0.,0.)); #365883=DIRECTION('',(1.,0.,0.)); #365884=DIRECTION('',(0.,0.,1.)); #365885=DIRECTION('center_axis',(0.,0.,1.)); #365886=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984461,0.)); #365887=DIRECTION('center_axis',(0.,0.,1.)); #365888=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984461,0.)); #365889=DIRECTION('center_axis',(0.,0.,1.)); #365890=DIRECTION('ref_axis',(-0.707099830320307,-0.707113731984461,0.)); #365891=DIRECTION('',(0.,0.,1.)); #365892=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #365893=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #365894=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #365895=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #365896=DIRECTION('',(0.,0.,1.)); #365897=DIRECTION('center_axis',(0.,0.,1.)); #365898=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396447E-6,0.)); #365899=DIRECTION('center_axis',(0.,0.,1.)); #365900=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396447E-6,0.)); #365901=DIRECTION('center_axis',(0.,0.,1.)); #365902=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396447E-6,0.)); #365903=DIRECTION('',(0.,0.,1.)); #365904=DIRECTION('center_axis',(-1.,0.,0.)); #365905=DIRECTION('ref_axis',(0.,-1.,0.)); #365906=DIRECTION('',(0.,-1.,0.)); #365907=DIRECTION('',(0.,-1.,0.)); #365908=DIRECTION('',(0.,0.,1.)); #365909=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #365910=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #365911=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #365912=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #365913=DIRECTION('',(0.,0.,1.)); #365914=DIRECTION('center_axis',(0.,-1.,0.)); #365915=DIRECTION('ref_axis',(1.,0.,0.)); #365916=DIRECTION('',(1.,0.,0.)); #365917=DIRECTION('',(1.,0.,0.)); #365918=DIRECTION('',(0.,0.,1.)); #365919=DIRECTION('center_axis',(1.,0.,0.)); #365920=DIRECTION('ref_axis',(0.,1.,0.)); #365921=DIRECTION('',(0.,1.,0.)); #365922=DIRECTION('',(0.,1.,0.)); #365923=DIRECTION('',(0.,0.,1.)); #365924=DIRECTION('center_axis',(0.987649512185963,0.156679421363592,0.)); #365925=DIRECTION('ref_axis',(-0.156679421363592,0.987649512185963,0.)); #365926=DIRECTION('',(-0.156679421363592,0.987649512185963,0.)); #365927=DIRECTION('',(-0.156679421363592,0.987649512185963,0.)); #365928=DIRECTION('',(0.,0.,1.)); #365929=DIRECTION('center_axis',(0.12543811388941,0.992101446215994,0.)); #365930=DIRECTION('ref_axis',(-0.992101446215994,0.12543811388941,0.)); #365931=DIRECTION('',(-0.992101446215994,0.12543811388941,0.)); #365932=DIRECTION('',(-0.992101446215994,0.12543811388941,0.)); #365933=DIRECTION('',(0.,0.,1.)); #365934=DIRECTION('center_axis',(0.,1.,0.)); #365935=DIRECTION('ref_axis',(-1.,0.,0.)); #365936=DIRECTION('',(-1.,0.,0.)); #365937=DIRECTION('',(-1.,0.,0.)); #365938=DIRECTION('',(0.,0.,1.)); #365939=DIRECTION('center_axis',(-0.156679421363592,0.987649512185963,0.)); #365940=DIRECTION('ref_axis',(-0.987649512185963,-0.156679421363592,0.)); #365941=DIRECTION('',(-0.987649512185963,-0.156679421363592,0.)); #365942=DIRECTION('',(-0.987649512185963,-0.156679421363592,0.)); #365943=DIRECTION('',(0.,0.,1.)); #365944=DIRECTION('center_axis',(-0.992101446215994,0.12543811388941,0.)); #365945=DIRECTION('ref_axis',(-0.12543811388941,-0.992101446215994,0.)); #365946=DIRECTION('',(-0.12543811388941,-0.992101446215994,0.)); #365947=DIRECTION('',(-0.12543811388941,-0.992101446215994,0.)); #365948=DIRECTION('',(0.,0.,1.)); #365949=DIRECTION('center_axis',(-1.,0.,0.)); #365950=DIRECTION('ref_axis',(0.,-1.,0.)); #365951=DIRECTION('',(0.,-1.,0.)); #365952=DIRECTION('',(0.,-1.,0.)); #365953=DIRECTION('',(0.,0.,1.)); #365954=DIRECTION('center_axis',(-0.983050395657587,0.18333553828285,0.)); #365955=DIRECTION('ref_axis',(-0.18333553828285,-0.983050395657587,0.)); #365956=DIRECTION('',(-0.18333553828285,-0.983050395657587,0.)); #365957=DIRECTION('',(-0.18333553828285,-0.983050395657587,0.)); #365958=DIRECTION('',(0.,0.,1.)); #365959=DIRECTION('center_axis',(-0.842360495470256,0.538914460439786,0.)); #365960=DIRECTION('ref_axis',(-0.538914460439786,-0.842360495470256,0.)); #365961=DIRECTION('',(-0.538914460439786,-0.842360495470256,0.)); #365962=DIRECTION('',(-0.538914460439786,-0.842360495470256,0.)); #365963=DIRECTION('',(0.,0.,1.)); #365964=DIRECTION('center_axis',(-0.565473758099733,0.824766287441821,0.)); #365965=DIRECTION('ref_axis',(-0.824766287441821,-0.565473758099733,0.)); #365966=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #365967=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #365968=DIRECTION('',(0.,0.,1.)); #365969=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #365970=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #365971=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #365972=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #365973=DIRECTION('',(0.,0.,1.)); #365974=DIRECTION('center_axis',(0.,1.,0.)); #365975=DIRECTION('ref_axis',(-1.,0.,0.)); #365976=DIRECTION('',(-1.,0.,0.)); #365977=DIRECTION('',(-1.,0.,0.)); #365978=DIRECTION('',(0.,0.,1.)); #365979=DIRECTION('center_axis',(0.191191000932891,0.981552851945467,0.)); #365980=DIRECTION('ref_axis',(-0.981552851945467,0.191191000932891,0.)); #365981=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #365982=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #365983=DIRECTION('',(0.,0.,1.)); #365984=DIRECTION('center_axis',(0.552227809242827,0.833693256958978,0.)); #365985=DIRECTION('ref_axis',(-0.833693256958978,0.552227809242827,0.)); #365986=DIRECTION('',(-0.833693256958978,0.552227809242827,0.)); #365987=DIRECTION('',(-0.833693256958978,0.552227809242827,0.)); #365988=DIRECTION('',(0.,0.,1.)); #365989=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #365990=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #365991=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #365992=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #365993=DIRECTION('',(0.,0.,1.)); #365994=DIRECTION('center_axis',(0.829258128536007,0.558865776602003,0.)); #365995=DIRECTION('ref_axis',(-0.558865776602003,0.829258128536007,0.)); #365996=DIRECTION('',(-0.558865776602003,0.829258128536007,0.)); #365997=DIRECTION('',(-0.558865776602003,0.829258128536007,0.)); #365998=DIRECTION('',(0.,0.,1.)); #365999=DIRECTION('center_axis',(0.979998112340235,0.199006783325535,0.)); #366000=DIRECTION('ref_axis',(-0.199006783325535,0.979998112340235,0.)); #366001=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #366002=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #366003=DIRECTION('',(0.,0.,1.)); #366004=DIRECTION('center_axis',(1.,0.,0.)); #366005=DIRECTION('ref_axis',(0.,1.,0.)); #366006=DIRECTION('',(0.,1.,0.)); #366007=DIRECTION('',(0.,1.,0.)); #366008=DIRECTION('',(0.,0.,1.)); #366009=DIRECTION('center_axis',(0.983054265471482,-0.183314787014918,0.)); #366010=DIRECTION('ref_axis',(0.183314787014918,0.983054265471482,0.)); #366011=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #366012=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #366013=DIRECTION('',(0.,0.,1.)); #366014=DIRECTION('center_axis',(0.842351514327689,-0.538928498327793,0.)); #366015=DIRECTION('ref_axis',(0.538928498327792,0.842351514327689,0.)); #366016=DIRECTION('',(0.538928498327792,0.842351514327689,0.)); #366017=DIRECTION('',(0.538928498327792,0.842351514327689,0.)); #366018=DIRECTION('',(0.,0.,1.)); #366019=DIRECTION('center_axis',(0.565473758099751,-0.824766287441809,0.)); #366020=DIRECTION('ref_axis',(0.824766287441808,0.565473758099751,0.)); #366021=DIRECTION('',(0.824766287441808,0.565473758099751,0.)); #366022=DIRECTION('',(0.824766287441808,0.565473758099751,0.)); #366023=DIRECTION('',(0.,0.,1.)); #366024=DIRECTION('center_axis',(0.199010641789328,-0.979997328799727,0.)); #366025=DIRECTION('ref_axis',(0.979997328799727,0.199010641789328,0.)); #366026=DIRECTION('',(0.979997328799727,0.199010641789328,0.)); #366027=DIRECTION('',(0.979997328799727,0.199010641789328,0.)); #366028=DIRECTION('',(0.,0.,1.)); #366029=DIRECTION('center_axis',(0.,-1.,0.)); #366030=DIRECTION('ref_axis',(1.,0.,0.)); #366031=DIRECTION('',(1.,0.,0.)); #366032=DIRECTION('',(1.,0.,0.)); #366033=DIRECTION('',(0.,0.,1.)); #366034=DIRECTION('center_axis',(0.140944937191828,-0.990017436553514,0.)); #366035=DIRECTION('ref_axis',(0.990017436553514,0.140944937191828,0.)); #366036=DIRECTION('',(0.990017436553514,0.140944937191828,0.)); #366037=DIRECTION('',(0.990017436553514,0.140944937191828,0.)); #366038=DIRECTION('',(0.,0.,1.)); #366039=DIRECTION('center_axis',(0.613211584811163,-0.789918699774466,0.)); #366040=DIRECTION('ref_axis',(0.789918699774466,0.613211584811163,0.)); #366041=DIRECTION('',(0.789918699774466,0.613211584811163,0.)); #366042=DIRECTION('',(0.789918699774466,0.613211584811163,0.)); #366043=DIRECTION('',(0.,0.,1.)); #366044=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #366045=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #366046=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #366047=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #366048=DIRECTION('',(0.,0.,1.)); #366049=DIRECTION('center_axis',(0.799763100782771,-0.600315735781037,0.)); #366050=DIRECTION('ref_axis',(0.600315735781037,0.799763100782771,0.)); #366051=DIRECTION('',(0.600315735781037,0.799763100782771,0.)); #366052=DIRECTION('',(0.600315735781037,0.799763100782771,0.)); #366053=DIRECTION('',(0.,0.,1.)); #366054=DIRECTION('center_axis',(0.992121345390277,-0.125280629073241,0.)); #366055=DIRECTION('ref_axis',(0.125280629073241,0.992121345390277,0.)); #366056=DIRECTION('',(0.125280629073241,0.992121345390277,0.)); #366057=DIRECTION('',(0.125280629073241,0.992121345390277,0.)); #366058=DIRECTION('',(0.,0.,1.)); #366059=DIRECTION('center_axis',(1.,0.,0.)); #366060=DIRECTION('ref_axis',(0.,1.,0.)); #366061=DIRECTION('',(0.,1.,0.)); #366062=DIRECTION('',(0.,1.,0.)); #366063=DIRECTION('',(0.,0.,1.)); #366064=DIRECTION('center_axis',(0.981552099144139,-0.191194865688736,0.)); #366065=DIRECTION('ref_axis',(0.191194865688736,0.981552099144139,0.)); #366066=DIRECTION('',(0.191194865688736,0.981552099144139,0.)); #366067=DIRECTION('',(0.191194865688736,0.981552099144139,0.)); #366068=DIRECTION('',(0.,0.,1.)); #366069=DIRECTION('center_axis',(0.833699290017759,-0.55221870108127,0.)); #366070=DIRECTION('ref_axis',(0.55221870108127,0.833699290017759,0.)); #366071=DIRECTION('',(0.55221870108127,0.833699290017759,0.)); #366072=DIRECTION('',(0.55221870108127,0.833699290017759,0.)); #366073=DIRECTION('',(0.,0.,1.)); #366074=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #366075=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #366076=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #366077=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #366078=DIRECTION('',(0.,0.,1.)); #366079=DIRECTION('center_axis',(0.558856232804972,-0.829264560351541,0.)); #366080=DIRECTION('ref_axis',(0.829264560351541,0.558856232804972,0.)); #366081=DIRECTION('',(0.829264560351541,0.558856232804972,0.)); #366082=DIRECTION('',(0.829264560351541,0.558856232804972,0.)); #366083=DIRECTION('',(0.,0.,1.)); #366084=DIRECTION('center_axis',(0.199010641789353,-0.979997328799722,0.)); #366085=DIRECTION('ref_axis',(0.979997328799722,0.199010641789353,0.)); #366086=DIRECTION('',(0.979997328799722,0.199010641789353,0.)); #366087=DIRECTION('',(0.979997328799722,0.199010641789353,0.)); #366088=DIRECTION('',(0.,0.,1.)); #366089=DIRECTION('center_axis',(0.,-1.,0.)); #366090=DIRECTION('ref_axis',(1.,0.,0.)); #366091=DIRECTION('',(1.,0.,0.)); #366092=DIRECTION('',(1.,0.,0.)); #366093=DIRECTION('',(0.,0.,1.)); #366094=DIRECTION('center_axis',(-0.191194865688692,-0.981552099144148, 0.)); #366095=DIRECTION('ref_axis',(0.981552099144148,-0.191194865688692,0.)); #366096=DIRECTION('',(0.981552099144148,-0.191194865688692,0.)); #366097=DIRECTION('',(0.981552099144148,-0.191194865688692,0.)); #366098=DIRECTION('',(0.,0.,1.)); #366099=DIRECTION('center_axis',(-0.55221870108126,-0.833699290017765,0.)); #366100=DIRECTION('ref_axis',(0.833699290017765,-0.55221870108126,0.)); #366101=DIRECTION('',(0.833699290017765,-0.55221870108126,0.)); #366102=DIRECTION('',(0.833699290017765,-0.55221870108126,0.)); #366103=DIRECTION('',(0.,0.,1.)); #366104=DIRECTION('center_axis',(-0.707106781186552,-0.707106781186543, 0.)); #366105=DIRECTION('ref_axis',(0.707106781186543,-0.707106781186552,0.)); #366106=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #366107=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #366108=DIRECTION('',(0.,0.,1.)); #366109=DIRECTION('center_axis',(-0.829264560351577,-0.558856232804919, 0.)); #366110=DIRECTION('ref_axis',(0.558856232804919,-0.829264560351577,0.)); #366111=DIRECTION('',(0.558856232804919,-0.829264560351577,0.)); #366112=DIRECTION('',(0.558856232804919,-0.829264560351577,0.)); #366113=DIRECTION('',(0.,0.,1.)); #366114=DIRECTION('center_axis',(-0.979997328799711,-0.199010641789404, 0.)); #366115=DIRECTION('ref_axis',(0.199010641789404,-0.979997328799711,0.)); #366116=DIRECTION('',(0.199010641789404,-0.979997328799711,0.)); #366117=DIRECTION('',(0.199010641789404,-0.979997328799711,0.)); #366118=DIRECTION('',(0.,0.,1.)); #366119=DIRECTION('center_axis',(-1.,0.,0.)); #366120=DIRECTION('ref_axis',(0.,-1.,0.)); #366121=DIRECTION('',(0.,-1.,0.)); #366122=DIRECTION('',(0.,-1.,0.)); #366123=DIRECTION('',(0.,0.,1.)); #366124=DIRECTION('center_axis',(-0.995393973877089,-0.095868851923743, 0.)); #366125=DIRECTION('ref_axis',(0.095868851923743,-0.995393973877089,0.)); #366126=DIRECTION('',(0.095868851923743,-0.995393973877089,0.)); #366127=DIRECTION('',(0.095868851923743,-0.995393973877089,0.)); #366128=DIRECTION('',(0.,0.,1.)); #366129=DIRECTION('center_axis',(-0.94971778516927,-0.313107215715603,0.)); #366130=DIRECTION('ref_axis',(0.313107215715603,-0.94971778516927,0.)); #366131=DIRECTION('',(0.313107215715603,-0.94971778516927,0.)); #366132=DIRECTION('',(0.313107215715603,-0.94971778516927,0.)); #366133=DIRECTION('',(0.,0.,1.)); #366134=DIRECTION('center_axis',(-0.842364599557948,-0.538908045413667, 0.)); #366135=DIRECTION('ref_axis',(0.538908045413667,-0.842364599557948,0.)); #366136=DIRECTION('',(0.538908045413667,-0.842364599557948,0.)); #366137=DIRECTION('',(0.538908045413667,-0.842364599557948,0.)); #366138=DIRECTION('',(0.,0.,1.)); #366139=DIRECTION('center_axis',(-0.768221180734969,-0.640184518299349, 0.)); #366140=DIRECTION('ref_axis',(0.640184518299349,-0.768221180734969,0.)); #366141=DIRECTION('',(0.640184518299349,-0.768221180734969,0.)); #366142=DIRECTION('',(0.640184518299349,-0.768221180734969,0.)); #366143=DIRECTION('',(0.,0.,1.)); #366144=DIRECTION('center_axis',(-0.853752470878315,-0.52067909355876,0.)); #366145=DIRECTION('ref_axis',(0.52067909355876,-0.853752470878315,0.)); #366146=DIRECTION('',(0.52067909355876,-0.853752470878315,0.)); #366147=DIRECTION('',(0.52067909355876,-0.853752470878315,0.)); #366148=DIRECTION('',(0.,0.,1.)); #366149=DIRECTION('center_axis',(-0.97999732879971,-0.199010641789413,0.)); #366150=DIRECTION('ref_axis',(0.199010641789413,-0.97999732879971,0.)); #366151=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #366152=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #366153=DIRECTION('',(0.,0.,1.)); #366154=DIRECTION('center_axis',(-1.,0.,0.)); #366155=DIRECTION('ref_axis',(0.,-1.,0.)); #366156=DIRECTION('',(0.,-1.,0.)); #366157=DIRECTION('',(0.,-1.,0.)); #366158=DIRECTION('',(0.,0.,1.)); #366159=DIRECTION('center_axis',(-0.981552099144153,0.191194865688666,0.)); #366160=DIRECTION('ref_axis',(-0.191194865688666,-0.981552099144153,0.)); #366161=DIRECTION('',(-0.191194865688666,-0.981552099144153,0.)); #366162=DIRECTION('',(-0.191194865688666,-0.981552099144153,0.)); #366163=DIRECTION('',(0.,0.,1.)); #366164=DIRECTION('center_axis',(-0.833699290017718,0.552218701081331,0.)); #366165=DIRECTION('ref_axis',(-0.552218701081331,-0.833699290017718,0.)); #366166=DIRECTION('',(-0.552218701081331,-0.833699290017718,0.)); #366167=DIRECTION('',(-0.552218701081331,-0.833699290017718,0.)); #366168=DIRECTION('',(0.,0.,1.)); #366169=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,0.)); #366170=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.)); #366171=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #366172=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #366173=DIRECTION('',(0.,0.,1.)); #366174=DIRECTION('center_axis',(-0.558856232804961,0.829264560351549,0.)); #366175=DIRECTION('ref_axis',(-0.829264560351548,-0.558856232804961,0.)); #366176=DIRECTION('',(-0.829264560351548,-0.558856232804961,0.)); #366177=DIRECTION('',(-0.829264560351548,-0.558856232804961,0.)); #366178=DIRECTION('',(0.,0.,1.)); #366179=DIRECTION('center_axis',(-0.199010641789404,0.979997328799711,0.)); #366180=DIRECTION('ref_axis',(-0.979997328799711,-0.199010641789404,0.)); #366181=DIRECTION('',(-0.979997328799711,-0.199010641789404,0.)); #366182=DIRECTION('',(-0.979997328799711,-0.199010641789404,0.)); #366183=DIRECTION('',(0.,0.,1.)); #366184=DIRECTION('center_axis',(0.,1.,0.)); #366185=DIRECTION('ref_axis',(-1.,0.,0.)); #366186=DIRECTION('',(-1.,0.,0.)); #366187=DIRECTION('',(-1.,0.,0.)); #366188=DIRECTION('',(0.,0.,1.)); #366189=DIRECTION('center_axis',(0.183314787014826,0.983054265471499,0.)); #366190=DIRECTION('ref_axis',(-0.983054265471499,0.183314787014826,0.)); #366191=DIRECTION('',(-0.983054265471499,0.183314787014826,0.)); #366192=DIRECTION('',(-0.983054265471499,0.183314787014826,0.)); #366193=DIRECTION('',(0.,0.,1.)); #366194=DIRECTION('center_axis',(0.538928498327897,0.842351514327622,0.)); #366195=DIRECTION('ref_axis',(-0.842351514327622,0.538928498327897,0.)); #366196=DIRECTION('',(-0.842351514327622,0.538928498327897,0.)); #366197=DIRECTION('',(-0.842351514327622,0.538928498327897,0.)); #366198=DIRECTION('',(0.,0.,1.)); #366199=DIRECTION('center_axis',(0.824766287441793,0.565473758099774,0.)); #366200=DIRECTION('ref_axis',(-0.565473758099774,0.824766287441793,0.)); #366201=DIRECTION('',(-0.565473758099774,0.824766287441793,0.)); #366202=DIRECTION('',(-0.565473758099774,0.824766287441793,0.)); #366203=DIRECTION('',(0.,0.,1.)); #366204=DIRECTION('center_axis',(0.979997328799717,0.199010641789378,0.)); #366205=DIRECTION('ref_axis',(-0.199010641789378,0.979997328799717,0.)); #366206=DIRECTION('',(-0.199010641789378,0.979997328799717,0.)); #366207=DIRECTION('',(-0.199010641789378,0.979997328799717,0.)); #366208=DIRECTION('',(0.,0.,1.)); #366209=DIRECTION('center_axis',(1.,0.,0.)); #366210=DIRECTION('ref_axis',(0.,1.,0.)); #366211=DIRECTION('',(0.,1.,0.)); #366212=DIRECTION('',(0.,1.,0.)); #366213=DIRECTION('',(0.,0.,1.)); #366214=DIRECTION('center_axis',(0.707106781186521,0.707106781186574,0.)); #366215=DIRECTION('ref_axis',(-0.707106781186574,0.707106781186521,0.)); #366216=DIRECTION('',(-0.707106781186574,0.707106781186521,0.)); #366217=DIRECTION('',(-0.707106781186574,0.707106781186521,0.)); #366218=DIRECTION('',(0.,0.,1.)); #366219=DIRECTION('center_axis',(0.,1.,0.)); #366220=DIRECTION('ref_axis',(-1.,0.,0.)); #366221=DIRECTION('',(-1.,0.,0.)); #366222=DIRECTION('',(-1.,0.,0.)); #366223=DIRECTION('',(0.,0.,1.)); #366224=DIRECTION('center_axis',(-0.70710678118653,0.707106781186565,0.)); #366225=DIRECTION('ref_axis',(-0.707106781186565,-0.70710678118653,0.)); #366226=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #366227=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #366228=DIRECTION('',(0.,0.,1.)); #366229=DIRECTION('center_axis',(-1.,0.,0.)); #366230=DIRECTION('ref_axis',(0.,-1.,0.)); #366231=DIRECTION('',(0.,-1.,0.)); #366232=DIRECTION('',(0.,-1.,0.)); #366233=DIRECTION('',(0.,0.,1.)); #366234=DIRECTION('center_axis',(-0.983054265471482,0.183314787014918,0.)); #366235=DIRECTION('ref_axis',(-0.183314787014918,-0.983054265471482,0.)); #366236=DIRECTION('',(-0.183314787014918,-0.983054265471482,0.)); #366237=DIRECTION('',(-0.183314787014918,-0.983054265471482,0.)); #366238=DIRECTION('',(0.,0.,1.)); #366239=DIRECTION('center_axis',(-0.842351514327714,0.538928498327753,0.)); #366240=DIRECTION('ref_axis',(-0.538928498327753,-0.842351514327714,0.)); #366241=DIRECTION('',(-0.538928498327753,-0.842351514327714,0.)); #366242=DIRECTION('',(-0.538928498327753,-0.842351514327714,0.)); #366243=DIRECTION('',(0.,0.,1.)); #366244=DIRECTION('center_axis',(-0.565473758099673,0.824766287441862,0.)); #366245=DIRECTION('ref_axis',(-0.824766287441862,-0.565473758099673,0.)); #366246=DIRECTION('',(-0.824766287441862,-0.565473758099673,0.)); #366247=DIRECTION('',(-0.824766287441862,-0.565473758099673,0.)); #366248=DIRECTION('',(0.,0.,1.)); #366249=DIRECTION('center_axis',(-0.199010641789404,0.979997328799711,0.)); #366250=DIRECTION('ref_axis',(-0.979997328799711,-0.199010641789404,0.)); #366251=DIRECTION('',(-0.979997328799711,-0.199010641789404,0.)); #366252=DIRECTION('',(-0.979997328799711,-0.199010641789404,0.)); #366253=DIRECTION('',(0.,0.,1.)); #366254=DIRECTION('center_axis',(0.,1.,0.)); #366255=DIRECTION('ref_axis',(-1.,0.,0.)); #366256=DIRECTION('',(-1.,0.,0.)); #366257=DIRECTION('',(-1.,0.,0.)); #366258=DIRECTION('',(0.,0.,1.)); #366259=DIRECTION('center_axis',(0.191191000932891,0.981552851945467,0.)); #366260=DIRECTION('ref_axis',(-0.981552851945467,0.191191000932891,0.)); #366261=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #366262=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #366263=DIRECTION('',(0.,0.,1.)); #366264=DIRECTION('center_axis',(0.552227809242786,0.833693256959005,0.)); #366265=DIRECTION('ref_axis',(-0.833693256959005,0.552227809242786,0.)); #366266=DIRECTION('',(-0.833693256959005,0.552227809242786,0.)); #366267=DIRECTION('',(-0.833693256959005,0.552227809242786,0.)); #366268=DIRECTION('',(0.,0.,1.)); #366269=DIRECTION('center_axis',(0.707106781186566,0.707106781186529,0.)); #366270=DIRECTION('ref_axis',(-0.707106781186529,0.707106781186566,0.)); #366271=DIRECTION('',(-0.707106781186529,0.707106781186566,0.)); #366272=DIRECTION('',(-0.707106781186529,0.707106781186566,0.)); #366273=DIRECTION('',(0.,0.,1.)); #366274=DIRECTION('center_axis',(0.829258128536007,0.558865776602003,0.)); #366275=DIRECTION('ref_axis',(-0.558865776602003,0.829258128536007,0.)); #366276=DIRECTION('',(-0.558865776602003,0.829258128536007,0.)); #366277=DIRECTION('',(-0.558865776602003,0.829258128536007,0.)); #366278=DIRECTION('',(0.,0.,1.)); #366279=DIRECTION('center_axis',(0.979998112340235,0.199006783325535,0.)); #366280=DIRECTION('ref_axis',(-0.199006783325535,0.979998112340235,0.)); #366281=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #366282=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #366283=DIRECTION('',(0.,0.,1.)); #366284=DIRECTION('center_axis',(1.,0.,0.)); #366285=DIRECTION('ref_axis',(0.,1.,0.)); #366286=DIRECTION('',(0.,1.,0.)); #366287=DIRECTION('',(0.,1.,0.)); #366288=DIRECTION('',(0.,0.,1.)); #366289=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.)); #366290=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #366291=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #366292=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #366293=DIRECTION('',(0.,0.,1.)); #366294=DIRECTION('center_axis',(0.,1.,0.)); #366295=DIRECTION('ref_axis',(-1.,0.,0.)); #366296=DIRECTION('',(-1.,0.,0.)); #366297=DIRECTION('',(-1.,0.,0.)); #366298=DIRECTION('',(0.,0.,1.)); #366299=DIRECTION('center_axis',(0.191191000932891,0.981552851945467,0.)); #366300=DIRECTION('ref_axis',(-0.981552851945467,0.191191000932891,0.)); #366301=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #366302=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #366303=DIRECTION('',(0.,0.,1.)); #366304=DIRECTION('center_axis',(0.552227809242786,0.833693256959005,0.)); #366305=DIRECTION('ref_axis',(-0.833693256959005,0.552227809242786,0.)); #366306=DIRECTION('',(-0.833693256959005,0.552227809242786,0.)); #366307=DIRECTION('',(-0.833693256959005,0.552227809242786,0.)); #366308=DIRECTION('',(0.,0.,1.)); #366309=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #366310=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #366311=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #366312=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #366313=DIRECTION('',(0.,0.,1.)); #366314=DIRECTION('center_axis',(0.829258128535986,0.558865776602034,0.)); #366315=DIRECTION('ref_axis',(-0.558865776602034,0.829258128535985,0.)); #366316=DIRECTION('',(-0.558865776602034,0.829258128535985,0.)); #366317=DIRECTION('',(-0.558865776602034,0.829258128535985,0.)); #366318=DIRECTION('',(0.,0.,1.)); #366319=DIRECTION('center_axis',(0.979998112340244,0.199006783325492,0.)); #366320=DIRECTION('ref_axis',(-0.199006783325492,0.979998112340244,0.)); #366321=DIRECTION('',(-0.199006783325492,0.979998112340244,0.)); #366322=DIRECTION('',(-0.199006783325492,0.979998112340244,0.)); #366323=DIRECTION('',(0.,0.,1.)); #366324=DIRECTION('center_axis',(1.,0.,0.)); #366325=DIRECTION('ref_axis',(0.,1.,0.)); #366326=DIRECTION('',(0.,1.,0.)); #366327=DIRECTION('',(0.,1.,0.)); #366328=DIRECTION('',(0.,0.,1.)); #366329=DIRECTION('center_axis',(0.707106781186545,0.70710678118655,0.)); #366330=DIRECTION('ref_axis',(-0.70710678118655,0.707106781186545,0.)); #366331=DIRECTION('',(-0.70710678118655,0.707106781186545,0.)); #366332=DIRECTION('',(-0.70710678118655,0.707106781186545,0.)); #366333=DIRECTION('',(0.,0.,1.)); #366334=DIRECTION('center_axis',(0.,1.,0.)); #366335=DIRECTION('ref_axis',(-1.,0.,0.)); #366336=DIRECTION('',(-1.,0.,0.)); #366337=DIRECTION('',(-1.,0.,0.)); #366338=DIRECTION('',(0.,0.,1.)); #366339=DIRECTION('center_axis',(0.191191000932891,0.981552851945467,0.)); #366340=DIRECTION('ref_axis',(-0.981552851945467,0.191191000932891,0.)); #366341=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #366342=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #366343=DIRECTION('',(0.,0.,1.)); #366344=DIRECTION('center_axis',(0.552227809242867,0.833693256958951,0.)); #366345=DIRECTION('ref_axis',(-0.833693256958951,0.552227809242867,0.)); #366346=DIRECTION('',(-0.833693256958951,0.552227809242867,0.)); #366347=DIRECTION('',(-0.833693256958951,0.552227809242867,0.)); #366348=DIRECTION('',(0.,0.,1.)); #366349=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #366350=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #366351=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #366352=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #366353=DIRECTION('',(0.,0.,1.)); #366354=DIRECTION('center_axis',(0.829258128536035,0.55886577660196,0.)); #366355=DIRECTION('ref_axis',(-0.55886577660196,0.829258128536035,0.)); #366356=DIRECTION('',(-0.55886577660196,0.829258128536035,0.)); #366357=DIRECTION('',(-0.55886577660196,0.829258128536035,0.)); #366358=DIRECTION('',(0.,0.,1.)); #366359=DIRECTION('center_axis',(0.979998112340252,0.19900678332545,0.)); #366360=DIRECTION('ref_axis',(-0.19900678332545,0.979998112340252,0.)); #366361=DIRECTION('',(-0.19900678332545,0.979998112340252,0.)); #366362=DIRECTION('',(-0.19900678332545,0.979998112340252,0.)); #366363=DIRECTION('',(0.,0.,1.)); #366364=DIRECTION('center_axis',(1.,0.,0.)); #366365=DIRECTION('ref_axis',(0.,1.,0.)); #366366=DIRECTION('',(0.,1.,0.)); #366367=DIRECTION('',(0.,1.,0.)); #366368=DIRECTION('',(0.,0.,1.)); #366369=DIRECTION('center_axis',(0.981552851945484,-0.191191000932803,0.)); #366370=DIRECTION('ref_axis',(0.191191000932803,0.981552851945484,0.)); #366371=DIRECTION('',(0.191191000932803,0.981552851945484,0.)); #366372=DIRECTION('',(0.191191000932803,0.981552851945484,0.)); #366373=DIRECTION('',(0.,0.,1.)); #366374=DIRECTION('center_axis',(0.833693256958951,-0.552227809242867,0.)); #366375=DIRECTION('ref_axis',(0.552227809242867,0.833693256958951,0.)); #366376=DIRECTION('',(0.552227809242867,0.833693256958951,0.)); #366377=DIRECTION('',(0.552227809242867,0.833693256958951,0.)); #366378=DIRECTION('',(0.,0.,1.)); #366379=DIRECTION('center_axis',(0.707108968966173,-0.707104593400154,0.)); #366380=DIRECTION('ref_axis',(0.707104593400154,0.707108968966173,0.)); #366381=DIRECTION('',(0.707104593400154,0.707108968966173,0.)); #366382=DIRECTION('',(0.707104593400154,0.707108968966173,0.)); #366383=DIRECTION('',(0.,0.,1.)); #366384=DIRECTION('center_axis',(1.,0.,0.)); #366385=DIRECTION('ref_axis',(0.,1.,0.)); #366386=DIRECTION('',(0.,1.,0.)); #366387=DIRECTION('',(0.,1.,0.)); #366388=DIRECTION('',(0.,0.,1.)); #366389=DIRECTION('center_axis',(0.983054265471485,-0.183314787014901,0.)); #366390=DIRECTION('ref_axis',(0.183314787014901,0.983054265471485,0.)); #366391=DIRECTION('',(0.183314787014901,0.983054265471485,0.)); #366392=DIRECTION('',(0.183314787014901,0.983054265471485,0.)); #366393=DIRECTION('',(0.,0.,1.)); #366394=DIRECTION('center_axis',(0.842351514327689,-0.538928498327793,0.)); #366395=DIRECTION('ref_axis',(0.538928498327792,0.842351514327689,0.)); #366396=DIRECTION('',(0.538928498327792,0.842351514327689,0.)); #366397=DIRECTION('',(0.538928498327792,0.842351514327689,0.)); #366398=DIRECTION('',(0.,0.,1.)); #366399=DIRECTION('center_axis',(0.565473758099733,-0.824766287441821,0.)); #366400=DIRECTION('ref_axis',(0.824766287441821,0.565473758099733,0.)); #366401=DIRECTION('',(0.824766287441821,0.565473758099733,0.)); #366402=DIRECTION('',(0.824766287441821,0.565473758099733,0.)); #366403=DIRECTION('',(0.,0.,1.)); #366404=DIRECTION('center_axis',(0.199010641789396,-0.979997328799713,0.)); #366405=DIRECTION('ref_axis',(0.979997328799713,0.199010641789396,0.)); #366406=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #366407=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #366408=DIRECTION('',(0.,0.,1.)); #366409=DIRECTION('center_axis',(0.,-1.,0.)); #366410=DIRECTION('ref_axis',(1.,0.,0.)); #366411=DIRECTION('',(1.,0.,0.)); #366412=DIRECTION('',(1.,0.,0.)); #366413=DIRECTION('',(0.,0.,1.)); #366414=DIRECTION('center_axis',(0.140944937191896,-0.990017436553505,0.)); #366415=DIRECTION('ref_axis',(0.990017436553505,0.140944937191896,0.)); #366416=DIRECTION('',(0.990017436553505,0.140944937191896,0.)); #366417=DIRECTION('',(0.990017436553505,0.140944937191896,0.)); #366418=DIRECTION('',(0.,0.,1.)); #366419=DIRECTION('center_axis',(0.613211584811249,-0.789918699774398,0.)); #366420=DIRECTION('ref_axis',(0.789918699774398,0.613211584811249,0.)); #366421=DIRECTION('',(0.789918699774398,0.613211584811249,0.)); #366422=DIRECTION('',(0.789918699774398,0.613211584811249,0.)); #366423=DIRECTION('',(0.,0.,1.)); #366424=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #366425=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #366426=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #366427=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #366428=DIRECTION('',(0.,0.,1.)); #366429=DIRECTION('center_axis',(0.799763100782771,-0.600315735781037,0.)); #366430=DIRECTION('ref_axis',(0.600315735781037,0.799763100782771,0.)); #366431=DIRECTION('',(0.600315735781037,0.799763100782771,0.)); #366432=DIRECTION('',(0.600315735781037,0.799763100782771,0.)); #366433=DIRECTION('',(0.,0.,1.)); #366434=DIRECTION('center_axis',(0.992121345390267,-0.125280629073318,0.)); #366435=DIRECTION('ref_axis',(0.125280629073318,0.992121345390267,0.)); #366436=DIRECTION('',(0.125280629073318,0.992121345390267,0.)); #366437=DIRECTION('',(0.125280629073318,0.992121345390267,0.)); #366438=DIRECTION('',(0.,0.,1.)); #366439=DIRECTION('center_axis',(1.,0.,0.)); #366440=DIRECTION('ref_axis',(0.,1.,0.)); #366441=DIRECTION('',(0.,1.,0.)); #366442=DIRECTION('',(0.,1.,0.)); #366443=DIRECTION('',(0.,0.,1.)); #366444=DIRECTION('center_axis',(0.981552099144143,-0.191194865688719,0.)); #366445=DIRECTION('ref_axis',(0.191194865688719,0.981552099144143,0.)); #366446=DIRECTION('',(0.191194865688719,0.981552099144143,0.)); #366447=DIRECTION('',(0.191194865688719,0.981552099144143,0.)); #366448=DIRECTION('',(0.,0.,1.)); #366449=DIRECTION('center_axis',(0.833699290017759,-0.55221870108127,0.)); #366450=DIRECTION('ref_axis',(0.55221870108127,0.833699290017759,0.)); #366451=DIRECTION('',(0.55221870108127,0.833699290017759,0.)); #366452=DIRECTION('',(0.55221870108127,0.833699290017759,0.)); #366453=DIRECTION('',(0.,0.,1.)); #366454=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #366455=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #366456=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #366457=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #366458=DIRECTION('',(0.,0.,1.)); #366459=DIRECTION('center_axis',(0.558856232804919,-0.829264560351577,0.)); #366460=DIRECTION('ref_axis',(0.829264560351577,0.558856232804919,0.)); #366461=DIRECTION('',(0.829264560351577,0.558856232804919,0.)); #366462=DIRECTION('',(0.829264560351577,0.558856232804919,0.)); #366463=DIRECTION('',(0.,0.,1.)); #366464=DIRECTION('center_axis',(0.199010641789396,-0.979997328799713,0.)); #366465=DIRECTION('ref_axis',(0.979997328799713,0.199010641789396,0.)); #366466=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #366467=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #366468=DIRECTION('',(0.,0.,1.)); #366469=DIRECTION('center_axis',(0.,-1.,0.)); #366470=DIRECTION('ref_axis',(1.,0.,0.)); #366471=DIRECTION('',(1.,0.,0.)); #366472=DIRECTION('',(1.,0.,0.)); #366473=DIRECTION('',(0.,0.,1.)); #366474=DIRECTION('center_axis',(0.156679421363592,-0.987649512185963,0.)); #366475=DIRECTION('ref_axis',(0.987649512185963,0.156679421363592,0.)); #366476=DIRECTION('',(0.987649512185963,0.156679421363592,0.)); #366477=DIRECTION('',(0.987649512185963,0.156679421363592,0.)); #366478=DIRECTION('',(0.,0.,1.)); #366479=DIRECTION('center_axis',(0.992101446215994,-0.12543811388941,0.)); #366480=DIRECTION('ref_axis',(0.12543811388941,0.992101446215994,0.)); #366481=DIRECTION('',(0.12543811388941,0.992101446215994,0.)); #366482=DIRECTION('',(0.12543811388941,0.992101446215994,0.)); #366483=DIRECTION('',(0.,0.,1.)); #366484=DIRECTION('center_axis',(1.,0.,0.)); #366485=DIRECTION('ref_axis',(0.,1.,0.)); #366486=DIRECTION('',(0.,1.,0.)); #366487=DIRECTION('',(0.,1.,0.)); #366488=DIRECTION('',(0.,0.,1.)); #366489=DIRECTION('center_axis',(0.,1.,0.)); #366490=DIRECTION('ref_axis',(-1.,0.,0.)); #366491=DIRECTION('',(-1.,0.,0.)); #366492=DIRECTION('',(-1.,0.,0.)); #366493=DIRECTION('',(0.,0.,1.)); #366494=DIRECTION('center_axis',(0.,0.,1.)); #366495=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #366496=DIRECTION('center_axis',(0.,0.,1.)); #366497=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #366498=DIRECTION('center_axis',(0.,0.,1.)); #366499=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #366500=DIRECTION('',(0.,0.,1.)); #366501=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #366502=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #366503=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #366504=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #366505=DIRECTION('',(0.,0.,1.)); #366506=DIRECTION('center_axis',(0.,0.,1.)); #366507=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #366508=DIRECTION('center_axis',(0.,0.,1.)); #366509=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #366510=DIRECTION('center_axis',(0.,0.,1.)); #366511=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #366512=DIRECTION('',(0.,0.,1.)); #366513=DIRECTION('center_axis',(1.,0.,0.)); #366514=DIRECTION('ref_axis',(0.,1.,0.)); #366515=DIRECTION('',(0.,1.,0.)); #366516=DIRECTION('',(0.,1.,0.)); #366517=DIRECTION('',(0.,0.,1.)); #366518=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #366519=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #366520=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #366521=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #366522=DIRECTION('',(0.,0.,1.)); #366523=DIRECTION('center_axis',(0.,1.,0.)); #366524=DIRECTION('ref_axis',(-1.,0.,0.)); #366525=DIRECTION('',(-1.,0.,0.)); #366526=DIRECTION('',(-1.,0.,0.)); #366527=DIRECTION('',(0.,0.,1.)); #366528=DIRECTION('center_axis',(-1.,0.,0.)); #366529=DIRECTION('ref_axis',(0.,-1.,0.)); #366530=DIRECTION('',(0.,-1.,0.)); #366531=DIRECTION('',(0.,-1.,0.)); #366532=DIRECTION('',(0.,0.,1.)); #366533=DIRECTION('center_axis',(0.,1.,0.)); #366534=DIRECTION('ref_axis',(-1.,0.,0.)); #366535=DIRECTION('',(-1.,0.,0.)); #366536=DIRECTION('',(-1.,0.,0.)); #366537=DIRECTION('center_axis',(0.,0.,1.)); #366538=DIRECTION('ref_axis',(1.,0.,0.)); #366539=DIRECTION('center_axis',(0.,0.,1.)); #366540=DIRECTION('ref_axis',(1.,0.,0.)); #366541=DIRECTION('center_axis',(0.,0.,1.)); #366542=DIRECTION('ref_axis',(1.,0.,0.)); #366543=DIRECTION('center_axis',(0.,0.,1.)); #366544=DIRECTION('ref_axis',(1.,0.,0.)); #366545=DIRECTION('',(0.,0.,1.)); #366546=DIRECTION('center_axis',(0.,0.,-1.)); #366547=DIRECTION('ref_axis',(1.,0.,0.)); #366548=DIRECTION('center_axis',(0.0980028398661646,-0.995186135041162, 0.)); #366549=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661646,0.)); #366550=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #366551=DIRECTION('',(0.,0.,1.)); #366552=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #366553=DIRECTION('',(0.,0.,1.)); #366554=DIRECTION('center_axis',(0.,0.,1.)); #366555=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #366556=DIRECTION('center_axis',(0.,0.,1.)); #366557=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #366558=DIRECTION('center_axis',(0.,0.,1.)); #366559=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #366560=DIRECTION('',(0.,0.,1.)); #366561=DIRECTION('center_axis',(0.,0.,1.)); #366562=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #366563=DIRECTION('center_axis',(0.,0.,1.)); #366564=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #366565=DIRECTION('center_axis',(0.,0.,1.)); #366566=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #366567=DIRECTION('center_axis',(0.,0.,1.)); #366568=DIRECTION('ref_axis',(1.,0.,0.)); #366569=DIRECTION('center_axis',(0.,0.,1.)); #366570=DIRECTION('ref_axis',(1.,0.,0.)); #366571=DIRECTION('center_axis',(0.214642857802347,-0.976692604453644,0.)); #366572=DIRECTION('ref_axis',(0.976692604453643,0.214642857802347,0.)); #366573=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #366574=DIRECTION('',(0.,0.,1.)); #366575=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #366576=DIRECTION('',(0.,0.,1.)); #366577=DIRECTION('center_axis',(-0.183302952703531,-0.983056472197893, 0.)); #366578=DIRECTION('ref_axis',(0.983056472197893,-0.183302952703531,0.)); #366579=DIRECTION('',(0.983056472197893,-0.183302952703531,0.)); #366580=DIRECTION('',(0.983056472197893,-0.183302952703531,0.)); #366581=DIRECTION('',(0.,0.,1.)); #366582=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #366583=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #366584=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #366585=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #366586=DIRECTION('',(0.,0.,1.)); #366587=DIRECTION('center_axis',(-0.707106781186545,-0.70710678118655,0.)); #366588=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,0.)); #366589=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #366590=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #366591=DIRECTION('',(0.,0.,1.)); #366592=DIRECTION('center_axis',(-0.820212632122783,-0.572058771549057, 0.)); #366593=DIRECTION('ref_axis',(0.572058771549057,-0.820212632122783,0.)); #366594=DIRECTION('',(0.572058771549057,-0.820212632122783,0.)); #366595=DIRECTION('',(0.572058771549057,-0.820212632122783,0.)); #366596=DIRECTION('',(0.,0.,1.)); #366597=DIRECTION('center_axis',(-0.973151456886365,-0.230165683714894, 0.)); #366598=DIRECTION('ref_axis',(0.230165683714894,-0.973151456886365,0.)); #366599=DIRECTION('',(0.230165683714894,-0.973151456886365,0.)); #366600=DIRECTION('',(0.230165683714894,-0.973151456886365,0.)); #366601=DIRECTION('',(0.,0.,1.)); #366602=DIRECTION('center_axis',(-0.985847618607389,0.167643887112353,0.)); #366603=DIRECTION('ref_axis',(-0.167643887112353,-0.985847618607389,0.)); #366604=DIRECTION('',(-0.167643887112353,-0.985847618607389,0.)); #366605=DIRECTION('',(-0.167643887112353,-0.985847618607389,0.)); #366606=DIRECTION('',(0.,0.,1.)); #366607=DIRECTION('center_axis',(-0.842362410729263,0.538911466746055,0.)); #366608=DIRECTION('ref_axis',(-0.538911466746055,-0.842362410729263,0.)); #366609=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #366610=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #366611=DIRECTION('',(0.,0.,1.)); #366612=DIRECTION('center_axis',(-0.565504004715031,0.82474554903392,0.)); #366613=DIRECTION('ref_axis',(-0.82474554903392,-0.565504004715031,0.)); #366614=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #366615=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #366616=DIRECTION('',(0.,0.,1.)); #366617=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #366618=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #366619=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #366620=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #366621=DIRECTION('',(0.,0.,1.)); #366622=DIRECTION('center_axis',(0.,1.,0.)); #366623=DIRECTION('ref_axis',(-1.,0.,0.)); #366624=DIRECTION('',(-1.,0.,0.)); #366625=DIRECTION('',(-1.,0.,0.)); #366626=DIRECTION('',(0.,0.,1.)); #366627=DIRECTION('center_axis',(0.191149856928945,0.981560865252911,0.)); #366628=DIRECTION('ref_axis',(-0.981560865252911,0.191149856928945,0.)); #366629=DIRECTION('',(-0.981560865252911,0.191149856928945,0.)); #366630=DIRECTION('',(-0.981560865252911,0.191149856928945,0.)); #366631=DIRECTION('',(0.,0.,1.)); #366632=DIRECTION('center_axis',(0.552278225391724,0.833659859749867,0.)); #366633=DIRECTION('ref_axis',(-0.833659859749867,0.552278225391724,0.)); #366634=DIRECTION('',(-0.833659859749867,0.552278225391724,0.)); #366635=DIRECTION('',(-0.833659859749867,0.552278225391724,0.)); #366636=DIRECTION('',(0.,0.,1.)); #366637=DIRECTION('center_axis',(0.707106781186569,0.707106781186526,0.)); #366638=DIRECTION('ref_axis',(-0.707106781186526,0.707106781186569,0.)); #366639=DIRECTION('',(-0.707106781186526,0.707106781186569,0.)); #366640=DIRECTION('',(-0.707106781186526,0.707106781186569,0.)); #366641=DIRECTION('',(0.,0.,1.)); #366642=DIRECTION('center_axis',(0.829203833807246,0.55894633194911,0.)); #366643=DIRECTION('ref_axis',(-0.55894633194911,0.829203833807246,0.)); #366644=DIRECTION('',(-0.55894633194911,0.829203833807246,0.)); #366645=DIRECTION('',(-0.55894633194911,0.829203833807246,0.)); #366646=DIRECTION('',(0.,0.,1.)); #366647=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #366648=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #366649=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #366650=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #366651=DIRECTION('',(0.,0.,1.)); #366652=DIRECTION('center_axis',(1.,0.,0.)); #366653=DIRECTION('ref_axis',(0.,1.,0.)); #366654=DIRECTION('',(0.,1.,0.)); #366655=DIRECTION('',(0.,1.,0.)); #366656=DIRECTION('',(0.,0.,1.)); #366657=DIRECTION('center_axis',(0.984479063846087,-0.175502059386013,0.)); #366658=DIRECTION('ref_axis',(0.175502059386013,0.984479063846087,0.)); #366659=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #366660=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #366661=DIRECTION('',(0.,0.,1.)); #366662=DIRECTION('center_axis',(0.850871695642093,-0.525373540973609,0.)); #366663=DIRECTION('ref_axis',(0.525373540973609,0.850871695642093,0.)); #366664=DIRECTION('',(0.525373540973609,0.850871695642093,0.)); #366665=DIRECTION('',(0.525373540973609,0.850871695642093,0.)); #366666=DIRECTION('',(0.,0.,1.)); #366667=DIRECTION('center_axis',(0.578483828224723,-0.81569385217891,0.)); #366668=DIRECTION('ref_axis',(0.81569385217891,0.578483828224723,0.)); #366669=DIRECTION('',(0.81569385217891,0.578483828224723,0.)); #366670=DIRECTION('',(0.81569385217891,0.578483828224723,0.)); #366671=DIRECTION('center_axis',(0.,0.,1.)); #366672=DIRECTION('ref_axis',(1.,0.,0.)); #366673=DIRECTION('center_axis',(0.,0.,1.)); #366674=DIRECTION('ref_axis',(1.,0.,0.)); #366675=DIRECTION('center_axis',(0.214642857802207,-0.976692604453674,0.)); #366676=DIRECTION('ref_axis',(0.976692604453674,0.214642857802207,0.)); #366677=DIRECTION('',(0.976692604453674,0.214642857802207,0.)); #366678=DIRECTION('',(0.,0.,1.)); #366679=DIRECTION('',(0.976692604453674,0.214642857802207,0.)); #366680=DIRECTION('',(0.,0.,1.)); #366681=DIRECTION('center_axis',(-0.183302952703363,-0.983056472197924, 0.)); #366682=DIRECTION('ref_axis',(0.983056472197924,-0.183302952703363,0.)); #366683=DIRECTION('',(0.983056472197924,-0.183302952703363,0.)); #366684=DIRECTION('',(0.983056472197924,-0.183302952703363,0.)); #366685=DIRECTION('',(0.,0.,1.)); #366686=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #366687=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #366688=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #366689=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #366690=DIRECTION('',(0.,0.,1.)); #366691=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #366692=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #366693=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #366694=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #366695=DIRECTION('',(0.,0.,1.)); #366696=DIRECTION('center_axis',(-0.829214556504256,-0.558930424365547, 0.)); #366697=DIRECTION('ref_axis',(0.558930424365547,-0.829214556504256,0.)); #366698=DIRECTION('',(0.558930424365547,-0.829214556504256,0.)); #366699=DIRECTION('',(0.558930424365547,-0.829214556504256,0.)); #366700=DIRECTION('',(0.,0.,1.)); #366701=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #366702=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #366703=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #366704=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #366705=DIRECTION('',(0.,0.,1.)); #366706=DIRECTION('center_axis',(-1.,0.,0.)); #366707=DIRECTION('ref_axis',(0.,-1.,0.)); #366708=DIRECTION('',(0.,-1.,0.)); #366709=DIRECTION('',(0.,-1.,0.)); #366710=DIRECTION('',(0.,0.,1.)); #366711=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #366712=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #366713=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #366714=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #366715=DIRECTION('',(0.,0.,1.)); #366716=DIRECTION('center_axis',(-0.833659859749822,0.552278225391792,0.)); #366717=DIRECTION('ref_axis',(-0.552278225391792,-0.833659859749822,0.)); #366718=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #366719=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #366720=DIRECTION('',(0.,0.,1.)); #366721=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #366722=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #366723=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #366724=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #366725=DIRECTION('',(0.,0.,1.)); #366726=DIRECTION('center_axis',(-0.56543933110129,0.824789890119736,0.)); #366727=DIRECTION('ref_axis',(-0.824789890119736,-0.56543933110129,0.)); #366728=DIRECTION('',(-0.824789890119736,-0.56543933110129,0.)); #366729=DIRECTION('',(-0.824789890119736,-0.56543933110129,0.)); #366730=DIRECTION('',(0.,0.,1.)); #366731=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #366732=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #366733=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #366734=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #366735=DIRECTION('',(0.,0.,1.)); #366736=DIRECTION('center_axis',(0.183334818573646,0.98305052988062,0.)); #366737=DIRECTION('ref_axis',(-0.98305052988062,0.183334818573646,0.)); #366738=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #366739=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #366740=DIRECTION('',(0.,0.,1.)); #366741=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #366742=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #366743=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #366744=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #366745=DIRECTION('',(0.,0.,1.)); #366746=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #366747=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #366748=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #366749=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #366750=DIRECTION('',(0.,0.,1.)); #366751=DIRECTION('center_axis',(0.829203833807412,0.558946331948864,0.)); #366752=DIRECTION('ref_axis',(-0.558946331948864,0.829203833807412,0.)); #366753=DIRECTION('',(-0.558946331948864,0.829203833807412,0.)); #366754=DIRECTION('',(-0.558946331948864,0.829203833807412,0.)); #366755=DIRECTION('',(0.,0.,1.)); #366756=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #366757=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #366758=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #366759=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #366760=DIRECTION('',(0.,0.,1.)); #366761=DIRECTION('center_axis',(1.,0.,0.)); #366762=DIRECTION('ref_axis',(0.,1.,0.)); #366763=DIRECTION('',(0.,1.,0.)); #366764=DIRECTION('',(0.,1.,0.)); #366765=DIRECTION('',(0.,0.,1.)); #366766=DIRECTION('center_axis',(0.984480215387564,-0.175495599689726,0.)); #366767=DIRECTION('ref_axis',(0.175495599689726,0.984480215387564,0.)); #366768=DIRECTION('',(0.175495599689726,0.984480215387564,0.)); #366769=DIRECTION('',(0.175495599689726,0.984480215387564,0.)); #366770=DIRECTION('',(0.,0.,1.)); #366771=DIRECTION('center_axis',(0.850871695642159,-0.525373540973504,0.)); #366772=DIRECTION('ref_axis',(0.525373540973504,0.850871695642159,0.)); #366773=DIRECTION('',(0.525373540973504,0.850871695642159,0.)); #366774=DIRECTION('',(0.525373540973504,0.850871695642159,0.)); #366775=DIRECTION('',(0.,0.,1.)); #366776=DIRECTION('center_axis',(0.578483828224751,-0.81569385217889,0.)); #366777=DIRECTION('ref_axis',(0.81569385217889,0.578483828224751,0.)); #366778=DIRECTION('',(0.81569385217889,0.578483828224751,0.)); #366779=DIRECTION('',(0.81569385217889,0.578483828224751,0.)); #366780=DIRECTION('center_axis',(0.,0.,1.)); #366781=DIRECTION('ref_axis',(1.,0.,0.)); #366782=DIRECTION('center_axis',(0.,0.,1.)); #366783=DIRECTION('ref_axis',(1.,0.,0.)); #366784=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #366785=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #366786=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #366787=DIRECTION('',(0.,0.,1.)); #366788=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #366789=DIRECTION('',(0.,0.,1.)); #366790=DIRECTION('center_axis',(0.,-1.,0.)); #366791=DIRECTION('ref_axis',(1.,0.,0.)); #366792=DIRECTION('',(1.,0.,0.)); #366793=DIRECTION('',(1.,0.,0.)); #366794=DIRECTION('',(0.,0.,1.)); #366795=DIRECTION('center_axis',(-0.191149856928945,-0.981560865252911, 0.)); #366796=DIRECTION('ref_axis',(0.981560865252911,-0.191149856928945,0.)); #366797=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #366798=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #366799=DIRECTION('',(0.,0.,1.)); #366800=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #366801=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #366802=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #366803=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #366804=DIRECTION('',(0.,0.,1.)); #366805=DIRECTION('center_axis',(-0.707106781186536,-0.707106781186559, 0.)); #366806=DIRECTION('ref_axis',(0.707106781186559,-0.707106781186536,0.)); #366807=DIRECTION('',(0.707106781186559,-0.707106781186536,0.)); #366808=DIRECTION('',(0.707106781186559,-0.707106781186536,0.)); #366809=DIRECTION('',(0.,0.,1.)); #366810=DIRECTION('center_axis',(-0.820212632122596,-0.572058771549325, 0.)); #366811=DIRECTION('ref_axis',(0.572058771549325,-0.820212632122596,0.)); #366812=DIRECTION('',(0.572058771549325,-0.820212632122596,0.)); #366813=DIRECTION('',(0.572058771549325,-0.820212632122596,0.)); #366814=DIRECTION('',(0.,0.,1.)); #366815=DIRECTION('center_axis',(-0.97315145688639,-0.230165683714788,0.)); #366816=DIRECTION('ref_axis',(0.230165683714788,-0.97315145688639,0.)); #366817=DIRECTION('',(0.230165683714788,-0.97315145688639,0.)); #366818=DIRECTION('',(0.230165683714788,-0.97315145688639,0.)); #366819=DIRECTION('',(0.,0.,1.)); #366820=DIRECTION('center_axis',(-0.985847618607373,0.167643887112447,0.)); #366821=DIRECTION('ref_axis',(-0.167643887112447,-0.985847618607373,0.)); #366822=DIRECTION('',(-0.167643887112447,-0.985847618607373,0.)); #366823=DIRECTION('',(-0.167643887112447,-0.985847618607373,0.)); #366824=DIRECTION('',(0.,0.,1.)); #366825=DIRECTION('center_axis',(-0.842362410729287,0.538911466746018,0.)); #366826=DIRECTION('ref_axis',(-0.538911466746018,-0.842362410729287,0.)); #366827=DIRECTION('',(-0.538911466746018,-0.842362410729287,0.)); #366828=DIRECTION('',(-0.538911466746018,-0.842362410729287,0.)); #366829=DIRECTION('',(0.,0.,1.)); #366830=DIRECTION('center_axis',(-0.565504004715031,0.82474554903392,0.)); #366831=DIRECTION('ref_axis',(-0.82474554903392,-0.565504004715031,0.)); #366832=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #366833=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #366834=DIRECTION('',(0.,0.,1.)); #366835=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #366836=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #366837=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #366838=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #366839=DIRECTION('',(0.,0.,1.)); #366840=DIRECTION('center_axis',(0.,1.,0.)); #366841=DIRECTION('ref_axis',(-1.,0.,0.)); #366842=DIRECTION('',(-1.,0.,0.)); #366843=DIRECTION('',(-1.,0.,0.)); #366844=DIRECTION('',(0.,0.,1.)); #366845=DIRECTION('center_axis',(0.191149856928917,0.981560865252917,0.)); #366846=DIRECTION('ref_axis',(-0.981560865252917,0.191149856928917,0.)); #366847=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #366848=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #366849=DIRECTION('',(0.,0.,1.)); #366850=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #366851=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #366852=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #366853=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #366854=DIRECTION('',(0.,0.,1.)); #366855=DIRECTION('center_axis',(0.707106781186559,0.707106781186536,0.)); #366856=DIRECTION('ref_axis',(-0.707106781186536,0.707106781186559,0.)); #366857=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #366858=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #366859=DIRECTION('',(0.,0.,1.)); #366860=DIRECTION('center_axis',(0.820212632122518,0.572058771549436,0.)); #366861=DIRECTION('ref_axis',(-0.572058771549436,0.820212632122518,0.)); #366862=DIRECTION('',(-0.572058771549436,0.820212632122518,0.)); #366863=DIRECTION('',(-0.572058771549436,0.820212632122518,0.)); #366864=DIRECTION('',(0.,0.,1.)); #366865=DIRECTION('center_axis',(0.973144071552987,0.230196907019351,0.)); #366866=DIRECTION('ref_axis',(-0.230196907019351,0.973144071552987,0.)); #366867=DIRECTION('',(-0.230196907019351,0.973144071552987,0.)); #366868=DIRECTION('',(-0.230196907019351,0.973144071552987,0.)); #366869=DIRECTION('',(0.,0.,1.)); #366870=DIRECTION('center_axis',(0.985842168327529,-0.167675934908011,0.)); #366871=DIRECTION('ref_axis',(0.167675934908011,0.985842168327529,0.)); #366872=DIRECTION('',(0.167675934908011,0.985842168327529,0.)); #366873=DIRECTION('',(0.167675934908011,0.985842168327529,0.)); #366874=DIRECTION('',(0.,0.,1.)); #366875=DIRECTION('center_axis',(0.842377379073538,-0.538888069291942,0.)); #366876=DIRECTION('ref_axis',(0.538888069291942,0.842377379073538,0.)); #366877=DIRECTION('',(0.538888069291942,0.842377379073538,0.)); #366878=DIRECTION('',(0.538888069291942,0.842377379073538,0.)); #366879=DIRECTION('',(0.,0.,1.)); #366880=DIRECTION('center_axis',(0.565488626065756,-0.824756093515085,0.)); #366881=DIRECTION('ref_axis',(0.824756093515085,0.565488626065756,0.)); #366882=DIRECTION('',(0.824756093515085,0.565488626065756,0.)); #366883=DIRECTION('',(0.824756093515085,0.565488626065756,0.)); #366884=DIRECTION('center_axis',(0.,0.,1.)); #366885=DIRECTION('ref_axis',(1.,0.,0.)); #366886=DIRECTION('center_axis',(0.,0.,1.)); #366887=DIRECTION('ref_axis',(1.,0.,0.)); #366888=DIRECTION('center_axis',(0.230165683714756,-0.973151456886398,0.)); #366889=DIRECTION('ref_axis',(0.973151456886398,0.230165683714756,0.)); #366890=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #366891=DIRECTION('',(0.,0.,1.)); #366892=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #366893=DIRECTION('',(0.,0.,1.)); #366894=DIRECTION('center_axis',(-0.167675934907869,-0.985842168327553, 0.)); #366895=DIRECTION('ref_axis',(0.985842168327553,-0.167675934907869,0.)); #366896=DIRECTION('',(0.985842168327553,-0.167675934907869,0.)); #366897=DIRECTION('',(0.985842168327553,-0.167675934907869,0.)); #366898=DIRECTION('',(0.,0.,1.)); #366899=DIRECTION('center_axis',(-0.538888069292009,-0.842377379073495, 0.)); #366900=DIRECTION('ref_axis',(0.842377379073495,-0.538888069292009,0.)); #366901=DIRECTION('',(0.842377379073495,-0.538888069292009,0.)); #366902=DIRECTION('',(0.842377379073495,-0.538888069292009,0.)); #366903=DIRECTION('',(0.,0.,1.)); #366904=DIRECTION('center_axis',(-0.824756093514948,-0.565488626065955, 0.)); #366905=DIRECTION('ref_axis',(0.565488626065955,-0.824756093514948,0.)); #366906=DIRECTION('',(0.565488626065955,-0.824756093514948,0.)); #366907=DIRECTION('',(0.565488626065955,-0.824756093514948,0.)); #366908=DIRECTION('',(0.,0.,1.)); #366909=DIRECTION('center_axis',(-0.980008419561376,-0.19895601923243,0.)); #366910=DIRECTION('ref_axis',(0.19895601923243,-0.980008419561375,0.)); #366911=DIRECTION('',(0.19895601923243,-0.980008419561375,0.)); #366912=DIRECTION('',(0.19895601923243,-0.980008419561375,0.)); #366913=DIRECTION('',(0.,0.,1.)); #366914=DIRECTION('center_axis',(-1.,0.,0.)); #366915=DIRECTION('ref_axis',(0.,-1.,0.)); #366916=DIRECTION('',(0.,-1.,0.)); #366917=DIRECTION('',(0.,-1.,0.)); #366918=DIRECTION('',(0.,0.,1.)); #366919=DIRECTION('center_axis',(-0.981560865252974,0.191149856928623,0.)); #366920=DIRECTION('ref_axis',(-0.191149856928623,-0.981560865252974,0.)); #366921=DIRECTION('',(-0.191149856928623,-0.981560865252974,0.)); #366922=DIRECTION('',(-0.191149856928623,-0.981560865252974,0.)); #366923=DIRECTION('',(0.,0.,1.)); #366924=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #366925=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #366926=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #366927=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #366928=DIRECTION('',(0.,0.,1.)); #366929=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #366930=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #366931=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #366932=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #366933=DIRECTION('',(0.,0.,1.)); #366934=DIRECTION('center_axis',(-0.565439331101364,0.824789890119685,0.)); #366935=DIRECTION('ref_axis',(-0.824789890119685,-0.565439331101364,0.)); #366936=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #366937=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #366938=DIRECTION('',(0.,0.,1.)); #366939=DIRECTION('center_axis',(-0.214674314516549,0.976685690837564,0.)); #366940=DIRECTION('ref_axis',(-0.976685690837564,-0.214674314516549,0.)); #366941=DIRECTION('',(-0.976685690837564,-0.214674314516549,0.)); #366942=DIRECTION('',(-0.976685690837564,-0.214674314516549,0.)); #366943=DIRECTION('',(0.,0.,1.)); #366944=DIRECTION('center_axis',(0.183334818573699,0.98305052988061,0.)); #366945=DIRECTION('ref_axis',(-0.98305052988061,0.183334818573699,0.)); #366946=DIRECTION('',(-0.98305052988061,0.183334818573699,0.)); #366947=DIRECTION('',(-0.98305052988061,0.183334818573699,0.)); #366948=DIRECTION('',(0.,0.,1.)); #366949=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #366950=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #366951=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #366952=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #366953=DIRECTION('',(0.,0.,1.)); #366954=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #366955=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #366956=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #366957=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #366958=DIRECTION('',(0.,0.,1.)); #366959=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #366960=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #366961=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #366962=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #366963=DIRECTION('',(0.,0.,1.)); #366964=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #366965=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #366966=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #366967=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #366968=DIRECTION('',(0.,0.,1.)); #366969=DIRECTION('center_axis',(1.,0.,0.)); #366970=DIRECTION('ref_axis',(0.,1.,0.)); #366971=DIRECTION('',(0.,1.,0.)); #366972=DIRECTION('',(0.,1.,0.)); #366973=DIRECTION('',(0.,0.,1.)); #366974=DIRECTION('center_axis',(0.981560865252911,-0.191149856928945,0.)); #366975=DIRECTION('ref_axis',(0.191149856928945,0.981560865252911,0.)); #366976=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #366977=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #366978=DIRECTION('',(0.,0.,1.)); #366979=DIRECTION('center_axis',(0.833669916115057,-0.552263045083332,0.)); #366980=DIRECTION('ref_axis',(0.552263045083332,0.833669916115057,0.)); #366981=DIRECTION('',(0.552263045083332,0.833669916115057,0.)); #366982=DIRECTION('',(0.552263045083332,0.833669916115057,0.)); #366983=DIRECTION('',(0.,0.,1.)); #366984=DIRECTION('center_axis',(0.707106781186559,-0.707106781186536,0.)); #366985=DIRECTION('ref_axis',(0.707106781186536,0.707106781186559,0.)); #366986=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #366987=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #366988=DIRECTION('',(0.,0.,1.)); #366989=DIRECTION('center_axis',(0.572058771549325,-0.820212632122596,0.)); #366990=DIRECTION('ref_axis',(0.820212632122596,0.572058771549325,0.)); #366991=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #366992=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #366993=DIRECTION('center_axis',(0.,0.,1.)); #366994=DIRECTION('ref_axis',(1.,0.,0.)); #366995=DIRECTION('center_axis',(0.,0.,1.)); #366996=DIRECTION('ref_axis',(1.,0.,0.)); #366997=DIRECTION('center_axis',(0.,0.,1.)); #366998=DIRECTION('ref_axis',(1.,0.,0.)); #366999=DIRECTION('center_axis',(0.,0.,1.)); #367000=DIRECTION('ref_axis',(1.,0.,0.)); #367001=DIRECTION('',(0.,0.,1.)); #367002=DIRECTION('center_axis',(0.,0.,-1.)); #367003=DIRECTION('ref_axis',(1.,0.,0.)); #367004=DIRECTION('center_axis',(0.,0.,1.)); #367005=DIRECTION('ref_axis',(1.,0.,0.)); #367006=DIRECTION('center_axis',(0.,0.,1.)); #367007=DIRECTION('ref_axis',(1.,0.,0.)); #367008=DIRECTION('',(0.,0.,1.)); #367009=DIRECTION('center_axis',(0.,0.,-1.)); #367010=DIRECTION('ref_axis',(1.,0.,0.)); #367011=DIRECTION('center_axis',(0.,0.,1.)); #367012=DIRECTION('ref_axis',(1.,0.,0.)); #367013=DIRECTION('center_axis',(0.,0.,1.)); #367014=DIRECTION('ref_axis',(1.,0.,0.)); #367015=DIRECTION('',(0.,0.,1.)); #367016=DIRECTION('center_axis',(0.,0.,-1.)); #367017=DIRECTION('ref_axis',(1.,0.,0.)); #367018=DIRECTION('center_axis',(0.198956019232542,-0.980008419561353,0.)); #367019=DIRECTION('ref_axis',(0.980008419561353,0.198956019232542,0.)); #367020=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #367021=DIRECTION('',(0.,0.,1.)); #367022=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #367023=DIRECTION('',(0.,0.,1.)); #367024=DIRECTION('center_axis',(0.,-1.,0.)); #367025=DIRECTION('ref_axis',(1.,0.,0.)); #367026=DIRECTION('',(1.,0.,0.)); #367027=DIRECTION('',(1.,0.,0.)); #367028=DIRECTION('',(0.,0.,1.)); #367029=DIRECTION('center_axis',(-0.191149856928741,-0.981560865252951, 0.)); #367030=DIRECTION('ref_axis',(0.981560865252951,-0.191149856928741,0.)); #367031=DIRECTION('',(0.981560865252951,-0.191149856928741,0.)); #367032=DIRECTION('',(0.981560865252951,-0.191149856928741,0.)); #367033=DIRECTION('',(0.,0.,1.)); #367034=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #367035=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #367036=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #367037=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #367038=DIRECTION('',(0.,0.,1.)); #367039=DIRECTION('center_axis',(-0.707104777838364,-0.707108784529055, 0.)); #367040=DIRECTION('ref_axis',(0.707108784529055,-0.707104777838364,0.)); #367041=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #367042=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #367043=DIRECTION('',(0.,0.,1.)); #367044=DIRECTION('center_axis',(0.,-1.,0.)); #367045=DIRECTION('ref_axis',(1.,0.,0.)); #367046=DIRECTION('',(1.,0.,0.)); #367047=DIRECTION('',(1.,0.,0.)); #367048=DIRECTION('',(0.,0.,1.)); #367049=DIRECTION('center_axis',(0.707087858650815,-0.707125703215917,0.)); #367050=DIRECTION('ref_axis',(0.707125703215917,0.707087858650815,0.)); #367051=DIRECTION('',(0.707125703215917,0.707087858650815,0.)); #367052=DIRECTION('',(0.707125703215917,0.707087858650815,0.)); #367053=DIRECTION('',(0.,0.,1.)); #367054=DIRECTION('center_axis',(0.572058771549057,-0.820212632122783,0.)); #367055=DIRECTION('ref_axis',(0.820212632122783,0.572058771549057,0.)); #367056=DIRECTION('',(0.820212632122783,0.572058771549057,0.)); #367057=DIRECTION('',(0.820212632122783,0.572058771549057,0.)); #367058=DIRECTION('',(0.,0.,1.)); #367059=DIRECTION('center_axis',(0.230196907019351,-0.973144071552987,0.)); #367060=DIRECTION('ref_axis',(0.973144071552987,0.230196907019351,0.)); #367061=DIRECTION('',(0.973144071552987,0.230196907019351,0.)); #367062=DIRECTION('',(0.973144071552987,0.230196907019351,0.)); #367063=DIRECTION('',(0.,0.,1.)); #367064=DIRECTION('center_axis',(-0.167675934908035,-0.985842168327525, 0.)); #367065=DIRECTION('ref_axis',(0.985842168327525,-0.167675934908035,0.)); #367066=DIRECTION('',(0.985842168327525,-0.167675934908035,0.)); #367067=DIRECTION('',(0.985842168327525,-0.167675934908035,0.)); #367068=DIRECTION('',(0.,0.,1.)); #367069=DIRECTION('center_axis',(-0.538911466745847,-0.842362410729396, 0.)); #367070=DIRECTION('ref_axis',(0.842362410729396,-0.538911466745847,0.)); #367071=DIRECTION('',(0.842362410729396,-0.538911466745847,0.)); #367072=DIRECTION('',(0.842362410729396,-0.538911466745847,0.)); #367073=DIRECTION('',(0.,0.,1.)); #367074=DIRECTION('center_axis',(-0.824745549033945,-0.565504004714994, 0.)); #367075=DIRECTION('ref_axis',(0.565504004714994,-0.824745549033945,0.)); #367076=DIRECTION('',(0.565504004714994,-0.824745549033945,0.)); #367077=DIRECTION('',(0.565504004714994,-0.824745549033945,0.)); #367078=DIRECTION('',(0.,0.,1.)); #367079=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #367080=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #367081=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #367082=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #367083=DIRECTION('',(0.,0.,1.)); #367084=DIRECTION('center_axis',(-1.,0.,0.)); #367085=DIRECTION('ref_axis',(0.,-1.,0.)); #367086=DIRECTION('',(0.,-1.,0.)); #367087=DIRECTION('',(0.,-1.,0.)); #367088=DIRECTION('',(0.,0.,1.)); #367089=DIRECTION('center_axis',(-0.98156086525294,0.191149856928798,0.)); #367090=DIRECTION('ref_axis',(-0.191149856928798,-0.98156086525294,0.)); #367091=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #367092=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #367093=DIRECTION('',(0.,0.,1.)); #367094=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #367095=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #367096=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #367097=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #367098=DIRECTION('',(0.,0.,1.)); #367099=DIRECTION('center_axis',(-0.707106781186708,0.707106781186387,0.)); #367100=DIRECTION('ref_axis',(-0.707106781186387,-0.707106781186708,0.)); #367101=DIRECTION('',(-0.707106781186387,-0.707106781186708,0.)); #367102=DIRECTION('',(-0.707106781186387,-0.707106781186708,0.)); #367103=DIRECTION('',(0.,0.,1.)); #367104=DIRECTION('center_axis',(-0.558946331948898,0.829203833807389,0.)); #367105=DIRECTION('ref_axis',(-0.829203833807389,-0.558946331948898,0.)); #367106=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #367107=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #367108=DIRECTION('',(0.,0.,1.)); #367109=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #367110=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #367111=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #367112=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #367113=DIRECTION('',(0.,0.,1.)); #367114=DIRECTION('center_axis',(0.,1.,0.)); #367115=DIRECTION('ref_axis',(-1.,0.,0.)); #367116=DIRECTION('',(-1.,0.,0.)); #367117=DIRECTION('',(-1.,0.,0.)); #367118=DIRECTION('',(0.,0.,1.)); #367119=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #367120=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #367121=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #367122=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #367123=DIRECTION('',(0.,0.,1.)); #367124=DIRECTION('center_axis',(-1.,0.,0.)); #367125=DIRECTION('ref_axis',(0.,-1.,0.)); #367126=DIRECTION('',(0.,-1.,0.)); #367127=DIRECTION('',(0.,-1.,0.)); #367128=DIRECTION('',(0.,0.,1.)); #367129=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #367130=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #367131=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #367132=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #367133=DIRECTION('',(0.,0.,1.)); #367134=DIRECTION('center_axis',(0.,-1.,0.)); #367135=DIRECTION('ref_axis',(1.,0.,0.)); #367136=DIRECTION('',(1.,0.,0.)); #367137=DIRECTION('',(1.,0.,0.)); #367138=DIRECTION('',(0.,0.,1.)); #367139=DIRECTION('center_axis',(-0.175502059386013,-0.984479063846087, 0.)); #367140=DIRECTION('ref_axis',(0.984479063846087,-0.175502059386013,0.)); #367141=DIRECTION('',(0.984479063846087,-0.175502059386013,0.)); #367142=DIRECTION('',(0.984479063846087,-0.175502059386013,0.)); #367143=DIRECTION('',(0.,0.,1.)); #367144=DIRECTION('center_axis',(-0.525373540973569,-0.850871695642118, 0.)); #367145=DIRECTION('ref_axis',(0.850871695642118,-0.525373540973569,0.)); #367146=DIRECTION('',(0.850871695642118,-0.525373540973569,0.)); #367147=DIRECTION('',(0.850871695642118,-0.525373540973569,0.)); #367148=DIRECTION('',(0.,0.,1.)); #367149=DIRECTION('center_axis',(-0.81569385217889,-0.578483828224751,0.)); #367150=DIRECTION('ref_axis',(0.578483828224751,-0.81569385217889,0.)); #367151=DIRECTION('',(0.578483828224751,-0.81569385217889,0.)); #367152=DIRECTION('',(0.578483828224751,-0.81569385217889,0.)); #367153=DIRECTION('',(0.,0.,1.)); #367154=DIRECTION('center_axis',(-0.976692604453637,-0.214642857802378, 0.)); #367155=DIRECTION('ref_axis',(0.214642857802378,-0.976692604453637,0.)); #367156=DIRECTION('',(0.214642857802378,-0.976692604453637,0.)); #367157=DIRECTION('',(0.214642857802378,-0.976692604453637,0.)); #367158=DIRECTION('',(0.,0.,1.)); #367159=DIRECTION('center_axis',(-0.983056472197929,0.183302952703337,0.)); #367160=DIRECTION('ref_axis',(-0.183302952703337,-0.983056472197929,0.)); #367161=DIRECTION('',(-0.183302952703337,-0.983056472197929,0.)); #367162=DIRECTION('',(-0.183302952703337,-0.983056472197929,0.)); #367163=DIRECTION('',(0.,0.,1.)); #367164=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #367165=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #367166=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #367167=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #367168=DIRECTION('',(0.,0.,1.)); #367169=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,0.)); #367170=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); #367171=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #367172=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #367173=DIRECTION('',(0.,0.,1.)); #367174=DIRECTION('center_axis',(-0.558946331948864,0.829203833807412,0.)); #367175=DIRECTION('ref_axis',(-0.829203833807412,-0.558946331948864,0.)); #367176=DIRECTION('',(-0.829203833807412,-0.558946331948864,0.)); #367177=DIRECTION('',(-0.829203833807412,-0.558946331948864,0.)); #367178=DIRECTION('',(0.,0.,1.)); #367179=DIRECTION('center_axis',(-0.198846786199896,0.980030589123612,0.)); #367180=DIRECTION('ref_axis',(-0.980030589123612,-0.198846786199896,0.)); #367181=DIRECTION('',(-0.980030589123612,-0.198846786199896,0.)); #367182=DIRECTION('',(-0.980030589123612,-0.198846786199896,0.)); #367183=DIRECTION('',(0.,0.,1.)); #367184=DIRECTION('center_axis',(-0.707102906342199,0.707110656009662,0.)); #367185=DIRECTION('ref_axis',(-0.707110656009662,-0.707102906342199,0.)); #367186=DIRECTION('',(-0.707110656009662,-0.707102906342199,0.)); #367187=DIRECTION('',(-0.707110656009662,-0.707102906342199,0.)); #367188=DIRECTION('',(0.,0.,1.)); #367189=DIRECTION('center_axis',(-0.565439331101256,0.824789890119759,0.)); #367190=DIRECTION('ref_axis',(-0.824789890119759,-0.565439331101256,0.)); #367191=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #367192=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #367193=DIRECTION('',(0.,0.,1.)); #367194=DIRECTION('center_axis',(-0.214667400660304,0.976687210469016,0.)); #367195=DIRECTION('ref_axis',(-0.976687210469016,-0.214667400660304,0.)); #367196=DIRECTION('',(-0.976687210469016,-0.214667400660304,0.)); #367197=DIRECTION('',(-0.976687210469016,-0.214667400660304,0.)); #367198=DIRECTION('',(0.,0.,1.)); #367199=DIRECTION('center_axis',(0.183340761560929,0.983049421519721,0.)); #367200=DIRECTION('ref_axis',(-0.983049421519721,0.183340761560929,0.)); #367201=DIRECTION('',(-0.983049421519721,0.183340761560929,0.)); #367202=DIRECTION('',(-0.983049421519721,0.183340761560929,0.)); #367203=DIRECTION('',(0.,0.,1.)); #367204=DIRECTION('center_axis',(0.552263045083061,0.833669916115236,0.)); #367205=DIRECTION('ref_axis',(-0.833669916115236,0.552263045083061,0.)); #367206=DIRECTION('',(-0.833669916115236,0.552263045083061,0.)); #367207=DIRECTION('',(-0.833669916115236,0.552263045083061,0.)); #367208=DIRECTION('',(0.,0.,1.)); #367209=DIRECTION('center_axis',(0.707106781186551,0.707106781186544,0.)); #367210=DIRECTION('ref_axis',(-0.707106781186544,0.707106781186551,0.)); #367211=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #367212=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #367213=DIRECTION('',(0.,0.,1.)); #367214=DIRECTION('center_axis',(0.829214556504162,0.558930424365686,0.)); #367215=DIRECTION('ref_axis',(-0.558930424365686,0.829214556504162,0.)); #367216=DIRECTION('',(-0.558930424365686,0.829214556504162,0.)); #367217=DIRECTION('',(-0.558930424365686,0.829214556504162,0.)); #367218=DIRECTION('',(0.,0.,1.)); #367219=DIRECTION('center_axis',(0.980008419561313,0.19895601923274,0.)); #367220=DIRECTION('ref_axis',(-0.19895601923274,0.980008419561313,0.)); #367221=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #367222=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #367223=DIRECTION('',(0.,0.,1.)); #367224=DIRECTION('center_axis',(1.,0.,0.)); #367225=DIRECTION('ref_axis',(0.,1.,0.)); #367226=DIRECTION('',(0.,1.,0.)); #367227=DIRECTION('',(0.,1.,0.)); #367228=DIRECTION('',(0.,0.,1.)); #367229=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #367230=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #367231=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #367232=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #367233=DIRECTION('',(0.,0.,1.)); #367234=DIRECTION('center_axis',(0.,1.,0.)); #367235=DIRECTION('ref_axis',(-1.,0.,0.)); #367236=DIRECTION('',(-1.,0.,0.)); #367237=DIRECTION('',(-1.,0.,0.)); #367238=DIRECTION('',(0.,0.,1.)); #367239=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #367240=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #367241=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #367242=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #367243=DIRECTION('',(0.,0.,1.)); #367244=DIRECTION('center_axis',(-1.,0.,0.)); #367245=DIRECTION('ref_axis',(0.,-1.,0.)); #367246=DIRECTION('',(0.,-1.,0.)); #367247=DIRECTION('',(0.,-1.,0.)); #367248=DIRECTION('',(0.,0.,1.)); #367249=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #367250=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #367251=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #367252=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #367253=DIRECTION('',(0.,0.,1.)); #367254=DIRECTION('center_axis',(-0.842362410729263,0.538911466746055,0.)); #367255=DIRECTION('ref_axis',(-0.538911466746055,-0.842362410729263,0.)); #367256=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #367257=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #367258=DIRECTION('',(0.,0.,1.)); #367259=DIRECTION('center_axis',(-0.565504004715099,0.824745549033873,0.)); #367260=DIRECTION('ref_axis',(-0.824745549033873,-0.565504004715099,0.)); #367261=DIRECTION('',(-0.824745549033873,-0.565504004715099,0.)); #367262=DIRECTION('',(-0.824745549033873,-0.565504004715099,0.)); #367263=DIRECTION('',(0.,0.,1.)); #367264=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #367265=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #367266=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #367267=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #367268=DIRECTION('',(0.,0.,1.)); #367269=DIRECTION('center_axis',(0.,1.,0.)); #367270=DIRECTION('ref_axis',(-1.,0.,0.)); #367271=DIRECTION('',(-1.,0.,0.)); #367272=DIRECTION('',(-1.,0.,0.)); #367273=DIRECTION('',(0.,0.,1.)); #367274=DIRECTION('center_axis',(0.191149856928974,0.981560865252906,0.)); #367275=DIRECTION('ref_axis',(-0.981560865252906,0.191149856928974,0.)); #367276=DIRECTION('',(-0.981560865252906,0.191149856928974,0.)); #367277=DIRECTION('',(-0.981560865252906,0.191149856928974,0.)); #367278=DIRECTION('',(0.,0.,1.)); #367279=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #367280=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #367281=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #367282=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #367283=DIRECTION('',(0.,0.,1.)); #367284=DIRECTION('center_axis',(0.707106781186531,0.707106781186564,0.)); #367285=DIRECTION('ref_axis',(-0.707106781186564,0.707106781186531,0.)); #367286=DIRECTION('',(-0.707106781186564,0.707106781186531,0.)); #367287=DIRECTION('',(-0.707106781186564,0.707106781186531,0.)); #367288=DIRECTION('',(0.,0.,1.)); #367289=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #367290=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #367291=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #367292=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #367293=DIRECTION('',(0.,0.,1.)); #367294=DIRECTION('center_axis',(0.980008419561381,0.198956019232402,0.)); #367295=DIRECTION('ref_axis',(-0.198956019232402,0.980008419561381,0.)); #367296=DIRECTION('',(-0.198956019232402,0.980008419561381,0.)); #367297=DIRECTION('',(-0.198956019232402,0.980008419561381,0.)); #367298=DIRECTION('',(0.,0.,1.)); #367299=DIRECTION('center_axis',(1.,0.,0.)); #367300=DIRECTION('ref_axis',(0.,1.,0.)); #367301=DIRECTION('',(0.,1.,0.)); #367302=DIRECTION('',(0.,1.,0.)); #367303=DIRECTION('',(0.,0.,1.)); #367304=DIRECTION('center_axis',(0.707106781186512,0.707106781186583,0.)); #367305=DIRECTION('ref_axis',(-0.707106781186583,0.707106781186512,0.)); #367306=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #367307=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #367308=DIRECTION('',(0.,0.,1.)); #367309=DIRECTION('center_axis',(0.,1.,0.)); #367310=DIRECTION('ref_axis',(-1.,0.,0.)); #367311=DIRECTION('',(-1.,0.,0.)); #367312=DIRECTION('',(-1.,0.,0.)); #367313=DIRECTION('',(0.,0.,1.)); #367314=DIRECTION('center_axis',(0.183305290257358,0.983056036329398,0.)); #367315=DIRECTION('ref_axis',(-0.983056036329397,0.183305290257358,0.)); #367316=DIRECTION('',(-0.983056036329397,0.183305290257358,0.)); #367317=DIRECTION('',(-0.983056036329397,0.183305290257358,0.)); #367318=DIRECTION('',(0.,0.,1.)); #367319=DIRECTION('center_axis',(0.53891146674598,0.842362410729311,0.)); #367320=DIRECTION('ref_axis',(-0.842362410729311,0.53891146674598,0.)); #367321=DIRECTION('',(-0.842362410729311,0.53891146674598,0.)); #367322=DIRECTION('',(-0.842362410729311,0.53891146674598,0.)); #367323=DIRECTION('',(0.,0.,1.)); #367324=DIRECTION('center_axis',(0.82474554903406,0.565504004714826,0.)); #367325=DIRECTION('ref_axis',(-0.565504004714826,0.82474554903406,0.)); #367326=DIRECTION('',(-0.565504004714826,0.82474554903406,0.)); #367327=DIRECTION('',(-0.565504004714826,0.82474554903406,0.)); #367328=DIRECTION('',(0.,0.,1.)); #367329=DIRECTION('center_axis',(0.980008419561313,0.19895601923274,0.)); #367330=DIRECTION('ref_axis',(-0.19895601923274,0.980008419561313,0.)); #367331=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #367332=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #367333=DIRECTION('',(0.,0.,1.)); #367334=DIRECTION('center_axis',(1.,0.,0.)); #367335=DIRECTION('ref_axis',(0.,1.,0.)); #367336=DIRECTION('',(0.,1.,0.)); #367337=DIRECTION('',(0.,1.,0.)); #367338=DIRECTION('',(0.,0.,1.)); #367339=DIRECTION('center_axis',(0.983056036329376,-0.183305290257473,0.)); #367340=DIRECTION('ref_axis',(0.183305290257473,0.983056036329376,0.)); #367341=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #367342=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #367343=DIRECTION('',(0.,0.,1.)); #367344=DIRECTION('center_axis',(0.842362410729396,-0.538911466745847,0.)); #367345=DIRECTION('ref_axis',(0.538911466745847,0.842362410729396,0.)); #367346=DIRECTION('',(0.538911466745847,0.842362410729396,0.)); #367347=DIRECTION('',(0.538911466745847,0.842362410729396,0.)); #367348=DIRECTION('',(0.,0.,1.)); #367349=DIRECTION('center_axis',(0.565504004715162,-0.82474554903383,0.)); #367350=DIRECTION('ref_axis',(0.82474554903383,0.565504004715162,0.)); #367351=DIRECTION('',(0.82474554903383,0.565504004715162,0.)); #367352=DIRECTION('',(0.82474554903383,0.565504004715162,0.)); #367353=DIRECTION('',(0.,0.,1.)); #367354=DIRECTION('center_axis',(0.198956019232402,-0.980008419561381,0.)); #367355=DIRECTION('ref_axis',(0.980008419561381,0.198956019232402,0.)); #367356=DIRECTION('',(0.980008419561381,0.198956019232402,0.)); #367357=DIRECTION('',(0.980008419561381,0.198956019232402,0.)); #367358=DIRECTION('',(0.,0.,1.)); #367359=DIRECTION('center_axis',(0.,-1.,0.)); #367360=DIRECTION('ref_axis',(1.,0.,0.)); #367361=DIRECTION('',(1.,0.,0.)); #367362=DIRECTION('',(1.,0.,0.)); #367363=DIRECTION('',(0.,0.,1.)); #367364=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #367365=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #367366=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #367367=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #367368=DIRECTION('',(0.,0.,1.)); #367369=DIRECTION('center_axis',(1.,0.,0.)); #367370=DIRECTION('ref_axis',(0.,1.,0.)); #367371=DIRECTION('',(0.,1.,0.)); #367372=DIRECTION('',(0.,1.,0.)); #367373=DIRECTION('',(0.,0.,1.)); #367374=DIRECTION('center_axis',(0.981560865252968,-0.191149856928652,0.)); #367375=DIRECTION('ref_axis',(0.191149856928652,0.981560865252968,0.)); #367376=DIRECTION('',(0.191149856928652,0.981560865252968,0.)); #367377=DIRECTION('',(0.191149856928652,0.981560865252968,0.)); #367378=DIRECTION('',(0.,0.,1.)); #367379=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #367380=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #367381=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #367382=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #367383=DIRECTION('',(0.,0.,1.)); #367384=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #367385=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #367386=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #367387=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #367388=DIRECTION('',(0.,0.,1.)); #367389=DIRECTION('center_axis',(0.558946331948969,-0.829203833807341,0.)); #367390=DIRECTION('ref_axis',(0.829203833807341,0.558946331948969,0.)); #367391=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #367392=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #367393=DIRECTION('',(0.,0.,1.)); #367394=DIRECTION('center_axis',(0.19895601923274,-0.980008419561313,0.)); #367395=DIRECTION('ref_axis',(0.980008419561313,0.19895601923274,0.)); #367396=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #367397=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #367398=DIRECTION('',(0.,0.,1.)); #367399=DIRECTION('center_axis',(0.,-1.,0.)); #367400=DIRECTION('ref_axis',(1.,0.,0.)); #367401=DIRECTION('',(1.,0.,0.)); #367402=DIRECTION('',(1.,0.,0.)); #367403=DIRECTION('',(0.,0.,1.)); #367404=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #367405=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #367406=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #367407=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #367408=DIRECTION('',(0.,0.,1.)); #367409=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #367410=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #367411=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #367412=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #367413=DIRECTION('',(0.,0.,1.)); #367414=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #367415=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #367416=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #367417=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #367418=DIRECTION('',(0.,0.,1.)); #367419=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #367420=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #367421=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #367422=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #367423=DIRECTION('',(0.,0.,1.)); #367424=DIRECTION('center_axis',(-1.,0.,0.)); #367425=DIRECTION('ref_axis',(0.,-1.,0.)); #367426=DIRECTION('',(0.,-1.,0.)); #367427=DIRECTION('',(0.,-1.,0.)); #367428=DIRECTION('',(0.,0.,1.)); #367429=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #367430=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #367431=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #367432=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #367433=DIRECTION('',(0.,0.,1.)); #367434=DIRECTION('center_axis',(0.,-1.,0.)); #367435=DIRECTION('ref_axis',(1.,0.,0.)); #367436=DIRECTION('',(1.,0.,0.)); #367437=DIRECTION('',(1.,0.,0.)); #367438=DIRECTION('',(0.,0.,1.)); #367439=DIRECTION('center_axis',(0.707106781186583,-0.707106781186512,0.)); #367440=DIRECTION('ref_axis',(0.707106781186512,0.707106781186583,0.)); #367441=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #367442=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #367443=DIRECTION('',(0.,0.,1.)); #367444=DIRECTION('center_axis',(1.,0.,0.)); #367445=DIRECTION('ref_axis',(0.,1.,0.)); #367446=DIRECTION('',(0.,1.,0.)); #367447=DIRECTION('',(0.,1.,0.)); #367448=DIRECTION('',(0.,0.,1.)); #367449=DIRECTION('center_axis',(0.981560865252911,-0.191149856928945,0.)); #367450=DIRECTION('ref_axis',(0.191149856928945,0.981560865252911,0.)); #367451=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #367452=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #367453=DIRECTION('',(0.,0.,1.)); #367454=DIRECTION('center_axis',(0.833669916115057,-0.552263045083332,0.)); #367455=DIRECTION('ref_axis',(0.552263045083332,0.833669916115057,0.)); #367456=DIRECTION('',(0.552263045083332,0.833669916115057,0.)); #367457=DIRECTION('',(0.552263045083332,0.833669916115057,0.)); #367458=DIRECTION('',(0.,0.,1.)); #367459=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #367460=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #367461=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #367462=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #367463=DIRECTION('',(0.,0.,1.)); #367464=DIRECTION('center_axis',(0.558930424365581,-0.829214556504233,0.)); #367465=DIRECTION('ref_axis',(0.829214556504233,0.558930424365581,0.)); #367466=DIRECTION('',(0.829214556504233,0.558930424365581,0.)); #367467=DIRECTION('',(0.829214556504233,0.558930424365581,0.)); #367468=DIRECTION('center_axis',(0.,0.,1.)); #367469=DIRECTION('ref_axis',(1.,0.,0.)); #367470=DIRECTION('center_axis',(0.,0.,1.)); #367471=DIRECTION('ref_axis',(1.,0.,0.)); #367472=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #367473=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #367474=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #367475=DIRECTION('',(0.,0.,1.)); #367476=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #367477=DIRECTION('',(0.,0.,1.)); #367478=DIRECTION('center_axis',(0.,-1.,0.)); #367479=DIRECTION('ref_axis',(1.,0.,0.)); #367480=DIRECTION('',(1.,0.,0.)); #367481=DIRECTION('',(1.,0.,0.)); #367482=DIRECTION('',(0.,0.,1.)); #367483=DIRECTION('center_axis',(-0.183305290257367,-0.983056036329396, 0.)); #367484=DIRECTION('ref_axis',(0.983056036329396,-0.183305290257367,0.)); #367485=DIRECTION('',(0.983056036329396,-0.183305290257367,0.)); #367486=DIRECTION('',(0.983056036329396,-0.183305290257367,0.)); #367487=DIRECTION('',(0.,0.,1.)); #367488=DIRECTION('center_axis',(-0.538911466746003,-0.842362410729296, 0.)); #367489=DIRECTION('ref_axis',(0.842362410729296,-0.538911466746003,0.)); #367490=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #367491=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #367492=DIRECTION('',(0.,0.,1.)); #367493=DIRECTION('center_axis',(-0.824745549033875,-0.565504004715097, 0.)); #367494=DIRECTION('ref_axis',(0.565504004715097,-0.824745549033875,0.)); #367495=DIRECTION('',(0.565504004715097,-0.824745549033875,0.)); #367496=DIRECTION('',(0.565504004715097,-0.824745549033875,0.)); #367497=DIRECTION('',(0.,0.,1.)); #367498=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #367499=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #367500=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #367501=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #367502=DIRECTION('',(0.,0.,1.)); #367503=DIRECTION('center_axis',(-1.,0.,0.)); #367504=DIRECTION('ref_axis',(0.,-1.,0.)); #367505=DIRECTION('',(0.,-1.,0.)); #367506=DIRECTION('',(0.,-1.,0.)); #367507=DIRECTION('',(0.,0.,1.)); #367508=DIRECTION('center_axis',(-0.82477623219461,-0.565459253003135,0.)); #367509=DIRECTION('ref_axis',(0.565459253003135,-0.82477623219461,0.)); #367510=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #367511=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #367512=DIRECTION('',(0.,0.,1.)); #367513=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #367514=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #367515=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #367516=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #367517=DIRECTION('',(0.,0.,1.)); #367518=DIRECTION('center_axis',(-0.983056472197872,0.183302952703647,0.)); #367519=DIRECTION('ref_axis',(-0.183302952703647,-0.983056472197872,0.)); #367520=DIRECTION('',(-0.183302952703646,-0.983056472197872,0.)); #367521=DIRECTION('',(-0.183302952703646,-0.983056472197872,0.)); #367522=DIRECTION('',(0.,0.,1.)); #367523=DIRECTION('center_axis',(-0.833664013512907,0.5522719552662,0.)); #367524=DIRECTION('ref_axis',(-0.5522719552662,-0.833664013512907,0.)); #367525=DIRECTION('',(-0.5522719552662,-0.833664013512907,0.)); #367526=DIRECTION('',(-0.5522719552662,-0.833664013512907,0.)); #367527=DIRECTION('',(0.,0.,1.)); #367528=DIRECTION('center_axis',(-1.,0.,0.)); #367529=DIRECTION('ref_axis',(0.,-1.,0.)); #367530=DIRECTION('',(0.,-1.,0.)); #367531=DIRECTION('',(0.,-1.,0.)); #367532=DIRECTION('',(0.,0.,1.)); #367533=DIRECTION('center_axis',(-0.983056036329416,0.183305290257262,0.)); #367534=DIRECTION('ref_axis',(-0.183305290257262,-0.983056036329416,0.)); #367535=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #367536=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #367537=DIRECTION('',(0.,0.,1.)); #367538=DIRECTION('center_axis',(-0.842362410729242,0.538911466746088,0.)); #367539=DIRECTION('ref_axis',(-0.538911466746088,-0.842362410729242,0.)); #367540=DIRECTION('',(-0.538911466746088,-0.842362410729242,0.)); #367541=DIRECTION('',(-0.538911466746088,-0.842362410729242,0.)); #367542=DIRECTION('',(0.,0.,1.)); #367543=DIRECTION('center_axis',(-0.565504004715081,0.824745549033886,0.)); #367544=DIRECTION('ref_axis',(-0.824745549033886,-0.565504004715081,0.)); #367545=DIRECTION('',(-0.824745549033886,-0.565504004715081,0.)); #367546=DIRECTION('',(-0.824745549033886,-0.565504004715081,0.)); #367547=DIRECTION('',(0.,0.,1.)); #367548=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #367549=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #367550=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #367551=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #367552=DIRECTION('',(0.,0.,1.)); #367553=DIRECTION('center_axis',(0.,1.,0.)); #367554=DIRECTION('ref_axis',(-1.,0.,0.)); #367555=DIRECTION('',(-1.,0.,0.)); #367556=DIRECTION('',(-1.,0.,0.)); #367557=DIRECTION('',(0.,0.,1.)); #367558=DIRECTION('center_axis',(0.191149856928872,0.981560865252926,0.)); #367559=DIRECTION('ref_axis',(-0.981560865252926,0.191149856928872,0.)); #367560=DIRECTION('',(-0.981560865252926,0.191149856928872,0.)); #367561=DIRECTION('',(-0.981560865252926,0.191149856928872,0.)); #367562=DIRECTION('',(0.,0.,1.)); #367563=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #367564=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #367565=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #367566=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #367567=DIRECTION('',(0.,0.,1.)); #367568=DIRECTION('center_axis',(0.707106781186541,0.707106781186554,0.)); #367569=DIRECTION('ref_axis',(-0.707106781186554,0.707106781186541,0.)); #367570=DIRECTION('',(-0.707106781186554,0.707106781186541,0.)); #367571=DIRECTION('',(-0.707106781186554,0.707106781186541,0.)); #367572=DIRECTION('',(0.,0.,1.)); #367573=DIRECTION('center_axis',(0.,1.,0.)); #367574=DIRECTION('ref_axis',(-1.,0.,0.)); #367575=DIRECTION('',(-1.,0.,0.)); #367576=DIRECTION('',(-1.,0.,0.)); #367577=DIRECTION('',(0.,0.,1.)); #367578=DIRECTION('center_axis',(0.191149856928815,0.981560865252937,0.)); #367579=DIRECTION('ref_axis',(-0.981560865252937,0.191149856928815,0.)); #367580=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #367581=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #367582=DIRECTION('',(0.,0.,1.)); #367583=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #367584=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #367585=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #367586=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #367587=DIRECTION('',(0.,0.,1.)); #367588=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #367589=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #367590=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #367591=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #367592=DIRECTION('',(0.,0.,1.)); #367593=DIRECTION('center_axis',(0.82478989011981,0.565439331101182,0.)); #367594=DIRECTION('ref_axis',(-0.565439331101182,0.82478989011981,0.)); #367595=DIRECTION('',(-0.565439331101182,0.82478989011981,0.)); #367596=DIRECTION('',(-0.565439331101182,0.82478989011981,0.)); #367597=DIRECTION('',(0.,0.,1.)); #367598=DIRECTION('center_axis',(0.976685690837554,0.214674314516595,0.)); #367599=DIRECTION('ref_axis',(-0.214674314516595,0.976685690837554,0.)); #367600=DIRECTION('',(-0.214674314516595,0.976685690837554,0.)); #367601=DIRECTION('',(-0.214674314516595,0.976685690837554,0.)); #367602=DIRECTION('',(0.,0.,1.)); #367603=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #367604=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #367605=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #367606=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #367607=DIRECTION('',(0.,0.,1.)); #367608=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #367609=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #367610=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #367611=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #367612=DIRECTION('',(0.,0.,1.)); #367613=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #367614=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #367615=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #367616=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #367617=DIRECTION('',(0.,0.,1.)); #367618=DIRECTION('center_axis',(0.558946331948723,-0.829203833807507,0.)); #367619=DIRECTION('ref_axis',(0.829203833807507,0.558946331948723,0.)); #367620=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #367621=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #367622=DIRECTION('',(0.,0.,1.)); #367623=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #367624=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #367625=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #367626=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #367627=DIRECTION('',(0.,0.,1.)); #367628=DIRECTION('center_axis',(0.,-1.,0.)); #367629=DIRECTION('ref_axis',(1.,0.,0.)); #367630=DIRECTION('',(1.,0.,0.)); #367631=DIRECTION('',(1.,0.,0.)); #367632=DIRECTION('',(0.,0.,1.)); #367633=DIRECTION('center_axis',(0.707106781186541,-0.707106781186554,0.)); #367634=DIRECTION('ref_axis',(0.707106781186554,0.707106781186541,0.)); #367635=DIRECTION('',(0.707106781186554,0.707106781186541,0.)); #367636=DIRECTION('',(0.707106781186554,0.707106781186541,0.)); #367637=DIRECTION('',(0.,0.,1.)); #367638=DIRECTION('center_axis',(0.558946331948917,-0.829203833807377,0.)); #367639=DIRECTION('ref_axis',(0.829203833807377,0.558946331948917,0.)); #367640=DIRECTION('',(0.829203833807377,0.558946331948917,0.)); #367641=DIRECTION('',(0.829203833807377,0.558946331948917,0.)); #367642=DIRECTION('center_axis',(0.,0.,1.)); #367643=DIRECTION('ref_axis',(1.,0.,0.)); #367644=DIRECTION('center_axis',(0.,0.,1.)); #367645=DIRECTION('ref_axis',(1.,0.,0.)); #367646=DIRECTION('center_axis',(0.230165683714788,-0.97315145688639,0.)); #367647=DIRECTION('ref_axis',(0.97315145688639,0.230165683714788,0.)); #367648=DIRECTION('',(0.97315145688639,0.230165683714788,0.)); #367649=DIRECTION('',(0.,0.,1.)); #367650=DIRECTION('',(0.97315145688639,0.230165683714788,0.)); #367651=DIRECTION('',(0.,0.,1.)); #367652=DIRECTION('center_axis',(-0.167643887112471,-0.985847618607369, 0.)); #367653=DIRECTION('ref_axis',(0.985847618607369,-0.167643887112471,0.)); #367654=DIRECTION('',(0.985847618607369,-0.167643887112471,0.)); #367655=DIRECTION('',(0.985847618607369,-0.167643887112471,0.)); #367656=DIRECTION('',(0.,0.,1.)); #367657=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #367658=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #367659=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #367660=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #367661=DIRECTION('',(0.,0.,1.)); #367662=DIRECTION('center_axis',(-0.824745549033852,-0.565504004715131, 0.)); #367663=DIRECTION('ref_axis',(0.565504004715131,-0.824745549033852,0.)); #367664=DIRECTION('',(0.565504004715131,-0.824745549033852,0.)); #367665=DIRECTION('',(0.565504004715131,-0.824745549033852,0.)); #367666=DIRECTION('',(0.,0.,1.)); #367667=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #367668=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #367669=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #367670=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #367671=DIRECTION('',(0.,0.,1.)); #367672=DIRECTION('center_axis',(-1.,0.,0.)); #367673=DIRECTION('ref_axis',(0.,-1.,0.)); #367674=DIRECTION('',(0.,-1.,0.)); #367675=DIRECTION('',(0.,-1.,0.)); #367676=DIRECTION('',(0.,0.,1.)); #367677=DIRECTION('center_axis',(-0.98156086525294,0.191149856928798,0.)); #367678=DIRECTION('ref_axis',(-0.191149856928798,-0.98156086525294,0.)); #367679=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #367680=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #367681=DIRECTION('',(0.,0.,1.)); #367682=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #367683=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #367684=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #367685=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #367686=DIRECTION('',(0.,0.,1.)); #367687=DIRECTION('center_axis',(-0.70710678118655,0.707106781186545,0.)); #367688=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #367689=DIRECTION('',(-0.707106781186545,-0.70710678118655,0.)); #367690=DIRECTION('',(-0.707106781186545,-0.70710678118655,0.)); #367691=DIRECTION('',(0.,0.,1.)); #367692=DIRECTION('center_axis',(-0.572058771549247,0.820212632122651,0.)); #367693=DIRECTION('ref_axis',(-0.820212632122651,-0.572058771549247,0.)); #367694=DIRECTION('',(-0.820212632122651,-0.572058771549247,0.)); #367695=DIRECTION('',(-0.820212632122651,-0.572058771549247,0.)); #367696=DIRECTION('',(0.,0.,1.)); #367697=DIRECTION('center_axis',(-0.230165683714756,0.973151456886398,0.)); #367698=DIRECTION('ref_axis',(-0.973151456886398,-0.230165683714756,0.)); #367699=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #367700=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #367701=DIRECTION('',(0.,0.,1.)); #367702=DIRECTION('center_axis',(0.167643887112495,0.985847618607365,0.)); #367703=DIRECTION('ref_axis',(-0.985847618607365,0.167643887112495,0.)); #367704=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #367705=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #367706=DIRECTION('',(0.,0.,1.)); #367707=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #367708=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #367709=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #367710=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #367711=DIRECTION('',(0.,0.,1.)); #367712=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #367713=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #367714=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #367715=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #367716=DIRECTION('',(0.,0.,1.)); #367717=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #367718=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #367719=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #367720=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #367721=DIRECTION('',(0.,0.,1.)); #367722=DIRECTION('center_axis',(1.,0.,0.)); #367723=DIRECTION('ref_axis',(0.,1.,0.)); #367724=DIRECTION('',(0.,1.,0.)); #367725=DIRECTION('',(0.,1.,0.)); #367726=DIRECTION('',(0.,0.,1.)); #367727=DIRECTION('center_axis',(0.981560865252946,-0.19114985692877,0.)); #367728=DIRECTION('ref_axis',(0.19114985692877,0.981560865252945,0.)); #367729=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #367730=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #367731=DIRECTION('',(0.,0.,1.)); #367732=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #367733=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #367734=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #367735=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #367736=DIRECTION('',(0.,0.,1.)); #367737=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #367738=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #367739=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #367740=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #367741=DIRECTION('',(0.,0.,1.)); #367742=DIRECTION('center_axis',(0.572058771549169,-0.820212632122705,0.)); #367743=DIRECTION('ref_axis',(0.820212632122705,0.572058771549169,0.)); #367744=DIRECTION('',(0.820212632122705,0.572058771549169,0.)); #367745=DIRECTION('',(0.820212632122705,0.572058771549169,0.)); #367746=DIRECTION('center_axis',(0.,0.,1.)); #367747=DIRECTION('ref_axis',(1.,0.,0.)); #367748=DIRECTION('center_axis',(0.,0.,1.)); #367749=DIRECTION('ref_axis',(1.,0.,0.)); #367750=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #367751=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #367752=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #367753=DIRECTION('',(0.,0.,1.)); #367754=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #367755=DIRECTION('',(0.,0.,1.)); #367756=DIRECTION('center_axis',(0.,-1.,0.)); #367757=DIRECTION('ref_axis',(1.,0.,0.)); #367758=DIRECTION('',(1.,0.,0.)); #367759=DIRECTION('',(1.,0.,0.)); #367760=DIRECTION('',(0.,0.,1.)); #367761=DIRECTION('center_axis',(-0.191149856928872,-0.981560865252926, 0.)); #367762=DIRECTION('ref_axis',(0.981560865252926,-0.191149856928872,0.)); #367763=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #367764=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #367765=DIRECTION('',(0.,0.,1.)); #367766=DIRECTION('center_axis',(-0.552278225391843,-0.833659859749789, 0.)); #367767=DIRECTION('ref_axis',(0.833659859749789,-0.552278225391843,0.)); #367768=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #367769=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #367770=DIRECTION('',(0.,0.,1.)); #367771=DIRECTION('center_axis',(-0.707106781186559,-0.707106781186536, 0.)); #367772=DIRECTION('ref_axis',(0.707106781186536,-0.707106781186559,0.)); #367773=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #367774=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #367775=DIRECTION('',(0.,0.,1.)); #367776=DIRECTION('center_axis',(-0.820212632122522,-0.572058771549431, 0.)); #367777=DIRECTION('ref_axis',(0.572058771549431,-0.820212632122522,0.)); #367778=DIRECTION('',(0.572058771549431,-0.820212632122522,0.)); #367779=DIRECTION('',(0.572058771549431,-0.820212632122522,0.)); #367780=DIRECTION('',(0.,0.,1.)); #367781=DIRECTION('center_axis',(-0.97315145688646,-0.230165683714495,0.)); #367782=DIRECTION('ref_axis',(0.230165683714495,-0.97315145688646,0.)); #367783=DIRECTION('',(0.230165683714495,-0.97315145688646,0.)); #367784=DIRECTION('',(0.230165683714495,-0.97315145688646,0.)); #367785=DIRECTION('',(0.,0.,1.)); #367786=DIRECTION('center_axis',(-0.985847618607416,0.167643887112198,0.)); #367787=DIRECTION('ref_axis',(-0.167643887112198,-0.985847618607416,0.)); #367788=DIRECTION('',(-0.167643887112198,-0.985847618607416,0.)); #367789=DIRECTION('',(-0.167643887112198,-0.985847618607416,0.)); #367790=DIRECTION('',(0.,0.,1.)); #367791=DIRECTION('center_axis',(-0.842362410729242,0.538911466746088,0.)); #367792=DIRECTION('ref_axis',(-0.538911466746088,-0.842362410729242,0.)); #367793=DIRECTION('',(-0.538911466746088,-0.842362410729242,0.)); #367794=DIRECTION('',(-0.538911466746088,-0.842362410729242,0.)); #367795=DIRECTION('',(0.,0.,1.)); #367796=DIRECTION('center_axis',(-0.565504004715081,0.824745549033886,0.)); #367797=DIRECTION('ref_axis',(-0.824745549033886,-0.565504004715081,0.)); #367798=DIRECTION('',(-0.824745549033886,-0.565504004715081,0.)); #367799=DIRECTION('',(-0.824745549033886,-0.565504004715081,0.)); #367800=DIRECTION('',(0.,0.,1.)); #367801=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #367802=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #367803=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #367804=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #367805=DIRECTION('',(0.,0.,1.)); #367806=DIRECTION('center_axis',(0.,1.,0.)); #367807=DIRECTION('ref_axis',(-1.,0.,0.)); #367808=DIRECTION('',(-1.,0.,0.)); #367809=DIRECTION('',(-1.,0.,0.)); #367810=DIRECTION('',(0.,0.,1.)); #367811=DIRECTION('center_axis',(0.191149856928815,0.981560865252937,0.)); #367812=DIRECTION('ref_axis',(-0.981560865252937,0.191149856928815,0.)); #367813=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #367814=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #367815=DIRECTION('',(0.,0.,1.)); #367816=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #367817=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #367818=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #367819=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #367820=DIRECTION('',(0.,0.,1.)); #367821=DIRECTION('center_axis',(0.707106781186559,0.707106781186536,0.)); #367822=DIRECTION('ref_axis',(-0.707106781186536,0.707106781186559,0.)); #367823=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #367824=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #367825=DIRECTION('',(0.,0.,1.)); #367826=DIRECTION('center_axis',(0.824789890119785,0.565439331101219,0.)); #367827=DIRECTION('ref_axis',(-0.565439331101219,0.824789890119785,0.)); #367828=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #367829=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #367830=DIRECTION('',(0.,0.,1.)); #367831=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #367832=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #367833=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #367834=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #367835=DIRECTION('',(0.,0.,1.)); #367836=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #367837=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #367838=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #367839=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #367840=DIRECTION('',(0.,0.,1.)); #367841=DIRECTION('center_axis',(0.833659859749733,-0.552278225391927,0.)); #367842=DIRECTION('ref_axis',(0.552278225391927,0.833659859749732,0.)); #367843=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #367844=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #367845=DIRECTION('',(0.,0.,1.)); #367846=DIRECTION('center_axis',(0.707106781186559,-0.707106781186536,0.)); #367847=DIRECTION('ref_axis',(0.707106781186536,0.707106781186559,0.)); #367848=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #367849=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #367850=DIRECTION('',(0.,0.,1.)); #367851=DIRECTION('center_axis',(0.558946331948775,-0.829203833807472,0.)); #367852=DIRECTION('ref_axis',(0.829203833807472,0.558946331948775,0.)); #367853=DIRECTION('',(0.829203833807472,0.558946331948775,0.)); #367854=DIRECTION('',(0.829203833807472,0.558946331948775,0.)); #367855=DIRECTION('center_axis',(0.,0.,1.)); #367856=DIRECTION('ref_axis',(1.,0.,0.)); #367857=DIRECTION('center_axis',(0.,0.,1.)); #367858=DIRECTION('ref_axis',(1.,0.,0.)); #367859=DIRECTION('center_axis',(0.,0.,1.)); #367860=DIRECTION('ref_axis',(1.,0.,0.)); #367861=DIRECTION('center_axis',(0.,0.,1.)); #367862=DIRECTION('ref_axis',(1.,0.,0.)); #367863=DIRECTION('',(0.,0.,1.)); #367864=DIRECTION('center_axis',(0.,0.,-1.)); #367865=DIRECTION('ref_axis',(1.,0.,0.)); #367866=DIRECTION('center_axis',(0.,1.,0.)); #367867=DIRECTION('ref_axis',(-1.,0.,0.)); #367868=DIRECTION('',(1.,0.,0.)); #367869=DIRECTION('',(0.,0.,1.)); #367870=DIRECTION('',(-1.,0.,0.)); #367871=DIRECTION('',(0.,0.,1.)); #367872=DIRECTION('center_axis',(0.,0.,1.)); #367873=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #367874=DIRECTION('center_axis',(0.,0.,-1.)); #367875=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #367876=DIRECTION('center_axis',(0.,0.,1.)); #367877=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #367878=DIRECTION('',(0.,0.,1.)); #367879=DIRECTION('center_axis',(0.,-1.,0.)); #367880=DIRECTION('ref_axis',(1.,0.,0.)); #367881=DIRECTION('',(-1.,0.,0.)); #367882=DIRECTION('',(1.,0.,0.)); #367883=DIRECTION('',(0.,0.,1.)); #367884=DIRECTION('center_axis',(0.,0.,1.)); #367885=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #367886=DIRECTION('center_axis',(0.,0.,-1.)); #367887=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #367888=DIRECTION('center_axis',(0.,0.,1.)); #367889=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #367890=DIRECTION('center_axis',(0.,0.,1.)); #367891=DIRECTION('ref_axis',(1.,0.,0.)); #367892=DIRECTION('center_axis',(0.,0.,1.)); #367893=DIRECTION('ref_axis',(1.,0.,0.)); #367894=DIRECTION('',(0.,0.,1.)); #367895=DIRECTION('center_axis',(0.,0.,-1.)); #367896=DIRECTION('ref_axis',(1.,0.,0.)); #367897=DIRECTION('center_axis',(0.,0.,1.)); #367898=DIRECTION('ref_axis',(1.,0.,0.)); #367899=DIRECTION('center_axis',(0.,0.,1.)); #367900=DIRECTION('ref_axis',(1.,0.,0.)); #367901=DIRECTION('',(0.,0.,1.)); #367902=DIRECTION('center_axis',(0.,0.,-1.)); #367903=DIRECTION('ref_axis',(1.,0.,0.)); #367904=DIRECTION('center_axis',(0.,0.,1.)); #367905=DIRECTION('ref_axis',(1.,0.,0.)); #367906=DIRECTION('center_axis',(0.,0.,1.)); #367907=DIRECTION('ref_axis',(1.,0.,0.)); #367908=DIRECTION('',(0.,0.,1.)); #367909=DIRECTION('center_axis',(0.,0.,-1.)); #367910=DIRECTION('ref_axis',(1.,0.,0.)); #367911=DIRECTION('center_axis',(0.,0.,1.)); #367912=DIRECTION('ref_axis',(1.,0.,0.)); #367913=DIRECTION('center_axis',(0.,0.,1.)); #367914=DIRECTION('ref_axis',(1.,0.,0.)); #367915=DIRECTION('',(0.,0.,1.)); #367916=DIRECTION('center_axis',(0.,0.,-1.)); #367917=DIRECTION('ref_axis',(1.,0.,0.)); #367918=DIRECTION('center_axis',(0.,0.,1.)); #367919=DIRECTION('ref_axis',(1.,0.,0.)); #367920=DIRECTION('center_axis',(0.,0.,1.)); #367921=DIRECTION('ref_axis',(1.,0.,0.)); #367922=DIRECTION('',(0.,0.,1.)); #367923=DIRECTION('center_axis',(0.,0.,-1.)); #367924=DIRECTION('ref_axis',(1.,0.,0.)); #367925=DIRECTION('center_axis',(0.,0.,1.)); #367926=DIRECTION('ref_axis',(1.,0.,0.)); #367927=DIRECTION('center_axis',(0.,0.,1.)); #367928=DIRECTION('ref_axis',(1.,0.,0.)); #367929=DIRECTION('',(0.,0.,1.)); #367930=DIRECTION('center_axis',(0.,0.,-1.)); #367931=DIRECTION('ref_axis',(1.,0.,0.)); #367932=DIRECTION('center_axis',(0.,0.,1.)); #367933=DIRECTION('ref_axis',(1.,0.,0.)); #367934=DIRECTION('center_axis',(0.,0.,1.)); #367935=DIRECTION('ref_axis',(1.,0.,0.)); #367936=DIRECTION('',(0.,0.,1.)); #367937=DIRECTION('center_axis',(0.,0.,-1.)); #367938=DIRECTION('ref_axis',(1.,0.,0.)); #367939=DIRECTION('center_axis',(0.,0.,1.)); #367940=DIRECTION('ref_axis',(1.,0.,0.)); #367941=DIRECTION('center_axis',(0.,0.,1.)); #367942=DIRECTION('ref_axis',(1.,0.,0.)); #367943=DIRECTION('',(0.,0.,1.)); #367944=DIRECTION('center_axis',(0.,0.,-1.)); #367945=DIRECTION('ref_axis',(1.,0.,0.)); #367946=DIRECTION('center_axis',(0.,0.,1.)); #367947=DIRECTION('ref_axis',(1.,0.,0.)); #367948=DIRECTION('center_axis',(0.,0.,1.)); #367949=DIRECTION('ref_axis',(1.,0.,0.)); #367950=DIRECTION('',(0.,0.,1.)); #367951=DIRECTION('center_axis',(0.,0.,-1.)); #367952=DIRECTION('ref_axis',(1.,0.,0.)); #367953=DIRECTION('center_axis',(0.,1.,0.)); #367954=DIRECTION('ref_axis',(-1.,0.,0.)); #367955=DIRECTION('',(-1.,0.,0.)); #367956=DIRECTION('',(0.,0.,1.)); #367957=DIRECTION('',(-1.,0.,0.)); #367958=DIRECTION('',(0.,0.,1.)); #367959=DIRECTION('center_axis',(-0.382684321704497,0.923879164134341,0.)); #367960=DIRECTION('ref_axis',(-0.923879164134341,-0.382684321704497,0.)); #367961=DIRECTION('',(-0.923879164134341,-0.382684321704497,0.)); #367962=DIRECTION('',(-0.923879164134341,-0.382684321704497,0.)); #367963=DIRECTION('',(0.,0.,1.)); #367964=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #367965=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #367966=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #367967=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #367968=DIRECTION('',(0.,0.,1.)); #367969=DIRECTION('center_axis',(-0.923879164134337,0.382684321704506,0.)); #367970=DIRECTION('ref_axis',(-0.382684321704506,-0.923879164134337,0.)); #367971=DIRECTION('',(-0.382684321704506,-0.923879164134337,0.)); #367972=DIRECTION('',(-0.382684321704506,-0.923879164134337,0.)); #367973=DIRECTION('',(0.,0.,1.)); #367974=DIRECTION('center_axis',(-1.,0.,0.)); #367975=DIRECTION('ref_axis',(0.,-1.,0.)); #367976=DIRECTION('',(0.,-1.,0.)); #367977=DIRECTION('',(0.,-1.,0.)); #367978=DIRECTION('',(0.,0.,1.)); #367979=DIRECTION('center_axis',(-0.923879164134336,-0.38268432170451,0.)); #367980=DIRECTION('ref_axis',(0.38268432170451,-0.923879164134336,0.)); #367981=DIRECTION('',(0.38268432170451,-0.923879164134336,0.)); #367982=DIRECTION('',(0.38268432170451,-0.923879164134336,0.)); #367983=DIRECTION('',(0.,0.,1.)); #367984=DIRECTION('center_axis',(-0.707106781186553,-0.707106781186542, 0.)); #367985=DIRECTION('ref_axis',(0.707106781186542,-0.707106781186553,0.)); #367986=DIRECTION('',(0.707106781186542,-0.707106781186553,0.)); #367987=DIRECTION('',(0.707106781186542,-0.707106781186553,0.)); #367988=DIRECTION('',(0.,0.,1.)); #367989=DIRECTION('center_axis',(-0.382684321704487,-0.923879164134345, 0.)); #367990=DIRECTION('ref_axis',(0.923879164134345,-0.382684321704487,0.)); #367991=DIRECTION('',(0.923879164134345,-0.382684321704487,0.)); #367992=DIRECTION('',(0.923879164134345,-0.382684321704487,0.)); #367993=DIRECTION('',(0.,0.,1.)); #367994=DIRECTION('center_axis',(0.,-1.,0.)); #367995=DIRECTION('ref_axis',(1.,0.,0.)); #367996=DIRECTION('',(1.,0.,0.)); #367997=DIRECTION('',(1.,0.,0.)); #367998=DIRECTION('',(0.,0.,1.)); #367999=DIRECTION('center_axis',(0.382684321704495,-0.923879164134342,0.)); #368000=DIRECTION('ref_axis',(0.923879164134342,0.382684321704495,0.)); #368001=DIRECTION('',(0.923879164134342,0.382684321704495,0.)); #368002=DIRECTION('',(0.923879164134342,0.382684321704495,0.)); #368003=DIRECTION('',(0.,0.,1.)); #368004=DIRECTION('center_axis',(0.707106781186542,-0.707106781186553,0.)); #368005=DIRECTION('ref_axis',(0.707106781186553,0.707106781186542,0.)); #368006=DIRECTION('',(0.707106781186553,0.707106781186542,0.)); #368007=DIRECTION('',(0.707106781186553,0.707106781186542,0.)); #368008=DIRECTION('',(0.,0.,1.)); #368009=DIRECTION('center_axis',(0.923879164134344,-0.382684321704491,0.)); #368010=DIRECTION('ref_axis',(0.382684321704491,0.923879164134344,0.)); #368011=DIRECTION('',(0.382684321704491,0.923879164134344,0.)); #368012=DIRECTION('',(0.382684321704491,0.923879164134344,0.)); #368013=DIRECTION('',(0.,0.,1.)); #368014=DIRECTION('center_axis',(1.,0.,0.)); #368015=DIRECTION('ref_axis',(0.,1.,0.)); #368016=DIRECTION('',(0.,1.,0.)); #368017=DIRECTION('',(0.,1.,0.)); #368018=DIRECTION('',(0.,0.,1.)); #368019=DIRECTION('center_axis',(0.923879164134345,0.382684321704487,0.)); #368020=DIRECTION('ref_axis',(-0.382684321704487,0.923879164134345,0.)); #368021=DIRECTION('',(-0.382684321704487,0.923879164134345,0.)); #368022=DIRECTION('',(-0.382684321704487,0.923879164134345,0.)); #368023=DIRECTION('',(0.,0.,1.)); #368024=DIRECTION('center_axis',(0.707106781186536,0.707106781186559,0.)); #368025=DIRECTION('ref_axis',(-0.707106781186559,0.707106781186536,0.)); #368026=DIRECTION('',(-0.707106781186559,0.707106781186536,0.)); #368027=DIRECTION('',(-0.707106781186559,0.707106781186536,0.)); #368028=DIRECTION('',(0.,0.,1.)); #368029=DIRECTION('center_axis',(0.382684321704505,0.923879164134338,0.)); #368030=DIRECTION('ref_axis',(-0.923879164134338,0.382684321704505,0.)); #368031=DIRECTION('',(-0.923879164134338,0.382684321704505,0.)); #368032=DIRECTION('',(-0.923879164134338,0.382684321704505,0.)); #368033=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #368034=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #368035=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #368036=DIRECTION('',(0.,0.,1.)); #368037=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #368038=DIRECTION('',(0.,0.,1.)); #368039=DIRECTION('center_axis',(0.,-1.,0.)); #368040=DIRECTION('ref_axis',(1.,0.,0.)); #368041=DIRECTION('',(1.,0.,0.)); #368042=DIRECTION('',(1.,0.,0.)); #368043=DIRECTION('',(0.,0.,1.)); #368044=DIRECTION('center_axis',(-0.191149856928872,-0.981560865252926, 0.)); #368045=DIRECTION('ref_axis',(0.981560865252926,-0.191149856928872,0.)); #368046=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #368047=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #368048=DIRECTION('',(0.,0.,1.)); #368049=DIRECTION('center_axis',(-0.552263045083112,-0.833669916115203, 0.)); #368050=DIRECTION('ref_axis',(0.833669916115202,-0.552263045083112,0.)); #368051=DIRECTION('',(0.833669916115202,-0.552263045083112,0.)); #368052=DIRECTION('',(0.833669916115202,-0.552263045083112,0.)); #368053=DIRECTION('',(0.,0.,1.)); #368054=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #368055=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #368056=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #368057=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #368058=DIRECTION('',(0.,0.,1.)); #368059=DIRECTION('center_axis',(0.,-1.,0.)); #368060=DIRECTION('ref_axis',(1.,0.,0.)); #368061=DIRECTION('',(1.,0.,0.)); #368062=DIRECTION('',(1.,0.,0.)); #368063=DIRECTION('',(0.,0.,1.)); #368064=DIRECTION('center_axis',(-0.183305290257444,-0.983056036329382, 0.)); #368065=DIRECTION('ref_axis',(0.983056036329381,-0.183305290257444,0.)); #368066=DIRECTION('',(0.983056036329381,-0.183305290257444,0.)); #368067=DIRECTION('',(0.983056036329381,-0.183305290257444,0.)); #368068=DIRECTION('',(0.,0.,1.)); #368069=DIRECTION('center_axis',(-0.538911466745885,-0.842362410729372, 0.)); #368070=DIRECTION('ref_axis',(0.842362410729372,-0.538911466745885,0.)); #368071=DIRECTION('',(0.842362410729372,-0.538911466745885,0.)); #368072=DIRECTION('',(0.842362410729372,-0.538911466745885,0.)); #368073=DIRECTION('',(0.,0.,1.)); #368074=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #368075=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #368076=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #368077=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #368078=DIRECTION('',(0.,0.,1.)); #368079=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #368080=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #368081=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #368082=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #368083=DIRECTION('',(0.,0.,1.)); #368084=DIRECTION('center_axis',(-1.,0.,0.)); #368085=DIRECTION('ref_axis',(0.,-1.,0.)); #368086=DIRECTION('',(0.,-1.,0.)); #368087=DIRECTION('',(0.,-1.,0.)); #368088=DIRECTION('',(0.,0.,1.)); #368089=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #368090=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #368091=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #368092=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #368093=DIRECTION('',(0.,0.,1.)); #368094=DIRECTION('center_axis',(0.,-1.,0.)); #368095=DIRECTION('ref_axis',(1.,0.,0.)); #368096=DIRECTION('',(1.,0.,0.)); #368097=DIRECTION('',(1.,0.,0.)); #368098=DIRECTION('',(0.,0.,1.)); #368099=DIRECTION('center_axis',(-0.183305290257262,-0.983056036329416, 0.)); #368100=DIRECTION('ref_axis',(0.983056036329416,-0.183305290257262,0.)); #368101=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #368102=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #368103=DIRECTION('',(0.,0.,1.)); #368104=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #368105=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #368106=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #368107=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #368108=DIRECTION('',(0.,0.,1.)); #368109=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #368110=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #368111=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #368112=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #368113=DIRECTION('',(0.,0.,1.)); #368114=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #368115=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #368116=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #368117=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #368118=DIRECTION('',(0.,0.,1.)); #368119=DIRECTION('center_axis',(-1.,0.,0.)); #368120=DIRECTION('ref_axis',(0.,-1.,0.)); #368121=DIRECTION('',(0.,-1.,0.)); #368122=DIRECTION('',(0.,-1.,0.)); #368123=DIRECTION('',(0.,0.,1.)); #368124=DIRECTION('center_axis',(-0.70710678118653,-0.707106781186565,0.)); #368125=DIRECTION('ref_axis',(0.707106781186565,-0.70710678118653,0.)); #368126=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #368127=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #368128=DIRECTION('',(0.,0.,1.)); #368129=DIRECTION('center_axis',(0.,-1.,0.)); #368130=DIRECTION('ref_axis',(1.,0.,0.)); #368131=DIRECTION('',(1.,0.,0.)); #368132=DIRECTION('',(1.,0.,0.)); #368133=DIRECTION('',(0.,0.,1.)); #368134=DIRECTION('center_axis',(-0.183305290257387,-0.983056036329392, 0.)); #368135=DIRECTION('ref_axis',(0.983056036329392,-0.183305290257387,0.)); #368136=DIRECTION('',(0.983056036329392,-0.183305290257387,0.)); #368137=DIRECTION('',(0.983056036329392,-0.183305290257387,0.)); #368138=DIRECTION('',(0.,0.,1.)); #368139=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #368140=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #368141=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #368142=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #368143=DIRECTION('',(0.,0.,1.)); #368144=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #368145=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #368146=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #368147=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #368148=DIRECTION('',(0.,0.,1.)); #368149=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #368150=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #368151=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #368152=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #368153=DIRECTION('',(0.,0.,1.)); #368154=DIRECTION('center_axis',(-1.,0.,0.)); #368155=DIRECTION('ref_axis',(0.,-1.,0.)); #368156=DIRECTION('',(0.,-1.,0.)); #368157=DIRECTION('',(0.,-1.,0.)); #368158=DIRECTION('',(0.,0.,1.)); #368159=DIRECTION('center_axis',(-0.981560865252911,0.191149856928945,0.)); #368160=DIRECTION('ref_axis',(-0.191149856928945,-0.981560865252911,0.)); #368161=DIRECTION('',(-0.191149856928945,-0.981560865252911,0.)); #368162=DIRECTION('',(-0.191149856928945,-0.981560865252911,0.)); #368163=DIRECTION('',(0.,0.,1.)); #368164=DIRECTION('center_axis',(-0.833669916115124,0.55226304508323,0.)); #368165=DIRECTION('ref_axis',(-0.55226304508323,-0.833669916115124,0.)); #368166=DIRECTION('',(-0.55226304508323,-0.833669916115124,0.)); #368167=DIRECTION('',(-0.55226304508323,-0.833669916115124,0.)); #368168=DIRECTION('',(0.,0.,1.)); #368169=DIRECTION('center_axis',(-0.70710678118655,0.707106781186545,0.)); #368170=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #368171=DIRECTION('',(-0.707106781186545,-0.70710678118655,0.)); #368172=DIRECTION('',(-0.707106781186545,-0.70710678118655,0.)); #368173=DIRECTION('',(0.,0.,1.)); #368174=DIRECTION('center_axis',(-0.572058771549325,0.820212632122596,0.)); #368175=DIRECTION('ref_axis',(-0.820212632122596,-0.572058771549325,0.)); #368176=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #368177=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #368178=DIRECTION('',(0.,0.,1.)); #368179=DIRECTION('center_axis',(-0.230165683714756,0.973151456886398,0.)); #368180=DIRECTION('ref_axis',(-0.973151456886398,-0.230165683714756,0.)); #368181=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #368182=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #368183=DIRECTION('',(0.,0.,1.)); #368184=DIRECTION('center_axis',(0.167675934908011,0.985842168327529,0.)); #368185=DIRECTION('ref_axis',(-0.985842168327529,0.167675934908011,0.)); #368186=DIRECTION('',(-0.985842168327529,0.167675934908011,0.)); #368187=DIRECTION('',(-0.985842168327529,0.167675934908011,0.)); #368188=DIRECTION('',(0.,0.,1.)); #368189=DIRECTION('center_axis',(0.538888069291942,0.842377379073538,0.)); #368190=DIRECTION('ref_axis',(-0.842377379073538,0.538888069291942,0.)); #368191=DIRECTION('',(-0.842377379073538,0.538888069291942,0.)); #368192=DIRECTION('',(-0.842377379073538,0.538888069291942,0.)); #368193=DIRECTION('',(0.,0.,1.)); #368194=DIRECTION('center_axis',(0.824756093515085,0.565488626065756,0.)); #368195=DIRECTION('ref_axis',(-0.565488626065756,0.824756093515085,0.)); #368196=DIRECTION('',(-0.565488626065756,0.824756093515085,0.)); #368197=DIRECTION('',(-0.565488626065756,0.824756093515085,0.)); #368198=DIRECTION('',(0.,0.,1.)); #368199=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #368200=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #368201=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #368202=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #368203=DIRECTION('',(0.,0.,1.)); #368204=DIRECTION('center_axis',(1.,0.,0.)); #368205=DIRECTION('ref_axis',(0.,1.,0.)); #368206=DIRECTION('',(0.,1.,0.)); #368207=DIRECTION('',(0.,1.,0.)); #368208=DIRECTION('',(0.,0.,1.)); #368209=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #368210=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #368211=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #368212=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #368213=DIRECTION('',(0.,0.,1.)); #368214=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #368215=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #368216=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #368217=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #368218=DIRECTION('',(0.,0.,1.)); #368219=DIRECTION('center_axis',(0.707108784529055,-0.707104777838364,0.)); #368220=DIRECTION('ref_axis',(0.707104777838364,0.707108784529055,0.)); #368221=DIRECTION('',(0.707104777838364,0.707108784529055,0.)); #368222=DIRECTION('',(0.707104777838364,0.707108784529055,0.)); #368223=DIRECTION('',(0.,0.,1.)); #368224=DIRECTION('center_axis',(1.,0.,0.)); #368225=DIRECTION('ref_axis',(0.,1.,0.)); #368226=DIRECTION('',(0.,1.,0.)); #368227=DIRECTION('',(0.,1.,0.)); #368228=DIRECTION('',(0.,0.,1.)); #368229=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #368230=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #368231=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #368232=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #368233=DIRECTION('',(0.,0.,1.)); #368234=DIRECTION('center_axis',(0.,1.,0.)); #368235=DIRECTION('ref_axis',(-1.,0.,0.)); #368236=DIRECTION('',(-1.,0.,0.)); #368237=DIRECTION('',(-1.,0.,0.)); #368238=DIRECTION('',(0.,0.,1.)); #368239=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #368240=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #368241=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #368242=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #368243=DIRECTION('',(0.,0.,1.)); #368244=DIRECTION('center_axis',(-1.,0.,0.)); #368245=DIRECTION('ref_axis',(0.,-1.,0.)); #368246=DIRECTION('',(0.,-1.,0.)); #368247=DIRECTION('',(0.,-1.,0.)); #368248=DIRECTION('',(0.,0.,1.)); #368249=DIRECTION('center_axis',(-0.707108784529055,-0.707104777838364, 0.)); #368250=DIRECTION('ref_axis',(0.707104777838364,-0.707108784529055,0.)); #368251=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #368252=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #368253=DIRECTION('',(0.,0.,1.)); #368254=DIRECTION('center_axis',(-0.829203833807389,-0.558946331948898, 0.)); #368255=DIRECTION('ref_axis',(0.558946331948898,-0.829203833807389,0.)); #368256=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #368257=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #368258=DIRECTION('',(0.,0.,1.)); #368259=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #368260=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #368261=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #368262=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #368263=DIRECTION('',(0.,0.,1.)); #368264=DIRECTION('center_axis',(-1.,0.,0.)); #368265=DIRECTION('ref_axis',(0.,-1.,0.)); #368266=DIRECTION('',(0.,-1.,0.)); #368267=DIRECTION('',(0.,-1.,0.)); #368268=DIRECTION('',(0.,0.,1.)); #368269=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #368270=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #368271=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #368272=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #368273=DIRECTION('',(0.,0.,1.)); #368274=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #368275=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #368276=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #368277=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #368278=DIRECTION('',(0.,0.,1.)); #368279=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,0.)); #368280=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.)); #368281=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #368282=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #368283=DIRECTION('',(0.,0.,1.)); #368284=DIRECTION('center_axis',(-0.558946331948898,0.829203833807389,0.)); #368285=DIRECTION('ref_axis',(-0.829203833807389,-0.558946331948898,0.)); #368286=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #368287=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #368288=DIRECTION('',(0.,0.,1.)); #368289=DIRECTION('center_axis',(-0.198956019232542,0.980008419561353,0.)); #368290=DIRECTION('ref_axis',(-0.980008419561353,-0.198956019232542,0.)); #368291=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #368292=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #368293=DIRECTION('',(0.,0.,1.)); #368294=DIRECTION('center_axis',(0.,1.,0.)); #368295=DIRECTION('ref_axis',(-1.,0.,0.)); #368296=DIRECTION('',(-1.,0.,0.)); #368297=DIRECTION('',(-1.,0.,0.)); #368298=DIRECTION('',(0.,0.,1.)); #368299=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #368300=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #368301=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #368302=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #368303=DIRECTION('',(0.,0.,1.)); #368304=DIRECTION('center_axis',(-1.,0.,0.)); #368305=DIRECTION('ref_axis',(0.,-1.,0.)); #368306=DIRECTION('',(0.,-1.,0.)); #368307=DIRECTION('',(0.,-1.,0.)); #368308=DIRECTION('',(0.,0.,1.)); #368309=DIRECTION('center_axis',(-0.983056036329444,0.183305290257108,0.)); #368310=DIRECTION('ref_axis',(-0.183305290257108,-0.983056036329444,0.)); #368311=DIRECTION('',(-0.183305290257108,-0.983056036329444,0.)); #368312=DIRECTION('',(-0.183305290257108,-0.983056036329444,0.)); #368313=DIRECTION('',(0.,0.,1.)); #368314=DIRECTION('center_axis',(-0.842362410729263,0.538911466746055,0.)); #368315=DIRECTION('ref_axis',(-0.538911466746055,-0.842362410729263,0.)); #368316=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #368317=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #368318=DIRECTION('',(0.,0.,1.)); #368319=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #368320=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #368321=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #368322=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #368323=DIRECTION('',(0.,0.,1.)); #368324=DIRECTION('center_axis',(-0.1989560192326,0.980008419561341,0.)); #368325=DIRECTION('ref_axis',(-0.980008419561341,-0.1989560192326,0.)); #368326=DIRECTION('',(-0.980008419561341,-0.198956019232599,0.)); #368327=DIRECTION('',(-0.980008419561341,-0.198956019232599,0.)); #368328=DIRECTION('',(0.,0.,1.)); #368329=DIRECTION('center_axis',(0.,1.,0.)); #368330=DIRECTION('ref_axis',(-1.,0.,0.)); #368331=DIRECTION('',(-1.,0.,0.)); #368332=DIRECTION('',(-1.,0.,0.)); #368333=DIRECTION('',(0.,0.,1.)); #368334=DIRECTION('center_axis',(-0.707106781186583,0.707106781186512,0.)); #368335=DIRECTION('ref_axis',(-0.707106781186512,-0.707106781186583,0.)); #368336=DIRECTION('',(-0.707106781186512,-0.707106781186583,0.)); #368337=DIRECTION('',(-0.707106781186512,-0.707106781186583,0.)); #368338=DIRECTION('',(0.,0.,1.)); #368339=DIRECTION('center_axis',(-1.,0.,0.)); #368340=DIRECTION('ref_axis',(0.,-1.,0.)); #368341=DIRECTION('',(0.,-1.,0.)); #368342=DIRECTION('',(0.,-1.,0.)); #368343=DIRECTION('',(0.,0.,1.)); #368344=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #368345=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #368346=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #368347=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #368348=DIRECTION('',(0.,0.,1.)); #368349=DIRECTION('center_axis',(0.,-1.,0.)); #368350=DIRECTION('ref_axis',(1.,0.,0.)); #368351=DIRECTION('',(1.,0.,0.)); #368352=DIRECTION('',(1.,0.,0.)); #368353=DIRECTION('',(0.,0.,1.)); #368354=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #368355=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #368356=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #368357=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #368358=DIRECTION('',(0.,0.,1.)); #368359=DIRECTION('center_axis',(1.,0.,0.)); #368360=DIRECTION('ref_axis',(0.,1.,0.)); #368361=DIRECTION('',(0.,1.,0.)); #368362=DIRECTION('',(0.,1.,0.)); #368363=DIRECTION('',(0.,0.,1.)); #368364=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #368365=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #368366=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #368367=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #368368=DIRECTION('',(0.,0.,1.)); #368369=DIRECTION('center_axis',(0.,1.,0.)); #368370=DIRECTION('ref_axis',(-1.,0.,0.)); #368371=DIRECTION('',(-1.,0.,0.)); #368372=DIRECTION('',(-1.,0.,0.)); #368373=DIRECTION('',(0.,0.,1.)); #368374=DIRECTION('center_axis',(0.183305290257262,0.983056036329416,0.)); #368375=DIRECTION('ref_axis',(-0.983056036329416,0.183305290257262,0.)); #368376=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #368377=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #368378=DIRECTION('',(0.,0.,1.)); #368379=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #368380=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #368381=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #368382=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #368383=DIRECTION('',(0.,0.,1.)); #368384=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #368385=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #368386=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #368387=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #368388=DIRECTION('',(0.,0.,1.)); #368389=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #368390=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #368391=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #368392=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #368393=DIRECTION('',(0.,0.,1.)); #368394=DIRECTION('center_axis',(1.,0.,0.)); #368395=DIRECTION('ref_axis',(0.,1.,0.)); #368396=DIRECTION('',(0.,1.,0.)); #368397=DIRECTION('',(0.,1.,0.)); #368398=DIRECTION('',(0.,0.,1.)); #368399=DIRECTION('center_axis',(0.981560865252911,-0.191149856928945,0.)); #368400=DIRECTION('ref_axis',(0.191149856928945,0.981560865252911,0.)); #368401=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #368402=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #368403=DIRECTION('',(0.,0.,1.)); #368404=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #368405=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #368406=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #368407=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #368408=DIRECTION('',(0.,0.,1.)); #368409=DIRECTION('center_axis',(0.707106781186553,-0.707106781186542,0.)); #368410=DIRECTION('ref_axis',(0.707106781186542,0.707106781186553,0.)); #368411=DIRECTION('',(0.707106781186542,0.707106781186553,0.)); #368412=DIRECTION('',(0.707106781186542,0.707106781186553,0.)); #368413=DIRECTION('',(0.,0.,1.)); #368414=DIRECTION('center_axis',(0.558946331948864,-0.829203833807412,0.)); #368415=DIRECTION('ref_axis',(0.829203833807412,0.558946331948864,0.)); #368416=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #368417=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #368418=DIRECTION('',(0.,0.,1.)); #368419=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #368420=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #368421=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #368422=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #368423=DIRECTION('',(0.,0.,1.)); #368424=DIRECTION('center_axis',(0.,-1.,0.)); #368425=DIRECTION('ref_axis',(1.,0.,0.)); #368426=DIRECTION('',(1.,0.,0.)); #368427=DIRECTION('',(1.,0.,0.)); #368428=DIRECTION('',(0.,0.,1.)); #368429=DIRECTION('center_axis',(-0.175495599689754,-0.984480215387558, 0.)); #368430=DIRECTION('ref_axis',(0.984480215387559,-0.175495599689754,0.)); #368431=DIRECTION('',(0.984480215387559,-0.175495599689754,0.)); #368432=DIRECTION('',(0.984480215387559,-0.175495599689754,0.)); #368433=DIRECTION('',(0.,0.,1.)); #368434=DIRECTION('center_axis',(-0.525373540973438,-0.850871695642199, 0.)); #368435=DIRECTION('ref_axis',(0.850871695642199,-0.525373540973438,0.)); #368436=DIRECTION('',(0.850871695642199,-0.525373540973438,0.)); #368437=DIRECTION('',(0.850871695642199,-0.525373540973438,0.)); #368438=DIRECTION('',(0.,0.,1.)); #368439=DIRECTION('center_axis',(-0.81569385217889,-0.578483828224751,0.)); #368440=DIRECTION('ref_axis',(0.578483828224751,-0.81569385217889,0.)); #368441=DIRECTION('',(0.578483828224751,-0.81569385217889,0.)); #368442=DIRECTION('',(0.578483828224751,-0.81569385217889,0.)); #368443=DIRECTION('',(0.,0.,1.)); #368444=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #368445=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #368446=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #368447=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #368448=DIRECTION('',(0.,0.,1.)); #368449=DIRECTION('center_axis',(-0.983056472197872,0.183302952703647,0.)); #368450=DIRECTION('ref_axis',(-0.183302952703647,-0.983056472197872,0.)); #368451=DIRECTION('',(-0.183302952703646,-0.983056472197872,0.)); #368452=DIRECTION('',(-0.183302952703646,-0.983056472197872,0.)); #368453=DIRECTION('',(0.,0.,1.)); #368454=DIRECTION('center_axis',(-0.833659859749845,0.552278225391757,0.)); #368455=DIRECTION('ref_axis',(-0.552278225391757,-0.833659859749845,0.)); #368456=DIRECTION('',(-0.552278225391757,-0.833659859749845,0.)); #368457=DIRECTION('',(-0.552278225391757,-0.833659859749845,0.)); #368458=DIRECTION('',(0.,0.,1.)); #368459=DIRECTION('center_axis',(-0.707106781186542,0.707106781186553,0.)); #368460=DIRECTION('ref_axis',(-0.707106781186553,-0.707106781186542,0.)); #368461=DIRECTION('',(-0.707106781186553,-0.707106781186542,0.)); #368462=DIRECTION('',(-0.707106781186553,-0.707106781186542,0.)); #368463=DIRECTION('',(0.,0.,1.)); #368464=DIRECTION('center_axis',(-1.,0.,0.)); #368465=DIRECTION('ref_axis',(0.,-1.,0.)); #368466=DIRECTION('',(0.,-1.,0.)); #368467=DIRECTION('',(0.,-1.,0.)); #368468=DIRECTION('',(0.,0.,1.)); #368469=DIRECTION('center_axis',(-0.98156086525294,0.191149856928798,0.)); #368470=DIRECTION('ref_axis',(-0.191149856928798,-0.98156086525294,0.)); #368471=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #368472=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #368473=DIRECTION('',(0.,0.,1.)); #368474=DIRECTION('center_axis',(-0.833669916115057,0.552263045083332,0.)); #368475=DIRECTION('ref_axis',(-0.552263045083332,-0.833669916115057,0.)); #368476=DIRECTION('',(-0.552263045083332,-0.833669916115057,0.)); #368477=DIRECTION('',(-0.552263045083332,-0.833669916115057,0.)); #368478=DIRECTION('',(0.,0.,1.)); #368479=DIRECTION('center_axis',(-0.707106781186552,0.707106781186543,0.)); #368480=DIRECTION('ref_axis',(-0.707106781186543,-0.707106781186552,0.)); #368481=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #368482=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #368483=DIRECTION('',(0.,0.,1.)); #368484=DIRECTION('center_axis',(-0.572058771549169,0.820212632122705,0.)); #368485=DIRECTION('ref_axis',(-0.820212632122705,-0.572058771549169,0.)); #368486=DIRECTION('',(-0.820212632122705,-0.572058771549169,0.)); #368487=DIRECTION('',(-0.820212632122705,-0.572058771549169,0.)); #368488=DIRECTION('',(0.,0.,1.)); #368489=DIRECTION('center_axis',(-0.230165683714756,0.973151456886398,0.)); #368490=DIRECTION('ref_axis',(-0.973151456886398,-0.230165683714756,0.)); #368491=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #368492=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #368493=DIRECTION('',(0.,0.,1.)); #368494=DIRECTION('center_axis',(0.167643887112495,0.985847618607365,0.)); #368495=DIRECTION('ref_axis',(-0.985847618607365,0.167643887112495,0.)); #368496=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #368497=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #368498=DIRECTION('',(0.,0.,1.)); #368499=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #368500=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #368501=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #368502=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #368503=DIRECTION('',(0.,0.,1.)); #368504=DIRECTION('center_axis',(0.824756093514902,0.565488626066023,0.)); #368505=DIRECTION('ref_axis',(-0.565488626066023,0.824756093514902,0.)); #368506=DIRECTION('',(-0.565488626066023,0.824756093514902,0.)); #368507=DIRECTION('',(-0.565488626066023,0.824756093514902,0.)); #368508=DIRECTION('',(0.,0.,1.)); #368509=DIRECTION('center_axis',(0.980008419561381,0.198956019232402,0.)); #368510=DIRECTION('ref_axis',(-0.198956019232402,0.980008419561381,0.)); #368511=DIRECTION('',(-0.198956019232402,0.980008419561381,0.)); #368512=DIRECTION('',(-0.198956019232402,0.980008419561381,0.)); #368513=DIRECTION('',(0.,0.,1.)); #368514=DIRECTION('center_axis',(1.,0.,0.)); #368515=DIRECTION('ref_axis',(0.,1.,0.)); #368516=DIRECTION('',(0.,1.,0.)); #368517=DIRECTION('',(0.,1.,0.)); #368518=DIRECTION('',(0.,0.,1.)); #368519=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #368520=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #368521=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #368522=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #368523=DIRECTION('',(0.,0.,1.)); #368524=DIRECTION('center_axis',(0.,1.,0.)); #368525=DIRECTION('ref_axis',(-1.,0.,0.)); #368526=DIRECTION('',(-1.,0.,0.)); #368527=DIRECTION('',(-1.,0.,0.)); #368528=DIRECTION('',(0.,0.,1.)); #368529=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #368530=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #368531=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #368532=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #368533=DIRECTION('',(0.,0.,1.)); #368534=DIRECTION('center_axis',(-1.,0.,0.)); #368535=DIRECTION('ref_axis',(0.,-1.,0.)); #368536=DIRECTION('',(0.,-1.,0.)); #368537=DIRECTION('',(0.,-1.,0.)); #368538=DIRECTION('',(0.,0.,1.)); #368539=DIRECTION('center_axis',(-0.981560865252974,0.191149856928623,0.)); #368540=DIRECTION('ref_axis',(-0.191149856928623,-0.981560865252974,0.)); #368541=DIRECTION('',(-0.191149856928623,-0.981560865252974,0.)); #368542=DIRECTION('',(-0.191149856928623,-0.981560865252974,0.)); #368543=DIRECTION('',(0.,0.,1.)); #368544=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #368545=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #368546=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #368547=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #368548=DIRECTION('',(0.,0.,1.)); #368549=DIRECTION('center_axis',(-0.707106781186546,0.707106781186549,0.)); #368550=DIRECTION('ref_axis',(-0.707106781186549,-0.707106781186546,0.)); #368551=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #368552=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #368553=DIRECTION('',(0.,0.,1.)); #368554=DIRECTION('center_axis',(-0.558946331948969,0.829203833807341,0.)); #368555=DIRECTION('ref_axis',(-0.829203833807341,-0.558946331948969,0.)); #368556=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #368557=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #368558=DIRECTION('',(0.,0.,1.)); #368559=DIRECTION('center_axis',(-0.19895601923274,0.980008419561313,0.)); #368560=DIRECTION('ref_axis',(-0.980008419561313,-0.19895601923274,0.)); #368561=DIRECTION('',(-0.980008419561313,-0.19895601923274,0.)); #368562=DIRECTION('',(-0.980008419561313,-0.19895601923274,0.)); #368563=DIRECTION('',(0.,0.,1.)); #368564=DIRECTION('center_axis',(0.,1.,0.)); #368565=DIRECTION('ref_axis',(-1.,0.,0.)); #368566=DIRECTION('',(-1.,0.,0.)); #368567=DIRECTION('',(-1.,0.,0.)); #368568=DIRECTION('',(0.,0.,1.)); #368569=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #368570=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #368571=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #368572=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #368573=DIRECTION('',(0.,0.,1.)); #368574=DIRECTION('center_axis',(-1.,0.,0.)); #368575=DIRECTION('ref_axis',(0.,-1.,0.)); #368576=DIRECTION('',(0.,-1.,0.)); #368577=DIRECTION('',(0.,-1.,0.)); #368578=DIRECTION('',(0.,0.,1.)); #368579=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #368580=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #368581=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #368582=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #368583=DIRECTION('',(0.,0.,1.)); #368584=DIRECTION('center_axis',(0.,-1.,0.)); #368585=DIRECTION('ref_axis',(1.,0.,0.)); #368586=DIRECTION('',(1.,0.,0.)); #368587=DIRECTION('',(1.,0.,0.)); #368588=DIRECTION('',(0.,0.,1.)); #368589=DIRECTION('center_axis',(-0.175495599689668,-0.984480215387574, 0.)); #368590=DIRECTION('ref_axis',(0.984480215387574,-0.175495599689668,0.)); #368591=DIRECTION('',(0.984480215387574,-0.175495599689668,0.)); #368592=DIRECTION('',(0.984480215387574,-0.175495599689668,0.)); #368593=DIRECTION('',(0.,0.,1.)); #368594=DIRECTION('center_axis',(-0.52537354097374,-0.850871695642012,0.)); #368595=DIRECTION('ref_axis',(0.850871695642012,-0.52537354097374,0.)); #368596=DIRECTION('',(0.850871695642012,-0.52537354097374,0.)); #368597=DIRECTION('',(0.850871695642012,-0.52537354097374,0.)); #368598=DIRECTION('',(0.,0.,1.)); #368599=DIRECTION('center_axis',(-0.815693852178772,-0.578483828224917, 0.)); #368600=DIRECTION('ref_axis',(0.578483828224917,-0.815693852178772,0.)); #368601=DIRECTION('',(0.578483828224917,-0.815693852178772,0.)); #368602=DIRECTION('',(0.578483828224917,-0.815693852178772,0.)); #368603=DIRECTION('',(0.,0.,1.)); #368604=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #368605=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #368606=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #368607=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #368608=DIRECTION('',(0.,0.,1.)); #368609=DIRECTION('center_axis',(-0.983056472197898,0.183302952703505,0.)); #368610=DIRECTION('ref_axis',(-0.183302952703505,-0.983056472197898,0.)); #368611=DIRECTION('',(-0.183302952703505,-0.983056472197898,0.)); #368612=DIRECTION('',(-0.183302952703505,-0.983056472197898,0.)); #368613=DIRECTION('',(0.,0.,1.)); #368614=DIRECTION('center_axis',(-0.833659859749822,0.552278225391792,0.)); #368615=DIRECTION('ref_axis',(-0.552278225391792,-0.833659859749822,0.)); #368616=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #368617=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #368618=DIRECTION('',(0.,0.,1.)); #368619=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #368620=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #368621=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #368622=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #368623=DIRECTION('',(0.,0.,1.)); #368624=DIRECTION('center_axis',(-1.,0.,0.)); #368625=DIRECTION('ref_axis',(0.,-1.,0.)); #368626=DIRECTION('',(0.,-1.,0.)); #368627=DIRECTION('',(0.,-1.,0.)); #368628=DIRECTION('',(0.,0.,1.)); #368629=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #368630=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #368631=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #368632=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #368633=DIRECTION('',(0.,0.,1.)); #368634=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #368635=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #368636=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #368637=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #368638=DIRECTION('',(0.,0.,1.)); #368639=DIRECTION('center_axis',(-0.707108784529055,0.707104777838364,0.)); #368640=DIRECTION('ref_axis',(-0.707104777838364,-0.707108784529055,0.)); #368641=DIRECTION('',(-0.707104777838364,-0.707108784529055,0.)); #368642=DIRECTION('',(-0.707104777838364,-0.707108784529055,0.)); #368643=DIRECTION('',(0.,0.,1.)); #368644=DIRECTION('center_axis',(-1.,0.,0.)); #368645=DIRECTION('ref_axis',(0.,-1.,0.)); #368646=DIRECTION('',(0.,-1.,0.)); #368647=DIRECTION('',(0.,-1.,0.)); #368648=DIRECTION('',(0.,0.,1.)); #368649=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #368650=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #368651=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #368652=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #368653=DIRECTION('',(0.,0.,1.)); #368654=DIRECTION('center_axis',(0.,-1.,0.)); #368655=DIRECTION('ref_axis',(1.,0.,0.)); #368656=DIRECTION('',(1.,0.,0.)); #368657=DIRECTION('',(1.,0.,0.)); #368658=DIRECTION('',(0.,0.,1.)); #368659=DIRECTION('center_axis',(0.707104327390303,-0.707109234974277,0.)); #368660=DIRECTION('ref_axis',(0.707109234974277,0.707104327390303,0.)); #368661=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #368662=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #368663=DIRECTION('',(0.,0.,1.)); #368664=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #368665=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #368666=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #368667=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #368668=DIRECTION('',(0.,0.,1.)); #368669=DIRECTION('center_axis',(0.21467431451675,-0.97668569083752,0.)); #368670=DIRECTION('ref_axis',(0.97668569083752,0.21467431451675,0.)); #368671=DIRECTION('',(0.97668569083752,0.21467431451675,0.)); #368672=DIRECTION('',(0.97668569083752,0.21467431451675,0.)); #368673=DIRECTION('',(0.,0.,1.)); #368674=DIRECTION('center_axis',(-0.183334818573788,-0.983050529880594, 0.)); #368675=DIRECTION('ref_axis',(0.983050529880594,-0.183334818573788,0.)); #368676=DIRECTION('',(0.983050529880594,-0.183334818573788,0.)); #368677=DIRECTION('',(0.983050529880594,-0.183334818573788,0.)); #368678=DIRECTION('',(0.,0.,1.)); #368679=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #368680=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #368681=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #368682=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #368683=DIRECTION('',(0.,0.,1.)); #368684=DIRECTION('center_axis',(-0.707104327390303,-0.707109234974277, 0.)); #368685=DIRECTION('ref_axis',(0.707109234974277,-0.707104327390303,0.)); #368686=DIRECTION('',(0.707109234974277,-0.707104327390303,0.)); #368687=DIRECTION('',(0.707109234974277,-0.707104327390303,0.)); #368688=DIRECTION('',(0.,0.,1.)); #368689=DIRECTION('center_axis',(0.,-1.,0.)); #368690=DIRECTION('ref_axis',(1.,0.,0.)); #368691=DIRECTION('',(1.,0.,0.)); #368692=DIRECTION('',(1.,0.,0.)); #368693=DIRECTION('',(0.,0.,1.)); #368694=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #368695=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #368696=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #368697=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #368698=DIRECTION('',(0.,0.,1.)); #368699=DIRECTION('center_axis',(1.,0.,0.)); #368700=DIRECTION('ref_axis',(0.,1.,0.)); #368701=DIRECTION('',(0.,1.,0.)); #368702=DIRECTION('',(0.,1.,0.)); #368703=DIRECTION('',(0.,0.,1.)); #368704=DIRECTION('center_axis',(0.707108784529073,0.707104777838346,0.)); #368705=DIRECTION('ref_axis',(-0.707104777838346,0.707108784529073,0.)); #368706=DIRECTION('',(-0.707104777838346,0.707108784529073,0.)); #368707=DIRECTION('',(-0.707104777838346,0.707108784529073,0.)); #368708=DIRECTION('',(0.,0.,1.)); #368709=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #368710=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #368711=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #368712=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #368713=DIRECTION('',(0.,0.,1.)); #368714=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #368715=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #368716=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #368717=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #368718=DIRECTION('',(0.,0.,1.)); #368719=DIRECTION('center_axis',(1.,0.,0.)); #368720=DIRECTION('ref_axis',(0.,1.,0.)); #368721=DIRECTION('',(0.,1.,0.)); #368722=DIRECTION('',(0.,1.,0.)); #368723=DIRECTION('',(0.,0.,1.)); #368724=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #368725=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #368726=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #368727=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #368728=DIRECTION('',(0.,0.,1.)); #368729=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #368730=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #368731=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #368732=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #368733=DIRECTION('',(0.,0.,1.)); #368734=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #368735=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #368736=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #368737=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #368738=DIRECTION('',(0.,0.,1.)); #368739=DIRECTION('center_axis',(0.572058771549436,-0.820212632122518,0.)); #368740=DIRECTION('ref_axis',(0.820212632122518,0.572058771549436,0.)); #368741=DIRECTION('',(0.820212632122518,0.572058771549436,0.)); #368742=DIRECTION('',(0.820212632122518,0.572058771549436,0.)); #368743=DIRECTION('',(0.,0.,1.)); #368744=DIRECTION('center_axis',(0.230165683714756,-0.973151456886398,0.)); #368745=DIRECTION('ref_axis',(0.973151456886398,0.230165683714756,0.)); #368746=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #368747=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #368748=DIRECTION('',(0.,0.,1.)); #368749=DIRECTION('center_axis',(-0.167643887112447,-0.985847618607373, 0.)); #368750=DIRECTION('ref_axis',(0.985847618607373,-0.167643887112447,0.)); #368751=DIRECTION('',(0.985847618607373,-0.167643887112447,0.)); #368752=DIRECTION('',(0.985847618607373,-0.167643887112447,0.)); #368753=DIRECTION('',(0.,0.,1.)); #368754=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #368755=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #368756=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #368757=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #368758=DIRECTION('',(0.,0.,1.)); #368759=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #368760=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #368761=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #368762=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #368763=DIRECTION('',(0.,0.,1.)); #368764=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #368765=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #368766=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #368767=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #368768=DIRECTION('',(0.,0.,1.)); #368769=DIRECTION('center_axis',(-1.,0.,0.)); #368770=DIRECTION('ref_axis',(0.,-1.,0.)); #368771=DIRECTION('',(0.,-1.,0.)); #368772=DIRECTION('',(0.,-1.,0.)); #368773=DIRECTION('',(0.,0.,1.)); #368774=DIRECTION('center_axis',(-0.70710678118655,-0.707106781186545,0.)); #368775=DIRECTION('ref_axis',(0.707106781186545,-0.70710678118655,0.)); #368776=DIRECTION('',(0.707106781186545,-0.70710678118655,0.)); #368777=DIRECTION('',(0.707106781186545,-0.70710678118655,0.)); #368778=DIRECTION('',(0.,0.,1.)); #368779=DIRECTION('center_axis',(-0.829203833807341,-0.558946331948969, 0.)); #368780=DIRECTION('ref_axis',(0.558946331948969,-0.829203833807341,0.)); #368781=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #368782=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #368783=DIRECTION('',(0.,0.,1.)); #368784=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #368785=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #368786=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #368787=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #368788=DIRECTION('',(0.,0.,1.)); #368789=DIRECTION('center_axis',(-1.,0.,0.)); #368790=DIRECTION('ref_axis',(0.,-1.,0.)); #368791=DIRECTION('',(0.,-1.,0.)); #368792=DIRECTION('',(0.,-1.,0.)); #368793=DIRECTION('',(0.,0.,1.)); #368794=DIRECTION('center_axis',(-0.981560865252922,0.191149856928888,0.)); #368795=DIRECTION('ref_axis',(-0.191149856928888,-0.981560865252922,0.)); #368796=DIRECTION('',(-0.191149856928888,-0.981560865252922,0.)); #368797=DIRECTION('',(-0.191149856928888,-0.981560865252922,0.)); #368798=DIRECTION('',(0.,0.,1.)); #368799=DIRECTION('center_axis',(-0.83365985974971,0.552278225391961,0.)); #368800=DIRECTION('ref_axis',(-0.552278225391961,-0.83365985974971,0.)); #368801=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #368802=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #368803=DIRECTION('',(0.,0.,1.)); #368804=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #368805=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #368806=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #368807=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #368808=DIRECTION('',(0.,0.,1.)); #368809=DIRECTION('center_axis',(-0.558946331948898,0.829203833807389,0.)); #368810=DIRECTION('ref_axis',(-0.829203833807389,-0.558946331948898,0.)); #368811=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #368812=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #368813=DIRECTION('',(0.,0.,1.)); #368814=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #368815=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #368816=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #368817=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #368818=DIRECTION('',(0.,0.,1.)); #368819=DIRECTION('center_axis',(0.,1.,0.)); #368820=DIRECTION('ref_axis',(-1.,0.,0.)); #368821=DIRECTION('',(-1.,0.,0.)); #368822=DIRECTION('',(-1.,0.,0.)); #368823=DIRECTION('',(0.,0.,1.)); #368824=DIRECTION('center_axis',(-0.707106781186553,0.707106781186542,0.)); #368825=DIRECTION('ref_axis',(-0.707106781186542,-0.707106781186553,0.)); #368826=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #368827=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #368828=DIRECTION('',(0.,0.,1.)); #368829=DIRECTION('center_axis',(-0.572058771549325,0.820212632122596,0.)); #368830=DIRECTION('ref_axis',(-0.820212632122596,-0.572058771549325,0.)); #368831=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #368832=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #368833=DIRECTION('',(0.,0.,1.)); #368834=DIRECTION('center_axis',(-0.230165683714756,0.973151456886398,0.)); #368835=DIRECTION('ref_axis',(-0.973151456886398,-0.230165683714756,0.)); #368836=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #368837=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #368838=DIRECTION('',(0.,0.,1.)); #368839=DIRECTION('center_axis',(0.167643887112495,0.985847618607365,0.)); #368840=DIRECTION('ref_axis',(-0.985847618607365,0.167643887112495,0.)); #368841=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #368842=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #368843=DIRECTION('',(0.,0.,1.)); #368844=DIRECTION('center_axis',(0.538911466745818,0.842362410729415,0.)); #368845=DIRECTION('ref_axis',(-0.842362410729414,0.538911466745818,0.)); #368846=DIRECTION('',(-0.842362410729415,0.538911466745818,0.)); #368847=DIRECTION('',(-0.842362410729415,0.538911466745818,0.)); #368848=DIRECTION('',(0.,0.,1.)); #368849=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #368850=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #368851=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #368852=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #368853=DIRECTION('',(0.,0.,1.)); #368854=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #368855=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #368856=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #368857=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #368858=DIRECTION('',(0.,0.,1.)); #368859=DIRECTION('center_axis',(1.,0.,0.)); #368860=DIRECTION('ref_axis',(0.,1.,0.)); #368861=DIRECTION('',(0.,1.,0.)); #368862=DIRECTION('',(0.,1.,0.)); #368863=DIRECTION('',(0.,0.,1.)); #368864=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #368865=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #368866=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #368867=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #368868=DIRECTION('',(0.,0.,1.)); #368869=DIRECTION('center_axis',(0.,1.,0.)); #368870=DIRECTION('ref_axis',(-1.,0.,0.)); #368871=DIRECTION('',(-1.,0.,0.)); #368872=DIRECTION('',(-1.,0.,0.)); #368873=DIRECTION('',(0.,0.,1.)); #368874=DIRECTION('center_axis',(-0.707104327390303,0.707109234974277,0.)); #368875=DIRECTION('ref_axis',(-0.707109234974277,-0.707104327390303,0.)); #368876=DIRECTION('',(-0.707109234974277,-0.707104327390303,0.)); #368877=DIRECTION('',(-0.707109234974277,-0.707104327390303,0.)); #368878=DIRECTION('',(0.,0.,1.)); #368879=DIRECTION('center_axis',(-0.565439331101364,0.824789890119685,0.)); #368880=DIRECTION('ref_axis',(-0.824789890119685,-0.565439331101364,0.)); #368881=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #368882=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #368883=DIRECTION('',(0.,0.,1.)); #368884=DIRECTION('center_axis',(-0.214674314516549,0.976685690837564,0.)); #368885=DIRECTION('ref_axis',(-0.976685690837564,-0.214674314516549,0.)); #368886=DIRECTION('',(-0.976685690837564,-0.214674314516549,0.)); #368887=DIRECTION('',(-0.976685690837564,-0.214674314516549,0.)); #368888=DIRECTION('',(0.,0.,1.)); #368889=DIRECTION('center_axis',(0.183334818573558,0.983050529880637,0.)); #368890=DIRECTION('ref_axis',(-0.983050529880637,0.183334818573558,0.)); #368891=DIRECTION('',(-0.983050529880637,0.183334818573558,0.)); #368892=DIRECTION('',(-0.983050529880637,0.183334818573558,0.)); #368893=DIRECTION('',(0.,0.,1.)); #368894=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #368895=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #368896=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #368897=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #368898=DIRECTION('',(0.,0.,1.)); #368899=DIRECTION('center_axis',(0.707104327390303,0.707109234974277,0.)); #368900=DIRECTION('ref_axis',(-0.707109234974277,0.707104327390303,0.)); #368901=DIRECTION('',(-0.707109234974277,0.707104327390303,0.)); #368902=DIRECTION('',(-0.707109234974277,0.707104327390303,0.)); #368903=DIRECTION('',(0.,0.,1.)); #368904=DIRECTION('center_axis',(0.,1.,0.)); #368905=DIRECTION('ref_axis',(-1.,0.,0.)); #368906=DIRECTION('',(-1.,0.,0.)); #368907=DIRECTION('',(-1.,0.,0.)); #368908=DIRECTION('',(0.,0.,1.)); #368909=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #368910=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #368911=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #368912=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #368913=DIRECTION('',(0.,0.,1.)); #368914=DIRECTION('center_axis',(-1.,0.,0.)); #368915=DIRECTION('ref_axis',(0.,-1.,0.)); #368916=DIRECTION('',(0.,-1.,0.)); #368917=DIRECTION('',(0.,-1.,0.)); #368918=DIRECTION('',(0.,0.,1.)); #368919=DIRECTION('center_axis',(-0.707108784529055,-0.707104777838364, 0.)); #368920=DIRECTION('ref_axis',(0.707104777838364,-0.707108784529055,0.)); #368921=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #368922=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #368923=DIRECTION('',(0.,0.,1.)); #368924=DIRECTION('center_axis',(-0.829203833807366,-0.558946331948933, 0.)); #368925=DIRECTION('ref_axis',(0.558946331948932,-0.829203833807366,0.)); #368926=DIRECTION('',(0.558946331948932,-0.829203833807366,0.)); #368927=DIRECTION('',(0.558946331948932,-0.829203833807366,0.)); #368928=DIRECTION('',(0.,0.,1.)); #368929=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #368930=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #368931=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #368932=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #368933=DIRECTION('',(0.,0.,1.)); #368934=DIRECTION('center_axis',(-1.,0.,0.)); #368935=DIRECTION('ref_axis',(0.,-1.,0.)); #368936=DIRECTION('',(0.,-1.,0.)); #368937=DIRECTION('',(0.,-1.,0.)); #368938=DIRECTION('',(0.,0.,1.)); #368939=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #368940=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #368941=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #368942=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #368943=DIRECTION('',(0.,0.,1.)); #368944=DIRECTION('center_axis',(-0.833659859749643,0.552278225392063,0.)); #368945=DIRECTION('ref_axis',(-0.552278225392063,-0.833659859749643,0.)); #368946=DIRECTION('',(-0.552278225392063,-0.833659859749643,0.)); #368947=DIRECTION('',(-0.552278225392063,-0.833659859749643,0.)); #368948=DIRECTION('',(0.,0.,1.)); #368949=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #368950=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #368951=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #368952=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #368953=DIRECTION('',(0.,0.,1.)); #368954=DIRECTION('center_axis',(-0.55894633194911,0.829203833807246,0.)); #368955=DIRECTION('ref_axis',(-0.829203833807246,-0.55894633194911,0.)); #368956=DIRECTION('',(-0.829203833807246,-0.55894633194911,0.)); #368957=DIRECTION('',(-0.829203833807246,-0.55894633194911,0.)); #368958=DIRECTION('',(0.,0.,1.)); #368959=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #368960=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #368961=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #368962=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #368963=DIRECTION('',(0.,0.,1.)); #368964=DIRECTION('center_axis',(0.,1.,0.)); #368965=DIRECTION('ref_axis',(-1.,0.,0.)); #368966=DIRECTION('',(-1.,0.,0.)); #368967=DIRECTION('',(-1.,0.,0.)); #368968=DIRECTION('',(0.,0.,1.)); #368969=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #368970=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #368971=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #368972=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #368973=DIRECTION('',(0.,0.,1.)); #368974=DIRECTION('center_axis',(0.538911466745847,0.842362410729396,0.)); #368975=DIRECTION('ref_axis',(-0.842362410729396,0.538911466745847,0.)); #368976=DIRECTION('',(-0.842362410729396,0.538911466745847,0.)); #368977=DIRECTION('',(-0.842362410729396,0.538911466745847,0.)); #368978=DIRECTION('',(0.,0.,1.)); #368979=DIRECTION('center_axis',(0.824745549034014,0.565504004714894,0.)); #368980=DIRECTION('ref_axis',(-0.565504004714894,0.824745549034014,0.)); #368981=DIRECTION('',(-0.565504004714894,0.824745549034014,0.)); #368982=DIRECTION('',(-0.565504004714894,0.824745549034014,0.)); #368983=DIRECTION('',(0.,0.,1.)); #368984=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #368985=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #368986=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #368987=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #368988=DIRECTION('',(0.,0.,1.)); #368989=DIRECTION('center_axis',(1.,0.,0.)); #368990=DIRECTION('ref_axis',(0.,1.,0.)); #368991=DIRECTION('',(0.,1.,0.)); #368992=DIRECTION('',(0.,1.,0.)); #368993=DIRECTION('',(0.,0.,1.)); #368994=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #368995=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #368996=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #368997=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #368998=DIRECTION('',(0.,0.,1.)); #368999=DIRECTION('center_axis',(0.833659859749822,-0.552278225391792,0.)); #369000=DIRECTION('ref_axis',(0.552278225391792,0.833659859749822,0.)); #369001=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #369002=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #369003=DIRECTION('',(0.,0.,1.)); #369004=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #369005=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #369006=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #369007=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #369008=DIRECTION('',(0.,0.,1.)); #369009=DIRECTION('center_axis',(0.558946331948723,-0.829203833807507,0.)); #369010=DIRECTION('ref_axis',(0.829203833807507,0.558946331948723,0.)); #369011=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #369012=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #369013=DIRECTION('',(0.,0.,1.)); #369014=DIRECTION('center_axis',(0.19895601923274,-0.980008419561313,0.)); #369015=DIRECTION('ref_axis',(0.980008419561313,0.19895601923274,0.)); #369016=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #369017=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #369018=DIRECTION('',(0.,0.,1.)); #369019=DIRECTION('center_axis',(0.,-1.,0.)); #369020=DIRECTION('ref_axis',(1.,0.,0.)); #369021=DIRECTION('',(1.,0.,0.)); #369022=DIRECTION('',(1.,0.,0.)); #369023=DIRECTION('',(0.,0.,1.)); #369024=DIRECTION('center_axis',(0.105885061288489,-0.994378375567336,0.)); #369025=DIRECTION('ref_axis',(0.994378375567335,0.105885061288489,0.)); #369026=DIRECTION('',(0.994378375567335,0.105885061288489,0.)); #369027=DIRECTION('',(0.994378375567335,0.105885061288489,0.)); #369028=DIRECTION('',(0.,0.,1.)); #369029=DIRECTION('center_axis',(0.312922107778818,-0.949778792384449,0.)); #369030=DIRECTION('ref_axis',(0.949778792384449,0.312922107778818,0.)); #369031=DIRECTION('',(0.949778792384449,0.312922107778818,0.)); #369032=DIRECTION('',(0.949778792384449,0.312922107778818,0.)); #369033=DIRECTION('',(0.,0.,1.)); #369034=DIRECTION('center_axis',(0.506114900434878,-0.862466061684628,0.)); #369035=DIRECTION('ref_axis',(0.862466061684628,0.506114900434878,0.)); #369036=DIRECTION('',(0.862466061684628,0.506114900434878,0.)); #369037=DIRECTION('',(0.862466061684628,0.506114900434878,0.)); #369038=DIRECTION('',(0.,0.,1.)); #369039=DIRECTION('center_axis',(0.65250882445189,-0.757781125399949,0.)); #369040=DIRECTION('ref_axis',(0.757781125399949,0.65250882445189,0.)); #369041=DIRECTION('',(0.757781125399949,0.65250882445189,0.)); #369042=DIRECTION('',(0.757781125399949,0.65250882445189,0.)); #369043=DIRECTION('',(0.,0.,1.)); #369044=DIRECTION('center_axis',(0.70710706513946,-0.707106497233521,0.)); #369045=DIRECTION('ref_axis',(0.707106497233521,0.70710706513946,0.)); #369046=DIRECTION('',(0.707106497233521,0.70710706513946,0.)); #369047=DIRECTION('',(0.707106497233521,0.70710706513946,0.)); #369048=DIRECTION('',(0.,0.,1.)); #369049=DIRECTION('center_axis',(0.77804881138165,-0.628203826084816,0.)); #369050=DIRECTION('ref_axis',(0.628203826084816,0.77804881138165,0.)); #369051=DIRECTION('',(0.628203826084816,0.77804881138165,0.)); #369052=DIRECTION('',(0.628203826084816,0.77804881138165,0.)); #369053=DIRECTION('',(0.,0.,1.)); #369054=DIRECTION('center_axis',(0.892938781493067,-0.450178111979777,0.)); #369055=DIRECTION('ref_axis',(0.450178111979777,0.892938781493067,0.)); #369056=DIRECTION('',(0.450178111979777,0.892938781493067,0.)); #369057=DIRECTION('',(0.450178111979777,0.892938781493067,0.)); #369058=DIRECTION('',(0.,0.,1.)); #369059=DIRECTION('center_axis',(0.967662278078034,-0.252249312357498,0.)); #369060=DIRECTION('ref_axis',(0.252249312357498,0.967662278078034,0.)); #369061=DIRECTION('',(0.252249312357498,0.967662278078034,0.)); #369062=DIRECTION('',(0.252249312357498,0.967662278078034,0.)); #369063=DIRECTION('',(0.,0.,1.)); #369064=DIRECTION('center_axis',(0.997238118319985,-0.0742706898420613, 0.)); #369065=DIRECTION('ref_axis',(0.0742706898420613,0.997238118319985,0.)); #369066=DIRECTION('',(0.0742706898420613,0.997238118319985,0.)); #369067=DIRECTION('',(0.0742706898420613,0.997238118319985,0.)); #369068=DIRECTION('',(0.,0.,1.)); #369069=DIRECTION('center_axis',(1.,0.,0.)); #369070=DIRECTION('ref_axis',(0.,1.,0.)); #369071=DIRECTION('',(0.,1.,0.)); #369072=DIRECTION('',(0.,1.,0.)); #369073=DIRECTION('',(0.,0.,1.)); #369074=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #369075=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #369076=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #369077=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #369078=DIRECTION('',(0.,0.,1.)); #369079=DIRECTION('center_axis',(0.84236241072922,-0.538911466746122,0.)); #369080=DIRECTION('ref_axis',(0.538911466746122,0.84236241072922,0.)); #369081=DIRECTION('',(0.538911466746122,0.84236241072922,0.)); #369082=DIRECTION('',(0.538911466746122,0.84236241072922,0.)); #369083=DIRECTION('',(0.,0.,1.)); #369084=DIRECTION('center_axis',(0.565504004715081,-0.824745549033886,0.)); #369085=DIRECTION('ref_axis',(0.824745549033886,0.565504004715081,0.)); #369086=DIRECTION('',(0.824745549033886,0.565504004715081,0.)); #369087=DIRECTION('',(0.824745549033886,0.565504004715081,0.)); #369088=DIRECTION('center_axis',(0.,0.,1.)); #369089=DIRECTION('ref_axis',(1.,0.,0.)); #369090=DIRECTION('center_axis',(0.,0.,1.)); #369091=DIRECTION('ref_axis',(1.,0.,0.)); #369092=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #369093=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #369094=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #369095=DIRECTION('',(0.,0.,1.)); #369096=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #369097=DIRECTION('',(0.,0.,1.)); #369098=DIRECTION('center_axis',(0.,-1.,0.)); #369099=DIRECTION('ref_axis',(1.,0.,0.)); #369100=DIRECTION('',(1.,0.,0.)); #369101=DIRECTION('',(1.,0.,0.)); #369102=DIRECTION('',(0.,0.,1.)); #369103=DIRECTION('center_axis',(-0.17550205938607,-0.984479063846077,0.)); #369104=DIRECTION('ref_axis',(0.984479063846077,-0.17550205938607,0.)); #369105=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #369106=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #369107=DIRECTION('',(0.,0.,1.)); #369108=DIRECTION('center_axis',(-0.525373540973438,-0.850871695642199, 0.)); #369109=DIRECTION('ref_axis',(0.850871695642199,-0.525373540973438,0.)); #369110=DIRECTION('',(0.850871695642199,-0.525373540973438,0.)); #369111=DIRECTION('',(0.850871695642199,-0.525373540973438,0.)); #369112=DIRECTION('',(0.,0.,1.)); #369113=DIRECTION('center_axis',(-0.815693852178959,-0.578483828224653, 0.)); #369114=DIRECTION('ref_axis',(0.578483828224653,-0.815693852178959,0.)); #369115=DIRECTION('',(0.578483828224653,-0.815693852178959,0.)); #369116=DIRECTION('',(0.578483828224653,-0.815693852178959,0.)); #369117=DIRECTION('',(0.,0.,1.)); #369118=DIRECTION('center_axis',(-0.97669260445364,-0.214642857802362,0.)); #369119=DIRECTION('ref_axis',(0.214642857802362,-0.97669260445364,0.)); #369120=DIRECTION('',(0.214642857802362,-0.97669260445364,0.)); #369121=DIRECTION('',(0.214642857802362,-0.97669260445364,0.)); #369122=DIRECTION('',(0.,0.,1.)); #369123=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #369124=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #369125=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #369126=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #369127=DIRECTION('',(0.,0.,1.)); #369128=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #369129=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #369130=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #369131=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #369132=DIRECTION('',(0.,0.,1.)); #369133=DIRECTION('center_axis',(-0.707106781186553,0.707106781186542,0.)); #369134=DIRECTION('ref_axis',(-0.707106781186542,-0.707106781186553,0.)); #369135=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #369136=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #369137=DIRECTION('',(0.,0.,1.)); #369138=DIRECTION('center_axis',(-0.558946331948917,0.829203833807377,0.)); #369139=DIRECTION('ref_axis',(-0.829203833807377,-0.558946331948917,0.)); #369140=DIRECTION('',(-0.829203833807377,-0.558946331948917,0.)); #369141=DIRECTION('',(-0.829203833807377,-0.558946331948917,0.)); #369142=DIRECTION('',(0.,0.,1.)); #369143=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #369144=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #369145=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #369146=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #369147=DIRECTION('',(0.,0.,1.)); #369148=DIRECTION('center_axis',(0.,1.,0.)); #369149=DIRECTION('ref_axis',(-1.,0.,0.)); #369150=DIRECTION('',(-1.,0.,0.)); #369151=DIRECTION('',(-1.,0.,0.)); #369152=DIRECTION('',(0.,0.,1.)); #369153=DIRECTION('center_axis',(0.191149856928815,0.981560865252937,0.)); #369154=DIRECTION('ref_axis',(-0.981560865252937,0.191149856928815,0.)); #369155=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #369156=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #369157=DIRECTION('',(0.,0.,1.)); #369158=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #369159=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #369160=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #369161=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #369162=DIRECTION('',(0.,0.,1.)); #369163=DIRECTION('center_axis',(0.707106781186559,0.707106781186536,0.)); #369164=DIRECTION('ref_axis',(-0.707106781186536,0.707106781186559,0.)); #369165=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #369166=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #369167=DIRECTION('',(0.,0.,1.)); #369168=DIRECTION('center_axis',(0.824789890119785,0.565439331101219,0.)); #369169=DIRECTION('ref_axis',(-0.565439331101219,0.824789890119785,0.)); #369170=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #369171=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #369172=DIRECTION('',(0.,0.,1.)); #369173=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #369174=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #369175=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #369176=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #369177=DIRECTION('',(0.,0.,1.)); #369178=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #369179=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #369180=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #369181=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #369182=DIRECTION('',(0.,0.,1.)); #369183=DIRECTION('center_axis',(0.833659859749733,-0.552278225391927,0.)); #369184=DIRECTION('ref_axis',(0.552278225391927,0.833659859749732,0.)); #369185=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #369186=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #369187=DIRECTION('',(0.,0.,1.)); #369188=DIRECTION('center_axis',(0.707106781186559,-0.707106781186536,0.)); #369189=DIRECTION('ref_axis',(0.707106781186536,0.707106781186559,0.)); #369190=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #369191=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #369192=DIRECTION('',(0.,0.,1.)); #369193=DIRECTION('center_axis',(0.558946331948775,-0.829203833807472,0.)); #369194=DIRECTION('ref_axis',(0.829203833807472,0.558946331948775,0.)); #369195=DIRECTION('',(0.829203833807472,0.558946331948775,0.)); #369196=DIRECTION('',(0.829203833807472,0.558946331948775,0.)); #369197=DIRECTION('center_axis',(0.,0.,1.)); #369198=DIRECTION('ref_axis',(1.,0.,0.)); #369199=DIRECTION('center_axis',(0.,0.,1.)); #369200=DIRECTION('ref_axis',(1.,0.,0.)); #369201=DIRECTION('center_axis',(0.214642857802207,-0.976692604453674,0.)); #369202=DIRECTION('ref_axis',(0.976692604453674,0.214642857802207,0.)); #369203=DIRECTION('',(0.976692604453674,0.214642857802207,0.)); #369204=DIRECTION('',(0.,0.,1.)); #369205=DIRECTION('',(0.976692604453674,0.214642857802207,0.)); #369206=DIRECTION('',(0.,0.,1.)); #369207=DIRECTION('center_axis',(-0.18330295270339,-0.983056472197919,0.)); #369208=DIRECTION('ref_axis',(0.98305647219792,-0.18330295270339,0.)); #369209=DIRECTION('',(0.98305647219792,-0.18330295270339,0.)); #369210=DIRECTION('',(0.98305647219792,-0.18330295270339,0.)); #369211=DIRECTION('',(0.,0.,1.)); #369212=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #369213=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #369214=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #369215=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #369216=DIRECTION('',(0.,0.,1.)); #369217=DIRECTION('center_axis',(-0.707106781186545,-0.70710678118655,0.)); #369218=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,0.)); #369219=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #369220=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #369221=DIRECTION('',(0.,0.,1.)); #369222=DIRECTION('center_axis',(-0.829203833807389,-0.558946331948898, 0.)); #369223=DIRECTION('ref_axis',(0.558946331948898,-0.829203833807389,0.)); #369224=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #369225=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #369226=DIRECTION('',(0.,0.,1.)); #369227=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #369228=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #369229=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #369230=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #369231=DIRECTION('',(0.,0.,1.)); #369232=DIRECTION('center_axis',(-1.,0.,0.)); #369233=DIRECTION('ref_axis',(0.,-1.,0.)); #369234=DIRECTION('',(0.,-1.,0.)); #369235=DIRECTION('',(0.,-1.,0.)); #369236=DIRECTION('',(0.,0.,1.)); #369237=DIRECTION('center_axis',(-0.984479063846087,0.175502059386013,0.)); #369238=DIRECTION('ref_axis',(-0.175502059386013,-0.984479063846087,0.)); #369239=DIRECTION('',(-0.175502059386013,-0.984479063846087,0.)); #369240=DIRECTION('',(-0.175502059386013,-0.984479063846087,0.)); #369241=DIRECTION('',(0.,0.,1.)); #369242=DIRECTION('center_axis',(-0.850880796063459,0.525358802049051,0.)); #369243=DIRECTION('ref_axis',(-0.525358802049051,-0.850880796063459,0.)); #369244=DIRECTION('',(-0.525358802049051,-0.850880796063459,0.)); #369245=DIRECTION('',(-0.525358802049051,-0.850880796063459,0.)); #369246=DIRECTION('',(0.,0.,1.)); #369247=DIRECTION('center_axis',(-0.578461888589359,0.815709411156713,0.)); #369248=DIRECTION('ref_axis',(-0.815709411156713,-0.578461888589359,0.)); #369249=DIRECTION('',(-0.815709411156713,-0.578461888589359,0.)); #369250=DIRECTION('',(-0.815709411156713,-0.578461888589359,0.)); #369251=DIRECTION('',(0.,0.,1.)); #369252=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #369253=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #369254=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #369255=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #369256=DIRECTION('',(0.,0.,1.)); #369257=DIRECTION('center_axis',(0.18333481857362,0.983050529880625,0.)); #369258=DIRECTION('ref_axis',(-0.983050529880625,0.18333481857362,0.)); #369259=DIRECTION('',(-0.983050529880625,0.18333481857362,0.)); #369260=DIRECTION('',(-0.983050529880625,0.18333481857362,0.)); #369261=DIRECTION('',(0.,0.,1.)); #369262=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #369263=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #369264=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #369265=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #369266=DIRECTION('',(0.,0.,1.)); #369267=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #369268=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #369269=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #369270=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #369271=DIRECTION('',(0.,0.,1.)); #369272=DIRECTION('center_axis',(0.829203833807389,0.558946331948898,0.)); #369273=DIRECTION('ref_axis',(-0.558946331948898,0.829203833807389,0.)); #369274=DIRECTION('',(-0.558946331948898,0.829203833807389,0.)); #369275=DIRECTION('',(-0.558946331948898,0.829203833807389,0.)); #369276=DIRECTION('',(0.,0.,1.)); #369277=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #369278=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #369279=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #369280=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #369281=DIRECTION('',(0.,0.,1.)); #369282=DIRECTION('center_axis',(1.,0.,0.)); #369283=DIRECTION('ref_axis',(0.,1.,0.)); #369284=DIRECTION('',(0.,1.,0.)); #369285=DIRECTION('',(0.,1.,0.)); #369286=DIRECTION('',(0.,0.,1.)); #369287=DIRECTION('center_axis',(0.984479063846087,-0.175502059386013,0.)); #369288=DIRECTION('ref_axis',(0.175502059386013,0.984479063846087,0.)); #369289=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #369290=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #369291=DIRECTION('',(0.,0.,1.)); #369292=DIRECTION('center_axis',(0.850871695642093,-0.525373540973609,0.)); #369293=DIRECTION('ref_axis',(0.525373540973609,0.850871695642093,0.)); #369294=DIRECTION('',(0.525373540973609,0.850871695642093,0.)); #369295=DIRECTION('',(0.525373540973609,0.850871695642093,0.)); #369296=DIRECTION('',(0.,0.,1.)); #369297=DIRECTION('center_axis',(0.57848382822482,-0.815693852178841,0.)); #369298=DIRECTION('ref_axis',(0.815693852178841,0.57848382822482,0.)); #369299=DIRECTION('',(0.815693852178841,0.57848382822482,0.)); #369300=DIRECTION('',(0.815693852178841,0.57848382822482,0.)); #369301=DIRECTION('center_axis',(0.,0.,1.)); #369302=DIRECTION('ref_axis',(1.,0.,0.)); #369303=DIRECTION('center_axis',(0.,0.,1.)); #369304=DIRECTION('ref_axis',(1.,0.,0.)); #369305=DIRECTION('center_axis',(0.,0.,1.)); #369306=DIRECTION('ref_axis',(1.,0.,0.)); #369307=DIRECTION('center_axis',(0.,0.,1.)); #369308=DIRECTION('ref_axis',(1.,0.,0.)); #369309=DIRECTION('',(0.,0.,1.)); #369310=DIRECTION('center_axis',(0.,0.,-1.)); #369311=DIRECTION('ref_axis',(1.,0.,0.)); #369312=DIRECTION('center_axis',(0.,0.,1.)); #369313=DIRECTION('ref_axis',(1.,0.,0.)); #369314=DIRECTION('center_axis',(0.,0.,1.)); #369315=DIRECTION('ref_axis',(1.,0.,0.)); #369316=DIRECTION('',(0.,0.,1.)); #369317=DIRECTION('center_axis',(0.,0.,-1.)); #369318=DIRECTION('ref_axis',(1.,0.,0.)); #369319=DIRECTION('center_axis',(0.,0.,1.)); #369320=DIRECTION('ref_axis',(1.,0.,0.)); #369321=DIRECTION('center_axis',(0.,0.,1.)); #369322=DIRECTION('ref_axis',(1.,0.,0.)); #369323=DIRECTION('',(0.,0.,1.)); #369324=DIRECTION('center_axis',(0.,0.,-1.)); #369325=DIRECTION('ref_axis',(1.,0.,0.)); #369326=DIRECTION('center_axis',(0.,0.,1.)); #369327=DIRECTION('ref_axis',(1.,0.,0.)); #369328=DIRECTION('center_axis',(0.,0.,1.)); #369329=DIRECTION('ref_axis',(1.,0.,0.)); #369330=DIRECTION('',(0.,0.,1.)); #369331=DIRECTION('center_axis',(0.,0.,-1.)); #369332=DIRECTION('ref_axis',(1.,0.,0.)); #369333=DIRECTION('center_axis',(0.,0.,1.)); #369334=DIRECTION('ref_axis',(1.,0.,0.)); #369335=DIRECTION('center_axis',(0.,0.,1.)); #369336=DIRECTION('ref_axis',(1.,0.,0.)); #369337=DIRECTION('',(0.,0.,1.)); #369338=DIRECTION('center_axis',(0.,0.,-1.)); #369339=DIRECTION('ref_axis',(1.,0.,0.)); #369340=DIRECTION('center_axis',(0.,0.,1.)); #369341=DIRECTION('ref_axis',(1.,0.,0.)); #369342=DIRECTION('center_axis',(0.,0.,1.)); #369343=DIRECTION('ref_axis',(1.,0.,0.)); #369344=DIRECTION('',(0.,0.,1.)); #369345=DIRECTION('center_axis',(0.,0.,-1.)); #369346=DIRECTION('ref_axis',(1.,0.,0.)); #369347=DIRECTION('center_axis',(0.,0.,1.)); #369348=DIRECTION('ref_axis',(1.,0.,0.)); #369349=DIRECTION('center_axis',(0.,0.,1.)); #369350=DIRECTION('ref_axis',(1.,0.,0.)); #369351=DIRECTION('',(0.,0.,1.)); #369352=DIRECTION('center_axis',(0.,0.,-1.)); #369353=DIRECTION('ref_axis',(1.,0.,0.)); #369354=DIRECTION('center_axis',(0.,0.,1.)); #369355=DIRECTION('ref_axis',(1.,0.,0.)); #369356=DIRECTION('center_axis',(0.,0.,1.)); #369357=DIRECTION('ref_axis',(1.,0.,0.)); #369358=DIRECTION('',(0.,0.,1.)); #369359=DIRECTION('center_axis',(0.,0.,-1.)); #369360=DIRECTION('ref_axis',(1.,0.,0.)); #369361=DIRECTION('center_axis',(0.,0.,1.)); #369362=DIRECTION('ref_axis',(1.,0.,0.)); #369363=DIRECTION('center_axis',(0.,0.,1.)); #369364=DIRECTION('ref_axis',(1.,0.,0.)); #369365=DIRECTION('',(0.,0.,1.)); #369366=DIRECTION('center_axis',(0.,0.,-1.)); #369367=DIRECTION('ref_axis',(1.,0.,0.)); #369368=DIRECTION('center_axis',(0.,0.,1.)); #369369=DIRECTION('ref_axis',(1.,0.,0.)); #369370=DIRECTION('center_axis',(0.,0.,1.)); #369371=DIRECTION('ref_axis',(1.,0.,0.)); #369372=DIRECTION('',(0.,0.,1.)); #369373=DIRECTION('center_axis',(0.,0.,-1.)); #369374=DIRECTION('ref_axis',(1.,0.,0.)); #369375=DIRECTION('center_axis',(0.,0.,1.)); #369376=DIRECTION('ref_axis',(1.,0.,0.)); #369377=DIRECTION('center_axis',(0.,0.,1.)); #369378=DIRECTION('ref_axis',(1.,0.,0.)); #369379=DIRECTION('',(0.,0.,1.)); #369380=DIRECTION('center_axis',(0.,0.,-1.)); #369381=DIRECTION('ref_axis',(1.,0.,0.)); #369382=DIRECTION('center_axis',(0.,0.,1.)); #369383=DIRECTION('ref_axis',(1.,0.,0.)); #369384=DIRECTION('center_axis',(0.,0.,1.)); #369385=DIRECTION('ref_axis',(1.,0.,0.)); #369386=DIRECTION('',(0.,0.,1.)); #369387=DIRECTION('center_axis',(0.,0.,-1.)); #369388=DIRECTION('ref_axis',(1.,0.,0.)); #369389=DIRECTION('center_axis',(0.,0.,1.)); #369390=DIRECTION('ref_axis',(1.,0.,0.)); #369391=DIRECTION('center_axis',(0.,0.,1.)); #369392=DIRECTION('ref_axis',(1.,0.,0.)); #369393=DIRECTION('',(0.,0.,1.)); #369394=DIRECTION('center_axis',(0.,0.,-1.)); #369395=DIRECTION('ref_axis',(1.,0.,0.)); #369396=DIRECTION('center_axis',(0.,0.,1.)); #369397=DIRECTION('ref_axis',(1.,0.,0.)); #369398=DIRECTION('center_axis',(0.,0.,1.)); #369399=DIRECTION('ref_axis',(1.,0.,0.)); #369400=DIRECTION('',(0.,0.,1.)); #369401=DIRECTION('center_axis',(0.,0.,-1.)); #369402=DIRECTION('ref_axis',(1.,0.,0.)); #369403=DIRECTION('center_axis',(0.,0.,1.)); #369404=DIRECTION('ref_axis',(1.,0.,0.)); #369405=DIRECTION('center_axis',(0.,0.,1.)); #369406=DIRECTION('ref_axis',(1.,0.,0.)); #369407=DIRECTION('',(0.,0.,1.)); #369408=DIRECTION('center_axis',(0.,0.,-1.)); #369409=DIRECTION('ref_axis',(1.,0.,0.)); #369410=DIRECTION('center_axis',(0.,0.,1.)); #369411=DIRECTION('ref_axis',(1.,0.,0.)); #369412=DIRECTION('center_axis',(0.,0.,1.)); #369413=DIRECTION('ref_axis',(1.,0.,0.)); #369414=DIRECTION('',(0.,0.,1.)); #369415=DIRECTION('center_axis',(0.,0.,-1.)); #369416=DIRECTION('ref_axis',(1.,0.,0.)); #369417=DIRECTION('center_axis',(0.,0.,1.)); #369418=DIRECTION('ref_axis',(1.,0.,0.)); #369419=DIRECTION('center_axis',(0.,0.,1.)); #369420=DIRECTION('ref_axis',(1.,0.,0.)); #369421=DIRECTION('',(0.,0.,1.)); #369422=DIRECTION('center_axis',(0.,0.,-1.)); #369423=DIRECTION('ref_axis',(1.,0.,0.)); #369424=DIRECTION('center_axis',(0.,0.,1.)); #369425=DIRECTION('ref_axis',(1.,0.,0.)); #369426=DIRECTION('center_axis',(0.,0.,1.)); #369427=DIRECTION('ref_axis',(1.,0.,0.)); #369428=DIRECTION('',(0.,0.,1.)); #369429=DIRECTION('center_axis',(0.,0.,-1.)); #369430=DIRECTION('ref_axis',(1.,0.,0.)); #369431=DIRECTION('center_axis',(0.,0.,1.)); #369432=DIRECTION('ref_axis',(1.,0.,0.)); #369433=DIRECTION('center_axis',(0.,0.,1.)); #369434=DIRECTION('ref_axis',(1.,0.,0.)); #369435=DIRECTION('',(0.,0.,1.)); #369436=DIRECTION('center_axis',(0.,0.,-1.)); #369437=DIRECTION('ref_axis',(1.,0.,0.)); #369438=DIRECTION('center_axis',(0.,0.,1.)); #369439=DIRECTION('ref_axis',(1.,0.,0.)); #369440=DIRECTION('center_axis',(0.,0.,1.)); #369441=DIRECTION('ref_axis',(1.,0.,0.)); #369442=DIRECTION('',(0.,0.,1.)); #369443=DIRECTION('center_axis',(0.,0.,-1.)); #369444=DIRECTION('ref_axis',(1.,0.,0.)); #369445=DIRECTION('center_axis',(0.,0.,1.)); #369446=DIRECTION('ref_axis',(1.,0.,0.)); #369447=DIRECTION('center_axis',(0.,0.,1.)); #369448=DIRECTION('ref_axis',(1.,0.,0.)); #369449=DIRECTION('',(0.,0.,1.)); #369450=DIRECTION('center_axis',(0.,0.,-1.)); #369451=DIRECTION('ref_axis',(1.,0.,0.)); #369452=DIRECTION('center_axis',(0.,0.,1.)); #369453=DIRECTION('ref_axis',(1.,0.,0.)); #369454=DIRECTION('center_axis',(0.,0.,1.)); #369455=DIRECTION('ref_axis',(1.,0.,0.)); #369456=DIRECTION('',(0.,0.,1.)); #369457=DIRECTION('center_axis',(0.,0.,-1.)); #369458=DIRECTION('ref_axis',(1.,0.,0.)); #369459=DIRECTION('center_axis',(0.,0.,1.)); #369460=DIRECTION('ref_axis',(1.,0.,0.)); #369461=DIRECTION('center_axis',(0.,0.,1.)); #369462=DIRECTION('ref_axis',(1.,0.,0.)); #369463=DIRECTION('',(0.,0.,1.)); #369464=DIRECTION('center_axis',(0.,0.,-1.)); #369465=DIRECTION('ref_axis',(1.,0.,0.)); #369466=DIRECTION('center_axis',(0.,0.,1.)); #369467=DIRECTION('ref_axis',(1.,0.,0.)); #369468=DIRECTION('center_axis',(0.,0.,1.)); #369469=DIRECTION('ref_axis',(1.,0.,0.)); #369470=DIRECTION('',(0.,0.,1.)); #369471=DIRECTION('center_axis',(0.,0.,-1.)); #369472=DIRECTION('ref_axis',(1.,0.,0.)); #369473=DIRECTION('center_axis',(0.,0.,1.)); #369474=DIRECTION('ref_axis',(1.,0.,0.)); #369475=DIRECTION('center_axis',(0.,0.,1.)); #369476=DIRECTION('ref_axis',(1.,0.,0.)); #369477=DIRECTION('',(0.,0.,1.)); #369478=DIRECTION('center_axis',(0.,0.,-1.)); #369479=DIRECTION('ref_axis',(1.,0.,0.)); #369480=DIRECTION('center_axis',(0.,0.,1.)); #369481=DIRECTION('ref_axis',(1.,0.,0.)); #369482=DIRECTION('center_axis',(0.,0.,1.)); #369483=DIRECTION('ref_axis',(1.,0.,0.)); #369484=DIRECTION('',(0.,0.,1.)); #369485=DIRECTION('center_axis',(0.,0.,-1.)); #369486=DIRECTION('ref_axis',(1.,0.,0.)); #369487=DIRECTION('center_axis',(0.,0.,1.)); #369488=DIRECTION('ref_axis',(1.,0.,0.)); #369489=DIRECTION('center_axis',(0.,0.,1.)); #369490=DIRECTION('ref_axis',(1.,0.,0.)); #369491=DIRECTION('',(0.,0.,1.)); #369492=DIRECTION('center_axis',(0.,0.,-1.)); #369493=DIRECTION('ref_axis',(1.,0.,0.)); #369494=DIRECTION('center_axis',(0.,0.,1.)); #369495=DIRECTION('ref_axis',(1.,0.,0.)); #369496=DIRECTION('center_axis',(0.,0.,1.)); #369497=DIRECTION('ref_axis',(1.,0.,0.)); #369498=DIRECTION('',(0.,0.,1.)); #369499=DIRECTION('center_axis',(0.,0.,-1.)); #369500=DIRECTION('ref_axis',(1.,0.,0.)); #369501=DIRECTION('center_axis',(0.,0.,1.)); #369502=DIRECTION('ref_axis',(1.,0.,0.)); #369503=DIRECTION('center_axis',(0.,0.,1.)); #369504=DIRECTION('ref_axis',(1.,0.,0.)); #369505=DIRECTION('',(0.,0.,1.)); #369506=DIRECTION('center_axis',(0.,0.,-1.)); #369507=DIRECTION('ref_axis',(1.,0.,0.)); #369508=DIRECTION('center_axis',(0.,0.,1.)); #369509=DIRECTION('ref_axis',(1.,0.,0.)); #369510=DIRECTION('center_axis',(0.,0.,1.)); #369511=DIRECTION('ref_axis',(1.,0.,0.)); #369512=DIRECTION('',(0.,0.,1.)); #369513=DIRECTION('center_axis',(0.,0.,-1.)); #369514=DIRECTION('ref_axis',(1.,0.,0.)); #369515=DIRECTION('center_axis',(0.,0.,1.)); #369516=DIRECTION('ref_axis',(1.,0.,0.)); #369517=DIRECTION('center_axis',(0.,0.,1.)); #369518=DIRECTION('ref_axis',(1.,0.,0.)); #369519=DIRECTION('',(0.,0.,1.)); #369520=DIRECTION('center_axis',(0.,0.,-1.)); #369521=DIRECTION('ref_axis',(1.,0.,0.)); #369522=DIRECTION('center_axis',(0.,0.,1.)); #369523=DIRECTION('ref_axis',(1.,0.,0.)); #369524=DIRECTION('center_axis',(0.,0.,1.)); #369525=DIRECTION('ref_axis',(1.,0.,0.)); #369526=DIRECTION('',(0.,0.,1.)); #369527=DIRECTION('center_axis',(0.,0.,-1.)); #369528=DIRECTION('ref_axis',(1.,0.,0.)); #369529=DIRECTION('center_axis',(0.,0.,1.)); #369530=DIRECTION('ref_axis',(1.,0.,0.)); #369531=DIRECTION('center_axis',(0.,0.,1.)); #369532=DIRECTION('ref_axis',(1.,0.,0.)); #369533=DIRECTION('',(0.,0.,1.)); #369534=DIRECTION('center_axis',(0.,0.,-1.)); #369535=DIRECTION('ref_axis',(1.,0.,0.)); #369536=DIRECTION('center_axis',(0.,0.,1.)); #369537=DIRECTION('ref_axis',(1.,0.,0.)); #369538=DIRECTION('center_axis',(0.,0.,1.)); #369539=DIRECTION('ref_axis',(1.,0.,0.)); #369540=DIRECTION('',(0.,0.,1.)); #369541=DIRECTION('center_axis',(0.,0.,-1.)); #369542=DIRECTION('ref_axis',(1.,0.,0.)); #369543=DIRECTION('center_axis',(0.,0.,1.)); #369544=DIRECTION('ref_axis',(1.,0.,0.)); #369545=DIRECTION('center_axis',(0.,0.,1.)); #369546=DIRECTION('ref_axis',(1.,0.,0.)); #369547=DIRECTION('',(0.,0.,1.)); #369548=DIRECTION('center_axis',(0.,0.,-1.)); #369549=DIRECTION('ref_axis',(1.,0.,0.)); #369550=DIRECTION('center_axis',(1.,0.,0.)); #369551=DIRECTION('ref_axis',(0.,1.,0.)); #369552=DIRECTION('',(0.,-1.,0.)); #369553=DIRECTION('',(0.,0.,1.)); #369554=DIRECTION('',(0.,1.,0.)); #369555=DIRECTION('',(0.,0.,1.)); #369556=DIRECTION('center_axis',(0.,0.,1.)); #369557=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #369558=DIRECTION('center_axis',(0.,0.,-1.)); #369559=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #369560=DIRECTION('center_axis',(0.,0.,1.)); #369561=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #369562=DIRECTION('',(0.,0.,1.)); #369563=DIRECTION('center_axis',(-1.,0.,0.)); #369564=DIRECTION('ref_axis',(0.,-1.,0.)); #369565=DIRECTION('',(0.,1.,0.)); #369566=DIRECTION('',(0.,-1.,0.)); #369567=DIRECTION('',(0.,0.,1.)); #369568=DIRECTION('center_axis',(0.,0.,1.)); #369569=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #369570=DIRECTION('center_axis',(0.,0.,-1.)); #369571=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #369572=DIRECTION('center_axis',(0.,0.,1.)); #369573=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #369574=DIRECTION('center_axis',(0.,0.,1.)); #369575=DIRECTION('ref_axis',(1.,0.,0.)); #369576=DIRECTION('center_axis',(0.,0.,1.)); #369577=DIRECTION('ref_axis',(1.,0.,0.)); #369578=DIRECTION('',(0.,0.,1.)); #369579=DIRECTION('center_axis',(0.,0.,-1.)); #369580=DIRECTION('ref_axis',(1.,0.,0.)); #369581=DIRECTION('center_axis',(0.,0.,1.)); #369582=DIRECTION('ref_axis',(1.,0.,0.)); #369583=DIRECTION('center_axis',(0.,0.,1.)); #369584=DIRECTION('ref_axis',(1.,0.,0.)); #369585=DIRECTION('',(0.,0.,1.)); #369586=DIRECTION('center_axis',(0.,0.,-1.)); #369587=DIRECTION('ref_axis',(1.,0.,0.)); #369588=DIRECTION('center_axis',(0.,0.,1.)); #369589=DIRECTION('ref_axis',(1.,0.,0.)); #369590=DIRECTION('center_axis',(0.,0.,1.)); #369591=DIRECTION('ref_axis',(1.,0.,0.)); #369592=DIRECTION('',(0.,0.,1.)); #369593=DIRECTION('center_axis',(0.,0.,-1.)); #369594=DIRECTION('ref_axis',(1.,0.,0.)); #369595=DIRECTION('center_axis',(0.,0.,1.)); #369596=DIRECTION('ref_axis',(1.,0.,0.)); #369597=DIRECTION('center_axis',(0.,0.,1.)); #369598=DIRECTION('ref_axis',(1.,0.,0.)); #369599=DIRECTION('',(0.,0.,1.)); #369600=DIRECTION('center_axis',(0.,0.,-1.)); #369601=DIRECTION('ref_axis',(1.,0.,0.)); #369602=DIRECTION('center_axis',(1.,0.,0.)); #369603=DIRECTION('ref_axis',(0.,1.,0.)); #369604=DIRECTION('',(0.,-1.,0.)); #369605=DIRECTION('',(0.,0.,1.)); #369606=DIRECTION('',(0.,1.,0.)); #369607=DIRECTION('',(0.,0.,1.)); #369608=DIRECTION('center_axis',(0.,0.,1.)); #369609=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #369610=DIRECTION('center_axis',(0.,0.,-1.)); #369611=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #369612=DIRECTION('center_axis',(0.,0.,1.)); #369613=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #369614=DIRECTION('',(0.,0.,1.)); #369615=DIRECTION('center_axis',(-1.,0.,0.)); #369616=DIRECTION('ref_axis',(0.,-1.,0.)); #369617=DIRECTION('',(0.,1.,0.)); #369618=DIRECTION('',(0.,-1.,0.)); #369619=DIRECTION('',(0.,0.,1.)); #369620=DIRECTION('center_axis',(0.,0.,1.)); #369621=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #369622=DIRECTION('center_axis',(0.,0.,-1.)); #369623=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #369624=DIRECTION('center_axis',(0.,0.,1.)); #369625=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #369626=DIRECTION('center_axis',(1.,0.,0.)); #369627=DIRECTION('ref_axis',(0.,1.,0.)); #369628=DIRECTION('',(0.,-1.,0.)); #369629=DIRECTION('',(0.,0.,1.)); #369630=DIRECTION('',(0.,1.,0.)); #369631=DIRECTION('',(0.,0.,1.)); #369632=DIRECTION('center_axis',(0.,0.,1.)); #369633=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #369634=DIRECTION('center_axis',(0.,0.,-1.)); #369635=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #369636=DIRECTION('center_axis',(0.,0.,1.)); #369637=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #369638=DIRECTION('',(0.,0.,1.)); #369639=DIRECTION('center_axis',(-1.,0.,0.)); #369640=DIRECTION('ref_axis',(0.,-1.,0.)); #369641=DIRECTION('',(0.,1.,0.)); #369642=DIRECTION('',(0.,-1.,0.)); #369643=DIRECTION('',(0.,0.,1.)); #369644=DIRECTION('center_axis',(0.,0.,1.)); #369645=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #369646=DIRECTION('center_axis',(0.,0.,-1.)); #369647=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #369648=DIRECTION('center_axis',(0.,0.,1.)); #369649=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #369650=DIRECTION('center_axis',(1.,0.,0.)); #369651=DIRECTION('ref_axis',(0.,1.,0.)); #369652=DIRECTION('',(0.,-1.,0.)); #369653=DIRECTION('',(0.,0.,1.)); #369654=DIRECTION('',(0.,1.,0.)); #369655=DIRECTION('',(0.,0.,1.)); #369656=DIRECTION('center_axis',(0.,0.,1.)); #369657=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #369658=DIRECTION('center_axis',(0.,0.,-1.)); #369659=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #369660=DIRECTION('center_axis',(0.,0.,1.)); #369661=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #369662=DIRECTION('',(0.,0.,1.)); #369663=DIRECTION('center_axis',(-1.,0.,0.)); #369664=DIRECTION('ref_axis',(0.,-1.,0.)); #369665=DIRECTION('',(0.,1.,0.)); #369666=DIRECTION('',(0.,-1.,0.)); #369667=DIRECTION('',(0.,0.,1.)); #369668=DIRECTION('center_axis',(0.,0.,1.)); #369669=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #369670=DIRECTION('center_axis',(0.,0.,-1.)); #369671=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #369672=DIRECTION('center_axis',(0.,0.,1.)); #369673=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #369674=DIRECTION('center_axis',(0.,0.,1.)); #369675=DIRECTION('ref_axis',(1.,0.,0.)); #369676=DIRECTION('center_axis',(0.,0.,1.)); #369677=DIRECTION('ref_axis',(1.,0.,0.)); #369678=DIRECTION('',(0.,0.,1.)); #369679=DIRECTION('center_axis',(0.,0.,-1.)); #369680=DIRECTION('ref_axis',(1.,0.,0.)); #369681=DIRECTION('center_axis',(0.,0.,1.)); #369682=DIRECTION('ref_axis',(1.,0.,0.)); #369683=DIRECTION('center_axis',(0.,0.,1.)); #369684=DIRECTION('ref_axis',(1.,0.,0.)); #369685=DIRECTION('',(0.,0.,1.)); #369686=DIRECTION('center_axis',(0.,0.,-1.)); #369687=DIRECTION('ref_axis',(1.,0.,0.)); #369688=DIRECTION('center_axis',(0.,0.,1.)); #369689=DIRECTION('ref_axis',(1.,0.,0.)); #369690=DIRECTION('center_axis',(0.,0.,1.)); #369691=DIRECTION('ref_axis',(1.,0.,0.)); #369692=DIRECTION('',(0.,0.,1.)); #369693=DIRECTION('center_axis',(0.,0.,-1.)); #369694=DIRECTION('ref_axis',(1.,0.,0.)); #369695=DIRECTION('center_axis',(0.,0.,1.)); #369696=DIRECTION('ref_axis',(1.,0.,0.)); #369697=DIRECTION('center_axis',(0.,0.,1.)); #369698=DIRECTION('ref_axis',(1.,0.,0.)); #369699=DIRECTION('',(0.,0.,1.)); #369700=DIRECTION('center_axis',(0.,0.,-1.)); #369701=DIRECTION('ref_axis',(1.,0.,0.)); #369702=DIRECTION('center_axis',(0.,0.,1.)); #369703=DIRECTION('ref_axis',(1.,0.,0.)); #369704=DIRECTION('center_axis',(0.,0.,1.)); #369705=DIRECTION('ref_axis',(1.,0.,0.)); #369706=DIRECTION('',(0.,0.,1.)); #369707=DIRECTION('center_axis',(0.,0.,-1.)); #369708=DIRECTION('ref_axis',(1.,0.,0.)); #369709=DIRECTION('center_axis',(0.,0.,1.)); #369710=DIRECTION('ref_axis',(1.,0.,0.)); #369711=DIRECTION('center_axis',(0.,0.,1.)); #369712=DIRECTION('ref_axis',(1.,0.,0.)); #369713=DIRECTION('',(0.,0.,1.)); #369714=DIRECTION('center_axis',(0.,0.,-1.)); #369715=DIRECTION('ref_axis',(1.,0.,0.)); #369716=DIRECTION('center_axis',(0.,0.,1.)); #369717=DIRECTION('ref_axis',(1.,0.,0.)); #369718=DIRECTION('center_axis',(0.,0.,1.)); #369719=DIRECTION('ref_axis',(1.,0.,0.)); #369720=DIRECTION('',(0.,0.,1.)); #369721=DIRECTION('center_axis',(0.,0.,-1.)); #369722=DIRECTION('ref_axis',(1.,0.,0.)); #369723=DIRECTION('center_axis',(0.,0.,1.)); #369724=DIRECTION('ref_axis',(1.,0.,0.)); #369725=DIRECTION('center_axis',(0.,0.,1.)); #369726=DIRECTION('ref_axis',(1.,0.,0.)); #369727=DIRECTION('',(0.,0.,1.)); #369728=DIRECTION('center_axis',(0.,0.,-1.)); #369729=DIRECTION('ref_axis',(1.,0.,0.)); #369730=DIRECTION('center_axis',(0.,0.,1.)); #369731=DIRECTION('ref_axis',(1.,0.,0.)); #369732=DIRECTION('center_axis',(0.,0.,1.)); #369733=DIRECTION('ref_axis',(1.,0.,0.)); #369734=DIRECTION('',(0.,0.,1.)); #369735=DIRECTION('center_axis',(0.,0.,-1.)); #369736=DIRECTION('ref_axis',(1.,0.,0.)); #369737=DIRECTION('center_axis',(0.,0.,1.)); #369738=DIRECTION('ref_axis',(1.,0.,0.)); #369739=DIRECTION('center_axis',(0.,0.,1.)); #369740=DIRECTION('ref_axis',(1.,0.,0.)); #369741=DIRECTION('',(0.,0.,1.)); #369742=DIRECTION('center_axis',(0.,0.,-1.)); #369743=DIRECTION('ref_axis',(1.,0.,0.)); #369744=DIRECTION('center_axis',(0.,0.,1.)); #369745=DIRECTION('ref_axis',(1.,0.,0.)); #369746=DIRECTION('center_axis',(0.,0.,1.)); #369747=DIRECTION('ref_axis',(1.,0.,0.)); #369748=DIRECTION('',(0.,0.,1.)); #369749=DIRECTION('center_axis',(0.,0.,-1.)); #369750=DIRECTION('ref_axis',(1.,0.,0.)); #369751=DIRECTION('center_axis',(0.,0.,1.)); #369752=DIRECTION('ref_axis',(1.,0.,0.)); #369753=DIRECTION('center_axis',(0.,0.,1.)); #369754=DIRECTION('ref_axis',(1.,0.,0.)); #369755=DIRECTION('',(0.,0.,1.)); #369756=DIRECTION('center_axis',(0.,0.,-1.)); #369757=DIRECTION('ref_axis',(1.,0.,0.)); #369758=DIRECTION('center_axis',(0.,0.,1.)); #369759=DIRECTION('ref_axis',(1.,0.,0.)); #369760=DIRECTION('center_axis',(0.,0.,1.)); #369761=DIRECTION('ref_axis',(1.,0.,0.)); #369762=DIRECTION('',(0.,0.,1.)); #369763=DIRECTION('center_axis',(0.,0.,-1.)); #369764=DIRECTION('ref_axis',(1.,0.,0.)); #369765=DIRECTION('center_axis',(0.,0.,1.)); #369766=DIRECTION('ref_axis',(1.,0.,0.)); #369767=DIRECTION('center_axis',(0.,0.,1.)); #369768=DIRECTION('ref_axis',(1.,0.,0.)); #369769=DIRECTION('',(0.,0.,1.)); #369770=DIRECTION('center_axis',(0.,0.,-1.)); #369771=DIRECTION('ref_axis',(1.,0.,0.)); #369772=DIRECTION('center_axis',(0.,0.,1.)); #369773=DIRECTION('ref_axis',(1.,0.,0.)); #369774=DIRECTION('center_axis',(0.,0.,1.)); #369775=DIRECTION('ref_axis',(1.,0.,0.)); #369776=DIRECTION('',(0.,0.,1.)); #369777=DIRECTION('center_axis',(0.,0.,-1.)); #369778=DIRECTION('ref_axis',(1.,0.,0.)); #369779=DIRECTION('center_axis',(0.,0.,1.)); #369780=DIRECTION('ref_axis',(1.,0.,0.)); #369781=DIRECTION('center_axis',(0.,0.,1.)); #369782=DIRECTION('ref_axis',(1.,0.,0.)); #369783=DIRECTION('',(0.,0.,1.)); #369784=DIRECTION('center_axis',(0.,0.,-1.)); #369785=DIRECTION('ref_axis',(1.,0.,0.)); #369786=DIRECTION('center_axis',(0.,0.,1.)); #369787=DIRECTION('ref_axis',(1.,0.,0.)); #369788=DIRECTION('center_axis',(0.,0.,1.)); #369789=DIRECTION('ref_axis',(1.,0.,0.)); #369790=DIRECTION('',(0.,0.,1.)); #369791=DIRECTION('center_axis',(0.,0.,-1.)); #369792=DIRECTION('ref_axis',(1.,0.,0.)); #369793=DIRECTION('center_axis',(0.,0.,1.)); #369794=DIRECTION('ref_axis',(1.,0.,0.)); #369795=DIRECTION('center_axis',(0.,0.,1.)); #369796=DIRECTION('ref_axis',(1.,0.,0.)); #369797=DIRECTION('',(0.,0.,1.)); #369798=DIRECTION('center_axis',(0.,0.,-1.)); #369799=DIRECTION('ref_axis',(1.,0.,0.)); #369800=DIRECTION('center_axis',(0.,0.,1.)); #369801=DIRECTION('ref_axis',(1.,0.,0.)); #369802=DIRECTION('center_axis',(0.,0.,1.)); #369803=DIRECTION('ref_axis',(1.,0.,0.)); #369804=DIRECTION('',(0.,0.,1.)); #369805=DIRECTION('center_axis',(0.,0.,-1.)); #369806=DIRECTION('ref_axis',(1.,0.,0.)); #369807=DIRECTION('center_axis',(0.,1.,0.)); #369808=DIRECTION('ref_axis',(-1.,0.,0.)); #369809=DIRECTION('',(-1.,0.,0.)); #369810=DIRECTION('',(0.,0.,1.)); #369811=DIRECTION('',(-1.,0.,0.)); #369812=DIRECTION('',(0.,0.,1.)); #369813=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #369814=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #369815=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #369816=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #369817=DIRECTION('',(0.,0.,1.)); #369818=DIRECTION('center_axis',(-1.,0.,0.)); #369819=DIRECTION('ref_axis',(0.,-1.,0.)); #369820=DIRECTION('',(0.,-1.,0.)); #369821=DIRECTION('',(0.,-1.,0.)); #369822=DIRECTION('',(0.,0.,1.)); #369823=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #369824=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #369825=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #369826=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #369827=DIRECTION('',(0.,0.,1.)); #369828=DIRECTION('center_axis',(0.,-1.,0.)); #369829=DIRECTION('ref_axis',(1.,0.,0.)); #369830=DIRECTION('',(1.,0.,0.)); #369831=DIRECTION('',(1.,0.,0.)); #369832=DIRECTION('',(0.,0.,1.)); #369833=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #369834=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #369835=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #369836=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #369837=DIRECTION('',(0.,0.,1.)); #369838=DIRECTION('center_axis',(1.,0.,0.)); #369839=DIRECTION('ref_axis',(0.,1.,0.)); #369840=DIRECTION('',(0.,1.,0.)); #369841=DIRECTION('',(0.,1.,0.)); #369842=DIRECTION('',(0.,0.,1.)); #369843=DIRECTION('center_axis',(0.707106781186512,0.707106781186583,0.)); #369844=DIRECTION('ref_axis',(-0.707106781186583,0.707106781186512,0.)); #369845=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #369846=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #369847=DIRECTION('center_axis',(0.,1.,0.)); #369848=DIRECTION('ref_axis',(-1.,0.,0.)); #369849=DIRECTION('',(-1.,0.,0.)); #369850=DIRECTION('',(0.,0.,1.)); #369851=DIRECTION('',(-1.,0.,0.)); #369852=DIRECTION('',(0.,0.,1.)); #369853=DIRECTION('center_axis',(-0.382685866754958,0.92387852415023,0.)); #369854=DIRECTION('ref_axis',(-0.92387852415023,-0.382685866754958,0.)); #369855=DIRECTION('',(-0.92387852415023,-0.382685866754958,0.)); #369856=DIRECTION('',(-0.92387852415023,-0.382685866754958,0.)); #369857=DIRECTION('',(0.,0.,1.)); #369858=DIRECTION('center_axis',(-0.70710797544717,0.707105586923908,0.)); #369859=DIRECTION('ref_axis',(-0.707105586923908,-0.70710797544717,0.)); #369860=DIRECTION('',(-0.707105586923908,-0.70710797544717,0.)); #369861=DIRECTION('',(-0.707105586923908,-0.70710797544717,0.)); #369862=DIRECTION('',(0.,0.,1.)); #369863=DIRECTION('center_axis',(-0.923878524150219,0.382685866754985,0.)); #369864=DIRECTION('ref_axis',(-0.382685866754985,-0.923878524150219,0.)); #369865=DIRECTION('',(-0.382685866754985,-0.923878524150219,0.)); #369866=DIRECTION('',(-0.382685866754985,-0.923878524150219,0.)); #369867=DIRECTION('',(0.,0.,1.)); #369868=DIRECTION('center_axis',(-1.,0.,0.)); #369869=DIRECTION('ref_axis',(0.,-1.,0.)); #369870=DIRECTION('',(0.,-1.,0.)); #369871=DIRECTION('',(0.,-1.,0.)); #369872=DIRECTION('',(0.,0.,1.)); #369873=DIRECTION('center_axis',(-0.923878524150215,-0.382685866754993, 0.)); #369874=DIRECTION('ref_axis',(0.382685866754993,-0.923878524150215,0.)); #369875=DIRECTION('',(0.382685866754993,-0.923878524150215,0.)); #369876=DIRECTION('',(0.382685866754993,-0.923878524150215,0.)); #369877=DIRECTION('',(0.,0.,1.)); #369878=DIRECTION('center_axis',(-0.707106781186553,-0.707106781186542, 0.)); #369879=DIRECTION('ref_axis',(0.707106781186542,-0.707106781186553,0.)); #369880=DIRECTION('',(0.707106781186542,-0.707106781186553,0.)); #369881=DIRECTION('',(0.707106781186542,-0.707106781186553,0.)); #369882=DIRECTION('',(0.,0.,1.)); #369883=DIRECTION('center_axis',(-0.382685866754976,-0.923878524150222, 0.)); #369884=DIRECTION('ref_axis',(0.923878524150222,-0.382685866754976,0.)); #369885=DIRECTION('',(0.923878524150222,-0.382685866754976,0.)); #369886=DIRECTION('',(0.923878524150222,-0.382685866754976,0.)); #369887=DIRECTION('',(0.,0.,1.)); #369888=DIRECTION('center_axis',(0.,-1.,0.)); #369889=DIRECTION('ref_axis',(1.,0.,0.)); #369890=DIRECTION('',(1.,0.,0.)); #369891=DIRECTION('',(1.,0.,0.)); #369892=DIRECTION('',(0.,0.,1.)); #369893=DIRECTION('center_axis',(0.382685022283815,-0.923878873943785,0.)); #369894=DIRECTION('ref_axis',(0.923878873943785,0.382685022283815,0.)); #369895=DIRECTION('',(0.923878873943785,0.382685022283815,0.)); #369896=DIRECTION('',(0.923878873943785,0.382685022283815,0.)); #369897=DIRECTION('',(0.,0.,1.)); #369898=DIRECTION('center_axis',(0.707106781186542,-0.707106781186553,0.)); #369899=DIRECTION('ref_axis',(0.707106781186553,0.707106781186542,0.)); #369900=DIRECTION('',(0.707106781186553,0.707106781186542,0.)); #369901=DIRECTION('',(0.707106781186553,0.707106781186542,0.)); #369902=DIRECTION('',(0.,0.,1.)); #369903=DIRECTION('center_axis',(0.923878524150223,-0.382685866754975,0.)); #369904=DIRECTION('ref_axis',(0.382685866754975,0.923878524150223,0.)); #369905=DIRECTION('',(0.382685866754975,0.923878524150223,0.)); #369906=DIRECTION('',(0.382685866754975,0.923878524150223,0.)); #369907=DIRECTION('',(0.,0.,1.)); #369908=DIRECTION('center_axis',(1.,0.,0.)); #369909=DIRECTION('ref_axis',(0.,1.,0.)); #369910=DIRECTION('',(0.,1.,0.)); #369911=DIRECTION('',(0.,1.,0.)); #369912=DIRECTION('',(0.,0.,1.)); #369913=DIRECTION('center_axis',(0.923878524150226,0.382685866754967,0.)); #369914=DIRECTION('ref_axis',(-0.382685866754967,0.923878524150226,0.)); #369915=DIRECTION('',(-0.382685866754967,0.923878524150226,0.)); #369916=DIRECTION('',(-0.382685866754967,0.923878524150226,0.)); #369917=DIRECTION('',(0.,0.,1.)); #369918=DIRECTION('center_axis',(0.707107975447159,0.707105586923919,0.)); #369919=DIRECTION('ref_axis',(-0.707105586923919,0.707107975447159,0.)); #369920=DIRECTION('',(-0.707105586923919,0.707107975447159,0.)); #369921=DIRECTION('',(-0.707105586923919,0.707107975447159,0.)); #369922=DIRECTION('',(0.,0.,1.)); #369923=DIRECTION('center_axis',(0.382685022283797,0.923878873943793,0.)); #369924=DIRECTION('ref_axis',(-0.923878873943792,0.382685022283797,0.)); #369925=DIRECTION('',(-0.923878873943792,0.382685022283797,0.)); #369926=DIRECTION('',(-0.923878873943792,0.382685022283797,0.)); #369927=DIRECTION('center_axis',(0.,1.,0.)); #369928=DIRECTION('ref_axis',(-1.,0.,0.)); #369929=DIRECTION('',(-1.,0.,0.)); #369930=DIRECTION('',(0.,0.,1.)); #369931=DIRECTION('',(-1.,0.,0.)); #369932=DIRECTION('',(0.,0.,1.)); #369933=DIRECTION('center_axis',(-0.382685022283793,0.923878873943794,0.)); #369934=DIRECTION('ref_axis',(-0.923878873943794,-0.382685022283793,0.)); #369935=DIRECTION('',(-0.923878873943794,-0.382685022283793,0.)); #369936=DIRECTION('',(-0.923878873943794,-0.382685022283793,0.)); #369937=DIRECTION('',(0.,0.,1.)); #369938=DIRECTION('center_axis',(-0.70710797544717,0.707105586923908,0.)); #369939=DIRECTION('ref_axis',(-0.707105586923908,-0.70710797544717,0.)); #369940=DIRECTION('',(-0.707105586923908,-0.70710797544717,0.)); #369941=DIRECTION('',(-0.707105586923908,-0.70710797544717,0.)); #369942=DIRECTION('',(0.,0.,1.)); #369943=DIRECTION('center_axis',(-0.923878524150219,0.382685866754985,0.)); #369944=DIRECTION('ref_axis',(-0.382685866754985,-0.923878524150219,0.)); #369945=DIRECTION('',(-0.382685866754985,-0.923878524150219,0.)); #369946=DIRECTION('',(-0.382685866754985,-0.923878524150219,0.)); #369947=DIRECTION('',(0.,0.,1.)); #369948=DIRECTION('center_axis',(-1.,0.,0.)); #369949=DIRECTION('ref_axis',(0.,-1.,0.)); #369950=DIRECTION('',(0.,-1.,0.)); #369951=DIRECTION('',(0.,-1.,0.)); #369952=DIRECTION('',(0.,0.,1.)); #369953=DIRECTION('center_axis',(-0.923878524150215,-0.382685866754993, 0.)); #369954=DIRECTION('ref_axis',(0.382685866754993,-0.923878524150215,0.)); #369955=DIRECTION('',(0.382685866754993,-0.923878524150215,0.)); #369956=DIRECTION('',(0.382685866754993,-0.923878524150215,0.)); #369957=DIRECTION('',(0.,0.,1.)); #369958=DIRECTION('center_axis',(-0.707106781186553,-0.707106781186542, 0.)); #369959=DIRECTION('ref_axis',(0.707106781186542,-0.707106781186553,0.)); #369960=DIRECTION('',(0.707106781186542,-0.707106781186553,0.)); #369961=DIRECTION('',(0.707106781186542,-0.707106781186553,0.)); #369962=DIRECTION('',(0.,0.,1.)); #369963=DIRECTION('center_axis',(-0.382685022283811,-0.923878873943787, 0.)); #369964=DIRECTION('ref_axis',(0.923878873943787,-0.382685022283811,0.)); #369965=DIRECTION('',(0.923878873943787,-0.382685022283811,0.)); #369966=DIRECTION('',(0.923878873943787,-0.382685022283811,0.)); #369967=DIRECTION('',(0.,0.,1.)); #369968=DIRECTION('center_axis',(0.,-1.,0.)); #369969=DIRECTION('ref_axis',(1.,0.,0.)); #369970=DIRECTION('',(1.,0.,0.)); #369971=DIRECTION('',(1.,0.,0.)); #369972=DIRECTION('',(0.,0.,1.)); #369973=DIRECTION('center_axis',(0.382685866754976,-0.923878524150222,0.)); #369974=DIRECTION('ref_axis',(0.923878524150222,0.382685866754976,0.)); #369975=DIRECTION('',(0.923878524150222,0.382685866754976,0.)); #369976=DIRECTION('',(0.923878524150222,0.382685866754976,0.)); #369977=DIRECTION('',(0.,0.,1.)); #369978=DIRECTION('center_axis',(0.707106781186553,-0.707106781186542,0.)); #369979=DIRECTION('ref_axis',(0.707106781186542,0.707106781186553,0.)); #369980=DIRECTION('',(0.707106781186542,0.707106781186553,0.)); #369981=DIRECTION('',(0.707106781186542,0.707106781186553,0.)); #369982=DIRECTION('',(0.,0.,1.)); #369983=DIRECTION('center_axis',(0.923878524150215,-0.382685866754993,0.)); #369984=DIRECTION('ref_axis',(0.382685866754993,0.923878524150215,0.)); #369985=DIRECTION('',(0.382685866754993,0.923878524150215,0.)); #369986=DIRECTION('',(0.382685866754993,0.923878524150215,0.)); #369987=DIRECTION('',(0.,0.,1.)); #369988=DIRECTION('center_axis',(1.,0.,0.)); #369989=DIRECTION('ref_axis',(0.,1.,0.)); #369990=DIRECTION('',(0.,1.,0.)); #369991=DIRECTION('',(0.,1.,0.)); #369992=DIRECTION('',(0.,0.,1.)); #369993=DIRECTION('center_axis',(0.923878524150219,0.382685866754985,0.)); #369994=DIRECTION('ref_axis',(-0.382685866754985,0.923878524150219,0.)); #369995=DIRECTION('',(-0.382685866754985,0.923878524150219,0.)); #369996=DIRECTION('',(-0.382685866754985,0.923878524150219,0.)); #369997=DIRECTION('',(0.,0.,1.)); #369998=DIRECTION('center_axis',(0.70710797544717,0.707105586923908,0.)); #369999=DIRECTION('ref_axis',(-0.707105586923908,0.70710797544717,0.)); #370000=DIRECTION('',(-0.707105586923908,0.70710797544717,0.)); #370001=DIRECTION('',(-0.707105586923908,0.70710797544717,0.)); #370002=DIRECTION('',(0.,0.,1.)); #370003=DIRECTION('center_axis',(0.382685866754958,0.92387852415023,0.)); #370004=DIRECTION('ref_axis',(-0.92387852415023,0.382685866754958,0.)); #370005=DIRECTION('',(-0.92387852415023,0.382685866754958,0.)); #370006=DIRECTION('',(-0.92387852415023,0.382685866754958,0.)); #370007=DIRECTION('center_axis',(0.,1.,0.)); #370008=DIRECTION('ref_axis',(-1.,0.,0.)); #370009=DIRECTION('',(-1.,0.,0.)); #370010=DIRECTION('',(0.,0.,1.)); #370011=DIRECTION('',(-1.,0.,0.)); #370012=DIRECTION('',(0.,0.,1.)); #370013=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #370014=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #370015=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #370016=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #370017=DIRECTION('',(0.,0.,1.)); #370018=DIRECTION('center_axis',(-1.,0.,0.)); #370019=DIRECTION('ref_axis',(0.,-1.,0.)); #370020=DIRECTION('',(0.,-1.,0.)); #370021=DIRECTION('',(0.,-1.,0.)); #370022=DIRECTION('',(0.,0.,1.)); #370023=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #370024=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #370025=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #370026=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #370027=DIRECTION('',(0.,0.,1.)); #370028=DIRECTION('center_axis',(0.,-1.,0.)); #370029=DIRECTION('ref_axis',(1.,0.,0.)); #370030=DIRECTION('',(1.,0.,0.)); #370031=DIRECTION('',(1.,0.,0.)); #370032=DIRECTION('',(0.,0.,1.)); #370033=DIRECTION('center_axis',(-0.183305290257137,-0.983056036329439, 0.)); #370034=DIRECTION('ref_axis',(0.983056036329439,-0.183305290257137,0.)); #370035=DIRECTION('',(0.983056036329439,-0.183305290257137,0.)); #370036=DIRECTION('',(0.983056036329439,-0.183305290257137,0.)); #370037=DIRECTION('',(0.,0.,1.)); #370038=DIRECTION('center_axis',(-0.538911466745989,-0.842362410729306, 0.)); #370039=DIRECTION('ref_axis',(0.842362410729306,-0.538911466745989,0.)); #370040=DIRECTION('',(0.842362410729306,-0.538911466745989,0.)); #370041=DIRECTION('',(0.842362410729306,-0.538911466745989,0.)); #370042=DIRECTION('',(0.,0.,1.)); #370043=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #370044=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #370045=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #370046=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #370047=DIRECTION('',(0.,0.,1.)); #370048=DIRECTION('center_axis',(-0.980008419561313,-0.19895601923274,0.)); #370049=DIRECTION('ref_axis',(0.19895601923274,-0.980008419561313,0.)); #370050=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #370051=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #370052=DIRECTION('',(0.,0.,1.)); #370053=DIRECTION('center_axis',(-1.,0.,0.)); #370054=DIRECTION('ref_axis',(0.,-1.,0.)); #370055=DIRECTION('',(0.,-1.,0.)); #370056=DIRECTION('',(0.,-1.,0.)); #370057=DIRECTION('',(0.,0.,1.)); #370058=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #370059=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #370060=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #370061=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #370062=DIRECTION('',(0.,0.,1.)); #370063=DIRECTION('center_axis',(-0.842362410729263,0.538911466746055,0.)); #370064=DIRECTION('ref_axis',(-0.538911466746055,-0.842362410729263,0.)); #370065=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #370066=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #370067=DIRECTION('',(0.,0.,1.)); #370068=DIRECTION('center_axis',(-0.565504004715031,0.82474554903392,0.)); #370069=DIRECTION('ref_axis',(-0.82474554903392,-0.565504004715031,0.)); #370070=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #370071=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #370072=DIRECTION('',(0.,0.,1.)); #370073=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #370074=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #370075=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #370076=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #370077=DIRECTION('',(0.,0.,1.)); #370078=DIRECTION('center_axis',(0.,1.,0.)); #370079=DIRECTION('ref_axis',(-1.,0.,0.)); #370080=DIRECTION('',(-1.,0.,0.)); #370081=DIRECTION('',(-1.,0.,0.)); #370082=DIRECTION('',(0.,0.,1.)); #370083=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #370084=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #370085=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #370086=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #370087=DIRECTION('',(0.,0.,1.)); #370088=DIRECTION('center_axis',(-1.,0.,0.)); #370089=DIRECTION('ref_axis',(0.,-1.,0.)); #370090=DIRECTION('',(0.,-1.,0.)); #370091=DIRECTION('',(0.,-1.,0.)); #370092=DIRECTION('',(0.,0.,1.)); #370093=DIRECTION('center_axis',(-0.707106781186512,-0.707106781186583, 0.)); #370094=DIRECTION('ref_axis',(0.707106781186583,-0.707106781186512,0.)); #370095=DIRECTION('',(0.707106781186583,-0.707106781186512,0.)); #370096=DIRECTION('',(0.707106781186583,-0.707106781186512,0.)); #370097=DIRECTION('',(0.,0.,1.)); #370098=DIRECTION('center_axis',(0.,-1.,0.)); #370099=DIRECTION('ref_axis',(1.,0.,0.)); #370100=DIRECTION('',(1.,0.,0.)); #370101=DIRECTION('',(1.,0.,0.)); #370102=DIRECTION('',(0.,0.,1.)); #370103=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #370104=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #370105=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #370106=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #370107=DIRECTION('',(0.,0.,1.)); #370108=DIRECTION('center_axis',(1.,0.,0.)); #370109=DIRECTION('ref_axis',(0.,1.,0.)); #370110=DIRECTION('',(0.,1.,0.)); #370111=DIRECTION('',(0.,1.,0.)); #370112=DIRECTION('',(0.,0.,1.)); #370113=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #370114=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #370115=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #370116=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #370117=DIRECTION('',(0.,0.,1.)); #370118=DIRECTION('center_axis',(0.,1.,0.)); #370119=DIRECTION('ref_axis',(-1.,0.,0.)); #370120=DIRECTION('',(-1.,0.,0.)); #370121=DIRECTION('',(-1.,0.,0.)); #370122=DIRECTION('',(0.,0.,1.)); #370123=DIRECTION('center_axis',(0.183305290257387,0.983056036329392,0.)); #370124=DIRECTION('ref_axis',(-0.983056036329392,0.183305290257387,0.)); #370125=DIRECTION('',(-0.983056036329392,0.183305290257387,0.)); #370126=DIRECTION('',(-0.983056036329392,0.183305290257387,0.)); #370127=DIRECTION('',(0.,0.,1.)); #370128=DIRECTION('center_axis',(0.538911466746122,0.84236241072922,0.)); #370129=DIRECTION('ref_axis',(-0.84236241072922,0.538911466746122,0.)); #370130=DIRECTION('',(-0.84236241072922,0.538911466746122,0.)); #370131=DIRECTION('',(-0.84236241072922,0.538911466746122,0.)); #370132=DIRECTION('',(0.,0.,1.)); #370133=DIRECTION('center_axis',(0.824745549033873,0.565504004715099,0.)); #370134=DIRECTION('ref_axis',(-0.565504004715099,0.824745549033873,0.)); #370135=DIRECTION('',(-0.565504004715099,0.824745549033873,0.)); #370136=DIRECTION('',(-0.565504004715099,0.824745549033873,0.)); #370137=DIRECTION('',(0.,0.,1.)); #370138=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #370139=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #370140=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #370141=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #370142=DIRECTION('',(0.,0.,1.)); #370143=DIRECTION('center_axis',(1.,0.,0.)); #370144=DIRECTION('ref_axis',(0.,1.,0.)); #370145=DIRECTION('',(0.,1.,0.)); #370146=DIRECTION('',(0.,1.,0.)); #370147=DIRECTION('',(0.,0.,1.)); #370148=DIRECTION('center_axis',(0.983056036329376,-0.183305290257473,0.)); #370149=DIRECTION('ref_axis',(0.183305290257473,0.983056036329376,0.)); #370150=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #370151=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #370152=DIRECTION('',(0.,0.,1.)); #370153=DIRECTION('center_axis',(0.842362410729396,-0.538911466745847,0.)); #370154=DIRECTION('ref_axis',(0.538911466745847,0.842362410729396,0.)); #370155=DIRECTION('',(0.538911466745847,0.842362410729396,0.)); #370156=DIRECTION('',(0.538911466745847,0.842362410729396,0.)); #370157=DIRECTION('',(0.,0.,1.)); #370158=DIRECTION('center_axis',(0.565504004715094,-0.824745549033877,0.)); #370159=DIRECTION('ref_axis',(0.824745549033877,0.565504004715094,0.)); #370160=DIRECTION('',(0.824745549033877,0.565504004715094,0.)); #370161=DIRECTION('',(0.824745549033877,0.565504004715094,0.)); #370162=DIRECTION('',(0.,0.,1.)); #370163=DIRECTION('center_axis',(0.19895601923243,-0.980008419561376,0.)); #370164=DIRECTION('ref_axis',(0.980008419561375,0.19895601923243,0.)); #370165=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #370166=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #370167=DIRECTION('',(0.,0.,1.)); #370168=DIRECTION('center_axis',(0.,-1.,0.)); #370169=DIRECTION('ref_axis',(1.,0.,0.)); #370170=DIRECTION('',(1.,0.,0.)); #370171=DIRECTION('',(1.,0.,0.)); #370172=DIRECTION('',(0.,0.,1.)); #370173=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #370174=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #370175=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #370176=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #370177=DIRECTION('',(0.,0.,1.)); #370178=DIRECTION('center_axis',(1.,0.,0.)); #370179=DIRECTION('ref_axis',(0.,1.,0.)); #370180=DIRECTION('',(0.,1.,0.)); #370181=DIRECTION('',(0.,1.,0.)); #370182=DIRECTION('',(0.,0.,1.)); #370183=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #370184=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #370185=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #370186=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #370187=DIRECTION('center_axis',(0.,1.,0.)); #370188=DIRECTION('ref_axis',(-1.,0.,0.)); #370189=DIRECTION('',(-1.,0.,0.)); #370190=DIRECTION('',(0.,0.,1.)); #370191=DIRECTION('',(-1.,0.,0.)); #370192=DIRECTION('',(0.,0.,1.)); #370193=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #370194=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #370195=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #370196=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #370197=DIRECTION('',(0.,0.,1.)); #370198=DIRECTION('center_axis',(-1.,0.,0.)); #370199=DIRECTION('ref_axis',(0.,-1.,0.)); #370200=DIRECTION('',(0.,-1.,0.)); #370201=DIRECTION('',(0.,-1.,0.)); #370202=DIRECTION('',(0.,0.,1.)); #370203=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #370204=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #370205=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #370206=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #370207=DIRECTION('',(0.,0.,1.)); #370208=DIRECTION('center_axis',(0.,-1.,0.)); #370209=DIRECTION('ref_axis',(1.,0.,0.)); #370210=DIRECTION('',(1.,0.,0.)); #370211=DIRECTION('',(1.,0.,0.)); #370212=DIRECTION('',(0.,0.,1.)); #370213=DIRECTION('center_axis',(-0.183305290257108,-0.983056036329444, 0.)); #370214=DIRECTION('ref_axis',(0.983056036329444,-0.183305290257108,0.)); #370215=DIRECTION('',(0.983056036329444,-0.183305290257108,0.)); #370216=DIRECTION('',(0.983056036329444,-0.183305290257108,0.)); #370217=DIRECTION('',(0.,0.,1.)); #370218=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #370219=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #370220=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #370221=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #370222=DIRECTION('',(0.,0.,1.)); #370223=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #370224=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #370225=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #370226=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #370227=DIRECTION('',(0.,0.,1.)); #370228=DIRECTION('center_axis',(-0.980008419561313,-0.19895601923274,0.)); #370229=DIRECTION('ref_axis',(0.19895601923274,-0.980008419561313,0.)); #370230=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #370231=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #370232=DIRECTION('',(0.,0.,1.)); #370233=DIRECTION('center_axis',(-1.,0.,0.)); #370234=DIRECTION('ref_axis',(0.,-1.,0.)); #370235=DIRECTION('',(0.,-1.,0.)); #370236=DIRECTION('',(0.,-1.,0.)); #370237=DIRECTION('',(0.,0.,1.)); #370238=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #370239=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #370240=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #370241=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #370242=DIRECTION('',(0.,0.,1.)); #370243=DIRECTION('center_axis',(-0.842362410729329,0.538911466745951,0.)); #370244=DIRECTION('ref_axis',(-0.538911466745951,-0.842362410729329,0.)); #370245=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #370246=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #370247=DIRECTION('',(0.,0.,1.)); #370248=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #370249=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #370250=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #370251=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #370252=DIRECTION('',(0.,0.,1.)); #370253=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #370254=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #370255=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #370256=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #370257=DIRECTION('',(0.,0.,1.)); #370258=DIRECTION('center_axis',(0.,1.,0.)); #370259=DIRECTION('ref_axis',(-1.,0.,0.)); #370260=DIRECTION('',(-1.,0.,0.)); #370261=DIRECTION('',(-1.,0.,0.)); #370262=DIRECTION('',(0.,0.,1.)); #370263=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #370264=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #370265=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #370266=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #370267=DIRECTION('',(0.,0.,1.)); #370268=DIRECTION('center_axis',(-1.,0.,0.)); #370269=DIRECTION('ref_axis',(0.,-1.,0.)); #370270=DIRECTION('',(0.,-1.,0.)); #370271=DIRECTION('',(0.,-1.,0.)); #370272=DIRECTION('',(0.,0.,1.)); #370273=DIRECTION('center_axis',(-0.707106781186512,-0.707106781186583, 0.)); #370274=DIRECTION('ref_axis',(0.707106781186583,-0.707106781186512,0.)); #370275=DIRECTION('',(0.707106781186583,-0.707106781186512,0.)); #370276=DIRECTION('',(0.707106781186583,-0.707106781186512,0.)); #370277=DIRECTION('',(0.,0.,1.)); #370278=DIRECTION('center_axis',(0.,-1.,0.)); #370279=DIRECTION('ref_axis',(1.,0.,0.)); #370280=DIRECTION('',(1.,0.,0.)); #370281=DIRECTION('',(1.,0.,0.)); #370282=DIRECTION('',(0.,0.,1.)); #370283=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #370284=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #370285=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #370286=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #370287=DIRECTION('',(0.,0.,1.)); #370288=DIRECTION('center_axis',(1.,0.,0.)); #370289=DIRECTION('ref_axis',(0.,1.,0.)); #370290=DIRECTION('',(0.,1.,0.)); #370291=DIRECTION('',(0.,1.,0.)); #370292=DIRECTION('',(0.,0.,1.)); #370293=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #370294=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #370295=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #370296=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #370297=DIRECTION('',(0.,0.,1.)); #370298=DIRECTION('center_axis',(0.,1.,0.)); #370299=DIRECTION('ref_axis',(-1.,0.,0.)); #370300=DIRECTION('',(-1.,0.,0.)); #370301=DIRECTION('',(-1.,0.,0.)); #370302=DIRECTION('',(0.,0.,1.)); #370303=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #370304=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #370305=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #370306=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #370307=DIRECTION('',(0.,0.,1.)); #370308=DIRECTION('center_axis',(0.538911466746055,0.842362410729263,0.)); #370309=DIRECTION('ref_axis',(-0.842362410729263,0.538911466746055,0.)); #370310=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #370311=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #370312=DIRECTION('',(0.,0.,1.)); #370313=DIRECTION('center_axis',(0.824745549033873,0.565504004715099,0.)); #370314=DIRECTION('ref_axis',(-0.565504004715099,0.824745549033873,0.)); #370315=DIRECTION('',(-0.565504004715099,0.824745549033873,0.)); #370316=DIRECTION('',(-0.565504004715099,0.824745549033873,0.)); #370317=DIRECTION('',(0.,0.,1.)); #370318=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #370319=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #370320=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #370321=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #370322=DIRECTION('',(0.,0.,1.)); #370323=DIRECTION('center_axis',(1.,0.,0.)); #370324=DIRECTION('ref_axis',(0.,1.,0.)); #370325=DIRECTION('',(0.,1.,0.)); #370326=DIRECTION('',(0.,1.,0.)); #370327=DIRECTION('',(0.,0.,1.)); #370328=DIRECTION('center_axis',(0.983056036329376,-0.183305290257473,0.)); #370329=DIRECTION('ref_axis',(0.183305290257473,0.983056036329376,0.)); #370330=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #370331=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #370332=DIRECTION('',(0.,0.,1.)); #370333=DIRECTION('center_axis',(0.842362410729329,-0.538911466745951,0.)); #370334=DIRECTION('ref_axis',(0.538911466745951,0.842362410729329,0.)); #370335=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #370336=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #370337=DIRECTION('',(0.,0.,1.)); #370338=DIRECTION('center_axis',(0.565504004715162,-0.82474554903383,0.)); #370339=DIRECTION('ref_axis',(0.82474554903383,0.565504004715162,0.)); #370340=DIRECTION('',(0.82474554903383,0.565504004715162,0.)); #370341=DIRECTION('',(0.82474554903383,0.565504004715162,0.)); #370342=DIRECTION('',(0.,0.,1.)); #370343=DIRECTION('center_axis',(0.19895601923243,-0.980008419561376,0.)); #370344=DIRECTION('ref_axis',(0.980008419561375,0.19895601923243,0.)); #370345=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #370346=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #370347=DIRECTION('',(0.,0.,1.)); #370348=DIRECTION('center_axis',(0.,-1.,0.)); #370349=DIRECTION('ref_axis',(1.,0.,0.)); #370350=DIRECTION('',(1.,0.,0.)); #370351=DIRECTION('',(1.,0.,0.)); #370352=DIRECTION('',(0.,0.,1.)); #370353=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #370354=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #370355=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #370356=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #370357=DIRECTION('',(0.,0.,1.)); #370358=DIRECTION('center_axis',(1.,0.,0.)); #370359=DIRECTION('ref_axis',(0.,1.,0.)); #370360=DIRECTION('',(0.,1.,0.)); #370361=DIRECTION('',(0.,1.,0.)); #370362=DIRECTION('',(0.,0.,1.)); #370363=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #370364=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #370365=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #370366=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #370367=DIRECTION('center_axis',(0.,1.,0.)); #370368=DIRECTION('ref_axis',(-1.,0.,0.)); #370369=DIRECTION('',(-1.,0.,0.)); #370370=DIRECTION('',(0.,0.,1.)); #370371=DIRECTION('',(-1.,0.,0.)); #370372=DIRECTION('',(0.,0.,1.)); #370373=DIRECTION('center_axis',(-0.105885061288526,0.994378375567332,0.)); #370374=DIRECTION('ref_axis',(-0.994378375567332,-0.105885061288526,0.)); #370375=DIRECTION('',(-0.994378375567332,-0.105885061288526,0.)); #370376=DIRECTION('',(-0.994378375567332,-0.105885061288526,0.)); #370377=DIRECTION('',(0.,0.,1.)); #370378=DIRECTION('center_axis',(-0.312934735821318,0.949774631750313,0.)); #370379=DIRECTION('ref_axis',(-0.949774631750313,-0.312934735821318,0.)); #370380=DIRECTION('',(-0.949774631750313,-0.312934735821318,0.)); #370381=DIRECTION('',(-0.949774631750313,-0.312934735821318,0.)); #370382=DIRECTION('',(0.,0.,1.)); #370383=DIRECTION('center_axis',(-0.506098478960508,0.862475697972911,0.)); #370384=DIRECTION('ref_axis',(-0.862475697972911,-0.506098478960508,0.)); #370385=DIRECTION('',(-0.862475697972911,-0.506098478960508,0.)); #370386=DIRECTION('',(-0.862475697972911,-0.506098478960508,0.)); #370387=DIRECTION('',(0.,0.,1.)); #370388=DIRECTION('center_axis',(-0.652519128376063,0.757772252793241,0.)); #370389=DIRECTION('ref_axis',(-0.757772252793241,-0.652519128376063,0.)); #370390=DIRECTION('',(-0.757772252793241,-0.652519128376063,0.)); #370391=DIRECTION('',(-0.757772252793241,-0.652519128376063,0.)); #370392=DIRECTION('',(0.,0.,1.)); #370393=DIRECTION('center_axis',(-0.707107040518299,0.707106521854701,0.)); #370394=DIRECTION('ref_axis',(-0.707106521854701,-0.707107040518299,0.)); #370395=DIRECTION('',(-0.707106521854701,-0.707107040518299,0.)); #370396=DIRECTION('',(-0.707106521854701,-0.707107040518299,0.)); #370397=DIRECTION('',(0.,0.,1.)); #370398=DIRECTION('center_axis',(-0.562160501783157,0.827028155648228,0.)); #370399=DIRECTION('ref_axis',(-0.827028155648228,-0.562160501783157,0.)); #370400=DIRECTION('',(-0.827028155648228,-0.562160501783157,0.)); #370401=DIRECTION('',(-0.827028155648228,-0.562160501783157,0.)); #370402=DIRECTION('',(0.,0.,1.)); #370403=DIRECTION('center_axis',(-0.206824927726423,0.97837796851266,0.)); #370404=DIRECTION('ref_axis',(-0.97837796851266,-0.206824927726423,0.)); #370405=DIRECTION('',(-0.97837796851266,-0.206824927726423,0.)); #370406=DIRECTION('',(-0.97837796851266,-0.206824927726423,0.)); #370407=DIRECTION('',(0.,0.,1.)); #370408=DIRECTION('center_axis',(0.191139179453654,0.981562944531722,0.)); #370409=DIRECTION('ref_axis',(-0.981562944531722,0.191139179453654,0.)); #370410=DIRECTION('',(-0.981562944531722,0.191139179453654,0.)); #370411=DIRECTION('',(-0.981562944531722,0.191139179453654,0.)); #370412=DIRECTION('',(0.,0.,1.)); #370413=DIRECTION('center_axis',(0.382676531743422,0.923882390812178,0.)); #370414=DIRECTION('ref_axis',(-0.923882390812178,0.382676531743422,0.)); #370415=DIRECTION('',(-0.923882390812178,0.382676531743422,0.)); #370416=DIRECTION('',(-0.923882390812178,0.382676531743422,0.)); #370417=DIRECTION('',(0.,0.,1.)); #370418=DIRECTION('center_axis',(0.,1.,0.)); #370419=DIRECTION('ref_axis',(-1.,0.,0.)); #370420=DIRECTION('',(-1.,0.,0.)); #370421=DIRECTION('',(-1.,0.,0.)); #370422=DIRECTION('',(0.,0.,1.)); #370423=DIRECTION('center_axis',(-0.382684030240894,0.923879284862793,0.)); #370424=DIRECTION('ref_axis',(-0.923879284862793,-0.382684030240894,0.)); #370425=DIRECTION('',(-0.923879284862793,-0.382684030240894,0.)); #370426=DIRECTION('',(-0.923879284862793,-0.382684030240894,0.)); #370427=DIRECTION('',(0.,0.,1.)); #370428=DIRECTION('center_axis',(-0.707105976813813,0.707107585558367,0.)); #370429=DIRECTION('ref_axis',(-0.707107585558367,-0.707105976813813,0.)); #370430=DIRECTION('',(-0.707107585558367,-0.707105976813813,0.)); #370431=DIRECTION('',(-0.707107585558367,-0.707105976813813,0.)); #370432=DIRECTION('',(0.,0.,1.)); #370433=DIRECTION('center_axis',(-0.923879579359888,0.382683319262543,0.)); #370434=DIRECTION('ref_axis',(-0.382683319262543,-0.923879579359888,0.)); #370435=DIRECTION('',(-0.382683319262543,-0.923879579359888,0.)); #370436=DIRECTION('',(-0.382683319262543,-0.923879579359888,0.)); #370437=DIRECTION('',(0.,0.,1.)); #370438=DIRECTION('center_axis',(-1.,0.,0.)); #370439=DIRECTION('ref_axis',(0.,-1.,0.)); #370440=DIRECTION('',(0.,-1.,0.)); #370441=DIRECTION('',(0.,-1.,0.)); #370442=DIRECTION('',(0.,0.,1.)); #370443=DIRECTION('center_axis',(-0.923879579359888,-0.382683319262543, 0.)); #370444=DIRECTION('ref_axis',(0.382683319262543,-0.923879579359888,0.)); #370445=DIRECTION('',(0.382683319262543,-0.923879579359888,0.)); #370446=DIRECTION('',(0.382683319262543,-0.923879579359888,0.)); #370447=DIRECTION('',(0.,0.,1.)); #370448=DIRECTION('center_axis',(-0.707105976813813,-0.707107585558367, 0.)); #370449=DIRECTION('ref_axis',(0.707107585558367,-0.707105976813813,0.)); #370450=DIRECTION('',(0.707107585558367,-0.707105976813813,0.)); #370451=DIRECTION('',(0.707107585558367,-0.707105976813813,0.)); #370452=DIRECTION('',(0.,0.,1.)); #370453=DIRECTION('center_axis',(-0.38268403024089,-0.923879284862795,0.)); #370454=DIRECTION('ref_axis',(0.923879284862795,-0.38268403024089,0.)); #370455=DIRECTION('',(0.923879284862795,-0.38268403024089,0.)); #370456=DIRECTION('',(0.923879284862795,-0.38268403024089,0.)); #370457=DIRECTION('',(0.,0.,1.)); #370458=DIRECTION('center_axis',(0.,-1.,0.)); #370459=DIRECTION('ref_axis',(1.,0.,0.)); #370460=DIRECTION('',(1.,0.,0.)); #370461=DIRECTION('',(1.,0.,0.)); #370462=DIRECTION('',(0.,0.,1.)); #370463=DIRECTION('center_axis',(-0.183301831720629,-0.983056681218261, 0.)); #370464=DIRECTION('ref_axis',(0.983056681218261,-0.183301831720629,0.)); #370465=DIRECTION('',(0.983056681218261,-0.183301831720629,0.)); #370466=DIRECTION('',(0.983056681218261,-0.183301831720629,0.)); #370467=DIRECTION('',(0.,0.,1.)); #370468=DIRECTION('center_axis',(-0.538904447640605,-0.842366901245042, 0.)); #370469=DIRECTION('ref_axis',(0.842366901245042,-0.538904447640605,0.)); #370470=DIRECTION('',(0.842366901245042,-0.538904447640605,0.)); #370471=DIRECTION('',(0.842366901245042,-0.538904447640605,0.)); #370472=DIRECTION('',(0.,0.,1.)); #370473=DIRECTION('center_axis',(-0.824750821382048,-0.565496315310398, 0.)); #370474=DIRECTION('ref_axis',(0.565496315310398,-0.824750821382048,0.)); #370475=DIRECTION('',(0.565496315310398,-0.824750821382048,0.)); #370476=DIRECTION('',(0.565496315310398,-0.824750821382048,0.)); #370477=DIRECTION('',(0.,0.,1.)); #370478=DIRECTION('center_axis',(-0.980008289044031,-0.19895666212769,0.)); #370479=DIRECTION('ref_axis',(0.19895666212769,-0.980008289044031,0.)); #370480=DIRECTION('',(0.19895666212769,-0.980008289044031,0.)); #370481=DIRECTION('',(0.19895666212769,-0.980008289044031,0.)); #370482=DIRECTION('',(0.,0.,1.)); #370483=DIRECTION('center_axis',(-1.,0.,0.)); #370484=DIRECTION('ref_axis',(0.,-1.,0.)); #370485=DIRECTION('',(0.,-1.,0.)); #370486=DIRECTION('',(0.,-1.,0.)); #370487=DIRECTION('',(0.,0.,1.)); #370488=DIRECTION('center_axis',(-0.983055795826661,0.183306580055407,0.)); #370489=DIRECTION('ref_axis',(-0.183306580055407,-0.983055795826661,0.)); #370490=DIRECTION('',(-0.183306580055407,-0.983055795826661,0.)); #370491=DIRECTION('',(-0.183306580055407,-0.983055795826661,0.)); #370492=DIRECTION('',(0.,0.,1.)); #370493=DIRECTION('center_axis',(-0.842360495470155,0.538914460439944,0.)); #370494=DIRECTION('ref_axis',(-0.538914460439944,-0.842360495470155,0.)); #370495=DIRECTION('',(-0.538914460439943,-0.842360495470155,0.)); #370496=DIRECTION('',(-0.538914460439943,-0.842360495470155,0.)); #370497=DIRECTION('',(0.,0.,1.)); #370498=DIRECTION('center_axis',(-0.565504004715031,0.82474554903392,0.)); #370499=DIRECTION('ref_axis',(-0.82474554903392,-0.565504004715031,0.)); #370500=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #370501=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #370502=DIRECTION('',(0.,0.,1.)); #370503=DIRECTION('center_axis',(-0.198968686113148,0.980005847914393,0.)); #370504=DIRECTION('ref_axis',(-0.980005847914393,-0.198968686113148,0.)); #370505=DIRECTION('',(-0.980005847914393,-0.198968686113148,0.)); #370506=DIRECTION('',(-0.980005847914393,-0.198968686113148,0.)); #370507=DIRECTION('',(0.,0.,1.)); #370508=DIRECTION('center_axis',(0.,1.,0.)); #370509=DIRECTION('ref_axis',(-1.,0.,0.)); #370510=DIRECTION('',(-1.,0.,0.)); #370511=DIRECTION('',(-1.,0.,0.)); #370512=DIRECTION('',(0.,0.,1.)); #370513=DIRECTION('center_axis',(-0.105885061288508,0.994378375567334,0.)); #370514=DIRECTION('ref_axis',(-0.994378375567334,-0.105885061288508,0.)); #370515=DIRECTION('',(-0.994378375567334,-0.105885061288508,0.)); #370516=DIRECTION('',(-0.994378375567334,-0.105885061288508,0.)); #370517=DIRECTION('',(0.,0.,1.)); #370518=DIRECTION('center_axis',(-0.31293473582138,0.949774631750292,0.)); #370519=DIRECTION('ref_axis',(-0.949774631750292,-0.31293473582138,0.)); #370520=DIRECTION('',(-0.949774631750292,-0.31293473582138,0.)); #370521=DIRECTION('',(-0.949774631750292,-0.31293473582138,0.)); #370522=DIRECTION('',(0.,0.,1.)); #370523=DIRECTION('center_axis',(-0.506098478960527,0.8624756979729,0.)); #370524=DIRECTION('ref_axis',(-0.8624756979729,-0.506098478960527,0.)); #370525=DIRECTION('',(-0.8624756979729,-0.506098478960527,0.)); #370526=DIRECTION('',(-0.8624756979729,-0.506098478960527,0.)); #370527=DIRECTION('',(0.,0.,1.)); #370528=DIRECTION('center_axis',(-0.652519128376063,0.757772252793241,0.)); #370529=DIRECTION('ref_axis',(-0.757772252793241,-0.652519128376063,0.)); #370530=DIRECTION('',(-0.757772252793241,-0.652519128376063,0.)); #370531=DIRECTION('',(-0.757772252793241,-0.652519128376063,0.)); #370532=DIRECTION('',(0.,0.,1.)); #370533=DIRECTION('center_axis',(-0.707106939765109,0.707106622607951,0.)); #370534=DIRECTION('ref_axis',(-0.707106622607951,-0.707106939765109,0.)); #370535=DIRECTION('',(-0.707106622607951,-0.707106939765109,0.)); #370536=DIRECTION('',(-0.707106622607951,-0.707106939765109,0.)); #370537=DIRECTION('',(0.,0.,1.)); #370538=DIRECTION('center_axis',(-0.55892981188434,0.829214969345668,0.)); #370539=DIRECTION('ref_axis',(-0.829214969345668,-0.55892981188434,0.)); #370540=DIRECTION('',(-0.829214969345668,-0.55892981188434,0.)); #370541=DIRECTION('',(-0.829214969345668,-0.55892981188434,0.)); #370542=DIRECTION('',(0.,0.,1.)); #370543=DIRECTION('center_axis',(-0.198968686113154,0.980005847914391,0.)); #370544=DIRECTION('ref_axis',(-0.980005847914391,-0.198968686113154,0.)); #370545=DIRECTION('',(-0.980005847914391,-0.198968686113154,0.)); #370546=DIRECTION('',(-0.980005847914391,-0.198968686113154,0.)); #370547=DIRECTION('',(0.,0.,1.)); #370548=DIRECTION('center_axis',(0.,1.,0.)); #370549=DIRECTION('ref_axis',(-1.,0.,0.)); #370550=DIRECTION('',(-1.,0.,0.)); #370551=DIRECTION('',(-1.,0.,0.)); #370552=DIRECTION('',(0.,0.,1.)); #370553=DIRECTION('center_axis',(-0.105885061288483,0.994378375567336,0.)); #370554=DIRECTION('ref_axis',(-0.994378375567336,-0.105885061288483,0.)); #370555=DIRECTION('',(-0.994378375567336,-0.105885061288483,0.)); #370556=DIRECTION('',(-0.994378375567336,-0.105885061288483,0.)); #370557=DIRECTION('',(0.,0.,1.)); #370558=DIRECTION('center_axis',(-0.312934735821426,0.949774631750277,0.)); #370559=DIRECTION('ref_axis',(-0.949774631750277,-0.312934735821426,0.)); #370560=DIRECTION('',(-0.949774631750277,-0.312934735821426,0.)); #370561=DIRECTION('',(-0.949774631750277,-0.312934735821426,0.)); #370562=DIRECTION('',(0.,0.,1.)); #370563=DIRECTION('center_axis',(-0.506098478960332,0.862475697973015,0.)); #370564=DIRECTION('ref_axis',(-0.862475697973015,-0.506098478960332,0.)); #370565=DIRECTION('',(-0.862475697973015,-0.506098478960332,0.)); #370566=DIRECTION('',(-0.862475697973015,-0.506098478960332,0.)); #370567=DIRECTION('',(0.,0.,1.)); #370568=DIRECTION('center_axis',(-0.652519128376101,0.757772252793208,0.)); #370569=DIRECTION('ref_axis',(-0.757772252793208,-0.652519128376101,0.)); #370570=DIRECTION('',(-0.757772252793208,-0.652519128376101,0.)); #370571=DIRECTION('',(-0.757772252793208,-0.652519128376101,0.)); #370572=DIRECTION('',(0.,0.,1.)); #370573=DIRECTION('center_axis',(-0.707106922915761,0.707106639457305,0.)); #370574=DIRECTION('ref_axis',(-0.707106639457305,-0.707106922915761,0.)); #370575=DIRECTION('',(-0.707106639457305,-0.707106922915761,0.)); #370576=DIRECTION('',(-0.707106639457305,-0.707106922915761,0.)); #370577=DIRECTION('',(0.,0.,1.)); #370578=DIRECTION('center_axis',(-0.778048811381514,0.628203826084985,0.)); #370579=DIRECTION('ref_axis',(-0.628203826084984,-0.778048811381514,0.)); #370580=DIRECTION('',(-0.628203826084984,-0.778048811381514,0.)); #370581=DIRECTION('',(-0.628203826084984,-0.778048811381514,0.)); #370582=DIRECTION('',(0.,0.,1.)); #370583=DIRECTION('center_axis',(-0.892938781493025,0.450178111979861,0.)); #370584=DIRECTION('ref_axis',(-0.45017811197986,-0.892938781493025,0.)); #370585=DIRECTION('',(-0.45017811197986,-0.892938781493025,0.)); #370586=DIRECTION('',(-0.45017811197986,-0.892938781493025,0.)); #370587=DIRECTION('',(0.,0.,1.)); #370588=DIRECTION('center_axis',(-0.967662278078034,0.252249312357498,0.)); #370589=DIRECTION('ref_axis',(-0.252249312357498,-0.967662278078034,0.)); #370590=DIRECTION('',(-0.252249312357498,-0.967662278078034,0.)); #370591=DIRECTION('',(-0.252249312357498,-0.967662278078034,0.)); #370592=DIRECTION('',(0.,0.,1.)); #370593=DIRECTION('center_axis',(-0.997238118320005,0.0742706898417872, 0.)); #370594=DIRECTION('ref_axis',(-0.0742706898417872,-0.997238118320005,0.)); #370595=DIRECTION('',(-0.0742706898417872,-0.997238118320005,0.)); #370596=DIRECTION('',(-0.0742706898417872,-0.997238118320005,0.)); #370597=DIRECTION('',(0.,0.,1.)); #370598=DIRECTION('center_axis',(-1.,0.,0.)); #370599=DIRECTION('ref_axis',(0.,-1.,0.)); #370600=DIRECTION('',(0.,-1.,0.)); #370601=DIRECTION('',(0.,-1.,0.)); #370602=DIRECTION('',(0.,0.,1.)); #370603=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #370604=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #370605=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #370606=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #370607=DIRECTION('',(0.,0.,1.)); #370608=DIRECTION('center_axis',(-0.842362410729242,0.538911466746088,0.)); #370609=DIRECTION('ref_axis',(-0.538911466746088,-0.842362410729242,0.)); #370610=DIRECTION('',(-0.538911466746088,-0.842362410729242,0.)); #370611=DIRECTION('',(-0.538911466746088,-0.842362410729242,0.)); #370612=DIRECTION('',(0.,0.,1.)); #370613=DIRECTION('center_axis',(-0.565504004715149,0.824745549033839,0.)); #370614=DIRECTION('ref_axis',(-0.824745549033839,-0.565504004715149,0.)); #370615=DIRECTION('',(-0.824745549033839,-0.565504004715149,0.)); #370616=DIRECTION('',(-0.824745549033839,-0.565504004715149,0.)); #370617=DIRECTION('',(0.,0.,1.)); #370618=DIRECTION('center_axis',(-0.198956019232613,0.980008419561338,0.)); #370619=DIRECTION('ref_axis',(-0.980008419561339,-0.198956019232613,0.)); #370620=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #370621=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #370622=DIRECTION('',(0.,0.,1.)); #370623=DIRECTION('center_axis',(0.,1.,0.)); #370624=DIRECTION('ref_axis',(-1.,0.,0.)); #370625=DIRECTION('',(-1.,0.,0.)); #370626=DIRECTION('',(-1.,0.,0.)); #370627=DIRECTION('',(0.,0.,1.)); #370628=DIRECTION('center_axis',(-0.105885061288489,0.994378375567336,0.)); #370629=DIRECTION('ref_axis',(-0.994378375567335,-0.105885061288489,0.)); #370630=DIRECTION('',(-0.994378375567335,-0.105885061288489,0.)); #370631=DIRECTION('',(-0.994378375567335,-0.105885061288489,0.)); #370632=DIRECTION('',(0.,0.,1.)); #370633=DIRECTION('center_axis',(-0.312922107778911,0.949778792384418,0.)); #370634=DIRECTION('ref_axis',(-0.949778792384418,-0.312922107778911,0.)); #370635=DIRECTION('',(-0.949778792384418,-0.312922107778911,0.)); #370636=DIRECTION('',(-0.949778792384418,-0.312922107778911,0.)); #370637=DIRECTION('',(0.,0.,1.)); #370638=DIRECTION('center_axis',(-0.506114900434732,0.862466061684714,0.)); #370639=DIRECTION('ref_axis',(-0.862466061684714,-0.506114900434732,0.)); #370640=DIRECTION('',(-0.862466061684714,-0.506114900434732,0.)); #370641=DIRECTION('',(-0.862466061684714,-0.506114900434732,0.)); #370642=DIRECTION('',(0.,0.,1.)); #370643=DIRECTION('center_axis',(-0.652508824452228,0.757781125399657,0.)); #370644=DIRECTION('ref_axis',(-0.757781125399657,-0.652508824452228,0.)); #370645=DIRECTION('',(-0.757781125399657,-0.652508824452228,0.)); #370646=DIRECTION('',(-0.757781125399657,-0.652508824452228,0.)); #370647=DIRECTION('',(0.,0.,1.)); #370648=DIRECTION('center_axis',(-0.707106869683021,0.707106692690063,0.)); #370649=DIRECTION('ref_axis',(-0.707106692690063,-0.707106869683021,0.)); #370650=DIRECTION('',(-0.707106692690063,-0.707106869683021,0.)); #370651=DIRECTION('',(-0.707106692690063,-0.707106869683021,0.)); #370652=DIRECTION('',(0.,0.,1.)); #370653=DIRECTION('center_axis',(-0.77804881138165,0.628203826084816,0.)); #370654=DIRECTION('ref_axis',(-0.628203826084816,-0.77804881138165,0.)); #370655=DIRECTION('',(-0.628203826084816,-0.77804881138165,0.)); #370656=DIRECTION('',(-0.628203826084816,-0.77804881138165,0.)); #370657=DIRECTION('',(0.,0.,1.)); #370658=DIRECTION('center_axis',(-0.892938781492879,0.450178111980149,0.)); #370659=DIRECTION('ref_axis',(-0.450178111980149,-0.89293878149288,0.)); #370660=DIRECTION('',(-0.450178111980149,-0.89293878149288,0.)); #370661=DIRECTION('',(-0.450178111980149,-0.89293878149288,0.)); #370662=DIRECTION('',(0.,0.,1.)); #370663=DIRECTION('center_axis',(-0.967662278078034,0.252249312357498,0.)); #370664=DIRECTION('ref_axis',(-0.252249312357498,-0.967662278078034,0.)); #370665=DIRECTION('',(-0.252249312357498,-0.967662278078034,0.)); #370666=DIRECTION('',(-0.252249312357498,-0.967662278078034,0.)); #370667=DIRECTION('',(0.,0.,1.)); #370668=DIRECTION('center_axis',(-0.997238118320005,0.0742706898417872, 0.)); #370669=DIRECTION('ref_axis',(-0.0742706898417872,-0.997238118320005,0.)); #370670=DIRECTION('',(-0.0742706898417872,-0.997238118320005,0.)); #370671=DIRECTION('',(-0.0742706898417872,-0.997238118320005,0.)); #370672=DIRECTION('',(0.,0.,1.)); #370673=DIRECTION('center_axis',(-1.,0.,0.)); #370674=DIRECTION('ref_axis',(0.,-1.,0.)); #370675=DIRECTION('',(0.,-1.,0.)); #370676=DIRECTION('',(0.,-1.,0.)); #370677=DIRECTION('',(0.,0.,1.)); #370678=DIRECTION('center_axis',(-0.981560865252911,0.191149856928945,0.)); #370679=DIRECTION('ref_axis',(-0.191149856928945,-0.981560865252911,0.)); #370680=DIRECTION('',(-0.191149856928945,-0.981560865252911,0.)); #370681=DIRECTION('',(-0.191149856928945,-0.981560865252911,0.)); #370682=DIRECTION('',(0.,0.,1.)); #370683=DIRECTION('center_axis',(-0.833659859749867,0.552278225391724,0.)); #370684=DIRECTION('ref_axis',(-0.552278225391724,-0.833659859749867,0.)); #370685=DIRECTION('',(-0.552278225391724,-0.833659859749867,0.)); #370686=DIRECTION('',(-0.552278225391724,-0.833659859749867,0.)); #370687=DIRECTION('',(0.,0.,1.)); #370688=DIRECTION('center_axis',(-0.707106876869274,0.707106685503809,0.)); #370689=DIRECTION('ref_axis',(-0.707106685503809,-0.707106876869274,0.)); #370690=DIRECTION('',(-0.707106685503809,-0.707106876869274,0.)); #370691=DIRECTION('',(-0.707106685503809,-0.707106876869274,0.)); #370692=DIRECTION('',(0.,0.,1.)); #370693=DIRECTION('center_axis',(-0.778048811381718,0.628203826084731,0.)); #370694=DIRECTION('ref_axis',(-0.628203826084731,-0.778048811381718,0.)); #370695=DIRECTION('',(-0.628203826084731,-0.778048811381718,0.)); #370696=DIRECTION('',(-0.628203826084731,-0.778048811381718,0.)); #370697=DIRECTION('',(0.,0.,1.)); #370698=DIRECTION('center_axis',(-0.892938781492816,0.450178111980274,0.)); #370699=DIRECTION('ref_axis',(-0.450178111980274,-0.892938781492816,0.)); #370700=DIRECTION('',(-0.450178111980274,-0.892938781492816,0.)); #370701=DIRECTION('',(-0.450178111980274,-0.892938781492816,0.)); #370702=DIRECTION('',(0.,0.,1.)); #370703=DIRECTION('center_axis',(-0.967662278078046,0.25224931235745,0.)); #370704=DIRECTION('ref_axis',(-0.25224931235745,-0.967662278078046,0.)); #370705=DIRECTION('',(-0.25224931235745,-0.967662278078046,0.)); #370706=DIRECTION('',(-0.25224931235745,-0.967662278078046,0.)); #370707=DIRECTION('',(0.,0.,1.)); #370708=DIRECTION('center_axis',(-0.997238118320006,0.0742706898417773, 0.)); #370709=DIRECTION('ref_axis',(-0.0742706898417773,-0.997238118320006,0.)); #370710=DIRECTION('',(-0.0742706898417773,-0.997238118320006,0.)); #370711=DIRECTION('',(-0.0742706898417773,-0.997238118320006,0.)); #370712=DIRECTION('',(0.,0.,1.)); #370713=DIRECTION('center_axis',(-1.,0.,0.)); #370714=DIRECTION('ref_axis',(0.,-1.,0.)); #370715=DIRECTION('',(0.,-1.,0.)); #370716=DIRECTION('',(0.,-1.,0.)); #370717=DIRECTION('',(0.,0.,1.)); #370718=DIRECTION('center_axis',(-0.99437837556735,-0.105885061288354,0.)); #370719=DIRECTION('ref_axis',(0.105885061288354,-0.99437837556735,0.)); #370720=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #370721=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #370722=DIRECTION('',(0.,0.,1.)); #370723=DIRECTION('center_axis',(-0.949766016990435,-0.312960880894282, 0.)); #370724=DIRECTION('ref_axis',(0.312960880894282,-0.949766016990435,0.)); #370725=DIRECTION('',(0.312960880894282,-0.949766016990435,0.)); #370726=DIRECTION('',(0.312960880894282,-0.949766016990435,0.)); #370727=DIRECTION('',(0.,0.,1.)); #370728=DIRECTION('center_axis',(-0.837174075245197,-0.546936529898442, 0.)); #370729=DIRECTION('ref_axis',(0.546936529898442,-0.837174075245197,0.)); #370730=DIRECTION('',(0.546936529898442,-0.837174075245197,0.)); #370731=DIRECTION('',(0.546936529898442,-0.837174075245197,0.)); #370732=DIRECTION('',(0.,0.,1.)); #370733=DIRECTION('center_axis',(-0.74329456719604,-0.668964263901183,0.)); #370734=DIRECTION('ref_axis',(0.668964263901183,-0.74329456719604,0.)); #370735=DIRECTION('',(0.668964263901183,-0.74329456719604,0.)); #370736=DIRECTION('',(0.668964263901183,-0.74329456719604,0.)); #370737=DIRECTION('',(0.,0.,1.)); #370738=DIRECTION('center_axis',(-0.668310465897522,-0.743882464621823, 0.)); #370739=DIRECTION('ref_axis',(0.743882464621823,-0.668310465897522,0.)); #370740=DIRECTION('',(0.743882464621823,-0.668310465897522,0.)); #370741=DIRECTION('',(0.743882464621823,-0.668310465897522,0.)); #370742=DIRECTION('',(0.,0.,1.)); #370743=DIRECTION('center_axis',(-0.496576102786833,-0.867993187842532, 0.)); #370744=DIRECTION('ref_axis',(0.867993187842532,-0.496576102786833,0.)); #370745=DIRECTION('',(0.867993187842532,-0.496576102786833,0.)); #370746=DIRECTION('',(0.867993187842532,-0.496576102786833,0.)); #370747=DIRECTION('',(0.,0.,1.)); #370748=DIRECTION('center_axis',(-0.30251116059194,-0.953145842836928,0.)); #370749=DIRECTION('ref_axis',(0.953145842836928,-0.30251116059194,0.)); #370750=DIRECTION('',(0.953145842836928,-0.30251116059194,0.)); #370751=DIRECTION('',(0.953145842836928,-0.30251116059194,0.)); #370752=DIRECTION('',(0.,0.,1.)); #370753=DIRECTION('center_axis',(-0.100530782529678,-0.994933948442795, 0.)); #370754=DIRECTION('ref_axis',(0.994933948442795,-0.100530782529678,0.)); #370755=DIRECTION('',(0.994933948442795,-0.100530782529678,0.)); #370756=DIRECTION('',(0.994933948442795,-0.100530782529678,0.)); #370757=DIRECTION('',(0.,0.,1.)); #370758=DIRECTION('center_axis',(0.,-1.,0.)); #370759=DIRECTION('ref_axis',(1.,0.,0.)); #370760=DIRECTION('',(1.,0.,0.)); #370761=DIRECTION('',(1.,0.,0.)); #370762=DIRECTION('',(0.,0.,1.)); #370763=DIRECTION('center_axis',(0.105885061288662,-0.994378375567317,0.)); #370764=DIRECTION('ref_axis',(0.994378375567317,0.105885061288662,0.)); #370765=DIRECTION('',(0.994378375567317,0.105885061288662,0.)); #370766=DIRECTION('',(0.994378375567317,0.105885061288662,0.)); #370767=DIRECTION('',(0.,0.,1.)); #370768=DIRECTION('center_axis',(0.312935976921229,-0.949774222827908,0.)); #370769=DIRECTION('ref_axis',(0.949774222827908,0.312935976921229,0.)); #370770=DIRECTION('',(0.949774222827908,0.312935976921229,0.)); #370771=DIRECTION('',(0.949774222827908,0.312935976921229,0.)); #370772=DIRECTION('',(0.,0.,1.)); #370773=DIRECTION('center_axis',(0.506057198050737,-0.86249992017451,0.)); #370774=DIRECTION('ref_axis',(0.86249992017451,0.506057198050737,0.)); #370775=DIRECTION('',(0.86249992017451,0.506057198050737,0.)); #370776=DIRECTION('',(0.86249992017451,0.506057198050737,0.)); #370777=DIRECTION('',(0.,0.,1.)); #370778=DIRECTION('center_axis',(0.676309465188481,-0.736617612670557,0.)); #370779=DIRECTION('ref_axis',(0.736617612670557,0.676309465188481,0.)); #370780=DIRECTION('',(0.736617612670557,0.676309465188481,0.)); #370781=DIRECTION('',(0.736617612670557,0.676309465188481,0.)); #370782=DIRECTION('',(0.,0.,1.)); #370783=DIRECTION('center_axis',(0.816318974247621,-0.57760136104697,0.)); #370784=DIRECTION('ref_axis',(0.57760136104697,0.816318974247621,0.)); #370785=DIRECTION('',(0.57760136104697,0.816318974247621,0.)); #370786=DIRECTION('',(0.57760136104697,0.816318974247621,0.)); #370787=DIRECTION('',(0.,0.,1.)); #370788=DIRECTION('center_axis',(0.919633143145632,-0.392778413393717,0.)); #370789=DIRECTION('ref_axis',(0.392778413393717,0.919633143145632,0.)); #370790=DIRECTION('',(0.392778413393717,0.919633143145632,0.)); #370791=DIRECTION('',(0.392778413393717,0.919633143145632,0.)); #370792=DIRECTION('',(0.,0.,1.)); #370793=DIRECTION('center_axis',(0.988961531561705,-0.148172497755578,0.)); #370794=DIRECTION('ref_axis',(0.148172497755578,0.988961531561705,0.)); #370795=DIRECTION('',(0.148172497755578,0.988961531561705,0.)); #370796=DIRECTION('',(0.148172497755578,0.988961531561705,0.)); #370797=DIRECTION('',(0.,0.,1.)); #370798=DIRECTION('center_axis',(1.,0.,0.)); #370799=DIRECTION('ref_axis',(0.,1.,0.)); #370800=DIRECTION('',(0.,1.,0.)); #370801=DIRECTION('',(0.,1.,0.)); #370802=DIRECTION('',(0.,0.,1.)); #370803=DIRECTION('center_axis',(0.983056036329398,-0.183305290257358,0.)); #370804=DIRECTION('ref_axis',(0.183305290257358,0.983056036329397,0.)); #370805=DIRECTION('',(0.183305290257358,0.983056036329397,0.)); #370806=DIRECTION('',(0.183305290257358,0.983056036329397,0.)); #370807=DIRECTION('',(0.,0.,1.)); #370808=DIRECTION('center_axis',(0.842362410729178,-0.538911466746188,0.)); #370809=DIRECTION('ref_axis',(0.538911466746188,0.842362410729178,0.)); #370810=DIRECTION('',(0.538911466746188,0.842362410729178,0.)); #370811=DIRECTION('',(0.538911466746188,0.842362410729178,0.)); #370812=DIRECTION('',(0.,0.,1.)); #370813=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #370814=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #370815=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #370816=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #370817=DIRECTION('',(0.,0.,1.)); #370818=DIRECTION('center_axis',(0.19895601923274,-0.980008419561313,0.)); #370819=DIRECTION('ref_axis',(0.980008419561313,0.19895601923274,0.)); #370820=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #370821=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #370822=DIRECTION('',(0.,0.,1.)); #370823=DIRECTION('center_axis',(0.,-1.,0.)); #370824=DIRECTION('ref_axis',(1.,0.,0.)); #370825=DIRECTION('',(1.,0.,0.)); #370826=DIRECTION('',(1.,0.,0.)); #370827=DIRECTION('',(0.,0.,1.)); #370828=DIRECTION('center_axis',(-0.183305290257473,-0.983056036329376, 0.)); #370829=DIRECTION('ref_axis',(0.983056036329376,-0.183305290257473,0.)); #370830=DIRECTION('',(0.983056036329376,-0.183305290257473,0.)); #370831=DIRECTION('',(0.983056036329376,-0.183305290257473,0.)); #370832=DIRECTION('',(0.,0.,1.)); #370833=DIRECTION('center_axis',(-0.538911466745847,-0.842362410729396, 0.)); #370834=DIRECTION('ref_axis',(0.842362410729396,-0.538911466745847,0.)); #370835=DIRECTION('',(0.842362410729396,-0.538911466745847,0.)); #370836=DIRECTION('',(0.842362410729396,-0.538911466745847,0.)); #370837=DIRECTION('',(0.,0.,1.)); #370838=DIRECTION('center_axis',(-0.82474554903383,-0.565504004715162,0.)); #370839=DIRECTION('ref_axis',(0.565504004715162,-0.82474554903383,0.)); #370840=DIRECTION('',(0.565504004715162,-0.82474554903383,0.)); #370841=DIRECTION('',(0.565504004715162,-0.82474554903383,0.)); #370842=DIRECTION('',(0.,0.,1.)); #370843=DIRECTION('center_axis',(-0.980008419561381,-0.198956019232402, 0.)); #370844=DIRECTION('ref_axis',(0.198956019232402,-0.980008419561381,0.)); #370845=DIRECTION('',(0.198956019232402,-0.980008419561381,0.)); #370846=DIRECTION('',(0.198956019232402,-0.980008419561381,0.)); #370847=DIRECTION('',(0.,0.,1.)); #370848=DIRECTION('center_axis',(-1.,0.,0.)); #370849=DIRECTION('ref_axis',(0.,-1.,0.)); #370850=DIRECTION('',(0.,-1.,0.)); #370851=DIRECTION('',(0.,-1.,0.)); #370852=DIRECTION('',(0.,0.,1.)); #370853=DIRECTION('center_axis',(-0.994378375567313,-0.105885061288698, 0.)); #370854=DIRECTION('ref_axis',(0.105885061288698,-0.994378375567313,0.)); #370855=DIRECTION('',(0.105885061288698,-0.994378375567313,0.)); #370856=DIRECTION('',(0.105885061288698,-0.994378375567313,0.)); #370857=DIRECTION('',(0.,0.,1.)); #370858=DIRECTION('center_axis',(-0.94977879238451,-0.312922107778631,0.)); #370859=DIRECTION('ref_axis',(0.312922107778631,-0.94977879238451,0.)); #370860=DIRECTION('',(0.312922107778631,-0.94977879238451,0.)); #370861=DIRECTION('',(0.312922107778631,-0.94977879238451,0.)); #370862=DIRECTION('',(0.,0.,1.)); #370863=DIRECTION('center_axis',(-0.86248796831363,-0.506077567685258,0.)); #370864=DIRECTION('ref_axis',(0.506077567685258,-0.86248796831363,0.)); #370865=DIRECTION('',(0.506077567685258,-0.86248796831363,0.)); #370866=DIRECTION('',(0.506077567685258,-0.86248796831363,0.)); #370867=DIRECTION('',(0.,0.,1.)); #370868=DIRECTION('center_axis',(-0.73663894537961,-0.676286229454671,0.)); #370869=DIRECTION('ref_axis',(0.676286229454671,-0.73663894537961,0.)); #370870=DIRECTION('',(0.676286229454671,-0.73663894537961,0.)); #370871=DIRECTION('',(0.676286229454671,-0.73663894537961,0.)); #370872=DIRECTION('',(0.,0.,1.)); #370873=DIRECTION('center_axis',(-0.577570241664856,-0.816340992443231, 0.)); #370874=DIRECTION('ref_axis',(0.816340992443231,-0.577570241664856,0.)); #370875=DIRECTION('',(0.816340992443231,-0.577570241664856,0.)); #370876=DIRECTION('',(0.816340992443231,-0.577570241664856,0.)); #370877=DIRECTION('',(0.,0.,1.)); #370878=DIRECTION('center_axis',(-0.392778413393338,-0.919633143145794, 0.)); #370879=DIRECTION('ref_axis',(0.919633143145794,-0.392778413393338,0.)); #370880=DIRECTION('',(0.919633143145794,-0.392778413393338,0.)); #370881=DIRECTION('',(0.919633143145794,-0.392778413393338,0.)); #370882=DIRECTION('',(0.,0.,1.)); #370883=DIRECTION('center_axis',(-0.148172497755812,-0.98896153156167,0.)); #370884=DIRECTION('ref_axis',(0.98896153156167,-0.148172497755812,0.)); #370885=DIRECTION('',(0.98896153156167,-0.148172497755812,0.)); #370886=DIRECTION('',(0.98896153156167,-0.148172497755812,0.)); #370887=DIRECTION('',(0.,0.,1.)); #370888=DIRECTION('center_axis',(0.,-1.,0.)); #370889=DIRECTION('ref_axis',(1.,0.,0.)); #370890=DIRECTION('',(1.,0.,0.)); #370891=DIRECTION('',(1.,0.,0.)); #370892=DIRECTION('',(0.,0.,1.)); #370893=DIRECTION('center_axis',(-0.183305290257108,-0.983056036329444, 0.)); #370894=DIRECTION('ref_axis',(0.983056036329444,-0.183305290257108,0.)); #370895=DIRECTION('',(0.983056036329444,-0.183305290257108,0.)); #370896=DIRECTION('',(0.983056036329444,-0.183305290257108,0.)); #370897=DIRECTION('',(0.,0.,1.)); #370898=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #370899=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #370900=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #370901=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #370902=DIRECTION('',(0.,0.,1.)); #370903=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #370904=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #370905=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #370906=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #370907=DIRECTION('',(0.,0.,1.)); #370908=DIRECTION('center_axis',(-0.980008419561313,-0.19895601923274,0.)); #370909=DIRECTION('ref_axis',(0.19895601923274,-0.980008419561313,0.)); #370910=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #370911=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #370912=DIRECTION('',(0.,0.,1.)); #370913=DIRECTION('center_axis',(-1.,0.,0.)); #370914=DIRECTION('ref_axis',(0.,-1.,0.)); #370915=DIRECTION('',(0.,-1.,0.)); #370916=DIRECTION('',(0.,-1.,0.)); #370917=DIRECTION('',(0.,0.,1.)); #370918=DIRECTION('center_axis',(-0.99437837556735,-0.105885061288354,0.)); #370919=DIRECTION('ref_axis',(0.105885061288354,-0.99437837556735,0.)); #370920=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #370921=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #370922=DIRECTION('',(0.,0.,1.)); #370923=DIRECTION('center_axis',(-0.949778792384428,-0.312922107778882, 0.)); #370924=DIRECTION('ref_axis',(0.312922107778882,-0.949778792384428,0.)); #370925=DIRECTION('',(0.312922107778882,-0.949778792384428,0.)); #370926=DIRECTION('',(0.312922107778882,-0.949778792384428,0.)); #370927=DIRECTION('',(0.,0.,1.)); #370928=DIRECTION('center_axis',(-0.862466061684628,-0.506114900434878, 0.)); #370929=DIRECTION('ref_axis',(0.506114900434878,-0.862466061684628,0.)); #370930=DIRECTION('',(0.506114900434878,-0.862466061684628,0.)); #370931=DIRECTION('',(0.506114900434878,-0.862466061684628,0.)); #370932=DIRECTION('',(0.,0.,1.)); #370933=DIRECTION('center_axis',(-0.757781125399854,-0.652508824452,0.)); #370934=DIRECTION('ref_axis',(0.652508824452,-0.757781125399854,0.)); #370935=DIRECTION('',(0.652508824452,-0.757781125399854,0.)); #370936=DIRECTION('',(0.652508824452,-0.757781125399854,0.)); #370937=DIRECTION('',(0.,0.,1.)); #370938=DIRECTION('center_axis',(-0.707107551522362,-0.707106010849894, 0.)); #370939=DIRECTION('ref_axis',(0.707106010849894,-0.707107551522362,0.)); #370940=DIRECTION('',(0.707106010849894,-0.707107551522362,0.)); #370941=DIRECTION('',(0.707106010849894,-0.707107551522362,0.)); #370942=DIRECTION('',(0.,0.,1.)); #370943=DIRECTION('center_axis',(-0.824789890119759,-0.565439331101256, 0.)); #370944=DIRECTION('ref_axis',(0.565439331101256,-0.824789890119759,0.)); #370945=DIRECTION('',(0.565439331101256,-0.824789890119759,0.)); #370946=DIRECTION('',(0.565439331101256,-0.824789890119759,0.)); #370947=DIRECTION('',(0.,0.,1.)); #370948=DIRECTION('center_axis',(-0.976687210469078,-0.214667400660024, 0.)); #370949=DIRECTION('ref_axis',(0.214667400660024,-0.976687210469078,0.)); #370950=DIRECTION('',(0.214667400660024,-0.976687210469078,0.)); #370951=DIRECTION('',(0.214667400660024,-0.976687210469078,0.)); #370952=DIRECTION('',(0.,0.,1.)); #370953=DIRECTION('center_axis',(-0.983049421519731,0.183340761560876,0.)); #370954=DIRECTION('ref_axis',(-0.183340761560876,-0.983049421519731,0.)); #370955=DIRECTION('',(-0.183340761560876,-0.983049421519731,0.)); #370956=DIRECTION('',(-0.183340761560876,-0.983049421519731,0.)); #370957=DIRECTION('',(0.,0.,1.)); #370958=DIRECTION('center_axis',(-0.833659859749868,0.552278225391723,0.)); #370959=DIRECTION('ref_axis',(-0.552278225391723,-0.833659859749868,0.)); #370960=DIRECTION('',(-0.552278225391723,-0.833659859749868,0.)); #370961=DIRECTION('',(-0.552278225391723,-0.833659859749868,0.)); #370962=DIRECTION('',(0.,0.,1.)); #370963=DIRECTION('center_axis',(-0.707131237125211,0.707082324402024,0.)); #370964=DIRECTION('ref_axis',(-0.707082324402024,-0.707131237125211,0.)); #370965=DIRECTION('',(-0.707082324402024,-0.707131237125211,0.)); #370966=DIRECTION('',(-0.707082324402024,-0.707131237125211,0.)); #370967=DIRECTION('',(0.,0.,1.)); #370968=DIRECTION('center_axis',(-1.,0.,0.)); #370969=DIRECTION('ref_axis',(0.,-1.,0.)); #370970=DIRECTION('',(0.,-1.,0.)); #370971=DIRECTION('',(0.,-1.,0.)); #370972=DIRECTION('',(0.,0.,1.)); #370973=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #370974=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #370975=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #370976=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #370977=DIRECTION('',(0.,0.,1.)); #370978=DIRECTION('center_axis',(0.,-1.,0.)); #370979=DIRECTION('ref_axis',(1.,0.,0.)); #370980=DIRECTION('',(1.,0.,0.)); #370981=DIRECTION('',(1.,0.,0.)); #370982=DIRECTION('',(0.,0.,1.)); #370983=DIRECTION('center_axis',(0.707104777838364,-0.707108784529055,0.)); #370984=DIRECTION('ref_axis',(0.707108784529055,0.707104777838364,0.)); #370985=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #370986=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #370987=DIRECTION('',(0.,0.,1.)); #370988=DIRECTION('center_axis',(0.558946331948723,-0.829203833807507,0.)); #370989=DIRECTION('ref_axis',(0.829203833807507,0.558946331948723,0.)); #370990=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #370991=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #370992=DIRECTION('',(0.,0.,1.)); #370993=DIRECTION('center_axis',(0.19895601923274,-0.980008419561313,0.)); #370994=DIRECTION('ref_axis',(0.980008419561313,0.19895601923274,0.)); #370995=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #370996=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #370997=DIRECTION('',(0.,0.,1.)); #370998=DIRECTION('center_axis',(0.,-1.,0.)); #370999=DIRECTION('ref_axis',(1.,0.,0.)); #371000=DIRECTION('',(1.,0.,0.)); #371001=DIRECTION('',(1.,0.,0.)); #371002=DIRECTION('',(0.,0.,1.)); #371003=DIRECTION('center_axis',(0.707106781186551,-0.707106781186544,0.)); #371004=DIRECTION('ref_axis',(0.707106781186544,0.707106781186551,0.)); #371005=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #371006=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #371007=DIRECTION('',(0.,0.,1.)); #371008=DIRECTION('center_axis',(1.,0.,0.)); #371009=DIRECTION('ref_axis',(0.,1.,0.)); #371010=DIRECTION('',(0.,1.,0.)); #371011=DIRECTION('',(0.,1.,0.)); #371012=DIRECTION('',(0.,0.,1.)); #371013=DIRECTION('center_axis',(0.984479063846087,-0.175502059386013,0.)); #371014=DIRECTION('ref_axis',(0.175502059386013,0.984479063846087,0.)); #371015=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #371016=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #371017=DIRECTION('',(0.,0.,1.)); #371018=DIRECTION('center_axis',(0.850871695642028,-0.525373540973715,0.)); #371019=DIRECTION('ref_axis',(0.525373540973715,0.850871695642028,0.)); #371020=DIRECTION('',(0.525373540973715,0.850871695642028,0.)); #371021=DIRECTION('',(0.525373540973715,0.850871695642028,0.)); #371022=DIRECTION('',(0.,0.,1.)); #371023=DIRECTION('center_axis',(0.578483828224723,-0.81569385217891,0.)); #371024=DIRECTION('ref_axis',(0.81569385217891,0.578483828224723,0.)); #371025=DIRECTION('',(0.81569385217891,0.578483828224723,0.)); #371026=DIRECTION('',(0.81569385217891,0.578483828224723,0.)); #371027=DIRECTION('',(0.,0.,1.)); #371028=DIRECTION('center_axis',(0.214642857802378,-0.976692604453637,0.)); #371029=DIRECTION('ref_axis',(0.976692604453637,0.214642857802378,0.)); #371030=DIRECTION('',(0.976692604453637,0.214642857802378,0.)); #371031=DIRECTION('',(0.976692604453637,0.214642857802378,0.)); #371032=DIRECTION('',(0.,0.,1.)); #371033=DIRECTION('center_axis',(-0.183302952703505,-0.983056472197898, 0.)); #371034=DIRECTION('ref_axis',(0.983056472197898,-0.183302952703505,0.)); #371035=DIRECTION('',(0.983056472197898,-0.183302952703505,0.)); #371036=DIRECTION('',(0.983056472197898,-0.183302952703505,0.)); #371037=DIRECTION('',(0.,0.,1.)); #371038=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #371039=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #371040=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #371041=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #371042=DIRECTION('',(0.,0.,1.)); #371043=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #371044=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #371045=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #371046=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #371047=DIRECTION('',(0.,0.,1.)); #371048=DIRECTION('center_axis',(0.,-1.,0.)); #371049=DIRECTION('ref_axis',(1.,0.,0.)); #371050=DIRECTION('',(1.,0.,0.)); #371051=DIRECTION('',(1.,0.,0.)); #371052=DIRECTION('',(0.,0.,1.)); #371053=DIRECTION('center_axis',(-0.183305290257387,-0.983056036329392, 0.)); #371054=DIRECTION('ref_axis',(0.983056036329392,-0.183305290257387,0.)); #371055=DIRECTION('',(0.983056036329392,-0.183305290257387,0.)); #371056=DIRECTION('',(0.983056036329392,-0.183305290257387,0.)); #371057=DIRECTION('',(0.,0.,1.)); #371058=DIRECTION('center_axis',(-0.53891146674598,-0.842362410729311,0.)); #371059=DIRECTION('ref_axis',(0.842362410729311,-0.53891146674598,0.)); #371060=DIRECTION('',(0.842362410729311,-0.53891146674598,0.)); #371061=DIRECTION('',(0.842362410729311,-0.53891146674598,0.)); #371062=DIRECTION('',(0.,0.,1.)); #371063=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #371064=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #371065=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #371066=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #371067=DIRECTION('',(0.,0.,1.)); #371068=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #371069=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #371070=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #371071=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #371072=DIRECTION('',(0.,0.,1.)); #371073=DIRECTION('center_axis',(-1.,0.,0.)); #371074=DIRECTION('ref_axis',(0.,-1.,0.)); #371075=DIRECTION('',(0.,-1.,0.)); #371076=DIRECTION('',(0.,-1.,0.)); #371077=DIRECTION('',(0.,0.,1.)); #371078=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #371079=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #371080=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #371081=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #371082=DIRECTION('',(0.,0.,1.)); #371083=DIRECTION('center_axis',(0.,-1.,0.)); #371084=DIRECTION('ref_axis',(1.,0.,0.)); #371085=DIRECTION('',(1.,0.,0.)); #371086=DIRECTION('',(1.,0.,0.)); #371087=DIRECTION('',(0.,0.,1.)); #371088=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #371089=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #371090=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #371091=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #371092=DIRECTION('',(0.,0.,1.)); #371093=DIRECTION('center_axis',(1.,0.,0.)); #371094=DIRECTION('ref_axis',(0.,1.,0.)); #371095=DIRECTION('',(0.,1.,0.)); #371096=DIRECTION('',(0.,1.,0.)); #371097=DIRECTION('',(0.,0.,1.)); #371098=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #371099=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #371100=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #371101=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #371102=DIRECTION('',(0.,0.,1.)); #371103=DIRECTION('center_axis',(0.,1.,0.)); #371104=DIRECTION('ref_axis',(-1.,0.,0.)); #371105=DIRECTION('',(-1.,0.,0.)); #371106=DIRECTION('',(-1.,0.,0.)); #371107=DIRECTION('',(0.,0.,1.)); #371108=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #371109=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #371110=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #371111=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #371112=DIRECTION('',(0.,0.,1.)); #371113=DIRECTION('center_axis',(-1.,0.,0.)); #371114=DIRECTION('ref_axis',(0.,-1.,0.)); #371115=DIRECTION('',(0.,-1.,0.)); #371116=DIRECTION('',(0.,-1.,0.)); #371117=DIRECTION('',(0.,0.,1.)); #371118=DIRECTION('center_axis',(-0.983056036329426,0.183305290257204,0.)); #371119=DIRECTION('ref_axis',(-0.183305290257204,-0.983056036329426,0.)); #371120=DIRECTION('',(-0.183305290257204,-0.983056036329426,0.)); #371121=DIRECTION('',(-0.183305290257204,-0.983056036329426,0.)); #371122=DIRECTION('',(0.,0.,1.)); #371123=DIRECTION('center_axis',(-0.842362410729244,0.538911466746084,0.)); #371124=DIRECTION('ref_axis',(-0.538911466746084,-0.842362410729244,0.)); #371125=DIRECTION('',(-0.538911466746084,-0.842362410729244,0.)); #371126=DIRECTION('',(-0.538911466746084,-0.842362410729244,0.)); #371127=DIRECTION('',(0.,0.,1.)); #371128=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #371129=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #371130=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #371131=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #371132=DIRECTION('',(0.,0.,1.)); #371133=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #371134=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #371135=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #371136=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #371137=DIRECTION('',(0.,0.,1.)); #371138=DIRECTION('center_axis',(0.,1.,0.)); #371139=DIRECTION('ref_axis',(-1.,0.,0.)); #371140=DIRECTION('',(-1.,0.,0.)); #371141=DIRECTION('',(-1.,0.,0.)); #371142=DIRECTION('',(0.,0.,1.)); #371143=DIRECTION('center_axis',(0.191149856928974,0.981560865252906,0.)); #371144=DIRECTION('ref_axis',(-0.981560865252906,0.191149856928974,0.)); #371145=DIRECTION('',(-0.981560865252906,0.191149856928974,0.)); #371146=DIRECTION('',(-0.981560865252906,0.191149856928974,0.)); #371147=DIRECTION('',(0.,0.,1.)); #371148=DIRECTION('center_axis',(0.552278225391792,0.833659859749822,0.)); #371149=DIRECTION('ref_axis',(-0.833659859749822,0.552278225391792,0.)); #371150=DIRECTION('',(-0.833659859749822,0.552278225391792,0.)); #371151=DIRECTION('',(-0.833659859749822,0.552278225391792,0.)); #371152=DIRECTION('',(0.,0.,1.)); #371153=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #371154=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #371155=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #371156=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #371157=DIRECTION('',(0.,0.,1.)); #371158=DIRECTION('center_axis',(0.829203833807412,0.558946331948864,0.)); #371159=DIRECTION('ref_axis',(-0.558946331948864,0.829203833807412,0.)); #371160=DIRECTION('',(-0.558946331948864,0.829203833807412,0.)); #371161=DIRECTION('',(-0.558946331948864,0.829203833807412,0.)); #371162=DIRECTION('',(0.,0.,1.)); #371163=DIRECTION('center_axis',(0.980008419561313,0.19895601923274,0.)); #371164=DIRECTION('ref_axis',(-0.19895601923274,0.980008419561313,0.)); #371165=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #371166=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #371167=DIRECTION('',(0.,0.,1.)); #371168=DIRECTION('center_axis',(1.,0.,0.)); #371169=DIRECTION('ref_axis',(0.,1.,0.)); #371170=DIRECTION('',(0.,1.,0.)); #371171=DIRECTION('',(0.,1.,0.)); #371172=DIRECTION('',(0.,0.,1.)); #371173=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #371174=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #371175=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #371176=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #371177=DIRECTION('',(0.,0.,1.)); #371178=DIRECTION('center_axis',(0.833659859749822,-0.552278225391792,0.)); #371179=DIRECTION('ref_axis',(0.552278225391792,0.833659859749822,0.)); #371180=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #371181=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #371182=DIRECTION('',(0.,0.,1.)); #371183=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #371184=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #371185=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #371186=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #371187=DIRECTION('',(0.,0.,1.)); #371188=DIRECTION('center_axis',(0.558946331949003,-0.829203833807318,0.)); #371189=DIRECTION('ref_axis',(0.829203833807318,0.558946331949003,0.)); #371190=DIRECTION('',(0.829203833807318,0.558946331949003,0.)); #371191=DIRECTION('',(0.829203833807318,0.558946331949003,0.)); #371192=DIRECTION('',(0.,0.,1.)); #371193=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #371194=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #371195=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #371196=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #371197=DIRECTION('',(0.,0.,1.)); #371198=DIRECTION('center_axis',(0.,-1.,0.)); #371199=DIRECTION('ref_axis',(1.,0.,0.)); #371200=DIRECTION('',(1.,0.,0.)); #371201=DIRECTION('',(1.,0.,0.)); #371202=DIRECTION('',(0.,0.,1.)); #371203=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #371204=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #371205=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #371206=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #371207=DIRECTION('',(0.,0.,1.)); #371208=DIRECTION('center_axis',(1.,0.,0.)); #371209=DIRECTION('ref_axis',(0.,1.,0.)); #371210=DIRECTION('',(0.,1.,0.)); #371211=DIRECTION('',(0.,1.,0.)); #371212=DIRECTION('',(0.,0.,1.)); #371213=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #371214=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #371215=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #371216=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #371217=DIRECTION('',(0.,0.,1.)); #371218=DIRECTION('center_axis',(0.,1.,0.)); #371219=DIRECTION('ref_axis',(-1.,0.,0.)); #371220=DIRECTION('',(-1.,0.,0.)); #371221=DIRECTION('',(-1.,0.,0.)); #371222=DIRECTION('',(0.,0.,1.)); #371223=DIRECTION('center_axis',(0.191149856928945,0.981560865252911,0.)); #371224=DIRECTION('ref_axis',(-0.981560865252911,0.191149856928945,0.)); #371225=DIRECTION('',(-0.981560865252911,0.191149856928945,0.)); #371226=DIRECTION('',(-0.981560865252911,0.191149856928945,0.)); #371227=DIRECTION('',(0.,0.,1.)); #371228=DIRECTION('center_axis',(0.552278225391826,0.8336598597498,0.)); #371229=DIRECTION('ref_axis',(-0.8336598597498,0.552278225391826,0.)); #371230=DIRECTION('',(-0.8336598597498,0.552278225391826,0.)); #371231=DIRECTION('',(-0.8336598597498,0.552278225391826,0.)); #371232=DIRECTION('',(0.,0.,1.)); #371233=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #371234=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #371235=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #371236=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #371237=DIRECTION('',(0.,0.,1.)); #371238=DIRECTION('center_axis',(0.829203833807364,0.558946331948935,0.)); #371239=DIRECTION('ref_axis',(-0.558946331948935,0.829203833807364,0.)); #371240=DIRECTION('',(-0.558946331948935,0.829203833807364,0.)); #371241=DIRECTION('',(-0.558946331948935,0.829203833807364,0.)); #371242=DIRECTION('',(0.,0.,1.)); #371243=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #371244=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #371245=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #371246=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #371247=DIRECTION('',(0.,0.,1.)); #371248=DIRECTION('center_axis',(1.,0.,0.)); #371249=DIRECTION('ref_axis',(0.,1.,0.)); #371250=DIRECTION('',(0.,1.,0.)); #371251=DIRECTION('',(0.,1.,0.)); #371252=DIRECTION('',(0.,0.,1.)); #371253=DIRECTION('center_axis',(0.984479063846077,-0.17550205938607,0.)); #371254=DIRECTION('ref_axis',(0.17550205938607,0.984479063846077,0.)); #371255=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #371256=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #371257=DIRECTION('',(0.,0.,1.)); #371258=DIRECTION('center_axis',(0.850880796063565,-0.52535880204888,0.)); #371259=DIRECTION('ref_axis',(0.52535880204888,0.850880796063565,0.)); #371260=DIRECTION('',(0.52535880204888,0.850880796063565,0.)); #371261=DIRECTION('',(0.52535880204888,0.850880796063565,0.)); #371262=DIRECTION('',(0.,0.,1.)); #371263=DIRECTION('center_axis',(0.578461888589193,-0.815709411156831,0.)); #371264=DIRECTION('ref_axis',(0.815709411156831,0.578461888589193,0.)); #371265=DIRECTION('',(0.815709411156831,0.578461888589193,0.)); #371266=DIRECTION('',(0.815709411156831,0.578461888589193,0.)); #371267=DIRECTION('',(0.,0.,1.)); #371268=DIRECTION('center_axis',(0.21467431451661,-0.976685690837551,0.)); #371269=DIRECTION('ref_axis',(0.976685690837551,0.21467431451661,0.)); #371270=DIRECTION('',(0.976685690837551,0.21467431451661,0.)); #371271=DIRECTION('',(0.976685690837551,0.21467431451661,0.)); #371272=DIRECTION('',(0.,0.,1.)); #371273=DIRECTION('center_axis',(-0.183334818573505,-0.983050529880647, 0.)); #371274=DIRECTION('ref_axis',(0.983050529880647,-0.183334818573505,0.)); #371275=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #371276=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #371277=DIRECTION('',(0.,0.,1.)); #371278=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #371279=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #371280=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #371281=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #371282=DIRECTION('',(0.,0.,1.)); #371283=DIRECTION('center_axis',(-0.707102206471886,-0.707111355871613, 0.)); #371284=DIRECTION('ref_axis',(0.707111355871613,-0.707102206471886,0.)); #371285=DIRECTION('',(0.707111355871612,-0.707102206471886,0.)); #371286=DIRECTION('',(0.707111355871612,-0.707102206471886,0.)); #371287=DIRECTION('',(0.,0.,1.)); #371288=DIRECTION('center_axis',(0.,-1.,0.)); #371289=DIRECTION('ref_axis',(1.,0.,0.)); #371290=DIRECTION('',(1.,0.,0.)); #371291=DIRECTION('',(1.,0.,0.)); #371292=DIRECTION('',(0.,0.,1.)); #371293=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #371294=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #371295=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #371296=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #371297=DIRECTION('',(0.,0.,1.)); #371298=DIRECTION('center_axis',(1.,0.,0.)); #371299=DIRECTION('ref_axis',(0.,1.,0.)); #371300=DIRECTION('',(0.,1.,0.)); #371301=DIRECTION('',(0.,1.,0.)); #371302=DIRECTION('',(0.,0.,1.)); #371303=DIRECTION('center_axis',(0.98156086525294,-0.191149856928798,0.)); #371304=DIRECTION('ref_axis',(0.191149856928798,0.98156086525294,0.)); #371305=DIRECTION('',(0.191149856928798,0.98156086525294,0.)); #371306=DIRECTION('',(0.191149856928798,0.98156086525294,0.)); #371307=DIRECTION('',(0.,0.,1.)); #371308=DIRECTION('center_axis',(0.833659859749643,-0.552278225392063,0.)); #371309=DIRECTION('ref_axis',(0.552278225392063,0.833659859749643,0.)); #371310=DIRECTION('',(0.552278225392063,0.833659859749643,0.)); #371311=DIRECTION('',(0.552278225392063,0.833659859749643,0.)); #371312=DIRECTION('',(0.,0.,1.)); #371313=DIRECTION('center_axis',(0.707106781186549,-0.707106781186546,0.)); #371314=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,0.)); #371315=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #371316=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #371317=DIRECTION('',(0.,0.,1.)); #371318=DIRECTION('center_axis',(1.,0.,0.)); #371319=DIRECTION('ref_axis',(0.,1.,0.)); #371320=DIRECTION('',(0.,1.,0.)); #371321=DIRECTION('',(0.,1.,0.)); #371322=DIRECTION('',(0.,0.,1.)); #371323=DIRECTION('center_axis',(0.984479063846077,-0.17550205938607,0.)); #371324=DIRECTION('ref_axis',(0.17550205938607,0.984479063846077,0.)); #371325=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #371326=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #371327=DIRECTION('',(0.,0.,1.)); #371328=DIRECTION('center_axis',(0.850880796063565,-0.52535880204888,0.)); #371329=DIRECTION('ref_axis',(0.52535880204888,0.850880796063565,0.)); #371330=DIRECTION('',(0.52535880204888,0.850880796063565,0.)); #371331=DIRECTION('',(0.52535880204888,0.850880796063565,0.)); #371332=DIRECTION('',(0.,0.,1.)); #371333=DIRECTION('center_axis',(0.578461888589359,-0.815709411156713,0.)); #371334=DIRECTION('ref_axis',(0.815709411156713,0.578461888589359,0.)); #371335=DIRECTION('',(0.815709411156713,0.578461888589359,0.)); #371336=DIRECTION('',(0.815709411156713,0.578461888589359,0.)); #371337=DIRECTION('',(0.,0.,1.)); #371338=DIRECTION('center_axis',(0.214674314516579,-0.976685690837557,0.)); #371339=DIRECTION('ref_axis',(0.976685690837557,0.214674314516579,0.)); #371340=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #371341=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #371342=DIRECTION('',(0.,0.,1.)); #371343=DIRECTION('center_axis',(-0.183334818573673,-0.983050529880615, 0.)); #371344=DIRECTION('ref_axis',(0.983050529880615,-0.183334818573673,0.)); #371345=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #371346=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #371347=DIRECTION('',(0.,0.,1.)); #371348=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #371349=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #371350=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #371351=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #371352=DIRECTION('',(0.,0.,1.)); #371353=DIRECTION('center_axis',(-0.707106781186556,-0.707106781186539, 0.)); #371354=DIRECTION('ref_axis',(0.707106781186539,-0.707106781186556,0.)); #371355=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #371356=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #371357=DIRECTION('',(0.,0.,1.)); #371358=DIRECTION('center_axis',(-0.382688667805833,-0.923877363903347, 0.)); #371359=DIRECTION('ref_axis',(0.923877363903347,-0.382688667805833,0.)); #371360=DIRECTION('',(0.923877363903347,-0.382688667805833,0.)); #371361=DIRECTION('',(0.923877363903347,-0.382688667805833,0.)); #371362=DIRECTION('',(0.,0.,1.)); #371363=DIRECTION('center_axis',(0.,-1.,0.)); #371364=DIRECTION('ref_axis',(1.,0.,0.)); #371365=DIRECTION('',(1.,0.,0.)); #371366=DIRECTION('',(1.,0.,0.)); #371367=DIRECTION('',(0.,0.,1.)); #371368=DIRECTION('center_axis',(0.382688667805837,-0.923877363903345,0.)); #371369=DIRECTION('ref_axis',(0.923877363903345,0.382688667805837,0.)); #371370=DIRECTION('',(0.923877363903345,0.382688667805837,0.)); #371371=DIRECTION('',(0.923877363903345,0.382688667805837,0.)); #371372=DIRECTION('',(0.,0.,1.)); #371373=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #371374=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #371375=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #371376=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #371377=DIRECTION('',(0.,0.,1.)); #371378=DIRECTION('center_axis',(0.572058771549325,-0.820212632122596,0.)); #371379=DIRECTION('ref_axis',(0.820212632122596,0.572058771549325,0.)); #371380=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #371381=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #371382=DIRECTION('',(0.,0.,1.)); #371383=DIRECTION('center_axis',(0.230196907019351,-0.973144071552987,0.)); #371384=DIRECTION('ref_axis',(0.973144071552987,0.230196907019351,0.)); #371385=DIRECTION('',(0.973144071552987,0.230196907019351,0.)); #371386=DIRECTION('',(0.973144071552987,0.230196907019351,0.)); #371387=DIRECTION('',(0.,0.,1.)); #371388=DIRECTION('center_axis',(-0.167675934908011,-0.985842168327529, 0.)); #371389=DIRECTION('ref_axis',(0.985842168327529,-0.167675934908011,0.)); #371390=DIRECTION('',(0.985842168327529,-0.167675934908011,0.)); #371391=DIRECTION('',(0.985842168327529,-0.167675934908011,0.)); #371392=DIRECTION('',(0.,0.,1.)); #371393=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #371394=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #371395=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #371396=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #371397=DIRECTION('',(0.,0.,1.)); #371398=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #371399=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #371400=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #371401=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #371402=DIRECTION('',(0.,0.,1.)); #371403=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #371404=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #371405=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #371406=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #371407=DIRECTION('',(0.,0.,1.)); #371408=DIRECTION('center_axis',(-1.,0.,0.)); #371409=DIRECTION('ref_axis',(0.,-1.,0.)); #371410=DIRECTION('',(0.,-1.,0.)); #371411=DIRECTION('',(0.,-1.,0.)); #371412=DIRECTION('',(0.,0.,1.)); #371413=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546, 0.)); #371414=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #371415=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #371416=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #371417=DIRECTION('',(0.,0.,1.)); #371418=DIRECTION('center_axis',(-0.829203833807294,-0.55894633194904,0.)); #371419=DIRECTION('ref_axis',(0.55894633194904,-0.829203833807294,0.)); #371420=DIRECTION('',(0.55894633194904,-0.829203833807294,0.)); #371421=DIRECTION('',(0.55894633194904,-0.829203833807294,0.)); #371422=DIRECTION('',(0.,0.,1.)); #371423=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #371424=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #371425=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #371426=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #371427=DIRECTION('',(0.,0.,1.)); #371428=DIRECTION('center_axis',(-1.,0.,0.)); #371429=DIRECTION('ref_axis',(0.,-1.,0.)); #371430=DIRECTION('',(0.,-1.,0.)); #371431=DIRECTION('',(0.,-1.,0.)); #371432=DIRECTION('',(0.,0.,1.)); #371433=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #371434=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #371435=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #371436=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #371437=DIRECTION('',(0.,0.,1.)); #371438=DIRECTION('center_axis',(0.,-1.,0.)); #371439=DIRECTION('ref_axis',(1.,0.,0.)); #371440=DIRECTION('',(1.,0.,0.)); #371441=DIRECTION('',(1.,0.,0.)); #371442=DIRECTION('',(0.,0.,1.)); #371443=DIRECTION('center_axis',(0.707102206471886,-0.707111355871613,0.)); #371444=DIRECTION('ref_axis',(0.707111355871613,0.707102206471886,0.)); #371445=DIRECTION('',(0.707111355871612,0.707102206471886,0.)); #371446=DIRECTION('',(0.707111355871612,0.707102206471886,0.)); #371447=DIRECTION('',(0.,0.,1.)); #371448=DIRECTION('center_axis',(0.572058771549358,-0.820212632122573,0.)); #371449=DIRECTION('ref_axis',(0.820212632122573,0.572058771549358,0.)); #371450=DIRECTION('',(0.820212632122573,0.572058771549358,0.)); #371451=DIRECTION('',(0.820212632122573,0.572058771549358,0.)); #371452=DIRECTION('',(0.,0.,1.)); #371453=DIRECTION('center_axis',(0.23019690701918,-0.973144071553027,0.)); #371454=DIRECTION('ref_axis',(0.973144071553027,0.23019690701918,0.)); #371455=DIRECTION('',(0.973144071553027,0.23019690701918,0.)); #371456=DIRECTION('',(0.973144071553027,0.23019690701918,0.)); #371457=DIRECTION('',(0.,0.,1.)); #371458=DIRECTION('center_axis',(-0.167675934907917,-0.985842168327545, 0.)); #371459=DIRECTION('ref_axis',(0.985842168327545,-0.167675934907917,0.)); #371460=DIRECTION('',(0.985842168327545,-0.167675934907917,0.)); #371461=DIRECTION('',(0.985842168327545,-0.167675934907917,0.)); #371462=DIRECTION('',(0.,0.,1.)); #371463=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #371464=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #371465=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #371466=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #371467=DIRECTION('',(0.,0.,1.)); #371468=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #371469=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #371470=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #371471=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #371472=DIRECTION('',(0.,0.,1.)); #371473=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #371474=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #371475=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #371476=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #371477=DIRECTION('',(0.,0.,1.)); #371478=DIRECTION('center_axis',(-1.,0.,0.)); #371479=DIRECTION('ref_axis',(0.,-1.,0.)); #371480=DIRECTION('',(0.,-1.,0.)); #371481=DIRECTION('',(0.,-1.,0.)); #371482=DIRECTION('',(0.,0.,1.)); #371483=DIRECTION('center_axis',(-0.98156086525294,0.191149856928798,0.)); #371484=DIRECTION('ref_axis',(-0.191149856928798,-0.98156086525294,0.)); #371485=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #371486=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #371487=DIRECTION('',(0.,0.,1.)); #371488=DIRECTION('center_axis',(-0.83365985974971,0.552278225391961,0.)); #371489=DIRECTION('ref_axis',(-0.552278225391961,-0.83365985974971,0.)); #371490=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #371491=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #371492=DIRECTION('',(0.,0.,1.)); #371493=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #371494=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #371495=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #371496=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #371497=DIRECTION('',(0.,0.,1.)); #371498=DIRECTION('center_axis',(-0.558946331948969,0.829203833807341,0.)); #371499=DIRECTION('ref_axis',(-0.829203833807341,-0.558946331948969,0.)); #371500=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #371501=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #371502=DIRECTION('',(0.,0.,1.)); #371503=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #371504=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #371505=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #371506=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #371507=DIRECTION('',(0.,0.,1.)); #371508=DIRECTION('center_axis',(0.,1.,0.)); #371509=DIRECTION('ref_axis',(-1.,0.,0.)); #371510=DIRECTION('',(-1.,0.,0.)); #371511=DIRECTION('',(-1.,0.,0.)); #371512=DIRECTION('',(0.,0.,1.)); #371513=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #371514=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #371515=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #371516=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #371517=DIRECTION('',(0.,0.,1.)); #371518=DIRECTION('center_axis',(-1.,0.,0.)); #371519=DIRECTION('ref_axis',(0.,-1.,0.)); #371520=DIRECTION('',(0.,-1.,0.)); #371521=DIRECTION('',(0.,-1.,0.)); #371522=DIRECTION('',(0.,0.,1.)); #371523=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #371524=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #371525=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #371526=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #371527=DIRECTION('',(0.,0.,1.)); #371528=DIRECTION('center_axis',(0.,-1.,0.)); #371529=DIRECTION('ref_axis',(1.,0.,0.)); #371530=DIRECTION('',(1.,0.,0.)); #371531=DIRECTION('',(1.,0.,0.)); #371532=DIRECTION('',(0.,0.,1.)); #371533=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #371534=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #371535=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #371536=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #371537=DIRECTION('',(0.,0.,1.)); #371538=DIRECTION('center_axis',(-0.552278225392063,-0.833659859749643, 0.)); #371539=DIRECTION('ref_axis',(0.833659859749643,-0.552278225392063,0.)); #371540=DIRECTION('',(0.833659859749643,-0.552278225392063,0.)); #371541=DIRECTION('',(0.833659859749643,-0.552278225392063,0.)); #371542=DIRECTION('',(0.,0.,1.)); #371543=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #371544=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #371545=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #371546=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #371547=DIRECTION('',(0.,0.,1.)); #371548=DIRECTION('center_axis',(-0.829203833807341,-0.558946331948969, 0.)); #371549=DIRECTION('ref_axis',(0.558946331948969,-0.829203833807341,0.)); #371550=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #371551=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #371552=DIRECTION('',(0.,0.,1.)); #371553=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #371554=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #371555=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #371556=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #371557=DIRECTION('',(0.,0.,1.)); #371558=DIRECTION('center_axis',(-1.,0.,0.)); #371559=DIRECTION('ref_axis',(0.,-1.,0.)); #371560=DIRECTION('',(0.,-1.,0.)); #371561=DIRECTION('',(0.,-1.,0.)); #371562=DIRECTION('',(0.,0.,1.)); #371563=DIRECTION('center_axis',(-0.981560865252934,0.191149856928827,0.)); #371564=DIRECTION('ref_axis',(-0.191149856928827,-0.981560865252934,0.)); #371565=DIRECTION('',(-0.191149856928827,-0.981560865252934,0.)); #371566=DIRECTION('',(-0.191149856928827,-0.981560865252934,0.)); #371567=DIRECTION('',(0.,0.,1.)); #371568=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #371569=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #371570=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #371571=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #371572=DIRECTION('',(0.,0.,1.)); #371573=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #371574=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #371575=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #371576=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #371577=DIRECTION('',(0.,0.,1.)); #371578=DIRECTION('center_axis',(-0.558946331948864,0.829203833807412,0.)); #371579=DIRECTION('ref_axis',(-0.829203833807412,-0.558946331948864,0.)); #371580=DIRECTION('',(-0.829203833807412,-0.558946331948864,0.)); #371581=DIRECTION('',(-0.829203833807412,-0.558946331948864,0.)); #371582=DIRECTION('',(0.,0.,1.)); #371583=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #371584=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #371585=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #371586=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #371587=DIRECTION('',(0.,0.,1.)); #371588=DIRECTION('center_axis',(0.,1.,0.)); #371589=DIRECTION('ref_axis',(-1.,0.,0.)); #371590=DIRECTION('',(-1.,0.,0.)); #371591=DIRECTION('',(-1.,0.,0.)); #371592=DIRECTION('',(0.,0.,1.)); #371593=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #371594=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #371595=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #371596=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #371597=DIRECTION('',(0.,0.,1.)); #371598=DIRECTION('center_axis',(0.538911466745847,0.842362410729396,0.)); #371599=DIRECTION('ref_axis',(-0.842362410729396,0.538911466745847,0.)); #371600=DIRECTION('',(-0.842362410729396,0.538911466745847,0.)); #371601=DIRECTION('',(-0.842362410729396,0.538911466745847,0.)); #371602=DIRECTION('',(0.,0.,1.)); #371603=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #371604=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #371605=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #371606=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #371607=DIRECTION('',(0.,0.,1.)); #371608=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #371609=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #371610=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #371611=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #371612=DIRECTION('',(0.,0.,1.)); #371613=DIRECTION('center_axis',(1.,0.,0.)); #371614=DIRECTION('ref_axis',(0.,1.,0.)); #371615=DIRECTION('',(0.,1.,0.)); #371616=DIRECTION('',(0.,1.,0.)); #371617=DIRECTION('',(0.,0.,1.)); #371618=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #371619=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #371620=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #371621=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #371622=DIRECTION('',(0.,0.,1.)); #371623=DIRECTION('center_axis',(0.,1.,0.)); #371624=DIRECTION('ref_axis',(-1.,0.,0.)); #371625=DIRECTION('',(-1.,0.,0.)); #371626=DIRECTION('',(-1.,0.,0.)); #371627=DIRECTION('',(0.,0.,1.)); #371628=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #371629=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #371630=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #371631=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #371632=DIRECTION('',(0.,0.,1.)); #371633=DIRECTION('center_axis',(-1.,0.,0.)); #371634=DIRECTION('ref_axis',(0.,-1.,0.)); #371635=DIRECTION('',(0.,-1.,0.)); #371636=DIRECTION('',(0.,-1.,0.)); #371637=DIRECTION('',(0.,0.,1.)); #371638=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #371639=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #371640=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #371641=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #371642=DIRECTION('',(0.,0.,1.)); #371643=DIRECTION('center_axis',(0.,-1.,0.)); #371644=DIRECTION('ref_axis',(1.,0.,0.)); #371645=DIRECTION('',(1.,0.,0.)); #371646=DIRECTION('',(1.,0.,0.)); #371647=DIRECTION('',(0.,0.,1.)); #371648=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #371649=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #371650=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #371651=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #371652=DIRECTION('',(0.,0.,1.)); #371653=DIRECTION('center_axis',(1.,0.,0.)); #371654=DIRECTION('ref_axis',(0.,1.,0.)); #371655=DIRECTION('',(0.,1.,0.)); #371656=DIRECTION('',(0.,1.,0.)); #371657=DIRECTION('',(0.,0.,1.)); #371658=DIRECTION('center_axis',(0.983056036329398,-0.183305290257358,0.)); #371659=DIRECTION('ref_axis',(0.183305290257358,0.983056036329397,0.)); #371660=DIRECTION('',(0.183305290257358,0.983056036329397,0.)); #371661=DIRECTION('',(0.183305290257358,0.983056036329397,0.)); #371662=DIRECTION('',(0.,0.,1.)); #371663=DIRECTION('center_axis',(0.842362410729244,-0.538911466746084,0.)); #371664=DIRECTION('ref_axis',(0.538911466746084,0.842362410729244,0.)); #371665=DIRECTION('',(0.538911466746084,0.842362410729244,0.)); #371666=DIRECTION('',(0.538911466746084,0.842362410729244,0.)); #371667=DIRECTION('',(0.,0.,1.)); #371668=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #371669=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #371670=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #371671=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #371672=DIRECTION('',(0.,0.,1.)); #371673=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #371674=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #371675=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #371676=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #371677=DIRECTION('',(0.,0.,1.)); #371678=DIRECTION('center_axis',(0.,-1.,0.)); #371679=DIRECTION('ref_axis',(1.,0.,0.)); #371680=DIRECTION('',(1.,0.,0.)); #371681=DIRECTION('',(1.,0.,0.)); #371682=DIRECTION('',(0.,0.,1.)); #371683=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #371684=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #371685=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #371686=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #371687=DIRECTION('',(0.,0.,1.)); #371688=DIRECTION('center_axis',(0.572058771549247,-0.820212632122651,0.)); #371689=DIRECTION('ref_axis',(0.820212632122651,0.572058771549247,0.)); #371690=DIRECTION('',(0.820212632122651,0.572058771549247,0.)); #371691=DIRECTION('',(0.820212632122651,0.572058771549247,0.)); #371692=DIRECTION('',(0.,0.,1.)); #371693=DIRECTION('center_axis',(0.230165683714756,-0.973151456886398,0.)); #371694=DIRECTION('ref_axis',(0.973151456886398,0.230165683714756,0.)); #371695=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #371696=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #371697=DIRECTION('',(0.,0.,1.)); #371698=DIRECTION('center_axis',(-0.167643887112495,-0.985847618607365, 0.)); #371699=DIRECTION('ref_axis',(0.985847618607365,-0.167643887112495,0.)); #371700=DIRECTION('',(0.985847618607365,-0.167643887112495,0.)); #371701=DIRECTION('',(0.985847618607365,-0.167643887112495,0.)); #371702=DIRECTION('',(0.,0.,1.)); #371703=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #371704=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #371705=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #371706=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #371707=DIRECTION('',(0.,0.,1.)); #371708=DIRECTION('center_axis',(-0.824745549033873,-0.565504004715099, 0.)); #371709=DIRECTION('ref_axis',(0.565504004715099,-0.824745549033873,0.)); #371710=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #371711=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #371712=DIRECTION('',(0.,0.,1.)); #371713=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #371714=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #371715=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #371716=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #371717=DIRECTION('',(0.,0.,1.)); #371718=DIRECTION('center_axis',(-1.,0.,0.)); #371719=DIRECTION('ref_axis',(0.,-1.,0.)); #371720=DIRECTION('',(0.,-1.,0.)); #371721=DIRECTION('',(0.,-1.,0.)); #371722=DIRECTION('',(0.,0.,1.)); #371723=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546, 0.)); #371724=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #371725=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #371726=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #371727=DIRECTION('',(0.,0.,1.)); #371728=DIRECTION('center_axis',(0.,-1.,0.)); #371729=DIRECTION('ref_axis',(1.,0.,0.)); #371730=DIRECTION('',(1.,0.,0.)); #371731=DIRECTION('',(1.,0.,0.)); #371732=DIRECTION('',(0.,0.,1.)); #371733=DIRECTION('center_axis',(-0.191149856928917,-0.981560865252917, 0.)); #371734=DIRECTION('ref_axis',(0.981560865252917,-0.191149856928917,0.)); #371735=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #371736=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #371737=DIRECTION('',(0.,0.,1.)); #371738=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #371739=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #371740=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #371741=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #371742=DIRECTION('',(0.,0.,1.)); #371743=DIRECTION('center_axis',(-0.707104777838364,-0.707108784529055, 0.)); #371744=DIRECTION('ref_axis',(0.707108784529055,-0.707104777838364,0.)); #371745=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #371746=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #371747=DIRECTION('',(0.,0.,1.)); #371748=DIRECTION('center_axis',(0.,-1.,0.)); #371749=DIRECTION('ref_axis',(1.,0.,0.)); #371750=DIRECTION('',(1.,0.,0.)); #371751=DIRECTION('',(1.,0.,0.)); #371752=DIRECTION('',(0.,0.,1.)); #371753=DIRECTION('center_axis',(0.707106781186554,-0.707106781186541,0.)); #371754=DIRECTION('ref_axis',(0.707106781186541,0.707106781186554,0.)); #371755=DIRECTION('',(0.707106781186541,0.707106781186554,0.)); #371756=DIRECTION('',(0.707106781186541,0.707106781186554,0.)); #371757=DIRECTION('',(0.,0.,1.)); #371758=DIRECTION('center_axis',(0.983052942270862,-0.183321882743445,0.)); #371759=DIRECTION('ref_axis',(0.183321882743445,0.983052942270862,0.)); #371760=DIRECTION('',(0.183321882743445,0.983052942270862,0.)); #371761=DIRECTION('',(0.183321882743445,0.983052942270862,0.)); #371762=DIRECTION('',(0.,0.,1.)); #371763=DIRECTION('center_axis',(0.842362410729329,-0.538911466745951,0.)); #371764=DIRECTION('ref_axis',(0.538911466745951,0.842362410729329,0.)); #371765=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #371766=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #371767=DIRECTION('',(0.,0.,1.)); #371768=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #371769=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #371770=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #371771=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #371772=DIRECTION('',(0.,0.,1.)); #371773=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #371774=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #371775=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #371776=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #371777=DIRECTION('',(0.,0.,1.)); #371778=DIRECTION('center_axis',(0.,-1.,0.)); #371779=DIRECTION('ref_axis',(1.,0.,0.)); #371780=DIRECTION('',(1.,0.,0.)); #371781=DIRECTION('',(1.,0.,0.)); #371782=DIRECTION('',(0.,0.,1.)); #371783=DIRECTION('center_axis',(0.105885061288354,-0.99437837556735,0.)); #371784=DIRECTION('ref_axis',(0.99437837556735,0.105885061288354,0.)); #371785=DIRECTION('',(0.99437837556735,0.105885061288354,0.)); #371786=DIRECTION('',(0.99437837556735,0.105885061288354,0.)); #371787=DIRECTION('',(0.,0.,1.)); #371788=DIRECTION('center_axis',(0.312935976921665,-0.949774222827764,0.)); #371789=DIRECTION('ref_axis',(0.949774222827764,0.312935976921665,0.)); #371790=DIRECTION('',(0.949774222827764,0.312935976921665,0.)); #371791=DIRECTION('',(0.949774222827764,0.312935976921665,0.)); #371792=DIRECTION('',(0.,0.,1.)); #371793=DIRECTION('center_axis',(0.506095628368247,-0.862477370686646,0.)); #371794=DIRECTION('ref_axis',(0.862477370686645,0.506095628368247,0.)); #371795=DIRECTION('',(0.862477370686645,0.506095628368247,0.)); #371796=DIRECTION('',(0.862477370686645,0.506095628368247,0.)); #371797=DIRECTION('',(0.,0.,1.)); #371798=DIRECTION('center_axis',(0.652508824452436,-0.757781125399478,0.)); #371799=DIRECTION('ref_axis',(0.757781125399478,0.652508824452436,0.)); #371800=DIRECTION('',(0.757781125399478,0.652508824452436,0.)); #371801=DIRECTION('',(0.757781125399478,0.652508824452436,0.)); #371802=DIRECTION('',(0.,0.,1.)); #371803=DIRECTION('center_axis',(0.707106983782849,-0.707106578590188,0.)); #371804=DIRECTION('ref_axis',(0.707106578590188,0.707106983782849,0.)); #371805=DIRECTION('',(0.707106578590188,0.707106983782849,0.)); #371806=DIRECTION('',(0.707106578590188,0.707106983782849,0.)); #371807=DIRECTION('',(0.,0.,1.)); #371808=DIRECTION('center_axis',(0.778048811381676,-0.628203826084784,0.)); #371809=DIRECTION('ref_axis',(0.628203826084784,0.778048811381676,0.)); #371810=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #371811=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #371812=DIRECTION('',(0.,0.,1.)); #371813=DIRECTION('center_axis',(0.892938781493109,-0.450178111979695,0.)); #371814=DIRECTION('ref_axis',(0.450178111979695,0.892938781493109,0.)); #371815=DIRECTION('',(0.450178111979694,0.892938781493109,0.)); #371816=DIRECTION('',(0.450178111979694,0.892938781493109,0.)); #371817=DIRECTION('',(0.,0.,1.)); #371818=DIRECTION('center_axis',(0.967662278077949,-0.252249312357822,0.)); #371819=DIRECTION('ref_axis',(0.252249312357821,0.967662278077949,0.)); #371820=DIRECTION('',(0.252249312357821,0.967662278077949,0.)); #371821=DIRECTION('',(0.252249312357821,0.967662278077949,0.)); #371822=DIRECTION('',(0.,0.,1.)); #371823=DIRECTION('center_axis',(0.997238118319996,-0.0742706898419095, 0.)); #371824=DIRECTION('ref_axis',(0.0742706898419095,0.997238118319996,0.)); #371825=DIRECTION('',(0.0742706898419095,0.997238118319996,0.)); #371826=DIRECTION('',(0.0742706898419095,0.997238118319996,0.)); #371827=DIRECTION('',(0.,0.,1.)); #371828=DIRECTION('center_axis',(1.,0.,0.)); #371829=DIRECTION('ref_axis',(0.,1.,0.)); #371830=DIRECTION('',(0.,1.,0.)); #371831=DIRECTION('',(0.,1.,0.)); #371832=DIRECTION('',(0.,0.,1.)); #371833=DIRECTION('center_axis',(0.983056036329391,-0.183305290257396,0.)); #371834=DIRECTION('ref_axis',(0.183305290257396,0.983056036329391,0.)); #371835=DIRECTION('',(0.183305290257396,0.983056036329391,0.)); #371836=DIRECTION('',(0.183305290257396,0.983056036329391,0.)); #371837=DIRECTION('',(0.,0.,1.)); #371838=DIRECTION('center_axis',(0.842362410729296,-0.538911466746003,0.)); #371839=DIRECTION('ref_axis',(0.538911466746003,0.842362410729296,0.)); #371840=DIRECTION('',(0.538911466746003,0.842362410729296,0.)); #371841=DIRECTION('',(0.538911466746003,0.842362410729296,0.)); #371842=DIRECTION('',(0.,0.,1.)); #371843=DIRECTION('center_axis',(0.565504004715196,-0.824745549033807,0.)); #371844=DIRECTION('ref_axis',(0.824745549033807,0.565504004715196,0.)); #371845=DIRECTION('',(0.824745549033807,0.565504004715196,0.)); #371846=DIRECTION('',(0.824745549033807,0.565504004715196,0.)); #371847=DIRECTION('',(0.,0.,1.)); #371848=DIRECTION('center_axis',(0.19895601923243,-0.980008419561376,0.)); #371849=DIRECTION('ref_axis',(0.980008419561375,0.19895601923243,0.)); #371850=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #371851=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #371852=DIRECTION('',(0.,0.,1.)); #371853=DIRECTION('center_axis',(0.,-1.,0.)); #371854=DIRECTION('ref_axis',(1.,0.,0.)); #371855=DIRECTION('',(1.,0.,0.)); #371856=DIRECTION('',(1.,0.,0.)); #371857=DIRECTION('',(0.,0.,1.)); #371858=DIRECTION('center_axis',(0.105885061288698,-0.994378375567313,0.)); #371859=DIRECTION('ref_axis',(0.994378375567313,0.105885061288698,0.)); #371860=DIRECTION('',(0.994378375567313,0.105885061288698,0.)); #371861=DIRECTION('',(0.994378375567313,0.105885061288698,0.)); #371862=DIRECTION('',(0.,0.,1.)); #371863=DIRECTION('center_axis',(0.312935976921229,-0.949774222827908,0.)); #371864=DIRECTION('ref_axis',(0.949774222827908,0.312935976921229,0.)); #371865=DIRECTION('',(0.949774222827908,0.312935976921229,0.)); #371866=DIRECTION('',(0.949774222827908,0.312935976921229,0.)); #371867=DIRECTION('',(0.,0.,1.)); #371868=DIRECTION('center_axis',(0.506057198050692,-0.862499920174537,0.)); #371869=DIRECTION('ref_axis',(0.862499920174537,0.506057198050692,0.)); #371870=DIRECTION('',(0.862499920174537,0.506057198050692,0.)); #371871=DIRECTION('',(0.862499920174537,0.506057198050692,0.)); #371872=DIRECTION('',(0.,0.,1.)); #371873=DIRECTION('center_axis',(0.676309465188533,-0.73661761267051,0.)); #371874=DIRECTION('ref_axis',(0.736617612670509,0.676309465188533,0.)); #371875=DIRECTION('',(0.736617612670509,0.676309465188533,0.)); #371876=DIRECTION('',(0.736617612670509,0.676309465188533,0.)); #371877=DIRECTION('',(0.,0.,1.)); #371878=DIRECTION('center_axis',(0.816318974247523,-0.577601361047108,0.)); #371879=DIRECTION('ref_axis',(0.577601361047108,0.816318974247523,0.)); #371880=DIRECTION('',(0.577601361047108,0.816318974247523,0.)); #371881=DIRECTION('',(0.577601361047108,0.816318974247523,0.)); #371882=DIRECTION('',(0.,0.,1.)); #371883=DIRECTION('center_axis',(0.919633143145591,-0.392778413393812,0.)); #371884=DIRECTION('ref_axis',(0.392778413393812,0.919633143145591,0.)); #371885=DIRECTION('',(0.392778413393812,0.919633143145591,0.)); #371886=DIRECTION('',(0.392778413393812,0.919633143145591,0.)); #371887=DIRECTION('',(0.,0.,1.)); #371888=DIRECTION('center_axis',(0.988961531561696,-0.148172497755637,0.)); #371889=DIRECTION('ref_axis',(0.148172497755637,0.988961531561696,0.)); #371890=DIRECTION('',(0.148172497755637,0.988961531561696,0.)); #371891=DIRECTION('',(0.148172497755637,0.988961531561696,0.)); #371892=DIRECTION('',(0.,0.,1.)); #371893=DIRECTION('center_axis',(1.,0.,0.)); #371894=DIRECTION('ref_axis',(0.,1.,0.)); #371895=DIRECTION('',(0.,1.,0.)); #371896=DIRECTION('',(0.,1.,0.)); #371897=DIRECTION('',(0.,0.,1.)); #371898=DIRECTION('center_axis',(0.983056036329398,-0.183305290257358,0.)); #371899=DIRECTION('ref_axis',(0.183305290257358,0.983056036329397,0.)); #371900=DIRECTION('',(0.183305290257358,0.983056036329397,0.)); #371901=DIRECTION('',(0.183305290257358,0.983056036329397,0.)); #371902=DIRECTION('',(0.,0.,1.)); #371903=DIRECTION('center_axis',(0.842362410729244,-0.538911466746084,0.)); #371904=DIRECTION('ref_axis',(0.538911466746084,0.842362410729244,0.)); #371905=DIRECTION('',(0.538911466746084,0.842362410729244,0.)); #371906=DIRECTION('',(0.538911466746084,0.842362410729244,0.)); #371907=DIRECTION('',(0.,0.,1.)); #371908=DIRECTION('center_axis',(0.565504004714997,-0.824745549033943,0.)); #371909=DIRECTION('ref_axis',(0.824745549033943,0.565504004714997,0.)); #371910=DIRECTION('',(0.824745549033943,0.565504004714997,0.)); #371911=DIRECTION('',(0.824745549033943,0.565504004714997,0.)); #371912=DIRECTION('',(0.,0.,1.)); #371913=DIRECTION('center_axis',(0.198956019232697,-0.980008419561321,0.)); #371914=DIRECTION('ref_axis',(0.980008419561321,0.198956019232697,0.)); #371915=DIRECTION('',(0.980008419561321,0.198956019232697,0.)); #371916=DIRECTION('',(0.980008419561321,0.198956019232697,0.)); #371917=DIRECTION('',(0.,0.,1.)); #371918=DIRECTION('center_axis',(0.,-1.,0.)); #371919=DIRECTION('ref_axis',(1.,0.,0.)); #371920=DIRECTION('',(1.,0.,0.)); #371921=DIRECTION('',(1.,0.,0.)); #371922=DIRECTION('',(0.,0.,1.)); #371923=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #371924=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #371925=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #371926=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #371927=DIRECTION('',(0.,0.,1.)); #371928=DIRECTION('center_axis',(-0.538911466745881,-0.842362410729375, 0.)); #371929=DIRECTION('ref_axis',(0.842362410729375,-0.538911466745881,0.)); #371930=DIRECTION('',(0.842362410729375,-0.538911466745881,0.)); #371931=DIRECTION('',(0.842362410729375,-0.538911466745881,0.)); #371932=DIRECTION('',(0.,0.,1.)); #371933=DIRECTION('center_axis',(-0.824745549033933,-0.565504004715012, 0.)); #371934=DIRECTION('ref_axis',(0.565504004715012,-0.824745549033933,0.)); #371935=DIRECTION('',(0.565504004715012,-0.824745549033933,0.)); #371936=DIRECTION('',(0.565504004715012,-0.824745549033933,0.)); #371937=DIRECTION('',(0.,0.,1.)); #371938=DIRECTION('center_axis',(-0.980008419561338,-0.198956019232613, 0.)); #371939=DIRECTION('ref_axis',(0.198956019232613,-0.980008419561339,0.)); #371940=DIRECTION('',(0.198956019232613,-0.980008419561338,0.)); #371941=DIRECTION('',(0.198956019232613,-0.980008419561338,0.)); #371942=DIRECTION('',(0.,0.,1.)); #371943=DIRECTION('center_axis',(-1.,0.,0.)); #371944=DIRECTION('ref_axis',(0.,-1.,0.)); #371945=DIRECTION('',(0.,-1.,0.)); #371946=DIRECTION('',(0.,-1.,0.)); #371947=DIRECTION('',(0.,0.,1.)); #371948=DIRECTION('center_axis',(-0.994378375567341,-0.10588506128844,0.)); #371949=DIRECTION('ref_axis',(0.10588506128844,-0.994378375567341,0.)); #371950=DIRECTION('',(0.10588506128844,-0.994378375567341,0.)); #371951=DIRECTION('',(0.10588506128844,-0.994378375567341,0.)); #371952=DIRECTION('',(0.,0.,1.)); #371953=DIRECTION('center_axis',(-0.949778792384387,-0.312922107779005, 0.)); #371954=DIRECTION('ref_axis',(0.312922107779005,-0.949778792384387,0.)); #371955=DIRECTION('',(0.312922107779005,-0.949778792384387,0.)); #371956=DIRECTION('',(0.312922107779005,-0.949778792384387,0.)); #371957=DIRECTION('',(0.,0.,1.)); #371958=DIRECTION('center_axis',(-0.86248796831363,-0.506077567685258,0.)); #371959=DIRECTION('ref_axis',(0.506077567685258,-0.86248796831363,0.)); #371960=DIRECTION('',(0.506077567685258,-0.86248796831363,0.)); #371961=DIRECTION('',(0.506077567685258,-0.86248796831363,0.)); #371962=DIRECTION('',(0.,0.,1.)); #371963=DIRECTION('center_axis',(-0.736638945379662,-0.676286229454615, 0.)); #371964=DIRECTION('ref_axis',(0.676286229454615,-0.736638945379662,0.)); #371965=DIRECTION('',(0.676286229454615,-0.736638945379662,0.)); #371966=DIRECTION('',(0.676286229454615,-0.736638945379662,0.)); #371967=DIRECTION('',(0.,0.,1.)); #371968=DIRECTION('center_axis',(-0.577570241664954,-0.816340992443162, 0.)); #371969=DIRECTION('ref_axis',(0.816340992443162,-0.577570241664954,0.)); #371970=DIRECTION('',(0.816340992443162,-0.577570241664954,0.)); #371971=DIRECTION('',(0.816340992443162,-0.577570241664954,0.)); #371972=DIRECTION('',(0.,0.,1.)); #371973=DIRECTION('center_axis',(-0.392778413393378,-0.919633143145777, 0.)); #371974=DIRECTION('ref_axis',(0.919633143145777,-0.392778413393378,0.)); #371975=DIRECTION('',(0.919633143145777,-0.392778413393378,0.)); #371976=DIRECTION('',(0.919633143145777,-0.392778413393378,0.)); #371977=DIRECTION('',(0.,0.,1.)); #371978=DIRECTION('center_axis',(-0.148172497755812,-0.98896153156167,0.)); #371979=DIRECTION('ref_axis',(0.98896153156167,-0.148172497755812,0.)); #371980=DIRECTION('',(0.98896153156167,-0.148172497755812,0.)); #371981=DIRECTION('',(0.98896153156167,-0.148172497755812,0.)); #371982=DIRECTION('',(0.,0.,1.)); #371983=DIRECTION('center_axis',(0.,-1.,0.)); #371984=DIRECTION('ref_axis',(1.,0.,0.)); #371985=DIRECTION('',(1.,0.,0.)); #371986=DIRECTION('',(1.,0.,0.)); #371987=DIRECTION('',(0.,0.,1.)); #371988=DIRECTION('center_axis',(0.105885061288689,-0.994378375567314,0.)); #371989=DIRECTION('ref_axis',(0.994378375567314,0.105885061288689,0.)); #371990=DIRECTION('',(0.994378375567314,0.105885061288689,0.)); #371991=DIRECTION('',(0.994378375567314,0.105885061288689,0.)); #371992=DIRECTION('',(0.,0.,1.)); #371993=DIRECTION('center_axis',(0.31293597692126,-0.949774222827898,0.)); #371994=DIRECTION('ref_axis',(0.949774222827897,0.31293597692126,0.)); #371995=DIRECTION('',(0.949774222827897,0.31293597692126,0.)); #371996=DIRECTION('',(0.949774222827897,0.31293597692126,0.)); #371997=DIRECTION('',(0.,0.,1.)); #371998=DIRECTION('center_axis',(0.506095628368624,-0.862477370686424,0.)); #371999=DIRECTION('ref_axis',(0.862477370686424,0.506095628368624,0.)); #372000=DIRECTION('',(0.862477370686424,0.506095628368624,0.)); #372001=DIRECTION('',(0.862477370686424,0.506095628368624,0.)); #372002=DIRECTION('',(0.,0.,1.)); #372003=DIRECTION('center_axis',(0.652508824452,-0.757781125399854,0.)); #372004=DIRECTION('ref_axis',(0.757781125399854,0.652508824452,0.)); #372005=DIRECTION('',(0.757781125399854,0.652508824452,0.)); #372006=DIRECTION('',(0.757781125399854,0.652508824452,0.)); #372007=DIRECTION('',(0.,0.,1.)); #372008=DIRECTION('center_axis',(0.707107039233482,-0.707106523139519,0.)); #372009=DIRECTION('ref_axis',(0.707106523139519,0.707107039233482,0.)); #372010=DIRECTION('',(0.707106523139519,0.707107039233482,0.)); #372011=DIRECTION('',(0.707106523139519,0.707107039233482,0.)); #372012=DIRECTION('',(0.,0.,1.)); #372013=DIRECTION('center_axis',(0.778048811381676,-0.628203826084784,0.)); #372014=DIRECTION('ref_axis',(0.628203826084784,0.778048811381676,0.)); #372015=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #372016=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #372017=DIRECTION('',(0.,0.,1.)); #372018=DIRECTION('center_axis',(0.892938781492941,-0.450178111980026,0.)); #372019=DIRECTION('ref_axis',(0.450178111980026,0.892938781492941,0.)); #372020=DIRECTION('',(0.450178111980026,0.892938781492941,0.)); #372021=DIRECTION('',(0.450178111980026,0.892938781492941,0.)); #372022=DIRECTION('',(0.,0.,1.)); #372023=DIRECTION('center_axis',(0.967662278077973,-0.25224931235773,0.)); #372024=DIRECTION('ref_axis',(0.25224931235773,0.967662278077973,0.)); #372025=DIRECTION('',(0.25224931235773,0.967662278077973,0.)); #372026=DIRECTION('',(0.25224931235773,0.967662278077973,0.)); #372027=DIRECTION('',(0.,0.,1.)); #372028=DIRECTION('center_axis',(0.997238118320006,-0.0742706898417773, 0.)); #372029=DIRECTION('ref_axis',(0.0742706898417773,0.997238118320006,0.)); #372030=DIRECTION('',(0.0742706898417773,0.997238118320006,0.)); #372031=DIRECTION('',(0.0742706898417773,0.997238118320006,0.)); #372032=DIRECTION('',(0.,0.,1.)); #372033=DIRECTION('center_axis',(1.,0.,0.)); #372034=DIRECTION('ref_axis',(0.,1.,0.)); #372035=DIRECTION('',(0.,1.,0.)); #372036=DIRECTION('',(0.,1.,0.)); #372037=DIRECTION('',(0.,0.,1.)); #372038=DIRECTION('center_axis',(0.994378375567341,0.10588506128844,0.)); #372039=DIRECTION('ref_axis',(-0.10588506128844,0.994378375567341,0.)); #372040=DIRECTION('',(-0.10588506128844,0.994378375567341,0.)); #372041=DIRECTION('',(-0.10588506128844,0.994378375567341,0.)); #372042=DIRECTION('',(0.,0.,1.)); #372043=DIRECTION('center_axis',(0.949761034136728,0.312976002331701,0.)); #372044=DIRECTION('ref_axis',(-0.312976002331701,0.949761034136728,0.)); #372045=DIRECTION('',(-0.312976002331701,0.949761034136728,0.)); #372046=DIRECTION('',(-0.312976002331701,0.949761034136728,0.)); #372047=DIRECTION('',(0.,0.,1.)); #372048=DIRECTION('center_axis',(0.847486929710934,0.530816261967486,0.)); #372049=DIRECTION('ref_axis',(-0.530816261967486,0.847486929710934,0.)); #372050=DIRECTION('',(-0.530816261967486,0.847486929710934,0.)); #372051=DIRECTION('',(-0.530816261967486,0.847486929710934,0.)); #372052=DIRECTION('',(0.,0.,1.)); #372053=DIRECTION('center_axis',(0.768221251274941,0.6401844336514,0.)); #372054=DIRECTION('ref_axis',(-0.6401844336514,0.768221251274941,0.)); #372055=DIRECTION('',(-0.6401844336514,0.768221251274941,0.)); #372056=DIRECTION('',(-0.6401844336514,0.768221251274941,0.)); #372057=DIRECTION('',(0.,0.,1.)); #372058=DIRECTION('center_axis',(0.853663582771797,0.520824814548251,0.)); #372059=DIRECTION('ref_axis',(-0.520824814548251,0.853663582771797,0.)); #372060=DIRECTION('',(-0.520824814548251,0.853663582771797,0.)); #372061=DIRECTION('',(-0.520824814548251,0.853663582771797,0.)); #372062=DIRECTION('',(0.,0.,1.)); #372063=DIRECTION('center_axis',(0.980008419561338,0.198956019232613,0.)); #372064=DIRECTION('ref_axis',(-0.198956019232613,0.980008419561339,0.)); #372065=DIRECTION('',(-0.198956019232613,0.980008419561338,0.)); #372066=DIRECTION('',(-0.198956019232613,0.980008419561338,0.)); #372067=DIRECTION('',(0.,0.,1.)); #372068=DIRECTION('center_axis',(1.,0.,0.)); #372069=DIRECTION('ref_axis',(0.,1.,0.)); #372070=DIRECTION('',(0.,1.,0.)); #372071=DIRECTION('',(0.,1.,0.)); #372072=DIRECTION('',(0.,0.,1.)); #372073=DIRECTION('center_axis',(0.994378375567341,0.10588506128844,0.)); #372074=DIRECTION('ref_axis',(-0.10588506128844,0.994378375567341,0.)); #372075=DIRECTION('',(-0.10588506128844,0.994378375567341,0.)); #372076=DIRECTION('',(-0.10588506128844,0.994378375567341,0.)); #372077=DIRECTION('',(0.,0.,1.)); #372078=DIRECTION('center_axis',(0.949774222827774,0.312935976921634,0.)); #372079=DIRECTION('ref_axis',(-0.312935976921634,0.949774222827774,0.)); #372080=DIRECTION('',(-0.312935976921634,0.949774222827774,0.)); #372081=DIRECTION('',(-0.312935976921634,0.949774222827774,0.)); #372082=DIRECTION('',(0.,0.,1.)); #372083=DIRECTION('center_axis',(0.862477370686535,0.506095628368436,0.)); #372084=DIRECTION('ref_axis',(-0.506095628368436,0.862477370686535,0.)); #372085=DIRECTION('',(-0.506095628368436,0.862477370686535,0.)); #372086=DIRECTION('',(-0.506095628368436,0.862477370686535,0.)); #372087=DIRECTION('',(0.,0.,1.)); #372088=DIRECTION('center_axis',(0.757781125399666,0.652508824452218,0.)); #372089=DIRECTION('ref_axis',(-0.652508824452218,0.757781125399666,0.)); #372090=DIRECTION('',(-0.652508824452218,0.757781125399666,0.)); #372091=DIRECTION('',(-0.652508824452218,0.757781125399666,0.)); #372092=DIRECTION('',(0.,0.,1.)); #372093=DIRECTION('center_axis',(0.707105338772347,0.707108223597805,0.)); #372094=DIRECTION('ref_axis',(-0.707108223597805,0.707105338772347,0.)); #372095=DIRECTION('',(-0.707108223597805,0.707105338772347,0.)); #372096=DIRECTION('',(-0.707108223597805,0.707105338772347,0.)); #372097=DIRECTION('',(0.,0.,1.)); #372098=DIRECTION('center_axis',(0.628203826084774,0.778048811381684,0.)); #372099=DIRECTION('ref_axis',(-0.778048811381684,0.628203826084774,0.)); #372100=DIRECTION('',(-0.778048811381684,0.628203826084774,0.)); #372101=DIRECTION('',(-0.778048811381684,0.628203826084774,0.)); #372102=DIRECTION('',(0.,0.,1.)); #372103=DIRECTION('center_axis',(0.450178111979861,0.892938781493025,0.)); #372104=DIRECTION('ref_axis',(-0.892938781493025,0.45017811197986,0.)); #372105=DIRECTION('',(-0.892938781493025,0.45017811197986,0.)); #372106=DIRECTION('',(-0.892938781493025,0.45017811197986,0.)); #372107=DIRECTION('',(0.,0.,1.)); #372108=DIRECTION('center_axis',(0.252249312357774,0.967662278077962,0.)); #372109=DIRECTION('ref_axis',(-0.967662278077962,0.252249312357774,0.)); #372110=DIRECTION('',(-0.967662278077962,0.252249312357774,0.)); #372111=DIRECTION('',(-0.967662278077962,0.252249312357774,0.)); #372112=DIRECTION('',(0.,0.,1.)); #372113=DIRECTION('center_axis',(0.0742706898419193,0.997238118319996,0.)); #372114=DIRECTION('ref_axis',(-0.997238118319996,0.0742706898419193,0.)); #372115=DIRECTION('',(-0.997238118319996,0.0742706898419193,0.)); #372116=DIRECTION('',(-0.997238118319996,0.0742706898419193,0.)); #372117=DIRECTION('',(0.,0.,1.)); #372118=DIRECTION('center_axis',(0.,1.,0.)); #372119=DIRECTION('ref_axis',(-1.,0.,0.)); #372120=DIRECTION('',(-1.,0.,0.)); #372121=DIRECTION('',(-1.,0.,0.)); #372122=DIRECTION('',(0.,0.,1.)); #372123=DIRECTION('center_axis',(-0.105885061288612,0.994378375567322,0.)); #372124=DIRECTION('ref_axis',(-0.994378375567322,-0.105885061288612,0.)); #372125=DIRECTION('',(-0.994378375567322,-0.105885061288612,0.)); #372126=DIRECTION('',(-0.994378375567322,-0.105885061288612,0.)); #372127=DIRECTION('',(0.,0.,1.)); #372128=DIRECTION('center_axis',(-0.31292210777885,0.949778792384438,0.)); #372129=DIRECTION('ref_axis',(-0.949778792384438,-0.31292210777885,0.)); #372130=DIRECTION('',(-0.949778792384438,-0.31292210777885,0.)); #372131=DIRECTION('',(-0.949778792384438,-0.31292210777885,0.)); #372132=DIRECTION('',(0.,0.,1.)); #372133=DIRECTION('center_axis',(-0.506114900434647,0.862466061684764,0.)); #372134=DIRECTION('ref_axis',(-0.862466061684764,-0.506114900434647,0.)); #372135=DIRECTION('',(-0.862466061684764,-0.506114900434647,0.)); #372136=DIRECTION('',(-0.862466061684764,-0.506114900434647,0.)); #372137=DIRECTION('',(0.,0.,1.)); #372138=DIRECTION('center_axis',(-0.65250882445237,0.757781125399535,0.)); #372139=DIRECTION('ref_axis',(-0.757781125399535,-0.65250882445237,0.)); #372140=DIRECTION('',(-0.757781125399535,-0.65250882445237,0.)); #372141=DIRECTION('',(-0.757781125399535,-0.65250882445237,0.)); #372142=DIRECTION('',(0.,0.,1.)); #372143=DIRECTION('center_axis',(-0.707107065139459,0.707106497233522,0.)); #372144=DIRECTION('ref_axis',(-0.707106497233522,-0.707107065139459,0.)); #372145=DIRECTION('',(-0.707106497233522,-0.707107065139459,0.)); #372146=DIRECTION('',(-0.707106497233522,-0.707107065139459,0.)); #372147=DIRECTION('',(0.,0.,1.)); #372148=DIRECTION('center_axis',(-0.778048811381607,0.628203826084869,0.)); #372149=DIRECTION('ref_axis',(-0.628203826084869,-0.778048811381607,0.)); #372150=DIRECTION('',(-0.628203826084869,-0.778048811381607,0.)); #372151=DIRECTION('',(-0.628203826084869,-0.778048811381607,0.)); #372152=DIRECTION('',(0.,0.,1.)); #372153=DIRECTION('center_axis',(-0.892938781493067,0.450178111979778,0.)); #372154=DIRECTION('ref_axis',(-0.450178111979778,-0.892938781493066,0.)); #372155=DIRECTION('',(-0.450178111979778,-0.892938781493066,0.)); #372156=DIRECTION('',(-0.450178111979778,-0.892938781493066,0.)); #372157=DIRECTION('',(0.,0.,1.)); #372158=DIRECTION('center_axis',(-0.967662278077938,0.252249312357865,0.)); #372159=DIRECTION('ref_axis',(-0.252249312357865,-0.967662278077938,0.)); #372160=DIRECTION('',(-0.252249312357865,-0.967662278077938,0.)); #372161=DIRECTION('',(-0.252249312357865,-0.967662278077938,0.)); #372162=DIRECTION('',(0.,0.,1.)); #372163=DIRECTION('center_axis',(-0.997238118320006,0.0742706898417773, 0.)); #372164=DIRECTION('ref_axis',(-0.0742706898417773,-0.997238118320006,0.)); #372165=DIRECTION('',(-0.0742706898417773,-0.997238118320006,0.)); #372166=DIRECTION('',(-0.0742706898417773,-0.997238118320006,0.)); #372167=DIRECTION('',(0.,0.,1.)); #372168=DIRECTION('center_axis',(-1.,0.,0.)); #372169=DIRECTION('ref_axis',(0.,-1.,0.)); #372170=DIRECTION('',(0.,-1.,0.)); #372171=DIRECTION('',(0.,-1.,0.)); #372172=DIRECTION('',(0.,0.,1.)); #372173=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #372174=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #372175=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #372176=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #372177=DIRECTION('',(0.,0.,1.)); #372178=DIRECTION('center_axis',(-0.83365985974971,0.552278225391961,0.)); #372179=DIRECTION('ref_axis',(-0.552278225391961,-0.83365985974971,0.)); #372180=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #372181=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #372182=DIRECTION('',(0.,0.,1.)); #372183=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #372184=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #372185=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #372186=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #372187=DIRECTION('',(0.,0.,1.)); #372188=DIRECTION('center_axis',(-0.558946331948898,0.829203833807389,0.)); #372189=DIRECTION('ref_axis',(-0.829203833807389,-0.558946331948898,0.)); #372190=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #372191=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #372192=DIRECTION('',(0.,0.,1.)); #372193=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #372194=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #372195=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #372196=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #372197=DIRECTION('',(0.,0.,1.)); #372198=DIRECTION('center_axis',(0.,1.,0.)); #372199=DIRECTION('ref_axis',(-1.,0.,0.)); #372200=DIRECTION('',(-1.,0.,0.)); #372201=DIRECTION('',(-1.,0.,0.)); #372202=DIRECTION('',(0.,0.,1.)); #372203=DIRECTION('center_axis',(0.191149856928623,0.981560865252974,0.)); #372204=DIRECTION('ref_axis',(-0.981560865252974,0.191149856928623,0.)); #372205=DIRECTION('',(-0.981560865252974,0.191149856928623,0.)); #372206=DIRECTION('',(-0.981560865252974,0.191149856928623,0.)); #372207=DIRECTION('',(0.,0.,1.)); #372208=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #372209=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #372210=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #372211=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #372212=DIRECTION('',(0.,0.,1.)); #372213=DIRECTION('center_axis',(0.707106781186543,0.707106781186552,0.)); #372214=DIRECTION('ref_axis',(-0.707106781186552,0.707106781186543,0.)); #372215=DIRECTION('',(-0.707106781186552,0.707106781186543,0.)); #372216=DIRECTION('',(-0.707106781186552,0.707106781186543,0.)); #372217=DIRECTION('',(0.,0.,1.)); #372218=DIRECTION('center_axis',(0.,1.,0.)); #372219=DIRECTION('ref_axis',(-1.,0.,0.)); #372220=DIRECTION('',(-1.,0.,0.)); #372221=DIRECTION('',(-1.,0.,0.)); #372222=DIRECTION('',(0.,0.,1.)); #372223=DIRECTION('center_axis',(0.191149856928917,0.981560865252917,0.)); #372224=DIRECTION('ref_axis',(-0.981560865252917,0.191149856928917,0.)); #372225=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #372226=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #372227=DIRECTION('',(0.,0.,1.)); #372228=DIRECTION('center_axis',(0.552278225391859,0.833659859749778,0.)); #372229=DIRECTION('ref_axis',(-0.833659859749778,0.552278225391859,0.)); #372230=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #372231=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #372232=DIRECTION('',(0.,0.,1.)); #372233=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #372234=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #372235=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #372236=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #372237=DIRECTION('',(0.,0.,1.)); #372238=DIRECTION('center_axis',(0.820212632122573,0.572058771549358,0.)); #372239=DIRECTION('ref_axis',(-0.572058771549358,0.820212632122573,0.)); #372240=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #372241=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #372242=DIRECTION('',(0.,0.,1.)); #372243=DIRECTION('center_axis',(0.973151456886431,0.230165683714617,0.)); #372244=DIRECTION('ref_axis',(-0.230165683714617,0.973151456886431,0.)); #372245=DIRECTION('',(-0.230165683714617,0.973151456886431,0.)); #372246=DIRECTION('',(-0.230165683714617,0.973151456886431,0.)); #372247=DIRECTION('',(0.,0.,1.)); #372248=DIRECTION('center_axis',(0.985847618607394,-0.167643887112329,0.)); #372249=DIRECTION('ref_axis',(0.167643887112329,0.985847618607393,0.)); #372250=DIRECTION('',(0.167643887112329,0.985847618607393,0.)); #372251=DIRECTION('',(0.167643887112329,0.985847618607393,0.)); #372252=DIRECTION('',(0.,0.,1.)); #372253=DIRECTION('center_axis',(0.84236241072922,-0.538911466746122,0.)); #372254=DIRECTION('ref_axis',(0.538911466746122,0.84236241072922,0.)); #372255=DIRECTION('',(0.538911466746122,0.84236241072922,0.)); #372256=DIRECTION('',(0.538911466746122,0.84236241072922,0.)); #372257=DIRECTION('',(0.,0.,1.)); #372258=DIRECTION('center_axis',(0.565504004715131,-0.824745549033852,0.)); #372259=DIRECTION('ref_axis',(0.824745549033852,0.565504004715131,0.)); #372260=DIRECTION('',(0.824745549033852,0.565504004715131,0.)); #372261=DIRECTION('',(0.824745549033852,0.565504004715131,0.)); #372262=DIRECTION('',(0.,0.,1.)); #372263=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #372264=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #372265=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #372266=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #372267=DIRECTION('',(0.,0.,1.)); #372268=DIRECTION('center_axis',(0.,-1.,0.)); #372269=DIRECTION('ref_axis',(1.,0.,0.)); #372270=DIRECTION('',(1.,0.,0.)); #372271=DIRECTION('',(1.,0.,0.)); #372272=DIRECTION('',(0.,0.,1.)); #372273=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #372274=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #372275=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #372276=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #372277=DIRECTION('',(0.,0.,1.)); #372278=DIRECTION('center_axis',(1.,0.,0.)); #372279=DIRECTION('ref_axis',(0.,1.,0.)); #372280=DIRECTION('',(0.,1.,0.)); #372281=DIRECTION('',(0.,1.,0.)); #372282=DIRECTION('',(0.,0.,1.)); #372283=DIRECTION('center_axis',(0.707108784529055,0.707104777838364,0.)); #372284=DIRECTION('ref_axis',(-0.707104777838364,0.707108784529055,0.)); #372285=DIRECTION('',(-0.707104777838364,0.707108784529055,0.)); #372286=DIRECTION('',(-0.707104777838364,0.707108784529055,0.)); #372287=DIRECTION('',(0.,0.,1.)); #372288=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #372289=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #372290=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #372291=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #372292=DIRECTION('',(0.,0.,1.)); #372293=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #372294=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #372295=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #372296=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #372297=DIRECTION('',(0.,0.,1.)); #372298=DIRECTION('center_axis',(1.,0.,0.)); #372299=DIRECTION('ref_axis',(0.,1.,0.)); #372300=DIRECTION('',(0.,1.,0.)); #372301=DIRECTION('',(0.,1.,0.)); #372302=DIRECTION('',(0.,0.,1.)); #372303=DIRECTION('center_axis',(0.984479063846082,-0.175502059386041,0.)); #372304=DIRECTION('ref_axis',(0.175502059386041,0.984479063846082,0.)); #372305=DIRECTION('',(0.175502059386041,0.984479063846082,0.)); #372306=DIRECTION('',(0.175502059386041,0.984479063846082,0.)); #372307=DIRECTION('',(0.,0.,1.)); #372308=DIRECTION('center_axis',(0.850871695642224,-0.525373540973398,0.)); #372309=DIRECTION('ref_axis',(0.525373540973398,0.850871695642224,0.)); #372310=DIRECTION('',(0.525373540973397,0.850871695642224,0.)); #372311=DIRECTION('',(0.525373540973397,0.850871695642224,0.)); #372312=DIRECTION('',(0.,0.,1.)); #372313=DIRECTION('center_axis',(0.578483828224848,-0.815693852178821,0.)); #372314=DIRECTION('ref_axis',(0.815693852178821,0.578483828224848,0.)); #372315=DIRECTION('',(0.815693852178821,0.578483828224848,0.)); #372316=DIRECTION('',(0.815693852178821,0.578483828224848,0.)); #372317=DIRECTION('',(0.,0.,1.)); #372318=DIRECTION('center_axis',(0.214642857802207,-0.976692604453674,0.)); #372319=DIRECTION('ref_axis',(0.976692604453674,0.214642857802207,0.)); #372320=DIRECTION('',(0.976692604453674,0.214642857802207,0.)); #372321=DIRECTION('',(0.976692604453674,0.214642857802207,0.)); #372322=DIRECTION('',(0.,0.,1.)); #372323=DIRECTION('center_axis',(-0.183302952703363,-0.983056472197924, 0.)); #372324=DIRECTION('ref_axis',(0.983056472197924,-0.183302952703363,0.)); #372325=DIRECTION('',(0.983056472197924,-0.183302952703363,0.)); #372326=DIRECTION('',(0.983056472197924,-0.183302952703363,0.)); #372327=DIRECTION('',(0.,0.,1.)); #372328=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #372329=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #372330=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #372331=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #372332=DIRECTION('',(0.,0.,1.)); #372333=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #372334=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #372335=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #372336=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #372337=DIRECTION('',(0.,0.,1.)); #372338=DIRECTION('center_axis',(-0.82920383380746,-0.558946331948794,0.)); #372339=DIRECTION('ref_axis',(0.558946331948794,-0.82920383380746,0.)); #372340=DIRECTION('',(0.558946331948794,-0.82920383380746,0.)); #372341=DIRECTION('',(0.558946331948794,-0.82920383380746,0.)); #372342=DIRECTION('',(0.,0.,1.)); #372343=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #372344=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #372345=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #372346=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #372347=DIRECTION('',(0.,0.,1.)); #372348=DIRECTION('center_axis',(-1.,0.,0.)); #372349=DIRECTION('ref_axis',(0.,-1.,0.)); #372350=DIRECTION('',(0.,-1.,0.)); #372351=DIRECTION('',(0.,-1.,0.)); #372352=DIRECTION('',(0.,0.,1.)); #372353=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #372354=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #372355=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #372356=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #372357=DIRECTION('',(0.,0.,1.)); #372358=DIRECTION('center_axis',(0.,-1.,0.)); #372359=DIRECTION('ref_axis',(1.,0.,0.)); #372360=DIRECTION('',(1.,0.,0.)); #372361=DIRECTION('',(1.,0.,0.)); #372362=DIRECTION('',(0.,0.,1.)); #372363=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #372364=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #372365=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #372366=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #372367=DIRECTION('',(0.,0.,1.)); #372368=DIRECTION('center_axis',(1.,0.,0.)); #372369=DIRECTION('ref_axis',(0.,1.,0.)); #372370=DIRECTION('',(0.,1.,0.)); #372371=DIRECTION('',(0.,1.,0.)); #372372=DIRECTION('',(0.,0.,1.)); #372373=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #372374=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #372375=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #372376=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #372377=DIRECTION('',(0.,0.,1.)); #372378=DIRECTION('center_axis',(0.842362410729329,-0.538911466745951,0.)); #372379=DIRECTION('ref_axis',(0.538911466745951,0.842362410729329,0.)); #372380=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #372381=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #372382=DIRECTION('',(0.,0.,1.)); #372383=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #372384=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #372385=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #372386=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #372387=DIRECTION('',(0.,0.,1.)); #372388=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #372389=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #372390=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #372391=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #372392=DIRECTION('',(0.,0.,1.)); #372393=DIRECTION('center_axis',(0.,-1.,0.)); #372394=DIRECTION('ref_axis',(1.,0.,0.)); #372395=DIRECTION('',(1.,0.,0.)); #372396=DIRECTION('',(1.,0.,0.)); #372397=DIRECTION('',(0.,0.,1.)); #372398=DIRECTION('center_axis',(-0.191149856928917,-0.981560865252917, 0.)); #372399=DIRECTION('ref_axis',(0.981560865252917,-0.191149856928917,0.)); #372400=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #372401=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #372402=DIRECTION('',(0.,0.,1.)); #372403=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #372404=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #372405=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #372406=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #372407=DIRECTION('',(0.,0.,1.)); #372408=DIRECTION('center_axis',(-0.707106781186554,-0.707106781186541, 0.)); #372409=DIRECTION('ref_axis',(0.707106781186541,-0.707106781186554,0.)); #372410=DIRECTION('',(0.707106781186541,-0.707106781186554,0.)); #372411=DIRECTION('',(0.707106781186541,-0.707106781186554,0.)); #372412=DIRECTION('',(0.,0.,1.)); #372413=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #372414=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #372415=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #372416=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #372417=DIRECTION('',(0.,0.,1.)); #372418=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #372419=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #372420=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #372421=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #372422=DIRECTION('',(0.,0.,1.)); #372423=DIRECTION('center_axis',(-1.,0.,0.)); #372424=DIRECTION('ref_axis',(0.,-1.,0.)); #372425=DIRECTION('',(0.,-1.,0.)); #372426=DIRECTION('',(0.,-1.,0.)); #372427=DIRECTION('',(0.,0.,1.)); #372428=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #372429=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #372430=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #372431=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #372432=DIRECTION('',(0.,0.,1.)); #372433=DIRECTION('center_axis',(0.,-1.,0.)); #372434=DIRECTION('ref_axis',(1.,0.,0.)); #372435=DIRECTION('',(1.,0.,0.)); #372436=DIRECTION('',(1.,0.,0.)); #372437=DIRECTION('',(0.,0.,1.)); #372438=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #372439=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #372440=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #372441=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #372442=DIRECTION('',(0.,0.,1.)); #372443=DIRECTION('center_axis',(1.,0.,0.)); #372444=DIRECTION('ref_axis',(0.,1.,0.)); #372445=DIRECTION('',(0.,1.,0.)); #372446=DIRECTION('',(0.,1.,0.)); #372447=DIRECTION('',(0.,0.,1.)); #372448=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #372449=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #372450=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #372451=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #372452=DIRECTION('',(0.,0.,1.)); #372453=DIRECTION('center_axis',(0.,1.,0.)); #372454=DIRECTION('ref_axis',(-1.,0.,0.)); #372455=DIRECTION('',(-1.,0.,0.)); #372456=DIRECTION('',(-1.,0.,0.)); #372457=DIRECTION('',(0.,0.,1.)); #372458=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #372459=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #372460=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #372461=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #372462=DIRECTION('',(0.,0.,1.)); #372463=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #372464=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #372465=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #372466=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #372467=DIRECTION('',(0.,0.,1.)); #372468=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #372469=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #372470=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #372471=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #372472=DIRECTION('',(0.,0.,1.)); #372473=DIRECTION('center_axis',(0.,1.,0.)); #372474=DIRECTION('ref_axis',(-1.,0.,0.)); #372475=DIRECTION('',(-1.,0.,0.)); #372476=DIRECTION('',(-1.,0.,0.)); #372477=DIRECTION('',(0.,0.,1.)); #372478=DIRECTION('center_axis',(0.191149856928945,0.981560865252911,0.)); #372479=DIRECTION('ref_axis',(-0.981560865252911,0.191149856928945,0.)); #372480=DIRECTION('',(-0.981560865252911,0.191149856928945,0.)); #372481=DIRECTION('',(-0.981560865252911,0.191149856928945,0.)); #372482=DIRECTION('',(0.,0.,1.)); #372483=DIRECTION('center_axis',(0.55227822539191,0.833659859749744,0.)); #372484=DIRECTION('ref_axis',(-0.833659859749744,0.55227822539191,0.)); #372485=DIRECTION('',(-0.833659859749744,0.55227822539191,0.)); #372486=DIRECTION('',(-0.833659859749744,0.55227822539191,0.)); #372487=DIRECTION('',(0.,0.,1.)); #372488=DIRECTION('center_axis',(0.707106781186546,0.707106781186549,0.)); #372489=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #372490=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #372491=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #372492=DIRECTION('',(0.,0.,1.)); #372493=DIRECTION('center_axis',(0.829203833807388,0.558946331948899,0.)); #372494=DIRECTION('ref_axis',(-0.558946331948899,0.829203833807388,0.)); #372495=DIRECTION('',(-0.558946331948899,0.829203833807388,0.)); #372496=DIRECTION('',(-0.558946331948899,0.829203833807388,0.)); #372497=DIRECTION('',(0.,0.,1.)); #372498=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #372499=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #372500=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #372501=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #372502=DIRECTION('',(0.,0.,1.)); #372503=DIRECTION('center_axis',(1.,0.,0.)); #372504=DIRECTION('ref_axis',(0.,1.,0.)); #372505=DIRECTION('',(0.,1.,0.)); #372506=DIRECTION('',(0.,1.,0.)); #372507=DIRECTION('',(0.,0.,1.)); #372508=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #372509=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #372510=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #372511=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #372512=DIRECTION('',(0.,0.,1.)); #372513=DIRECTION('center_axis',(0.842362410729351,-0.538911466745918,0.)); #372514=DIRECTION('ref_axis',(0.538911466745918,0.842362410729351,0.)); #372515=DIRECTION('',(0.538911466745918,0.842362410729351,0.)); #372516=DIRECTION('',(0.538911466745918,0.842362410729351,0.)); #372517=DIRECTION('',(0.,0.,1.)); #372518=DIRECTION('center_axis',(0.565504004714913,-0.824745549034001,0.)); #372519=DIRECTION('ref_axis',(0.824745549034001,0.565504004714913,0.)); #372520=DIRECTION('',(0.824745549034001,0.565504004714913,0.)); #372521=DIRECTION('',(0.824745549034001,0.565504004714913,0.)); #372522=DIRECTION('',(0.,0.,1.)); #372523=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #372524=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #372525=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #372526=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #372527=DIRECTION('',(0.,0.,1.)); #372528=DIRECTION('center_axis',(0.,-1.,0.)); #372529=DIRECTION('ref_axis',(1.,0.,0.)); #372530=DIRECTION('',(1.,0.,0.)); #372531=DIRECTION('',(1.,0.,0.)); #372532=DIRECTION('',(0.,0.,1.)); #372533=DIRECTION('center_axis',(0.707106781186539,-0.707106781186556,0.)); #372534=DIRECTION('ref_axis',(0.707106781186556,0.707106781186539,0.)); #372535=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #372536=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #372537=DIRECTION('',(0.,0.,1.)); #372538=DIRECTION('center_axis',(1.,0.,0.)); #372539=DIRECTION('ref_axis',(0.,1.,0.)); #372540=DIRECTION('',(0.,1.,0.)); #372541=DIRECTION('',(0.,1.,0.)); #372542=DIRECTION('',(0.,0.,1.)); #372543=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #372544=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #372545=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #372546=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #372547=DIRECTION('',(0.,0.,1.)); #372548=DIRECTION('center_axis',(0.842362410729308,-0.538911466745984,0.)); #372549=DIRECTION('ref_axis',(0.538911466745984,0.842362410729308,0.)); #372550=DIRECTION('',(0.538911466745984,0.842362410729308,0.)); #372551=DIRECTION('',(0.538911466745984,0.842362410729308,0.)); #372552=DIRECTION('',(0.,0.,1.)); #372553=DIRECTION('center_axis',(0.565504004715012,-0.824745549033933,0.)); #372554=DIRECTION('ref_axis',(0.824745549033933,0.565504004715012,0.)); #372555=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #372556=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #372557=DIRECTION('',(0.,0.,1.)); #372558=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #372559=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #372560=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #372561=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #372562=DIRECTION('',(0.,0.,1.)); #372563=DIRECTION('center_axis',(0.,-1.,0.)); #372564=DIRECTION('ref_axis',(1.,0.,0.)); #372565=DIRECTION('',(1.,0.,0.)); #372566=DIRECTION('',(1.,0.,0.)); #372567=DIRECTION('',(0.,0.,1.)); #372568=DIRECTION('center_axis',(-0.191149856928843,-0.981560865252931, 0.)); #372569=DIRECTION('ref_axis',(0.981560865252931,-0.191149856928843,0.)); #372570=DIRECTION('',(0.981560865252931,-0.191149856928843,0.)); #372571=DIRECTION('',(0.981560865252931,-0.191149856928843,0.)); #372572=DIRECTION('',(0.,0.,1.)); #372573=DIRECTION('center_axis',(-0.552278225391843,-0.833659859749789, 0.)); #372574=DIRECTION('ref_axis',(0.833659859749789,-0.552278225391843,0.)); #372575=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #372576=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #372577=DIRECTION('',(0.,0.,1.)); #372578=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #372579=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #372580=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #372581=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #372582=DIRECTION('',(0.,0.,1.)); #372583=DIRECTION('center_axis',(-0.829203833807388,-0.558946331948899, 0.)); #372584=DIRECTION('ref_axis',(0.558946331948899,-0.829203833807388,0.)); #372585=DIRECTION('',(0.558946331948899,-0.829203833807388,0.)); #372586=DIRECTION('',(0.558946331948899,-0.829203833807388,0.)); #372587=DIRECTION('',(0.,0.,1.)); #372588=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #372589=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #372590=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #372591=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #372592=DIRECTION('',(0.,0.,1.)); #372593=DIRECTION('center_axis',(-1.,0.,0.)); #372594=DIRECTION('ref_axis',(0.,-1.,0.)); #372595=DIRECTION('',(0.,-1.,0.)); #372596=DIRECTION('',(0.,-1.,0.)); #372597=DIRECTION('',(0.,0.,1.)); #372598=DIRECTION('center_axis',(-0.707106781186556,-0.707106781186539, 0.)); #372599=DIRECTION('ref_axis',(0.707106781186539,-0.707106781186556,0.)); #372600=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #372601=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #372602=DIRECTION('',(0.,0.,1.)); #372603=DIRECTION('center_axis',(0.,-1.,0.)); #372604=DIRECTION('ref_axis',(1.,0.,0.)); #372605=DIRECTION('',(1.,0.,0.)); #372606=DIRECTION('',(1.,0.,0.)); #372607=DIRECTION('',(0.,0.,1.)); #372608=DIRECTION('center_axis',(0.707106781186556,-0.707106781186539,0.)); #372609=DIRECTION('ref_axis',(0.707106781186539,0.707106781186556,0.)); #372610=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #372611=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #372612=DIRECTION('',(0.,0.,1.)); #372613=DIRECTION('center_axis',(1.,0.,0.)); #372614=DIRECTION('ref_axis',(0.,1.,0.)); #372615=DIRECTION('',(0.,1.,0.)); #372616=DIRECTION('',(0.,1.,0.)); #372617=DIRECTION('',(0.,0.,1.)); #372618=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #372619=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #372620=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #372621=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #372622=DIRECTION('',(0.,0.,1.)); #372623=DIRECTION('center_axis',(0.,1.,0.)); #372624=DIRECTION('ref_axis',(-1.,0.,0.)); #372625=DIRECTION('',(-1.,0.,0.)); #372626=DIRECTION('',(-1.,0.,0.)); #372627=DIRECTION('',(0.,0.,1.)); #372628=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #372629=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #372630=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #372631=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #372632=DIRECTION('',(0.,0.,1.)); #372633=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #372634=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #372635=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #372636=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #372637=DIRECTION('',(0.,0.,1.)); #372638=DIRECTION('center_axis',(0.707106781186552,0.707106781186543,0.)); #372639=DIRECTION('ref_axis',(-0.707106781186543,0.707106781186552,0.)); #372640=DIRECTION('',(-0.707106781186543,0.707106781186552,0.)); #372641=DIRECTION('',(-0.707106781186543,0.707106781186552,0.)); #372642=DIRECTION('',(0.,0.,1.)); #372643=DIRECTION('center_axis',(0.,1.,0.)); #372644=DIRECTION('ref_axis',(-1.,0.,0.)); #372645=DIRECTION('',(-1.,0.,0.)); #372646=DIRECTION('',(-1.,0.,0.)); #372647=DIRECTION('',(0.,0.,1.)); #372648=DIRECTION('center_axis',(0.191149856928843,0.981560865252931,0.)); #372649=DIRECTION('ref_axis',(-0.981560865252931,0.191149856928843,0.)); #372650=DIRECTION('',(-0.981560865252931,0.191149856928843,0.)); #372651=DIRECTION('',(-0.981560865252931,0.191149856928843,0.)); #372652=DIRECTION('',(0.,0.,1.)); #372653=DIRECTION('center_axis',(0.55227822539191,0.833659859749744,0.)); #372654=DIRECTION('ref_axis',(-0.833659859749744,0.55227822539191,0.)); #372655=DIRECTION('',(-0.833659859749744,0.55227822539191,0.)); #372656=DIRECTION('',(-0.833659859749744,0.55227822539191,0.)); #372657=DIRECTION('',(0.,0.,1.)); #372658=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #372659=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #372660=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #372661=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #372662=DIRECTION('',(0.,0.,1.)); #372663=DIRECTION('center_axis',(0.,1.,0.)); #372664=DIRECTION('ref_axis',(-1.,0.,0.)); #372665=DIRECTION('',(-1.,0.,0.)); #372666=DIRECTION('',(-1.,0.,0.)); #372667=DIRECTION('',(0.,0.,1.)); #372668=DIRECTION('center_axis',(0.191149856928872,0.981560865252926,0.)); #372669=DIRECTION('ref_axis',(-0.981560865252926,0.191149856928872,0.)); #372670=DIRECTION('',(-0.981560865252926,0.191149856928872,0.)); #372671=DIRECTION('',(-0.981560865252926,0.191149856928872,0.)); #372672=DIRECTION('',(0.,0.,1.)); #372673=DIRECTION('center_axis',(0.552263045083179,0.833669916115158,0.)); #372674=DIRECTION('ref_axis',(-0.833669916115158,0.552263045083179,0.)); #372675=DIRECTION('',(-0.833669916115158,0.552263045083179,0.)); #372676=DIRECTION('',(-0.833669916115158,0.552263045083179,0.)); #372677=DIRECTION('',(0.,0.,1.)); #372678=DIRECTION('center_axis',(0.707106781186527,0.707106781186568,0.)); #372679=DIRECTION('ref_axis',(-0.707106781186568,0.707106781186527,0.)); #372680=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #372681=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #372682=DIRECTION('',(0.,0.,1.)); #372683=DIRECTION('center_axis',(0.,1.,0.)); #372684=DIRECTION('ref_axis',(-1.,0.,0.)); #372685=DIRECTION('',(-1.,0.,0.)); #372686=DIRECTION('',(-1.,0.,0.)); #372687=DIRECTION('',(0.,0.,1.)); #372688=DIRECTION('center_axis',(0.183305290257339,0.983056036329401,0.)); #372689=DIRECTION('ref_axis',(-0.983056036329401,0.183305290257339,0.)); #372690=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #372691=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #372692=DIRECTION('',(0.,0.,1.)); #372693=DIRECTION('center_axis',(0.53891146674607,0.842362410729254,0.)); #372694=DIRECTION('ref_axis',(-0.842362410729254,0.53891146674607,0.)); #372695=DIRECTION('',(-0.842362410729254,0.53891146674607,0.)); #372696=DIRECTION('',(-0.842362410729254,0.53891146674607,0.)); #372697=DIRECTION('',(0.,0.,1.)); #372698=DIRECTION('center_axis',(0.824745549033875,0.565504004715097,0.)); #372699=DIRECTION('ref_axis',(-0.565504004715097,0.824745549033875,0.)); #372700=DIRECTION('',(-0.565504004715097,0.824745549033875,0.)); #372701=DIRECTION('',(-0.565504004715097,0.824745549033875,0.)); #372702=DIRECTION('',(0.,0.,1.)); #372703=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #372704=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #372705=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #372706=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #372707=DIRECTION('',(0.,0.,1.)); #372708=DIRECTION('center_axis',(1.,0.,0.)); #372709=DIRECTION('ref_axis',(0.,1.,0.)); #372710=DIRECTION('',(0.,1.,0.)); #372711=DIRECTION('',(0.,1.,0.)); #372712=DIRECTION('',(0.,0.,1.)); #372713=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #372714=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #372715=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #372716=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #372717=DIRECTION('',(0.,0.,1.)); #372718=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #372719=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #372720=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #372721=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #372722=DIRECTION('',(0.,0.,1.)); #372723=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #372724=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #372725=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #372726=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #372727=DIRECTION('',(0.,0.,1.)); #372728=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #372729=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #372730=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #372731=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #372732=DIRECTION('',(0.,0.,1.)); #372733=DIRECTION('center_axis',(0.,-1.,0.)); #372734=DIRECTION('ref_axis',(1.,0.,0.)); #372735=DIRECTION('',(1.,0.,0.)); #372736=DIRECTION('',(1.,0.,0.)); #372737=DIRECTION('',(0.,0.,1.)); #372738=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #372739=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #372740=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #372741=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #372742=DIRECTION('',(0.,0.,1.)); #372743=DIRECTION('center_axis',(1.,0.,0.)); #372744=DIRECTION('ref_axis',(0.,1.,0.)); #372745=DIRECTION('',(0.,1.,0.)); #372746=DIRECTION('',(0.,1.,0.)); #372747=DIRECTION('',(0.,0.,1.)); #372748=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #372749=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #372750=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #372751=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #372752=DIRECTION('',(0.,0.,1.)); #372753=DIRECTION('center_axis',(0.,1.,0.)); #372754=DIRECTION('ref_axis',(-1.,0.,0.)); #372755=DIRECTION('',(-1.,0.,0.)); #372756=DIRECTION('',(-1.,0.,0.)); #372757=DIRECTION('',(0.,0.,1.)); #372758=DIRECTION('center_axis',(-0.707105131259446,0.7071084311098,0.)); #372759=DIRECTION('ref_axis',(-0.7071084311098,-0.707105131259446,0.)); #372760=DIRECTION('',(-0.7071084311098,-0.707105131259446,0.)); #372761=DIRECTION('',(-0.7071084311098,-0.707105131259446,0.)); #372762=DIRECTION('',(0.,0.,1.)); #372763=DIRECTION('center_axis',(-0.572058771549303,0.820212632122612,0.)); #372764=DIRECTION('ref_axis',(-0.820212632122612,-0.572058771549303,0.)); #372765=DIRECTION('',(-0.820212632122612,-0.572058771549303,0.)); #372766=DIRECTION('',(-0.820212632122612,-0.572058771549303,0.)); #372767=DIRECTION('',(0.,0.,1.)); #372768=DIRECTION('center_axis',(-0.230196907019318,0.973144071552994,0.)); #372769=DIRECTION('ref_axis',(-0.973144071552994,-0.230196907019318,0.)); #372770=DIRECTION('',(-0.973144071552994,-0.230196907019318,0.)); #372771=DIRECTION('',(-0.973144071552994,-0.230196907019318,0.)); #372772=DIRECTION('',(0.,0.,1.)); #372773=DIRECTION('center_axis',(0.16767593490806,0.985842168327521,0.)); #372774=DIRECTION('ref_axis',(-0.985842168327521,0.16767593490806,0.)); #372775=DIRECTION('',(-0.985842168327521,0.167675934908059,0.)); #372776=DIRECTION('',(-0.985842168327521,0.167675934908059,0.)); #372777=DIRECTION('',(0.,0.,1.)); #372778=DIRECTION('center_axis',(0.538888069291876,0.84237737907358,0.)); #372779=DIRECTION('ref_axis',(-0.84237737907358,0.538888069291876,0.)); #372780=DIRECTION('',(-0.84237737907358,0.538888069291876,0.)); #372781=DIRECTION('',(-0.84237737907358,0.538888069291876,0.)); #372782=DIRECTION('',(0.,0.,1.)); #372783=DIRECTION('center_axis',(0.824756093515062,0.56548862606579,0.)); #372784=DIRECTION('ref_axis',(-0.56548862606579,0.824756093515062,0.)); #372785=DIRECTION('',(-0.56548862606579,0.824756093515062,0.)); #372786=DIRECTION('',(-0.56548862606579,0.824756093515062,0.)); #372787=DIRECTION('',(0.,0.,1.)); #372788=DIRECTION('center_axis',(0.980008419561321,0.198956019232697,0.)); #372789=DIRECTION('ref_axis',(-0.198956019232697,0.980008419561321,0.)); #372790=DIRECTION('',(-0.198956019232697,0.980008419561321,0.)); #372791=DIRECTION('',(-0.198956019232697,0.980008419561321,0.)); #372792=DIRECTION('',(0.,0.,1.)); #372793=DIRECTION('center_axis',(1.,0.,0.)); #372794=DIRECTION('ref_axis',(0.,1.,0.)); #372795=DIRECTION('',(0.,1.,0.)); #372796=DIRECTION('',(0.,1.,0.)); #372797=DIRECTION('',(0.,0.,1.)); #372798=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #372799=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #372800=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #372801=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #372802=DIRECTION('',(0.,0.,1.)); #372803=DIRECTION('center_axis',(0.842362410729308,-0.538911466745984,0.)); #372804=DIRECTION('ref_axis',(0.538911466745984,0.842362410729308,0.)); #372805=DIRECTION('',(0.538911466745984,0.842362410729308,0.)); #372806=DIRECTION('',(0.538911466745984,0.842362410729308,0.)); #372807=DIRECTION('',(0.,0.,1.)); #372808=DIRECTION('center_axis',(0.565504004715081,-0.824745549033886,0.)); #372809=DIRECTION('ref_axis',(0.824745549033886,0.565504004715081,0.)); #372810=DIRECTION('',(0.824745549033886,0.565504004715081,0.)); #372811=DIRECTION('',(0.824745549033886,0.565504004715081,0.)); #372812=DIRECTION('',(0.,0.,1.)); #372813=DIRECTION('center_axis',(0.198956019232613,-0.980008419561338,0.)); #372814=DIRECTION('ref_axis',(0.980008419561339,0.198956019232613,0.)); #372815=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #372816=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #372817=DIRECTION('',(0.,0.,1.)); #372818=DIRECTION('center_axis',(0.,-1.,0.)); #372819=DIRECTION('ref_axis',(1.,0.,0.)); #372820=DIRECTION('',(1.,0.,0.)); #372821=DIRECTION('',(1.,0.,0.)); #372822=DIRECTION('',(0.,0.,1.)); #372823=DIRECTION('center_axis',(-0.183305290257339,-0.983056036329401, 0.)); #372824=DIRECTION('ref_axis',(0.983056036329401,-0.183305290257339,0.)); #372825=DIRECTION('',(0.983056036329401,-0.183305290257339,0.)); #372826=DIRECTION('',(0.983056036329401,-0.183305290257339,0.)); #372827=DIRECTION('',(0.,0.,1.)); #372828=DIRECTION('center_axis',(-0.538911466746003,-0.842362410729296, 0.)); #372829=DIRECTION('ref_axis',(0.842362410729296,-0.538911466746003,0.)); #372830=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #372831=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #372832=DIRECTION('',(0.,0.,1.)); #372833=DIRECTION('center_axis',(-0.824745549033943,-0.565504004714997, 0.)); #372834=DIRECTION('ref_axis',(0.565504004714997,-0.824745549033943,0.)); #372835=DIRECTION('',(0.565504004714997,-0.824745549033943,0.)); #372836=DIRECTION('',(0.565504004714997,-0.824745549033943,0.)); #372837=DIRECTION('',(0.,0.,1.)); #372838=DIRECTION('center_axis',(-0.98000382879404,-0.198978630885383,0.)); #372839=DIRECTION('ref_axis',(0.198978630885383,-0.98000382879404,0.)); #372840=DIRECTION('',(0.198978630885383,-0.98000382879404,0.)); #372841=DIRECTION('',(0.198978630885383,-0.98000382879404,0.)); #372842=DIRECTION('',(0.,0.,1.)); #372843=DIRECTION('center_axis',(-0.707105370129516,-0.707108192240763, 0.)); #372844=DIRECTION('ref_axis',(0.707108192240763,-0.707105370129516,0.)); #372845=DIRECTION('',(0.707108192240763,-0.707105370129516,0.)); #372846=DIRECTION('',(0.707108192240763,-0.707105370129516,0.)); #372847=DIRECTION('',(0.,0.,1.)); #372848=DIRECTION('center_axis',(0.,-1.,0.)); #372849=DIRECTION('ref_axis',(1.,0.,0.)); #372850=DIRECTION('',(1.,0.,0.)); #372851=DIRECTION('',(1.,0.,0.)); #372852=DIRECTION('',(0.,0.,1.)); #372853=DIRECTION('center_axis',(0.707104777838369,-0.707108784529051,0.)); #372854=DIRECTION('ref_axis',(0.707108784529051,0.707104777838369,0.)); #372855=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #372856=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #372857=DIRECTION('',(0.,0.,1.)); #372858=DIRECTION('center_axis',(0.558946331948846,-0.829203833807424,0.)); #372859=DIRECTION('ref_axis',(0.829203833807424,0.558946331948846,0.)); #372860=DIRECTION('',(0.829203833807424,0.558946331948846,0.)); #372861=DIRECTION('',(0.829203833807424,0.558946331948846,0.)); #372862=DIRECTION('',(0.,0.,1.)); #372863=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #372864=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #372865=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #372866=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #372867=DIRECTION('',(0.,0.,1.)); #372868=DIRECTION('center_axis',(0.,-1.,0.)); #372869=DIRECTION('ref_axis',(1.,0.,0.)); #372870=DIRECTION('',(1.,0.,0.)); #372871=DIRECTION('',(1.,0.,0.)); #372872=DIRECTION('',(0.,0.,1.)); #372873=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #372874=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #372875=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #372876=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #372877=DIRECTION('',(0.,0.,1.)); #372878=DIRECTION('center_axis',(-0.55226304508323,-0.833669916115124,0.)); #372879=DIRECTION('ref_axis',(0.833669916115124,-0.55226304508323,0.)); #372880=DIRECTION('',(0.833669916115124,-0.55226304508323,0.)); #372881=DIRECTION('',(0.833669916115124,-0.55226304508323,0.)); #372882=DIRECTION('',(0.,0.,1.)); #372883=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #372884=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #372885=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #372886=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #372887=DIRECTION('',(0.,0.,1.)); #372888=DIRECTION('center_axis',(-0.829214556504279,-0.558930424365512, 0.)); #372889=DIRECTION('ref_axis',(0.558930424365512,-0.829214556504279,0.)); #372890=DIRECTION('',(0.558930424365512,-0.829214556504279,0.)); #372891=DIRECTION('',(0.558930424365512,-0.829214556504279,0.)); #372892=DIRECTION('',(0.,0.,1.)); #372893=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #372894=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #372895=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #372896=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #372897=DIRECTION('',(0.,0.,1.)); #372898=DIRECTION('center_axis',(-1.,0.,0.)); #372899=DIRECTION('ref_axis',(0.,-1.,0.)); #372900=DIRECTION('',(0.,-1.,0.)); #372901=DIRECTION('',(0.,-1.,0.)); #372902=DIRECTION('',(0.,0.,1.)); #372903=DIRECTION('center_axis',(-0.707106781186539,-0.707106781186556, 0.)); #372904=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #372905=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #372906=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #372907=DIRECTION('',(0.,0.,1.)); #372908=DIRECTION('center_axis',(0.,-1.,0.)); #372909=DIRECTION('ref_axis',(1.,0.,0.)); #372910=DIRECTION('',(1.,0.,0.)); #372911=DIRECTION('',(1.,0.,0.)); #372912=DIRECTION('',(0.,0.,1.)); #372913=DIRECTION('center_axis',(0.707106781186521,-0.707106781186574,0.)); #372914=DIRECTION('ref_axis',(0.707106781186574,0.707106781186521,0.)); #372915=DIRECTION('',(0.707106781186574,0.707106781186521,0.)); #372916=DIRECTION('',(0.707106781186574,0.707106781186521,0.)); #372917=DIRECTION('',(0.,0.,1.)); #372918=DIRECTION('center_axis',(1.,0.,0.)); #372919=DIRECTION('ref_axis',(0.,1.,0.)); #372920=DIRECTION('',(0.,1.,0.)); #372921=DIRECTION('',(0.,1.,0.)); #372922=DIRECTION('',(0.,0.,1.)); #372923=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #372924=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #372925=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #372926=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #372927=DIRECTION('',(0.,0.,1.)); #372928=DIRECTION('center_axis',(0.842362410729308,-0.538911466745984,0.)); #372929=DIRECTION('ref_axis',(0.538911466745984,0.842362410729308,0.)); #372930=DIRECTION('',(0.538911466745984,0.842362410729308,0.)); #372931=DIRECTION('',(0.538911466745984,0.842362410729308,0.)); #372932=DIRECTION('',(0.,0.,1.)); #372933=DIRECTION('center_axis',(0.565504004715012,-0.824745549033933,0.)); #372934=DIRECTION('ref_axis',(0.824745549033933,0.565504004715012,0.)); #372935=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #372936=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #372937=DIRECTION('',(0.,0.,1.)); #372938=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #372939=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #372940=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #372941=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #372942=DIRECTION('',(0.,0.,1.)); #372943=DIRECTION('center_axis',(0.,-1.,0.)); #372944=DIRECTION('ref_axis',(1.,0.,0.)); #372945=DIRECTION('',(1.,0.,0.)); #372946=DIRECTION('',(1.,0.,0.)); #372947=DIRECTION('',(0.,0.,1.)); #372948=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #372949=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #372950=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #372951=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #372952=DIRECTION('',(0.,0.,1.)); #372953=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #372954=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #372955=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #372956=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #372957=DIRECTION('',(0.,0.,1.)); #372958=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #372959=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #372960=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #372961=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #372962=DIRECTION('',(0.,0.,1.)); #372963=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #372964=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #372965=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #372966=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #372967=DIRECTION('',(0.,0.,1.)); #372968=DIRECTION('center_axis',(-0.980008419561321,-0.198956019232697, 0.)); #372969=DIRECTION('ref_axis',(0.198956019232697,-0.980008419561321,0.)); #372970=DIRECTION('',(0.198956019232697,-0.980008419561321,0.)); #372971=DIRECTION('',(0.198956019232697,-0.980008419561321,0.)); #372972=DIRECTION('',(0.,0.,1.)); #372973=DIRECTION('center_axis',(-1.,0.,0.)); #372974=DIRECTION('ref_axis',(0.,-1.,0.)); #372975=DIRECTION('',(0.,-1.,0.)); #372976=DIRECTION('',(0.,-1.,0.)); #372977=DIRECTION('',(0.,0.,1.)); #372978=DIRECTION('center_axis',(-0.707106781186539,-0.707106781186556, 0.)); #372979=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #372980=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #372981=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #372982=DIRECTION('',(0.,0.,1.)); #372983=DIRECTION('center_axis',(0.,-1.,0.)); #372984=DIRECTION('ref_axis',(1.,0.,0.)); #372985=DIRECTION('',(1.,0.,0.)); #372986=DIRECTION('',(1.,0.,0.)); #372987=DIRECTION('',(0.,0.,1.)); #372988=DIRECTION('center_axis',(0.707106781186539,-0.707106781186556,0.)); #372989=DIRECTION('ref_axis',(0.707106781186556,0.707106781186539,0.)); #372990=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #372991=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #372992=DIRECTION('',(0.,0.,1.)); #372993=DIRECTION('center_axis',(1.,0.,0.)); #372994=DIRECTION('ref_axis',(0.,1.,0.)); #372995=DIRECTION('',(0.,1.,0.)); #372996=DIRECTION('',(0.,1.,0.)); #372997=DIRECTION('',(0.,0.,1.)); #372998=DIRECTION('center_axis',(0.707106781186539,0.707106781186556,0.)); #372999=DIRECTION('ref_axis',(-0.707106781186556,0.707106781186539,0.)); #373000=DIRECTION('',(-0.707106781186556,0.707106781186539,0.)); #373001=DIRECTION('',(-0.707106781186556,0.707106781186539,0.)); #373002=DIRECTION('',(0.,0.,1.)); #373003=DIRECTION('center_axis',(0.,1.,0.)); #373004=DIRECTION('ref_axis',(-1.,0.,0.)); #373005=DIRECTION('',(-1.,0.,0.)); #373006=DIRECTION('',(-1.,0.,0.)); #373007=DIRECTION('',(0.,0.,1.)); #373008=DIRECTION('center_axis',(0.191149856928843,0.981560865252931,0.)); #373009=DIRECTION('ref_axis',(-0.981560865252931,0.191149856928843,0.)); #373010=DIRECTION('',(-0.981560865252931,0.191149856928843,0.)); #373011=DIRECTION('',(-0.981560865252931,0.191149856928843,0.)); #373012=DIRECTION('',(0.,0.,1.)); #373013=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #373014=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #373015=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #373016=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #373017=DIRECTION('',(0.,0.,1.)); #373018=DIRECTION('center_axis',(0.707106781186552,0.707106781186543,0.)); #373019=DIRECTION('ref_axis',(-0.707106781186543,0.707106781186552,0.)); #373020=DIRECTION('',(-0.707106781186543,0.707106781186552,0.)); #373021=DIRECTION('',(-0.707106781186543,0.707106781186552,0.)); #373022=DIRECTION('',(0.,0.,1.)); #373023=DIRECTION('center_axis',(0.,1.,0.)); #373024=DIRECTION('ref_axis',(-1.,0.,0.)); #373025=DIRECTION('',(-1.,0.,0.)); #373026=DIRECTION('',(-1.,0.,0.)); #373027=DIRECTION('',(0.,0.,1.)); #373028=DIRECTION('center_axis',(0.191149856928872,0.981560865252926,0.)); #373029=DIRECTION('ref_axis',(-0.981560865252926,0.191149856928872,0.)); #373030=DIRECTION('',(-0.981560865252926,0.191149856928872,0.)); #373031=DIRECTION('',(-0.981560865252926,0.191149856928872,0.)); #373032=DIRECTION('',(0.,0.,1.)); #373033=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #373034=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #373035=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #373036=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #373037=DIRECTION('',(0.,0.,1.)); #373038=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #373039=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #373040=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #373041=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #373042=DIRECTION('',(0.,0.,1.)); #373043=DIRECTION('center_axis',(0.,1.,0.)); #373044=DIRECTION('ref_axis',(-1.,0.,0.)); #373045=DIRECTION('',(-1.,0.,0.)); #373046=DIRECTION('',(-1.,0.,0.)); #373047=DIRECTION('',(0.,0.,1.)); #373048=DIRECTION('center_axis',(0.183305290257339,0.983056036329401,0.)); #373049=DIRECTION('ref_axis',(-0.983056036329401,0.183305290257339,0.)); #373050=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #373051=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #373052=DIRECTION('',(0.,0.,1.)); #373053=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #373054=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #373055=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #373056=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #373057=DIRECTION('',(0.,0.,1.)); #373058=DIRECTION('center_axis',(0.824745549033943,0.565504004714997,0.)); #373059=DIRECTION('ref_axis',(-0.565504004714997,0.824745549033943,0.)); #373060=DIRECTION('',(-0.565504004714997,0.824745549033943,0.)); #373061=DIRECTION('',(-0.565504004714997,0.824745549033943,0.)); #373062=DIRECTION('',(0.,0.,1.)); #373063=DIRECTION('center_axis',(0.98000841956135,0.198956019232557,0.)); #373064=DIRECTION('ref_axis',(-0.198956019232557,0.98000841956135,0.)); #373065=DIRECTION('',(-0.198956019232557,0.98000841956135,0.)); #373066=DIRECTION('',(-0.198956019232557,0.98000841956135,0.)); #373067=DIRECTION('',(0.,0.,1.)); #373068=DIRECTION('center_axis',(1.,0.,0.)); #373069=DIRECTION('ref_axis',(0.,1.,0.)); #373070=DIRECTION('',(0.,1.,0.)); #373071=DIRECTION('',(0.,1.,0.)); #373072=DIRECTION('',(0.,0.,1.)); #373073=DIRECTION('center_axis',(0.994378507470112,0.105883822567536,0.)); #373074=DIRECTION('ref_axis',(-0.105883822567536,0.994378507470111,0.)); #373075=DIRECTION('',(-0.105883822567536,0.994378507470111,0.)); #373076=DIRECTION('',(-0.105883822567536,0.994378507470111,0.)); #373077=DIRECTION('',(0.,0.,1.)); #373078=DIRECTION('center_axis',(0.949774679810776,0.312934589955054,0.)); #373079=DIRECTION('ref_axis',(-0.312934589955054,0.949774679810776,0.)); #373080=DIRECTION('',(-0.312934589955054,0.949774679810776,0.)); #373081=DIRECTION('',(-0.312934589955054,0.949774679810776,0.)); #373082=DIRECTION('',(0.,0.,1.)); #373083=DIRECTION('center_axis',(0.86247058544051,0.506107191462346,0.)); #373084=DIRECTION('ref_axis',(-0.506107191462346,0.86247058544051,0.)); #373085=DIRECTION('',(-0.506107191462346,0.86247058544051,0.)); #373086=DIRECTION('',(-0.506107191462346,0.86247058544051,0.)); #373087=DIRECTION('',(0.,0.,1.)); #373088=DIRECTION('center_axis',(0.75777348520638,0.652517697168572,0.)); #373089=DIRECTION('ref_axis',(-0.652517697168572,0.75777348520638,0.)); #373090=DIRECTION('',(-0.652517697168572,0.75777348520638,0.)); #373091=DIRECTION('',(-0.652517697168572,0.75777348520638,0.)); #373092=DIRECTION('',(0.,0.,1.)); #373093=DIRECTION('center_axis',(0.707106424143148,0.707107138229766,0.)); #373094=DIRECTION('ref_axis',(-0.707107138229766,0.707106424143148,0.)); #373095=DIRECTION('',(-0.707107138229766,0.707106424143148,0.)); #373096=DIRECTION('',(-0.707107138229766,0.707106424143148,0.)); #373097=DIRECTION('',(0.,0.,1.)); #373098=DIRECTION('center_axis',(0.628199078070024,0.778052644948895,0.)); #373099=DIRECTION('ref_axis',(-0.778052644948895,0.628199078070024,0.)); #373100=DIRECTION('',(-0.778052644948895,0.628199078070024,0.)); #373101=DIRECTION('',(-0.778052644948895,0.628199078070024,0.)); #373102=DIRECTION('',(0.,0.,1.)); #373103=DIRECTION('center_axis',(0.450178111979777,0.892938781493067,0.)); #373104=DIRECTION('ref_axis',(-0.892938781493067,0.450178111979777,0.)); #373105=DIRECTION('',(-0.892938781493067,0.450178111979777,0.)); #373106=DIRECTION('',(-0.892938781493067,0.450178111979777,0.)); #373107=DIRECTION('',(0.,0.,1.)); #373108=DIRECTION('center_axis',(0.252269987846792,0.967656888174615,0.)); #373109=DIRECTION('ref_axis',(-0.967656888174615,0.252269987846792,0.)); #373110=DIRECTION('',(-0.967656888174615,0.252269987846792,0.)); #373111=DIRECTION('',(-0.967656888174615,0.252269987846792,0.)); #373112=DIRECTION('',(0.,0.,1.)); #373113=DIRECTION('center_axis',(0.0742468828476369,0.997239891093116,0.)); #373114=DIRECTION('ref_axis',(-0.997239891093116,0.0742468828476369,0.)); #373115=DIRECTION('',(-0.997239891093116,0.0742468828476369,0.)); #373116=DIRECTION('',(-0.997239891093116,0.0742468828476369,0.)); #373117=DIRECTION('',(0.,0.,1.)); #373118=DIRECTION('center_axis',(0.,1.,0.)); #373119=DIRECTION('ref_axis',(-1.,0.,0.)); #373120=DIRECTION('',(-1.,0.,0.)); #373121=DIRECTION('',(-1.,0.,0.)); #373122=DIRECTION('',(0.,0.,1.)); #373123=DIRECTION('center_axis',(0.183301831720523,0.983056681218281,0.)); #373124=DIRECTION('ref_axis',(-0.983056681218281,0.183301831720523,0.)); #373125=DIRECTION('',(-0.983056681218281,0.183301831720523,0.)); #373126=DIRECTION('',(-0.983056681218281,0.183301831720523,0.)); #373127=DIRECTION('',(0.,0.,1.)); #373128=DIRECTION('center_axis',(0.538904447640657,0.842366901245009,0.)); #373129=DIRECTION('ref_axis',(-0.842366901245009,0.538904447640657,0.)); #373130=DIRECTION('',(-0.842366901245009,0.538904447640657,0.)); #373131=DIRECTION('',(-0.842366901245009,0.538904447640657,0.)); #373132=DIRECTION('',(0.,0.,1.)); #373133=DIRECTION('center_axis',(0.824750821382105,0.565496315310316,0.)); #373134=DIRECTION('ref_axis',(-0.565496315310316,0.824750821382105,0.)); #373135=DIRECTION('',(-0.565496315310316,0.824750821382105,0.)); #373136=DIRECTION('',(-0.565496315310316,0.824750821382105,0.)); #373137=DIRECTION('',(0.,0.,1.)); #373138=DIRECTION('center_axis',(0.980008289044031,0.19895666212769,0.)); #373139=DIRECTION('ref_axis',(-0.19895666212769,0.980008289044031,0.)); #373140=DIRECTION('',(-0.19895666212769,0.980008289044031,0.)); #373141=DIRECTION('',(-0.19895666212769,0.980008289044031,0.)); #373142=DIRECTION('',(0.,0.,1.)); #373143=DIRECTION('center_axis',(1.,0.,0.)); #373144=DIRECTION('ref_axis',(0.,1.,0.)); #373145=DIRECTION('',(0.,1.,0.)); #373146=DIRECTION('',(0.,1.,0.)); #373147=DIRECTION('',(0.,0.,1.)); #373148=DIRECTION('center_axis',(0.983055675573396,-0.183307224961088,0.)); #373149=DIRECTION('ref_axis',(0.183307224961088,0.983055675573396,0.)); #373150=DIRECTION('',(0.183307224961088,0.983055675573396,0.)); #373151=DIRECTION('',(0.183307224961088,0.983055675573396,0.)); #373152=DIRECTION('',(0.,0.,1.)); #373153=DIRECTION('center_axis',(0.842361453103755,-0.538912963589605,0.)); #373154=DIRECTION('ref_axis',(0.538912963589605,0.842361453103755,0.)); #373155=DIRECTION('',(0.538912963589605,0.842361453103755,0.)); #373156=DIRECTION('',(0.538912963589605,0.842361453103755,0.)); #373157=DIRECTION('',(0.,0.,1.)); #373158=DIRECTION('center_axis',(0.565504004715056,-0.824745549033903,0.)); #373159=DIRECTION('ref_axis',(0.824745549033903,0.565504004715056,0.)); #373160=DIRECTION('',(0.824745549033903,0.565504004715056,0.)); #373161=DIRECTION('',(0.824745549033903,0.565504004715056,0.)); #373162=DIRECTION('',(0.,0.,1.)); #373163=DIRECTION('center_axis',(0.198968686113119,-0.980005847914398,0.)); #373164=DIRECTION('ref_axis',(0.980005847914398,0.198968686113119,0.)); #373165=DIRECTION('',(0.980005847914398,0.198968686113119,0.)); #373166=DIRECTION('',(0.980005847914398,0.198968686113119,0.)); #373167=DIRECTION('',(0.,0.,1.)); #373168=DIRECTION('center_axis',(0.,-1.,0.)); #373169=DIRECTION('ref_axis',(1.,0.,0.)); #373170=DIRECTION('',(1.,0.,0.)); #373171=DIRECTION('',(1.,0.,0.)); #373172=DIRECTION('',(0.,0.,1.)); #373173=DIRECTION('center_axis',(0.38268403024089,-0.923879284862795,0.)); #373174=DIRECTION('ref_axis',(0.923879284862795,0.38268403024089,0.)); #373175=DIRECTION('',(0.923879284862795,0.38268403024089,0.)); #373176=DIRECTION('',(0.923879284862795,0.38268403024089,0.)); #373177=DIRECTION('',(0.,0.,1.)); #373178=DIRECTION('center_axis',(0.707105976813804,-0.707107585558376,0.)); #373179=DIRECTION('ref_axis',(0.707107585558376,0.707105976813804,0.)); #373180=DIRECTION('',(0.707107585558376,0.707105976813804,0.)); #373181=DIRECTION('',(0.707107585558376,0.707105976813804,0.)); #373182=DIRECTION('',(0.,0.,1.)); #373183=DIRECTION('center_axis',(0.923879579359894,-0.382683319262528,0.)); #373184=DIRECTION('ref_axis',(0.382683319262528,0.923879579359894,0.)); #373185=DIRECTION('',(0.382683319262528,0.923879579359894,0.)); #373186=DIRECTION('',(0.382683319262528,0.923879579359894,0.)); #373187=DIRECTION('',(0.,0.,1.)); #373188=DIRECTION('center_axis',(1.,0.,0.)); #373189=DIRECTION('ref_axis',(0.,1.,0.)); #373190=DIRECTION('',(0.,1.,0.)); #373191=DIRECTION('',(0.,1.,0.)); #373192=DIRECTION('',(0.,0.,1.)); #373193=DIRECTION('center_axis',(0.923879579359894,0.382683319262528,0.)); #373194=DIRECTION('ref_axis',(-0.382683319262528,0.923879579359894,0.)); #373195=DIRECTION('',(-0.382683319262528,0.923879579359894,0.)); #373196=DIRECTION('',(-0.382683319262528,0.923879579359894,0.)); #373197=DIRECTION('',(0.,0.,1.)); #373198=DIRECTION('center_axis',(0.707105976813804,0.707107585558376,0.)); #373199=DIRECTION('ref_axis',(-0.707107585558376,0.707105976813804,0.)); #373200=DIRECTION('',(-0.707107585558376,0.707105976813804,0.)); #373201=DIRECTION('',(-0.707107585558376,0.707105976813804,0.)); #373202=DIRECTION('',(0.,0.,1.)); #373203=DIRECTION('center_axis',(0.382684030240894,0.923879284862793,0.)); #373204=DIRECTION('ref_axis',(-0.923879284862793,0.382684030240894,0.)); #373205=DIRECTION('',(-0.923879284862793,0.382684030240894,0.)); #373206=DIRECTION('',(-0.923879284862793,0.382684030240894,0.)); #373207=DIRECTION('',(0.,0.,1.)); #373208=DIRECTION('center_axis',(0.,1.,0.)); #373209=DIRECTION('ref_axis',(-1.,0.,0.)); #373210=DIRECTION('',(-1.,0.,0.)); #373211=DIRECTION('',(-1.,0.,0.)); #373212=DIRECTION('',(0.,0.,1.)); #373213=DIRECTION('center_axis',(-0.382676531743422,0.923882390812178,0.)); #373214=DIRECTION('ref_axis',(-0.923882390812178,-0.382676531743422,0.)); #373215=DIRECTION('',(-0.923882390812178,-0.382676531743422,0.)); #373216=DIRECTION('',(-0.923882390812178,-0.382676531743422,0.)); #373217=DIRECTION('',(0.,0.,1.)); #373218=DIRECTION('center_axis',(-0.202910802099752,0.97919722548179,0.)); #373219=DIRECTION('ref_axis',(-0.97919722548179,-0.202910802099752,0.)); #373220=DIRECTION('',(-0.97919722548179,-0.202910802099752,0.)); #373221=DIRECTION('',(-0.97919722548179,-0.202910802099752,0.)); #373222=DIRECTION('',(0.,0.,1.)); #373223=DIRECTION('center_axis',(0.183315699120884,0.983054095386323,0.)); #373224=DIRECTION('ref_axis',(-0.983054095386323,0.183315699120884,0.)); #373225=DIRECTION('',(-0.983054095386323,0.183315699120884,0.)); #373226=DIRECTION('',(-0.983054095386323,0.183315699120884,0.)); #373227=DIRECTION('',(0.,0.,1.)); #373228=DIRECTION('center_axis',(0.552278225391868,0.833659859749772,0.)); #373229=DIRECTION('ref_axis',(-0.833659859749772,0.552278225391868,0.)); #373230=DIRECTION('',(-0.833659859749772,0.552278225391868,0.)); #373231=DIRECTION('',(-0.833659859749772,0.552278225391868,0.)); #373232=DIRECTION('',(0.,0.,1.)); #373233=DIRECTION('center_axis',(0.707106625394695,0.707106936978365,0.)); #373234=DIRECTION('ref_axis',(-0.707106936978365,0.707106625394695,0.)); #373235=DIRECTION('',(-0.707106936978365,0.707106625394695,0.)); #373236=DIRECTION('',(-0.707106936978365,0.707106625394695,0.)); #373237=DIRECTION('',(0.,0.,1.)); #373238=DIRECTION('center_axis',(0.628199078069939,0.778052644948964,0.)); #373239=DIRECTION('ref_axis',(-0.778052644948964,0.628199078069939,0.)); #373240=DIRECTION('',(-0.778052644948964,0.628199078069939,0.)); #373241=DIRECTION('',(-0.778052644948964,0.628199078069939,0.)); #373242=DIRECTION('',(0.,0.,1.)); #373243=DIRECTION('center_axis',(0.450178111979881,0.892938781493015,0.)); #373244=DIRECTION('ref_axis',(-0.892938781493015,0.450178111979881,0.)); #373245=DIRECTION('',(-0.892938781493015,0.450178111979881,0.)); #373246=DIRECTION('',(-0.892938781493015,0.450178111979881,0.)); #373247=DIRECTION('',(0.,0.,1.)); #373248=DIRECTION('center_axis',(0.252269987846769,0.967656888174621,0.)); #373249=DIRECTION('ref_axis',(-0.967656888174621,0.252269987846769,0.)); #373250=DIRECTION('',(-0.967656888174621,0.252269987846769,0.)); #373251=DIRECTION('',(-0.967656888174621,0.252269987846769,0.)); #373252=DIRECTION('',(0.,0.,1.)); #373253=DIRECTION('center_axis',(0.0742468828476173,0.997239891093117,0.)); #373254=DIRECTION('ref_axis',(-0.997239891093117,0.0742468828476173,0.)); #373255=DIRECTION('',(-0.997239891093117,0.0742468828476173,0.)); #373256=DIRECTION('',(-0.997239891093117,0.0742468828476173,0.)); #373257=DIRECTION('center_axis',(0.,1.,0.)); #373258=DIRECTION('ref_axis',(-1.,0.,0.)); #373259=DIRECTION('',(-1.,0.,0.)); #373260=DIRECTION('',(0.,0.,1.)); #373261=DIRECTION('',(-1.,0.,0.)); #373262=DIRECTION('',(0.,0.,1.)); #373263=DIRECTION('center_axis',(-0.707104327390292,0.707109234974288,0.)); #373264=DIRECTION('ref_axis',(-0.707109234974288,-0.707104327390292,0.)); #373265=DIRECTION('',(-0.707109234974288,-0.707104327390292,0.)); #373266=DIRECTION('',(-0.707109234974288,-0.707104327390292,0.)); #373267=DIRECTION('',(0.,0.,1.)); #373268=DIRECTION('center_axis',(-0.565439331101256,0.824789890119759,0.)); #373269=DIRECTION('ref_axis',(-0.824789890119759,-0.565439331101256,0.)); #373270=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #373271=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #373272=DIRECTION('',(0.,0.,1.)); #373273=DIRECTION('center_axis',(-0.214674314516719,0.976685690837527,0.)); #373274=DIRECTION('ref_axis',(-0.976685690837527,-0.214674314516719,0.)); #373275=DIRECTION('',(-0.976685690837527,-0.214674314516719,0.)); #373276=DIRECTION('',(-0.976685690837527,-0.214674314516719,0.)); #373277=DIRECTION('',(0.,0.,1.)); #373278=DIRECTION('center_axis',(0.183334818573531,0.983050529880642,0.)); #373279=DIRECTION('ref_axis',(-0.983050529880642,0.183334818573531,0.)); #373280=DIRECTION('',(-0.983050529880642,0.183334818573531,0.)); #373281=DIRECTION('',(-0.983050529880642,0.183334818573531,0.)); #373282=DIRECTION('',(0.,0.,1.)); #373283=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #373284=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #373285=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #373286=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #373287=DIRECTION('',(0.,0.,1.)); #373288=DIRECTION('center_axis',(0.707104327390303,0.707109234974277,0.)); #373289=DIRECTION('ref_axis',(-0.707109234974277,0.707104327390303,0.)); #373290=DIRECTION('',(-0.707109234974277,0.707104327390303,0.)); #373291=DIRECTION('',(-0.707109234974277,0.707104327390303,0.)); #373292=DIRECTION('',(0.,0.,1.)); #373293=DIRECTION('center_axis',(0.,1.,0.)); #373294=DIRECTION('ref_axis',(-1.,0.,0.)); #373295=DIRECTION('',(-1.,0.,0.)); #373296=DIRECTION('',(-1.,0.,0.)); #373297=DIRECTION('',(0.,0.,1.)); #373298=DIRECTION('center_axis',(-0.707104327390292,0.707109234974288,0.)); #373299=DIRECTION('ref_axis',(-0.707109234974288,-0.707104327390292,0.)); #373300=DIRECTION('',(-0.707109234974288,-0.707104327390292,0.)); #373301=DIRECTION('',(-0.707109234974288,-0.707104327390292,0.)); #373302=DIRECTION('',(0.,0.,1.)); #373303=DIRECTION('center_axis',(-0.565439331101256,0.824789890119759,0.)); #373304=DIRECTION('ref_axis',(-0.824789890119759,-0.565439331101256,0.)); #373305=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #373306=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #373307=DIRECTION('',(0.,0.,1.)); #373308=DIRECTION('center_axis',(-0.21467431451675,0.97668569083752,0.)); #373309=DIRECTION('ref_axis',(-0.97668569083752,-0.21467431451675,0.)); #373310=DIRECTION('',(-0.97668569083752,-0.21467431451675,0.)); #373311=DIRECTION('',(-0.97668569083752,-0.21467431451675,0.)); #373312=DIRECTION('',(0.,0.,1.)); #373313=DIRECTION('center_axis',(0.183334818573505,0.983050529880647,0.)); #373314=DIRECTION('ref_axis',(-0.983050529880647,0.183334818573505,0.)); #373315=DIRECTION('',(-0.983050529880647,0.183334818573505,0.)); #373316=DIRECTION('',(-0.983050529880647,0.183334818573505,0.)); #373317=DIRECTION('',(0.,0.,1.)); #373318=DIRECTION('center_axis',(0.552278225392063,0.833659859749643,0.)); #373319=DIRECTION('ref_axis',(-0.833659859749643,0.552278225392063,0.)); #373320=DIRECTION('',(-0.833659859749643,0.552278225392063,0.)); #373321=DIRECTION('',(-0.833659859749643,0.552278225392063,0.)); #373322=DIRECTION('',(0.,0.,1.)); #373323=DIRECTION('center_axis',(0.707104327390292,0.707109234974288,0.)); #373324=DIRECTION('ref_axis',(-0.707109234974288,0.707104327390292,0.)); #373325=DIRECTION('',(-0.707109234974288,0.707104327390292,0.)); #373326=DIRECTION('',(-0.707109234974288,0.707104327390292,0.)); #373327=DIRECTION('',(0.,0.,1.)); #373328=DIRECTION('center_axis',(0.,1.,0.)); #373329=DIRECTION('ref_axis',(-1.,0.,0.)); #373330=DIRECTION('',(-1.,0.,0.)); #373331=DIRECTION('',(-1.,0.,0.)); #373332=DIRECTION('',(0.,0.,1.)); #373333=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #373334=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #373335=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #373336=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #373337=DIRECTION('',(0.,0.,1.)); #373338=DIRECTION('center_axis',(-1.,0.,0.)); #373339=DIRECTION('ref_axis',(0.,-1.,0.)); #373340=DIRECTION('',(0.,-1.,0.)); #373341=DIRECTION('',(0.,-1.,0.)); #373342=DIRECTION('',(0.,0.,1.)); #373343=DIRECTION('center_axis',(-0.707108784529064,-0.707104777838355, 0.)); #373344=DIRECTION('ref_axis',(0.707104777838355,-0.707108784529064,0.)); #373345=DIRECTION('',(0.707104777838355,-0.707108784529064,0.)); #373346=DIRECTION('',(0.707104777838355,-0.707108784529064,0.)); #373347=DIRECTION('',(0.,0.,1.)); #373348=DIRECTION('center_axis',(-0.829203833807437,-0.558946331948828, 0.)); #373349=DIRECTION('ref_axis',(0.558946331948828,-0.829203833807437,0.)); #373350=DIRECTION('',(0.558946331948828,-0.829203833807437,0.)); #373351=DIRECTION('',(0.558946331948828,-0.829203833807437,0.)); #373352=DIRECTION('',(0.,0.,1.)); #373353=DIRECTION('center_axis',(-0.980008419561313,-0.19895601923274,0.)); #373354=DIRECTION('ref_axis',(0.19895601923274,-0.980008419561313,0.)); #373355=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #373356=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #373357=DIRECTION('',(0.,0.,1.)); #373358=DIRECTION('center_axis',(-1.,0.,0.)); #373359=DIRECTION('ref_axis',(0.,-1.,0.)); #373360=DIRECTION('',(0.,-1.,0.)); #373361=DIRECTION('',(0.,-1.,0.)); #373362=DIRECTION('',(0.,0.,1.)); #373363=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #373364=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #373365=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #373366=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #373367=DIRECTION('',(0.,0.,1.)); #373368=DIRECTION('center_axis',(-0.829203833807341,-0.558946331948969, 0.)); #373369=DIRECTION('ref_axis',(0.558946331948969,-0.829203833807341,0.)); #373370=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #373371=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #373372=DIRECTION('',(0.,0.,1.)); #373373=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #373374=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #373375=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #373376=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #373377=DIRECTION('',(0.,0.,1.)); #373378=DIRECTION('center_axis',(-1.,0.,0.)); #373379=DIRECTION('ref_axis',(0.,-1.,0.)); #373380=DIRECTION('',(0.,-1.,0.)); #373381=DIRECTION('',(0.,-1.,0.)); #373382=DIRECTION('',(0.,0.,1.)); #373383=DIRECTION('center_axis',(-0.981560865252906,0.191149856928974,0.)); #373384=DIRECTION('ref_axis',(-0.191149856928974,-0.981560865252906,0.)); #373385=DIRECTION('',(-0.191149856928974,-0.981560865252906,0.)); #373386=DIRECTION('',(-0.191149856928974,-0.981560865252906,0.)); #373387=DIRECTION('',(0.,0.,1.)); #373388=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #373389=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #373390=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #373391=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #373392=DIRECTION('',(0.,0.,1.)); #373393=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #373394=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #373395=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #373396=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #373397=DIRECTION('',(0.,0.,1.)); #373398=DIRECTION('center_axis',(-0.558946331949144,0.829203833807223,0.)); #373399=DIRECTION('ref_axis',(-0.829203833807223,-0.558946331949144,0.)); #373400=DIRECTION('',(-0.829203833807223,-0.558946331949144,0.)); #373401=DIRECTION('',(-0.829203833807223,-0.558946331949144,0.)); #373402=DIRECTION('',(0.,0.,1.)); #373403=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #373404=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #373405=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #373406=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #373407=DIRECTION('',(0.,0.,1.)); #373408=DIRECTION('center_axis',(0.,1.,0.)); #373409=DIRECTION('ref_axis',(-1.,0.,0.)); #373410=DIRECTION('',(-1.,0.,0.)); #373411=DIRECTION('',(-1.,0.,0.)); #373412=DIRECTION('',(0.,0.,1.)); #373413=DIRECTION('center_axis',(-0.707106781186543,0.707106781186552,0.)); #373414=DIRECTION('ref_axis',(-0.707106781186552,-0.707106781186543,0.)); #373415=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #373416=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #373417=DIRECTION('',(0.,0.,1.)); #373418=DIRECTION('center_axis',(-0.558946331948935,0.829203833807364,0.)); #373419=DIRECTION('ref_axis',(-0.829203833807364,-0.558946331948935,0.)); #373420=DIRECTION('',(-0.829203833807364,-0.558946331948935,0.)); #373421=DIRECTION('',(-0.829203833807364,-0.558946331948935,0.)); #373422=DIRECTION('',(0.,0.,1.)); #373423=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #373424=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #373425=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #373426=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #373427=DIRECTION('',(0.,0.,1.)); #373428=DIRECTION('center_axis',(0.,1.,0.)); #373429=DIRECTION('ref_axis',(-1.,0.,0.)); #373430=DIRECTION('',(-1.,0.,0.)); #373431=DIRECTION('',(-1.,0.,0.)); #373432=DIRECTION('',(0.,0.,1.)); #373433=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #373434=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #373435=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #373436=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #373437=DIRECTION('',(0.,0.,1.)); #373438=DIRECTION('center_axis',(-1.,0.,0.)); #373439=DIRECTION('ref_axis',(0.,-1.,0.)); #373440=DIRECTION('',(0.,-1.,0.)); #373441=DIRECTION('',(0.,-1.,0.)); #373442=DIRECTION('',(0.,0.,1.)); #373443=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #373444=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #373445=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #373446=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #373447=DIRECTION('',(0.,0.,1.)); #373448=DIRECTION('center_axis',(0.,-1.,0.)); #373449=DIRECTION('ref_axis',(1.,0.,0.)); #373450=DIRECTION('',(1.,0.,0.)); #373451=DIRECTION('',(1.,0.,0.)); #373452=DIRECTION('',(0.,0.,1.)); #373453=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #373454=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #373455=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #373456=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #373457=DIRECTION('',(0.,0.,1.)); #373458=DIRECTION('center_axis',(1.,0.,0.)); #373459=DIRECTION('ref_axis',(0.,1.,0.)); #373460=DIRECTION('',(0.,1.,0.)); #373461=DIRECTION('',(0.,1.,0.)); #373462=DIRECTION('',(0.,0.,1.)); #373463=DIRECTION('center_axis',(0.981560865252906,-0.191149856928974,0.)); #373464=DIRECTION('ref_axis',(0.191149856928974,0.981560865252906,0.)); #373465=DIRECTION('',(0.191149856928974,0.981560865252906,0.)); #373466=DIRECTION('',(0.191149856928974,0.981560865252906,0.)); #373467=DIRECTION('',(0.,0.,1.)); #373468=DIRECTION('center_axis',(0.83365985974989,-0.55227822539169,0.)); #373469=DIRECTION('ref_axis',(0.55227822539169,0.83365985974989,0.)); #373470=DIRECTION('',(0.55227822539169,0.83365985974989,0.)); #373471=DIRECTION('',(0.55227822539169,0.83365985974989,0.)); #373472=DIRECTION('',(0.,0.,1.)); #373473=DIRECTION('center_axis',(0.707106781186534,-0.707106781186561,0.)); #373474=DIRECTION('ref_axis',(0.707106781186561,0.707106781186534,0.)); #373475=DIRECTION('',(0.707106781186561,0.707106781186534,0.)); #373476=DIRECTION('',(0.707106781186561,0.707106781186534,0.)); #373477=DIRECTION('',(0.,0.,1.)); #373478=DIRECTION('center_axis',(0.558946331949074,-0.829203833807271,0.)); #373479=DIRECTION('ref_axis',(0.829203833807271,0.558946331949074,0.)); #373480=DIRECTION('',(0.829203833807271,0.558946331949074,0.)); #373481=DIRECTION('',(0.829203833807271,0.558946331949074,0.)); #373482=DIRECTION('',(0.,0.,1.)); #373483=DIRECTION('center_axis',(0.19895601923243,-0.980008419561376,0.)); #373484=DIRECTION('ref_axis',(0.980008419561375,0.19895601923243,0.)); #373485=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #373486=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #373487=DIRECTION('',(0.,0.,1.)); #373488=DIRECTION('center_axis',(0.,-1.,0.)); #373489=DIRECTION('ref_axis',(1.,0.,0.)); #373490=DIRECTION('',(1.,0.,0.)); #373491=DIRECTION('',(1.,0.,0.)); #373492=DIRECTION('',(0.,0.,1.)); #373493=DIRECTION('center_axis',(-0.18330529025708,-0.983056036329449,0.)); #373494=DIRECTION('ref_axis',(0.983056036329449,-0.18330529025708,0.)); #373495=DIRECTION('',(0.983056036329449,-0.18330529025708,0.)); #373496=DIRECTION('',(0.983056036329449,-0.18330529025708,0.)); #373497=DIRECTION('',(0.,0.,1.)); #373498=DIRECTION('center_axis',(-0.538911466746188,-0.842362410729178, 0.)); #373499=DIRECTION('ref_axis',(0.842362410729178,-0.538911466746188,0.)); #373500=DIRECTION('',(0.842362410729178,-0.538911466746188,0.)); #373501=DIRECTION('',(0.842362410729178,-0.538911466746188,0.)); #373502=DIRECTION('',(0.,0.,1.)); #373503=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #373504=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #373505=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #373506=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #373507=DIRECTION('',(0.,0.,1.)); #373508=DIRECTION('center_axis',(-0.980008419561341,-0.1989560192326,0.)); #373509=DIRECTION('ref_axis',(0.1989560192326,-0.980008419561341,0.)); #373510=DIRECTION('',(0.198956019232599,-0.980008419561341,0.)); #373511=DIRECTION('',(0.198956019232599,-0.980008419561341,0.)); #373512=DIRECTION('',(0.,0.,1.)); #373513=DIRECTION('center_axis',(-1.,0.,0.)); #373514=DIRECTION('ref_axis',(0.,-1.,0.)); #373515=DIRECTION('',(0.,-1.,0.)); #373516=DIRECTION('',(0.,-1.,0.)); #373517=DIRECTION('',(0.,0.,1.)); #373518=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #373519=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #373520=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #373521=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #373522=DIRECTION('',(0.,0.,1.)); #373523=DIRECTION('center_axis',(0.,-1.,0.)); #373524=DIRECTION('ref_axis',(1.,0.,0.)); #373525=DIRECTION('',(1.,0.,0.)); #373526=DIRECTION('',(1.,0.,0.)); #373527=DIRECTION('',(0.,0.,1.)); #373528=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #373529=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #373530=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #373531=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #373532=DIRECTION('',(0.,0.,1.)); #373533=DIRECTION('center_axis',(1.,0.,0.)); #373534=DIRECTION('ref_axis',(0.,1.,0.)); #373535=DIRECTION('',(0.,1.,0.)); #373536=DIRECTION('',(0.,1.,0.)); #373537=DIRECTION('',(0.,0.,1.)); #373538=DIRECTION('center_axis',(0.984479063846077,-0.17550205938607,0.)); #373539=DIRECTION('ref_axis',(0.17550205938607,0.984479063846077,0.)); #373540=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #373541=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #373542=DIRECTION('',(0.,0.,1.)); #373543=DIRECTION('center_axis',(0.850871695642093,-0.525373540973609,0.)); #373544=DIRECTION('ref_axis',(0.525373540973609,0.850871695642093,0.)); #373545=DIRECTION('',(0.525373540973609,0.850871695642093,0.)); #373546=DIRECTION('',(0.525373540973609,0.850871695642093,0.)); #373547=DIRECTION('',(0.,0.,1.)); #373548=DIRECTION('center_axis',(0.578483828224917,-0.815693852178772,0.)); #373549=DIRECTION('ref_axis',(0.815693852178772,0.578483828224917,0.)); #373550=DIRECTION('',(0.815693852178772,0.578483828224917,0.)); #373551=DIRECTION('',(0.815693852178772,0.578483828224917,0.)); #373552=DIRECTION('',(0.,0.,1.)); #373553=DIRECTION('center_axis',(0.214642857802347,-0.976692604453644,0.)); #373554=DIRECTION('ref_axis',(0.976692604453643,0.214642857802347,0.)); #373555=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #373556=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #373557=DIRECTION('',(0.,0.,1.)); #373558=DIRECTION('center_axis',(-0.183302952703531,-0.983056472197893, 0.)); #373559=DIRECTION('ref_axis',(0.983056472197893,-0.183302952703531,0.)); #373560=DIRECTION('',(0.983056472197893,-0.183302952703531,0.)); #373561=DIRECTION('',(0.983056472197893,-0.183302952703531,0.)); #373562=DIRECTION('',(0.,0.,1.)); #373563=DIRECTION('center_axis',(-0.552278225391995,-0.833659859749687, 0.)); #373564=DIRECTION('ref_axis',(0.833659859749687,-0.552278225391995,0.)); #373565=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #373566=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #373567=DIRECTION('',(0.,0.,1.)); #373568=DIRECTION('center_axis',(-0.707106781186541,-0.707106781186554, 0.)); #373569=DIRECTION('ref_axis',(0.707106781186554,-0.707106781186541,0.)); #373570=DIRECTION('',(0.707106781186554,-0.707106781186541,0.)); #373571=DIRECTION('',(0.707106781186554,-0.707106781186541,0.)); #373572=DIRECTION('',(0.,0.,1.)); #373573=DIRECTION('center_axis',(-0.829203833807341,-0.558946331948969, 0.)); #373574=DIRECTION('ref_axis',(0.558946331948969,-0.829203833807341,0.)); #373575=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #373576=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #373577=DIRECTION('',(0.,0.,1.)); #373578=DIRECTION('center_axis',(-0.980008419561341,-0.1989560192326,0.)); #373579=DIRECTION('ref_axis',(0.1989560192326,-0.980008419561341,0.)); #373580=DIRECTION('',(0.198956019232599,-0.980008419561341,0.)); #373581=DIRECTION('',(0.198956019232599,-0.980008419561341,0.)); #373582=DIRECTION('',(0.,0.,1.)); #373583=DIRECTION('center_axis',(-1.,0.,0.)); #373584=DIRECTION('ref_axis',(0.,-1.,0.)); #373585=DIRECTION('',(0.,-1.,0.)); #373586=DIRECTION('',(0.,-1.,0.)); #373587=DIRECTION('',(0.,0.,1.)); #373588=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #373589=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #373590=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #373591=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #373592=DIRECTION('',(0.,0.,1.)); #373593=DIRECTION('center_axis',(0.,-1.,0.)); #373594=DIRECTION('ref_axis',(1.,0.,0.)); #373595=DIRECTION('',(1.,0.,0.)); #373596=DIRECTION('',(1.,0.,0.)); #373597=DIRECTION('',(0.,0.,1.)); #373598=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #373599=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #373600=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #373601=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #373602=DIRECTION('',(0.,0.,1.)); #373603=DIRECTION('center_axis',(1.,0.,0.)); #373604=DIRECTION('ref_axis',(0.,1.,0.)); #373605=DIRECTION('',(0.,1.,0.)); #373606=DIRECTION('',(0.,1.,0.)); #373607=DIRECTION('',(0.,0.,1.)); #373608=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #373609=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #373610=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #373611=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #373612=DIRECTION('',(0.,0.,1.)); #373613=DIRECTION('center_axis',(0.842362410729311,-0.53891146674598,0.)); #373614=DIRECTION('ref_axis',(0.53891146674598,0.842362410729311,0.)); #373615=DIRECTION('',(0.53891146674598,0.842362410729311,0.)); #373616=DIRECTION('',(0.53891146674598,0.842362410729311,0.)); #373617=DIRECTION('',(0.,0.,1.)); #373618=DIRECTION('center_axis',(0.565504004715094,-0.824745549033877,0.)); #373619=DIRECTION('ref_axis',(0.824745549033877,0.565504004715094,0.)); #373620=DIRECTION('',(0.824745549033877,0.565504004715094,0.)); #373621=DIRECTION('',(0.824745549033877,0.565504004715094,0.)); #373622=DIRECTION('',(0.,0.,1.)); #373623=DIRECTION('center_axis',(0.19895601923243,-0.980008419561376,0.)); #373624=DIRECTION('ref_axis',(0.980008419561375,0.19895601923243,0.)); #373625=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #373626=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #373627=DIRECTION('',(0.,0.,1.)); #373628=DIRECTION('center_axis',(0.,-1.,0.)); #373629=DIRECTION('ref_axis',(1.,0.,0.)); #373630=DIRECTION('',(1.,0.,0.)); #373631=DIRECTION('',(1.,0.,0.)); #373632=DIRECTION('',(0.,0.,1.)); #373633=DIRECTION('center_axis',(-0.183305290257108,-0.983056036329444, 0.)); #373634=DIRECTION('ref_axis',(0.983056036329444,-0.183305290257108,0.)); #373635=DIRECTION('',(0.983056036329444,-0.183305290257108,0.)); #373636=DIRECTION('',(0.983056036329444,-0.183305290257108,0.)); #373637=DIRECTION('',(0.,0.,1.)); #373638=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #373639=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #373640=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #373641=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #373642=DIRECTION('',(0.,0.,1.)); #373643=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #373644=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #373645=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #373646=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #373647=DIRECTION('',(0.,0.,1.)); #373648=DIRECTION('center_axis',(-0.980008419561313,-0.19895601923274,0.)); #373649=DIRECTION('ref_axis',(0.19895601923274,-0.980008419561313,0.)); #373650=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #373651=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #373652=DIRECTION('',(0.,0.,1.)); #373653=DIRECTION('center_axis',(-1.,0.,0.)); #373654=DIRECTION('ref_axis',(0.,-1.,0.)); #373655=DIRECTION('',(0.,-1.,0.)); #373656=DIRECTION('',(0.,-1.,0.)); #373657=DIRECTION('',(0.,0.,1.)); #373658=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #373659=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #373660=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #373661=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #373662=DIRECTION('',(0.,0.,1.)); #373663=DIRECTION('center_axis',(0.,-1.,0.)); #373664=DIRECTION('ref_axis',(1.,0.,0.)); #373665=DIRECTION('',(1.,0.,0.)); #373666=DIRECTION('',(1.,0.,0.)); #373667=DIRECTION('',(0.,0.,1.)); #373668=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #373669=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #373670=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #373671=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #373672=DIRECTION('',(0.,0.,1.)); #373673=DIRECTION('center_axis',(1.,0.,0.)); #373674=DIRECTION('ref_axis',(0.,1.,0.)); #373675=DIRECTION('',(0.,1.,0.)); #373676=DIRECTION('',(0.,1.,0.)); #373677=DIRECTION('',(0.,0.,1.)); #373678=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #373679=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #373680=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #373681=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #373682=DIRECTION('',(0.,0.,1.)); #373683=DIRECTION('center_axis',(0.842362410729244,-0.538911466746084,0.)); #373684=DIRECTION('ref_axis',(0.538911466746084,0.842362410729244,0.)); #373685=DIRECTION('',(0.538911466746084,0.842362410729244,0.)); #373686=DIRECTION('',(0.538911466746084,0.842362410729244,0.)); #373687=DIRECTION('',(0.,0.,1.)); #373688=DIRECTION('center_axis',(0.565504004715162,-0.82474554903383,0.)); #373689=DIRECTION('ref_axis',(0.82474554903383,0.565504004715162,0.)); #373690=DIRECTION('',(0.82474554903383,0.565504004715162,0.)); #373691=DIRECTION('',(0.82474554903383,0.565504004715162,0.)); #373692=DIRECTION('',(0.,0.,1.)); #373693=DIRECTION('center_axis',(0.19895601923243,-0.980008419561376,0.)); #373694=DIRECTION('ref_axis',(0.980008419561375,0.19895601923243,0.)); #373695=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #373696=DIRECTION('',(0.980008419561375,0.19895601923243,0.)); #373697=DIRECTION('',(0.,0.,1.)); #373698=DIRECTION('center_axis',(-0.190847644948435,-0.981619669942303, 0.)); #373699=DIRECTION('ref_axis',(0.981619669942303,-0.190847644948435,0.)); #373700=DIRECTION('',(0.981619669942303,-0.190847644948435,0.)); #373701=DIRECTION('',(0.981619669942303,-0.190847644948435,0.)); #373702=DIRECTION('',(0.,0.,1.)); #373703=DIRECTION('center_axis',(-0.552278225391995,-0.833659859749687, 0.)); #373704=DIRECTION('ref_axis',(0.833659859749687,-0.552278225391995,0.)); #373705=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #373706=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #373707=DIRECTION('',(0.,0.,1.)); #373708=DIRECTION('center_axis',(-0.707106781186541,-0.707106781186554, 0.)); #373709=DIRECTION('ref_axis',(0.707106781186554,-0.707106781186541,0.)); #373710=DIRECTION('',(0.707106781186554,-0.707106781186541,0.)); #373711=DIRECTION('',(0.707106781186554,-0.707106781186541,0.)); #373712=DIRECTION('',(0.,0.,1.)); #373713=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #373714=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #373715=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #373716=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #373717=DIRECTION('',(0.,0.,1.)); #373718=DIRECTION('center_axis',(-0.980008419561313,-0.19895601923274,0.)); #373719=DIRECTION('ref_axis',(0.19895601923274,-0.980008419561313,0.)); #373720=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #373721=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #373722=DIRECTION('',(0.,0.,1.)); #373723=DIRECTION('center_axis',(-1.,0.,0.)); #373724=DIRECTION('ref_axis',(0.,-1.,0.)); #373725=DIRECTION('',(0.,-1.,0.)); #373726=DIRECTION('',(0.,-1.,0.)); #373727=DIRECTION('',(0.,0.,1.)); #373728=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #373729=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #373730=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #373731=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #373732=DIRECTION('',(0.,0.,1.)); #373733=DIRECTION('center_axis',(0.,-1.,0.)); #373734=DIRECTION('ref_axis',(1.,0.,0.)); #373735=DIRECTION('',(1.,0.,0.)); #373736=DIRECTION('',(1.,0.,0.)); #373737=DIRECTION('',(0.,0.,1.)); #373738=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #373739=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #373740=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #373741=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #373742=DIRECTION('',(0.,0.,1.)); #373743=DIRECTION('center_axis',(1.,0.,0.)); #373744=DIRECTION('ref_axis',(0.,1.,0.)); #373745=DIRECTION('',(0.,1.,0.)); #373746=DIRECTION('',(0.,1.,0.)); #373747=DIRECTION('',(0.,0.,1.)); #373748=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #373749=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #373750=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #373751=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #373752=DIRECTION('',(0.,0.,1.)); #373753=DIRECTION('center_axis',(0.,1.,0.)); #373754=DIRECTION('ref_axis',(-1.,0.,0.)); #373755=DIRECTION('',(-1.,0.,0.)); #373756=DIRECTION('',(-1.,0.,0.)); #373757=DIRECTION('',(0.,0.,1.)); #373758=DIRECTION('center_axis',(0.191149856928917,0.981560865252917,0.)); #373759=DIRECTION('ref_axis',(-0.981560865252917,0.191149856928917,0.)); #373760=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #373761=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #373762=DIRECTION('',(0.,0.,1.)); #373763=DIRECTION('center_axis',(0.552278225391859,0.833659859749778,0.)); #373764=DIRECTION('ref_axis',(-0.833659859749778,0.552278225391859,0.)); #373765=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #373766=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #373767=DIRECTION('',(0.,0.,1.)); #373768=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #373769=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #373770=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #373771=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #373772=DIRECTION('',(0.,0.,1.)); #373773=DIRECTION('center_axis',(0.,1.,0.)); #373774=DIRECTION('ref_axis',(-1.,0.,0.)); #373775=DIRECTION('',(-1.,0.,0.)); #373776=DIRECTION('',(-1.,0.,0.)); #373777=DIRECTION('',(0.,0.,1.)); #373778=DIRECTION('center_axis',(0.191149856928945,0.981560865252911,0.)); #373779=DIRECTION('ref_axis',(-0.981560865252911,0.191149856928945,0.)); #373780=DIRECTION('',(-0.981560865252911,0.191149856928945,0.)); #373781=DIRECTION('',(-0.981560865252911,0.191149856928945,0.)); #373782=DIRECTION('',(0.,0.,1.)); #373783=DIRECTION('center_axis',(0.552278225392063,0.833659859749643,0.)); #373784=DIRECTION('ref_axis',(-0.833659859749643,0.552278225392063,0.)); #373785=DIRECTION('',(-0.833659859749643,0.552278225392063,0.)); #373786=DIRECTION('',(-0.833659859749643,0.552278225392063,0.)); #373787=DIRECTION('',(0.,0.,1.)); #373788=DIRECTION('center_axis',(0.707106781186545,0.70710678118655,0.)); #373789=DIRECTION('ref_axis',(-0.70710678118655,0.707106781186545,0.)); #373790=DIRECTION('',(-0.70710678118655,0.707106781186545,0.)); #373791=DIRECTION('',(-0.70710678118655,0.707106781186545,0.)); #373792=DIRECTION('',(0.,0.,1.)); #373793=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #373794=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #373795=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #373796=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #373797=DIRECTION('',(0.,0.,1.)); #373798=DIRECTION('center_axis',(0.980008419561313,0.19895601923274,0.)); #373799=DIRECTION('ref_axis',(-0.19895601923274,0.980008419561313,0.)); #373800=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #373801=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #373802=DIRECTION('',(0.,0.,1.)); #373803=DIRECTION('center_axis',(1.,0.,0.)); #373804=DIRECTION('ref_axis',(0.,1.,0.)); #373805=DIRECTION('',(0.,1.,0.)); #373806=DIRECTION('',(0.,1.,0.)); #373807=DIRECTION('',(0.,0.,1.)); #373808=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #373809=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #373810=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #373811=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #373812=DIRECTION('',(0.,0.,1.)); #373813=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #373814=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #373815=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #373816=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #373817=DIRECTION('',(0.,0.,1.)); #373818=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #373819=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #373820=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #373821=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #373822=DIRECTION('',(0.,0.,1.)); #373823=DIRECTION('center_axis',(1.,0.,0.)); #373824=DIRECTION('ref_axis',(0.,1.,0.)); #373825=DIRECTION('',(0.,1.,0.)); #373826=DIRECTION('',(0.,1.,0.)); #373827=DIRECTION('',(0.,0.,1.)); #373828=DIRECTION('center_axis',(0.981560865252946,-0.19114985692877,0.)); #373829=DIRECTION('ref_axis',(0.19114985692877,0.981560865252945,0.)); #373830=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #373831=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #373832=DIRECTION('',(0.,0.,1.)); #373833=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #373834=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #373835=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #373836=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #373837=DIRECTION('',(0.,0.,1.)); #373838=DIRECTION('center_axis',(0.707108784529064,-0.707104777838355,0.)); #373839=DIRECTION('ref_axis',(0.707104777838355,0.707108784529064,0.)); #373840=DIRECTION('',(0.707104777838355,0.707108784529064,0.)); #373841=DIRECTION('',(0.707104777838355,0.707108784529064,0.)); #373842=DIRECTION('',(0.,0.,1.)); #373843=DIRECTION('center_axis',(1.,0.,0.)); #373844=DIRECTION('ref_axis',(0.,1.,0.)); #373845=DIRECTION('',(0.,1.,0.)); #373846=DIRECTION('',(0.,1.,0.)); #373847=DIRECTION('',(0.,0.,1.)); #373848=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #373849=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #373850=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #373851=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #373852=DIRECTION('center_axis',(0.,1.,0.)); #373853=DIRECTION('ref_axis',(-1.,0.,0.)); #373854=DIRECTION('',(-1.,0.,0.)); #373855=DIRECTION('',(0.,0.,1.)); #373856=DIRECTION('',(-1.,0.,0.)); #373857=DIRECTION('',(0.,0.,1.)); #373858=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #373859=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #373860=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #373861=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #373862=DIRECTION('',(0.,0.,1.)); #373863=DIRECTION('center_axis',(-1.,0.,0.)); #373864=DIRECTION('ref_axis',(0.,-1.,0.)); #373865=DIRECTION('',(0.,-1.,0.)); #373866=DIRECTION('',(0.,-1.,0.)); #373867=DIRECTION('',(0.,0.,1.)); #373868=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #373869=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #373870=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #373871=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #373872=DIRECTION('',(0.,0.,1.)); #373873=DIRECTION('center_axis',(0.,-1.,0.)); #373874=DIRECTION('ref_axis',(1.,0.,0.)); #373875=DIRECTION('',(1.,0.,0.)); #373876=DIRECTION('',(1.,0.,0.)); #373877=DIRECTION('',(0.,0.,1.)); #373878=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #373879=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #373880=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #373881=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #373882=DIRECTION('',(0.,0.,1.)); #373883=DIRECTION('center_axis',(1.,0.,0.)); #373884=DIRECTION('ref_axis',(0.,1.,0.)); #373885=DIRECTION('',(0.,1.,0.)); #373886=DIRECTION('',(0.,1.,0.)); #373887=DIRECTION('',(0.,0.,1.)); #373888=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #373889=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #373890=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #373891=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #373892=DIRECTION('center_axis',(0.0523362156363776,-0.998629521160306, 0.)); #373893=DIRECTION('ref_axis',(0.998629521160306,0.0523362156363776,0.)); #373894=DIRECTION('',(0.998629521160306,0.0523362156363776,0.)); #373895=DIRECTION('',(0.,0.,1.)); #373896=DIRECTION('',(0.998629521160306,0.0523362156363776,0.)); #373897=DIRECTION('',(0.,0.,1.)); #373898=DIRECTION('center_axis',(0.,-1.,0.)); #373899=DIRECTION('ref_axis',(1.,0.,0.)); #373900=DIRECTION('',(1.,0.,0.)); #373901=DIRECTION('',(1.,0.,0.)); #373902=DIRECTION('',(0.,0.,1.)); #373903=DIRECTION('center_axis',(-0.0523362156363776,-0.998629521160306, 0.)); #373904=DIRECTION('ref_axis',(0.998629521160306,-0.0523362156363776,0.)); #373905=DIRECTION('',(0.998629521160306,-0.0523362156363776,0.)); #373906=DIRECTION('',(0.998629521160306,-0.0523362156363776,0.)); #373907=DIRECTION('',(0.,0.,1.)); #373908=DIRECTION('center_axis',(-0.104588344609304,-0.994515599762963, 0.)); #373909=DIRECTION('ref_axis',(0.994515599762963,-0.104588344609304,0.)); #373910=DIRECTION('',(0.994515599762963,-0.104588344609304,0.)); #373911=DIRECTION('',(0.994515599762963,-0.104588344609304,0.)); #373912=DIRECTION('',(0.,0.,1.)); #373913=DIRECTION('center_axis',(-0.156434606552449,-0.987688318181794, 0.)); #373914=DIRECTION('ref_axis',(0.987688318181794,-0.156434606552449,0.)); #373915=DIRECTION('',(0.987688318181794,-0.156434606552449,0.)); #373916=DIRECTION('',(0.987688318181794,-0.156434606552449,0.)); #373917=DIRECTION('',(0.,0.,1.)); #373918=DIRECTION('center_axis',(-0.208086713847857,-0.978110382073516, 0.)); #373919=DIRECTION('ref_axis',(0.978110382073516,-0.208086713847857,0.)); #373920=DIRECTION('',(0.978110382073516,-0.208086713847857,0.)); #373921=DIRECTION('',(0.978110382073516,-0.208086713847857,0.)); #373922=DIRECTION('',(0.,0.,1.)); #373923=DIRECTION('center_axis',(-0.258818923728286,-0.965925858811188, 0.)); #373924=DIRECTION('ref_axis',(0.965925858811188,-0.258818923728286,0.)); #373925=DIRECTION('',(0.965925858811188,-0.258818923728286,0.)); #373926=DIRECTION('',(0.965925858811188,-0.258818923728286,0.)); #373927=DIRECTION('',(0.,0.,1.)); #373928=DIRECTION('center_axis',(-0.309176804171019,-0.951004576099713, 0.)); #373929=DIRECTION('ref_axis',(0.951004576099713,-0.309176804171019,0.)); #373930=DIRECTION('',(0.951004576099713,-0.309176804171019,0.)); #373931=DIRECTION('',(0.951004576099713,-0.309176804171019,0.)); #373932=DIRECTION('',(0.,0.,1.)); #373933=DIRECTION('center_axis',(-0.358367996333272,-0.933580408536981, 0.)); #373934=DIRECTION('ref_axis',(0.933580408536981,-0.358367996333272,0.)); #373935=DIRECTION('',(0.933580408536981,-0.358367996333272,0.)); #373936=DIRECTION('',(0.933580408536981,-0.358367996333272,0.)); #373937=DIRECTION('',(0.,0.,1.)); #373938=DIRECTION('center_axis',(-0.4066653437369,-0.913577198819754,0.)); #373939=DIRECTION('ref_axis',(0.913577198819754,-0.4066653437369,0.)); #373940=DIRECTION('',(0.913577198819754,-0.4066653437369,0.)); #373941=DIRECTION('',(0.913577198819754,-0.4066653437369,0.)); #373942=DIRECTION('',(0.,0.,1.)); #373943=DIRECTION('center_axis',(-0.453989804771014,-0.891006878292181, 0.)); #373944=DIRECTION('ref_axis',(0.891006878292181,-0.453989804771014,0.)); #373945=DIRECTION('',(0.891006878292181,-0.453989804771014,0.)); #373946=DIRECTION('',(0.891006878292181,-0.453989804771014,0.)); #373947=DIRECTION('',(0.,0.,1.)); #373948=DIRECTION('center_axis',(-0.500030005353914,-0.866008079492198, 0.)); #373949=DIRECTION('ref_axis',(0.866008079492198,-0.500030005353914,0.)); #373950=DIRECTION('',(0.866008079492198,-0.500030005353914,0.)); #373951=DIRECTION('',(0.866008079492198,-0.500030005353914,0.)); #373952=DIRECTION('',(0.,0.,1.)); #373953=DIRECTION('center_axis',(-0.544639401283104,-0.83867033008804,0.)); #373954=DIRECTION('ref_axis',(0.83867033008804,-0.544639401283104,0.)); #373955=DIRECTION('',(0.83867033008804,-0.544639401283104,0.)); #373956=DIRECTION('',(0.83867033008804,-0.544639401283104,0.)); #373957=DIRECTION('',(0.,0.,1.)); #373958=DIRECTION('center_axis',(-0.58771312338739,-0.809069394179658,0.)); #373959=DIRECTION('ref_axis',(0.809069394179658,-0.58771312338739,0.)); #373960=DIRECTION('',(0.809069394179658,-0.58771312338739,0.)); #373961=DIRECTION('',(0.809069394179658,-0.58771312338739,0.)); #373962=DIRECTION('',(0.,0.,1.)); #373963=DIRECTION('center_axis',(-0.629320434163395,-0.777145926544299, 0.)); #373964=DIRECTION('ref_axis',(0.777145926544299,-0.629320434163395,0.)); #373965=DIRECTION('',(0.777145926544299,-0.629320434163395,0.)); #373966=DIRECTION('',(0.777145926544299,-0.629320434163395,0.)); #373967=DIRECTION('',(0.,0.,1.)); #373968=DIRECTION('center_axis',(-0.669130940435059,-0.743144524673696, 0.)); #373969=DIRECTION('ref_axis',(0.743144524673696,-0.669130940435059,0.)); #373970=DIRECTION('',(0.743144524673696,-0.669130940435059,0.)); #373971=DIRECTION('',(0.743144524673696,-0.669130940435059,0.)); #373972=DIRECTION('',(0.,0.,1.)); #373973=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #373974=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #373975=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #373976=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #373977=DIRECTION('',(0.,0.,1.)); #373978=DIRECTION('center_axis',(-0.743144524673696,-0.669130940435059, 0.)); #373979=DIRECTION('ref_axis',(0.669130940435059,-0.743144524673696,0.)); #373980=DIRECTION('',(0.669130940435059,-0.743144524673696,0.)); #373981=DIRECTION('',(0.669130940435059,-0.743144524673696,0.)); #373982=DIRECTION('',(0.,0.,1.)); #373983=DIRECTION('center_axis',(-0.777145926544299,-0.629320434163395, 0.)); #373984=DIRECTION('ref_axis',(0.629320434163395,-0.777145926544299,0.)); #373985=DIRECTION('',(0.629320434163395,-0.777145926544299,0.)); #373986=DIRECTION('',(0.629320434163395,-0.777145926544299,0.)); #373987=DIRECTION('',(0.,0.,1.)); #373988=DIRECTION('center_axis',(-0.809069394179658,-0.58771312338739,0.)); #373989=DIRECTION('ref_axis',(0.58771312338739,-0.809069394179658,0.)); #373990=DIRECTION('',(0.58771312338739,-0.809069394179658,0.)); #373991=DIRECTION('',(0.58771312338739,-0.809069394179658,0.)); #373992=DIRECTION('',(0.,0.,1.)); #373993=DIRECTION('center_axis',(-0.83867033008804,-0.544639401283104,0.)); #373994=DIRECTION('ref_axis',(0.544639401283104,-0.83867033008804,0.)); #373995=DIRECTION('',(0.544639401283104,-0.83867033008804,0.)); #373996=DIRECTION('',(0.544639401283104,-0.83867033008804,0.)); #373997=DIRECTION('',(0.,0.,1.)); #373998=DIRECTION('center_axis',(-0.866008079492198,-0.500030005353914, 0.)); #373999=DIRECTION('ref_axis',(0.500030005353914,-0.866008079492198,0.)); #374000=DIRECTION('',(0.500030005353914,-0.866008079492198,0.)); #374001=DIRECTION('',(0.500030005353914,-0.866008079492198,0.)); #374002=DIRECTION('',(0.,0.,1.)); #374003=DIRECTION('center_axis',(-0.891006878292181,-0.453989804771014, 0.)); #374004=DIRECTION('ref_axis',(0.453989804771014,-0.891006878292181,0.)); #374005=DIRECTION('',(0.453989804771014,-0.891006878292181,0.)); #374006=DIRECTION('',(0.453989804771014,-0.891006878292181,0.)); #374007=DIRECTION('',(0.,0.,1.)); #374008=DIRECTION('center_axis',(-0.913577198819754,-0.4066653437369,0.)); #374009=DIRECTION('ref_axis',(0.4066653437369,-0.913577198819754,0.)); #374010=DIRECTION('',(0.4066653437369,-0.913577198819754,0.)); #374011=DIRECTION('',(0.4066653437369,-0.913577198819754,0.)); #374012=DIRECTION('',(0.,0.,1.)); #374013=DIRECTION('center_axis',(-0.933580408536981,-0.358367996333272, 0.)); #374014=DIRECTION('ref_axis',(0.358367996333272,-0.933580408536981,0.)); #374015=DIRECTION('',(0.358367996333272,-0.933580408536981,0.)); #374016=DIRECTION('',(0.358367996333272,-0.933580408536981,0.)); #374017=DIRECTION('',(0.,0.,1.)); #374018=DIRECTION('center_axis',(-0.951004576099713,-0.309176804171019, 0.)); #374019=DIRECTION('ref_axis',(0.309176804171019,-0.951004576099713,0.)); #374020=DIRECTION('',(0.309176804171019,-0.951004576099713,0.)); #374021=DIRECTION('',(0.309176804171019,-0.951004576099713,0.)); #374022=DIRECTION('',(0.,0.,1.)); #374023=DIRECTION('center_axis',(-0.965925858811188,-0.258818923728286, 0.)); #374024=DIRECTION('ref_axis',(0.258818923728286,-0.965925858811188,0.)); #374025=DIRECTION('',(0.258818923728286,-0.965925858811188,0.)); #374026=DIRECTION('',(0.258818923728286,-0.965925858811188,0.)); #374027=DIRECTION('',(0.,0.,1.)); #374028=DIRECTION('center_axis',(-0.978110382073516,-0.208086713847857, 0.)); #374029=DIRECTION('ref_axis',(0.208086713847857,-0.978110382073516,0.)); #374030=DIRECTION('',(0.208086713847857,-0.978110382073516,0.)); #374031=DIRECTION('',(0.208086713847857,-0.978110382073516,0.)); #374032=DIRECTION('',(0.,0.,1.)); #374033=DIRECTION('center_axis',(-0.987688318181794,-0.156434606552449, 0.)); #374034=DIRECTION('ref_axis',(0.156434606552449,-0.987688318181794,0.)); #374035=DIRECTION('',(0.156434606552449,-0.987688318181794,0.)); #374036=DIRECTION('',(0.156434606552449,-0.987688318181794,0.)); #374037=DIRECTION('',(0.,0.,1.)); #374038=DIRECTION('center_axis',(-0.994515599762963,-0.104588344609304, 0.)); #374039=DIRECTION('ref_axis',(0.104588344609304,-0.994515599762963,0.)); #374040=DIRECTION('',(0.104588344609304,-0.994515599762963,0.)); #374041=DIRECTION('',(0.104588344609304,-0.994515599762963,0.)); #374042=DIRECTION('',(0.,0.,1.)); #374043=DIRECTION('center_axis',(-0.998629521160306,-0.0523362156363776, 0.)); #374044=DIRECTION('ref_axis',(0.0523362156363776,-0.998629521160306,0.)); #374045=DIRECTION('',(0.0523362156363776,-0.998629521160306,0.)); #374046=DIRECTION('',(0.0523362156363776,-0.998629521160306,0.)); #374047=DIRECTION('',(0.,0.,1.)); #374048=DIRECTION('center_axis',(-1.,0.,0.)); #374049=DIRECTION('ref_axis',(0.,-1.,0.)); #374050=DIRECTION('',(0.,-1.,0.)); #374051=DIRECTION('',(0.,-1.,0.)); #374052=DIRECTION('',(0.,0.,1.)); #374053=DIRECTION('center_axis',(-0.998629521160306,0.0523362156363776, 0.)); #374054=DIRECTION('ref_axis',(-0.0523362156363776,-0.998629521160306,0.)); #374055=DIRECTION('',(-0.0523362156363776,-0.998629521160306,0.)); #374056=DIRECTION('',(-0.0523362156363776,-0.998629521160306,0.)); #374057=DIRECTION('',(0.,0.,1.)); #374058=DIRECTION('center_axis',(-0.994515599762963,0.104588344609304,0.)); #374059=DIRECTION('ref_axis',(-0.104588344609304,-0.994515599762963,0.)); #374060=DIRECTION('',(-0.104588344609304,-0.994515599762963,0.)); #374061=DIRECTION('',(-0.104588344609304,-0.994515599762963,0.)); #374062=DIRECTION('',(0.,0.,1.)); #374063=DIRECTION('center_axis',(-0.987688318181794,0.156434606552449,0.)); #374064=DIRECTION('ref_axis',(-0.156434606552449,-0.987688318181794,0.)); #374065=DIRECTION('',(-0.156434606552449,-0.987688318181794,0.)); #374066=DIRECTION('',(-0.156434606552449,-0.987688318181794,0.)); #374067=DIRECTION('',(0.,0.,1.)); #374068=DIRECTION('center_axis',(-0.978110382073516,0.208086713847857,0.)); #374069=DIRECTION('ref_axis',(-0.208086713847857,-0.978110382073516,0.)); #374070=DIRECTION('',(-0.208086713847857,-0.978110382073516,0.)); #374071=DIRECTION('',(-0.208086713847857,-0.978110382073516,0.)); #374072=DIRECTION('',(0.,0.,1.)); #374073=DIRECTION('center_axis',(-0.965925858811188,0.258818923728287,0.)); #374074=DIRECTION('ref_axis',(-0.258818923728287,-0.965925858811188,0.)); #374075=DIRECTION('',(-0.258818923728287,-0.965925858811188,0.)); #374076=DIRECTION('',(-0.258818923728287,-0.965925858811188,0.)); #374077=DIRECTION('',(0.,0.,1.)); #374078=DIRECTION('center_axis',(-0.95100457609982,0.309176804170691,0.)); #374079=DIRECTION('ref_axis',(-0.309176804170691,-0.95100457609982,0.)); #374080=DIRECTION('',(-0.309176804170691,-0.95100457609982,0.)); #374081=DIRECTION('',(-0.309176804170691,-0.95100457609982,0.)); #374082=DIRECTION('',(0.,0.,1.)); #374083=DIRECTION('center_axis',(-0.933580408536981,0.358367996333272,0.)); #374084=DIRECTION('ref_axis',(-0.358367996333272,-0.933580408536981,0.)); #374085=DIRECTION('',(-0.358367996333272,-0.933580408536981,0.)); #374086=DIRECTION('',(-0.358367996333272,-0.933580408536981,0.)); #374087=DIRECTION('',(0.,0.,1.)); #374088=DIRECTION('center_axis',(-0.913577198819662,0.406665343737107,0.)); #374089=DIRECTION('ref_axis',(-0.406665343737107,-0.913577198819662,0.)); #374090=DIRECTION('',(-0.406665343737107,-0.913577198819662,0.)); #374091=DIRECTION('',(-0.406665343737107,-0.913577198819662,0.)); #374092=DIRECTION('',(0.,0.,1.)); #374093=DIRECTION('center_axis',(-0.891006878292181,0.453989804771014,0.)); #374094=DIRECTION('ref_axis',(-0.453989804771014,-0.891006878292181,0.)); #374095=DIRECTION('',(-0.453989804771013,-0.891006878292181,0.)); #374096=DIRECTION('',(-0.453989804771013,-0.891006878292181,0.)); #374097=DIRECTION('',(0.,0.,1.)); #374098=DIRECTION('center_axis',(-0.866008079492268,0.500030005353793,0.)); #374099=DIRECTION('ref_axis',(-0.500030005353793,-0.866008079492268,0.)); #374100=DIRECTION('',(-0.500030005353793,-0.866008079492267,0.)); #374101=DIRECTION('',(-0.500030005353793,-0.866008079492267,0.)); #374102=DIRECTION('',(0.,0.,1.)); #374103=DIRECTION('center_axis',(-0.838670330088039,0.544639401283105,0.)); #374104=DIRECTION('ref_axis',(-0.544639401283105,-0.838670330088039,0.)); #374105=DIRECTION('',(-0.544639401283105,-0.838670330088039,0.)); #374106=DIRECTION('',(-0.544639401283105,-0.838670330088039,0.)); #374107=DIRECTION('',(0.,0.,1.)); #374108=DIRECTION('center_axis',(-0.809112694270273,0.587653510132204,0.)); #374109=DIRECTION('ref_axis',(-0.587653510132204,-0.809112694270273,0.)); #374110=DIRECTION('',(-0.587653510132204,-0.809112694270273,0.)); #374111=DIRECTION('',(-0.587653510132204,-0.809112694270273,0.)); #374112=DIRECTION('',(0.,0.,1.)); #374113=DIRECTION('center_axis',(-0.777145813759066,0.629320573441517,0.)); #374114=DIRECTION('ref_axis',(-0.629320573441517,-0.777145813759066,0.)); #374115=DIRECTION('',(-0.629320573441517,-0.777145813759066,0.)); #374116=DIRECTION('',(-0.629320573441517,-0.777145813759066,0.)); #374117=DIRECTION('',(0.,0.,1.)); #374118=DIRECTION('center_axis',(-0.74314452467407,0.669130940434644,0.)); #374119=DIRECTION('ref_axis',(-0.669130940434644,-0.74314452467407,0.)); #374120=DIRECTION('',(-0.669130940434644,-0.74314452467407,0.)); #374121=DIRECTION('',(-0.669130940434644,-0.74314452467407,0.)); #374122=DIRECTION('',(0.,0.,1.)); #374123=DIRECTION('center_axis',(-0.707106752708626,0.707106809664468,0.)); #374124=DIRECTION('ref_axis',(-0.707106809664468,-0.707106752708626,0.)); #374125=DIRECTION('',(-0.707106809664468,-0.707106752708626,0.)); #374126=DIRECTION('',(-0.707106809664468,-0.707106752708626,0.)); #374127=DIRECTION('',(0.,0.,1.)); #374128=DIRECTION('center_axis',(-0.669158632145954,0.743119589988419,0.)); #374129=DIRECTION('ref_axis',(-0.743119589988419,-0.669158632145954,0.)); #374130=DIRECTION('',(-0.743119589988419,-0.669158632145954,0.)); #374131=DIRECTION('',(-0.743119589988419,-0.669158632145954,0.)); #374132=DIRECTION('',(0.,0.,1.)); #374133=DIRECTION('center_axis',(-0.629320416963983,0.777145940472109,0.)); #374134=DIRECTION('ref_axis',(-0.777145940472109,-0.629320416963983,0.)); #374135=DIRECTION('',(-0.777145940472109,-0.629320416963983,0.)); #374136=DIRECTION('',(-0.777145940472109,-0.629320416963983,0.)); #374137=DIRECTION('',(0.,0.,1.)); #374138=DIRECTION('center_axis',(-0.587672085059942,0.809099203090264,0.)); #374139=DIRECTION('ref_axis',(-0.809099203090264,-0.587672085059942,0.)); #374140=DIRECTION('',(-0.809099203090264,-0.587672085059942,0.)); #374141=DIRECTION('',(-0.809099203090264,-0.587672085059942,0.)); #374142=DIRECTION('',(0.,0.,1.)); #374143=DIRECTION('center_axis',(-0.54463944134402,0.838670304072151,0.)); #374144=DIRECTION('ref_axis',(-0.838670304072151,-0.54463944134402,0.)); #374145=DIRECTION('',(-0.838670304072151,-0.54463944134402,0.)); #374146=DIRECTION('',(-0.838670304072151,-0.54463944134402,0.)); #374147=DIRECTION('',(0.,0.,1.)); #374148=DIRECTION('center_axis',(-0.500077026025872,0.8659809282202,0.)); #374149=DIRECTION('ref_axis',(-0.8659809282202,-0.500077026025872,0.)); #374150=DIRECTION('',(-0.8659809282202,-0.500077026025872,0.)); #374151=DIRECTION('',(-0.8659809282202,-0.500077026025872,0.)); #374152=DIRECTION('',(0.,0.,1.)); #374153=DIRECTION('center_axis',(-0.453989736945704,0.891006912850832,0.)); #374154=DIRECTION('ref_axis',(-0.891006912850832,-0.453989736945704,0.)); #374155=DIRECTION('',(-0.891006912850832,-0.453989736945704,0.)); #374156=DIRECTION('',(-0.891006912850832,-0.453989736945704,0.)); #374157=DIRECTION('',(0.,0.,1.)); #374158=DIRECTION('center_axis',(-0.406696734240247,0.913563225156485,0.)); #374159=DIRECTION('ref_axis',(-0.913563225156485,-0.406696734240247,0.)); #374160=DIRECTION('',(-0.913563225156485,-0.406696734240247,0.)); #374161=DIRECTION('',(-0.913563225156485,-0.406696734240247,0.)); #374162=DIRECTION('',(0.,0.,1.)); #374163=DIRECTION('center_axis',(-0.358367921871551,0.933580437120158,0.)); #374164=DIRECTION('ref_axis',(-0.933580437120158,-0.358367921871551,0.)); #374165=DIRECTION('',(-0.933580437120158,-0.358367921871551,0.)); #374166=DIRECTION('',(-0.933580437120158,-0.358367921871551,0.)); #374167=DIRECTION('',(0.,0.,1.)); #374168=DIRECTION('center_axis',(-0.309222166921588,0.950989827224412,0.)); #374169=DIRECTION('ref_axis',(-0.950989827224412,-0.309222166921588,0.)); #374170=DIRECTION('',(-0.950989827224412,-0.309222166921588,0.)); #374171=DIRECTION('',(-0.950989827224412,-0.309222166921588,0.)); #374172=DIRECTION('',(0.,0.,1.)); #374173=DIRECTION('center_axis',(-0.258818764306683,0.965925901528043,0.)); #374174=DIRECTION('ref_axis',(-0.965925901528042,-0.258818764306683,0.)); #374175=DIRECTION('',(-0.965925901528042,-0.258818764306683,0.)); #374176=DIRECTION('',(-0.965925901528042,-0.258818764306683,0.)); #374177=DIRECTION('',(0.,0.,1.)); #374178=DIRECTION('center_axis',(-0.208158693551523,0.978095066084541,0.)); #374179=DIRECTION('ref_axis',(-0.978095066084541,-0.208158693551523,0.)); #374180=DIRECTION('',(-0.978095066084541,-0.208158693551523,0.)); #374181=DIRECTION('',(-0.978095066084541,-0.208158693551523,0.)); #374182=DIRECTION('',(0.,0.,1.)); #374183=DIRECTION('center_axis',(-0.156434392638562,0.987688352062433,0.)); #374184=DIRECTION('ref_axis',(-0.987688352062433,-0.156434392638562,0.)); #374185=DIRECTION('',(-0.987688352062433,-0.156434392638562,0.)); #374186=DIRECTION('',(-0.987688352062433,-0.156434392638562,0.)); #374187=DIRECTION('',(0.,0.,1.)); #374188=DIRECTION('center_axis',(-0.104665209675673,0.994507513236349,0.)); #374189=DIRECTION('ref_axis',(-0.994507513236349,-0.104665209675673,0.)); #374190=DIRECTION('',(-0.994507513236349,-0.104665209675673,0.)); #374191=DIRECTION('',(-0.994507513236349,-0.104665209675673,0.)); #374192=DIRECTION('',(0.,0.,1.)); #374193=DIRECTION('center_axis',(-0.0523361815564572,0.998629522946368, 0.)); #374194=DIRECTION('ref_axis',(-0.998629522946368,-0.0523361815564572,0.)); #374195=DIRECTION('',(-0.998629522946368,-0.0523361815564572,0.)); #374196=DIRECTION('',(-0.998629522946368,-0.0523361815564572,0.)); #374197=DIRECTION('',(0.,0.,1.)); #374198=DIRECTION('center_axis',(0.,1.,0.)); #374199=DIRECTION('ref_axis',(-1.,0.,0.)); #374200=DIRECTION('',(-1.,0.,0.)); #374201=DIRECTION('',(-1.,0.,0.)); #374202=DIRECTION('',(0.,0.,1.)); #374203=DIRECTION('center_axis',(0.0523361815564572,0.998629522946368,0.)); #374204=DIRECTION('ref_axis',(-0.998629522946368,0.0523361815564572,0.)); #374205=DIRECTION('',(-0.998629522946368,0.0523361815564572,0.)); #374206=DIRECTION('',(-0.998629522946368,0.0523361815564572,0.)); #374207=DIRECTION('',(0.,0.,1.)); #374208=DIRECTION('center_axis',(0.104665209675673,0.994507513236349,0.)); #374209=DIRECTION('ref_axis',(-0.994507513236349,0.104665209675673,0.)); #374210=DIRECTION('',(-0.994507513236349,0.104665209675673,0.)); #374211=DIRECTION('',(-0.994507513236349,0.104665209675673,0.)); #374212=DIRECTION('',(0.,0.,1.)); #374213=DIRECTION('center_axis',(0.156434392638562,0.987688352062433,0.)); #374214=DIRECTION('ref_axis',(-0.987688352062433,0.156434392638562,0.)); #374215=DIRECTION('',(-0.987688352062433,0.156434392638562,0.)); #374216=DIRECTION('',(-0.987688352062433,0.156434392638562,0.)); #374217=DIRECTION('',(0.,0.,1.)); #374218=DIRECTION('center_axis',(0.208158693551523,0.978095066084541,0.)); #374219=DIRECTION('ref_axis',(-0.978095066084541,0.208158693551523,0.)); #374220=DIRECTION('',(-0.978095066084541,0.208158693551523,0.)); #374221=DIRECTION('',(-0.978095066084541,0.208158693551523,0.)); #374222=DIRECTION('',(0.,0.,1.)); #374223=DIRECTION('center_axis',(0.258818764306684,0.965925901528042,0.)); #374224=DIRECTION('ref_axis',(-0.965925901528042,0.258818764306684,0.)); #374225=DIRECTION('',(-0.965925901528042,0.258818764306684,0.)); #374226=DIRECTION('',(-0.965925901528042,0.258818764306684,0.)); #374227=DIRECTION('',(0.,0.,1.)); #374228=DIRECTION('center_axis',(0.30922216692126,0.950989827224519,0.)); #374229=DIRECTION('ref_axis',(-0.950989827224519,0.30922216692126,0.)); #374230=DIRECTION('',(-0.950989827224519,0.30922216692126,0.)); #374231=DIRECTION('',(-0.950989827224519,0.30922216692126,0.)); #374232=DIRECTION('',(0.,0.,1.)); #374233=DIRECTION('center_axis',(0.358367921871551,0.933580437120158,0.)); #374234=DIRECTION('ref_axis',(-0.933580437120158,0.358367921871551,0.)); #374235=DIRECTION('',(-0.933580437120158,0.358367921871551,0.)); #374236=DIRECTION('',(-0.933580437120158,0.358367921871551,0.)); #374237=DIRECTION('',(0.,0.,1.)); #374238=DIRECTION('center_axis',(0.406696734240453,0.913563225156393,0.)); #374239=DIRECTION('ref_axis',(-0.913563225156393,0.406696734240453,0.)); #374240=DIRECTION('',(-0.913563225156393,0.406696734240453,0.)); #374241=DIRECTION('',(-0.913563225156393,0.406696734240453,0.)); #374242=DIRECTION('',(0.,0.,1.)); #374243=DIRECTION('center_axis',(0.453989736945704,0.891006912850832,0.)); #374244=DIRECTION('ref_axis',(-0.891006912850832,0.453989736945704,0.)); #374245=DIRECTION('',(-0.891006912850832,0.453989736945704,0.)); #374246=DIRECTION('',(-0.891006912850832,0.453989736945704,0.)); #374247=DIRECTION('',(0.,0.,1.)); #374248=DIRECTION('center_axis',(0.500077026025751,0.86598092822027,0.)); #374249=DIRECTION('ref_axis',(-0.86598092822027,0.500077026025751,0.)); #374250=DIRECTION('',(-0.86598092822027,0.500077026025751,0.)); #374251=DIRECTION('',(-0.86598092822027,0.500077026025751,0.)); #374252=DIRECTION('',(0.,0.,1.)); #374253=DIRECTION('center_axis',(0.544639441344021,0.83867030407215,0.)); #374254=DIRECTION('ref_axis',(-0.83867030407215,0.544639441344021,0.)); #374255=DIRECTION('',(-0.83867030407215,0.544639441344021,0.)); #374256=DIRECTION('',(-0.83867030407215,0.544639441344021,0.)); #374257=DIRECTION('',(0.,0.,1.)); #374258=DIRECTION('center_axis',(0.587612471575557,0.809142498728664,0.)); #374259=DIRECTION('ref_axis',(-0.809142498728664,0.587612471575556,0.)); #374260=DIRECTION('',(-0.809142498728664,0.587612471575556,0.)); #374261=DIRECTION('',(-0.809142498728664,0.587612471575556,0.)); #374262=DIRECTION('',(0.,0.,1.)); #374263=DIRECTION('center_axis',(0.629320556242106,0.77714582768688,0.)); #374264=DIRECTION('ref_axis',(-0.77714582768688,0.629320556242106,0.)); #374265=DIRECTION('',(-0.77714582768688,0.629320556242106,0.)); #374266=DIRECTION('',(-0.77714582768688,0.629320556242106,0.)); #374267=DIRECTION('',(0.,0.,1.)); #374268=DIRECTION('center_axis',(0.669158632145538,0.743119589988793,0.)); #374269=DIRECTION('ref_axis',(-0.743119589988793,0.669158632145538,0.)); #374270=DIRECTION('',(-0.743119589988793,0.669158632145538,0.)); #374271=DIRECTION('',(-0.743119589988793,0.669158632145538,0.)); #374272=DIRECTION('',(0.,0.,1.)); #374273=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #374274=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #374275=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #374276=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #374277=DIRECTION('',(0.,0.,1.)); #374278=DIRECTION('center_axis',(0.743119589988793,0.669158632145538,0.)); #374279=DIRECTION('ref_axis',(-0.669158632145538,0.743119589988793,0.)); #374280=DIRECTION('',(-0.669158632145538,0.743119589988793,0.)); #374281=DIRECTION('',(-0.669158632145538,0.743119589988793,0.)); #374282=DIRECTION('',(0.,0.,1.)); #374283=DIRECTION('center_axis',(0.77714582768688,0.629320556242106,0.)); #374284=DIRECTION('ref_axis',(-0.629320556242106,0.77714582768688,0.)); #374285=DIRECTION('',(-0.629320556242106,0.77714582768688,0.)); #374286=DIRECTION('',(-0.629320556242106,0.77714582768688,0.)); #374287=DIRECTION('',(0.,0.,1.)); #374288=DIRECTION('center_axis',(0.809142498728664,0.587612471575557,0.)); #374289=DIRECTION('ref_axis',(-0.587612471575556,0.809142498728664,0.)); #374290=DIRECTION('',(-0.587612471575556,0.809142498728664,0.)); #374291=DIRECTION('',(-0.587612471575556,0.809142498728664,0.)); #374292=DIRECTION('',(0.,0.,1.)); #374293=DIRECTION('center_axis',(0.83867030407215,0.544639441344021,0.)); #374294=DIRECTION('ref_axis',(-0.544639441344021,0.83867030407215,0.)); #374295=DIRECTION('',(-0.544639441344021,0.83867030407215,0.)); #374296=DIRECTION('',(-0.544639441344021,0.83867030407215,0.)); #374297=DIRECTION('',(0.,0.,1.)); #374298=DIRECTION('center_axis',(0.86598092822027,0.500077026025751,0.)); #374299=DIRECTION('ref_axis',(-0.500077026025751,0.86598092822027,0.)); #374300=DIRECTION('',(-0.500077026025751,0.86598092822027,0.)); #374301=DIRECTION('',(-0.500077026025751,0.86598092822027,0.)); #374302=DIRECTION('',(0.,0.,1.)); #374303=DIRECTION('center_axis',(0.891006912850832,0.453989736945704,0.)); #374304=DIRECTION('ref_axis',(-0.453989736945704,0.891006912850832,0.)); #374305=DIRECTION('',(-0.453989736945704,0.891006912850832,0.)); #374306=DIRECTION('',(-0.453989736945704,0.891006912850832,0.)); #374307=DIRECTION('',(0.,0.,1.)); #374308=DIRECTION('center_axis',(0.913563225156393,0.406696734240453,0.)); #374309=DIRECTION('ref_axis',(-0.406696734240453,0.913563225156393,0.)); #374310=DIRECTION('',(-0.406696734240453,0.913563225156393,0.)); #374311=DIRECTION('',(-0.406696734240453,0.913563225156393,0.)); #374312=DIRECTION('',(0.,0.,1.)); #374313=DIRECTION('center_axis',(0.933580437120158,0.358367921871551,0.)); #374314=DIRECTION('ref_axis',(-0.358367921871551,0.933580437120158,0.)); #374315=DIRECTION('',(-0.358367921871551,0.933580437120158,0.)); #374316=DIRECTION('',(-0.358367921871551,0.933580437120158,0.)); #374317=DIRECTION('',(0.,0.,1.)); #374318=DIRECTION('center_axis',(0.950989827224519,0.30922216692126,0.)); #374319=DIRECTION('ref_axis',(-0.30922216692126,0.950989827224519,0.)); #374320=DIRECTION('',(-0.30922216692126,0.950989827224519,0.)); #374321=DIRECTION('',(-0.30922216692126,0.950989827224519,0.)); #374322=DIRECTION('',(0.,0.,1.)); #374323=DIRECTION('center_axis',(0.965925901528042,0.258818764306684,0.)); #374324=DIRECTION('ref_axis',(-0.258818764306684,0.965925901528042,0.)); #374325=DIRECTION('',(-0.258818764306684,0.965925901528042,0.)); #374326=DIRECTION('',(-0.258818764306684,0.965925901528042,0.)); #374327=DIRECTION('',(0.,0.,1.)); #374328=DIRECTION('center_axis',(0.978095066084541,0.208158693551523,0.)); #374329=DIRECTION('ref_axis',(-0.208158693551523,0.978095066084541,0.)); #374330=DIRECTION('',(-0.208158693551523,0.978095066084541,0.)); #374331=DIRECTION('',(-0.208158693551523,0.978095066084541,0.)); #374332=DIRECTION('',(0.,0.,1.)); #374333=DIRECTION('center_axis',(0.987688352062433,0.156434392638562,0.)); #374334=DIRECTION('ref_axis',(-0.156434392638562,0.987688352062433,0.)); #374335=DIRECTION('',(-0.156434392638562,0.987688352062433,0.)); #374336=DIRECTION('',(-0.156434392638562,0.987688352062433,0.)); #374337=DIRECTION('',(0.,0.,1.)); #374338=DIRECTION('center_axis',(0.994507513236349,0.104665209675673,0.)); #374339=DIRECTION('ref_axis',(-0.104665209675673,0.994507513236349,0.)); #374340=DIRECTION('',(-0.104665209675673,0.994507513236349,0.)); #374341=DIRECTION('',(-0.104665209675673,0.994507513236349,0.)); #374342=DIRECTION('',(0.,0.,1.)); #374343=DIRECTION('center_axis',(0.998629522946368,0.0523361815564572,0.)); #374344=DIRECTION('ref_axis',(-0.0523361815564572,0.998629522946368,0.)); #374345=DIRECTION('',(-0.0523361815564572,0.998629522946368,0.)); #374346=DIRECTION('',(-0.0523361815564572,0.998629522946368,0.)); #374347=DIRECTION('',(0.,0.,1.)); #374348=DIRECTION('center_axis',(1.,0.,0.)); #374349=DIRECTION('ref_axis',(0.,1.,0.)); #374350=DIRECTION('',(0.,1.,0.)); #374351=DIRECTION('',(0.,1.,0.)); #374352=DIRECTION('',(0.,0.,1.)); #374353=DIRECTION('center_axis',(0.998629522946368,-0.0523361815564572, 0.)); #374354=DIRECTION('ref_axis',(0.0523361815564572,0.998629522946368,0.)); #374355=DIRECTION('',(0.0523361815564572,0.998629522946368,0.)); #374356=DIRECTION('',(0.0523361815564572,0.998629522946368,0.)); #374357=DIRECTION('',(0.,0.,1.)); #374358=DIRECTION('center_axis',(0.994507513236349,-0.104665209675673,0.)); #374359=DIRECTION('ref_axis',(0.104665209675673,0.994507513236349,0.)); #374360=DIRECTION('',(0.104665209675673,0.994507513236349,0.)); #374361=DIRECTION('',(0.104665209675673,0.994507513236349,0.)); #374362=DIRECTION('',(0.,0.,1.)); #374363=DIRECTION('center_axis',(0.987688352062433,-0.156434392638562,0.)); #374364=DIRECTION('ref_axis',(0.156434392638562,0.987688352062433,0.)); #374365=DIRECTION('',(0.156434392638562,0.987688352062433,0.)); #374366=DIRECTION('',(0.156434392638562,0.987688352062433,0.)); #374367=DIRECTION('',(0.,0.,1.)); #374368=DIRECTION('center_axis',(0.978095066084541,-0.208158693551523,0.)); #374369=DIRECTION('ref_axis',(0.208158693551523,0.978095066084541,0.)); #374370=DIRECTION('',(0.208158693551523,0.978095066084541,0.)); #374371=DIRECTION('',(0.208158693551523,0.978095066084541,0.)); #374372=DIRECTION('',(0.,0.,1.)); #374373=DIRECTION('center_axis',(0.965925901528043,-0.258818764306683,0.)); #374374=DIRECTION('ref_axis',(0.258818764306683,0.965925901528042,0.)); #374375=DIRECTION('',(0.258818764306683,0.965925901528042,0.)); #374376=DIRECTION('',(0.258818764306683,0.965925901528042,0.)); #374377=DIRECTION('',(0.,0.,1.)); #374378=DIRECTION('center_axis',(0.950989827224412,-0.309222166921588,0.)); #374379=DIRECTION('ref_axis',(0.309222166921588,0.950989827224412,0.)); #374380=DIRECTION('',(0.309222166921588,0.950989827224412,0.)); #374381=DIRECTION('',(0.309222166921588,0.950989827224412,0.)); #374382=DIRECTION('',(0.,0.,1.)); #374383=DIRECTION('center_axis',(0.933580437120158,-0.358367921871551,0.)); #374384=DIRECTION('ref_axis',(0.358367921871551,0.933580437120158,0.)); #374385=DIRECTION('',(0.358367921871551,0.933580437120158,0.)); #374386=DIRECTION('',(0.358367921871551,0.933580437120158,0.)); #374387=DIRECTION('',(0.,0.,1.)); #374388=DIRECTION('center_axis',(0.913563225156485,-0.406696734240247,0.)); #374389=DIRECTION('ref_axis',(0.406696734240247,0.913563225156485,0.)); #374390=DIRECTION('',(0.406696734240247,0.913563225156485,0.)); #374391=DIRECTION('',(0.406696734240247,0.913563225156485,0.)); #374392=DIRECTION('',(0.,0.,1.)); #374393=DIRECTION('center_axis',(0.891006912850832,-0.453989736945704,0.)); #374394=DIRECTION('ref_axis',(0.453989736945704,0.891006912850832,0.)); #374395=DIRECTION('',(0.453989736945704,0.891006912850832,0.)); #374396=DIRECTION('',(0.453989736945704,0.891006912850832,0.)); #374397=DIRECTION('',(0.,0.,1.)); #374398=DIRECTION('center_axis',(0.8659809282202,-0.500077026025872,0.)); #374399=DIRECTION('ref_axis',(0.500077026025872,0.8659809282202,0.)); #374400=DIRECTION('',(0.500077026025872,0.8659809282202,0.)); #374401=DIRECTION('',(0.500077026025872,0.8659809282202,0.)); #374402=DIRECTION('',(0.,0.,1.)); #374403=DIRECTION('center_axis',(0.838670304072151,-0.54463944134402,0.)); #374404=DIRECTION('ref_axis',(0.54463944134402,0.838670304072151,0.)); #374405=DIRECTION('',(0.54463944134402,0.838670304072151,0.)); #374406=DIRECTION('',(0.54463944134402,0.838670304072151,0.)); #374407=DIRECTION('',(0.,0.,1.)); #374408=DIRECTION('center_axis',(0.809099203090264,-0.587672085059942,0.)); #374409=DIRECTION('ref_axis',(0.587672085059942,0.809099203090264,0.)); #374410=DIRECTION('',(0.587672085059942,0.809099203090264,0.)); #374411=DIRECTION('',(0.587672085059942,0.809099203090264,0.)); #374412=DIRECTION('',(0.,0.,1.)); #374413=DIRECTION('center_axis',(0.777145940472109,-0.629320416963983,0.)); #374414=DIRECTION('ref_axis',(0.629320416963983,0.777145940472109,0.)); #374415=DIRECTION('',(0.629320416963983,0.777145940472109,0.)); #374416=DIRECTION('',(0.629320416963983,0.777145940472109,0.)); #374417=DIRECTION('',(0.,0.,1.)); #374418=DIRECTION('center_axis',(0.743119589988419,-0.669158632145954,0.)); #374419=DIRECTION('ref_axis',(0.669158632145954,0.743119589988419,0.)); #374420=DIRECTION('',(0.669158632145954,0.743119589988419,0.)); #374421=DIRECTION('',(0.669158632145954,0.743119589988419,0.)); #374422=DIRECTION('',(0.,0.,1.)); #374423=DIRECTION('center_axis',(0.707106809664468,-0.707106752708626,0.)); #374424=DIRECTION('ref_axis',(0.707106752708626,0.707106809664468,0.)); #374425=DIRECTION('',(0.707106752708626,0.707106809664468,0.)); #374426=DIRECTION('',(0.707106752708626,0.707106809664468,0.)); #374427=DIRECTION('',(0.,0.,1.)); #374428=DIRECTION('center_axis',(0.669130940434644,-0.74314452467407,0.)); #374429=DIRECTION('ref_axis',(0.74314452467407,0.669130940434644,0.)); #374430=DIRECTION('',(0.74314452467407,0.669130940434644,0.)); #374431=DIRECTION('',(0.74314452467407,0.669130940434644,0.)); #374432=DIRECTION('',(0.,0.,1.)); #374433=DIRECTION('center_axis',(0.629320573441517,-0.777145813759066,0.)); #374434=DIRECTION('ref_axis',(0.777145813759066,0.629320573441517,0.)); #374435=DIRECTION('',(0.777145813759066,0.629320573441517,0.)); #374436=DIRECTION('',(0.777145813759066,0.629320573441517,0.)); #374437=DIRECTION('',(0.,0.,1.)); #374438=DIRECTION('center_axis',(0.587653510132204,-0.809112694270273,0.)); #374439=DIRECTION('ref_axis',(0.809112694270273,0.587653510132204,0.)); #374440=DIRECTION('',(0.809112694270273,0.587653510132204,0.)); #374441=DIRECTION('',(0.809112694270273,0.587653510132204,0.)); #374442=DIRECTION('',(0.,0.,1.)); #374443=DIRECTION('center_axis',(0.544639401283105,-0.838670330088039,0.)); #374444=DIRECTION('ref_axis',(0.838670330088039,0.544639401283105,0.)); #374445=DIRECTION('',(0.838670330088039,0.544639401283105,0.)); #374446=DIRECTION('',(0.838670330088039,0.544639401283105,0.)); #374447=DIRECTION('',(0.,0.,1.)); #374448=DIRECTION('center_axis',(0.500030005353793,-0.866008079492268,0.)); #374449=DIRECTION('ref_axis',(0.866008079492268,0.500030005353793,0.)); #374450=DIRECTION('',(0.866008079492267,0.500030005353793,0.)); #374451=DIRECTION('',(0.866008079492267,0.500030005353793,0.)); #374452=DIRECTION('',(0.,0.,1.)); #374453=DIRECTION('center_axis',(0.453989804771014,-0.891006878292181,0.)); #374454=DIRECTION('ref_axis',(0.891006878292181,0.453989804771014,0.)); #374455=DIRECTION('',(0.891006878292181,0.453989804771013,0.)); #374456=DIRECTION('',(0.891006878292181,0.453989804771013,0.)); #374457=DIRECTION('',(0.,0.,1.)); #374458=DIRECTION('center_axis',(0.406665343737107,-0.913577198819662,0.)); #374459=DIRECTION('ref_axis',(0.913577198819662,0.406665343737107,0.)); #374460=DIRECTION('',(0.913577198819662,0.406665343737107,0.)); #374461=DIRECTION('',(0.913577198819662,0.406665343737107,0.)); #374462=DIRECTION('',(0.,0.,1.)); #374463=DIRECTION('center_axis',(0.358367996333272,-0.933580408536981,0.)); #374464=DIRECTION('ref_axis',(0.933580408536981,0.358367996333272,0.)); #374465=DIRECTION('',(0.933580408536981,0.358367996333272,0.)); #374466=DIRECTION('',(0.933580408536981,0.358367996333272,0.)); #374467=DIRECTION('',(0.,0.,1.)); #374468=DIRECTION('center_axis',(0.309176804170691,-0.95100457609982,0.)); #374469=DIRECTION('ref_axis',(0.95100457609982,0.309176804170691,0.)); #374470=DIRECTION('',(0.95100457609982,0.309176804170691,0.)); #374471=DIRECTION('',(0.95100457609982,0.309176804170691,0.)); #374472=DIRECTION('',(0.,0.,1.)); #374473=DIRECTION('center_axis',(0.258818923728287,-0.965925858811188,0.)); #374474=DIRECTION('ref_axis',(0.965925858811188,0.258818923728287,0.)); #374475=DIRECTION('',(0.965925858811188,0.258818923728287,0.)); #374476=DIRECTION('',(0.965925858811188,0.258818923728287,0.)); #374477=DIRECTION('',(0.,0.,1.)); #374478=DIRECTION('center_axis',(0.208086713847857,-0.978110382073516,0.)); #374479=DIRECTION('ref_axis',(0.978110382073516,0.208086713847857,0.)); #374480=DIRECTION('',(0.978110382073516,0.208086713847857,0.)); #374481=DIRECTION('',(0.978110382073516,0.208086713847857,0.)); #374482=DIRECTION('',(0.,0.,1.)); #374483=DIRECTION('center_axis',(0.156434606552449,-0.987688318181794,0.)); #374484=DIRECTION('ref_axis',(0.987688318181794,0.156434606552449,0.)); #374485=DIRECTION('',(0.987688318181794,0.156434606552449,0.)); #374486=DIRECTION('',(0.987688318181794,0.156434606552449,0.)); #374487=DIRECTION('',(0.,0.,1.)); #374488=DIRECTION('center_axis',(0.104588344609304,-0.994515599762963,0.)); #374489=DIRECTION('ref_axis',(0.994515599762963,0.104588344609304,0.)); #374490=DIRECTION('',(0.994515599762963,0.104588344609304,0.)); #374491=DIRECTION('',(0.994515599762963,0.104588344609304,0.)); #374492=DIRECTION('center_axis',(0.,0.,1.)); #374493=DIRECTION('ref_axis',(1.,0.,0.)); #374494=DIRECTION('center_axis',(0.,0.,1.)); #374495=DIRECTION('ref_axis',(1.,0.,0.)); #374496=DIRECTION('center_axis',(0.214674314516719,-0.976685690837527,0.)); #374497=DIRECTION('ref_axis',(0.976685690837527,0.214674314516719,0.)); #374498=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #374499=DIRECTION('',(0.,0.,1.)); #374500=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #374501=DIRECTION('',(0.,0.,1.)); #374502=DIRECTION('center_axis',(-0.183334818573531,-0.983050529880642, 0.)); #374503=DIRECTION('ref_axis',(0.983050529880642,-0.183334818573531,0.)); #374504=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #374505=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #374506=DIRECTION('',(0.,0.,1.)); #374507=DIRECTION('center_axis',(-0.552278225392063,-0.833659859749643, 0.)); #374508=DIRECTION('ref_axis',(0.833659859749643,-0.552278225392063,0.)); #374509=DIRECTION('',(0.833659859749643,-0.552278225392063,0.)); #374510=DIRECTION('',(0.833659859749643,-0.552278225392063,0.)); #374511=DIRECTION('',(0.,0.,1.)); #374512=DIRECTION('center_axis',(-0.707106781186525,-0.70710678118657,0.)); #374513=DIRECTION('ref_axis',(0.70710678118657,-0.707106781186525,0.)); #374514=DIRECTION('',(0.70710678118657,-0.707106781186525,0.)); #374515=DIRECTION('',(0.70710678118657,-0.707106781186525,0.)); #374516=DIRECTION('',(0.,0.,1.)); #374517=DIRECTION('center_axis',(-0.829203833807437,-0.558946331948828, 0.)); #374518=DIRECTION('ref_axis',(0.558946331948828,-0.829203833807437,0.)); #374519=DIRECTION('',(0.558946331948828,-0.829203833807437,0.)); #374520=DIRECTION('',(0.558946331948828,-0.829203833807437,0.)); #374521=DIRECTION('',(0.,0.,1.)); #374522=DIRECTION('center_axis',(-0.980008419561313,-0.19895601923274,0.)); #374523=DIRECTION('ref_axis',(0.19895601923274,-0.980008419561313,0.)); #374524=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #374525=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #374526=DIRECTION('',(0.,0.,1.)); #374527=DIRECTION('center_axis',(-1.,0.,0.)); #374528=DIRECTION('ref_axis',(0.,-1.,0.)); #374529=DIRECTION('',(0.,-1.,0.)); #374530=DIRECTION('',(0.,-1.,0.)); #374531=DIRECTION('',(0.,0.,1.)); #374532=DIRECTION('center_axis',(-0.984479063846077,0.17550205938607,0.)); #374533=DIRECTION('ref_axis',(-0.17550205938607,-0.984479063846077,0.)); #374534=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #374535=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #374536=DIRECTION('',(0.,0.,1.)); #374537=DIRECTION('center_axis',(-0.850871695642093,0.525373540973609,0.)); #374538=DIRECTION('ref_axis',(-0.525373540973609,-0.850871695642093,0.)); #374539=DIRECTION('',(-0.525373540973609,-0.850871695642093,0.)); #374540=DIRECTION('',(-0.525373540973609,-0.850871695642093,0.)); #374541=DIRECTION('',(0.,0.,1.)); #374542=DIRECTION('center_axis',(-0.578483828224723,0.81569385217891,0.)); #374543=DIRECTION('ref_axis',(-0.81569385217891,-0.578483828224723,0.)); #374544=DIRECTION('',(-0.81569385217891,-0.578483828224723,0.)); #374545=DIRECTION('',(-0.81569385217891,-0.578483828224723,0.)); #374546=DIRECTION('',(0.,0.,1.)); #374547=DIRECTION('center_axis',(-0.214674314516999,0.976685690837465,0.)); #374548=DIRECTION('ref_axis',(-0.976685690837465,-0.214674314516999,0.)); #374549=DIRECTION('',(-0.976685690837465,-0.214674314516999,0.)); #374550=DIRECTION('',(-0.976685690837465,-0.214674314516999,0.)); #374551=DIRECTION('',(0.,0.,1.)); #374552=DIRECTION('center_axis',(0.183334818573814,0.983050529880589,0.)); #374553=DIRECTION('ref_axis',(-0.983050529880589,0.183334818573814,0.)); #374554=DIRECTION('',(-0.983050529880589,0.183334818573814,0.)); #374555=DIRECTION('',(-0.983050529880589,0.183334818573814,0.)); #374556=DIRECTION('',(0.,0.,1.)); #374557=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #374558=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #374559=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #374560=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #374561=DIRECTION('',(0.,0.,1.)); #374562=DIRECTION('center_axis',(0.707106781186536,0.707106781186559,0.)); #374563=DIRECTION('ref_axis',(-0.707106781186559,0.707106781186536,0.)); #374564=DIRECTION('',(-0.707106781186559,0.707106781186536,0.)); #374565=DIRECTION('',(-0.707106781186559,0.707106781186536,0.)); #374566=DIRECTION('',(0.,0.,1.)); #374567=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #374568=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #374569=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #374570=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #374571=DIRECTION('',(0.,0.,1.)); #374572=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #374573=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #374574=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #374575=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #374576=DIRECTION('',(0.,0.,1.)); #374577=DIRECTION('center_axis',(1.,0.,0.)); #374578=DIRECTION('ref_axis',(0.,1.,0.)); #374579=DIRECTION('',(0.,1.,0.)); #374580=DIRECTION('',(0.,1.,0.)); #374581=DIRECTION('',(0.,0.,1.)); #374582=DIRECTION('center_axis',(0.981560865252946,-0.19114985692877,0.)); #374583=DIRECTION('ref_axis',(0.19114985692877,0.981560865252945,0.)); #374584=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #374585=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #374586=DIRECTION('',(0.,0.,1.)); #374587=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #374588=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #374589=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #374590=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #374591=DIRECTION('',(0.,0.,1.)); #374592=DIRECTION('center_axis',(0.707106781186525,-0.70710678118657,0.)); #374593=DIRECTION('ref_axis',(0.70710678118657,0.707106781186525,0.)); #374594=DIRECTION('',(0.70710678118657,0.707106781186525,0.)); #374595=DIRECTION('',(0.70710678118657,0.707106781186525,0.)); #374596=DIRECTION('',(0.,0.,1.)); #374597=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #374598=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #374599=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #374600=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #374601=DIRECTION('center_axis',(0.,0.,1.)); #374602=DIRECTION('ref_axis',(1.,0.,0.)); #374603=DIRECTION('center_axis',(0.,0.,1.)); #374604=DIRECTION('ref_axis',(1.,0.,0.)); #374605=DIRECTION('center_axis',(0.230165683714788,-0.97315145688639,0.)); #374606=DIRECTION('ref_axis',(0.97315145688639,0.230165683714788,0.)); #374607=DIRECTION('',(0.97315145688639,0.230165683714788,0.)); #374608=DIRECTION('',(0.,0.,1.)); #374609=DIRECTION('',(0.97315145688639,0.230165683714788,0.)); #374610=DIRECTION('',(0.,0.,1.)); #374611=DIRECTION('center_axis',(-0.167643887112471,-0.985847618607369, 0.)); #374612=DIRECTION('ref_axis',(0.985847618607369,-0.167643887112471,0.)); #374613=DIRECTION('',(0.985847618607369,-0.167643887112471,0.)); #374614=DIRECTION('',(0.985847618607369,-0.167643887112471,0.)); #374615=DIRECTION('',(0.,0.,1.)); #374616=DIRECTION('center_axis',(-0.538911466745951,-0.842362410729329, 0.)); #374617=DIRECTION('ref_axis',(0.842362410729329,-0.538911466745951,0.)); #374618=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #374619=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #374620=DIRECTION('',(0.,0.,1.)); #374621=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #374622=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #374623=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #374624=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #374625=DIRECTION('',(0.,0.,1.)); #374626=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #374627=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #374628=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #374629=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #374630=DIRECTION('',(0.,0.,1.)); #374631=DIRECTION('center_axis',(-1.,0.,0.)); #374632=DIRECTION('ref_axis',(0.,-1.,0.)); #374633=DIRECTION('',(0.,-1.,0.)); #374634=DIRECTION('',(0.,-1.,0.)); #374635=DIRECTION('',(0.,0.,1.)); #374636=DIRECTION('center_axis',(-0.707108784529055,-0.707104777838364, 0.)); #374637=DIRECTION('ref_axis',(0.707104777838364,-0.707108784529055,0.)); #374638=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #374639=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #374640=DIRECTION('',(0.,0.,1.)); #374641=DIRECTION('center_axis',(-0.829203833807389,-0.558946331948898, 0.)); #374642=DIRECTION('ref_axis',(0.558946331948898,-0.829203833807389,0.)); #374643=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #374644=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #374645=DIRECTION('',(0.,0.,1.)); #374646=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #374647=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #374648=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #374649=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #374650=DIRECTION('',(0.,0.,1.)); #374651=DIRECTION('center_axis',(-1.,0.,0.)); #374652=DIRECTION('ref_axis',(0.,-1.,0.)); #374653=DIRECTION('',(0.,-1.,0.)); #374654=DIRECTION('',(0.,-1.,0.)); #374655=DIRECTION('',(0.,0.,1.)); #374656=DIRECTION('center_axis',(-0.982311566963627,0.18725379945268,0.)); #374657=DIRECTION('ref_axis',(-0.18725379945268,-0.982311566963627,0.)); #374658=DIRECTION('',(-0.18725379945268,-0.982311566963627,0.)); #374659=DIRECTION('',(-0.18725379945268,-0.982311566963627,0.)); #374660=DIRECTION('',(0.,0.,1.)); #374661=DIRECTION('center_axis',(-0.838042613670296,0.54560478157056,0.)); #374662=DIRECTION('ref_axis',(-0.54560478157056,-0.838042613670296,0.)); #374663=DIRECTION('',(-0.54560478157056,-0.838042613670296,0.)); #374664=DIRECTION('',(-0.54560478157056,-0.838042613670296,0.)); #374665=DIRECTION('',(0.,0.,1.)); #374666=DIRECTION('center_axis',(-0.558878107898662,0.829249817914729,0.)); #374667=DIRECTION('ref_axis',(-0.829249817914729,-0.558878107898662,0.)); #374668=DIRECTION('',(-0.829249817914729,-0.558878107898662,0.)); #374669=DIRECTION('',(-0.829249817914729,-0.558878107898662,0.)); #374670=DIRECTION('',(0.,0.,1.)); #374671=DIRECTION('center_axis',(-0.382683794236524,0.923879382619148,0.)); #374672=DIRECTION('ref_axis',(-0.923879382619148,-0.382683794236524,0.)); #374673=DIRECTION('',(-0.923879382619148,-0.382683794236524,0.)); #374674=DIRECTION('',(-0.923879382619148,-0.382683794236524,0.)); #374675=DIRECTION('',(0.,0.,1.)); #374676=DIRECTION('center_axis',(-0.707106781186556,0.707106781186539,0.)); #374677=DIRECTION('ref_axis',(-0.707106781186539,-0.707106781186556,0.)); #374678=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #374679=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #374680=DIRECTION('',(0.,0.,1.)); #374681=DIRECTION('center_axis',(-0.572058771549325,0.820212632122596,0.)); #374682=DIRECTION('ref_axis',(-0.820212632122596,-0.572058771549325,0.)); #374683=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #374684=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #374685=DIRECTION('',(0.,0.,1.)); #374686=DIRECTION('center_axis',(-0.230196907019318,0.973144071552994,0.)); #374687=DIRECTION('ref_axis',(-0.973144071552994,-0.230196907019318,0.)); #374688=DIRECTION('',(-0.973144071552994,-0.230196907019318,0.)); #374689=DIRECTION('',(-0.973144071552994,-0.230196907019318,0.)); #374690=DIRECTION('',(0.,0.,1.)); #374691=DIRECTION('center_axis',(0.167675934908011,0.985842168327529,0.)); #374692=DIRECTION('ref_axis',(-0.985842168327529,0.167675934908011,0.)); #374693=DIRECTION('',(-0.985842168327529,0.167675934908011,0.)); #374694=DIRECTION('',(-0.985842168327529,0.167675934908011,0.)); #374695=DIRECTION('',(0.,0.,1.)); #374696=DIRECTION('center_axis',(0.538888069291905,0.842377379073562,0.)); #374697=DIRECTION('ref_axis',(-0.842377379073562,0.538888069291905,0.)); #374698=DIRECTION('',(-0.842377379073562,0.538888069291905,0.)); #374699=DIRECTION('',(-0.842377379073562,0.538888069291905,0.)); #374700=DIRECTION('',(0.,0.,1.)); #374701=DIRECTION('center_axis',(0.824756093515064,0.565488626065787,0.)); #374702=DIRECTION('ref_axis',(-0.565488626065787,0.824756093515064,0.)); #374703=DIRECTION('',(-0.565488626065787,0.824756093515064,0.)); #374704=DIRECTION('',(-0.565488626065787,0.824756093515064,0.)); #374705=DIRECTION('',(0.,0.,1.)); #374706=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #374707=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #374708=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #374709=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #374710=DIRECTION('',(0.,0.,1.)); #374711=DIRECTION('center_axis',(1.,0.,0.)); #374712=DIRECTION('ref_axis',(0.,1.,0.)); #374713=DIRECTION('',(0.,1.,0.)); #374714=DIRECTION('',(0.,1.,0.)); #374715=DIRECTION('',(0.,0.,1.)); #374716=DIRECTION('center_axis',(0.981560865252946,-0.19114985692877,0.)); #374717=DIRECTION('ref_axis',(0.19114985692877,0.981560865252945,0.)); #374718=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #374719=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #374720=DIRECTION('',(0.,0.,1.)); #374721=DIRECTION('center_axis',(0.83365985974971,-0.552278225391961,0.)); #374722=DIRECTION('ref_axis',(0.552278225391961,0.83365985974971,0.)); #374723=DIRECTION('',(0.552278225391961,0.83365985974971,0.)); #374724=DIRECTION('',(0.552278225391961,0.83365985974971,0.)); #374725=DIRECTION('',(0.,0.,1.)); #374726=DIRECTION('center_axis',(0.70710678118656,-0.707106781186535,0.)); #374727=DIRECTION('ref_axis',(0.707106781186535,0.70710678118656,0.)); #374728=DIRECTION('',(0.707106781186535,0.70710678118656,0.)); #374729=DIRECTION('',(0.707106781186535,0.70710678118656,0.)); #374730=DIRECTION('',(0.,0.,1.)); #374731=DIRECTION('center_axis',(0.572058771549169,-0.820212632122705,0.)); #374732=DIRECTION('ref_axis',(0.820212632122705,0.572058771549169,0.)); #374733=DIRECTION('',(0.820212632122705,0.572058771549169,0.)); #374734=DIRECTION('',(0.820212632122705,0.572058771549169,0.)); #374735=DIRECTION('center_axis',(0.,0.,1.)); #374736=DIRECTION('ref_axis',(1.,0.,0.)); #374737=DIRECTION('center_axis',(0.,0.,1.)); #374738=DIRECTION('ref_axis',(1.,0.,0.)); #374739=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #374740=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #374741=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #374742=DIRECTION('',(0.,0.,1.)); #374743=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #374744=DIRECTION('',(0.,0.,1.)); #374745=DIRECTION('center_axis',(0.,-1.,0.)); #374746=DIRECTION('ref_axis',(1.,0.,0.)); #374747=DIRECTION('',(1.,0.,0.)); #374748=DIRECTION('',(1.,0.,0.)); #374749=DIRECTION('',(0.,0.,1.)); #374750=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #374751=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #374752=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #374753=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #374754=DIRECTION('',(0.,0.,1.)); #374755=DIRECTION('center_axis',(-0.538911466745914,-0.842362410729353, 0.)); #374756=DIRECTION('ref_axis',(0.842362410729353,-0.538911466745914,0.)); #374757=DIRECTION('',(0.842362410729353,-0.538911466745914,0.)); #374758=DIRECTION('',(0.842362410729353,-0.538911466745914,0.)); #374759=DIRECTION('',(0.,0.,1.)); #374760=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #374761=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #374762=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #374763=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #374764=DIRECTION('',(0.,0.,1.)); #374765=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #374766=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #374767=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #374768=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #374769=DIRECTION('',(0.,0.,1.)); #374770=DIRECTION('center_axis',(-1.,0.,0.)); #374771=DIRECTION('ref_axis',(0.,-1.,0.)); #374772=DIRECTION('',(0.,-1.,0.)); #374773=DIRECTION('',(0.,-1.,0.)); #374774=DIRECTION('',(0.,0.,1.)); #374775=DIRECTION('center_axis',(-0.98156086525294,0.191149856928798,0.)); #374776=DIRECTION('ref_axis',(-0.191149856928798,-0.98156086525294,0.)); #374777=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #374778=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #374779=DIRECTION('',(0.,0.,1.)); #374780=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #374781=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #374782=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #374783=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #374784=DIRECTION('',(0.,0.,1.)); #374785=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #374786=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #374787=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #374788=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #374789=DIRECTION('',(0.,0.,1.)); #374790=DIRECTION('center_axis',(-0.572058771549281,0.820212632122627,0.)); #374791=DIRECTION('ref_axis',(-0.820212632122627,-0.572058771549281,0.)); #374792=DIRECTION('',(-0.820212632122627,-0.572058771549281,0.)); #374793=DIRECTION('',(-0.820212632122627,-0.572058771549281,0.)); #374794=DIRECTION('',(0.,0.,1.)); #374795=DIRECTION('center_axis',(-0.230165683714683,0.973151456886415,0.)); #374796=DIRECTION('ref_axis',(-0.973151456886415,-0.230165683714683,0.)); #374797=DIRECTION('',(-0.973151456886415,-0.230165683714683,0.)); #374798=DIRECTION('',(-0.973151456886415,-0.230165683714683,0.)); #374799=DIRECTION('',(0.,0.,1.)); #374800=DIRECTION('center_axis',(0.167643887112304,0.985847618607398,0.)); #374801=DIRECTION('ref_axis',(-0.985847618607398,0.167643887112304,0.)); #374802=DIRECTION('',(-0.985847618607398,0.167643887112304,0.)); #374803=DIRECTION('',(-0.985847618607398,0.167643887112304,0.)); #374804=DIRECTION('',(0.,0.,1.)); #374805=DIRECTION('center_axis',(0.538911466746188,0.842362410729178,0.)); #374806=DIRECTION('ref_axis',(-0.842362410729178,0.538911466746188,0.)); #374807=DIRECTION('',(-0.842362410729178,0.538911466746188,0.)); #374808=DIRECTION('',(-0.842362410729178,0.538911466746188,0.)); #374809=DIRECTION('',(0.,0.,1.)); #374810=DIRECTION('center_axis',(0.824745549033805,0.565504004715199,0.)); #374811=DIRECTION('ref_axis',(-0.565504004715199,0.824745549033805,0.)); #374812=DIRECTION('',(-0.565504004715199,0.824745549033805,0.)); #374813=DIRECTION('',(-0.565504004715199,0.824745549033805,0.)); #374814=DIRECTION('',(0.,0.,1.)); #374815=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #374816=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #374817=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #374818=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #374819=DIRECTION('',(0.,0.,1.)); #374820=DIRECTION('center_axis',(1.,0.,0.)); #374821=DIRECTION('ref_axis',(0.,1.,0.)); #374822=DIRECTION('',(0.,1.,0.)); #374823=DIRECTION('',(0.,1.,0.)); #374824=DIRECTION('',(0.,0.,1.)); #374825=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #374826=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #374827=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #374828=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #374829=DIRECTION('',(0.,0.,1.)); #374830=DIRECTION('center_axis',(0.842362410729372,-0.538911466745885,0.)); #374831=DIRECTION('ref_axis',(0.538911466745885,0.842362410729372,0.)); #374832=DIRECTION('',(0.538911466745885,0.842362410729372,0.)); #374833=DIRECTION('',(0.538911466745885,0.842362410729372,0.)); #374834=DIRECTION('',(0.,0.,1.)); #374835=DIRECTION('center_axis',(0.565504004714863,-0.824745549034035,0.)); #374836=DIRECTION('ref_axis',(0.824745549034035,0.565504004714863,0.)); #374837=DIRECTION('',(0.824745549034035,0.565504004714863,0.)); #374838=DIRECTION('',(0.824745549034035,0.565504004714863,0.)); #374839=DIRECTION('center_axis',(0.,0.,1.)); #374840=DIRECTION('ref_axis',(1.,0.,0.)); #374841=DIRECTION('center_axis',(0.,0.,1.)); #374842=DIRECTION('ref_axis',(1.,0.,0.)); #374843=DIRECTION('center_axis',(0.23016568371465,-0.973151456886423,0.)); #374844=DIRECTION('ref_axis',(0.973151456886423,0.23016568371465,0.)); #374845=DIRECTION('',(0.973151456886423,0.23016568371465,0.)); #374846=DIRECTION('',(0.,0.,1.)); #374847=DIRECTION('',(0.973151456886423,0.23016568371465,0.)); #374848=DIRECTION('',(0.,0.,1.)); #374849=DIRECTION('center_axis',(-0.167643887112304,-0.985847618607398, 0.)); #374850=DIRECTION('ref_axis',(0.985847618607398,-0.167643887112304,0.)); #374851=DIRECTION('',(0.985847618607398,-0.167643887112304,0.)); #374852=DIRECTION('',(0.985847618607398,-0.167643887112304,0.)); #374853=DIRECTION('',(0.,0.,1.)); #374854=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #374855=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #374856=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #374857=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #374858=DIRECTION('',(0.,0.,1.)); #374859=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #374860=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #374861=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #374862=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #374863=DIRECTION('',(0.,0.,1.)); #374864=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #374865=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #374866=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #374867=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #374868=DIRECTION('',(0.,0.,1.)); #374869=DIRECTION('center_axis',(-1.,0.,0.)); #374870=DIRECTION('ref_axis',(0.,-1.,0.)); #374871=DIRECTION('',(0.,-1.,0.)); #374872=DIRECTION('',(0.,-1.,0.)); #374873=DIRECTION('',(0.,0.,1.)); #374874=DIRECTION('center_axis',(-0.984479063846082,0.175502059386041,0.)); #374875=DIRECTION('ref_axis',(-0.175502059386041,-0.984479063846082,0.)); #374876=DIRECTION('',(-0.175502059386041,-0.984479063846082,0.)); #374877=DIRECTION('',(-0.175502059386041,-0.984479063846082,0.)); #374878=DIRECTION('',(0.,0.,1.)); #374879=DIRECTION('center_axis',(-0.850880796063525,0.525358802048945,0.)); #374880=DIRECTION('ref_axis',(-0.525358802048945,-0.850880796063525,0.)); #374881=DIRECTION('',(-0.525358802048945,-0.850880796063525,0.)); #374882=DIRECTION('',(-0.525358802048945,-0.850880796063525,0.)); #374883=DIRECTION('',(0.,0.,1.)); #374884=DIRECTION('center_axis',(-0.57846188858929,0.815709411156762,0.)); #374885=DIRECTION('ref_axis',(-0.815709411156762,-0.57846188858929,0.)); #374886=DIRECTION('',(-0.815709411156762,-0.57846188858929,0.)); #374887=DIRECTION('',(-0.815709411156762,-0.57846188858929,0.)); #374888=DIRECTION('',(0.,0.,1.)); #374889=DIRECTION('center_axis',(-0.21467431451661,0.976685690837551,0.)); #374890=DIRECTION('ref_axis',(-0.976685690837551,-0.21467431451661,0.)); #374891=DIRECTION('',(-0.976685690837551,-0.21467431451661,0.)); #374892=DIRECTION('',(-0.976685690837551,-0.21467431451661,0.)); #374893=DIRECTION('',(0.,0.,1.)); #374894=DIRECTION('center_axis',(0.183334818573646,0.98305052988062,0.)); #374895=DIRECTION('ref_axis',(-0.98305052988062,0.183334818573646,0.)); #374896=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #374897=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #374898=DIRECTION('',(0.,0.,1.)); #374899=DIRECTION('center_axis',(0.552278225391859,0.833659859749778,0.)); #374900=DIRECTION('ref_axis',(-0.833659859749778,0.552278225391859,0.)); #374901=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #374902=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #374903=DIRECTION('',(0.,0.,1.)); #374904=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #374905=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #374906=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #374907=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #374908=DIRECTION('',(0.,0.,1.)); #374909=DIRECTION('center_axis',(0.382688667805837,0.923877363903345,0.)); #374910=DIRECTION('ref_axis',(-0.923877363903345,0.382688667805837,0.)); #374911=DIRECTION('',(-0.923877363903345,0.382688667805837,0.)); #374912=DIRECTION('',(-0.923877363903345,0.382688667805837,0.)); #374913=DIRECTION('',(0.,0.,1.)); #374914=DIRECTION('center_axis',(0.,1.,0.)); #374915=DIRECTION('ref_axis',(-1.,0.,0.)); #374916=DIRECTION('',(-1.,0.,0.)); #374917=DIRECTION('',(-1.,0.,0.)); #374918=DIRECTION('',(0.,0.,1.)); #374919=DIRECTION('center_axis',(0.175502059386041,0.984479063846082,0.)); #374920=DIRECTION('ref_axis',(-0.984479063846082,0.175502059386041,0.)); #374921=DIRECTION('',(-0.984479063846082,0.175502059386041,0.)); #374922=DIRECTION('',(-0.984479063846082,0.175502059386041,0.)); #374923=DIRECTION('',(0.,0.,1.)); #374924=DIRECTION('center_axis',(0.525373540973438,0.850871695642199,0.)); #374925=DIRECTION('ref_axis',(-0.850871695642199,0.525373540973438,0.)); #374926=DIRECTION('',(-0.850871695642199,0.525373540973438,0.)); #374927=DIRECTION('',(-0.850871695642199,0.525373540973438,0.)); #374928=DIRECTION('',(0.,0.,1.)); #374929=DIRECTION('center_axis',(0.81569385217889,0.578483828224751,0.)); #374930=DIRECTION('ref_axis',(-0.578483828224751,0.81569385217889,0.)); #374931=DIRECTION('',(-0.578483828224751,0.81569385217889,0.)); #374932=DIRECTION('',(-0.578483828224751,0.81569385217889,0.)); #374933=DIRECTION('',(0.,0.,1.)); #374934=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #374935=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #374936=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #374937=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #374938=DIRECTION('',(0.,0.,1.)); #374939=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #374940=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #374941=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #374942=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #374943=DIRECTION('',(0.,0.,1.)); #374944=DIRECTION('center_axis',(0.83365985974971,-0.552278225391961,0.)); #374945=DIRECTION('ref_axis',(0.552278225391961,0.83365985974971,0.)); #374946=DIRECTION('',(0.552278225391961,0.83365985974971,0.)); #374947=DIRECTION('',(0.552278225391961,0.83365985974971,0.)); #374948=DIRECTION('',(0.,0.,1.)); #374949=DIRECTION('center_axis',(0.707106781186546,-0.707106781186549,0.)); #374950=DIRECTION('ref_axis',(0.707106781186549,0.707106781186546,0.)); #374951=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #374952=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #374953=DIRECTION('',(0.,0.,1.)); #374954=DIRECTION('center_axis',(0.572058771549436,-0.820212632122518,0.)); #374955=DIRECTION('ref_axis',(0.820212632122518,0.572058771549436,0.)); #374956=DIRECTION('',(0.820212632122518,0.572058771549436,0.)); #374957=DIRECTION('',(0.820212632122518,0.572058771549436,0.)); #374958=DIRECTION('center_axis',(0.,0.,1.)); #374959=DIRECTION('ref_axis',(1.,0.,0.)); #374960=DIRECTION('center_axis',(0.,0.,1.)); #374961=DIRECTION('ref_axis',(1.,0.,0.)); #374962=DIRECTION('center_axis',(0.214642857802347,-0.976692604453644,0.)); #374963=DIRECTION('ref_axis',(0.976692604453643,0.214642857802347,0.)); #374964=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #374965=DIRECTION('',(0.,0.,1.)); #374966=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #374967=DIRECTION('',(0.,0.,1.)); #374968=DIRECTION('center_axis',(-0.183302952703363,-0.983056472197924, 0.)); #374969=DIRECTION('ref_axis',(0.983056472197924,-0.183302952703363,0.)); #374970=DIRECTION('',(0.983056472197924,-0.183302952703363,0.)); #374971=DIRECTION('',(0.983056472197924,-0.183302952703363,0.)); #374972=DIRECTION('',(0.,0.,1.)); #374973=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #374974=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #374975=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #374976=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #374977=DIRECTION('',(0.,0.,1.)); #374978=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #374979=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #374980=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #374981=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #374982=DIRECTION('',(0.,0.,1.)); #374983=DIRECTION('center_axis',(-0.829203833807364,-0.558946331948935, 0.)); #374984=DIRECTION('ref_axis',(0.558946331948935,-0.829203833807364,0.)); #374985=DIRECTION('',(0.558946331948935,-0.829203833807364,0.)); #374986=DIRECTION('',(0.558946331948935,-0.829203833807364,0.)); #374987=DIRECTION('',(0.,0.,1.)); #374988=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #374989=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #374990=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #374991=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #374992=DIRECTION('',(0.,0.,1.)); #374993=DIRECTION('center_axis',(-1.,0.,0.)); #374994=DIRECTION('ref_axis',(0.,-1.,0.)); #374995=DIRECTION('',(0.,-1.,0.)); #374996=DIRECTION('',(0.,-1.,0.)); #374997=DIRECTION('',(0.,0.,1.)); #374998=DIRECTION('center_axis',(-0.984479063846077,0.17550205938607,0.)); #374999=DIRECTION('ref_axis',(-0.17550205938607,-0.984479063846077,0.)); #375000=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #375001=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #375002=DIRECTION('',(0.,0.,1.)); #375003=DIRECTION('center_axis',(-0.850871695642118,0.525373540973569,0.)); #375004=DIRECTION('ref_axis',(-0.525373540973569,-0.850871695642118,0.)); #375005=DIRECTION('',(-0.525373540973569,-0.850871695642118,0.)); #375006=DIRECTION('',(-0.525373540973569,-0.850871695642118,0.)); #375007=DIRECTION('',(0.,0.,1.)); #375008=DIRECTION('center_axis',(-0.578483828224848,0.815693852178821,0.)); #375009=DIRECTION('ref_axis',(-0.815693852178821,-0.578483828224848,0.)); #375010=DIRECTION('',(-0.815693852178821,-0.578483828224848,0.)); #375011=DIRECTION('',(-0.815693852178821,-0.578483828224848,0.)); #375012=DIRECTION('',(0.,0.,1.)); #375013=DIRECTION('center_axis',(-0.214674314516719,0.976685690837527,0.)); #375014=DIRECTION('ref_axis',(-0.976685690837527,-0.214674314516719,0.)); #375015=DIRECTION('',(-0.976685690837527,-0.214674314516719,0.)); #375016=DIRECTION('',(-0.976685690837527,-0.214674314516719,0.)); #375017=DIRECTION('',(0.,0.,1.)); #375018=DIRECTION('center_axis',(0.183334818573646,0.98305052988062,0.)); #375019=DIRECTION('ref_axis',(-0.98305052988062,0.183334818573646,0.)); #375020=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #375021=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #375022=DIRECTION('',(0.,0.,1.)); #375023=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #375024=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #375025=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #375026=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #375027=DIRECTION('',(0.,0.,1.)); #375028=DIRECTION('center_axis',(0.707106781186554,0.707106781186541,0.)); #375029=DIRECTION('ref_axis',(-0.707106781186541,0.707106781186554,0.)); #375030=DIRECTION('',(-0.707106781186541,0.707106781186554,0.)); #375031=DIRECTION('',(-0.707106781186541,0.707106781186554,0.)); #375032=DIRECTION('',(0.,0.,1.)); #375033=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #375034=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #375035=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #375036=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #375037=DIRECTION('',(0.,0.,1.)); #375038=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #375039=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #375040=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #375041=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #375042=DIRECTION('',(0.,0.,1.)); #375043=DIRECTION('center_axis',(1.,0.,0.)); #375044=DIRECTION('ref_axis',(0.,1.,0.)); #375045=DIRECTION('',(0.,1.,0.)); #375046=DIRECTION('',(0.,1.,0.)); #375047=DIRECTION('',(0.,0.,1.)); #375048=DIRECTION('center_axis',(0.981560865252946,-0.19114985692877,0.)); #375049=DIRECTION('ref_axis',(0.19114985692877,0.981560865252945,0.)); #375050=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #375051=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #375052=DIRECTION('',(0.,0.,1.)); #375053=DIRECTION('center_axis',(0.83365985974971,-0.552278225391961,0.)); #375054=DIRECTION('ref_axis',(0.552278225391961,0.83365985974971,0.)); #375055=DIRECTION('',(0.552278225391961,0.83365985974971,0.)); #375056=DIRECTION('',(0.552278225391961,0.83365985974971,0.)); #375057=DIRECTION('',(0.,0.,1.)); #375058=DIRECTION('center_axis',(0.707106781186561,-0.707106781186534,0.)); #375059=DIRECTION('ref_axis',(0.707106781186534,0.707106781186561,0.)); #375060=DIRECTION('',(0.707106781186534,0.707106781186561,0.)); #375061=DIRECTION('',(0.707106781186534,0.707106781186561,0.)); #375062=DIRECTION('',(0.,0.,1.)); #375063=DIRECTION('center_axis',(0.565439331101182,-0.82478989011981,0.)); #375064=DIRECTION('ref_axis',(0.82478989011981,0.565439331101182,0.)); #375065=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #375066=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #375067=DIRECTION('center_axis',(0.,0.,1.)); #375068=DIRECTION('ref_axis',(1.,0.,0.)); #375069=DIRECTION('center_axis',(0.,0.,1.)); #375070=DIRECTION('ref_axis',(1.,0.,0.)); #375071=DIRECTION('center_axis',(0.21467431451644,-0.976685690837588,0.)); #375072=DIRECTION('ref_axis',(0.976685690837588,0.21467431451644,0.)); #375073=DIRECTION('',(0.976685690837588,0.21467431451644,0.)); #375074=DIRECTION('',(0.,0.,1.)); #375075=DIRECTION('',(0.976685690837588,0.21467431451644,0.)); #375076=DIRECTION('',(0.,0.,1.)); #375077=DIRECTION('center_axis',(-0.183334818573531,-0.983050529880642, 0.)); #375078=DIRECTION('ref_axis',(0.983050529880642,-0.183334818573531,0.)); #375079=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #375080=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #375081=DIRECTION('',(0.,0.,1.)); #375082=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #375083=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #375084=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #375085=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #375086=DIRECTION('',(0.,0.,1.)); #375087=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #375088=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #375089=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #375090=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #375091=DIRECTION('',(0.,0.,1.)); #375092=DIRECTION('center_axis',(-0.829203833807364,-0.558946331948935, 0.)); #375093=DIRECTION('ref_axis',(0.558946331948935,-0.829203833807364,0.)); #375094=DIRECTION('',(0.558946331948935,-0.829203833807364,0.)); #375095=DIRECTION('',(0.558946331948935,-0.829203833807364,0.)); #375096=DIRECTION('',(0.,0.,1.)); #375097=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #375098=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #375099=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #375100=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #375101=DIRECTION('',(0.,0.,1.)); #375102=DIRECTION('center_axis',(-1.,0.,0.)); #375103=DIRECTION('ref_axis',(0.,-1.,0.)); #375104=DIRECTION('',(0.,-1.,0.)); #375105=DIRECTION('',(0.,-1.,0.)); #375106=DIRECTION('',(0.,0.,1.)); #375107=DIRECTION('center_axis',(-0.985169907360766,0.17158162381438,0.)); #375108=DIRECTION('ref_axis',(-0.17158162381438,-0.985169907360766,0.)); #375109=DIRECTION('',(-0.17158162381438,-0.985169907360766,0.)); #375110=DIRECTION('',(-0.17158162381438,-0.985169907360766,0.)); #375111=DIRECTION('',(0.,0.,1.)); #375112=DIRECTION('center_axis',(-0.854977625343709,0.518664882329267,0.)); #375113=DIRECTION('ref_axis',(-0.518664882329267,-0.854977625343709,0.)); #375114=DIRECTION('',(-0.518664882329267,-0.854977625343709,0.)); #375115=DIRECTION('',(-0.518664882329267,-0.854977625343709,0.)); #375116=DIRECTION('',(0.,0.,1.)); #375117=DIRECTION('center_axis',(-0.585108834823648,0.810954777661069,0.)); #375118=DIRECTION('ref_axis',(-0.810954777661069,-0.585108834823648,0.)); #375119=DIRECTION('',(-0.810954777661069,-0.585108834823648,0.)); #375120=DIRECTION('',(-0.810954777661069,-0.585108834823648,0.)); #375121=DIRECTION('',(0.,0.,1.)); #375122=DIRECTION('center_axis',(-0.222366385256163,0.974963174026644,0.)); #375123=DIRECTION('ref_axis',(-0.974963174026644,-0.222366385256163,0.)); #375124=DIRECTION('',(-0.974963174026644,-0.222366385256163,0.)); #375125=DIRECTION('',(-0.974963174026644,-0.222366385256163,0.)); #375126=DIRECTION('',(0.,0.,1.)); #375127=DIRECTION('center_axis',(0.175489337071246,0.9844813317551,0.)); #375128=DIRECTION('ref_axis',(-0.9844813317551,0.175489337071246,0.)); #375129=DIRECTION('',(-0.9844813317551,0.175489337071246,0.)); #375130=DIRECTION('',(-0.9844813317551,0.175489337071246,0.)); #375131=DIRECTION('',(0.,0.,1.)); #375132=DIRECTION('center_axis',(0.545604781570663,0.838042613670229,0.)); #375133=DIRECTION('ref_axis',(-0.838042613670229,0.545604781570663,0.)); #375134=DIRECTION('',(-0.838042613670229,0.545604781570663,0.)); #375135=DIRECTION('',(-0.838042613670229,0.545604781570663,0.)); #375136=DIRECTION('',(0.,0.,1.)); #375137=DIRECTION('center_axis',(0.829249817914684,0.55887810789873,0.)); #375138=DIRECTION('ref_axis',(-0.55887810789873,0.829249817914683,0.)); #375139=DIRECTION('',(-0.55887810789873,0.829249817914683,0.)); #375140=DIRECTION('',(-0.55887810789873,0.829249817914683,0.)); #375141=DIRECTION('',(0.,0.,1.)); #375142=DIRECTION('center_axis',(0.923877479452201,0.382688388850574,0.)); #375143=DIRECTION('ref_axis',(-0.382688388850574,0.923877479452201,0.)); #375144=DIRECTION('',(-0.382688388850574,0.923877479452201,0.)); #375145=DIRECTION('',(-0.382688388850574,0.923877479452201,0.)); #375146=DIRECTION('',(0.,0.,1.)); #375147=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #375148=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #375149=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #375150=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #375151=DIRECTION('',(0.,0.,1.)); #375152=DIRECTION('center_axis',(0.382688667805841,0.923877363903343,0.)); #375153=DIRECTION('ref_axis',(-0.923877363903343,0.382688667805841,0.)); #375154=DIRECTION('',(-0.923877363903343,0.382688667805841,0.)); #375155=DIRECTION('',(-0.923877363903343,0.382688667805841,0.)); #375156=DIRECTION('',(0.,0.,1.)); #375157=DIRECTION('center_axis',(0.,1.,0.)); #375158=DIRECTION('ref_axis',(-1.,0.,0.)); #375159=DIRECTION('',(-1.,0.,0.)); #375160=DIRECTION('',(-1.,0.,0.)); #375161=DIRECTION('',(0.,0.,1.)); #375162=DIRECTION('center_axis',(-0.382688667805837,0.923877363903345,0.)); #375163=DIRECTION('ref_axis',(-0.923877363903345,-0.382688667805837,0.)); #375164=DIRECTION('',(-0.923877363903345,-0.382688667805837,0.)); #375165=DIRECTION('',(-0.923877363903345,-0.382688667805837,0.)); #375166=DIRECTION('',(0.,0.,1.)); #375167=DIRECTION('center_axis',(-0.707106781186556,0.707106781186539,0.)); #375168=DIRECTION('ref_axis',(-0.707106781186539,-0.707106781186556,0.)); #375169=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #375170=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #375171=DIRECTION('',(0.,0.,1.)); #375172=DIRECTION('center_axis',(-0.572058771549325,0.820212632122596,0.)); #375173=DIRECTION('ref_axis',(-0.820212632122596,-0.572058771549325,0.)); #375174=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #375175=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #375176=DIRECTION('',(0.,0.,1.)); #375177=DIRECTION('center_axis',(-0.230196907019318,0.973144071552994,0.)); #375178=DIRECTION('ref_axis',(-0.973144071552994,-0.230196907019318,0.)); #375179=DIRECTION('',(-0.973144071552994,-0.230196907019318,0.)); #375180=DIRECTION('',(-0.973144071552994,-0.230196907019318,0.)); #375181=DIRECTION('',(0.,0.,1.)); #375182=DIRECTION('center_axis',(0.167675934908011,0.985842168327529,0.)); #375183=DIRECTION('ref_axis',(-0.985842168327529,0.167675934908011,0.)); #375184=DIRECTION('',(-0.985842168327529,0.167675934908011,0.)); #375185=DIRECTION('',(-0.985842168327529,0.167675934908011,0.)); #375186=DIRECTION('',(0.,0.,1.)); #375187=DIRECTION('center_axis',(0.538888069291905,0.842377379073562,0.)); #375188=DIRECTION('ref_axis',(-0.842377379073562,0.538888069291905,0.)); #375189=DIRECTION('',(-0.842377379073562,0.538888069291905,0.)); #375190=DIRECTION('',(-0.842377379073562,0.538888069291905,0.)); #375191=DIRECTION('',(0.,0.,1.)); #375192=DIRECTION('center_axis',(0.824756093515064,0.565488626065787,0.)); #375193=DIRECTION('ref_axis',(-0.565488626065787,0.824756093515064,0.)); #375194=DIRECTION('',(-0.565488626065787,0.824756093515064,0.)); #375195=DIRECTION('',(-0.565488626065787,0.824756093515064,0.)); #375196=DIRECTION('',(0.,0.,1.)); #375197=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #375198=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #375199=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #375200=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #375201=DIRECTION('',(0.,0.,1.)); #375202=DIRECTION('center_axis',(1.,0.,0.)); #375203=DIRECTION('ref_axis',(0.,1.,0.)); #375204=DIRECTION('',(0.,1.,0.)); #375205=DIRECTION('',(0.,1.,0.)); #375206=DIRECTION('',(0.,0.,1.)); #375207=DIRECTION('center_axis',(0.984479063846077,-0.17550205938607,0.)); #375208=DIRECTION('ref_axis',(0.17550205938607,0.984479063846077,0.)); #375209=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #375210=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #375211=DIRECTION('',(0.,0.,1.)); #375212=DIRECTION('center_axis',(0.850871695642159,-0.525373540973504,0.)); #375213=DIRECTION('ref_axis',(0.525373540973504,0.850871695642159,0.)); #375214=DIRECTION('',(0.525373540973504,0.850871695642159,0.)); #375215=DIRECTION('',(0.525373540973504,0.850871695642159,0.)); #375216=DIRECTION('',(0.,0.,1.)); #375217=DIRECTION('center_axis',(0.578483828224917,-0.815693852178772,0.)); #375218=DIRECTION('ref_axis',(0.815693852178772,0.578483828224917,0.)); #375219=DIRECTION('',(0.815693852178772,0.578483828224917,0.)); #375220=DIRECTION('',(0.815693852178772,0.578483828224917,0.)); #375221=DIRECTION('center_axis',(0.,0.,1.)); #375222=DIRECTION('ref_axis',(1.,0.,0.)); #375223=DIRECTION('center_axis',(0.,0.,1.)); #375224=DIRECTION('ref_axis',(1.,0.,0.)); #375225=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #375226=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #375227=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #375228=DIRECTION('',(0.,0.,1.)); #375229=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #375230=DIRECTION('',(0.,0.,1.)); #375231=DIRECTION('center_axis',(0.,-1.,0.)); #375232=DIRECTION('ref_axis',(1.,0.,0.)); #375233=DIRECTION('',(1.,0.,0.)); #375234=DIRECTION('',(1.,0.,0.)); #375235=DIRECTION('',(0.,0.,1.)); #375236=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #375237=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #375238=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #375239=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #375240=DIRECTION('',(0.,0.,1.)); #375241=DIRECTION('center_axis',(-0.538911466745914,-0.842362410729353, 0.)); #375242=DIRECTION('ref_axis',(0.842362410729353,-0.538911466745914,0.)); #375243=DIRECTION('',(0.842362410729353,-0.538911466745914,0.)); #375244=DIRECTION('',(0.842362410729353,-0.538911466745914,0.)); #375245=DIRECTION('',(0.,0.,1.)); #375246=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #375247=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #375248=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #375249=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #375250=DIRECTION('',(0.,0.,1.)); #375251=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #375252=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #375253=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #375254=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #375255=DIRECTION('',(0.,0.,1.)); #375256=DIRECTION('center_axis',(-1.,0.,0.)); #375257=DIRECTION('ref_axis',(0.,-1.,0.)); #375258=DIRECTION('',(0.,-1.,0.)); #375259=DIRECTION('',(0.,-1.,0.)); #375260=DIRECTION('',(0.,0.,1.)); #375261=DIRECTION('center_axis',(-0.984479063846082,0.175502059386041,0.)); #375262=DIRECTION('ref_axis',(-0.175502059386041,-0.984479063846082,0.)); #375263=DIRECTION('',(-0.175502059386041,-0.984479063846082,0.)); #375264=DIRECTION('',(-0.175502059386041,-0.984479063846082,0.)); #375265=DIRECTION('',(0.,0.,1.)); #375266=DIRECTION('center_axis',(-0.850871695642265,0.525373540973332,0.)); #375267=DIRECTION('ref_axis',(-0.525373540973332,-0.850871695642265,0.)); #375268=DIRECTION('',(-0.525373540973332,-0.850871695642265,0.)); #375269=DIRECTION('',(-0.525373540973332,-0.850871695642265,0.)); #375270=DIRECTION('',(0.,0.,1.)); #375271=DIRECTION('center_axis',(-0.578483828224751,0.81569385217889,0.)); #375272=DIRECTION('ref_axis',(-0.81569385217889,-0.578483828224751,0.)); #375273=DIRECTION('',(-0.81569385217889,-0.578483828224751,0.)); #375274=DIRECTION('',(-0.81569385217889,-0.578483828224751,0.)); #375275=DIRECTION('',(0.,0.,1.)); #375276=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #375277=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #375278=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #375279=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #375280=DIRECTION('',(0.,0.,1.)); #375281=DIRECTION('center_axis',(0.183334818573788,0.983050529880594,0.)); #375282=DIRECTION('ref_axis',(-0.983050529880594,0.183334818573788,0.)); #375283=DIRECTION('',(-0.983050529880594,0.183334818573788,0.)); #375284=DIRECTION('',(-0.983050529880594,0.183334818573788,0.)); #375285=DIRECTION('',(0.,0.,1.)); #375286=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #375287=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #375288=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #375289=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #375290=DIRECTION('',(0.,0.,1.)); #375291=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #375292=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #375293=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #375294=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #375295=DIRECTION('',(0.,0.,1.)); #375296=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #375297=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #375298=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #375299=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #375300=DIRECTION('',(0.,0.,1.)); #375301=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #375302=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #375303=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #375304=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #375305=DIRECTION('',(0.,0.,1.)); #375306=DIRECTION('center_axis',(1.,0.,0.)); #375307=DIRECTION('ref_axis',(0.,1.,0.)); #375308=DIRECTION('',(0.,1.,0.)); #375309=DIRECTION('',(0.,1.,0.)); #375310=DIRECTION('',(0.,0.,1.)); #375311=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #375312=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #375313=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #375314=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #375315=DIRECTION('',(0.,0.,1.)); #375316=DIRECTION('center_axis',(0.842362410729372,-0.538911466745885,0.)); #375317=DIRECTION('ref_axis',(0.538911466745885,0.842362410729372,0.)); #375318=DIRECTION('',(0.538911466745885,0.842362410729372,0.)); #375319=DIRECTION('',(0.538911466745885,0.842362410729372,0.)); #375320=DIRECTION('',(0.,0.,1.)); #375321=DIRECTION('center_axis',(0.565504004714863,-0.824745549034035,0.)); #375322=DIRECTION('ref_axis',(0.824745549034035,0.565504004714863,0.)); #375323=DIRECTION('',(0.824745549034035,0.565504004714863,0.)); #375324=DIRECTION('',(0.824745549034035,0.565504004714863,0.)); #375325=DIRECTION('center_axis',(0.,0.,1.)); #375326=DIRECTION('ref_axis',(1.,0.,0.)); #375327=DIRECTION('center_axis',(0.,0.,1.)); #375328=DIRECTION('ref_axis',(1.,0.,0.)); #375329=DIRECTION('center_axis',(0.214674314516579,-0.976685690837557,0.)); #375330=DIRECTION('ref_axis',(0.976685690837557,0.214674314516579,0.)); #375331=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #375332=DIRECTION('',(0.,0.,1.)); #375333=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #375334=DIRECTION('',(0.,0.,1.)); #375335=DIRECTION('center_axis',(-0.183334818573673,-0.983050529880615, 0.)); #375336=DIRECTION('ref_axis',(0.983050529880615,-0.183334818573673,0.)); #375337=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #375338=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #375339=DIRECTION('',(0.,0.,1.)); #375340=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #375341=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #375342=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #375343=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #375344=DIRECTION('',(0.,0.,1.)); #375345=DIRECTION('center_axis',(-0.707106781186554,-0.707106781186541, 0.)); #375346=DIRECTION('ref_axis',(0.707106781186541,-0.707106781186554,0.)); #375347=DIRECTION('',(0.707106781186541,-0.707106781186554,0.)); #375348=DIRECTION('',(0.707106781186541,-0.707106781186554,0.)); #375349=DIRECTION('',(0.,0.,1.)); #375350=DIRECTION('center_axis',(-0.829203833807271,-0.558946331949074, 0.)); #375351=DIRECTION('ref_axis',(0.558946331949074,-0.829203833807271,0.)); #375352=DIRECTION('',(0.558946331949074,-0.829203833807271,0.)); #375353=DIRECTION('',(0.558946331949074,-0.829203833807271,0.)); #375354=DIRECTION('',(0.,0.,1.)); #375355=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #375356=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #375357=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #375358=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #375359=DIRECTION('',(0.,0.,1.)); #375360=DIRECTION('center_axis',(-1.,0.,0.)); #375361=DIRECTION('ref_axis',(0.,-1.,0.)); #375362=DIRECTION('',(0.,-1.,0.)); #375363=DIRECTION('',(0.,-1.,0.)); #375364=DIRECTION('',(0.,0.,1.)); #375365=DIRECTION('center_axis',(-0.984479063846082,0.175502059386041,0.)); #375366=DIRECTION('ref_axis',(-0.175502059386041,-0.984479063846082,0.)); #375367=DIRECTION('',(-0.175502059386041,-0.984479063846082,0.)); #375368=DIRECTION('',(-0.175502059386041,-0.984479063846082,0.)); #375369=DIRECTION('',(0.,0.,1.)); #375370=DIRECTION('center_axis',(-0.850880796063525,0.525358802048945,0.)); #375371=DIRECTION('ref_axis',(-0.525358802048945,-0.850880796063525,0.)); #375372=DIRECTION('',(-0.525358802048945,-0.850880796063525,0.)); #375373=DIRECTION('',(-0.525358802048945,-0.850880796063525,0.)); #375374=DIRECTION('',(0.,0.,1.)); #375375=DIRECTION('center_axis',(-0.57846188858929,0.815709411156762,0.)); #375376=DIRECTION('ref_axis',(-0.815709411156762,-0.57846188858929,0.)); #375377=DIRECTION('',(-0.815709411156762,-0.57846188858929,0.)); #375378=DIRECTION('',(-0.815709411156762,-0.57846188858929,0.)); #375379=DIRECTION('',(0.,0.,1.)); #375380=DIRECTION('center_axis',(-0.21467431451661,0.976685690837551,0.)); #375381=DIRECTION('ref_axis',(-0.976685690837551,-0.21467431451661,0.)); #375382=DIRECTION('',(-0.976685690837551,-0.21467431451661,0.)); #375383=DIRECTION('',(-0.976685690837551,-0.21467431451661,0.)); #375384=DIRECTION('',(0.,0.,1.)); #375385=DIRECTION('center_axis',(0.183334818573646,0.98305052988062,0.)); #375386=DIRECTION('ref_axis',(-0.98305052988062,0.183334818573646,0.)); #375387=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #375388=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #375389=DIRECTION('',(0.,0.,1.)); #375390=DIRECTION('center_axis',(0.552278225391859,0.833659859749778,0.)); #375391=DIRECTION('ref_axis',(-0.833659859749778,0.552278225391859,0.)); #375392=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #375393=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #375394=DIRECTION('',(0.,0.,1.)); #375395=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #375396=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #375397=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #375398=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #375399=DIRECTION('',(0.,0.,1.)); #375400=DIRECTION('center_axis',(0.38268379423653,0.923879382619145,0.)); #375401=DIRECTION('ref_axis',(-0.923879382619145,0.38268379423653,0.)); #375402=DIRECTION('',(-0.923879382619145,0.38268379423653,0.)); #375403=DIRECTION('',(-0.923879382619145,0.38268379423653,0.)); #375404=DIRECTION('',(0.,0.,1.)); #375405=DIRECTION('center_axis',(0.548897495819296,0.835889669204797,0.)); #375406=DIRECTION('ref_axis',(-0.835889669204797,0.548897495819296,0.)); #375407=DIRECTION('',(-0.835889669204797,0.548897495819296,0.)); #375408=DIRECTION('',(-0.835889669204797,0.548897495819296,0.)); #375409=DIRECTION('',(0.,0.,1.)); #375410=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #375411=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #375412=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #375413=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #375414=DIRECTION('',(0.,0.,1.)); #375415=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #375416=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #375417=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #375418=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #375419=DIRECTION('',(0.,0.,1.)); #375420=DIRECTION('center_axis',(1.,0.,0.)); #375421=DIRECTION('ref_axis',(0.,1.,0.)); #375422=DIRECTION('',(0.,1.,0.)); #375423=DIRECTION('',(0.,1.,0.)); #375424=DIRECTION('',(0.,0.,1.)); #375425=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #375426=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #375427=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #375428=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #375429=DIRECTION('',(0.,0.,1.)); #375430=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #375431=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #375432=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #375433=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #375434=DIRECTION('',(0.,0.,1.)); #375435=DIRECTION('center_axis',(0.707108784529055,-0.707104777838364,0.)); #375436=DIRECTION('ref_axis',(0.707104777838364,0.707108784529055,0.)); #375437=DIRECTION('',(0.707104777838364,0.707108784529055,0.)); #375438=DIRECTION('',(0.707104777838364,0.707108784529055,0.)); #375439=DIRECTION('',(0.,0.,1.)); #375440=DIRECTION('center_axis',(1.,0.,0.)); #375441=DIRECTION('ref_axis',(0.,1.,0.)); #375442=DIRECTION('',(0.,1.,0.)); #375443=DIRECTION('',(0.,1.,0.)); #375444=DIRECTION('',(0.,0.,1.)); #375445=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #375446=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #375447=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #375448=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #375449=DIRECTION('',(0.,0.,1.)); #375450=DIRECTION('center_axis',(0.,1.,0.)); #375451=DIRECTION('ref_axis',(-1.,0.,0.)); #375452=DIRECTION('',(-1.,0.,0.)); #375453=DIRECTION('',(-1.,0.,0.)); #375454=DIRECTION('',(0.,0.,1.)); #375455=DIRECTION('center_axis',(0.17550205938607,0.984479063846077,0.)); #375456=DIRECTION('ref_axis',(-0.984479063846077,0.17550205938607,0.)); #375457=DIRECTION('',(-0.984479063846077,0.17550205938607,0.)); #375458=DIRECTION('',(-0.984479063846077,0.17550205938607,0.)); #375459=DIRECTION('',(0.,0.,1.)); #375460=DIRECTION('center_axis',(0.525373540973569,0.850871695642118,0.)); #375461=DIRECTION('ref_axis',(-0.850871695642118,0.525373540973569,0.)); #375462=DIRECTION('',(-0.850871695642118,0.525373540973569,0.)); #375463=DIRECTION('',(-0.850871695642118,0.525373540973569,0.)); #375464=DIRECTION('',(0.,0.,1.)); #375465=DIRECTION('center_axis',(0.815693852178821,0.578483828224848,0.)); #375466=DIRECTION('ref_axis',(-0.578483828224848,0.815693852178821,0.)); #375467=DIRECTION('',(-0.578483828224848,0.815693852178821,0.)); #375468=DIRECTION('',(-0.578483828224848,0.815693852178821,0.)); #375469=DIRECTION('',(0.,0.,1.)); #375470=DIRECTION('center_axis',(0.976692604453644,0.214642857802347,0.)); #375471=DIRECTION('ref_axis',(-0.214642857802347,0.976692604453643,0.)); #375472=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #375473=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #375474=DIRECTION('',(0.,0.,1.)); #375475=DIRECTION('center_axis',(0.983056472197924,-0.183302952703363,0.)); #375476=DIRECTION('ref_axis',(0.183302952703363,0.983056472197924,0.)); #375477=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #375478=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #375479=DIRECTION('',(0.,0.,1.)); #375480=DIRECTION('center_axis',(0.833659859749643,-0.552278225392063,0.)); #375481=DIRECTION('ref_axis',(0.552278225392063,0.833659859749643,0.)); #375482=DIRECTION('',(0.552278225392063,0.833659859749643,0.)); #375483=DIRECTION('',(0.552278225392063,0.833659859749643,0.)); #375484=DIRECTION('',(0.,0.,1.)); #375485=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #375486=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #375487=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #375488=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #375489=DIRECTION('',(0.,0.,1.)); #375490=DIRECTION('center_axis',(0.565439331101364,-0.824789890119685,0.)); #375491=DIRECTION('ref_axis',(0.824789890119685,0.565439331101364,0.)); #375492=DIRECTION('',(0.824789890119685,0.565439331101364,0.)); #375493=DIRECTION('',(0.824789890119685,0.565439331101364,0.)); #375494=DIRECTION('center_axis',(0.,0.,1.)); #375495=DIRECTION('ref_axis',(1.,0.,0.)); #375496=DIRECTION('center_axis',(0.,0.,1.)); #375497=DIRECTION('ref_axis',(1.,0.,0.)); #375498=DIRECTION('center_axis',(0.,0.,1.)); #375499=DIRECTION('ref_axis',(1.,0.,0.)); #375500=DIRECTION('center_axis',(0.,0.,1.)); #375501=DIRECTION('ref_axis',(1.,0.,0.)); #375502=DIRECTION('',(0.,0.,1.)); #375503=DIRECTION('center_axis',(0.,0.,-1.)); #375504=DIRECTION('ref_axis',(1.,0.,0.)); #375505=DIRECTION('center_axis',(0.,0.,1.)); #375506=DIRECTION('ref_axis',(1.,0.,0.)); #375507=DIRECTION('center_axis',(0.,0.,1.)); #375508=DIRECTION('ref_axis',(1.,0.,0.)); #375509=DIRECTION('',(0.,0.,1.)); #375510=DIRECTION('center_axis',(0.,0.,-1.)); #375511=DIRECTION('ref_axis',(1.,0.,0.)); #375512=DIRECTION('center_axis',(0.,0.,1.)); #375513=DIRECTION('ref_axis',(1.,0.,0.)); #375514=DIRECTION('center_axis',(0.,0.,1.)); #375515=DIRECTION('ref_axis',(1.,0.,0.)); #375516=DIRECTION('',(0.,0.,1.)); #375517=DIRECTION('center_axis',(0.,0.,-1.)); #375518=DIRECTION('ref_axis',(1.,0.,0.)); #375519=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #375520=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #375521=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #375522=DIRECTION('',(0.,0.,1.)); #375523=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #375524=DIRECTION('',(0.,0.,1.)); #375525=DIRECTION('center_axis',(0.,-1.,0.)); #375526=DIRECTION('ref_axis',(1.,0.,0.)); #375527=DIRECTION('',(1.,0.,0.)); #375528=DIRECTION('',(1.,0.,0.)); #375529=DIRECTION('',(0.,0.,1.)); #375530=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #375531=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #375532=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #375533=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #375534=DIRECTION('',(0.,0.,1.)); #375535=DIRECTION('center_axis',(-0.55226304508323,-0.833669916115124,0.)); #375536=DIRECTION('ref_axis',(0.833669916115124,-0.55226304508323,0.)); #375537=DIRECTION('',(0.833669916115124,-0.55226304508323,0.)); #375538=DIRECTION('',(0.833669916115124,-0.55226304508323,0.)); #375539=DIRECTION('',(0.,0.,1.)); #375540=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #375541=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #375542=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #375543=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #375544=DIRECTION('',(0.,0.,1.)); #375545=DIRECTION('center_axis',(-0.829214556504256,-0.558930424365547, 0.)); #375546=DIRECTION('ref_axis',(0.558930424365547,-0.829214556504256,0.)); #375547=DIRECTION('',(0.558930424365547,-0.829214556504256,0.)); #375548=DIRECTION('',(0.558930424365547,-0.829214556504256,0.)); #375549=DIRECTION('',(0.,0.,1.)); #375550=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #375551=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #375552=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #375553=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #375554=DIRECTION('',(0.,0.,1.)); #375555=DIRECTION('center_axis',(-1.,0.,0.)); #375556=DIRECTION('ref_axis',(0.,-1.,0.)); #375557=DIRECTION('',(0.,-1.,0.)); #375558=DIRECTION('',(0.,-1.,0.)); #375559=DIRECTION('',(0.,0.,1.)); #375560=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #375561=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #375562=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #375563=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #375564=DIRECTION('',(0.,0.,1.)); #375565=DIRECTION('center_axis',(0.,-1.,0.)); #375566=DIRECTION('ref_axis',(1.,0.,0.)); #375567=DIRECTION('',(1.,0.,0.)); #375568=DIRECTION('',(1.,0.,0.)); #375569=DIRECTION('',(0.,0.,1.)); #375570=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #375571=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #375572=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #375573=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #375574=DIRECTION('',(0.,0.,1.)); #375575=DIRECTION('center_axis',(1.,0.,0.)); #375576=DIRECTION('ref_axis',(0.,1.,0.)); #375577=DIRECTION('',(0.,1.,0.)); #375578=DIRECTION('',(0.,1.,0.)); #375579=DIRECTION('',(0.,0.,1.)); #375580=DIRECTION('center_axis',(0.983056036329382,-0.183305290257444,0.)); #375581=DIRECTION('ref_axis',(0.183305290257444,0.983056036329381,0.)); #375582=DIRECTION('',(0.183305290257444,0.983056036329381,0.)); #375583=DIRECTION('',(0.183305290257444,0.983056036329381,0.)); #375584=DIRECTION('',(0.,0.,1.)); #375585=DIRECTION('center_axis',(0.842362410729372,-0.538911466745885,0.)); #375586=DIRECTION('ref_axis',(0.538911466745885,0.842362410729372,0.)); #375587=DIRECTION('',(0.538911466745885,0.842362410729372,0.)); #375588=DIRECTION('',(0.538911466745885,0.842362410729372,0.)); #375589=DIRECTION('',(0.,0.,1.)); #375590=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #375591=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #375592=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #375593=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #375594=DIRECTION('',(0.,0.,1.)); #375595=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #375596=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #375597=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #375598=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #375599=DIRECTION('',(0.,0.,1.)); #375600=DIRECTION('center_axis',(0.,-1.,0.)); #375601=DIRECTION('ref_axis',(1.,0.,0.)); #375602=DIRECTION('',(1.,0.,0.)); #375603=DIRECTION('',(1.,0.,0.)); #375604=DIRECTION('',(0.,0.,1.)); #375605=DIRECTION('center_axis',(-0.191149856928945,-0.981560865252911, 0.)); #375606=DIRECTION('ref_axis',(0.981560865252911,-0.191149856928945,0.)); #375607=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #375608=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #375609=DIRECTION('',(0.,0.,1.)); #375610=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #375611=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #375612=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #375613=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #375614=DIRECTION('',(0.,0.,1.)); #375615=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #375616=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #375617=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #375618=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #375619=DIRECTION('',(0.,0.,1.)); #375620=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #375621=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #375622=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #375623=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #375624=DIRECTION('',(0.,0.,1.)); #375625=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #375626=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #375627=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #375628=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #375629=DIRECTION('',(0.,0.,1.)); #375630=DIRECTION('center_axis',(-1.,0.,0.)); #375631=DIRECTION('ref_axis',(0.,-1.,0.)); #375632=DIRECTION('',(0.,-1.,0.)); #375633=DIRECTION('',(0.,-1.,0.)); #375634=DIRECTION('',(0.,0.,1.)); #375635=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #375636=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #375637=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #375638=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #375639=DIRECTION('',(0.,0.,1.)); #375640=DIRECTION('center_axis',(0.,-1.,0.)); #375641=DIRECTION('ref_axis',(1.,0.,0.)); #375642=DIRECTION('',(1.,0.,0.)); #375643=DIRECTION('',(1.,0.,0.)); #375644=DIRECTION('',(0.,0.,1.)); #375645=DIRECTION('center_axis',(-0.183305290257108,-0.983056036329444, 0.)); #375646=DIRECTION('ref_axis',(0.983056036329444,-0.183305290257108,0.)); #375647=DIRECTION('',(0.983056036329444,-0.183305290257108,0.)); #375648=DIRECTION('',(0.983056036329444,-0.183305290257108,0.)); #375649=DIRECTION('',(0.,0.,1.)); #375650=DIRECTION('center_axis',(-0.538911466746088,-0.842362410729242, 0.)); #375651=DIRECTION('ref_axis',(0.842362410729242,-0.538911466746088,0.)); #375652=DIRECTION('',(0.842362410729242,-0.538911466746088,0.)); #375653=DIRECTION('',(0.842362410729242,-0.538911466746088,0.)); #375654=DIRECTION('',(0.,0.,1.)); #375655=DIRECTION('center_axis',(-0.824745549033933,-0.565504004715012, 0.)); #375656=DIRECTION('ref_axis',(0.565504004715012,-0.824745549033933,0.)); #375657=DIRECTION('',(0.565504004715012,-0.824745549033933,0.)); #375658=DIRECTION('',(0.565504004715012,-0.824745549033933,0.)); #375659=DIRECTION('',(0.,0.,1.)); #375660=DIRECTION('center_axis',(-0.980008419561327,-0.19895601923267,0.)); #375661=DIRECTION('ref_axis',(0.19895601923267,-0.980008419561327,0.)); #375662=DIRECTION('',(0.19895601923267,-0.980008419561327,0.)); #375663=DIRECTION('',(0.19895601923267,-0.980008419561327,0.)); #375664=DIRECTION('',(0.,0.,1.)); #375665=DIRECTION('center_axis',(-1.,0.,0.)); #375666=DIRECTION('ref_axis',(0.,-1.,0.)); #375667=DIRECTION('',(0.,-1.,0.)); #375668=DIRECTION('',(0.,-1.,0.)); #375669=DIRECTION('',(0.,0.,1.)); #375670=DIRECTION('center_axis',(-0.983056036329391,0.183305290257396,0.)); #375671=DIRECTION('ref_axis',(-0.183305290257396,-0.983056036329391,0.)); #375672=DIRECTION('',(-0.183305290257396,-0.983056036329391,0.)); #375673=DIRECTION('',(-0.183305290257396,-0.983056036329391,0.)); #375674=DIRECTION('',(0.,0.,1.)); #375675=DIRECTION('center_axis',(-0.842362410729415,0.538911466745818,0.)); #375676=DIRECTION('ref_axis',(-0.538911466745818,-0.842362410729414,0.)); #375677=DIRECTION('',(-0.538911466745818,-0.842362410729415,0.)); #375678=DIRECTION('',(-0.538911466745818,-0.842362410729415,0.)); #375679=DIRECTION('',(0.,0.,1.)); #375680=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #375681=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #375682=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #375683=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #375684=DIRECTION('',(0.,0.,1.)); #375685=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #375686=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #375687=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #375688=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #375689=DIRECTION('',(0.,0.,1.)); #375690=DIRECTION('center_axis',(0.,1.,0.)); #375691=DIRECTION('ref_axis',(-1.,0.,0.)); #375692=DIRECTION('',(-1.,0.,0.)); #375693=DIRECTION('',(-1.,0.,0.)); #375694=DIRECTION('',(0.,0.,1.)); #375695=DIRECTION('center_axis',(-0.70710678118653,0.707106781186565,0.)); #375696=DIRECTION('ref_axis',(-0.707106781186565,-0.70710678118653,0.)); #375697=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #375698=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #375699=DIRECTION('',(0.,0.,1.)); #375700=DIRECTION('center_axis',(-1.,0.,0.)); #375701=DIRECTION('ref_axis',(0.,-1.,0.)); #375702=DIRECTION('',(0.,-1.,0.)); #375703=DIRECTION('',(0.,-1.,0.)); #375704=DIRECTION('',(0.,0.,1.)); #375705=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #375706=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #375707=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #375708=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #375709=DIRECTION('',(0.,0.,1.)); #375710=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #375711=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #375712=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #375713=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #375714=DIRECTION('',(0.,0.,1.)); #375715=DIRECTION('center_axis',(-0.707106781186532,0.707106781186563,0.)); #375716=DIRECTION('ref_axis',(-0.707106781186563,-0.707106781186532,0.)); #375717=DIRECTION('',(-0.707106781186563,-0.707106781186532,0.)); #375718=DIRECTION('',(-0.707106781186563,-0.707106781186532,0.)); #375719=DIRECTION('',(0.,0.,1.)); #375720=DIRECTION('center_axis',(-0.558946331949074,0.829203833807271,0.)); #375721=DIRECTION('ref_axis',(-0.829203833807271,-0.558946331949074,0.)); #375722=DIRECTION('',(-0.829203833807271,-0.558946331949074,0.)); #375723=DIRECTION('',(-0.829203833807271,-0.558946331949074,0.)); #375724=DIRECTION('',(0.,0.,1.)); #375725=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #375726=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #375727=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #375728=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #375729=DIRECTION('',(0.,0.,1.)); #375730=DIRECTION('center_axis',(0.,1.,0.)); #375731=DIRECTION('ref_axis',(-1.,0.,0.)); #375732=DIRECTION('',(-1.,0.,0.)); #375733=DIRECTION('',(-1.,0.,0.)); #375734=DIRECTION('',(0.,0.,1.)); #375735=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #375736=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #375737=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #375738=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #375739=DIRECTION('',(0.,0.,1.)); #375740=DIRECTION('center_axis',(0.538911466745847,0.842362410729396,0.)); #375741=DIRECTION('ref_axis',(-0.842362410729396,0.538911466745847,0.)); #375742=DIRECTION('',(-0.842362410729396,0.538911466745847,0.)); #375743=DIRECTION('',(-0.842362410729396,0.538911466745847,0.)); #375744=DIRECTION('',(0.,0.,1.)); #375745=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #375746=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #375747=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #375748=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #375749=DIRECTION('',(0.,0.,1.)); #375750=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #375751=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #375752=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #375753=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #375754=DIRECTION('',(0.,0.,1.)); #375755=DIRECTION('center_axis',(1.,0.,0.)); #375756=DIRECTION('ref_axis',(0.,1.,0.)); #375757=DIRECTION('',(0.,1.,0.)); #375758=DIRECTION('',(0.,1.,0.)); #375759=DIRECTION('',(0.,0.,1.)); #375760=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #375761=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #375762=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #375763=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #375764=DIRECTION('',(0.,0.,1.)); #375765=DIRECTION('center_axis',(0.,1.,0.)); #375766=DIRECTION('ref_axis',(-1.,0.,0.)); #375767=DIRECTION('',(-1.,0.,0.)); #375768=DIRECTION('',(-1.,0.,0.)); #375769=DIRECTION('',(0.,0.,1.)); #375770=DIRECTION('center_axis',(-0.70710678118653,0.707106781186565,0.)); #375771=DIRECTION('ref_axis',(-0.707106781186565,-0.70710678118653,0.)); #375772=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #375773=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #375774=DIRECTION('',(0.,0.,1.)); #375775=DIRECTION('center_axis',(-1.,0.,0.)); #375776=DIRECTION('ref_axis',(0.,-1.,0.)); #375777=DIRECTION('',(0.,-1.,0.)); #375778=DIRECTION('',(0.,-1.,0.)); #375779=DIRECTION('',(0.,0.,1.)); #375780=DIRECTION('center_axis',(-0.981560865252934,0.191149856928827,0.)); #375781=DIRECTION('ref_axis',(-0.191149856928827,-0.981560865252934,0.)); #375782=DIRECTION('',(-0.191149856928827,-0.981560865252934,0.)); #375783=DIRECTION('',(-0.191149856928827,-0.981560865252934,0.)); #375784=DIRECTION('',(0.,0.,1.)); #375785=DIRECTION('center_axis',(-0.833669916115169,0.552263045083163,0.)); #375786=DIRECTION('ref_axis',(-0.552263045083163,-0.833669916115169,0.)); #375787=DIRECTION('',(-0.552263045083163,-0.833669916115169,0.)); #375788=DIRECTION('',(-0.552263045083163,-0.833669916115169,0.)); #375789=DIRECTION('',(0.,0.,1.)); #375790=DIRECTION('center_axis',(-0.707106364293931,0.707107198078918,0.)); #375791=DIRECTION('ref_axis',(-0.707107198078918,-0.707106364293931,0.)); #375792=DIRECTION('',(-0.707107198078918,-0.707106364293931,0.)); #375793=DIRECTION('',(-0.707107198078918,-0.707106364293931,0.)); #375794=DIRECTION('',(0.,0.,1.)); #375795=DIRECTION('center_axis',(-0.565456021552409,0.824778447639196,0.)); #375796=DIRECTION('ref_axis',(-0.824778447639196,-0.565456021552409,0.)); #375797=DIRECTION('',(-0.824778447639196,-0.565456021552409,0.)); #375798=DIRECTION('',(-0.824778447639196,-0.565456021552409,0.)); #375799=DIRECTION('',(0.,0.,1.)); #375800=DIRECTION('center_axis',(-0.214667400660583,0.976687210468955,0.)); #375801=DIRECTION('ref_axis',(-0.976687210468955,-0.214667400660583,0.)); #375802=DIRECTION('',(-0.976687210468955,-0.214667400660583,0.)); #375803=DIRECTION('',(-0.976687210468955,-0.214667400660583,0.)); #375804=DIRECTION('',(0.,0.,1.)); #375805=DIRECTION('center_axis',(0.183334818573788,0.983050529880594,0.)); #375806=DIRECTION('ref_axis',(-0.983050529880594,0.183334818573788,0.)); #375807=DIRECTION('',(-0.983050529880594,0.183334818573788,0.)); #375808=DIRECTION('',(-0.983050529880594,0.183334818573788,0.)); #375809=DIRECTION('',(0.,0.,1.)); #375810=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #375811=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #375812=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #375813=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #375814=DIRECTION('',(0.,0.,1.)); #375815=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #375816=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #375817=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #375818=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #375819=DIRECTION('',(0.,0.,1.)); #375820=DIRECTION('center_axis',(0.820212632122627,0.572058771549281,0.)); #375821=DIRECTION('ref_axis',(-0.572058771549281,0.820212632122627,0.)); #375822=DIRECTION('',(-0.572058771549281,0.820212632122627,0.)); #375823=DIRECTION('',(-0.572058771549281,0.820212632122627,0.)); #375824=DIRECTION('',(0.,0.,1.)); #375825=DIRECTION('center_axis',(0.973151456886423,0.23016568371465,0.)); #375826=DIRECTION('ref_axis',(-0.23016568371465,0.973151456886423,0.)); #375827=DIRECTION('',(-0.23016568371465,0.973151456886423,0.)); #375828=DIRECTION('',(-0.23016568371465,0.973151456886423,0.)); #375829=DIRECTION('',(0.,0.,1.)); #375830=DIRECTION('center_axis',(0.985847618607394,-0.167643887112329,0.)); #375831=DIRECTION('ref_axis',(0.167643887112329,0.985847618607393,0.)); #375832=DIRECTION('',(0.167643887112329,0.985847618607393,0.)); #375833=DIRECTION('',(0.167643887112329,0.985847618607393,0.)); #375834=DIRECTION('',(0.,0.,1.)); #375835=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #375836=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #375837=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #375838=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #375839=DIRECTION('',(0.,0.,1.)); #375840=DIRECTION('center_axis',(0.565504004715131,-0.824745549033852,0.)); #375841=DIRECTION('ref_axis',(0.824745549033852,0.565504004715131,0.)); #375842=DIRECTION('',(0.824745549033852,0.565504004715131,0.)); #375843=DIRECTION('',(0.824745549033852,0.565504004715131,0.)); #375844=DIRECTION('',(0.,0.,1.)); #375845=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #375846=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #375847=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #375848=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #375849=DIRECTION('',(0.,0.,1.)); #375850=DIRECTION('center_axis',(0.,-1.,0.)); #375851=DIRECTION('ref_axis',(1.,0.,0.)); #375852=DIRECTION('',(1.,0.,0.)); #375853=DIRECTION('',(1.,0.,0.)); #375854=DIRECTION('',(0.,0.,1.)); #375855=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #375856=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #375857=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #375858=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #375859=DIRECTION('',(0.,0.,1.)); #375860=DIRECTION('center_axis',(1.,0.,0.)); #375861=DIRECTION('ref_axis',(0.,1.,0.)); #375862=DIRECTION('',(0.,1.,0.)); #375863=DIRECTION('',(0.,1.,0.)); #375864=DIRECTION('',(0.,0.,1.)); #375865=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #375866=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #375867=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #375868=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #375869=DIRECTION('',(0.,0.,1.)); #375870=DIRECTION('center_axis',(0.,1.,0.)); #375871=DIRECTION('ref_axis',(-1.,0.,0.)); #375872=DIRECTION('',(-1.,0.,0.)); #375873=DIRECTION('',(-1.,0.,0.)); #375874=DIRECTION('',(0.,0.,1.)); #375875=DIRECTION('center_axis',(0.191149856928917,0.981560865252917,0.)); #375876=DIRECTION('ref_axis',(-0.981560865252917,0.191149856928917,0.)); #375877=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #375878=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #375879=DIRECTION('',(0.,0.,1.)); #375880=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #375881=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #375882=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #375883=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #375884=DIRECTION('',(0.,0.,1.)); #375885=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #375886=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #375887=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #375888=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #375889=DIRECTION('',(0.,0.,1.)); #375890=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #375891=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #375892=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #375893=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #375894=DIRECTION('',(0.,0.,1.)); #375895=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #375896=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #375897=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #375898=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #375899=DIRECTION('',(0.,0.,1.)); #375900=DIRECTION('center_axis',(1.,0.,0.)); #375901=DIRECTION('ref_axis',(0.,1.,0.)); #375902=DIRECTION('',(0.,1.,0.)); #375903=DIRECTION('',(0.,1.,0.)); #375904=DIRECTION('',(0.,0.,1.)); #375905=DIRECTION('center_axis',(0.984479063846087,-0.175502059386013,0.)); #375906=DIRECTION('ref_axis',(0.175502059386013,0.984479063846087,0.)); #375907=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #375908=DIRECTION('',(0.175502059386013,0.984479063846087,0.)); #375909=DIRECTION('',(0.,0.,1.)); #375910=DIRECTION('center_axis',(0.85088079606363,-0.525358802048774,0.)); #375911=DIRECTION('ref_axis',(0.525358802048774,0.85088079606363,0.)); #375912=DIRECTION('',(0.525358802048774,0.85088079606363,0.)); #375913=DIRECTION('',(0.525358802048774,0.85088079606363,0.)); #375914=DIRECTION('',(0.,0.,1.)); #375915=DIRECTION('center_axis',(0.578461888589124,-0.81570941115688,0.)); #375916=DIRECTION('ref_axis',(0.81570941115688,0.578461888589123,0.)); #375917=DIRECTION('',(0.81570941115688,0.578461888589123,0.)); #375918=DIRECTION('',(0.81570941115688,0.578461888589123,0.)); #375919=DIRECTION('',(0.,0.,1.)); #375920=DIRECTION('center_axis',(0.21467431451661,-0.976685690837551,0.)); #375921=DIRECTION('ref_axis',(0.976685690837551,0.21467431451661,0.)); #375922=DIRECTION('',(0.976685690837551,0.21467431451661,0.)); #375923=DIRECTION('',(0.976685690837551,0.21467431451661,0.)); #375924=DIRECTION('',(0.,0.,1.)); #375925=DIRECTION('center_axis',(-0.183334818573505,-0.983050529880647, 0.)); #375926=DIRECTION('ref_axis',(0.983050529880647,-0.183334818573505,0.)); #375927=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #375928=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #375929=DIRECTION('',(0.,0.,1.)); #375930=DIRECTION('center_axis',(-0.552278225391995,-0.833659859749687, 0.)); #375931=DIRECTION('ref_axis',(0.833659859749687,-0.552278225391995,0.)); #375932=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #375933=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #375934=DIRECTION('',(0.,0.,1.)); #375935=DIRECTION('center_axis',(-0.707093581138329,-0.707119980988356, 0.)); #375936=DIRECTION('ref_axis',(0.707119980988356,-0.707093581138329,0.)); #375937=DIRECTION('',(0.707119980988356,-0.707093581138329,0.)); #375938=DIRECTION('',(0.707119980988356,-0.707093581138329,0.)); #375939=DIRECTION('',(0.,0.,1.)); #375940=DIRECTION('center_axis',(0.,-1.,0.)); #375941=DIRECTION('ref_axis',(1.,0.,0.)); #375942=DIRECTION('',(1.,0.,0.)); #375943=DIRECTION('',(1.,0.,0.)); #375944=DIRECTION('',(0.,0.,1.)); #375945=DIRECTION('center_axis',(0.707104777838364,-0.707108784529055,0.)); #375946=DIRECTION('ref_axis',(0.707108784529055,0.707104777838364,0.)); #375947=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #375948=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #375949=DIRECTION('',(0.,0.,1.)); #375950=DIRECTION('center_axis',(0.558946331948898,-0.829203833807389,0.)); #375951=DIRECTION('ref_axis',(0.829203833807389,0.558946331948898,0.)); #375952=DIRECTION('',(0.829203833807389,0.558946331948898,0.)); #375953=DIRECTION('',(0.829203833807389,0.558946331948898,0.)); #375954=DIRECTION('center_axis',(0.,0.,1.)); #375955=DIRECTION('ref_axis',(1.,0.,0.)); #375956=DIRECTION('center_axis',(0.,0.,1.)); #375957=DIRECTION('ref_axis',(1.,0.,0.)); #375958=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #375959=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #375960=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #375961=DIRECTION('',(0.,0.,1.)); #375962=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #375963=DIRECTION('',(0.,0.,1.)); #375964=DIRECTION('center_axis',(0.,-1.,0.)); #375965=DIRECTION('ref_axis',(1.,0.,0.)); #375966=DIRECTION('',(1.,0.,0.)); #375967=DIRECTION('',(1.,0.,0.)); #375968=DIRECTION('',(0.,0.,1.)); #375969=DIRECTION('center_axis',(-0.191149856928872,-0.981560865252926, 0.)); #375970=DIRECTION('ref_axis',(0.981560865252926,-0.191149856928872,0.)); #375971=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #375972=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #375973=DIRECTION('',(0.,0.,1.)); #375974=DIRECTION('center_axis',(-0.552278225391843,-0.833659859749789, 0.)); #375975=DIRECTION('ref_axis',(0.833659859749789,-0.552278225391843,0.)); #375976=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #375977=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #375978=DIRECTION('',(0.,0.,1.)); #375979=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #375980=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #375981=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #375982=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #375983=DIRECTION('',(0.,0.,1.)); #375984=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #375985=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #375986=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #375987=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #375988=DIRECTION('',(0.,0.,1.)); #375989=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #375990=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #375991=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #375992=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #375993=DIRECTION('',(0.,0.,1.)); #375994=DIRECTION('center_axis',(-1.,0.,0.)); #375995=DIRECTION('ref_axis',(0.,-1.,0.)); #375996=DIRECTION('',(0.,-1.,0.)); #375997=DIRECTION('',(0.,-1.,0.)); #375998=DIRECTION('',(0.,0.,1.)); #375999=DIRECTION('center_axis',(-0.984479063846077,0.17550205938607,0.)); #376000=DIRECTION('ref_axis',(-0.17550205938607,-0.984479063846077,0.)); #376001=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #376002=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #376003=DIRECTION('',(0.,0.,1.)); #376004=DIRECTION('center_axis',(-0.850871695642159,0.525373540973504,0.)); #376005=DIRECTION('ref_axis',(-0.525373540973504,-0.850871695642159,0.)); #376006=DIRECTION('',(-0.525373540973504,-0.850871695642159,0.)); #376007=DIRECTION('',(-0.525373540973504,-0.850871695642159,0.)); #376008=DIRECTION('',(0.,0.,1.)); #376009=DIRECTION('center_axis',(-0.578483828224848,0.815693852178821,0.)); #376010=DIRECTION('ref_axis',(-0.815693852178821,-0.578483828224848,0.)); #376011=DIRECTION('',(-0.815693852178821,-0.578483828224848,0.)); #376012=DIRECTION('',(-0.815693852178821,-0.578483828224848,0.)); #376013=DIRECTION('',(0.,0.,1.)); #376014=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #376015=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #376016=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #376017=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #376018=DIRECTION('',(0.,0.,1.)); #376019=DIRECTION('center_axis',(0.183334818573646,0.98305052988062,0.)); #376020=DIRECTION('ref_axis',(-0.98305052988062,0.183334818573646,0.)); #376021=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #376022=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #376023=DIRECTION('',(0.,0.,1.)); #376024=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #376025=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #376026=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #376027=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #376028=DIRECTION('',(0.,0.,1.)); #376029=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #376030=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #376031=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #376032=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #376033=DIRECTION('',(0.,0.,1.)); #376034=DIRECTION('center_axis',(0.829203833807364,0.558946331948935,0.)); #376035=DIRECTION('ref_axis',(-0.558946331948935,0.829203833807364,0.)); #376036=DIRECTION('',(-0.558946331948935,0.829203833807364,0.)); #376037=DIRECTION('',(-0.558946331948935,0.829203833807364,0.)); #376038=DIRECTION('',(0.,0.,1.)); #376039=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #376040=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #376041=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #376042=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #376043=DIRECTION('',(0.,0.,1.)); #376044=DIRECTION('center_axis',(1.,0.,0.)); #376045=DIRECTION('ref_axis',(0.,1.,0.)); #376046=DIRECTION('',(0.,1.,0.)); #376047=DIRECTION('',(0.,1.,0.)); #376048=DIRECTION('',(0.,0.,1.)); #376049=DIRECTION('center_axis',(0.981560865252911,-0.191149856928945,0.)); #376050=DIRECTION('ref_axis',(0.191149856928945,0.981560865252911,0.)); #376051=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #376052=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #376053=DIRECTION('',(0.,0.,1.)); #376054=DIRECTION('center_axis',(0.833669916115192,-0.552263045083128,0.)); #376055=DIRECTION('ref_axis',(0.552263045083128,0.833669916115192,0.)); #376056=DIRECTION('',(0.552263045083128,0.833669916115192,0.)); #376057=DIRECTION('',(0.552263045083128,0.833669916115192,0.)); #376058=DIRECTION('',(0.,0.,1.)); #376059=DIRECTION('center_axis',(0.707106781186507,-0.707106781186588,0.)); #376060=DIRECTION('ref_axis',(0.707106781186588,0.707106781186507,0.)); #376061=DIRECTION('',(0.707106781186588,0.707106781186507,0.)); #376062=DIRECTION('',(0.707106781186588,0.707106781186507,0.)); #376063=DIRECTION('',(0.,0.,1.)); #376064=DIRECTION('center_axis',(1.,0.,0.)); #376065=DIRECTION('ref_axis',(0.,1.,0.)); #376066=DIRECTION('',(0.,1.,0.)); #376067=DIRECTION('',(0.,1.,0.)); #376068=DIRECTION('',(0.,0.,1.)); #376069=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #376070=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #376071=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #376072=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #376073=DIRECTION('',(0.,0.,1.)); #376074=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #376075=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #376076=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #376077=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #376078=DIRECTION('',(0.,0.,1.)); #376079=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #376080=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #376081=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #376082=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #376083=DIRECTION('',(0.,0.,1.)); #376084=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #376085=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #376086=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #376087=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #376088=DIRECTION('',(0.,0.,1.)); #376089=DIRECTION('center_axis',(0.,-1.,0.)); #376090=DIRECTION('ref_axis',(1.,0.,0.)); #376091=DIRECTION('',(1.,0.,0.)); #376092=DIRECTION('',(1.,0.,0.)); #376093=DIRECTION('',(0.,0.,1.)); #376094=DIRECTION('center_axis',(0.707106781186507,-0.707106781186588,0.)); #376095=DIRECTION('ref_axis',(0.707106781186588,0.707106781186507,0.)); #376096=DIRECTION('',(0.707106781186588,0.707106781186507,0.)); #376097=DIRECTION('',(0.707106781186588,0.707106781186507,0.)); #376098=DIRECTION('',(0.,0.,1.)); #376099=DIRECTION('center_axis',(0.5589304243656,-0.82921455650422,0.)); #376100=DIRECTION('ref_axis',(0.82921455650422,0.5589304243656,0.)); #376101=DIRECTION('',(0.82921455650422,0.5589304243656,0.)); #376102=DIRECTION('',(0.82921455650422,0.5589304243656,0.)); #376103=DIRECTION('center_axis',(0.,0.,1.)); #376104=DIRECTION('ref_axis',(1.,0.,0.)); #376105=DIRECTION('center_axis',(0.,0.,1.)); #376106=DIRECTION('ref_axis',(1.,0.,0.)); #376107=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #376108=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #376109=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #376110=DIRECTION('',(0.,0.,1.)); #376111=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #376112=DIRECTION('',(0.,0.,1.)); #376113=DIRECTION('center_axis',(0.,-1.,0.)); #376114=DIRECTION('ref_axis',(1.,0.,0.)); #376115=DIRECTION('',(1.,0.,0.)); #376116=DIRECTION('',(1.,0.,0.)); #376117=DIRECTION('',(0.,0.,1.)); #376118=DIRECTION('center_axis',(-0.175502059385909,-0.984479063846106, 0.)); #376119=DIRECTION('ref_axis',(0.984479063846106,-0.175502059385909,0.)); #376120=DIRECTION('',(0.984479063846106,-0.175502059385909,0.)); #376121=DIRECTION('',(0.984479063846106,-0.175502059385909,0.)); #376122=DIRECTION('',(0.,0.,1.)); #376123=DIRECTION('center_axis',(-0.525373540973544,-0.850871695642134, 0.)); #376124=DIRECTION('ref_axis',(0.850871695642134,-0.525373540973544,0.)); #376125=DIRECTION('',(0.850871695642134,-0.525373540973544,0.)); #376126=DIRECTION('',(0.850871695642134,-0.525373540973544,0.)); #376127=DIRECTION('',(0.,0.,1.)); #376128=DIRECTION('center_axis',(-0.815693852178841,-0.57848382822482,0.)); #376129=DIRECTION('ref_axis',(0.57848382822482,-0.815693852178841,0.)); #376130=DIRECTION('',(0.57848382822482,-0.815693852178841,0.)); #376131=DIRECTION('',(0.57848382822482,-0.815693852178841,0.)); #376132=DIRECTION('',(0.,0.,1.)); #376133=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #376134=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #376135=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #376136=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #376137=DIRECTION('',(0.,0.,1.)); #376138=DIRECTION('center_axis',(-0.983056472197898,0.183302952703505,0.)); #376139=DIRECTION('ref_axis',(-0.183302952703505,-0.983056472197898,0.)); #376140=DIRECTION('',(-0.183302952703505,-0.983056472197898,0.)); #376141=DIRECTION('',(-0.183302952703505,-0.983056472197898,0.)); #376142=DIRECTION('',(0.,0.,1.)); #376143=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #376144=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #376145=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #376146=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #376147=DIRECTION('',(0.,0.,1.)); #376148=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #376149=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #376150=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #376151=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #376152=DIRECTION('',(0.,0.,1.)); #376153=DIRECTION('center_axis',(-0.572058771549281,0.820212632122627,0.)); #376154=DIRECTION('ref_axis',(-0.820212632122627,-0.572058771549281,0.)); #376155=DIRECTION('',(-0.820212632122627,-0.572058771549281,0.)); #376156=DIRECTION('',(-0.820212632122627,-0.572058771549281,0.)); #376157=DIRECTION('',(0.,0.,1.)); #376158=DIRECTION('center_axis',(-0.230165683714683,0.973151456886415,0.)); #376159=DIRECTION('ref_axis',(-0.973151456886415,-0.230165683714683,0.)); #376160=DIRECTION('',(-0.973151456886415,-0.230165683714683,0.)); #376161=DIRECTION('',(-0.973151456886415,-0.230165683714683,0.)); #376162=DIRECTION('',(0.,0.,1.)); #376163=DIRECTION('center_axis',(0.167643887112304,0.985847618607398,0.)); #376164=DIRECTION('ref_axis',(-0.985847618607398,0.167643887112304,0.)); #376165=DIRECTION('',(-0.985847618607398,0.167643887112304,0.)); #376166=DIRECTION('',(-0.985847618607398,0.167643887112304,0.)); #376167=DIRECTION('',(0.,0.,1.)); #376168=DIRECTION('center_axis',(0.538911466746122,0.84236241072922,0.)); #376169=DIRECTION('ref_axis',(-0.84236241072922,0.538911466746122,0.)); #376170=DIRECTION('',(-0.84236241072922,0.538911466746122,0.)); #376171=DIRECTION('',(-0.84236241072922,0.538911466746122,0.)); #376172=DIRECTION('',(0.,0.,1.)); #376173=DIRECTION('center_axis',(0.824745549033852,0.565504004715131,0.)); #376174=DIRECTION('ref_axis',(-0.565504004715131,0.824745549033852,0.)); #376175=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #376176=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #376177=DIRECTION('',(0.,0.,1.)); #376178=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #376179=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #376180=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #376181=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #376182=DIRECTION('',(0.,0.,1.)); #376183=DIRECTION('center_axis',(1.,0.,0.)); #376184=DIRECTION('ref_axis',(0.,1.,0.)); #376185=DIRECTION('',(0.,1.,0.)); #376186=DIRECTION('',(0.,1.,0.)); #376187=DIRECTION('',(0.,0.,1.)); #376188=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #376189=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #376190=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #376191=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #376192=DIRECTION('',(0.,0.,1.)); #376193=DIRECTION('center_axis',(0.842362410729306,-0.538911466745989,0.)); #376194=DIRECTION('ref_axis',(0.538911466745989,0.842362410729306,0.)); #376195=DIRECTION('',(0.538911466745989,0.842362410729306,0.)); #376196=DIRECTION('',(0.538911466745989,0.842362410729306,0.)); #376197=DIRECTION('',(0.,0.,1.)); #376198=DIRECTION('center_axis',(0.565504004714931,-0.824745549033988,0.)); #376199=DIRECTION('ref_axis',(0.824745549033988,0.565504004714931,0.)); #376200=DIRECTION('',(0.824745549033988,0.565504004714931,0.)); #376201=DIRECTION('',(0.824745549033988,0.565504004714931,0.)); #376202=DIRECTION('center_axis',(0.,0.,1.)); #376203=DIRECTION('ref_axis',(1.,0.,0.)); #376204=DIRECTION('center_axis',(0.,0.,1.)); #376205=DIRECTION('ref_axis',(1.,0.,0.)); #376206=DIRECTION('center_axis',(0.,0.,1.)); #376207=DIRECTION('ref_axis',(1.,0.,0.)); #376208=DIRECTION('center_axis',(0.,0.,1.)); #376209=DIRECTION('ref_axis',(1.,0.,0.)); #376210=DIRECTION('',(0.,0.,1.)); #376211=DIRECTION('center_axis',(0.,0.,-1.)); #376212=DIRECTION('ref_axis',(1.,0.,0.)); #376213=DIRECTION('center_axis',(0.,0.,1.)); #376214=DIRECTION('ref_axis',(1.,0.,0.)); #376215=DIRECTION('center_axis',(0.,0.,1.)); #376216=DIRECTION('ref_axis',(1.,0.,0.)); #376217=DIRECTION('',(0.,0.,1.)); #376218=DIRECTION('center_axis',(0.,0.,-1.)); #376219=DIRECTION('ref_axis',(1.,0.,0.)); #376220=DIRECTION('center_axis',(0.,0.,1.)); #376221=DIRECTION('ref_axis',(1.,0.,0.)); #376222=DIRECTION('center_axis',(0.,0.,1.)); #376223=DIRECTION('ref_axis',(1.,0.,0.)); #376224=DIRECTION('',(0.,0.,1.)); #376225=DIRECTION('center_axis',(0.,0.,-1.)); #376226=DIRECTION('ref_axis',(1.,0.,0.)); #376227=DIRECTION('center_axis',(0.,0.,1.)); #376228=DIRECTION('ref_axis',(1.,0.,0.)); #376229=DIRECTION('center_axis',(0.,0.,1.)); #376230=DIRECTION('ref_axis',(1.,0.,0.)); #376231=DIRECTION('',(0.,0.,1.)); #376232=DIRECTION('center_axis',(0.,0.,-1.)); #376233=DIRECTION('ref_axis',(1.,0.,0.)); #376234=DIRECTION('center_axis',(0.,0.,1.)); #376235=DIRECTION('ref_axis',(1.,0.,0.)); #376236=DIRECTION('center_axis',(0.,0.,1.)); #376237=DIRECTION('ref_axis',(1.,0.,0.)); #376238=DIRECTION('',(0.,0.,1.)); #376239=DIRECTION('center_axis',(0.,0.,-1.)); #376240=DIRECTION('ref_axis',(1.,0.,0.)); #376241=DIRECTION('center_axis',(0.,0.,1.)); #376242=DIRECTION('ref_axis',(1.,0.,0.)); #376243=DIRECTION('center_axis',(0.,0.,1.)); #376244=DIRECTION('ref_axis',(1.,0.,0.)); #376245=DIRECTION('',(0.,0.,1.)); #376246=DIRECTION('center_axis',(0.,0.,-1.)); #376247=DIRECTION('ref_axis',(1.,0.,0.)); #376248=DIRECTION('center_axis',(0.,0.,1.)); #376249=DIRECTION('ref_axis',(1.,0.,0.)); #376250=DIRECTION('center_axis',(0.,0.,1.)); #376251=DIRECTION('ref_axis',(1.,0.,0.)); #376252=DIRECTION('',(0.,0.,1.)); #376253=DIRECTION('center_axis',(0.,0.,-1.)); #376254=DIRECTION('ref_axis',(1.,0.,0.)); #376255=DIRECTION('center_axis',(0.,0.,1.)); #376256=DIRECTION('ref_axis',(1.,0.,0.)); #376257=DIRECTION('center_axis',(0.,0.,1.)); #376258=DIRECTION('ref_axis',(1.,0.,0.)); #376259=DIRECTION('',(0.,0.,1.)); #376260=DIRECTION('center_axis',(0.,0.,-1.)); #376261=DIRECTION('ref_axis',(1.,0.,0.)); #376262=DIRECTION('center_axis',(0.,0.,1.)); #376263=DIRECTION('ref_axis',(1.,0.,0.)); #376264=DIRECTION('center_axis',(0.,0.,1.)); #376265=DIRECTION('ref_axis',(1.,0.,0.)); #376266=DIRECTION('',(0.,0.,1.)); #376267=DIRECTION('center_axis',(0.,0.,-1.)); #376268=DIRECTION('ref_axis',(1.,0.,0.)); #376269=DIRECTION('center_axis',(0.,0.,1.)); #376270=DIRECTION('ref_axis',(1.,0.,0.)); #376271=DIRECTION('center_axis',(0.,0.,1.)); #376272=DIRECTION('ref_axis',(1.,0.,0.)); #376273=DIRECTION('',(0.,0.,1.)); #376274=DIRECTION('center_axis',(0.,0.,-1.)); #376275=DIRECTION('ref_axis',(1.,0.,0.)); #376276=DIRECTION('center_axis',(0.,0.,1.)); #376277=DIRECTION('ref_axis',(1.,0.,0.)); #376278=DIRECTION('center_axis',(0.,0.,1.)); #376279=DIRECTION('ref_axis',(1.,0.,0.)); #376280=DIRECTION('',(0.,0.,1.)); #376281=DIRECTION('center_axis',(0.,0.,-1.)); #376282=DIRECTION('ref_axis',(1.,0.,0.)); #376283=DIRECTION('center_axis',(0.,0.,1.)); #376284=DIRECTION('ref_axis',(1.,0.,0.)); #376285=DIRECTION('center_axis',(0.,0.,1.)); #376286=DIRECTION('ref_axis',(1.,0.,0.)); #376287=DIRECTION('',(0.,0.,1.)); #376288=DIRECTION('center_axis',(0.,0.,-1.)); #376289=DIRECTION('ref_axis',(1.,0.,0.)); #376290=DIRECTION('center_axis',(0.,0.,1.)); #376291=DIRECTION('ref_axis',(1.,0.,0.)); #376292=DIRECTION('center_axis',(0.,0.,1.)); #376293=DIRECTION('ref_axis',(1.,0.,0.)); #376294=DIRECTION('',(0.,0.,1.)); #376295=DIRECTION('center_axis',(0.,0.,-1.)); #376296=DIRECTION('ref_axis',(1.,0.,0.)); #376297=DIRECTION('center_axis',(0.,0.,1.)); #376298=DIRECTION('ref_axis',(1.,0.,0.)); #376299=DIRECTION('center_axis',(0.,0.,1.)); #376300=DIRECTION('ref_axis',(1.,0.,0.)); #376301=DIRECTION('',(0.,0.,1.)); #376302=DIRECTION('center_axis',(0.,0.,-1.)); #376303=DIRECTION('ref_axis',(1.,0.,0.)); #376304=DIRECTION('center_axis',(0.,0.,1.)); #376305=DIRECTION('ref_axis',(1.,0.,0.)); #376306=DIRECTION('center_axis',(0.,0.,1.)); #376307=DIRECTION('ref_axis',(1.,0.,0.)); #376308=DIRECTION('',(0.,0.,1.)); #376309=DIRECTION('center_axis',(0.,0.,-1.)); #376310=DIRECTION('ref_axis',(1.,0.,0.)); #376311=DIRECTION('center_axis',(0.,0.,1.)); #376312=DIRECTION('ref_axis',(1.,0.,0.)); #376313=DIRECTION('center_axis',(0.,0.,1.)); #376314=DIRECTION('ref_axis',(1.,0.,0.)); #376315=DIRECTION('',(0.,0.,1.)); #376316=DIRECTION('center_axis',(0.,0.,-1.)); #376317=DIRECTION('ref_axis',(1.,0.,0.)); #376318=DIRECTION('center_axis',(0.,0.,1.)); #376319=DIRECTION('ref_axis',(1.,0.,0.)); #376320=DIRECTION('center_axis',(0.,0.,1.)); #376321=DIRECTION('ref_axis',(1.,0.,0.)); #376322=DIRECTION('',(0.,0.,1.)); #376323=DIRECTION('center_axis',(0.,0.,-1.)); #376324=DIRECTION('ref_axis',(1.,0.,0.)); #376325=DIRECTION('center_axis',(0.,0.,1.)); #376326=DIRECTION('ref_axis',(1.,0.,0.)); #376327=DIRECTION('center_axis',(0.,0.,1.)); #376328=DIRECTION('ref_axis',(1.,0.,0.)); #376329=DIRECTION('',(0.,0.,1.)); #376330=DIRECTION('center_axis',(0.,0.,-1.)); #376331=DIRECTION('ref_axis',(1.,0.,0.)); #376332=DIRECTION('center_axis',(0.,0.,1.)); #376333=DIRECTION('ref_axis',(1.,0.,0.)); #376334=DIRECTION('center_axis',(0.,0.,1.)); #376335=DIRECTION('ref_axis',(1.,0.,0.)); #376336=DIRECTION('',(0.,0.,1.)); #376337=DIRECTION('center_axis',(0.,0.,-1.)); #376338=DIRECTION('ref_axis',(1.,0.,0.)); #376339=DIRECTION('center_axis',(0.,0.,1.)); #376340=DIRECTION('ref_axis',(1.,0.,0.)); #376341=DIRECTION('center_axis',(0.,0.,1.)); #376342=DIRECTION('ref_axis',(1.,0.,0.)); #376343=DIRECTION('',(0.,0.,1.)); #376344=DIRECTION('center_axis',(0.,0.,-1.)); #376345=DIRECTION('ref_axis',(1.,0.,0.)); #376346=DIRECTION('center_axis',(0.,0.,1.)); #376347=DIRECTION('ref_axis',(1.,0.,0.)); #376348=DIRECTION('center_axis',(0.,0.,1.)); #376349=DIRECTION('ref_axis',(1.,0.,0.)); #376350=DIRECTION('',(0.,0.,1.)); #376351=DIRECTION('center_axis',(0.,0.,-1.)); #376352=DIRECTION('ref_axis',(1.,0.,0.)); #376353=DIRECTION('center_axis',(0.,0.,1.)); #376354=DIRECTION('ref_axis',(1.,0.,0.)); #376355=DIRECTION('center_axis',(0.,0.,1.)); #376356=DIRECTION('ref_axis',(1.,0.,0.)); #376357=DIRECTION('',(0.,0.,1.)); #376358=DIRECTION('center_axis',(0.,0.,-1.)); #376359=DIRECTION('ref_axis',(1.,0.,0.)); #376360=DIRECTION('center_axis',(0.,0.,1.)); #376361=DIRECTION('ref_axis',(1.,0.,0.)); #376362=DIRECTION('center_axis',(0.,0.,1.)); #376363=DIRECTION('ref_axis',(1.,0.,0.)); #376364=DIRECTION('',(0.,0.,1.)); #376365=DIRECTION('center_axis',(0.,0.,-1.)); #376366=DIRECTION('ref_axis',(1.,0.,0.)); #376367=DIRECTION('center_axis',(0.,0.,1.)); #376368=DIRECTION('ref_axis',(1.,0.,0.)); #376369=DIRECTION('center_axis',(0.,0.,1.)); #376370=DIRECTION('ref_axis',(1.,0.,0.)); #376371=DIRECTION('',(0.,0.,1.)); #376372=DIRECTION('center_axis',(0.,0.,-1.)); #376373=DIRECTION('ref_axis',(1.,0.,0.)); #376374=DIRECTION('center_axis',(0.,0.,1.)); #376375=DIRECTION('ref_axis',(1.,0.,0.)); #376376=DIRECTION('center_axis',(0.,0.,1.)); #376377=DIRECTION('ref_axis',(1.,0.,0.)); #376378=DIRECTION('',(0.,0.,1.)); #376379=DIRECTION('center_axis',(0.,0.,-1.)); #376380=DIRECTION('ref_axis',(1.,0.,0.)); #376381=DIRECTION('center_axis',(0.,0.,1.)); #376382=DIRECTION('ref_axis',(1.,0.,0.)); #376383=DIRECTION('center_axis',(0.,0.,1.)); #376384=DIRECTION('ref_axis',(1.,0.,0.)); #376385=DIRECTION('',(0.,0.,1.)); #376386=DIRECTION('center_axis',(0.,0.,-1.)); #376387=DIRECTION('ref_axis',(1.,0.,0.)); #376388=DIRECTION('center_axis',(0.,0.,1.)); #376389=DIRECTION('ref_axis',(1.,0.,0.)); #376390=DIRECTION('center_axis',(0.,0.,1.)); #376391=DIRECTION('ref_axis',(1.,0.,0.)); #376392=DIRECTION('',(0.,0.,1.)); #376393=DIRECTION('center_axis',(0.,0.,-1.)); #376394=DIRECTION('ref_axis',(1.,0.,0.)); #376395=DIRECTION('center_axis',(0.,0.,1.)); #376396=DIRECTION('ref_axis',(1.,0.,0.)); #376397=DIRECTION('center_axis',(0.,0.,1.)); #376398=DIRECTION('ref_axis',(1.,0.,0.)); #376399=DIRECTION('',(0.,0.,1.)); #376400=DIRECTION('center_axis',(0.,0.,-1.)); #376401=DIRECTION('ref_axis',(1.,0.,0.)); #376402=DIRECTION('center_axis',(0.,0.,1.)); #376403=DIRECTION('ref_axis',(1.,0.,0.)); #376404=DIRECTION('center_axis',(0.,0.,1.)); #376405=DIRECTION('ref_axis',(1.,0.,0.)); #376406=DIRECTION('',(0.,0.,1.)); #376407=DIRECTION('center_axis',(0.,0.,-1.)); #376408=DIRECTION('ref_axis',(1.,0.,0.)); #376409=DIRECTION('center_axis',(0.,0.,1.)); #376410=DIRECTION('ref_axis',(1.,0.,0.)); #376411=DIRECTION('center_axis',(0.,0.,1.)); #376412=DIRECTION('ref_axis',(1.,0.,0.)); #376413=DIRECTION('',(0.,0.,1.)); #376414=DIRECTION('center_axis',(0.,0.,-1.)); #376415=DIRECTION('ref_axis',(1.,0.,0.)); #376416=DIRECTION('center_axis',(0.,0.,1.)); #376417=DIRECTION('ref_axis',(1.,0.,0.)); #376418=DIRECTION('center_axis',(0.,0.,1.)); #376419=DIRECTION('ref_axis',(1.,0.,0.)); #376420=DIRECTION('',(0.,0.,1.)); #376421=DIRECTION('center_axis',(0.,0.,-1.)); #376422=DIRECTION('ref_axis',(1.,0.,0.)); #376423=DIRECTION('center_axis',(0.,0.,1.)); #376424=DIRECTION('ref_axis',(1.,0.,0.)); #376425=DIRECTION('center_axis',(0.,0.,1.)); #376426=DIRECTION('ref_axis',(1.,0.,0.)); #376427=DIRECTION('',(0.,0.,1.)); #376428=DIRECTION('center_axis',(0.,0.,-1.)); #376429=DIRECTION('ref_axis',(1.,0.,0.)); #376430=DIRECTION('center_axis',(0.,0.,1.)); #376431=DIRECTION('ref_axis',(1.,0.,0.)); #376432=DIRECTION('center_axis',(0.,0.,1.)); #376433=DIRECTION('ref_axis',(1.,0.,0.)); #376434=DIRECTION('',(0.,0.,1.)); #376435=DIRECTION('center_axis',(0.,0.,-1.)); #376436=DIRECTION('ref_axis',(1.,0.,0.)); #376437=DIRECTION('center_axis',(0.,0.,1.)); #376438=DIRECTION('ref_axis',(1.,0.,0.)); #376439=DIRECTION('center_axis',(0.,0.,1.)); #376440=DIRECTION('ref_axis',(1.,0.,0.)); #376441=DIRECTION('',(0.,0.,1.)); #376442=DIRECTION('center_axis',(0.,0.,-1.)); #376443=DIRECTION('ref_axis',(1.,0.,0.)); #376444=DIRECTION('center_axis',(0.,0.,1.)); #376445=DIRECTION('ref_axis',(1.,0.,0.)); #376446=DIRECTION('center_axis',(0.,0.,1.)); #376447=DIRECTION('ref_axis',(1.,0.,0.)); #376448=DIRECTION('',(0.,0.,1.)); #376449=DIRECTION('center_axis',(0.,0.,-1.)); #376450=DIRECTION('ref_axis',(1.,0.,0.)); #376451=DIRECTION('center_axis',(0.,0.,1.)); #376452=DIRECTION('ref_axis',(1.,0.,0.)); #376453=DIRECTION('center_axis',(0.,0.,1.)); #376454=DIRECTION('ref_axis',(1.,0.,0.)); #376455=DIRECTION('',(0.,0.,1.)); #376456=DIRECTION('center_axis',(0.,0.,-1.)); #376457=DIRECTION('ref_axis',(1.,0.,0.)); #376458=DIRECTION('center_axis',(0.,0.,1.)); #376459=DIRECTION('ref_axis',(1.,0.,0.)); #376460=DIRECTION('center_axis',(0.,0.,1.)); #376461=DIRECTION('ref_axis',(1.,0.,0.)); #376462=DIRECTION('',(0.,0.,1.)); #376463=DIRECTION('center_axis',(0.,0.,-1.)); #376464=DIRECTION('ref_axis',(1.,0.,0.)); #376465=DIRECTION('center_axis',(0.,0.,1.)); #376466=DIRECTION('ref_axis',(1.,0.,0.)); #376467=DIRECTION('center_axis',(0.,0.,1.)); #376468=DIRECTION('ref_axis',(1.,0.,0.)); #376469=DIRECTION('',(0.,0.,1.)); #376470=DIRECTION('center_axis',(0.,0.,-1.)); #376471=DIRECTION('ref_axis',(1.,0.,0.)); #376472=DIRECTION('center_axis',(0.,0.,1.)); #376473=DIRECTION('ref_axis',(1.,0.,0.)); #376474=DIRECTION('center_axis',(0.,0.,1.)); #376475=DIRECTION('ref_axis',(1.,0.,0.)); #376476=DIRECTION('',(0.,0.,1.)); #376477=DIRECTION('center_axis',(0.,0.,-1.)); #376478=DIRECTION('ref_axis',(1.,0.,0.)); #376479=DIRECTION('center_axis',(0.,0.,1.)); #376480=DIRECTION('ref_axis',(1.,0.,0.)); #376481=DIRECTION('center_axis',(0.,0.,1.)); #376482=DIRECTION('ref_axis',(1.,0.,0.)); #376483=DIRECTION('',(0.,0.,1.)); #376484=DIRECTION('center_axis',(0.,0.,-1.)); #376485=DIRECTION('ref_axis',(1.,0.,0.)); #376486=DIRECTION('center_axis',(0.,0.,1.)); #376487=DIRECTION('ref_axis',(1.,0.,0.)); #376488=DIRECTION('center_axis',(0.,0.,1.)); #376489=DIRECTION('ref_axis',(1.,0.,0.)); #376490=DIRECTION('',(0.,0.,1.)); #376491=DIRECTION('center_axis',(0.,0.,-1.)); #376492=DIRECTION('ref_axis',(1.,0.,0.)); #376493=DIRECTION('center_axis',(0.,0.,1.)); #376494=DIRECTION('ref_axis',(1.,0.,0.)); #376495=DIRECTION('center_axis',(0.,0.,1.)); #376496=DIRECTION('ref_axis',(1.,0.,0.)); #376497=DIRECTION('',(0.,0.,1.)); #376498=DIRECTION('center_axis',(0.,0.,-1.)); #376499=DIRECTION('ref_axis',(1.,0.,0.)); #376500=DIRECTION('center_axis',(0.,0.,1.)); #376501=DIRECTION('ref_axis',(1.,0.,0.)); #376502=DIRECTION('center_axis',(0.,0.,1.)); #376503=DIRECTION('ref_axis',(1.,0.,0.)); #376504=DIRECTION('',(0.,0.,1.)); #376505=DIRECTION('center_axis',(0.,0.,-1.)); #376506=DIRECTION('ref_axis',(1.,0.,0.)); #376507=DIRECTION('center_axis',(0.,0.,1.)); #376508=DIRECTION('ref_axis',(1.,0.,0.)); #376509=DIRECTION('center_axis',(0.,0.,1.)); #376510=DIRECTION('ref_axis',(1.,0.,0.)); #376511=DIRECTION('',(0.,0.,1.)); #376512=DIRECTION('center_axis',(0.,0.,-1.)); #376513=DIRECTION('ref_axis',(1.,0.,0.)); #376514=DIRECTION('center_axis',(0.,0.,1.)); #376515=DIRECTION('ref_axis',(1.,0.,0.)); #376516=DIRECTION('center_axis',(0.,0.,1.)); #376517=DIRECTION('ref_axis',(1.,0.,0.)); #376518=DIRECTION('',(0.,0.,1.)); #376519=DIRECTION('center_axis',(0.,0.,-1.)); #376520=DIRECTION('ref_axis',(1.,0.,0.)); #376521=DIRECTION('center_axis',(0.,0.,1.)); #376522=DIRECTION('ref_axis',(1.,0.,0.)); #376523=DIRECTION('center_axis',(0.,0.,1.)); #376524=DIRECTION('ref_axis',(1.,0.,0.)); #376525=DIRECTION('',(0.,0.,1.)); #376526=DIRECTION('center_axis',(0.,0.,-1.)); #376527=DIRECTION('ref_axis',(1.,0.,0.)); #376528=DIRECTION('center_axis',(0.,0.,1.)); #376529=DIRECTION('ref_axis',(1.,0.,0.)); #376530=DIRECTION('center_axis',(0.,0.,1.)); #376531=DIRECTION('ref_axis',(1.,0.,0.)); #376532=DIRECTION('',(0.,0.,1.)); #376533=DIRECTION('center_axis',(0.,0.,-1.)); #376534=DIRECTION('ref_axis',(1.,0.,0.)); #376535=DIRECTION('center_axis',(0.,0.,1.)); #376536=DIRECTION('ref_axis',(1.,0.,0.)); #376537=DIRECTION('center_axis',(0.,0.,1.)); #376538=DIRECTION('ref_axis',(1.,0.,0.)); #376539=DIRECTION('',(0.,0.,1.)); #376540=DIRECTION('center_axis',(0.,0.,-1.)); #376541=DIRECTION('ref_axis',(1.,0.,0.)); #376542=DIRECTION('center_axis',(0.,0.,1.)); #376543=DIRECTION('ref_axis',(1.,0.,0.)); #376544=DIRECTION('center_axis',(0.,0.,1.)); #376545=DIRECTION('ref_axis',(1.,0.,0.)); #376546=DIRECTION('',(0.,0.,1.)); #376547=DIRECTION('center_axis',(0.,0.,-1.)); #376548=DIRECTION('ref_axis',(1.,0.,0.)); #376549=DIRECTION('center_axis',(0.214642857802308,-0.976692604453652,0.)); #376550=DIRECTION('ref_axis',(0.976692604453652,0.214642857802308,0.)); #376551=DIRECTION('',(0.976692604453652,0.214642857802308,0.)); #376552=DIRECTION('',(0.,0.,1.)); #376553=DIRECTION('',(0.976692604453652,0.214642857802308,0.)); #376554=DIRECTION('',(0.,0.,1.)); #376555=DIRECTION('center_axis',(-0.183302952703434,-0.983056472197911, 0.)); #376556=DIRECTION('ref_axis',(0.983056472197911,-0.183302952703434,0.)); #376557=DIRECTION('',(0.983056472197911,-0.183302952703434,0.)); #376558=DIRECTION('',(0.983056472197911,-0.183302952703434,0.)); #376559=DIRECTION('',(0.,0.,1.)); #376560=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #376561=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #376562=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #376563=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #376564=DIRECTION('',(0.,0.,1.)); #376565=DIRECTION('center_axis',(0.,-1.,0.)); #376566=DIRECTION('ref_axis',(1.,0.,0.)); #376567=DIRECTION('',(1.,0.,0.)); #376568=DIRECTION('',(1.,0.,0.)); #376569=DIRECTION('',(0.,0.,1.)); #376570=DIRECTION('center_axis',(0.565459253003187,-0.824776232194574,0.)); #376571=DIRECTION('ref_axis',(0.824776232194574,0.565459253003187,0.)); #376572=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #376573=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #376574=DIRECTION('',(0.,0.,1.)); #376575=DIRECTION('center_axis',(0.214642857802277,-0.976692604453659,0.)); #376576=DIRECTION('ref_axis',(0.976692604453659,0.214642857802277,0.)); #376577=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #376578=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #376579=DIRECTION('',(0.,0.,1.)); #376580=DIRECTION('center_axis',(-0.183302952703461,-0.983056472197906, 0.)); #376581=DIRECTION('ref_axis',(0.983056472197906,-0.183302952703461,0.)); #376582=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #376583=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #376584=DIRECTION('',(0.,0.,1.)); #376585=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #376586=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #376587=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #376588=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #376589=DIRECTION('',(0.,0.,1.)); #376590=DIRECTION('center_axis',(0.,-1.,0.)); #376591=DIRECTION('ref_axis',(1.,0.,0.)); #376592=DIRECTION('',(1.,0.,0.)); #376593=DIRECTION('',(1.,0.,0.)); #376594=DIRECTION('',(0.,0.,1.)); #376595=DIRECTION('center_axis',(0.565459253003187,-0.824776232194574,0.)); #376596=DIRECTION('ref_axis',(0.824776232194574,0.565459253003187,0.)); #376597=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #376598=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #376599=DIRECTION('',(0.,0.,1.)); #376600=DIRECTION('center_axis',(0.214642857802277,-0.976692604453659,0.)); #376601=DIRECTION('ref_axis',(0.976692604453659,0.214642857802277,0.)); #376602=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #376603=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #376604=DIRECTION('',(0.,0.,1.)); #376605=DIRECTION('center_axis',(-0.183302952703461,-0.983056472197906, 0.)); #376606=DIRECTION('ref_axis',(0.983056472197906,-0.183302952703461,0.)); #376607=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #376608=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #376609=DIRECTION('',(0.,0.,1.)); #376610=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #376611=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #376612=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #376613=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #376614=DIRECTION('',(0.,0.,1.)); #376615=DIRECTION('center_axis',(0.,-1.,0.)); #376616=DIRECTION('ref_axis',(1.,0.,0.)); #376617=DIRECTION('',(1.,0.,0.)); #376618=DIRECTION('',(1.,0.,0.)); #376619=DIRECTION('',(0.,0.,1.)); #376620=DIRECTION('center_axis',(0.565459253003083,-0.824776232194645,0.)); #376621=DIRECTION('ref_axis',(0.824776232194645,0.565459253003083,0.)); #376622=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #376623=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #376624=DIRECTION('',(0.,0.,1.)); #376625=DIRECTION('center_axis',(0.214642857802277,-0.976692604453659,0.)); #376626=DIRECTION('ref_axis',(0.976692604453659,0.214642857802277,0.)); #376627=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #376628=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #376629=DIRECTION('',(0.,0.,1.)); #376630=DIRECTION('center_axis',(-0.183302952703461,-0.983056472197906, 0.)); #376631=DIRECTION('ref_axis',(0.983056472197906,-0.183302952703461,0.)); #376632=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #376633=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #376634=DIRECTION('',(0.,0.,1.)); #376635=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #376636=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #376637=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #376638=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #376639=DIRECTION('',(0.,0.,1.)); #376640=DIRECTION('center_axis',(0.,-1.,0.)); #376641=DIRECTION('ref_axis',(1.,0.,0.)); #376642=DIRECTION('',(1.,0.,0.)); #376643=DIRECTION('',(1.,0.,0.)); #376644=DIRECTION('',(0.,0.,1.)); #376645=DIRECTION('center_axis',(0.565459253003187,-0.824776232194574,0.)); #376646=DIRECTION('ref_axis',(0.824776232194574,0.565459253003187,0.)); #376647=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #376648=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #376649=DIRECTION('',(0.,0.,1.)); #376650=DIRECTION('center_axis',(0.214642857802277,-0.976692604453659,0.)); #376651=DIRECTION('ref_axis',(0.976692604453659,0.214642857802277,0.)); #376652=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #376653=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #376654=DIRECTION('',(0.,0.,1.)); #376655=DIRECTION('center_axis',(-0.183302952703461,-0.983056472197906, 0.)); #376656=DIRECTION('ref_axis',(0.983056472197906,-0.183302952703461,0.)); #376657=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #376658=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #376659=DIRECTION('',(0.,0.,1.)); #376660=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #376661=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #376662=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #376663=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #376664=DIRECTION('',(0.,0.,1.)); #376665=DIRECTION('center_axis',(0.,-1.,0.)); #376666=DIRECTION('ref_axis',(1.,0.,0.)); #376667=DIRECTION('',(1.,0.,0.)); #376668=DIRECTION('',(1.,0.,0.)); #376669=DIRECTION('',(0.,0.,1.)); #376670=DIRECTION('center_axis',(0.565459253003083,-0.824776232194645,0.)); #376671=DIRECTION('ref_axis',(0.824776232194645,0.565459253003083,0.)); #376672=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #376673=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #376674=DIRECTION('',(0.,0.,1.)); #376675=DIRECTION('center_axis',(0.214642857802308,-0.976692604453652,0.)); #376676=DIRECTION('ref_axis',(0.976692604453652,0.214642857802308,0.)); #376677=DIRECTION('',(0.976692604453652,0.214642857802308,0.)); #376678=DIRECTION('',(0.976692604453652,0.214642857802308,0.)); #376679=DIRECTION('',(0.,0.,1.)); #376680=DIRECTION('center_axis',(-0.183302952703434,-0.983056472197911, 0.)); #376681=DIRECTION('ref_axis',(0.983056472197911,-0.183302952703434,0.)); #376682=DIRECTION('',(0.983056472197911,-0.183302952703434,0.)); #376683=DIRECTION('',(0.983056472197911,-0.183302952703434,0.)); #376684=DIRECTION('',(0.,0.,1.)); #376685=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #376686=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #376687=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #376688=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #376689=DIRECTION('',(0.,0.,1.)); #376690=DIRECTION('center_axis',(0.,-1.,0.)); #376691=DIRECTION('ref_axis',(1.,0.,0.)); #376692=DIRECTION('',(1.,0.,0.)); #376693=DIRECTION('',(1.,0.,0.)); #376694=DIRECTION('',(0.,0.,1.)); #376695=DIRECTION('center_axis',(0.565459253003187,-0.824776232194574,0.)); #376696=DIRECTION('ref_axis',(0.824776232194574,0.565459253003187,0.)); #376697=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #376698=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #376699=DIRECTION('',(0.,0.,1.)); #376700=DIRECTION('center_axis',(0.214642857802277,-0.976692604453659,0.)); #376701=DIRECTION('ref_axis',(0.976692604453659,0.214642857802277,0.)); #376702=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #376703=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #376704=DIRECTION('',(0.,0.,1.)); #376705=DIRECTION('center_axis',(-0.183302952703461,-0.983056472197906, 0.)); #376706=DIRECTION('ref_axis',(0.983056472197906,-0.183302952703461,0.)); #376707=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #376708=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #376709=DIRECTION('',(0.,0.,1.)); #376710=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #376711=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #376712=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #376713=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #376714=DIRECTION('',(0.,0.,1.)); #376715=DIRECTION('center_axis',(0.,-1.,0.)); #376716=DIRECTION('ref_axis',(1.,0.,0.)); #376717=DIRECTION('',(1.,0.,0.)); #376718=DIRECTION('',(1.,0.,0.)); #376719=DIRECTION('',(0.,0.,1.)); #376720=DIRECTION('center_axis',(0.565459253003187,-0.824776232194574,0.)); #376721=DIRECTION('ref_axis',(0.824776232194574,0.565459253003187,0.)); #376722=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #376723=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #376724=DIRECTION('',(0.,0.,1.)); #376725=DIRECTION('center_axis',(0.214642857802277,-0.976692604453659,0.)); #376726=DIRECTION('ref_axis',(0.976692604453659,0.214642857802277,0.)); #376727=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #376728=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #376729=DIRECTION('',(0.,0.,1.)); #376730=DIRECTION('center_axis',(-0.183302952703461,-0.983056472197906, 0.)); #376731=DIRECTION('ref_axis',(0.983056472197906,-0.183302952703461,0.)); #376732=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #376733=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #376734=DIRECTION('',(0.,0.,1.)); #376735=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #376736=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #376737=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #376738=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #376739=DIRECTION('',(0.,0.,1.)); #376740=DIRECTION('center_axis',(0.,-1.,0.)); #376741=DIRECTION('ref_axis',(1.,0.,0.)); #376742=DIRECTION('',(1.,0.,0.)); #376743=DIRECTION('',(1.,0.,0.)); #376744=DIRECTION('',(0.,0.,1.)); #376745=DIRECTION('center_axis',(0.565459253003083,-0.824776232194645,0.)); #376746=DIRECTION('ref_axis',(0.824776232194645,0.565459253003083,0.)); #376747=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #376748=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #376749=DIRECTION('',(0.,0.,1.)); #376750=DIRECTION('center_axis',(0.214642857802277,-0.976692604453659,0.)); #376751=DIRECTION('ref_axis',(0.976692604453659,0.214642857802277,0.)); #376752=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #376753=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #376754=DIRECTION('',(0.,0.,1.)); #376755=DIRECTION('center_axis',(-0.183302952703461,-0.983056472197906, 0.)); #376756=DIRECTION('ref_axis',(0.983056472197906,-0.183302952703461,0.)); #376757=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #376758=DIRECTION('',(0.983056472197906,-0.183302952703461,0.)); #376759=DIRECTION('',(0.,0.,1.)); #376760=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #376761=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #376762=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #376763=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #376764=DIRECTION('',(0.,0.,1.)); #376765=DIRECTION('center_axis',(0.,-1.,0.)); #376766=DIRECTION('ref_axis',(1.,0.,0.)); #376767=DIRECTION('',(1.,0.,0.)); #376768=DIRECTION('',(1.,0.,0.)); #376769=DIRECTION('',(0.,0.,1.)); #376770=DIRECTION('center_axis',(0.565459253003187,-0.824776232194574,0.)); #376771=DIRECTION('ref_axis',(0.824776232194574,0.565459253003187,0.)); #376772=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #376773=DIRECTION('',(0.824776232194574,0.565459253003187,0.)); #376774=DIRECTION('',(0.,0.,1.)); #376775=DIRECTION('center_axis',(0.214642857802277,-0.976692604453659,0.)); #376776=DIRECTION('ref_axis',(0.976692604453659,0.214642857802277,0.)); #376777=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #376778=DIRECTION('',(0.976692604453659,0.214642857802277,0.)); #376779=DIRECTION('',(0.,0.,1.)); #376780=DIRECTION('center_axis',(-0.183302952703434,-0.983056472197911, 0.)); #376781=DIRECTION('ref_axis',(0.983056472197911,-0.183302952703434,0.)); #376782=DIRECTION('',(0.983056472197911,-0.183302952703434,0.)); #376783=DIRECTION('',(0.983056472197911,-0.183302952703434,0.)); #376784=DIRECTION('',(0.,0.,1.)); #376785=DIRECTION('center_axis',(-0.55227195526634,-0.833664013512815,0.)); #376786=DIRECTION('ref_axis',(0.833664013512814,-0.55227195526634,0.)); #376787=DIRECTION('',(0.833664013512814,-0.55227195526634,0.)); #376788=DIRECTION('',(0.833664013512814,-0.55227195526634,0.)); #376789=DIRECTION('',(0.,0.,1.)); #376790=DIRECTION('center_axis',(0.,-1.,0.)); #376791=DIRECTION('ref_axis',(1.,0.,0.)); #376792=DIRECTION('',(1.,0.,0.)); #376793=DIRECTION('',(1.,0.,0.)); #376794=DIRECTION('',(0.,0.,1.)); #376795=DIRECTION('center_axis',(0.565459253003083,-0.824776232194645,0.)); #376796=DIRECTION('ref_axis',(0.824776232194645,0.565459253003083,0.)); #376797=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #376798=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #376799=DIRECTION('',(0.,0.,1.)); #376800=DIRECTION('center_axis',(0.214642857802308,-0.976692604453652,0.)); #376801=DIRECTION('ref_axis',(0.976692604453652,0.214642857802308,0.)); #376802=DIRECTION('',(0.976692604453652,0.214642857802308,0.)); #376803=DIRECTION('',(0.976692604453652,0.214642857802308,0.)); #376804=DIRECTION('',(0.,0.,1.)); #376805=DIRECTION('center_axis',(-0.183302952703434,-0.983056472197911, 0.)); #376806=DIRECTION('ref_axis',(0.983056472197911,-0.183302952703434,0.)); #376807=DIRECTION('',(0.983056472197911,-0.183302952703434,0.)); #376808=DIRECTION('',(0.983056472197911,-0.183302952703434,0.)); #376809=DIRECTION('',(0.,0.,1.)); #376810=DIRECTION('center_axis',(-0.552271955266247,-0.833664013512876, 0.)); #376811=DIRECTION('ref_axis',(0.833664013512876,-0.552271955266247,0.)); #376812=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #376813=DIRECTION('',(0.833664013512876,-0.552271955266247,0.)); #376814=DIRECTION('',(0.,0.,1.)); #376815=DIRECTION('center_axis',(0.,-1.,0.)); #376816=DIRECTION('ref_axis',(1.,0.,0.)); #376817=DIRECTION('',(1.,0.,0.)); #376818=DIRECTION('',(1.,0.,0.)); #376819=DIRECTION('',(0.,0.,1.)); #376820=DIRECTION('center_axis',(-0.183305290257339,-0.983056036329401, 0.)); #376821=DIRECTION('ref_axis',(0.983056036329401,-0.183305290257339,0.)); #376822=DIRECTION('',(0.983056036329401,-0.183305290257339,0.)); #376823=DIRECTION('',(0.983056036329401,-0.183305290257339,0.)); #376824=DIRECTION('',(0.,0.,1.)); #376825=DIRECTION('center_axis',(-0.538911466746003,-0.842362410729296, 0.)); #376826=DIRECTION('ref_axis',(0.842362410729296,-0.538911466746003,0.)); #376827=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #376828=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #376829=DIRECTION('',(0.,0.,1.)); #376830=DIRECTION('center_axis',(-0.824745549033943,-0.565504004714997, 0.)); #376831=DIRECTION('ref_axis',(0.565504004714997,-0.824745549033943,0.)); #376832=DIRECTION('',(0.565504004714997,-0.824745549033943,0.)); #376833=DIRECTION('',(0.565504004714997,-0.824745549033943,0.)); #376834=DIRECTION('',(0.,0.,1.)); #376835=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #376836=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #376837=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #376838=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #376839=DIRECTION('',(0.,0.,1.)); #376840=DIRECTION('center_axis',(-1.,0.,0.)); #376841=DIRECTION('ref_axis',(0.,-1.,0.)); #376842=DIRECTION('',(0.,-1.,0.)); #376843=DIRECTION('',(0.,-1.,0.)); #376844=DIRECTION('',(0.,0.,1.)); #376845=DIRECTION('center_axis',(-0.824776232194574,-0.565459253003187, 0.)); #376846=DIRECTION('ref_axis',(0.565459253003187,-0.824776232194574,0.)); #376847=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #376848=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #376849=DIRECTION('',(0.,0.,1.)); #376850=DIRECTION('center_axis',(-0.976692604453647,-0.214642857802332, 0.)); #376851=DIRECTION('ref_axis',(0.214642857802332,-0.976692604453647,0.)); #376852=DIRECTION('',(0.214642857802332,-0.976692604453647,0.)); #376853=DIRECTION('',(0.214642857802332,-0.976692604453647,0.)); #376854=DIRECTION('',(0.,0.,1.)); #376855=DIRECTION('center_axis',(-0.983056472197922,0.183302952703377,0.)); #376856=DIRECTION('ref_axis',(-0.183302952703377,-0.983056472197922,0.)); #376857=DIRECTION('',(-0.183302952703377,-0.983056472197922,0.)); #376858=DIRECTION('',(-0.183302952703377,-0.983056472197922,0.)); #376859=DIRECTION('',(0.,0.,1.)); #376860=DIRECTION('center_axis',(-0.833664013512753,0.552271955266433,0.)); #376861=DIRECTION('ref_axis',(-0.552271955266433,-0.833664013512753,0.)); #376862=DIRECTION('',(-0.552271955266433,-0.833664013512753,0.)); #376863=DIRECTION('',(-0.552271955266433,-0.833664013512753,0.)); #376864=DIRECTION('',(0.,0.,1.)); #376865=DIRECTION('center_axis',(-1.,0.,0.)); #376866=DIRECTION('ref_axis',(0.,-1.,0.)); #376867=DIRECTION('',(0.,-1.,0.)); #376868=DIRECTION('',(0.,-1.,0.)); #376869=DIRECTION('',(0.,0.,1.)); #376870=DIRECTION('center_axis',(-0.824776232194574,-0.565459253003187, 0.)); #376871=DIRECTION('ref_axis',(0.565459253003187,-0.824776232194574,0.)); #376872=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #376873=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #376874=DIRECTION('',(0.,0.,1.)); #376875=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #376876=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #376877=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #376878=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #376879=DIRECTION('',(0.,0.,1.)); #376880=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #376881=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #376882=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #376883=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #376884=DIRECTION('',(0.,0.,1.)); #376885=DIRECTION('center_axis',(-0.833664013512753,0.552271955266433,0.)); #376886=DIRECTION('ref_axis',(-0.552271955266433,-0.833664013512753,0.)); #376887=DIRECTION('',(-0.552271955266433,-0.833664013512753,0.)); #376888=DIRECTION('',(-0.552271955266433,-0.833664013512753,0.)); #376889=DIRECTION('',(0.,0.,1.)); #376890=DIRECTION('center_axis',(-1.,0.,0.)); #376891=DIRECTION('ref_axis',(0.,-1.,0.)); #376892=DIRECTION('',(0.,-1.,0.)); #376893=DIRECTION('',(0.,-1.,0.)); #376894=DIRECTION('',(0.,0.,1.)); #376895=DIRECTION('center_axis',(-0.824776232194574,-0.565459253003187, 0.)); #376896=DIRECTION('ref_axis',(0.565459253003187,-0.824776232194574,0.)); #376897=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #376898=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #376899=DIRECTION('',(0.,0.,1.)); #376900=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #376901=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #376902=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #376903=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #376904=DIRECTION('',(0.,0.,1.)); #376905=DIRECTION('center_axis',(-0.983056472197922,0.183302952703377,0.)); #376906=DIRECTION('ref_axis',(-0.183302952703377,-0.983056472197922,0.)); #376907=DIRECTION('',(-0.183302952703377,-0.983056472197922,0.)); #376908=DIRECTION('',(-0.183302952703377,-0.983056472197922,0.)); #376909=DIRECTION('',(0.,0.,1.)); #376910=DIRECTION('center_axis',(-0.833664013512784,0.552271955266387,0.)); #376911=DIRECTION('ref_axis',(-0.552271955266387,-0.833664013512783,0.)); #376912=DIRECTION('',(-0.552271955266387,-0.833664013512783,0.)); #376913=DIRECTION('',(-0.552271955266387,-0.833664013512783,0.)); #376914=DIRECTION('',(0.,0.,1.)); #376915=DIRECTION('center_axis',(-1.,0.,0.)); #376916=DIRECTION('ref_axis',(0.,-1.,0.)); #376917=DIRECTION('',(0.,-1.,0.)); #376918=DIRECTION('',(0.,-1.,0.)); #376919=DIRECTION('',(0.,0.,1.)); #376920=DIRECTION('center_axis',(-0.824776232194574,-0.565459253003187, 0.)); #376921=DIRECTION('ref_axis',(0.565459253003187,-0.824776232194574,0.)); #376922=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #376923=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #376924=DIRECTION('',(0.,0.,1.)); #376925=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #376926=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #376927=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #376928=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #376929=DIRECTION('',(0.,0.,1.)); #376930=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #376931=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #376932=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #376933=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #376934=DIRECTION('',(0.,0.,1.)); #376935=DIRECTION('center_axis',(-0.833664013512722,0.552271955266479,0.)); #376936=DIRECTION('ref_axis',(-0.552271955266479,-0.833664013512722,0.)); #376937=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #376938=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #376939=DIRECTION('',(0.,0.,1.)); #376940=DIRECTION('center_axis',(-1.,0.,0.)); #376941=DIRECTION('ref_axis',(0.,-1.,0.)); #376942=DIRECTION('',(0.,-1.,0.)); #376943=DIRECTION('',(0.,-1.,0.)); #376944=DIRECTION('',(0.,0.,1.)); #376945=DIRECTION('center_axis',(-0.82477623219461,-0.565459253003135,0.)); #376946=DIRECTION('ref_axis',(0.565459253003135,-0.82477623219461,0.)); #376947=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #376948=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #376949=DIRECTION('',(0.,0.,1.)); #376950=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #376951=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #376952=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #376953=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #376954=DIRECTION('',(0.,0.,1.)); #376955=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #376956=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #376957=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #376958=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #376959=DIRECTION('',(0.,0.,1.)); #376960=DIRECTION('center_axis',(-0.833664013512722,0.552271955266479,0.)); #376961=DIRECTION('ref_axis',(-0.552271955266479,-0.833664013512722,0.)); #376962=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #376963=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #376964=DIRECTION('',(0.,0.,1.)); #376965=DIRECTION('center_axis',(-1.,0.,0.)); #376966=DIRECTION('ref_axis',(0.,-1.,0.)); #376967=DIRECTION('',(0.,-1.,0.)); #376968=DIRECTION('',(0.,-1.,0.)); #376969=DIRECTION('',(0.,0.,1.)); #376970=DIRECTION('center_axis',(-0.707106781186556,-0.707106781186539, 0.)); #376971=DIRECTION('ref_axis',(0.707106781186539,-0.707106781186556,0.)); #376972=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #376973=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #376974=DIRECTION('',(0.,0.,1.)); #376975=DIRECTION('center_axis',(0.,-1.,0.)); #376976=DIRECTION('ref_axis',(1.,0.,0.)); #376977=DIRECTION('',(1.,0.,0.)); #376978=DIRECTION('',(1.,0.,0.)); #376979=DIRECTION('',(0.,0.,1.)); #376980=DIRECTION('center_axis',(0.707106781186556,-0.707106781186539,0.)); #376981=DIRECTION('ref_axis',(0.707106781186539,0.707106781186556,0.)); #376982=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #376983=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #376984=DIRECTION('',(0.,0.,1.)); #376985=DIRECTION('center_axis',(1.,0.,0.)); #376986=DIRECTION('ref_axis',(0.,1.,0.)); #376987=DIRECTION('',(0.,1.,0.)); #376988=DIRECTION('',(0.,1.,0.)); #376989=DIRECTION('',(0.,0.,1.)); #376990=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #376991=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #376992=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #376993=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #376994=DIRECTION('',(0.,0.,1.)); #376995=DIRECTION('center_axis',(0.842362410729308,-0.538911466745984,0.)); #376996=DIRECTION('ref_axis',(0.538911466745984,0.842362410729308,0.)); #376997=DIRECTION('',(0.538911466745984,0.842362410729308,0.)); #376998=DIRECTION('',(0.538911466745984,0.842362410729308,0.)); #376999=DIRECTION('',(0.,0.,1.)); #377000=DIRECTION('center_axis',(0.565504004715012,-0.824745549033933,0.)); #377001=DIRECTION('ref_axis',(0.824745549033933,0.565504004715012,0.)); #377002=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #377003=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #377004=DIRECTION('',(0.,0.,1.)); #377005=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #377006=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #377007=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #377008=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #377009=DIRECTION('',(0.,0.,1.)); #377010=DIRECTION('center_axis',(0.,-1.,0.)); #377011=DIRECTION('ref_axis',(1.,0.,0.)); #377012=DIRECTION('',(1.,0.,0.)); #377013=DIRECTION('',(1.,0.,0.)); #377014=DIRECTION('',(0.,0.,1.)); #377015=DIRECTION('center_axis',(-0.191149856928872,-0.981560865252926, 0.)); #377016=DIRECTION('ref_axis',(0.981560865252926,-0.191149856928872,0.)); #377017=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #377018=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #377019=DIRECTION('',(0.,0.,1.)); #377020=DIRECTION('center_axis',(-0.552278225391843,-0.833659859749789, 0.)); #377021=DIRECTION('ref_axis',(0.833659859749789,-0.552278225391843,0.)); #377022=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #377023=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #377024=DIRECTION('',(0.,0.,1.)); #377025=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #377026=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #377027=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #377028=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #377029=DIRECTION('',(0.,0.,1.)); #377030=DIRECTION('center_axis',(-0.829203833807388,-0.558946331948899, 0.)); #377031=DIRECTION('ref_axis',(0.558946331948899,-0.829203833807388,0.)); #377032=DIRECTION('',(0.558946331948899,-0.829203833807388,0.)); #377033=DIRECTION('',(0.558946331948899,-0.829203833807388,0.)); #377034=DIRECTION('',(0.,0.,1.)); #377035=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #377036=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #377037=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #377038=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #377039=DIRECTION('',(0.,0.,1.)); #377040=DIRECTION('center_axis',(-1.,0.,0.)); #377041=DIRECTION('ref_axis',(0.,-1.,0.)); #377042=DIRECTION('',(0.,-1.,0.)); #377043=DIRECTION('',(0.,-1.,0.)); #377044=DIRECTION('',(0.,0.,1.)); #377045=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #377046=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #377047=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #377048=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #377049=DIRECTION('',(0.,0.,1.)); #377050=DIRECTION('center_axis',(-0.842362410729308,0.538911466745984,0.)); #377051=DIRECTION('ref_axis',(-0.538911466745984,-0.842362410729308,0.)); #377052=DIRECTION('',(-0.538911466745984,-0.842362410729308,0.)); #377053=DIRECTION('',(-0.538911466745984,-0.842362410729308,0.)); #377054=DIRECTION('',(0.,0.,1.)); #377055=DIRECTION('center_axis',(-0.565504004715081,0.824745549033886,0.)); #377056=DIRECTION('ref_axis',(-0.824745549033886,-0.565504004715081,0.)); #377057=DIRECTION('',(-0.824745549033886,-0.565504004715081,0.)); #377058=DIRECTION('',(-0.824745549033886,-0.565504004715081,0.)); #377059=DIRECTION('',(0.,0.,1.)); #377060=DIRECTION('center_axis',(-0.198956019232613,0.980008419561338,0.)); #377061=DIRECTION('ref_axis',(-0.980008419561339,-0.198956019232613,0.)); #377062=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #377063=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #377064=DIRECTION('',(0.,0.,1.)); #377065=DIRECTION('center_axis',(0.,1.,0.)); #377066=DIRECTION('ref_axis',(-1.,0.,0.)); #377067=DIRECTION('',(-1.,0.,0.)); #377068=DIRECTION('',(-1.,0.,0.)); #377069=DIRECTION('',(0.,0.,1.)); #377070=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #377071=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #377072=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #377073=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #377074=DIRECTION('',(0.,0.,1.)); #377075=DIRECTION('center_axis',(-1.,0.,0.)); #377076=DIRECTION('ref_axis',(0.,-1.,0.)); #377077=DIRECTION('',(0.,-1.,0.)); #377078=DIRECTION('',(0.,-1.,0.)); #377079=DIRECTION('',(0.,0.,1.)); #377080=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #377081=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #377082=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #377083=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #377084=DIRECTION('',(0.,0.,1.)); #377085=DIRECTION('center_axis',(0.,-1.,0.)); #377086=DIRECTION('ref_axis',(1.,0.,0.)); #377087=DIRECTION('',(1.,0.,0.)); #377088=DIRECTION('',(1.,0.,0.)); #377089=DIRECTION('',(0.,0.,1.)); #377090=DIRECTION('center_axis',(-0.183305290257339,-0.983056036329401, 0.)); #377091=DIRECTION('ref_axis',(0.983056036329401,-0.183305290257339,0.)); #377092=DIRECTION('',(0.983056036329401,-0.183305290257339,0.)); #377093=DIRECTION('',(0.983056036329401,-0.183305290257339,0.)); #377094=DIRECTION('',(0.,0.,1.)); #377095=DIRECTION('center_axis',(-0.538911466746003,-0.842362410729296, 0.)); #377096=DIRECTION('ref_axis',(0.842362410729296,-0.538911466746003,0.)); #377097=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #377098=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #377099=DIRECTION('',(0.,0.,1.)); #377100=DIRECTION('center_axis',(-0.824745549033943,-0.565504004714997, 0.)); #377101=DIRECTION('ref_axis',(0.565504004714997,-0.824745549033943,0.)); #377102=DIRECTION('',(0.565504004714997,-0.824745549033943,0.)); #377103=DIRECTION('',(0.565504004714997,-0.824745549033943,0.)); #377104=DIRECTION('',(0.,0.,1.)); #377105=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #377106=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #377107=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #377108=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #377109=DIRECTION('',(0.,0.,1.)); #377110=DIRECTION('center_axis',(-1.,0.,0.)); #377111=DIRECTION('ref_axis',(0.,-1.,0.)); #377112=DIRECTION('',(0.,-1.,0.)); #377113=DIRECTION('',(0.,-1.,0.)); #377114=DIRECTION('',(0.,0.,1.)); #377115=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #377116=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #377117=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #377118=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #377119=DIRECTION('',(0.,0.,1.)); #377120=DIRECTION('center_axis',(-0.833659859749822,0.552278225391792,0.)); #377121=DIRECTION('ref_axis',(-0.552278225391792,-0.833659859749822,0.)); #377122=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #377123=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #377124=DIRECTION('',(0.,0.,1.)); #377125=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #377126=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #377127=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #377128=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #377129=DIRECTION('',(0.,0.,1.)); #377130=DIRECTION('center_axis',(-0.572058771549247,0.820212632122651,0.)); #377131=DIRECTION('ref_axis',(-0.820212632122651,-0.572058771549247,0.)); #377132=DIRECTION('',(-0.820212632122651,-0.572058771549247,0.)); #377133=DIRECTION('',(-0.820212632122651,-0.572058771549247,0.)); #377134=DIRECTION('',(0.,0.,1.)); #377135=DIRECTION('center_axis',(-0.230196907019457,0.973144071552962,0.)); #377136=DIRECTION('ref_axis',(-0.973144071552962,-0.230196907019457,0.)); #377137=DIRECTION('',(-0.973144071552962,-0.230196907019457,0.)); #377138=DIRECTION('',(-0.973144071552962,-0.230196907019457,0.)); #377139=DIRECTION('',(0.,0.,1.)); #377140=DIRECTION('center_axis',(0.167675934908202,0.985842168327497,0.)); #377141=DIRECTION('ref_axis',(-0.985842168327497,0.167675934908202,0.)); #377142=DIRECTION('',(-0.985842168327497,0.167675934908202,0.)); #377143=DIRECTION('',(-0.985842168327497,0.167675934908202,0.)); #377144=DIRECTION('',(0.,0.,1.)); #377145=DIRECTION('center_axis',(0.538888069291772,0.842377379073647,0.)); #377146=DIRECTION('ref_axis',(-0.842377379073647,0.538888069291772,0.)); #377147=DIRECTION('',(-0.842377379073647,0.538888069291772,0.)); #377148=DIRECTION('',(-0.842377379073647,0.538888069291772,0.)); #377149=DIRECTION('',(0.,0.,1.)); #377150=DIRECTION('center_axis',(0.824756093515085,0.565488626065756,0.)); #377151=DIRECTION('ref_axis',(-0.565488626065756,0.824756093515085,0.)); #377152=DIRECTION('',(-0.565488626065756,0.824756093515085,0.)); #377153=DIRECTION('',(-0.565488626065756,0.824756093515085,0.)); #377154=DIRECTION('',(0.,0.,1.)); #377155=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #377156=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #377157=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #377158=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #377159=DIRECTION('',(0.,0.,1.)); #377160=DIRECTION('center_axis',(1.,0.,0.)); #377161=DIRECTION('ref_axis',(0.,1.,0.)); #377162=DIRECTION('',(0.,1.,0.)); #377163=DIRECTION('',(0.,1.,0.)); #377164=DIRECTION('',(0.,0.,1.)); #377165=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #377166=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #377167=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #377168=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #377169=DIRECTION('',(0.,0.,1.)); #377170=DIRECTION('center_axis',(0.,1.,0.)); #377171=DIRECTION('ref_axis',(-1.,0.,0.)); #377172=DIRECTION('',(-1.,0.,0.)); #377173=DIRECTION('',(-1.,0.,0.)); #377174=DIRECTION('',(0.,0.,1.)); #377175=DIRECTION('center_axis',(0.191149856928872,0.981560865252926,0.)); #377176=DIRECTION('ref_axis',(-0.981560865252926,0.191149856928872,0.)); #377177=DIRECTION('',(-0.981560865252926,0.191149856928872,0.)); #377178=DIRECTION('',(-0.981560865252926,0.191149856928872,0.)); #377179=DIRECTION('',(0.,0.,1.)); #377180=DIRECTION('center_axis',(0.552263045083179,0.833669916115158,0.)); #377181=DIRECTION('ref_axis',(-0.833669916115158,0.552263045083179,0.)); #377182=DIRECTION('',(-0.833669916115158,0.552263045083179,0.)); #377183=DIRECTION('',(-0.833669916115158,0.552263045083179,0.)); #377184=DIRECTION('',(0.,0.,1.)); #377185=DIRECTION('center_axis',(0.707106781186527,0.707106781186568,0.)); #377186=DIRECTION('ref_axis',(-0.707106781186568,0.707106781186527,0.)); #377187=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #377188=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #377189=DIRECTION('',(0.,0.,1.)); #377190=DIRECTION('center_axis',(0.,1.,0.)); #377191=DIRECTION('ref_axis',(-1.,0.,0.)); #377192=DIRECTION('',(-1.,0.,0.)); #377193=DIRECTION('',(-1.,0.,0.)); #377194=DIRECTION('',(0.,0.,1.)); #377195=DIRECTION('center_axis',(-0.707106781186556,0.707106781186539,0.)); #377196=DIRECTION('ref_axis',(-0.707106781186539,-0.707106781186556,0.)); #377197=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #377198=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #377199=DIRECTION('',(0.,0.,1.)); #377200=DIRECTION('center_axis',(-1.,0.,0.)); #377201=DIRECTION('ref_axis',(0.,-1.,0.)); #377202=DIRECTION('',(0.,-1.,0.)); #377203=DIRECTION('',(0.,-1.,0.)); #377204=DIRECTION('',(0.,0.,1.)); #377205=DIRECTION('center_axis',(-0.82477623219461,-0.565459253003135,0.)); #377206=DIRECTION('ref_axis',(0.565459253003135,-0.82477623219461,0.)); #377207=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #377208=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #377209=DIRECTION('',(0.,0.,1.)); #377210=DIRECTION('center_axis',(-0.97669260445364,-0.214642857802362,0.)); #377211=DIRECTION('ref_axis',(0.214642857802362,-0.97669260445364,0.)); #377212=DIRECTION('',(0.214642857802362,-0.97669260445364,0.)); #377213=DIRECTION('',(0.214642857802362,-0.97669260445364,0.)); #377214=DIRECTION('',(0.,0.,1.)); #377215=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #377216=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #377217=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #377218=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #377219=DIRECTION('',(0.,0.,1.)); #377220=DIRECTION('center_axis',(-0.833664013512753,0.552271955266433,0.)); #377221=DIRECTION('ref_axis',(-0.552271955266433,-0.833664013512753,0.)); #377222=DIRECTION('',(-0.552271955266433,-0.833664013512753,0.)); #377223=DIRECTION('',(-0.552271955266433,-0.833664013512753,0.)); #377224=DIRECTION('',(0.,0.,1.)); #377225=DIRECTION('center_axis',(-1.,0.,0.)); #377226=DIRECTION('ref_axis',(0.,-1.,0.)); #377227=DIRECTION('',(0.,-1.,0.)); #377228=DIRECTION('',(0.,-1.,0.)); #377229=DIRECTION('',(0.,0.,1.)); #377230=DIRECTION('center_axis',(-0.824776232194574,-0.565459253003187, 0.)); #377231=DIRECTION('ref_axis',(0.565459253003187,-0.824776232194574,0.)); #377232=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #377233=DIRECTION('',(0.565459253003187,-0.824776232194574,0.)); #377234=DIRECTION('',(0.,0.,1.)); #377235=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #377236=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #377237=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #377238=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #377239=DIRECTION('',(0.,0.,1.)); #377240=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #377241=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #377242=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #377243=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #377244=DIRECTION('',(0.,0.,1.)); #377245=DIRECTION('center_axis',(-0.833664013512722,0.552271955266479,0.)); #377246=DIRECTION('ref_axis',(-0.552271955266479,-0.833664013512722,0.)); #377247=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #377248=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #377249=DIRECTION('',(0.,0.,1.)); #377250=DIRECTION('center_axis',(-1.,0.,0.)); #377251=DIRECTION('ref_axis',(0.,-1.,0.)); #377252=DIRECTION('',(0.,-1.,0.)); #377253=DIRECTION('',(0.,-1.,0.)); #377254=DIRECTION('',(0.,0.,1.)); #377255=DIRECTION('center_axis',(-0.82477623219461,-0.565459253003135,0.)); #377256=DIRECTION('ref_axis',(0.565459253003135,-0.82477623219461,0.)); #377257=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #377258=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #377259=DIRECTION('',(0.,0.,1.)); #377260=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #377261=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #377262=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #377263=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #377264=DIRECTION('',(0.,0.,1.)); #377265=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #377266=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #377267=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #377268=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #377269=DIRECTION('',(0.,0.,1.)); #377270=DIRECTION('center_axis',(-0.833664013512722,0.552271955266479,0.)); #377271=DIRECTION('ref_axis',(-0.552271955266479,-0.833664013512722,0.)); #377272=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #377273=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #377274=DIRECTION('',(0.,0.,1.)); #377275=DIRECTION('center_axis',(-1.,0.,0.)); #377276=DIRECTION('ref_axis',(0.,-1.,0.)); #377277=DIRECTION('',(0.,-1.,0.)); #377278=DIRECTION('',(0.,-1.,0.)); #377279=DIRECTION('',(0.,0.,1.)); #377280=DIRECTION('center_axis',(-0.82477623219461,-0.565459253003135,0.)); #377281=DIRECTION('ref_axis',(0.565459253003135,-0.82477623219461,0.)); #377282=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #377283=DIRECTION('',(0.565459253003135,-0.82477623219461,0.)); #377284=DIRECTION('',(0.,0.,1.)); #377285=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #377286=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #377287=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #377288=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #377289=DIRECTION('',(0.,0.,1.)); #377290=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #377291=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #377292=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #377293=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #377294=DIRECTION('',(0.,0.,1.)); #377295=DIRECTION('center_axis',(-0.833664013512722,0.552271955266479,0.)); #377296=DIRECTION('ref_axis',(-0.552271955266479,-0.833664013512722,0.)); #377297=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #377298=DIRECTION('',(-0.552271955266479,-0.833664013512722,0.)); #377299=DIRECTION('',(0.,0.,1.)); #377300=DIRECTION('center_axis',(-1.,0.,0.)); #377301=DIRECTION('ref_axis',(0.,-1.,0.)); #377302=DIRECTION('',(0.,-1.,0.)); #377303=DIRECTION('',(0.,-1.,0.)); #377304=DIRECTION('',(0.,0.,1.)); #377305=DIRECTION('center_axis',(-0.983056036329416,0.183305290257262,0.)); #377306=DIRECTION('ref_axis',(-0.183305290257262,-0.983056036329416,0.)); #377307=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #377308=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #377309=DIRECTION('',(0.,0.,1.)); #377310=DIRECTION('center_axis',(-0.842362410729284,0.538911466746022,0.)); #377311=DIRECTION('ref_axis',(-0.538911466746022,-0.842362410729284,0.)); #377312=DIRECTION('',(-0.538911466746022,-0.842362410729284,0.)); #377313=DIRECTION('',(-0.538911466746022,-0.842362410729284,0.)); #377314=DIRECTION('',(0.,0.,1.)); #377315=DIRECTION('center_axis',(-0.56550400471505,0.824745549033907,0.)); #377316=DIRECTION('ref_axis',(-0.824745549033907,-0.565504004715049,0.)); #377317=DIRECTION('',(-0.824745549033907,-0.565504004715049,0.)); #377318=DIRECTION('',(-0.824745549033907,-0.565504004715049,0.)); #377319=DIRECTION('',(0.,0.,1.)); #377320=DIRECTION('center_axis',(-0.198956019232613,0.980008419561338,0.)); #377321=DIRECTION('ref_axis',(-0.980008419561339,-0.198956019232613,0.)); #377322=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #377323=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #377324=DIRECTION('',(0.,0.,1.)); #377325=DIRECTION('center_axis',(0.,1.,0.)); #377326=DIRECTION('ref_axis',(-1.,0.,0.)); #377327=DIRECTION('',(-1.,0.,0.)); #377328=DIRECTION('',(-1.,0.,0.)); #377329=DIRECTION('',(0.,0.,1.)); #377330=DIRECTION('center_axis',(-0.565459253003083,0.824776232194645,0.)); #377331=DIRECTION('ref_axis',(-0.824776232194645,-0.565459253003083,0.)); #377332=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #377333=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #377334=DIRECTION('',(0.,0.,1.)); #377335=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #377336=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #377337=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #377338=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #377339=DIRECTION('',(0.,0.,1.)); #377340=DIRECTION('center_axis',(0.183302952703363,0.983056472197924,0.)); #377341=DIRECTION('ref_axis',(-0.983056472197924,0.183302952703363,0.)); #377342=DIRECTION('',(-0.983056472197924,0.183302952703363,0.)); #377343=DIRECTION('',(-0.983056472197924,0.183302952703363,0.)); #377344=DIRECTION('',(0.,0.,1.)); #377345=DIRECTION('center_axis',(0.552271955266479,0.833664013512722,0.)); #377346=DIRECTION('ref_axis',(-0.833664013512722,0.552271955266479,0.)); #377347=DIRECTION('',(-0.833664013512722,0.552271955266479,0.)); #377348=DIRECTION('',(-0.833664013512722,0.552271955266479,0.)); #377349=DIRECTION('',(0.,0.,1.)); #377350=DIRECTION('center_axis',(0.,1.,0.)); #377351=DIRECTION('ref_axis',(-1.,0.,0.)); #377352=DIRECTION('',(-1.,0.,0.)); #377353=DIRECTION('',(-1.,0.,0.)); #377354=DIRECTION('',(0.,0.,1.)); #377355=DIRECTION('center_axis',(-0.565459253003187,0.824776232194574,0.)); #377356=DIRECTION('ref_axis',(-0.824776232194574,-0.565459253003187,0.)); #377357=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #377358=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #377359=DIRECTION('',(0.,0.,1.)); #377360=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #377361=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #377362=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #377363=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #377364=DIRECTION('',(0.,0.,1.)); #377365=DIRECTION('center_axis',(0.18330295270339,0.983056472197919,0.)); #377366=DIRECTION('ref_axis',(-0.98305647219792,0.18330295270339,0.)); #377367=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #377368=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #377369=DIRECTION('',(0.,0.,1.)); #377370=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #377371=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #377372=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #377373=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #377374=DIRECTION('',(0.,0.,1.)); #377375=DIRECTION('center_axis',(0.,1.,0.)); #377376=DIRECTION('ref_axis',(-1.,0.,0.)); #377377=DIRECTION('',(-1.,0.,0.)); #377378=DIRECTION('',(-1.,0.,0.)); #377379=DIRECTION('',(0.,0.,1.)); #377380=DIRECTION('center_axis',(-0.565459253003083,0.824776232194645,0.)); #377381=DIRECTION('ref_axis',(-0.824776232194645,-0.565459253003083,0.)); #377382=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #377383=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #377384=DIRECTION('',(0.,0.,1.)); #377385=DIRECTION('center_axis',(-0.214642857802378,0.976692604453637,0.)); #377386=DIRECTION('ref_axis',(-0.976692604453637,-0.214642857802378,0.)); #377387=DIRECTION('',(-0.976692604453637,-0.214642857802378,0.)); #377388=DIRECTION('',(-0.976692604453637,-0.214642857802378,0.)); #377389=DIRECTION('',(0.,0.,1.)); #377390=DIRECTION('center_axis',(0.183302952703363,0.983056472197924,0.)); #377391=DIRECTION('ref_axis',(-0.983056472197924,0.183302952703363,0.)); #377392=DIRECTION('',(-0.983056472197924,0.183302952703363,0.)); #377393=DIRECTION('',(-0.983056472197924,0.183302952703363,0.)); #377394=DIRECTION('',(0.,0.,1.)); #377395=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #377396=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #377397=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #377398=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #377399=DIRECTION('',(0.,0.,1.)); #377400=DIRECTION('center_axis',(0.,1.,0.)); #377401=DIRECTION('ref_axis',(-1.,0.,0.)); #377402=DIRECTION('',(-1.,0.,0.)); #377403=DIRECTION('',(-1.,0.,0.)); #377404=DIRECTION('',(0.,0.,1.)); #377405=DIRECTION('center_axis',(-0.565459253003187,0.824776232194574,0.)); #377406=DIRECTION('ref_axis',(-0.824776232194574,-0.565459253003187,0.)); #377407=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #377408=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #377409=DIRECTION('',(0.,0.,1.)); #377410=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #377411=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #377412=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #377413=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #377414=DIRECTION('',(0.,0.,1.)); #377415=DIRECTION('center_axis',(0.18330295270339,0.983056472197919,0.)); #377416=DIRECTION('ref_axis',(-0.98305647219792,0.18330295270339,0.)); #377417=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #377418=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #377419=DIRECTION('',(0.,0.,1.)); #377420=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #377421=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #377422=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #377423=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #377424=DIRECTION('',(0.,0.,1.)); #377425=DIRECTION('center_axis',(0.,1.,0.)); #377426=DIRECTION('ref_axis',(-1.,0.,0.)); #377427=DIRECTION('',(-1.,0.,0.)); #377428=DIRECTION('',(-1.,0.,0.)); #377429=DIRECTION('',(0.,0.,1.)); #377430=DIRECTION('center_axis',(-0.565459253003187,0.824776232194574,0.)); #377431=DIRECTION('ref_axis',(-0.824776232194574,-0.565459253003187,0.)); #377432=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #377433=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #377434=DIRECTION('',(0.,0.,1.)); #377435=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #377436=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #377437=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #377438=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #377439=DIRECTION('',(0.,0.,1.)); #377440=DIRECTION('center_axis',(0.18330295270339,0.983056472197919,0.)); #377441=DIRECTION('ref_axis',(-0.98305647219792,0.18330295270339,0.)); #377442=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #377443=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #377444=DIRECTION('',(0.,0.,1.)); #377445=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #377446=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #377447=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #377448=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #377449=DIRECTION('',(0.,0.,1.)); #377450=DIRECTION('center_axis',(0.,1.,0.)); #377451=DIRECTION('ref_axis',(-1.,0.,0.)); #377452=DIRECTION('',(-1.,0.,0.)); #377453=DIRECTION('',(-1.,0.,0.)); #377454=DIRECTION('',(0.,0.,1.)); #377455=DIRECTION('center_axis',(-0.565459253003083,0.824776232194645,0.)); #377456=DIRECTION('ref_axis',(-0.824776232194645,-0.565459253003083,0.)); #377457=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #377458=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #377459=DIRECTION('',(0.,0.,1.)); #377460=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #377461=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #377462=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #377463=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #377464=DIRECTION('',(0.,0.,1.)); #377465=DIRECTION('center_axis',(0.18330295270339,0.983056472197919,0.)); #377466=DIRECTION('ref_axis',(-0.98305647219792,0.18330295270339,0.)); #377467=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #377468=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #377469=DIRECTION('',(0.,0.,1.)); #377470=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #377471=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #377472=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #377473=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #377474=DIRECTION('',(0.,0.,1.)); #377475=DIRECTION('center_axis',(0.,1.,0.)); #377476=DIRECTION('ref_axis',(-1.,0.,0.)); #377477=DIRECTION('',(-1.,0.,0.)); #377478=DIRECTION('',(-1.,0.,0.)); #377479=DIRECTION('',(0.,0.,1.)); #377480=DIRECTION('center_axis',(-0.565459253003187,0.824776232194574,0.)); #377481=DIRECTION('ref_axis',(-0.824776232194574,-0.565459253003187,0.)); #377482=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #377483=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #377484=DIRECTION('',(0.,0.,1.)); #377485=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #377486=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #377487=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #377488=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #377489=DIRECTION('',(0.,0.,1.)); #377490=DIRECTION('center_axis',(0.18330295270339,0.983056472197919,0.)); #377491=DIRECTION('ref_axis',(-0.98305647219792,0.18330295270339,0.)); #377492=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #377493=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #377494=DIRECTION('',(0.,0.,1.)); #377495=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #377496=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #377497=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #377498=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #377499=DIRECTION('',(0.,0.,1.)); #377500=DIRECTION('center_axis',(0.,1.,0.)); #377501=DIRECTION('ref_axis',(-1.,0.,0.)); #377502=DIRECTION('',(-1.,0.,0.)); #377503=DIRECTION('',(-1.,0.,0.)); #377504=DIRECTION('',(0.,0.,1.)); #377505=DIRECTION('center_axis',(-0.565459253003083,0.824776232194645,0.)); #377506=DIRECTION('ref_axis',(-0.824776232194645,-0.565459253003083,0.)); #377507=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #377508=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #377509=DIRECTION('',(0.,0.,1.)); #377510=DIRECTION('center_axis',(-0.214642857802378,0.976692604453637,0.)); #377511=DIRECTION('ref_axis',(-0.976692604453637,-0.214642857802378,0.)); #377512=DIRECTION('',(-0.976692604453637,-0.214642857802378,0.)); #377513=DIRECTION('',(-0.976692604453637,-0.214642857802378,0.)); #377514=DIRECTION('',(0.,0.,1.)); #377515=DIRECTION('center_axis',(0.183302952703363,0.983056472197924,0.)); #377516=DIRECTION('ref_axis',(-0.983056472197924,0.183302952703363,0.)); #377517=DIRECTION('',(-0.983056472197924,0.183302952703363,0.)); #377518=DIRECTION('',(-0.983056472197924,0.183302952703363,0.)); #377519=DIRECTION('',(0.,0.,1.)); #377520=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #377521=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #377522=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #377523=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #377524=DIRECTION('',(0.,0.,1.)); #377525=DIRECTION('center_axis',(0.,1.,0.)); #377526=DIRECTION('ref_axis',(-1.,0.,0.)); #377527=DIRECTION('',(-1.,0.,0.)); #377528=DIRECTION('',(-1.,0.,0.)); #377529=DIRECTION('',(0.,0.,1.)); #377530=DIRECTION('center_axis',(-0.565459253003187,0.824776232194574,0.)); #377531=DIRECTION('ref_axis',(-0.824776232194574,-0.565459253003187,0.)); #377532=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #377533=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #377534=DIRECTION('',(0.,0.,1.)); #377535=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #377536=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #377537=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #377538=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #377539=DIRECTION('',(0.,0.,1.)); #377540=DIRECTION('center_axis',(0.18330295270339,0.983056472197919,0.)); #377541=DIRECTION('ref_axis',(-0.98305647219792,0.18330295270339,0.)); #377542=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #377543=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #377544=DIRECTION('',(0.,0.,1.)); #377545=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #377546=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #377547=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #377548=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #377549=DIRECTION('',(0.,0.,1.)); #377550=DIRECTION('center_axis',(0.,1.,0.)); #377551=DIRECTION('ref_axis',(-1.,0.,0.)); #377552=DIRECTION('',(-1.,0.,0.)); #377553=DIRECTION('',(-1.,0.,0.)); #377554=DIRECTION('',(0.,0.,1.)); #377555=DIRECTION('center_axis',(-0.565459253003187,0.824776232194574,0.)); #377556=DIRECTION('ref_axis',(-0.824776232194574,-0.565459253003187,0.)); #377557=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #377558=DIRECTION('',(-0.824776232194574,-0.565459253003187,0.)); #377559=DIRECTION('',(0.,0.,1.)); #377560=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #377561=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #377562=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #377563=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #377564=DIRECTION('',(0.,0.,1.)); #377565=DIRECTION('center_axis',(0.18330295270339,0.983056472197919,0.)); #377566=DIRECTION('ref_axis',(-0.98305647219792,0.18330295270339,0.)); #377567=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #377568=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #377569=DIRECTION('',(0.,0.,1.)); #377570=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #377571=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #377572=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #377573=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #377574=DIRECTION('',(0.,0.,1.)); #377575=DIRECTION('center_axis',(0.,1.,0.)); #377576=DIRECTION('ref_axis',(-1.,0.,0.)); #377577=DIRECTION('',(-1.,0.,0.)); #377578=DIRECTION('',(-1.,0.,0.)); #377579=DIRECTION('',(0.,0.,1.)); #377580=DIRECTION('center_axis',(-0.565459253003083,0.824776232194645,0.)); #377581=DIRECTION('ref_axis',(-0.824776232194645,-0.565459253003083,0.)); #377582=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #377583=DIRECTION('',(-0.824776232194645,-0.565459253003083,0.)); #377584=DIRECTION('',(0.,0.,1.)); #377585=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #377586=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #377587=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #377588=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #377589=DIRECTION('',(0.,0.,1.)); #377590=DIRECTION('center_axis',(0.18330295270339,0.983056472197919,0.)); #377591=DIRECTION('ref_axis',(-0.98305647219792,0.18330295270339,0.)); #377592=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #377593=DIRECTION('',(-0.98305647219792,0.18330295270339,0.)); #377594=DIRECTION('',(0.,0.,1.)); #377595=DIRECTION('center_axis',(0.552271955266387,0.833664013512784,0.)); #377596=DIRECTION('ref_axis',(-0.833664013512783,0.552271955266387,0.)); #377597=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #377598=DIRECTION('',(-0.833664013512783,0.552271955266387,0.)); #377599=DIRECTION('',(0.,0.,1.)); #377600=DIRECTION('center_axis',(0.,1.,0.)); #377601=DIRECTION('ref_axis',(-1.,0.,0.)); #377602=DIRECTION('',(-1.,0.,0.)); #377603=DIRECTION('',(-1.,0.,0.)); #377604=DIRECTION('',(0.,0.,1.)); #377605=DIRECTION('center_axis',(0.18330529025731,0.983056036329407,0.)); #377606=DIRECTION('ref_axis',(-0.983056036329407,0.18330529025731,0.)); #377607=DIRECTION('',(-0.983056036329407,0.18330529025731,0.)); #377608=DIRECTION('',(-0.983056036329407,0.18330529025731,0.)); #377609=DIRECTION('',(0.,0.,1.)); #377610=DIRECTION('center_axis',(0.538911466746084,0.842362410729244,0.)); #377611=DIRECTION('ref_axis',(-0.842362410729244,0.538911466746084,0.)); #377612=DIRECTION('',(-0.842362410729244,0.538911466746084,0.)); #377613=DIRECTION('',(-0.842362410729244,0.538911466746084,0.)); #377614=DIRECTION('',(0.,0.,1.)); #377615=DIRECTION('center_axis',(0.824745549033852,0.565504004715131,0.)); #377616=DIRECTION('ref_axis',(-0.565504004715131,0.824745549033852,0.)); #377617=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #377618=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #377619=DIRECTION('',(0.,0.,1.)); #377620=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #377621=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #377622=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #377623=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #377624=DIRECTION('',(0.,0.,1.)); #377625=DIRECTION('center_axis',(1.,0.,0.)); #377626=DIRECTION('ref_axis',(0.,1.,0.)); #377627=DIRECTION('',(0.,1.,0.)); #377628=DIRECTION('',(0.,1.,0.)); #377629=DIRECTION('',(0.,0.,1.)); #377630=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #377631=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #377632=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #377633=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #377634=DIRECTION('',(0.,0.,1.)); #377635=DIRECTION('center_axis',(0.,1.,0.)); #377636=DIRECTION('ref_axis',(-1.,0.,0.)); #377637=DIRECTION('',(-1.,0.,0.)); #377638=DIRECTION('',(-1.,0.,0.)); #377639=DIRECTION('',(0.,0.,1.)); #377640=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #377641=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #377642=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #377643=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #377644=DIRECTION('',(0.,0.,1.)); #377645=DIRECTION('center_axis',(-0.558930424365529,0.829214556504268,0.)); #377646=DIRECTION('ref_axis',(-0.829214556504268,-0.558930424365529,0.)); #377647=DIRECTION('',(-0.829214556504268,-0.558930424365529,0.)); #377648=DIRECTION('',(-0.829214556504268,-0.558930424365529,0.)); #377649=DIRECTION('',(0.,0.,1.)); #377650=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #377651=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #377652=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #377653=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #377654=DIRECTION('',(0.,0.,1.)); #377655=DIRECTION('center_axis',(0.,1.,0.)); #377656=DIRECTION('ref_axis',(-1.,0.,0.)); #377657=DIRECTION('',(-1.,0.,0.)); #377658=DIRECTION('',(-1.,0.,0.)); #377659=DIRECTION('',(0.,0.,1.)); #377660=DIRECTION('center_axis',(0.175502059386013,0.984479063846087,0.)); #377661=DIRECTION('ref_axis',(-0.984479063846087,0.175502059386013,0.)); #377662=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #377663=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #377664=DIRECTION('',(0.,0.,1.)); #377665=DIRECTION('center_axis',(0.525358802048827,0.850880796063598,0.)); #377666=DIRECTION('ref_axis',(-0.850880796063598,0.525358802048827,0.)); #377667=DIRECTION('',(-0.850880796063598,0.525358802048827,0.)); #377668=DIRECTION('',(-0.850880796063598,0.525358802048827,0.)); #377669=DIRECTION('',(0.,0.,1.)); #377670=DIRECTION('center_axis',(0.815709411156831,0.578461888589193,0.)); #377671=DIRECTION('ref_axis',(-0.578461888589193,0.815709411156831,0.)); #377672=DIRECTION('',(-0.578461888589193,0.815709411156831,0.)); #377673=DIRECTION('',(-0.578461888589193,0.815709411156831,0.)); #377674=DIRECTION('',(0.,0.,1.)); #377675=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #377676=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #377677=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #377678=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #377679=DIRECTION('',(0.,0.,1.)); #377680=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #377681=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #377682=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #377683=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #377684=DIRECTION('',(0.,0.,1.)); #377685=DIRECTION('center_axis',(0.833659859749665,-0.552278225392029,0.)); #377686=DIRECTION('ref_axis',(0.552278225392029,0.833659859749665,0.)); #377687=DIRECTION('',(0.552278225392029,0.833659859749665,0.)); #377688=DIRECTION('',(0.552278225392029,0.833659859749665,0.)); #377689=DIRECTION('',(0.,0.,1.)); #377690=DIRECTION('center_axis',(0.707106781186559,-0.707106781186536,0.)); #377691=DIRECTION('ref_axis',(0.707106781186536,0.707106781186559,0.)); #377692=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #377693=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #377694=DIRECTION('',(0.,0.,1.)); #377695=DIRECTION('center_axis',(0.558946331948723,-0.829203833807507,0.)); #377696=DIRECTION('ref_axis',(0.829203833807507,0.558946331948723,0.)); #377697=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #377698=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #377699=DIRECTION('',(0.,0.,1.)); #377700=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #377701=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #377702=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #377703=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #377704=DIRECTION('',(0.,0.,1.)); #377705=DIRECTION('center_axis',(0.,-1.,0.)); #377706=DIRECTION('ref_axis',(1.,0.,0.)); #377707=DIRECTION('',(1.,0.,0.)); #377708=DIRECTION('',(1.,0.,0.)); #377709=DIRECTION('',(0.,0.,1.)); #377710=DIRECTION('center_axis',(0.707106781186541,-0.707106781186554,0.)); #377711=DIRECTION('ref_axis',(0.707106781186554,0.707106781186541,0.)); #377712=DIRECTION('',(0.707106781186554,0.707106781186541,0.)); #377713=DIRECTION('',(0.707106781186554,0.707106781186541,0.)); #377714=DIRECTION('',(0.,0.,1.)); #377715=DIRECTION('center_axis',(0.558946331948917,-0.829203833807377,0.)); #377716=DIRECTION('ref_axis',(0.829203833807377,0.558946331948917,0.)); #377717=DIRECTION('',(0.829203833807377,0.558946331948917,0.)); #377718=DIRECTION('',(0.829203833807377,0.558946331948917,0.)); #377719=DIRECTION('',(0.,0.,1.)); #377720=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #377721=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #377722=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #377723=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #377724=DIRECTION('',(0.,0.,1.)); #377725=DIRECTION('center_axis',(0.,-1.,0.)); #377726=DIRECTION('ref_axis',(1.,0.,0.)); #377727=DIRECTION('',(1.,0.,0.)); #377728=DIRECTION('',(1.,0.,0.)); #377729=DIRECTION('',(0.,0.,1.)); #377730=DIRECTION('center_axis',(-0.191149856928872,-0.981560865252926, 0.)); #377731=DIRECTION('ref_axis',(0.981560865252926,-0.191149856928872,0.)); #377732=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #377733=DIRECTION('',(0.981560865252926,-0.191149856928872,0.)); #377734=DIRECTION('',(0.,0.,1.)); #377735=DIRECTION('center_axis',(-0.552263045083112,-0.833669916115203, 0.)); #377736=DIRECTION('ref_axis',(0.833669916115202,-0.552263045083112,0.)); #377737=DIRECTION('',(0.833669916115202,-0.552263045083112,0.)); #377738=DIRECTION('',(0.833669916115202,-0.552263045083112,0.)); #377739=DIRECTION('',(0.,0.,1.)); #377740=DIRECTION('center_axis',(-0.707106781186568,-0.707106781186527, 0.)); #377741=DIRECTION('ref_axis',(0.707106781186527,-0.707106781186568,0.)); #377742=DIRECTION('',(0.707106781186527,-0.707106781186568,0.)); #377743=DIRECTION('',(0.707106781186527,-0.707106781186568,0.)); #377744=DIRECTION('',(0.,0.,1.)); #377745=DIRECTION('center_axis',(0.,-1.,0.)); #377746=DIRECTION('ref_axis',(1.,0.,0.)); #377747=DIRECTION('',(1.,0.,0.)); #377748=DIRECTION('',(1.,0.,0.)); #377749=DIRECTION('',(0.,0.,1.)); #377750=DIRECTION('center_axis',(0.707106781186539,-0.707106781186556,0.)); #377751=DIRECTION('ref_axis',(0.707106781186556,0.707106781186539,0.)); #377752=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #377753=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #377754=DIRECTION('',(0.,0.,1.)); #377755=DIRECTION('center_axis',(1.,0.,0.)); #377756=DIRECTION('ref_axis',(0.,1.,0.)); #377757=DIRECTION('',(0.,1.,0.)); #377758=DIRECTION('',(0.,1.,0.)); #377759=DIRECTION('',(0.,0.,1.)); #377760=DIRECTION('center_axis',(0.824776232194574,0.565459253003187,0.)); #377761=DIRECTION('ref_axis',(-0.565459253003187,0.824776232194574,0.)); #377762=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #377763=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #377764=DIRECTION('',(0.,0.,1.)); #377765=DIRECTION('center_axis',(0.976692604453644,0.214642857802347,0.)); #377766=DIRECTION('ref_axis',(-0.214642857802347,0.976692604453643,0.)); #377767=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #377768=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #377769=DIRECTION('',(0.,0.,1.)); #377770=DIRECTION('center_axis',(0.983056472197924,-0.183302952703363,0.)); #377771=DIRECTION('ref_axis',(0.183302952703363,0.983056472197924,0.)); #377772=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #377773=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #377774=DIRECTION('',(0.,0.,1.)); #377775=DIRECTION('center_axis',(0.833664013512722,-0.552271955266479,0.)); #377776=DIRECTION('ref_axis',(0.552271955266479,0.833664013512722,0.)); #377777=DIRECTION('',(0.552271955266479,0.833664013512722,0.)); #377778=DIRECTION('',(0.552271955266479,0.833664013512722,0.)); #377779=DIRECTION('',(0.,0.,1.)); #377780=DIRECTION('center_axis',(1.,0.,0.)); #377781=DIRECTION('ref_axis',(0.,1.,0.)); #377782=DIRECTION('',(0.,1.,0.)); #377783=DIRECTION('',(0.,1.,0.)); #377784=DIRECTION('',(0.,0.,1.)); #377785=DIRECTION('center_axis',(0.82477623219461,0.565459253003135,0.)); #377786=DIRECTION('ref_axis',(-0.565459253003135,0.82477623219461,0.)); #377787=DIRECTION('',(-0.565459253003135,0.82477623219461,0.)); #377788=DIRECTION('',(-0.565459253003135,0.82477623219461,0.)); #377789=DIRECTION('',(0.,0.,1.)); #377790=DIRECTION('center_axis',(0.976692604453644,0.214642857802347,0.)); #377791=DIRECTION('ref_axis',(-0.214642857802347,0.976692604453643,0.)); #377792=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #377793=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #377794=DIRECTION('',(0.,0.,1.)); #377795=DIRECTION('center_axis',(0.983056472197924,-0.183302952703363,0.)); #377796=DIRECTION('ref_axis',(0.183302952703363,0.983056472197924,0.)); #377797=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #377798=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #377799=DIRECTION('',(0.,0.,1.)); #377800=DIRECTION('center_axis',(0.833664013512722,-0.552271955266479,0.)); #377801=DIRECTION('ref_axis',(0.552271955266479,0.833664013512722,0.)); #377802=DIRECTION('',(0.552271955266479,0.833664013512722,0.)); #377803=DIRECTION('',(0.552271955266479,0.833664013512722,0.)); #377804=DIRECTION('',(0.,0.,1.)); #377805=DIRECTION('center_axis',(1.,0.,0.)); #377806=DIRECTION('ref_axis',(0.,1.,0.)); #377807=DIRECTION('',(0.,1.,0.)); #377808=DIRECTION('',(0.,1.,0.)); #377809=DIRECTION('',(0.,0.,1.)); #377810=DIRECTION('center_axis',(0.82477623219461,0.565459253003135,0.)); #377811=DIRECTION('ref_axis',(-0.565459253003135,0.82477623219461,0.)); #377812=DIRECTION('',(-0.565459253003135,0.82477623219461,0.)); #377813=DIRECTION('',(-0.565459253003135,0.82477623219461,0.)); #377814=DIRECTION('',(0.,0.,1.)); #377815=DIRECTION('center_axis',(0.976692604453644,0.214642857802347,0.)); #377816=DIRECTION('ref_axis',(-0.214642857802347,0.976692604453643,0.)); #377817=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #377818=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #377819=DIRECTION('',(0.,0.,1.)); #377820=DIRECTION('center_axis',(0.983056472197924,-0.183302952703363,0.)); #377821=DIRECTION('ref_axis',(0.183302952703363,0.983056472197924,0.)); #377822=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #377823=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #377824=DIRECTION('',(0.,0.,1.)); #377825=DIRECTION('center_axis',(0.833664013512722,-0.552271955266479,0.)); #377826=DIRECTION('ref_axis',(0.552271955266479,0.833664013512722,0.)); #377827=DIRECTION('',(0.552271955266479,0.833664013512722,0.)); #377828=DIRECTION('',(0.552271955266479,0.833664013512722,0.)); #377829=DIRECTION('',(0.,0.,1.)); #377830=DIRECTION('center_axis',(1.,0.,0.)); #377831=DIRECTION('ref_axis',(0.,1.,0.)); #377832=DIRECTION('',(0.,1.,0.)); #377833=DIRECTION('',(0.,1.,0.)); #377834=DIRECTION('',(0.,0.,1.)); #377835=DIRECTION('center_axis',(0.82477623219461,0.565459253003135,0.)); #377836=DIRECTION('ref_axis',(-0.565459253003135,0.82477623219461,0.)); #377837=DIRECTION('',(-0.565459253003135,0.82477623219461,0.)); #377838=DIRECTION('',(-0.565459253003135,0.82477623219461,0.)); #377839=DIRECTION('',(0.,0.,1.)); #377840=DIRECTION('center_axis',(0.97669260445364,0.214642857802362,0.)); #377841=DIRECTION('ref_axis',(-0.214642857802362,0.97669260445364,0.)); #377842=DIRECTION('',(-0.214642857802362,0.97669260445364,0.)); #377843=DIRECTION('',(-0.214642857802362,0.97669260445364,0.)); #377844=DIRECTION('',(0.,0.,1.)); #377845=DIRECTION('center_axis',(0.983056472197924,-0.183302952703363,0.)); #377846=DIRECTION('ref_axis',(0.183302952703363,0.983056472197924,0.)); #377847=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #377848=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #377849=DIRECTION('',(0.,0.,1.)); #377850=DIRECTION('center_axis',(0.833664013512753,-0.552271955266433,0.)); #377851=DIRECTION('ref_axis',(0.552271955266433,0.833664013512753,0.)); #377852=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #377853=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #377854=DIRECTION('',(0.,0.,1.)); #377855=DIRECTION('center_axis',(1.,0.,0.)); #377856=DIRECTION('ref_axis',(0.,1.,0.)); #377857=DIRECTION('',(0.,1.,0.)); #377858=DIRECTION('',(0.,1.,0.)); #377859=DIRECTION('',(0.,0.,1.)); #377860=DIRECTION('center_axis',(0.82477623219461,0.565459253003135,0.)); #377861=DIRECTION('ref_axis',(-0.565459253003135,0.82477623219461,0.)); #377862=DIRECTION('',(-0.565459253003135,0.82477623219461,0.)); #377863=DIRECTION('',(-0.565459253003135,0.82477623219461,0.)); #377864=DIRECTION('',(0.,0.,1.)); #377865=DIRECTION('center_axis',(0.97669260445364,0.214642857802362,0.)); #377866=DIRECTION('ref_axis',(-0.214642857802362,0.97669260445364,0.)); #377867=DIRECTION('',(-0.214642857802362,0.97669260445364,0.)); #377868=DIRECTION('',(-0.214642857802362,0.97669260445364,0.)); #377869=DIRECTION('',(0.,0.,1.)); #377870=DIRECTION('center_axis',(0.983056472197924,-0.183302952703363,0.)); #377871=DIRECTION('ref_axis',(0.183302952703363,0.983056472197924,0.)); #377872=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #377873=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #377874=DIRECTION('',(0.,0.,1.)); #377875=DIRECTION('center_axis',(0.833664013512753,-0.552271955266433,0.)); #377876=DIRECTION('ref_axis',(0.552271955266433,0.833664013512753,0.)); #377877=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #377878=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #377879=DIRECTION('',(0.,0.,1.)); #377880=DIRECTION('center_axis',(1.,0.,0.)); #377881=DIRECTION('ref_axis',(0.,1.,0.)); #377882=DIRECTION('',(0.,1.,0.)); #377883=DIRECTION('',(0.,1.,0.)); #377884=DIRECTION('',(0.,0.,1.)); #377885=DIRECTION('center_axis',(0.824776232194574,0.565459253003187,0.)); #377886=DIRECTION('ref_axis',(-0.565459253003187,0.824776232194574,0.)); #377887=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #377888=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #377889=DIRECTION('',(0.,0.,1.)); #377890=DIRECTION('center_axis',(0.976692604453644,0.214642857802347,0.)); #377891=DIRECTION('ref_axis',(-0.214642857802347,0.976692604453643,0.)); #377892=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #377893=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #377894=DIRECTION('',(0.,0.,1.)); #377895=DIRECTION('center_axis',(0.983056472197922,-0.183302952703377,0.)); #377896=DIRECTION('ref_axis',(0.183302952703377,0.983056472197922,0.)); #377897=DIRECTION('',(0.183302952703377,0.983056472197922,0.)); #377898=DIRECTION('',(0.183302952703377,0.983056472197922,0.)); #377899=DIRECTION('',(0.,0.,1.)); #377900=DIRECTION('center_axis',(0.833664013512753,-0.552271955266433,0.)); #377901=DIRECTION('ref_axis',(0.552271955266433,0.833664013512753,0.)); #377902=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #377903=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #377904=DIRECTION('',(0.,0.,1.)); #377905=DIRECTION('center_axis',(1.,0.,0.)); #377906=DIRECTION('ref_axis',(0.,1.,0.)); #377907=DIRECTION('',(0.,1.,0.)); #377908=DIRECTION('',(0.,1.,0.)); #377909=DIRECTION('',(0.,0.,1.)); #377910=DIRECTION('center_axis',(0.824776232194574,0.565459253003187,0.)); #377911=DIRECTION('ref_axis',(-0.565459253003187,0.824776232194574,0.)); #377912=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #377913=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #377914=DIRECTION('',(0.,0.,1.)); #377915=DIRECTION('center_axis',(0.976692604453647,0.214642857802332,0.)); #377916=DIRECTION('ref_axis',(-0.214642857802332,0.976692604453647,0.)); #377917=DIRECTION('',(-0.214642857802332,0.976692604453647,0.)); #377918=DIRECTION('',(-0.214642857802332,0.976692604453647,0.)); #377919=DIRECTION('',(0.,0.,1.)); #377920=DIRECTION('center_axis',(0.983056472197922,-0.183302952703377,0.)); #377921=DIRECTION('ref_axis',(0.183302952703377,0.983056472197922,0.)); #377922=DIRECTION('',(0.183302952703377,0.983056472197922,0.)); #377923=DIRECTION('',(0.183302952703377,0.983056472197922,0.)); #377924=DIRECTION('',(0.,0.,1.)); #377925=DIRECTION('center_axis',(0.833664013512753,-0.552271955266433,0.)); #377926=DIRECTION('ref_axis',(0.552271955266433,0.833664013512753,0.)); #377927=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #377928=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #377929=DIRECTION('',(0.,0.,1.)); #377930=DIRECTION('center_axis',(1.,0.,0.)); #377931=DIRECTION('ref_axis',(0.,1.,0.)); #377932=DIRECTION('',(0.,1.,0.)); #377933=DIRECTION('',(0.,1.,0.)); #377934=DIRECTION('',(0.,0.,1.)); #377935=DIRECTION('center_axis',(0.824776232194574,0.565459253003187,0.)); #377936=DIRECTION('ref_axis',(-0.565459253003187,0.824776232194574,0.)); #377937=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #377938=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #377939=DIRECTION('',(0.,0.,1.)); #377940=DIRECTION('center_axis',(0.976692604453647,0.214642857802332,0.)); #377941=DIRECTION('ref_axis',(-0.214642857802332,0.976692604453647,0.)); #377942=DIRECTION('',(-0.214642857802332,0.976692604453647,0.)); #377943=DIRECTION('',(-0.214642857802332,0.976692604453647,0.)); #377944=DIRECTION('',(0.,0.,1.)); #377945=DIRECTION('center_axis',(0.983056472197922,-0.183302952703377,0.)); #377946=DIRECTION('ref_axis',(0.183302952703377,0.983056472197922,0.)); #377947=DIRECTION('',(0.183302952703377,0.983056472197922,0.)); #377948=DIRECTION('',(0.183302952703377,0.983056472197922,0.)); #377949=DIRECTION('',(0.,0.,1.)); #377950=DIRECTION('center_axis',(0.833664013512753,-0.552271955266433,0.)); #377951=DIRECTION('ref_axis',(0.552271955266433,0.833664013512753,0.)); #377952=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #377953=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #377954=DIRECTION('',(0.,0.,1.)); #377955=DIRECTION('center_axis',(1.,0.,0.)); #377956=DIRECTION('ref_axis',(0.,1.,0.)); #377957=DIRECTION('',(0.,1.,0.)); #377958=DIRECTION('',(0.,1.,0.)); #377959=DIRECTION('',(0.,0.,1.)); #377960=DIRECTION('center_axis',(0.824776232194574,0.565459253003187,0.)); #377961=DIRECTION('ref_axis',(-0.565459253003187,0.824776232194574,0.)); #377962=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #377963=DIRECTION('',(-0.565459253003187,0.824776232194574,0.)); #377964=DIRECTION('',(0.,0.,1.)); #377965=DIRECTION('center_axis',(0.976692604453644,0.214642857802347,0.)); #377966=DIRECTION('ref_axis',(-0.214642857802347,0.976692604453643,0.)); #377967=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #377968=DIRECTION('',(-0.214642857802347,0.976692604453643,0.)); #377969=DIRECTION('',(0.,0.,1.)); #377970=DIRECTION('center_axis',(0.983056472197924,-0.183302952703363,0.)); #377971=DIRECTION('ref_axis',(0.183302952703363,0.983056472197924,0.)); #377972=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #377973=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #377974=DIRECTION('',(0.,0.,1.)); #377975=DIRECTION('center_axis',(0.833664013512753,-0.552271955266433,0.)); #377976=DIRECTION('ref_axis',(0.552271955266433,0.833664013512753,0.)); #377977=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #377978=DIRECTION('',(0.552271955266433,0.833664013512753,0.)); #377979=DIRECTION('',(0.,0.,1.)); #377980=DIRECTION('center_axis',(1.,0.,0.)); #377981=DIRECTION('ref_axis',(0.,1.,0.)); #377982=DIRECTION('',(0.,1.,0.)); #377983=DIRECTION('',(0.,1.,0.)); #377984=DIRECTION('',(0.,0.,1.)); #377985=DIRECTION('center_axis',(0.994222572580556,-0.107338139406743,0.)); #377986=DIRECTION('ref_axis',(0.107338139406743,0.994222572580556,0.)); #377987=DIRECTION('',(0.107338139406743,0.994222572580556,0.)); #377988=DIRECTION('',(0.107338139406743,0.994222572580556,0.)); #377989=DIRECTION('',(0.,0.,1.)); #377990=DIRECTION('center_axis',(0.9149217411843,-0.40363127667252,0.)); #377991=DIRECTION('ref_axis',(0.40363127667252,0.9149217411843,0.)); #377992=DIRECTION('',(0.40363127667252,0.9149217411843,0.)); #377993=DIRECTION('',(0.40363127667252,0.9149217411843,0.)); #377994=DIRECTION('',(0.,0.,1.)); #377995=DIRECTION('center_axis',(0.816341541946411,-0.577569464993221,0.)); #377996=DIRECTION('ref_axis',(0.577569464993221,0.816341541946411,0.)); #377997=DIRECTION('',(0.577569464993221,0.816341541946411,0.)); #377998=DIRECTION('',(0.577569464993221,0.816341541946411,0.)); #377999=DIRECTION('',(0.,0.,1.)); #378000=DIRECTION('center_axis',(0.919559317647945,-0.39295122003481,0.)); #378001=DIRECTION('ref_axis',(0.39295122003481,0.919559317647945,0.)); #378002=DIRECTION('',(0.39295122003481,0.919559317647945,0.)); #378003=DIRECTION('',(0.39295122003481,0.919559317647945,0.)); #378004=DIRECTION('',(0.,0.,1.)); #378005=DIRECTION('center_axis',(0.832914872222971,-0.553401134467388,0.)); #378006=DIRECTION('ref_axis',(0.553401134467388,0.832914872222971,0.)); #378007=DIRECTION('',(0.553401134467388,0.832914872222971,0.)); #378008=DIRECTION('',(0.553401134467388,0.832914872222971,0.)); #378009=DIRECTION('',(0.,0.,1.)); #378010=DIRECTION('center_axis',(0.565504004715012,-0.824745549033933,0.)); #378011=DIRECTION('ref_axis',(0.824745549033933,0.565504004715012,0.)); #378012=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #378013=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #378014=DIRECTION('',(0.,0.,1.)); #378015=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #378016=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #378017=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #378018=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #378019=DIRECTION('',(0.,0.,1.)); #378020=DIRECTION('center_axis',(0.,-1.,0.)); #378021=DIRECTION('ref_axis',(1.,0.,0.)); #378022=DIRECTION('',(1.,0.,0.)); #378023=DIRECTION('',(1.,0.,0.)); #378024=DIRECTION('',(0.,0.,1.)); #378025=DIRECTION('center_axis',(0.565459253003083,-0.824776232194645,0.)); #378026=DIRECTION('ref_axis',(0.824776232194645,0.565459253003083,0.)); #378027=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #378028=DIRECTION('',(0.824776232194645,0.565459253003083,0.)); #378029=DIRECTION('center_axis',(0.,0.,1.)); #378030=DIRECTION('ref_axis',(1.,0.,0.)); #378031=DIRECTION('center_axis',(0.,0.,1.)); #378032=DIRECTION('ref_axis',(1.,0.,0.)); #378033=DIRECTION('center_axis',(0.214642857802347,-0.976692604453644,0.)); #378034=DIRECTION('ref_axis',(0.976692604453643,0.214642857802347,0.)); #378035=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #378036=DIRECTION('',(0.,0.,1.)); #378037=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #378038=DIRECTION('',(0.,0.,1.)); #378039=DIRECTION('center_axis',(-0.18330295270339,-0.983056472197919,0.)); #378040=DIRECTION('ref_axis',(0.98305647219792,-0.18330295270339,0.)); #378041=DIRECTION('',(0.98305647219792,-0.18330295270339,0.)); #378042=DIRECTION('',(0.98305647219792,-0.18330295270339,0.)); #378043=DIRECTION('',(0.,0.,1.)); #378044=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #378045=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #378046=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #378047=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #378048=DIRECTION('',(0.,0.,1.)); #378049=DIRECTION('center_axis',(-0.707106781186561,-0.707106781186534, 0.)); #378050=DIRECTION('ref_axis',(0.707106781186534,-0.707106781186561,0.)); #378051=DIRECTION('',(0.707106781186534,-0.707106781186561,0.)); #378052=DIRECTION('',(0.707106781186534,-0.707106781186561,0.)); #378053=DIRECTION('',(0.,0.,1.)); #378054=DIRECTION('center_axis',(-0.829203833807223,-0.558946331949144, 0.)); #378055=DIRECTION('ref_axis',(0.558946331949144,-0.829203833807223,0.)); #378056=DIRECTION('',(0.558946331949144,-0.829203833807223,0.)); #378057=DIRECTION('',(0.558946331949144,-0.829203833807223,0.)); #378058=DIRECTION('',(0.,0.,1.)); #378059=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #378060=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #378061=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #378062=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #378063=DIRECTION('',(0.,0.,1.)); #378064=DIRECTION('center_axis',(-1.,0.,0.)); #378065=DIRECTION('ref_axis',(0.,-1.,0.)); #378066=DIRECTION('',(0.,-1.,0.)); #378067=DIRECTION('',(0.,-1.,0.)); #378068=DIRECTION('',(0.,0.,1.)); #378069=DIRECTION('center_axis',(-0.98156086525294,0.191149856928798,0.)); #378070=DIRECTION('ref_axis',(-0.191149856928798,-0.98156086525294,0.)); #378071=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #378072=DIRECTION('',(-0.191149856928798,-0.98156086525294,0.)); #378073=DIRECTION('',(0.,0.,1.)); #378074=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #378075=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #378076=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #378077=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #378078=DIRECTION('',(0.,0.,1.)); #378079=DIRECTION('center_axis',(-0.707106781186554,0.707106781186541,0.)); #378080=DIRECTION('ref_axis',(-0.707106781186541,-0.707106781186554,0.)); #378081=DIRECTION('',(-0.707106781186541,-0.707106781186554,0.)); #378082=DIRECTION('',(-0.707106781186541,-0.707106781186554,0.)); #378083=DIRECTION('',(0.,0.,1.)); #378084=DIRECTION('center_axis',(-0.572058771549436,0.820212632122518,0.)); #378085=DIRECTION('ref_axis',(-0.820212632122518,-0.572058771549436,0.)); #378086=DIRECTION('',(-0.820212632122518,-0.572058771549436,0.)); #378087=DIRECTION('',(-0.820212632122518,-0.572058771549436,0.)); #378088=DIRECTION('',(0.,0.,1.)); #378089=DIRECTION('center_axis',(-0.230165683714617,0.973151456886431,0.)); #378090=DIRECTION('ref_axis',(-0.973151456886431,-0.230165683714617,0.)); #378091=DIRECTION('',(-0.973151456886431,-0.230165683714617,0.)); #378092=DIRECTION('',(-0.973151456886431,-0.230165683714617,0.)); #378093=DIRECTION('',(0.,0.,1.)); #378094=DIRECTION('center_axis',(0.167643887112353,0.985847618607389,0.)); #378095=DIRECTION('ref_axis',(-0.985847618607389,0.167643887112353,0.)); #378096=DIRECTION('',(-0.985847618607389,0.167643887112353,0.)); #378097=DIRECTION('',(-0.985847618607389,0.167643887112353,0.)); #378098=DIRECTION('',(0.,0.,1.)); #378099=DIRECTION('center_axis',(0.538911466745989,0.842362410729306,0.)); #378100=DIRECTION('ref_axis',(-0.842362410729306,0.538911466745989,0.)); #378101=DIRECTION('',(-0.842362410729306,0.538911466745989,0.)); #378102=DIRECTION('',(-0.842362410729306,0.538911466745989,0.)); #378103=DIRECTION('',(0.,0.,1.)); #378104=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #378105=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #378106=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #378107=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #378108=DIRECTION('',(0.,0.,1.)); #378109=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #378110=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #378111=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #378112=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #378113=DIRECTION('',(0.,0.,1.)); #378114=DIRECTION('center_axis',(1.,0.,0.)); #378115=DIRECTION('ref_axis',(0.,1.,0.)); #378116=DIRECTION('',(0.,1.,0.)); #378117=DIRECTION('',(0.,1.,0.)); #378118=DIRECTION('',(0.,0.,1.)); #378119=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #378120=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #378121=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #378122=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #378123=DIRECTION('',(0.,0.,1.)); #378124=DIRECTION('center_axis',(0.83365985974971,-0.552278225391961,0.)); #378125=DIRECTION('ref_axis',(0.552278225391961,0.83365985974971,0.)); #378126=DIRECTION('',(0.552278225391961,0.83365985974971,0.)); #378127=DIRECTION('',(0.552278225391961,0.83365985974971,0.)); #378128=DIRECTION('',(0.,0.,1.)); #378129=DIRECTION('center_axis',(0.707106781186561,-0.707106781186534,0.)); #378130=DIRECTION('ref_axis',(0.707106781186534,0.707106781186561,0.)); #378131=DIRECTION('',(0.707106781186534,0.707106781186561,0.)); #378132=DIRECTION('',(0.707106781186534,0.707106781186561,0.)); #378133=DIRECTION('',(0.,0.,1.)); #378134=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #378135=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #378136=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #378137=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #378138=DIRECTION('center_axis',(0.,0.,1.)); #378139=DIRECTION('ref_axis',(1.,0.,0.)); #378140=DIRECTION('center_axis',(0.,0.,1.)); #378141=DIRECTION('ref_axis',(1.,0.,0.)); #378142=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #378143=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #378144=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #378145=DIRECTION('',(0.,0.,1.)); #378146=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #378147=DIRECTION('',(0.,0.,1.)); #378148=DIRECTION('center_axis',(0.,-1.,0.)); #378149=DIRECTION('ref_axis',(1.,0.,0.)); #378150=DIRECTION('',(1.,0.,0.)); #378151=DIRECTION('',(1.,0.,0.)); #378152=DIRECTION('',(0.,0.,1.)); #378153=DIRECTION('center_axis',(-0.17550205938607,-0.984479063846077,0.)); #378154=DIRECTION('ref_axis',(0.984479063846077,-0.17550205938607,0.)); #378155=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #378156=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #378157=DIRECTION('',(0.,0.,1.)); #378158=DIRECTION('center_axis',(-0.525373540973385,-0.850871695642232, 0.)); #378159=DIRECTION('ref_axis',(0.850871695642232,-0.525373540973385,0.)); #378160=DIRECTION('',(0.850871695642232,-0.525373540973385,0.)); #378161=DIRECTION('',(0.850871695642232,-0.525373540973385,0.)); #378162=DIRECTION('',(0.,0.,1.)); #378163=DIRECTION('center_axis',(-0.815693852178984,-0.578483828224619, 0.)); #378164=DIRECTION('ref_axis',(0.578483828224619,-0.815693852178984,0.)); #378165=DIRECTION('',(0.578483828224619,-0.815693852178984,0.)); #378166=DIRECTION('',(0.578483828224619,-0.815693852178984,0.)); #378167=DIRECTION('',(0.,0.,1.)); #378168=DIRECTION('center_axis',(-0.97669260445364,-0.214642857802362,0.)); #378169=DIRECTION('ref_axis',(0.214642857802362,-0.97669260445364,0.)); #378170=DIRECTION('',(0.214642857802362,-0.97669260445364,0.)); #378171=DIRECTION('',(0.214642857802362,-0.97669260445364,0.)); #378172=DIRECTION('',(0.,0.,1.)); #378173=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #378174=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #378175=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #378176=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #378177=DIRECTION('',(0.,0.,1.)); #378178=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #378179=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #378180=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #378181=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #378182=DIRECTION('',(0.,0.,1.)); #378183=DIRECTION('center_axis',(-0.707106781186553,0.707106781186542,0.)); #378184=DIRECTION('ref_axis',(-0.707106781186542,-0.707106781186553,0.)); #378185=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #378186=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #378187=DIRECTION('',(0.,0.,1.)); #378188=DIRECTION('center_axis',(-0.558946331948917,0.829203833807377,0.)); #378189=DIRECTION('ref_axis',(-0.829203833807377,-0.558946331948917,0.)); #378190=DIRECTION('',(-0.829203833807377,-0.558946331948917,0.)); #378191=DIRECTION('',(-0.829203833807377,-0.558946331948917,0.)); #378192=DIRECTION('',(0.,0.,1.)); #378193=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #378194=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #378195=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #378196=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #378197=DIRECTION('',(0.,0.,1.)); #378198=DIRECTION('center_axis',(0.,1.,0.)); #378199=DIRECTION('ref_axis',(-1.,0.,0.)); #378200=DIRECTION('',(-1.,0.,0.)); #378201=DIRECTION('',(-1.,0.,0.)); #378202=DIRECTION('',(0.,0.,1.)); #378203=DIRECTION('center_axis',(0.191149856928815,0.981560865252937,0.)); #378204=DIRECTION('ref_axis',(-0.981560865252937,0.191149856928815,0.)); #378205=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #378206=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #378207=DIRECTION('',(0.,0.,1.)); #378208=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #378209=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #378210=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #378211=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #378212=DIRECTION('',(0.,0.,1.)); #378213=DIRECTION('center_axis',(0.707106781186559,0.707106781186536,0.)); #378214=DIRECTION('ref_axis',(-0.707106781186536,0.707106781186559,0.)); #378215=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #378216=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #378217=DIRECTION('',(0.,0.,1.)); #378218=DIRECTION('center_axis',(0.824789890119785,0.565439331101219,0.)); #378219=DIRECTION('ref_axis',(-0.565439331101219,0.824789890119785,0.)); #378220=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #378221=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #378222=DIRECTION('',(0.,0.,1.)); #378223=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #378224=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #378225=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #378226=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #378227=DIRECTION('',(0.,0.,1.)); #378228=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #378229=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #378230=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #378231=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #378232=DIRECTION('',(0.,0.,1.)); #378233=DIRECTION('center_axis',(0.833659859749733,-0.552278225391927,0.)); #378234=DIRECTION('ref_axis',(0.552278225391927,0.833659859749732,0.)); #378235=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #378236=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #378237=DIRECTION('',(0.,0.,1.)); #378238=DIRECTION('center_axis',(0.707106781186559,-0.707106781186536,0.)); #378239=DIRECTION('ref_axis',(0.707106781186536,0.707106781186559,0.)); #378240=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #378241=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #378242=DIRECTION('',(0.,0.,1.)); #378243=DIRECTION('center_axis',(0.558946331948775,-0.829203833807472,0.)); #378244=DIRECTION('ref_axis',(0.829203833807472,0.558946331948775,0.)); #378245=DIRECTION('',(0.829203833807472,0.558946331948775,0.)); #378246=DIRECTION('',(0.829203833807472,0.558946331948775,0.)); #378247=DIRECTION('center_axis',(0.,0.,1.)); #378248=DIRECTION('ref_axis',(1.,0.,0.)); #378249=DIRECTION('center_axis',(0.,0.,1.)); #378250=DIRECTION('ref_axis',(1.,0.,0.)); #378251=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #378252=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #378253=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #378254=DIRECTION('',(0.,0.,1.)); #378255=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #378256=DIRECTION('',(0.,0.,1.)); #378257=DIRECTION('center_axis',(0.,-1.,0.)); #378258=DIRECTION('ref_axis',(1.,0.,0.)); #378259=DIRECTION('',(1.,0.,0.)); #378260=DIRECTION('',(1.,0.,0.)); #378261=DIRECTION('',(0.,0.,1.)); #378262=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #378263=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #378264=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #378265=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #378266=DIRECTION('',(0.,0.,1.)); #378267=DIRECTION('center_axis',(-0.538911466745847,-0.842362410729396, 0.)); #378268=DIRECTION('ref_axis',(0.842362410729396,-0.538911466745847,0.)); #378269=DIRECTION('',(0.842362410729396,-0.538911466745847,0.)); #378270=DIRECTION('',(0.842362410729396,-0.538911466745847,0.)); #378271=DIRECTION('',(0.,0.,1.)); #378272=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #378273=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #378274=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #378275=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #378276=DIRECTION('',(0.,0.,1.)); #378277=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #378278=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #378279=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #378280=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #378281=DIRECTION('',(0.,0.,1.)); #378282=DIRECTION('center_axis',(-1.,0.,0.)); #378283=DIRECTION('ref_axis',(0.,-1.,0.)); #378284=DIRECTION('',(0.,-1.,0.)); #378285=DIRECTION('',(0.,-1.,0.)); #378286=DIRECTION('',(0.,0.,1.)); #378287=DIRECTION('center_axis',(-0.984479063846077,0.17550205938607,0.)); #378288=DIRECTION('ref_axis',(-0.17550205938607,-0.984479063846077,0.)); #378289=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #378290=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #378291=DIRECTION('',(0.,0.,1.)); #378292=DIRECTION('center_axis',(-0.850880796063565,0.52535880204888,0.)); #378293=DIRECTION('ref_axis',(-0.52535880204888,-0.850880796063565,0.)); #378294=DIRECTION('',(-0.52535880204888,-0.850880796063565,0.)); #378295=DIRECTION('',(-0.52535880204888,-0.850880796063565,0.)); #378296=DIRECTION('',(0.,0.,1.)); #378297=DIRECTION('center_axis',(-0.57846188858929,0.815709411156762,0.)); #378298=DIRECTION('ref_axis',(-0.815709411156762,-0.57846188858929,0.)); #378299=DIRECTION('',(-0.815709411156762,-0.57846188858929,0.)); #378300=DIRECTION('',(-0.815709411156762,-0.57846188858929,0.)); #378301=DIRECTION('',(0.,0.,1.)); #378302=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #378303=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #378304=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #378305=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #378306=DIRECTION('',(0.,0.,1.)); #378307=DIRECTION('center_axis',(0.183334818573673,0.983050529880615,0.)); #378308=DIRECTION('ref_axis',(-0.983050529880615,0.183334818573673,0.)); #378309=DIRECTION('',(-0.983050529880615,0.183334818573673,0.)); #378310=DIRECTION('',(-0.983050529880615,0.183334818573673,0.)); #378311=DIRECTION('',(0.,0.,1.)); #378312=DIRECTION('center_axis',(0.552278225391826,0.8336598597498,0.)); #378313=DIRECTION('ref_axis',(-0.8336598597498,0.552278225391826,0.)); #378314=DIRECTION('',(-0.8336598597498,0.552278225391826,0.)); #378315=DIRECTION('',(-0.8336598597498,0.552278225391826,0.)); #378316=DIRECTION('',(0.,0.,1.)); #378317=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #378318=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #378319=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #378320=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #378321=DIRECTION('',(0.,0.,1.)); #378322=DIRECTION('center_axis',(0.820212632122729,0.572058771549135,0.)); #378323=DIRECTION('ref_axis',(-0.572058771549135,0.820212632122729,0.)); #378324=DIRECTION('',(-0.572058771549135,0.820212632122729,0.)); #378325=DIRECTION('',(-0.572058771549135,0.820212632122729,0.)); #378326=DIRECTION('',(0.,0.,1.)); #378327=DIRECTION('center_axis',(0.973144071552962,0.230196907019457,0.)); #378328=DIRECTION('ref_axis',(-0.230196907019457,0.973144071552962,0.)); #378329=DIRECTION('',(-0.230196907019457,0.973144071552962,0.)); #378330=DIRECTION('',(-0.230196907019457,0.973144071552962,0.)); #378331=DIRECTION('',(0.,0.,1.)); #378332=DIRECTION('center_axis',(0.985842168327497,-0.167675934908202,0.)); #378333=DIRECTION('ref_axis',(0.167675934908202,0.985842168327497,0.)); #378334=DIRECTION('',(0.167675934908202,0.985842168327497,0.)); #378335=DIRECTION('',(0.167675934908202,0.985842168327497,0.)); #378336=DIRECTION('',(0.,0.,1.)); #378337=DIRECTION('center_axis',(0.842362410729438,-0.538911466745781,0.)); #378338=DIRECTION('ref_axis',(0.538911466745781,0.842362410729438,0.)); #378339=DIRECTION('',(0.538911466745781,0.842362410729438,0.)); #378340=DIRECTION('',(0.538911466745781,0.842362410729438,0.)); #378341=DIRECTION('',(0.,0.,1.)); #378342=DIRECTION('center_axis',(0.565504004714863,-0.824745549034035,0.)); #378343=DIRECTION('ref_axis',(0.824745549034035,0.565504004714863,0.)); #378344=DIRECTION('',(0.824745549034035,0.565504004714863,0.)); #378345=DIRECTION('',(0.824745549034035,0.565504004714863,0.)); #378346=DIRECTION('center_axis',(0.,0.,1.)); #378347=DIRECTION('ref_axis',(1.,0.,0.)); #378348=DIRECTION('center_axis',(0.,0.,1.)); #378349=DIRECTION('ref_axis',(1.,0.,0.)); #378350=DIRECTION('center_axis',(0.214642857802347,-0.976692604453644,0.)); #378351=DIRECTION('ref_axis',(0.976692604453643,0.214642857802347,0.)); #378352=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #378353=DIRECTION('',(0.,0.,1.)); #378354=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #378355=DIRECTION('',(0.,0.,1.)); #378356=DIRECTION('center_axis',(-0.183302952703363,-0.983056472197924, 0.)); #378357=DIRECTION('ref_axis',(0.983056472197924,-0.183302952703363,0.)); #378358=DIRECTION('',(0.983056472197924,-0.183302952703363,0.)); #378359=DIRECTION('',(0.983056472197924,-0.183302952703363,0.)); #378360=DIRECTION('',(0.,0.,1.)); #378361=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #378362=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #378363=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #378364=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #378365=DIRECTION('',(0.,0.,1.)); #378366=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #378367=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #378368=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #378369=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #378370=DIRECTION('',(0.,0.,1.)); #378371=DIRECTION('center_axis',(-0.820212632122705,-0.572058771549169, 0.)); #378372=DIRECTION('ref_axis',(0.572058771549169,-0.820212632122705,0.)); #378373=DIRECTION('',(0.572058771549169,-0.820212632122705,0.)); #378374=DIRECTION('',(0.572058771549169,-0.820212632122705,0.)); #378375=DIRECTION('',(0.,0.,1.)); #378376=DIRECTION('center_axis',(-0.973151456886361,-0.230165683714911, 0.)); #378377=DIRECTION('ref_axis',(0.230165683714911,-0.973151456886362,0.)); #378378=DIRECTION('',(0.230165683714911,-0.973151456886362,0.)); #378379=DIRECTION('',(0.230165683714911,-0.973151456886362,0.)); #378380=DIRECTION('',(0.,0.,1.)); #378381=DIRECTION('center_axis',(-0.985842168327499,0.16767593490819,0.)); #378382=DIRECTION('ref_axis',(-0.16767593490819,-0.985842168327499,0.)); #378383=DIRECTION('',(-0.16767593490819,-0.985842168327499,0.)); #378384=DIRECTION('',(-0.16767593490819,-0.985842168327499,0.)); #378385=DIRECTION('',(0.,0.,1.)); #378386=DIRECTION('center_axis',(-0.842377379073625,0.538888069291805,0.)); #378387=DIRECTION('ref_axis',(-0.538888069291805,-0.842377379073625,0.)); #378388=DIRECTION('',(-0.538888069291805,-0.842377379073625,0.)); #378389=DIRECTION('',(-0.538888069291805,-0.842377379073625,0.)); #378390=DIRECTION('',(0.,0.,1.)); #378391=DIRECTION('center_axis',(-0.565488626065806,0.824756093515051,0.)); #378392=DIRECTION('ref_axis',(-0.824756093515051,-0.565488626065806,0.)); #378393=DIRECTION('',(-0.824756093515051,-0.565488626065806,0.)); #378394=DIRECTION('',(-0.824756093515051,-0.565488626065806,0.)); #378395=DIRECTION('',(0.,0.,1.)); #378396=DIRECTION('center_axis',(-0.198956019232613,0.980008419561338,0.)); #378397=DIRECTION('ref_axis',(-0.980008419561339,-0.198956019232613,0.)); #378398=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #378399=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #378400=DIRECTION('',(0.,0.,1.)); #378401=DIRECTION('center_axis',(0.,1.,0.)); #378402=DIRECTION('ref_axis',(-1.,0.,0.)); #378403=DIRECTION('',(-1.,0.,0.)); #378404=DIRECTION('',(-1.,0.,0.)); #378405=DIRECTION('',(0.,0.,1.)); #378406=DIRECTION('center_axis',(0.183305290257339,0.983056036329401,0.)); #378407=DIRECTION('ref_axis',(-0.983056036329401,0.183305290257339,0.)); #378408=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #378409=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #378410=DIRECTION('',(0.,0.,1.)); #378411=DIRECTION('center_axis',(0.53891146674607,0.842362410729254,0.)); #378412=DIRECTION('ref_axis',(-0.842362410729254,0.53891146674607,0.)); #378413=DIRECTION('',(-0.842362410729254,0.53891146674607,0.)); #378414=DIRECTION('',(-0.842362410729254,0.53891146674607,0.)); #378415=DIRECTION('',(0.,0.,1.)); #378416=DIRECTION('center_axis',(0.824745549033875,0.565504004715097,0.)); #378417=DIRECTION('ref_axis',(-0.565504004715097,0.824745549033875,0.)); #378418=DIRECTION('',(-0.565504004715097,0.824745549033875,0.)); #378419=DIRECTION('',(-0.565504004715097,0.824745549033875,0.)); #378420=DIRECTION('',(0.,0.,1.)); #378421=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #378422=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #378423=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #378424=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #378425=DIRECTION('',(0.,0.,1.)); #378426=DIRECTION('center_axis',(1.,0.,0.)); #378427=DIRECTION('ref_axis',(0.,1.,0.)); #378428=DIRECTION('',(0.,1.,0.)); #378429=DIRECTION('',(0.,1.,0.)); #378430=DIRECTION('',(0.,0.,1.)); #378431=DIRECTION('center_axis',(0.984480215387564,-0.175495599689726,0.)); #378432=DIRECTION('ref_axis',(0.175495599689726,0.984480215387564,0.)); #378433=DIRECTION('',(0.175495599689726,0.984480215387564,0.)); #378434=DIRECTION('',(0.175495599689726,0.984480215387564,0.)); #378435=DIRECTION('',(0.,0.,1.)); #378436=DIRECTION('center_axis',(0.850871695642199,-0.525373540973438,0.)); #378437=DIRECTION('ref_axis',(0.525373540973438,0.850871695642199,0.)); #378438=DIRECTION('',(0.525373540973438,0.850871695642199,0.)); #378439=DIRECTION('',(0.525373540973438,0.850871695642199,0.)); #378440=DIRECTION('',(0.,0.,1.)); #378441=DIRECTION('center_axis',(0.578483828224771,-0.815693852178876,0.)); #378442=DIRECTION('ref_axis',(0.815693852178876,0.578483828224771,0.)); #378443=DIRECTION('',(0.815693852178876,0.578483828224771,0.)); #378444=DIRECTION('',(0.815693852178876,0.578483828224771,0.)); #378445=DIRECTION('center_axis',(0.,0.,1.)); #378446=DIRECTION('ref_axis',(1.,0.,0.)); #378447=DIRECTION('center_axis',(0.,0.,1.)); #378448=DIRECTION('ref_axis',(1.,0.,0.)); #378449=DIRECTION('center_axis',(0.230165683714756,-0.973151456886398,0.)); #378450=DIRECTION('ref_axis',(0.973151456886398,0.230165683714756,0.)); #378451=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #378452=DIRECTION('',(0.,0.,1.)); #378453=DIRECTION('',(0.973151456886398,0.230165683714756,0.)); #378454=DIRECTION('',(0.,0.,1.)); #378455=DIRECTION('center_axis',(-0.167643887112447,-0.985847618607373, 0.)); #378456=DIRECTION('ref_axis',(0.985847618607373,-0.167643887112447,0.)); #378457=DIRECTION('',(0.985847618607373,-0.167643887112447,0.)); #378458=DIRECTION('',(0.985847618607373,-0.167643887112447,0.)); #378459=DIRECTION('',(0.,0.,1.)); #378460=DIRECTION('center_axis',(-0.538911466746122,-0.84236241072922,0.)); #378461=DIRECTION('ref_axis',(0.84236241072922,-0.538911466746122,0.)); #378462=DIRECTION('',(0.84236241072922,-0.538911466746122,0.)); #378463=DIRECTION('',(0.84236241072922,-0.538911466746122,0.)); #378464=DIRECTION('',(0.,0.,1.)); #378465=DIRECTION('center_axis',(-0.824745549033873,-0.565504004715099, 0.)); #378466=DIRECTION('ref_axis',(0.565504004715099,-0.824745549033873,0.)); #378467=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #378468=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #378469=DIRECTION('',(0.,0.,1.)); #378470=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #378471=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #378472=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #378473=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #378474=DIRECTION('',(0.,0.,1.)); #378475=DIRECTION('center_axis',(-1.,0.,0.)); #378476=DIRECTION('ref_axis',(0.,-1.,0.)); #378477=DIRECTION('',(0.,-1.,0.)); #378478=DIRECTION('',(0.,-1.,0.)); #378479=DIRECTION('',(0.,0.,1.)); #378480=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #378481=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #378482=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #378483=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #378484=DIRECTION('',(0.,0.,1.)); #378485=DIRECTION('center_axis',(-0.842362410729396,0.538911466745847,0.)); #378486=DIRECTION('ref_axis',(-0.538911466745847,-0.842362410729396,0.)); #378487=DIRECTION('',(-0.538911466745847,-0.842362410729396,0.)); #378488=DIRECTION('',(-0.538911466745847,-0.842362410729396,0.)); #378489=DIRECTION('',(0.,0.,1.)); #378490=DIRECTION('center_axis',(-0.565504004714894,0.824745549034014,0.)); #378491=DIRECTION('ref_axis',(-0.824745549034014,-0.565504004714894,0.)); #378492=DIRECTION('',(-0.824745549034014,-0.565504004714894,0.)); #378493=DIRECTION('',(-0.824745549034014,-0.565504004714894,0.)); #378494=DIRECTION('',(0.,0.,1.)); #378495=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #378496=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #378497=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #378498=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #378499=DIRECTION('',(0.,0.,1.)); #378500=DIRECTION('center_axis',(0.,1.,0.)); #378501=DIRECTION('ref_axis',(-1.,0.,0.)); #378502=DIRECTION('',(-1.,0.,0.)); #378503=DIRECTION('',(-1.,0.,0.)); #378504=DIRECTION('',(0.,0.,1.)); #378505=DIRECTION('center_axis',(0.175502059386041,0.984479063846082,0.)); #378506=DIRECTION('ref_axis',(-0.984479063846082,0.175502059386041,0.)); #378507=DIRECTION('',(-0.984479063846082,0.175502059386041,0.)); #378508=DIRECTION('',(-0.984479063846082,0.175502059386041,0.)); #378509=DIRECTION('',(0.,0.,1.)); #378510=DIRECTION('center_axis',(0.525373540973569,0.850871695642118,0.)); #378511=DIRECTION('ref_axis',(-0.850871695642118,0.525373540973569,0.)); #378512=DIRECTION('',(-0.850871695642118,0.525373540973569,0.)); #378513=DIRECTION('',(-0.850871695642118,0.525373540973569,0.)); #378514=DIRECTION('',(0.,0.,1.)); #378515=DIRECTION('center_axis',(0.815693852178841,0.57848382822482,0.)); #378516=DIRECTION('ref_axis',(-0.57848382822482,0.815693852178841,0.)); #378517=DIRECTION('',(-0.57848382822482,0.815693852178841,0.)); #378518=DIRECTION('',(-0.57848382822482,0.815693852178841,0.)); #378519=DIRECTION('',(0.,0.,1.)); #378520=DIRECTION('center_axis',(0.97669260445365,0.214642857802316,0.)); #378521=DIRECTION('ref_axis',(-0.214642857802316,0.97669260445365,0.)); #378522=DIRECTION('',(-0.214642857802316,0.97669260445365,0.)); #378523=DIRECTION('',(-0.214642857802316,0.97669260445365,0.)); #378524=DIRECTION('',(0.,0.,1.)); #378525=DIRECTION('center_axis',(0.983056472197924,-0.183302952703363,0.)); #378526=DIRECTION('ref_axis',(0.183302952703363,0.983056472197924,0.)); #378527=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #378528=DIRECTION('',(0.183302952703363,0.983056472197924,0.)); #378529=DIRECTION('',(0.,0.,1.)); #378530=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #378531=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #378532=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #378533=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #378534=DIRECTION('',(0.,0.,1.)); #378535=DIRECTION('center_axis',(0.707106781186545,-0.70710678118655,0.)); #378536=DIRECTION('ref_axis',(0.70710678118655,0.707106781186545,0.)); #378537=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #378538=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #378539=DIRECTION('',(0.,0.,1.)); #378540=DIRECTION('center_axis',(0.572058771549325,-0.820212632122596,0.)); #378541=DIRECTION('ref_axis',(0.820212632122596,0.572058771549325,0.)); #378542=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #378543=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #378544=DIRECTION('center_axis',(0.,0.,1.)); #378545=DIRECTION('ref_axis',(1.,0.,0.)); #378546=DIRECTION('center_axis',(0.,0.,1.)); #378547=DIRECTION('ref_axis',(1.,0.,0.)); #378548=DIRECTION('center_axis',(0.214642857802347,-0.976692604453644,0.)); #378549=DIRECTION('ref_axis',(0.976692604453643,0.214642857802347,0.)); #378550=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #378551=DIRECTION('',(0.,0.,1.)); #378552=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #378553=DIRECTION('',(0.,0.,1.)); #378554=DIRECTION('center_axis',(-0.183302952703505,-0.983056472197898, 0.)); #378555=DIRECTION('ref_axis',(0.983056472197898,-0.183302952703505,0.)); #378556=DIRECTION('',(0.983056472197898,-0.183302952703505,0.)); #378557=DIRECTION('',(0.983056472197898,-0.183302952703505,0.)); #378558=DIRECTION('',(0.,0.,1.)); #378559=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #378560=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #378561=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #378562=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #378563=DIRECTION('',(0.,0.,1.)); #378564=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #378565=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #378566=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #378567=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #378568=DIRECTION('',(0.,0.,1.)); #378569=DIRECTION('center_axis',(0.,-1.,0.)); #378570=DIRECTION('ref_axis',(1.,0.,0.)); #378571=DIRECTION('',(1.,0.,0.)); #378572=DIRECTION('',(1.,0.,0.)); #378573=DIRECTION('',(0.,0.,1.)); #378574=DIRECTION('center_axis',(-0.17550205938607,-0.984479063846077,0.)); #378575=DIRECTION('ref_axis',(0.984479063846077,-0.17550205938607,0.)); #378576=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #378577=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #378578=DIRECTION('',(0.,0.,1.)); #378579=DIRECTION('center_axis',(-0.52535880204888,-0.850880796063565,0.)); #378580=DIRECTION('ref_axis',(0.850880796063565,-0.52535880204888,0.)); #378581=DIRECTION('',(0.850880796063565,-0.52535880204888,0.)); #378582=DIRECTION('',(0.850880796063565,-0.52535880204888,0.)); #378583=DIRECTION('',(0.,0.,1.)); #378584=DIRECTION('center_axis',(-0.815709411156762,-0.57846188858929,0.)); #378585=DIRECTION('ref_axis',(0.57846188858929,-0.815709411156762,0.)); #378586=DIRECTION('',(0.57846188858929,-0.815709411156762,0.)); #378587=DIRECTION('',(0.57846188858929,-0.815709411156762,0.)); #378588=DIRECTION('',(0.,0.,1.)); #378589=DIRECTION('center_axis',(-0.976685690837527,-0.214674314516719, 0.)); #378590=DIRECTION('ref_axis',(0.214674314516719,-0.976685690837527,0.)); #378591=DIRECTION('',(0.214674314516719,-0.976685690837527,0.)); #378592=DIRECTION('',(0.214674314516719,-0.976685690837527,0.)); #378593=DIRECTION('',(0.,0.,1.)); #378594=DIRECTION('center_axis',(-0.983050529880594,0.183334818573788,0.)); #378595=DIRECTION('ref_axis',(-0.183334818573788,-0.983050529880594,0.)); #378596=DIRECTION('',(-0.183334818573788,-0.983050529880594,0.)); #378597=DIRECTION('',(-0.183334818573788,-0.983050529880594,0.)); #378598=DIRECTION('',(0.,0.,1.)); #378599=DIRECTION('center_axis',(-0.833659859749778,0.552278225391859,0.)); #378600=DIRECTION('ref_axis',(-0.552278225391859,-0.833659859749778,0.)); #378601=DIRECTION('',(-0.552278225391859,-0.833659859749778,0.)); #378602=DIRECTION('',(-0.552278225391859,-0.833659859749778,0.)); #378603=DIRECTION('',(0.,0.,1.)); #378604=DIRECTION('center_axis',(-0.707110741027282,0.707102821323638,0.)); #378605=DIRECTION('ref_axis',(-0.707102821323638,-0.707110741027282,0.)); #378606=DIRECTION('',(-0.707102821323638,-0.707110741027282,0.)); #378607=DIRECTION('',(-0.707102821323638,-0.707110741027282,0.)); #378608=DIRECTION('',(0.,0.,1.)); #378609=DIRECTION('center_axis',(-1.,0.,0.)); #378610=DIRECTION('ref_axis',(0.,-1.,0.)); #378611=DIRECTION('',(0.,-1.,0.)); #378612=DIRECTION('',(0.,-1.,0.)); #378613=DIRECTION('',(0.,0.,1.)); #378614=DIRECTION('center_axis',(-0.707108784529046,-0.707104777838373, 0.)); #378615=DIRECTION('ref_axis',(0.707104777838373,-0.707108784529046,0.)); #378616=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #378617=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #378618=DIRECTION('',(0.,0.,1.)); #378619=DIRECTION('center_axis',(-0.82920383380746,-0.558946331948794,0.)); #378620=DIRECTION('ref_axis',(0.558946331948794,-0.82920383380746,0.)); #378621=DIRECTION('',(0.558946331948794,-0.82920383380746,0.)); #378622=DIRECTION('',(0.558946331948794,-0.82920383380746,0.)); #378623=DIRECTION('',(0.,0.,1.)); #378624=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #378625=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #378626=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #378627=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #378628=DIRECTION('',(0.,0.,1.)); #378629=DIRECTION('center_axis',(-1.,0.,0.)); #378630=DIRECTION('ref_axis',(0.,-1.,0.)); #378631=DIRECTION('',(0.,-1.,0.)); #378632=DIRECTION('',(0.,-1.,0.)); #378633=DIRECTION('',(0.,0.,1.)); #378634=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #378635=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #378636=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #378637=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #378638=DIRECTION('',(0.,0.,1.)); #378639=DIRECTION('center_axis',(-0.842362410729329,0.538911466745951,0.)); #378640=DIRECTION('ref_axis',(-0.538911466745951,-0.842362410729329,0.)); #378641=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #378642=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #378643=DIRECTION('',(0.,0.,1.)); #378644=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #378645=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #378646=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #378647=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #378648=DIRECTION('',(0.,0.,1.)); #378649=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #378650=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #378651=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #378652=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #378653=DIRECTION('',(0.,0.,1.)); #378654=DIRECTION('center_axis',(0.,1.,0.)); #378655=DIRECTION('ref_axis',(-1.,0.,0.)); #378656=DIRECTION('',(-1.,0.,0.)); #378657=DIRECTION('',(-1.,0.,0.)); #378658=DIRECTION('',(0.,0.,1.)); #378659=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #378660=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #378661=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #378662=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #378663=DIRECTION('',(0.,0.,1.)); #378664=DIRECTION('center_axis',(-1.,0.,0.)); #378665=DIRECTION('ref_axis',(0.,-1.,0.)); #378666=DIRECTION('',(0.,-1.,0.)); #378667=DIRECTION('',(0.,-1.,0.)); #378668=DIRECTION('',(0.,0.,1.)); #378669=DIRECTION('center_axis',(-0.984479063846111,0.17550205938588,0.)); #378670=DIRECTION('ref_axis',(-0.17550205938588,-0.984479063846111,0.)); #378671=DIRECTION('',(-0.17550205938588,-0.984479063846111,0.)); #378672=DIRECTION('',(-0.17550205938588,-0.984479063846111,0.)); #378673=DIRECTION('',(0.,0.,1.)); #378674=DIRECTION('center_axis',(-0.850871695642134,0.525373540973544,0.)); #378675=DIRECTION('ref_axis',(-0.525373540973544,-0.850871695642134,0.)); #378676=DIRECTION('',(-0.525373540973544,-0.850871695642134,0.)); #378677=DIRECTION('',(-0.525373540973544,-0.850871695642134,0.)); #378678=DIRECTION('',(0.,0.,1.)); #378679=DIRECTION('center_axis',(-0.578483828224723,0.81569385217891,0.)); #378680=DIRECTION('ref_axis',(-0.81569385217891,-0.578483828224723,0.)); #378681=DIRECTION('',(-0.81569385217891,-0.578483828224723,0.)); #378682=DIRECTION('',(-0.81569385217891,-0.578483828224723,0.)); #378683=DIRECTION('',(0.,0.,1.)); #378684=DIRECTION('center_axis',(-0.214674314516719,0.976685690837527,0.)); #378685=DIRECTION('ref_axis',(-0.976685690837527,-0.214674314516719,0.)); #378686=DIRECTION('',(-0.976685690837527,-0.214674314516719,0.)); #378687=DIRECTION('',(-0.976685690837527,-0.214674314516719,0.)); #378688=DIRECTION('',(0.,0.,1.)); #378689=DIRECTION('center_axis',(0.183334818573788,0.983050529880594,0.)); #378690=DIRECTION('ref_axis',(-0.983050529880594,0.183334818573788,0.)); #378691=DIRECTION('',(-0.983050529880594,0.183334818573788,0.)); #378692=DIRECTION('',(-0.983050529880594,0.183334818573788,0.)); #378693=DIRECTION('',(0.,0.,1.)); #378694=DIRECTION('center_axis',(0.552278225391792,0.833659859749822,0.)); #378695=DIRECTION('ref_axis',(-0.833659859749822,0.552278225391792,0.)); #378696=DIRECTION('',(-0.833659859749822,0.552278225391792,0.)); #378697=DIRECTION('',(-0.833659859749822,0.552278225391792,0.)); #378698=DIRECTION('',(0.,0.,1.)); #378699=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #378700=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #378701=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #378702=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #378703=DIRECTION('',(0.,0.,1.)); #378704=DIRECTION('center_axis',(0.829203833807412,0.558946331948864,0.)); #378705=DIRECTION('ref_axis',(-0.558946331948864,0.829203833807412,0.)); #378706=DIRECTION('',(-0.558946331948864,0.829203833807412,0.)); #378707=DIRECTION('',(-0.558946331948864,0.829203833807412,0.)); #378708=DIRECTION('',(0.,0.,1.)); #378709=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #378710=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #378711=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #378712=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #378713=DIRECTION('',(0.,0.,1.)); #378714=DIRECTION('center_axis',(1.,0.,0.)); #378715=DIRECTION('ref_axis',(0.,1.,0.)); #378716=DIRECTION('',(0.,1.,0.)); #378717=DIRECTION('',(0.,1.,0.)); #378718=DIRECTION('',(0.,0.,1.)); #378719=DIRECTION('center_axis',(0.984480215387564,-0.175495599689726,0.)); #378720=DIRECTION('ref_axis',(0.175495599689726,0.984480215387564,0.)); #378721=DIRECTION('',(0.175495599689726,0.984480215387564,0.)); #378722=DIRECTION('',(0.175495599689726,0.984480215387564,0.)); #378723=DIRECTION('',(0.,0.,1.)); #378724=DIRECTION('center_axis',(0.850871695642159,-0.525373540973504,0.)); #378725=DIRECTION('ref_axis',(0.525373540973504,0.850871695642159,0.)); #378726=DIRECTION('',(0.525373540973504,0.850871695642159,0.)); #378727=DIRECTION('',(0.525373540973504,0.850871695642159,0.)); #378728=DIRECTION('',(0.,0.,1.)); #378729=DIRECTION('center_axis',(0.578483828224751,-0.81569385217889,0.)); #378730=DIRECTION('ref_axis',(0.81569385217889,0.578483828224751,0.)); #378731=DIRECTION('',(0.81569385217889,0.578483828224751,0.)); #378732=DIRECTION('',(0.81569385217889,0.578483828224751,0.)); #378733=DIRECTION('center_axis',(0.,0.,1.)); #378734=DIRECTION('ref_axis',(1.,0.,0.)); #378735=DIRECTION('center_axis',(0.,0.,1.)); #378736=DIRECTION('ref_axis',(1.,0.,0.)); #378737=DIRECTION('center_axis',(0.214674314516579,-0.976685690837557,0.)); #378738=DIRECTION('ref_axis',(0.976685690837557,0.214674314516579,0.)); #378739=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #378740=DIRECTION('',(0.,0.,1.)); #378741=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #378742=DIRECTION('',(0.,0.,1.)); #378743=DIRECTION('center_axis',(-0.183334818573505,-0.983050529880647, 0.)); #378744=DIRECTION('ref_axis',(0.983050529880647,-0.183334818573505,0.)); #378745=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #378746=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #378747=DIRECTION('',(0.,0.,1.)); #378748=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #378749=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #378750=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #378751=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #378752=DIRECTION('',(0.,0.,1.)); #378753=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #378754=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #378755=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #378756=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #378757=DIRECTION('',(0.,0.,1.)); #378758=DIRECTION('center_axis',(-0.829203833807507,-0.558946331948723, 0.)); #378759=DIRECTION('ref_axis',(0.558946331948723,-0.829203833807507,0.)); #378760=DIRECTION('',(0.558946331948723,-0.829203833807507,0.)); #378761=DIRECTION('',(0.558946331948723,-0.829203833807507,0.)); #378762=DIRECTION('',(0.,0.,1.)); #378763=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #378764=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #378765=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #378766=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #378767=DIRECTION('',(0.,0.,1.)); #378768=DIRECTION('center_axis',(-1.,0.,0.)); #378769=DIRECTION('ref_axis',(0.,-1.,0.)); #378770=DIRECTION('',(0.,-1.,0.)); #378771=DIRECTION('',(0.,-1.,0.)); #378772=DIRECTION('',(0.,0.,1.)); #378773=DIRECTION('center_axis',(-0.984480215387569,0.175495599689697,0.)); #378774=DIRECTION('ref_axis',(-0.175495599689697,-0.984480215387569,0.)); #378775=DIRECTION('',(-0.175495599689697,-0.984480215387569,0.)); #378776=DIRECTION('',(-0.175495599689697,-0.984480215387569,0.)); #378777=DIRECTION('',(0.,0.,1.)); #378778=DIRECTION('center_axis',(-0.850871695642118,0.525373540973569,0.)); #378779=DIRECTION('ref_axis',(-0.525373540973569,-0.850871695642118,0.)); #378780=DIRECTION('',(-0.525373540973569,-0.850871695642118,0.)); #378781=DIRECTION('',(-0.525373540973569,-0.850871695642118,0.)); #378782=DIRECTION('',(0.,0.,1.)); #378783=DIRECTION('center_axis',(-0.578483828224848,0.815693852178821,0.)); #378784=DIRECTION('ref_axis',(-0.815693852178821,-0.578483828224848,0.)); #378785=DIRECTION('',(-0.815693852178821,-0.578483828224848,0.)); #378786=DIRECTION('',(-0.815693852178821,-0.578483828224848,0.)); #378787=DIRECTION('',(0.,0.,1.)); #378788=DIRECTION('center_axis',(-0.214642857802347,0.976692604453644,0.)); #378789=DIRECTION('ref_axis',(-0.976692604453643,-0.214642857802347,0.)); #378790=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #378791=DIRECTION('',(-0.976692604453643,-0.214642857802347,0.)); #378792=DIRECTION('',(0.,0.,1.)); #378793=DIRECTION('center_axis',(0.183302952703505,0.983056472197898,0.)); #378794=DIRECTION('ref_axis',(-0.983056472197898,0.183302952703505,0.)); #378795=DIRECTION('',(-0.983056472197898,0.183302952703505,0.)); #378796=DIRECTION('',(-0.983056472197898,0.183302952703505,0.)); #378797=DIRECTION('',(0.,0.,1.)); #378798=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #378799=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #378800=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #378801=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #378802=DIRECTION('',(0.,0.,1.)); #378803=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #378804=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #378805=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #378806=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #378807=DIRECTION('',(0.,0.,1.)); #378808=DIRECTION('center_axis',(0.824789890119685,0.565439331101364,0.)); #378809=DIRECTION('ref_axis',(-0.565439331101364,0.824789890119685,0.)); #378810=DIRECTION('',(-0.565439331101364,0.824789890119685,0.)); #378811=DIRECTION('',(-0.565439331101364,0.824789890119685,0.)); #378812=DIRECTION('',(0.,0.,1.)); #378813=DIRECTION('center_axis',(0.976694123650575,0.214635944861141,0.)); #378814=DIRECTION('ref_axis',(-0.214635944861141,0.976694123650575,0.)); #378815=DIRECTION('',(-0.214635944861141,0.976694123650575,0.)); #378816=DIRECTION('',(-0.214635944861141,0.976694123650575,0.)); #378817=DIRECTION('',(0.,0.,1.)); #378818=DIRECTION('center_axis',(0.983055364215593,-0.183308894729493,0.)); #378819=DIRECTION('ref_axis',(0.183308894729493,0.983055364215593,0.)); #378820=DIRECTION('',(0.183308894729493,0.983055364215593,0.)); #378821=DIRECTION('',(0.183308894729493,0.983055364215593,0.)); #378822=DIRECTION('',(0.,0.,1.)); #378823=DIRECTION('center_axis',(0.83366991611508,-0.552263045083297,0.)); #378824=DIRECTION('ref_axis',(0.552263045083297,0.83366991611508,0.)); #378825=DIRECTION('',(0.552263045083297,0.83366991611508,0.)); #378826=DIRECTION('',(0.552263045083297,0.83366991611508,0.)); #378827=DIRECTION('',(0.,0.,1.)); #378828=DIRECTION('center_axis',(0.707061847003295,-0.70715171251457,0.)); #378829=DIRECTION('ref_axis',(0.70715171251457,0.707061847003295,0.)); #378830=DIRECTION('',(0.70715171251457,0.707061847003295,0.)); #378831=DIRECTION('',(0.70715171251457,0.707061847003295,0.)); #378832=DIRECTION('',(0.,0.,1.)); #378833=DIRECTION('center_axis',(0.565439331101182,-0.82478989011981,0.)); #378834=DIRECTION('ref_axis',(0.82478989011981,0.565439331101182,0.)); #378835=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #378836=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #378837=DIRECTION('center_axis',(0.,0.,1.)); #378838=DIRECTION('ref_axis',(1.,0.,0.)); #378839=DIRECTION('center_axis',(0.,0.,1.)); #378840=DIRECTION('ref_axis',(1.,0.,0.)); #378841=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #378842=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #378843=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #378844=DIRECTION('',(0.,0.,1.)); #378845=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #378846=DIRECTION('',(0.,0.,1.)); #378847=DIRECTION('center_axis',(0.,-1.,0.)); #378848=DIRECTION('ref_axis',(1.,0.,0.)); #378849=DIRECTION('',(1.,0.,0.)); #378850=DIRECTION('',(1.,0.,0.)); #378851=DIRECTION('',(0.,0.,1.)); #378852=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #378853=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #378854=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #378855=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #378856=DIRECTION('',(0.,0.,1.)); #378857=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #378858=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #378859=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #378860=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #378861=DIRECTION('',(0.,0.,1.)); #378862=DIRECTION('center_axis',(-0.824745549033852,-0.565504004715131, 0.)); #378863=DIRECTION('ref_axis',(0.565504004715131,-0.824745549033852,0.)); #378864=DIRECTION('',(0.565504004715131,-0.824745549033852,0.)); #378865=DIRECTION('',(0.565504004715131,-0.824745549033852,0.)); #378866=DIRECTION('',(0.,0.,1.)); #378867=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #378868=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #378869=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #378870=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #378871=DIRECTION('',(0.,0.,1.)); #378872=DIRECTION('center_axis',(-1.,0.,0.)); #378873=DIRECTION('ref_axis',(0.,-1.,0.)); #378874=DIRECTION('',(0.,-1.,0.)); #378875=DIRECTION('',(0.,-1.,0.)); #378876=DIRECTION('',(0.,0.,1.)); #378877=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #378878=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #378879=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #378880=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #378881=DIRECTION('',(0.,0.,1.)); #378882=DIRECTION('center_axis',(0.,-1.,0.)); #378883=DIRECTION('ref_axis',(1.,0.,0.)); #378884=DIRECTION('',(1.,0.,0.)); #378885=DIRECTION('',(1.,0.,0.)); #378886=DIRECTION('',(0.,0.,1.)); #378887=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #378888=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #378889=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #378890=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #378891=DIRECTION('',(0.,0.,1.)); #378892=DIRECTION('center_axis',(-0.538911466745951,-0.842362410729329, 0.)); #378893=DIRECTION('ref_axis',(0.842362410729329,-0.538911466745951,0.)); #378894=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #378895=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #378896=DIRECTION('',(0.,0.,1.)); #378897=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #378898=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #378899=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #378900=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #378901=DIRECTION('',(0.,0.,1.)); #378902=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #378903=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #378904=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #378905=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #378906=DIRECTION('',(0.,0.,1.)); #378907=DIRECTION('center_axis',(-1.,0.,0.)); #378908=DIRECTION('ref_axis',(0.,-1.,0.)); #378909=DIRECTION('',(0.,-1.,0.)); #378910=DIRECTION('',(0.,-1.,0.)); #378911=DIRECTION('',(0.,0.,1.)); #378912=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #378913=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #378914=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #378915=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #378916=DIRECTION('',(0.,0.,1.)); #378917=DIRECTION('center_axis',(0.,-1.,0.)); #378918=DIRECTION('ref_axis',(1.,0.,0.)); #378919=DIRECTION('',(1.,0.,0.)); #378920=DIRECTION('',(1.,0.,0.)); #378921=DIRECTION('',(0.,0.,1.)); #378922=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #378923=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #378924=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #378925=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #378926=DIRECTION('',(0.,0.,1.)); #378927=DIRECTION('center_axis',(-0.538911466745951,-0.842362410729329, 0.)); #378928=DIRECTION('ref_axis',(0.842362410729329,-0.538911466745951,0.)); #378929=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #378930=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #378931=DIRECTION('',(0.,0.,1.)); #378932=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #378933=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #378934=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #378935=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #378936=DIRECTION('',(0.,0.,1.)); #378937=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #378938=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #378939=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #378940=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #378941=DIRECTION('',(0.,0.,1.)); #378942=DIRECTION('center_axis',(-1.,0.,0.)); #378943=DIRECTION('ref_axis',(0.,-1.,0.)); #378944=DIRECTION('',(0.,-1.,0.)); #378945=DIRECTION('',(0.,-1.,0.)); #378946=DIRECTION('',(0.,0.,1.)); #378947=DIRECTION('center_axis',(-0.983056036329416,0.183305290257262,0.)); #378948=DIRECTION('ref_axis',(-0.183305290257262,-0.983056036329416,0.)); #378949=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #378950=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #378951=DIRECTION('',(0.,0.,1.)); #378952=DIRECTION('center_axis',(-0.842362410729263,0.538911466746055,0.)); #378953=DIRECTION('ref_axis',(-0.538911466746055,-0.842362410729263,0.)); #378954=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #378955=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #378956=DIRECTION('',(0.,0.,1.)); #378957=DIRECTION('center_axis',(-0.565504004715099,0.824745549033873,0.)); #378958=DIRECTION('ref_axis',(-0.824745549033873,-0.565504004715099,0.)); #378959=DIRECTION('',(-0.824745549033873,-0.565504004715099,0.)); #378960=DIRECTION('',(-0.824745549033873,-0.565504004715099,0.)); #378961=DIRECTION('',(0.,0.,1.)); #378962=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #378963=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #378964=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #378965=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #378966=DIRECTION('',(0.,0.,1.)); #378967=DIRECTION('center_axis',(0.,1.,0.)); #378968=DIRECTION('ref_axis',(-1.,0.,0.)); #378969=DIRECTION('',(-1.,0.,0.)); #378970=DIRECTION('',(-1.,0.,0.)); #378971=DIRECTION('',(0.,0.,1.)); #378972=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #378973=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #378974=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #378975=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #378976=DIRECTION('',(0.,0.,1.)); #378977=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #378978=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #378979=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #378980=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #378981=DIRECTION('',(0.,0.,1.)); #378982=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #378983=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #378984=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #378985=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #378986=DIRECTION('',(0.,0.,1.)); #378987=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #378988=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #378989=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #378990=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #378991=DIRECTION('',(0.,0.,1.)); #378992=DIRECTION('center_axis',(1.,0.,0.)); #378993=DIRECTION('ref_axis',(0.,1.,0.)); #378994=DIRECTION('',(0.,1.,0.)); #378995=DIRECTION('',(0.,1.,0.)); #378996=DIRECTION('',(0.,0.,1.)); #378997=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #378998=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #378999=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #379000=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #379001=DIRECTION('',(0.,0.,1.)); #379002=DIRECTION('center_axis',(0.,1.,0.)); #379003=DIRECTION('ref_axis',(-1.,0.,0.)); #379004=DIRECTION('',(-1.,0.,0.)); #379005=DIRECTION('',(-1.,0.,0.)); #379006=DIRECTION('',(0.,0.,1.)); #379007=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #379008=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #379009=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #379010=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #379011=DIRECTION('',(0.,0.,1.)); #379012=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #379013=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #379014=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #379015=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #379016=DIRECTION('',(0.,0.,1.)); #379017=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #379018=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #379019=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #379020=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #379021=DIRECTION('',(0.,0.,1.)); #379022=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #379023=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #379024=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #379025=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #379026=DIRECTION('',(0.,0.,1.)); #379027=DIRECTION('center_axis',(1.,0.,0.)); #379028=DIRECTION('ref_axis',(0.,1.,0.)); #379029=DIRECTION('',(0.,1.,0.)); #379030=DIRECTION('',(0.,1.,0.)); #379031=DIRECTION('',(0.,0.,1.)); #379032=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #379033=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #379034=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #379035=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #379036=DIRECTION('',(0.,0.,1.)); #379037=DIRECTION('center_axis',(0.833659859749822,-0.552278225391792,0.)); #379038=DIRECTION('ref_axis',(0.552278225391792,0.833659859749822,0.)); #379039=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #379040=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #379041=DIRECTION('',(0.,0.,1.)); #379042=DIRECTION('center_axis',(0.707108784529046,-0.707104777838373,0.)); #379043=DIRECTION('ref_axis',(0.707104777838373,0.707108784529046,0.)); #379044=DIRECTION('',(0.707104777838373,0.707108784529046,0.)); #379045=DIRECTION('',(0.707104777838373,0.707108784529046,0.)); #379046=DIRECTION('',(0.,0.,1.)); #379047=DIRECTION('center_axis',(1.,0.,0.)); #379048=DIRECTION('ref_axis',(0.,1.,0.)); #379049=DIRECTION('',(0.,1.,0.)); #379050=DIRECTION('',(0.,1.,0.)); #379051=DIRECTION('',(0.,0.,1.)); #379052=DIRECTION('center_axis',(0.707110741027299,0.70710282132362,0.)); #379053=DIRECTION('ref_axis',(-0.70710282132362,0.707110741027299,0.)); #379054=DIRECTION('',(-0.70710282132362,0.707110741027299,0.)); #379055=DIRECTION('',(-0.70710282132362,0.707110741027299,0.)); #379056=DIRECTION('',(0.,0.,1.)); #379057=DIRECTION('center_axis',(0.820212632122573,0.572058771549358,0.)); #379058=DIRECTION('ref_axis',(-0.572058771549358,0.820212632122573,0.)); #379059=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #379060=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #379061=DIRECTION('',(0.,0.,1.)); #379062=DIRECTION('center_axis',(0.973144071552994,0.230196907019318,0.)); #379063=DIRECTION('ref_axis',(-0.230196907019318,0.973144071552994,0.)); #379064=DIRECTION('',(-0.230196907019318,0.973144071552994,0.)); #379065=DIRECTION('',(-0.230196907019318,0.973144071552994,0.)); #379066=DIRECTION('',(0.,0.,1.)); #379067=DIRECTION('center_axis',(0.985842168327521,-0.16767593490806,0.)); #379068=DIRECTION('ref_axis',(0.16767593490806,0.985842168327521,0.)); #379069=DIRECTION('',(0.167675934908059,0.985842168327521,0.)); #379070=DIRECTION('',(0.167675934908059,0.985842168327521,0.)); #379071=DIRECTION('',(0.,0.,1.)); #379072=DIRECTION('center_axis',(0.84237737907358,-0.538888069291876,0.)); #379073=DIRECTION('ref_axis',(0.538888069291876,0.84237737907358,0.)); #379074=DIRECTION('',(0.538888069291876,0.84237737907358,0.)); #379075=DIRECTION('',(0.538888069291876,0.84237737907358,0.)); #379076=DIRECTION('',(0.,0.,1.)); #379077=DIRECTION('center_axis',(0.565488626065756,-0.824756093515085,0.)); #379078=DIRECTION('ref_axis',(0.824756093515085,0.565488626065756,0.)); #379079=DIRECTION('',(0.824756093515085,0.565488626065756,0.)); #379080=DIRECTION('',(0.824756093515085,0.565488626065756,0.)); #379081=DIRECTION('center_axis',(0.,0.,1.)); #379082=DIRECTION('ref_axis',(1.,0.,0.)); #379083=DIRECTION('center_axis',(0.,0.,1.)); #379084=DIRECTION('ref_axis',(1.,0.,0.)); #379085=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #379086=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #379087=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #379088=DIRECTION('',(0.,0.,1.)); #379089=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #379090=DIRECTION('',(0.,0.,1.)); #379091=DIRECTION('center_axis',(0.,-1.,0.)); #379092=DIRECTION('ref_axis',(1.,0.,0.)); #379093=DIRECTION('',(1.,0.,0.)); #379094=DIRECTION('',(1.,0.,0.)); #379095=DIRECTION('',(0.,0.,1.)); #379096=DIRECTION('center_axis',(-0.191149856928931,-0.981560865252914, 0.)); #379097=DIRECTION('ref_axis',(0.981560865252914,-0.191149856928931,0.)); #379098=DIRECTION('',(0.981560865252914,-0.191149856928931,0.)); #379099=DIRECTION('',(0.981560865252914,-0.191149856928931,0.)); #379100=DIRECTION('',(0.,0.,1.)); #379101=DIRECTION('center_axis',(-0.552278225391927,-0.833659859749733, 0.)); #379102=DIRECTION('ref_axis',(0.833659859749732,-0.552278225391927,0.)); #379103=DIRECTION('',(0.833659859749732,-0.552278225391927,0.)); #379104=DIRECTION('',(0.833659859749732,-0.552278225391927,0.)); #379105=DIRECTION('',(0.,0.,1.)); #379106=DIRECTION('center_axis',(-0.707106781186543,-0.707106781186552, 0.)); #379107=DIRECTION('ref_axis',(0.707106781186552,-0.707106781186543,0.)); #379108=DIRECTION('',(0.707106781186552,-0.707106781186543,0.)); #379109=DIRECTION('',(0.707106781186552,-0.707106781186543,0.)); #379110=DIRECTION('',(0.,0.,1.)); #379111=DIRECTION('center_axis',(-0.820212632122612,-0.572058771549303, 0.)); #379112=DIRECTION('ref_axis',(0.572058771549303,-0.820212632122612,0.)); #379113=DIRECTION('',(0.572058771549303,-0.820212632122612,0.)); #379114=DIRECTION('',(0.572058771549303,-0.820212632122612,0.)); #379115=DIRECTION('',(0.,0.,1.)); #379116=DIRECTION('center_axis',(-0.973151456886399,-0.230165683714752, 0.)); #379117=DIRECTION('ref_axis',(0.230165683714752,-0.973151456886399,0.)); #379118=DIRECTION('',(0.230165683714752,-0.973151456886399,0.)); #379119=DIRECTION('',(0.230165683714752,-0.973151456886399,0.)); #379120=DIRECTION('',(0.,0.,1.)); #379121=DIRECTION('center_axis',(-0.985847618607386,0.167643887112376,0.)); #379122=DIRECTION('ref_axis',(-0.167643887112376,-0.985847618607385,0.)); #379123=DIRECTION('',(-0.167643887112376,-0.985847618607385,0.)); #379124=DIRECTION('',(-0.167643887112376,-0.985847618607385,0.)); #379125=DIRECTION('',(0.,0.,1.)); #379126=DIRECTION('center_axis',(-0.842362410729287,0.538911466746018,0.)); #379127=DIRECTION('ref_axis',(-0.538911466746018,-0.842362410729287,0.)); #379128=DIRECTION('',(-0.538911466746018,-0.842362410729287,0.)); #379129=DIRECTION('',(-0.538911466746018,-0.842362410729287,0.)); #379130=DIRECTION('',(0.,0.,1.)); #379131=DIRECTION('center_axis',(-0.565504004715097,0.824745549033875,0.)); #379132=DIRECTION('ref_axis',(-0.824745549033875,-0.565504004715097,0.)); #379133=DIRECTION('',(-0.824745549033875,-0.565504004715097,0.)); #379134=DIRECTION('',(-0.824745549033875,-0.565504004715097,0.)); #379135=DIRECTION('',(0.,0.,1.)); #379136=DIRECTION('center_axis',(-0.198956019232557,0.98000841956135,0.)); #379137=DIRECTION('ref_axis',(-0.98000841956135,-0.198956019232557,0.)); #379138=DIRECTION('',(-0.98000841956135,-0.198956019232557,0.)); #379139=DIRECTION('',(-0.98000841956135,-0.198956019232557,0.)); #379140=DIRECTION('',(0.,0.,1.)); #379141=DIRECTION('center_axis',(0.,1.,0.)); #379142=DIRECTION('ref_axis',(-1.,0.,0.)); #379143=DIRECTION('',(-1.,0.,0.)); #379144=DIRECTION('',(-1.,0.,0.)); #379145=DIRECTION('',(0.,0.,1.)); #379146=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #379147=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #379148=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #379149=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #379150=DIRECTION('',(0.,0.,1.)); #379151=DIRECTION('center_axis',(0.552278225391859,0.833659859749778,0.)); #379152=DIRECTION('ref_axis',(-0.833659859749778,0.552278225391859,0.)); #379153=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #379154=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #379155=DIRECTION('',(0.,0.,1.)); #379156=DIRECTION('center_axis',(0.707106781186557,0.707106781186538,0.)); #379157=DIRECTION('ref_axis',(-0.707106781186538,0.707106781186557,0.)); #379158=DIRECTION('',(-0.707106781186538,0.707106781186557,0.)); #379159=DIRECTION('',(-0.707106781186538,0.707106781186557,0.)); #379160=DIRECTION('',(0.,0.,1.)); #379161=DIRECTION('center_axis',(0.820212632122596,0.572058771549325,0.)); #379162=DIRECTION('ref_axis',(-0.572058771549325,0.820212632122596,0.)); #379163=DIRECTION('',(-0.572058771549325,0.820212632122596,0.)); #379164=DIRECTION('',(-0.572058771549325,0.820212632122596,0.)); #379165=DIRECTION('',(0.,0.,1.)); #379166=DIRECTION('center_axis',(0.97315145688639,0.230165683714788,0.)); #379167=DIRECTION('ref_axis',(-0.230165683714788,0.97315145688639,0.)); #379168=DIRECTION('',(-0.230165683714788,0.97315145688639,0.)); #379169=DIRECTION('',(-0.230165683714788,0.97315145688639,0.)); #379170=DIRECTION('',(0.,0.,1.)); #379171=DIRECTION('center_axis',(0.985847618607373,-0.167643887112447,0.)); #379172=DIRECTION('ref_axis',(0.167643887112447,0.985847618607373,0.)); #379173=DIRECTION('',(0.167643887112447,0.985847618607373,0.)); #379174=DIRECTION('',(0.167643887112447,0.985847618607373,0.)); #379175=DIRECTION('',(0.,0.,1.)); #379176=DIRECTION('center_axis',(0.842362410729287,-0.538911466746018,0.)); #379177=DIRECTION('ref_axis',(0.538911466746018,0.842362410729287,0.)); #379178=DIRECTION('',(0.538911466746018,0.842362410729287,0.)); #379179=DIRECTION('',(0.538911466746018,0.842362410729287,0.)); #379180=DIRECTION('',(0.,0.,1.)); #379181=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #379182=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #379183=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #379184=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #379185=DIRECTION('center_axis',(0.,0.,1.)); #379186=DIRECTION('ref_axis',(1.,0.,0.)); #379187=DIRECTION('center_axis',(0.,0.,1.)); #379188=DIRECTION('ref_axis',(1.,0.,0.)); #379189=DIRECTION('center_axis',(0.222335042818087,-0.974970321976561,0.)); #379190=DIRECTION('ref_axis',(0.974970321976561,0.222335042818087,0.)); #379191=DIRECTION('',(0.974970321976561,0.222335042818087,0.)); #379192=DIRECTION('',(0.,0.,1.)); #379193=DIRECTION('',(0.974970321976561,0.222335042818087,0.)); #379194=DIRECTION('',(0.,0.,1.)); #379195=DIRECTION('center_axis',(-0.175457377966587,-0.984487028110117, 0.)); #379196=DIRECTION('ref_axis',(0.984487028110117,-0.175457377966587,0.)); #379197=DIRECTION('',(0.984487028110117,-0.175457377966587,0.)); #379198=DIRECTION('',(0.984487028110117,-0.175457377966587,0.)); #379199=DIRECTION('',(0.,0.,1.)); #379200=DIRECTION('center_axis',(-0.54560478157073,-0.838042613670186,0.)); #379201=DIRECTION('ref_axis',(0.838042613670186,-0.54560478157073,0.)); #379202=DIRECTION('',(0.838042613670186,-0.54560478157073,0.)); #379203=DIRECTION('',(0.838042613670186,-0.54560478157073,0.)); #379204=DIRECTION('',(0.,0.,1.)); #379205=DIRECTION('center_axis',(-0.829249817914661,-0.558878107898763, 0.)); #379206=DIRECTION('ref_axis',(0.558878107898763,-0.829249817914661,0.)); #379207=DIRECTION('',(0.558878107898763,-0.829249817914661,0.)); #379208=DIRECTION('',(0.558878107898763,-0.829249817914661,0.)); #379209=DIRECTION('',(0.,0.,1.)); #379210=DIRECTION('center_axis',(-0.923877479452204,-0.382688388850566, 0.)); #379211=DIRECTION('ref_axis',(0.382688388850566,-0.923877479452204,0.)); #379212=DIRECTION('',(0.382688388850566,-0.923877479452204,0.)); #379213=DIRECTION('',(0.382688388850566,-0.923877479452204,0.)); #379214=DIRECTION('',(0.,0.,1.)); #379215=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #379216=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #379217=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #379218=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #379219=DIRECTION('',(0.,0.,1.)); #379220=DIRECTION('center_axis',(-0.382688667805833,-0.923877363903347, 0.)); #379221=DIRECTION('ref_axis',(0.923877363903347,-0.382688667805833,0.)); #379222=DIRECTION('',(0.923877363903347,-0.382688667805833,0.)); #379223=DIRECTION('',(0.923877363903347,-0.382688667805833,0.)); #379224=DIRECTION('',(0.,0.,1.)); #379225=DIRECTION('center_axis',(0.,-1.,0.)); #379226=DIRECTION('ref_axis',(1.,0.,0.)); #379227=DIRECTION('',(1.,0.,0.)); #379228=DIRECTION('',(1.,0.,0.)); #379229=DIRECTION('',(0.,0.,1.)); #379230=DIRECTION('center_axis',(0.382688667805837,-0.923877363903345,0.)); #379231=DIRECTION('ref_axis',(0.923877363903345,0.382688667805837,0.)); #379232=DIRECTION('',(0.923877363903345,0.382688667805837,0.)); #379233=DIRECTION('',(0.923877363903345,0.382688667805837,0.)); #379234=DIRECTION('',(0.,0.,1.)); #379235=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #379236=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #379237=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #379238=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #379239=DIRECTION('',(0.,0.,1.)); #379240=DIRECTION('center_axis',(0.572058771549325,-0.820212632122596,0.)); #379241=DIRECTION('ref_axis',(0.820212632122596,0.572058771549325,0.)); #379242=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #379243=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #379244=DIRECTION('',(0.,0.,1.)); #379245=DIRECTION('center_axis',(0.230196907019351,-0.973144071552987,0.)); #379246=DIRECTION('ref_axis',(0.973144071552987,0.230196907019351,0.)); #379247=DIRECTION('',(0.973144071552987,0.230196907019351,0.)); #379248=DIRECTION('',(0.973144071552987,0.230196907019351,0.)); #379249=DIRECTION('',(0.,0.,1.)); #379250=DIRECTION('center_axis',(-0.167675934908011,-0.985842168327529, 0.)); #379251=DIRECTION('ref_axis',(0.985842168327529,-0.167675934908011,0.)); #379252=DIRECTION('',(0.985842168327529,-0.167675934908011,0.)); #379253=DIRECTION('',(0.985842168327529,-0.167675934908011,0.)); #379254=DIRECTION('',(0.,0.,1.)); #379255=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #379256=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #379257=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #379258=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #379259=DIRECTION('',(0.,0.,1.)); #379260=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #379261=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #379262=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #379263=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #379264=DIRECTION('',(0.,0.,1.)); #379265=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #379266=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #379267=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #379268=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #379269=DIRECTION('',(0.,0.,1.)); #379270=DIRECTION('center_axis',(-1.,0.,0.)); #379271=DIRECTION('ref_axis',(0.,-1.,0.)); #379272=DIRECTION('',(0.,-1.,0.)); #379273=DIRECTION('',(0.,-1.,0.)); #379274=DIRECTION('',(0.,0.,1.)); #379275=DIRECTION('center_axis',(-0.983056036329416,0.183305290257262,0.)); #379276=DIRECTION('ref_axis',(-0.183305290257262,-0.983056036329416,0.)); #379277=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #379278=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #379279=DIRECTION('',(0.,0.,1.)); #379280=DIRECTION('center_axis',(-0.842362410729329,0.538911466745951,0.)); #379281=DIRECTION('ref_axis',(-0.538911466745951,-0.842362410729329,0.)); #379282=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #379283=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #379284=DIRECTION('',(0.,0.,1.)); #379285=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #379286=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #379287=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #379288=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #379289=DIRECTION('',(0.,0.,1.)); #379290=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #379291=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #379292=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #379293=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #379294=DIRECTION('',(0.,0.,1.)); #379295=DIRECTION('center_axis',(0.,1.,0.)); #379296=DIRECTION('ref_axis',(-1.,0.,0.)); #379297=DIRECTION('',(-1.,0.,0.)); #379298=DIRECTION('',(-1.,0.,0.)); #379299=DIRECTION('',(0.,0.,1.)); #379300=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #379301=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #379302=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #379303=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #379304=DIRECTION('',(0.,0.,1.)); #379305=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #379306=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #379307=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #379308=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #379309=DIRECTION('',(0.,0.,1.)); #379310=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #379311=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #379312=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #379313=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #379314=DIRECTION('',(0.,0.,1.)); #379315=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #379316=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #379317=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #379318=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #379319=DIRECTION('',(0.,0.,1.)); #379320=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #379321=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #379322=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #379323=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #379324=DIRECTION('',(0.,0.,1.)); #379325=DIRECTION('center_axis',(1.,0.,0.)); #379326=DIRECTION('ref_axis',(0.,1.,0.)); #379327=DIRECTION('',(0.,1.,0.)); #379328=DIRECTION('',(0.,1.,0.)); #379329=DIRECTION('',(0.,0.,1.)); #379330=DIRECTION('center_axis',(0.985169907360795,-0.171581623814215,0.)); #379331=DIRECTION('ref_axis',(0.171581623814215,0.985169907360795,0.)); #379332=DIRECTION('',(0.171581623814215,0.985169907360795,0.)); #379333=DIRECTION('',(0.171581623814215,0.985169907360795,0.)); #379334=DIRECTION('',(0.,0.,1.)); #379335=DIRECTION('center_axis',(0.854977625343709,-0.518664882329267,0.)); #379336=DIRECTION('ref_axis',(0.518664882329267,0.854977625343709,0.)); #379337=DIRECTION('',(0.518664882329267,0.854977625343709,0.)); #379338=DIRECTION('',(0.518664882329267,0.854977625343709,0.)); #379339=DIRECTION('',(0.,0.,1.)); #379340=DIRECTION('center_axis',(0.585108834823578,-0.810954777661119,0.)); #379341=DIRECTION('ref_axis',(0.810954777661119,0.585108834823578,0.)); #379342=DIRECTION('',(0.810954777661119,0.585108834823578,0.)); #379343=DIRECTION('',(0.810954777661119,0.585108834823578,0.)); #379344=DIRECTION('center_axis',(0.,0.,1.)); #379345=DIRECTION('ref_axis',(1.,0.,0.)); #379346=DIRECTION('center_axis',(0.,0.,1.)); #379347=DIRECTION('ref_axis',(1.,0.,0.)); #379348=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #379349=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #379350=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #379351=DIRECTION('',(0.,0.,1.)); #379352=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #379353=DIRECTION('',(0.,0.,1.)); #379354=DIRECTION('center_axis',(0.,-1.,0.)); #379355=DIRECTION('ref_axis',(1.,0.,0.)); #379356=DIRECTION('',(1.,0.,0.)); #379357=DIRECTION('',(1.,0.,0.)); #379358=DIRECTION('',(0.,0.,1.)); #379359=DIRECTION('center_axis',(-0.175502059386013,-0.984479063846087, 0.)); #379360=DIRECTION('ref_axis',(0.984479063846087,-0.175502059386013,0.)); #379361=DIRECTION('',(0.984479063846087,-0.175502059386013,0.)); #379362=DIRECTION('',(0.984479063846087,-0.175502059386013,0.)); #379363=DIRECTION('',(0.,0.,1.)); #379364=DIRECTION('center_axis',(-0.525358802048945,-0.850880796063525, 0.)); #379365=DIRECTION('ref_axis',(0.850880796063525,-0.525358802048945,0.)); #379366=DIRECTION('',(0.850880796063525,-0.525358802048945,0.)); #379367=DIRECTION('',(0.850880796063525,-0.525358802048945,0.)); #379368=DIRECTION('',(0.,0.,1.)); #379369=DIRECTION('center_axis',(-0.815709411156762,-0.57846188858929,0.)); #379370=DIRECTION('ref_axis',(0.57846188858929,-0.815709411156762,0.)); #379371=DIRECTION('',(0.57846188858929,-0.815709411156762,0.)); #379372=DIRECTION('',(0.57846188858929,-0.815709411156762,0.)); #379373=DIRECTION('',(0.,0.,1.)); #379374=DIRECTION('center_axis',(-0.976685690837588,-0.21467431451644,0.)); #379375=DIRECTION('ref_axis',(0.21467431451644,-0.976685690837588,0.)); #379376=DIRECTION('',(0.21467431451644,-0.976685690837588,0.)); #379377=DIRECTION('',(0.21467431451644,-0.976685690837588,0.)); #379378=DIRECTION('',(0.,0.,1.)); #379379=DIRECTION('center_axis',(-0.983050529880642,0.183334818573531,0.)); #379380=DIRECTION('ref_axis',(-0.183334818573531,-0.983050529880642,0.)); #379381=DIRECTION('',(-0.183334818573531,-0.983050529880642,0.)); #379382=DIRECTION('',(-0.183334818573531,-0.983050529880642,0.)); #379383=DIRECTION('',(0.,0.,1.)); #379384=DIRECTION('center_axis',(-0.83365985974971,0.552278225391961,0.)); #379385=DIRECTION('ref_axis',(-0.552278225391961,-0.83365985974971,0.)); #379386=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #379387=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #379388=DIRECTION('',(0.,0.,1.)); #379389=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #379390=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #379391=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #379392=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #379393=DIRECTION('',(0.,0.,1.)); #379394=DIRECTION('center_axis',(-0.558946331948898,0.829203833807389,0.)); #379395=DIRECTION('ref_axis',(-0.829203833807389,-0.558946331948898,0.)); #379396=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #379397=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #379398=DIRECTION('',(0.,0.,1.)); #379399=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #379400=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #379401=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #379402=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #379403=DIRECTION('',(0.,0.,1.)); #379404=DIRECTION('center_axis',(0.,1.,0.)); #379405=DIRECTION('ref_axis',(-1.,0.,0.)); #379406=DIRECTION('',(-1.,0.,0.)); #379407=DIRECTION('',(-1.,0.,0.)); #379408=DIRECTION('',(0.,0.,1.)); #379409=DIRECTION('center_axis',(0.175502059386013,0.984479063846087,0.)); #379410=DIRECTION('ref_axis',(-0.984479063846087,0.175502059386013,0.)); #379411=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #379412=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #379413=DIRECTION('',(0.,0.,1.)); #379414=DIRECTION('center_axis',(0.525373540973504,0.850871695642159,0.)); #379415=DIRECTION('ref_axis',(-0.850871695642159,0.525373540973504,0.)); #379416=DIRECTION('',(-0.850871695642159,0.525373540973504,0.)); #379417=DIRECTION('',(-0.850871695642159,0.525373540973504,0.)); #379418=DIRECTION('',(0.,0.,1.)); #379419=DIRECTION('center_axis',(0.815693852178821,0.578483828224848,0.)); #379420=DIRECTION('ref_axis',(-0.578483828224848,0.815693852178821,0.)); #379421=DIRECTION('',(-0.578483828224848,0.815693852178821,0.)); #379422=DIRECTION('',(-0.578483828224848,0.815693852178821,0.)); #379423=DIRECTION('',(0.,0.,1.)); #379424=DIRECTION('center_axis',(0.976685690837588,0.21467431451644,0.)); #379425=DIRECTION('ref_axis',(-0.21467431451644,0.976685690837588,0.)); #379426=DIRECTION('',(-0.21467431451644,0.976685690837588,0.)); #379427=DIRECTION('',(-0.21467431451644,0.976685690837588,0.)); #379428=DIRECTION('',(0.,0.,1.)); #379429=DIRECTION('center_axis',(0.983050529880642,-0.183334818573531,0.)); #379430=DIRECTION('ref_axis',(0.183334818573531,0.983050529880642,0.)); #379431=DIRECTION('',(0.183334818573531,0.983050529880642,0.)); #379432=DIRECTION('',(0.183334818573531,0.983050529880642,0.)); #379433=DIRECTION('',(0.,0.,1.)); #379434=DIRECTION('center_axis',(0.833659859749778,-0.552278225391859,0.)); #379435=DIRECTION('ref_axis',(0.552278225391859,0.833659859749778,0.)); #379436=DIRECTION('',(0.552278225391859,0.833659859749778,0.)); #379437=DIRECTION('',(0.552278225391859,0.833659859749778,0.)); #379438=DIRECTION('',(0.,0.,1.)); #379439=DIRECTION('center_axis',(0.707106781186536,-0.707106781186559,0.)); #379440=DIRECTION('ref_axis',(0.707106781186559,0.707106781186536,0.)); #379441=DIRECTION('',(0.707106781186559,0.707106781186536,0.)); #379442=DIRECTION('',(0.707106781186559,0.707106781186536,0.)); #379443=DIRECTION('',(0.,0.,1.)); #379444=DIRECTION('center_axis',(0.558946331948969,-0.829203833807341,0.)); #379445=DIRECTION('ref_axis',(0.829203833807341,0.558946331948969,0.)); #379446=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #379447=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #379448=DIRECTION('center_axis',(0.,0.,1.)); #379449=DIRECTION('ref_axis',(1.,0.,0.)); #379450=DIRECTION('center_axis',(0.,0.,1.)); #379451=DIRECTION('ref_axis',(1.,0.,0.)); #379452=DIRECTION('center_axis',(0.230165683714617,-0.973151456886431,0.)); #379453=DIRECTION('ref_axis',(0.973151456886431,0.230165683714617,0.)); #379454=DIRECTION('',(0.973151456886431,0.230165683714617,0.)); #379455=DIRECTION('',(0.,0.,1.)); #379456=DIRECTION('',(0.973151456886431,0.230165683714617,0.)); #379457=DIRECTION('',(0.,0.,1.)); #379458=DIRECTION('center_axis',(-0.167675934907917,-0.985842168327545, 0.)); #379459=DIRECTION('ref_axis',(0.985842168327545,-0.167675934907917,0.)); #379460=DIRECTION('',(0.985842168327545,-0.167675934907917,0.)); #379461=DIRECTION('',(0.985842168327545,-0.167675934907917,0.)); #379462=DIRECTION('',(0.,0.,1.)); #379463=DIRECTION('center_axis',(-0.538888069291876,-0.84237737907358,0.)); #379464=DIRECTION('ref_axis',(0.84237737907358,-0.538888069291876,0.)); #379465=DIRECTION('',(0.84237737907358,-0.538888069291876,0.)); #379466=DIRECTION('',(0.84237737907358,-0.538888069291876,0.)); #379467=DIRECTION('',(0.,0.,1.)); #379468=DIRECTION('center_axis',(-0.824756093515085,-0.565488626065756, 0.)); #379469=DIRECTION('ref_axis',(0.565488626065756,-0.824756093515085,0.)); #379470=DIRECTION('',(0.565488626065756,-0.824756093515085,0.)); #379471=DIRECTION('',(0.565488626065756,-0.824756093515085,0.)); #379472=DIRECTION('',(0.,0.,1.)); #379473=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #379474=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #379475=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #379476=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #379477=DIRECTION('',(0.,0.,1.)); #379478=DIRECTION('center_axis',(-1.,0.,0.)); #379479=DIRECTION('ref_axis',(0.,-1.,0.)); #379480=DIRECTION('',(0.,-1.,0.)); #379481=DIRECTION('',(0.,-1.,0.)); #379482=DIRECTION('',(0.,0.,1.)); #379483=DIRECTION('center_axis',(-0.981560865252911,0.191149856928945,0.)); #379484=DIRECTION('ref_axis',(-0.191149856928945,-0.981560865252911,0.)); #379485=DIRECTION('',(-0.191149856928945,-0.981560865252911,0.)); #379486=DIRECTION('',(-0.191149856928945,-0.981560865252911,0.)); #379487=DIRECTION('',(0.,0.,1.)); #379488=DIRECTION('center_axis',(-0.833659859749822,0.552278225391792,0.)); #379489=DIRECTION('ref_axis',(-0.552278225391792,-0.833659859749822,0.)); #379490=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #379491=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #379492=DIRECTION('',(0.,0.,1.)); #379493=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #379494=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #379495=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #379496=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #379497=DIRECTION('',(0.,0.,1.)); #379498=DIRECTION('center_axis',(-0.558946331948969,0.829203833807341,0.)); #379499=DIRECTION('ref_axis',(-0.829203833807341,-0.558946331948969,0.)); #379500=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #379501=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #379502=DIRECTION('',(0.,0.,1.)); #379503=DIRECTION('center_axis',(-0.198956019232542,0.980008419561353,0.)); #379504=DIRECTION('ref_axis',(-0.980008419561353,-0.198956019232542,0.)); #379505=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #379506=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #379507=DIRECTION('',(0.,0.,1.)); #379508=DIRECTION('center_axis',(0.,1.,0.)); #379509=DIRECTION('ref_axis',(-1.,0.,0.)); #379510=DIRECTION('',(-1.,0.,0.)); #379511=DIRECTION('',(-1.,0.,0.)); #379512=DIRECTION('',(0.,0.,1.)); #379513=DIRECTION('center_axis',(0.183305290257233,0.983056036329421,0.)); #379514=DIRECTION('ref_axis',(-0.983056036329421,0.183305290257233,0.)); #379515=DIRECTION('',(-0.983056036329421,0.183305290257233,0.)); #379516=DIRECTION('',(-0.983056036329421,0.183305290257233,0.)); #379517=DIRECTION('',(0.,0.,1.)); #379518=DIRECTION('center_axis',(0.538911466746084,0.842362410729244,0.)); #379519=DIRECTION('ref_axis',(-0.842362410729244,0.538911466746084,0.)); #379520=DIRECTION('',(-0.842362410729244,0.538911466746084,0.)); #379521=DIRECTION('',(-0.842362410729244,0.538911466746084,0.)); #379522=DIRECTION('',(0.,0.,1.)); #379523=DIRECTION('center_axis',(0.824745549033852,0.565504004715131,0.)); #379524=DIRECTION('ref_axis',(-0.565504004715131,0.824745549033852,0.)); #379525=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #379526=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #379527=DIRECTION('',(0.,0.,1.)); #379528=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #379529=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #379530=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #379531=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #379532=DIRECTION('',(0.,0.,1.)); #379533=DIRECTION('center_axis',(1.,0.,0.)); #379534=DIRECTION('ref_axis',(0.,1.,0.)); #379535=DIRECTION('',(0.,1.,0.)); #379536=DIRECTION('',(0.,1.,0.)); #379537=DIRECTION('',(0.,0.,1.)); #379538=DIRECTION('center_axis',(0.984479063846077,-0.17550205938607,0.)); #379539=DIRECTION('ref_axis',(0.17550205938607,0.984479063846077,0.)); #379540=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #379541=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #379542=DIRECTION('',(0.,0.,1.)); #379543=DIRECTION('center_axis',(0.850880796063565,-0.52535880204888,0.)); #379544=DIRECTION('ref_axis',(0.52535880204888,0.850880796063565,0.)); #379545=DIRECTION('',(0.52535880204888,0.850880796063565,0.)); #379546=DIRECTION('',(0.52535880204888,0.850880796063565,0.)); #379547=DIRECTION('',(0.,0.,1.)); #379548=DIRECTION('center_axis',(0.578461888589359,-0.815709411156713,0.)); #379549=DIRECTION('ref_axis',(0.815709411156713,0.578461888589359,0.)); #379550=DIRECTION('',(0.815709411156713,0.578461888589359,0.)); #379551=DIRECTION('',(0.815709411156713,0.578461888589359,0.)); #379552=DIRECTION('',(0.,0.,1.)); #379553=DIRECTION('center_axis',(0.214674314516579,-0.976685690837557,0.)); #379554=DIRECTION('ref_axis',(0.976685690837557,0.214674314516579,0.)); #379555=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #379556=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #379557=DIRECTION('',(0.,0.,1.)); #379558=DIRECTION('center_axis',(-0.183334818573673,-0.983050529880615, 0.)); #379559=DIRECTION('ref_axis',(0.983050529880615,-0.183334818573673,0.)); #379560=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #379561=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #379562=DIRECTION('',(0.,0.,1.)); #379563=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #379564=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #379565=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #379566=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #379567=DIRECTION('',(0.,0.,1.)); #379568=DIRECTION('center_axis',(-0.707106781186556,-0.707106781186539, 0.)); #379569=DIRECTION('ref_axis',(0.707106781186539,-0.707106781186556,0.)); #379570=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #379571=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #379572=DIRECTION('',(0.,0.,1.)); #379573=DIRECTION('center_axis',(-0.382688667805837,-0.923877363903345, 0.)); #379574=DIRECTION('ref_axis',(0.923877363903345,-0.382688667805837,0.)); #379575=DIRECTION('',(0.923877363903345,-0.382688667805837,0.)); #379576=DIRECTION('',(0.923877363903345,-0.382688667805837,0.)); #379577=DIRECTION('',(0.,0.,1.)); #379578=DIRECTION('center_axis',(0.,-1.,0.)); #379579=DIRECTION('ref_axis',(1.,0.,0.)); #379580=DIRECTION('',(1.,0.,0.)); #379581=DIRECTION('',(1.,0.,0.)); #379582=DIRECTION('',(0.,0.,1.)); #379583=DIRECTION('center_axis',(0.382688667805841,-0.923877363903343,0.)); #379584=DIRECTION('ref_axis',(0.923877363903343,0.382688667805841,0.)); #379585=DIRECTION('',(0.923877363903343,0.382688667805841,0.)); #379586=DIRECTION('',(0.923877363903343,0.382688667805841,0.)); #379587=DIRECTION('',(0.,0.,1.)); #379588=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #379589=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #379590=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #379591=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #379592=DIRECTION('',(0.,0.,1.)); #379593=DIRECTION('center_axis',(0.923877479452199,-0.382688388850579,0.)); #379594=DIRECTION('ref_axis',(0.382688388850579,0.923877479452199,0.)); #379595=DIRECTION('',(0.382688388850579,0.923877479452199,0.)); #379596=DIRECTION('',(0.382688388850579,0.923877479452199,0.)); #379597=DIRECTION('',(0.,0.,1.)); #379598=DIRECTION('center_axis',(0.844534310044677,-0.535501446456834,0.)); #379599=DIRECTION('ref_axis',(0.535501446456834,0.844534310044677,0.)); #379600=DIRECTION('',(0.535501446456834,0.844534310044677,0.)); #379601=DIRECTION('',(0.535501446456834,0.844534310044677,0.)); #379602=DIRECTION('',(0.,0.,1.)); #379603=DIRECTION('center_axis',(0.591488023935535,-0.806313783548834,0.)); #379604=DIRECTION('ref_axis',(0.806313783548834,0.591488023935535,0.)); #379605=DIRECTION('',(0.806313783548834,0.591488023935535,0.)); #379606=DIRECTION('',(0.806313783548834,0.591488023935535,0.)); #379607=DIRECTION('center_axis',(0.,0.,1.)); #379608=DIRECTION('ref_axis',(1.,0.,0.)); #379609=DIRECTION('center_axis',(0.,0.,1.)); #379610=DIRECTION('ref_axis',(1.,0.,0.)); #379611=DIRECTION('center_axis',(0.214674314516719,-0.976685690837527,0.)); #379612=DIRECTION('ref_axis',(0.976685690837527,0.214674314516719,0.)); #379613=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #379614=DIRECTION('',(0.,0.,1.)); #379615=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #379616=DIRECTION('',(0.,0.,1.)); #379617=DIRECTION('center_axis',(-0.183334818573531,-0.983050529880642, 0.)); #379618=DIRECTION('ref_axis',(0.983050529880642,-0.183334818573531,0.)); #379619=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #379620=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #379621=DIRECTION('',(0.,0.,1.)); #379622=DIRECTION('center_axis',(-0.552278225391995,-0.833659859749687, 0.)); #379623=DIRECTION('ref_axis',(0.833659859749687,-0.552278225391995,0.)); #379624=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #379625=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #379626=DIRECTION('',(0.,0.,1.)); #379627=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #379628=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #379629=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #379630=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #379631=DIRECTION('',(0.,0.,1.)); #379632=DIRECTION('center_axis',(-0.829203833807366,-0.558946331948933, 0.)); #379633=DIRECTION('ref_axis',(0.558946331948932,-0.829203833807366,0.)); #379634=DIRECTION('',(0.558946331948932,-0.829203833807366,0.)); #379635=DIRECTION('',(0.558946331948932,-0.829203833807366,0.)); #379636=DIRECTION('',(0.,0.,1.)); #379637=DIRECTION('center_axis',(-0.980008419561341,-0.1989560192326,0.)); #379638=DIRECTION('ref_axis',(0.1989560192326,-0.980008419561341,0.)); #379639=DIRECTION('',(0.198956019232599,-0.980008419561341,0.)); #379640=DIRECTION('',(0.198956019232599,-0.980008419561341,0.)); #379641=DIRECTION('',(0.,0.,1.)); #379642=DIRECTION('center_axis',(-1.,0.,0.)); #379643=DIRECTION('ref_axis',(0.,-1.,0.)); #379644=DIRECTION('',(0.,-1.,0.)); #379645=DIRECTION('',(0.,-1.,0.)); #379646=DIRECTION('',(0.,0.,1.)); #379647=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #379648=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #379649=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #379650=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #379651=DIRECTION('',(0.,0.,1.)); #379652=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #379653=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #379654=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #379655=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #379656=DIRECTION('',(0.,0.,1.)); #379657=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #379658=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #379659=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #379660=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #379661=DIRECTION('',(0.,0.,1.)); #379662=DIRECTION('center_axis',(-0.572058771549548,0.820212632122441,0.)); #379663=DIRECTION('ref_axis',(-0.820212632122441,-0.572058771549548,0.)); #379664=DIRECTION('',(-0.820212632122441,-0.572058771549548,0.)); #379665=DIRECTION('',(-0.820212632122441,-0.572058771549548,0.)); #379666=DIRECTION('',(0.,0.,1.)); #379667=DIRECTION('center_axis',(-0.230165683714478,0.973151456886464,0.)); #379668=DIRECTION('ref_axis',(-0.973151456886464,-0.230165683714478,0.)); #379669=DIRECTION('',(-0.973151456886464,-0.230165683714478,0.)); #379670=DIRECTION('',(-0.973151456886464,-0.230165683714478,0.)); #379671=DIRECTION('',(0.,0.,1.)); #379672=DIRECTION('center_axis',(0.167643887112162,0.985847618607422,0.)); #379673=DIRECTION('ref_axis',(-0.985847618607422,0.167643887112162,0.)); #379674=DIRECTION('',(-0.985847618607422,0.167643887112162,0.)); #379675=DIRECTION('',(-0.985847618607422,0.167643887112162,0.)); #379676=DIRECTION('',(0.,0.,1.)); #379677=DIRECTION('center_axis',(0.538911466746122,0.84236241072922,0.)); #379678=DIRECTION('ref_axis',(-0.84236241072922,0.538911466746122,0.)); #379679=DIRECTION('',(-0.84236241072922,0.538911466746122,0.)); #379680=DIRECTION('',(-0.84236241072922,0.538911466746122,0.)); #379681=DIRECTION('',(0.,0.,1.)); #379682=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #379683=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #379684=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #379685=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #379686=DIRECTION('',(0.,0.,1.)); #379687=DIRECTION('center_axis',(0.980008419561313,0.19895601923274,0.)); #379688=DIRECTION('ref_axis',(-0.19895601923274,0.980008419561313,0.)); #379689=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #379690=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #379691=DIRECTION('',(0.,0.,1.)); #379692=DIRECTION('center_axis',(1.,0.,0.)); #379693=DIRECTION('ref_axis',(0.,1.,0.)); #379694=DIRECTION('',(0.,1.,0.)); #379695=DIRECTION('',(0.,1.,0.)); #379696=DIRECTION('',(0.,0.,1.)); #379697=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #379698=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #379699=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #379700=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #379701=DIRECTION('',(0.,0.,1.)); #379702=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #379703=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #379704=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #379705=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #379706=DIRECTION('',(0.,0.,1.)); #379707=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #379708=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #379709=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #379710=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #379711=DIRECTION('',(0.,0.,1.)); #379712=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #379713=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #379714=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #379715=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #379716=DIRECTION('center_axis',(0.,0.,1.)); #379717=DIRECTION('ref_axis',(1.,0.,0.)); #379718=DIRECTION('center_axis',(0.,0.,1.)); #379719=DIRECTION('ref_axis',(1.,0.,0.)); #379720=DIRECTION('center_axis',(0.230165683714617,-0.973151456886431,0.)); #379721=DIRECTION('ref_axis',(0.973151456886431,0.230165683714617,0.)); #379722=DIRECTION('',(0.973151456886431,0.230165683714617,0.)); #379723=DIRECTION('',(0.,0.,1.)); #379724=DIRECTION('',(0.973151456886431,0.230165683714617,0.)); #379725=DIRECTION('',(0.,0.,1.)); #379726=DIRECTION('center_axis',(-0.167675934907917,-0.985842168327545, 0.)); #379727=DIRECTION('ref_axis',(0.985842168327545,-0.167675934907917,0.)); #379728=DIRECTION('',(0.985842168327545,-0.167675934907917,0.)); #379729=DIRECTION('',(0.985842168327545,-0.167675934907917,0.)); #379730=DIRECTION('',(0.,0.,1.)); #379731=DIRECTION('center_axis',(-0.538888069291876,-0.84237737907358,0.)); #379732=DIRECTION('ref_axis',(0.84237737907358,-0.538888069291876,0.)); #379733=DIRECTION('',(0.84237737907358,-0.538888069291876,0.)); #379734=DIRECTION('',(0.84237737907358,-0.538888069291876,0.)); #379735=DIRECTION('',(0.,0.,1.)); #379736=DIRECTION('center_axis',(-0.824756093515085,-0.565488626065756, 0.)); #379737=DIRECTION('ref_axis',(0.565488626065756,-0.824756093515085,0.)); #379738=DIRECTION('',(0.565488626065756,-0.824756093515085,0.)); #379739=DIRECTION('',(0.565488626065756,-0.824756093515085,0.)); #379740=DIRECTION('',(0.,0.,1.)); #379741=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #379742=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #379743=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #379744=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #379745=DIRECTION('',(0.,0.,1.)); #379746=DIRECTION('center_axis',(-1.,0.,0.)); #379747=DIRECTION('ref_axis',(0.,-1.,0.)); #379748=DIRECTION('',(0.,-1.,0.)); #379749=DIRECTION('',(0.,-1.,0.)); #379750=DIRECTION('',(0.,0.,1.)); #379751=DIRECTION('center_axis',(-0.985169907360766,0.17158162381438,0.)); #379752=DIRECTION('ref_axis',(-0.17158162381438,-0.985169907360766,0.)); #379753=DIRECTION('',(-0.17158162381438,-0.985169907360766,0.)); #379754=DIRECTION('',(-0.17158162381438,-0.985169907360766,0.)); #379755=DIRECTION('',(0.,0.,1.)); #379756=DIRECTION('center_axis',(-0.854977625343709,0.518664882329267,0.)); #379757=DIRECTION('ref_axis',(-0.518664882329267,-0.854977625343709,0.)); #379758=DIRECTION('',(-0.518664882329267,-0.854977625343709,0.)); #379759=DIRECTION('',(-0.518664882329267,-0.854977625343709,0.)); #379760=DIRECTION('',(0.,0.,1.)); #379761=DIRECTION('center_axis',(-0.585108834823648,0.810954777661069,0.)); #379762=DIRECTION('ref_axis',(-0.810954777661069,-0.585108834823648,0.)); #379763=DIRECTION('',(-0.810954777661069,-0.585108834823648,0.)); #379764=DIRECTION('',(-0.810954777661069,-0.585108834823648,0.)); #379765=DIRECTION('',(0.,0.,1.)); #379766=DIRECTION('center_axis',(-0.222366385256163,0.974963174026644,0.)); #379767=DIRECTION('ref_axis',(-0.974963174026644,-0.222366385256163,0.)); #379768=DIRECTION('',(-0.974963174026644,-0.222366385256163,0.)); #379769=DIRECTION('',(-0.974963174026644,-0.222366385256163,0.)); #379770=DIRECTION('',(0.,0.,1.)); #379771=DIRECTION('center_axis',(0.175489337071246,0.9844813317551,0.)); #379772=DIRECTION('ref_axis',(-0.9844813317551,0.175489337071246,0.)); #379773=DIRECTION('',(-0.9844813317551,0.175489337071246,0.)); #379774=DIRECTION('',(-0.9844813317551,0.175489337071246,0.)); #379775=DIRECTION('',(0.,0.,1.)); #379776=DIRECTION('center_axis',(0.545604781570663,0.838042613670229,0.)); #379777=DIRECTION('ref_axis',(-0.838042613670229,0.545604781570663,0.)); #379778=DIRECTION('',(-0.838042613670229,0.545604781570663,0.)); #379779=DIRECTION('',(-0.838042613670229,0.545604781570663,0.)); #379780=DIRECTION('',(0.,0.,1.)); #379781=DIRECTION('center_axis',(0.829249817914684,0.55887810789873,0.)); #379782=DIRECTION('ref_axis',(-0.55887810789873,0.829249817914683,0.)); #379783=DIRECTION('',(-0.55887810789873,0.829249817914683,0.)); #379784=DIRECTION('',(-0.55887810789873,0.829249817914683,0.)); #379785=DIRECTION('',(0.,0.,1.)); #379786=DIRECTION('center_axis',(0.923877479452201,0.382688388850574,0.)); #379787=DIRECTION('ref_axis',(-0.382688388850574,0.923877479452201,0.)); #379788=DIRECTION('',(-0.382688388850574,0.923877479452201,0.)); #379789=DIRECTION('',(-0.382688388850574,0.923877479452201,0.)); #379790=DIRECTION('',(0.,0.,1.)); #379791=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #379792=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #379793=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #379794=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #379795=DIRECTION('',(0.,0.,1.)); #379796=DIRECTION('center_axis',(0.382688667805841,0.923877363903343,0.)); #379797=DIRECTION('ref_axis',(-0.923877363903343,0.382688667805841,0.)); #379798=DIRECTION('',(-0.923877363903343,0.382688667805841,0.)); #379799=DIRECTION('',(-0.923877363903343,0.382688667805841,0.)); #379800=DIRECTION('',(0.,0.,1.)); #379801=DIRECTION('center_axis',(0.,1.,0.)); #379802=DIRECTION('ref_axis',(-1.,0.,0.)); #379803=DIRECTION('',(-1.,0.,0.)); #379804=DIRECTION('',(-1.,0.,0.)); #379805=DIRECTION('',(0.,0.,1.)); #379806=DIRECTION('center_axis',(-0.382688667805837,0.923877363903345,0.)); #379807=DIRECTION('ref_axis',(-0.923877363903345,-0.382688667805837,0.)); #379808=DIRECTION('',(-0.923877363903345,-0.382688667805837,0.)); #379809=DIRECTION('',(-0.923877363903345,-0.382688667805837,0.)); #379810=DIRECTION('',(0.,0.,1.)); #379811=DIRECTION('center_axis',(-0.707106781186556,0.707106781186539,0.)); #379812=DIRECTION('ref_axis',(-0.707106781186539,-0.707106781186556,0.)); #379813=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #379814=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #379815=DIRECTION('',(0.,0.,1.)); #379816=DIRECTION('center_axis',(-0.572058771549325,0.820212632122596,0.)); #379817=DIRECTION('ref_axis',(-0.820212632122596,-0.572058771549325,0.)); #379818=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #379819=DIRECTION('',(-0.820212632122596,-0.572058771549325,0.)); #379820=DIRECTION('',(0.,0.,1.)); #379821=DIRECTION('center_axis',(-0.230196907019318,0.973144071552994,0.)); #379822=DIRECTION('ref_axis',(-0.973144071552994,-0.230196907019318,0.)); #379823=DIRECTION('',(-0.973144071552994,-0.230196907019318,0.)); #379824=DIRECTION('',(-0.973144071552994,-0.230196907019318,0.)); #379825=DIRECTION('',(0.,0.,1.)); #379826=DIRECTION('center_axis',(0.167675934908011,0.985842168327529,0.)); #379827=DIRECTION('ref_axis',(-0.985842168327529,0.167675934908011,0.)); #379828=DIRECTION('',(-0.985842168327529,0.167675934908011,0.)); #379829=DIRECTION('',(-0.985842168327529,0.167675934908011,0.)); #379830=DIRECTION('',(0.,0.,1.)); #379831=DIRECTION('center_axis',(0.538888069291905,0.842377379073562,0.)); #379832=DIRECTION('ref_axis',(-0.842377379073562,0.538888069291905,0.)); #379833=DIRECTION('',(-0.842377379073562,0.538888069291905,0.)); #379834=DIRECTION('',(-0.842377379073562,0.538888069291905,0.)); #379835=DIRECTION('',(0.,0.,1.)); #379836=DIRECTION('center_axis',(0.824756093515064,0.565488626065787,0.)); #379837=DIRECTION('ref_axis',(-0.565488626065787,0.824756093515064,0.)); #379838=DIRECTION('',(-0.565488626065787,0.824756093515064,0.)); #379839=DIRECTION('',(-0.565488626065787,0.824756093515064,0.)); #379840=DIRECTION('',(0.,0.,1.)); #379841=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #379842=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #379843=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #379844=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #379845=DIRECTION('',(0.,0.,1.)); #379846=DIRECTION('center_axis',(1.,0.,0.)); #379847=DIRECTION('ref_axis',(0.,1.,0.)); #379848=DIRECTION('',(0.,1.,0.)); #379849=DIRECTION('',(0.,1.,0.)); #379850=DIRECTION('',(0.,0.,1.)); #379851=DIRECTION('center_axis',(0.984479063846077,-0.17550205938607,0.)); #379852=DIRECTION('ref_axis',(0.17550205938607,0.984479063846077,0.)); #379853=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #379854=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #379855=DIRECTION('',(0.,0.,1.)); #379856=DIRECTION('center_axis',(0.850880796063565,-0.52535880204888,0.)); #379857=DIRECTION('ref_axis',(0.52535880204888,0.850880796063565,0.)); #379858=DIRECTION('',(0.52535880204888,0.850880796063565,0.)); #379859=DIRECTION('',(0.52535880204888,0.850880796063565,0.)); #379860=DIRECTION('',(0.,0.,1.)); #379861=DIRECTION('center_axis',(0.578461888589359,-0.815709411156713,0.)); #379862=DIRECTION('ref_axis',(0.815709411156713,0.578461888589359,0.)); #379863=DIRECTION('',(0.815709411156713,0.578461888589359,0.)); #379864=DIRECTION('',(0.815709411156713,0.578461888589359,0.)); #379865=DIRECTION('',(0.,0.,1.)); #379866=DIRECTION('center_axis',(0.214674314516579,-0.976685690837557,0.)); #379867=DIRECTION('ref_axis',(0.976685690837557,0.214674314516579,0.)); #379868=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #379869=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #379870=DIRECTION('',(0.,0.,1.)); #379871=DIRECTION('center_axis',(-0.183334818573673,-0.983050529880615, 0.)); #379872=DIRECTION('ref_axis',(0.983050529880615,-0.183334818573673,0.)); #379873=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #379874=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #379875=DIRECTION('',(0.,0.,1.)); #379876=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #379877=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #379878=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #379879=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #379880=DIRECTION('',(0.,0.,1.)); #379881=DIRECTION('center_axis',(-0.707106781186556,-0.707106781186539, 0.)); #379882=DIRECTION('ref_axis',(0.707106781186539,-0.707106781186556,0.)); #379883=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #379884=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #379885=DIRECTION('',(0.,0.,1.)); #379886=DIRECTION('center_axis',(-0.382688667805837,-0.923877363903345, 0.)); #379887=DIRECTION('ref_axis',(0.923877363903345,-0.382688667805837,0.)); #379888=DIRECTION('',(0.923877363903345,-0.382688667805837,0.)); #379889=DIRECTION('',(0.923877363903345,-0.382688667805837,0.)); #379890=DIRECTION('',(0.,0.,1.)); #379891=DIRECTION('center_axis',(0.,-1.,0.)); #379892=DIRECTION('ref_axis',(1.,0.,0.)); #379893=DIRECTION('',(1.,0.,0.)); #379894=DIRECTION('',(1.,0.,0.)); #379895=DIRECTION('',(0.,0.,1.)); #379896=DIRECTION('center_axis',(0.382688667805841,-0.923877363903343,0.)); #379897=DIRECTION('ref_axis',(0.923877363903343,0.382688667805841,0.)); #379898=DIRECTION('',(0.923877363903343,0.382688667805841,0.)); #379899=DIRECTION('',(0.923877363903343,0.382688667805841,0.)); #379900=DIRECTION('',(0.,0.,1.)); #379901=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #379902=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #379903=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #379904=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #379905=DIRECTION('',(0.,0.,1.)); #379906=DIRECTION('center_axis',(0.923877479452199,-0.382688388850579,0.)); #379907=DIRECTION('ref_axis',(0.382688388850579,0.923877479452199,0.)); #379908=DIRECTION('',(0.382688388850579,0.923877479452199,0.)); #379909=DIRECTION('',(0.382688388850579,0.923877479452199,0.)); #379910=DIRECTION('',(0.,0.,1.)); #379911=DIRECTION('center_axis',(0.844534310044677,-0.535501446456834,0.)); #379912=DIRECTION('ref_axis',(0.535501446456834,0.844534310044677,0.)); #379913=DIRECTION('',(0.535501446456834,0.844534310044677,0.)); #379914=DIRECTION('',(0.535501446456834,0.844534310044677,0.)); #379915=DIRECTION('',(0.,0.,1.)); #379916=DIRECTION('center_axis',(0.591488023935535,-0.806313783548834,0.)); #379917=DIRECTION('ref_axis',(0.806313783548834,0.591488023935535,0.)); #379918=DIRECTION('',(0.806313783548834,0.591488023935535,0.)); #379919=DIRECTION('',(0.806313783548834,0.591488023935535,0.)); #379920=DIRECTION('center_axis',(0.,0.,1.)); #379921=DIRECTION('ref_axis',(1.,0.,0.)); #379922=DIRECTION('center_axis',(0.,0.,1.)); #379923=DIRECTION('ref_axis',(1.,0.,0.)); #379924=DIRECTION('center_axis',(0.21467431451661,-0.976685690837551,0.)); #379925=DIRECTION('ref_axis',(0.976685690837551,0.21467431451661,0.)); #379926=DIRECTION('',(0.976685690837551,0.21467431451661,0.)); #379927=DIRECTION('',(0.,0.,1.)); #379928=DIRECTION('',(0.976685690837551,0.21467431451661,0.)); #379929=DIRECTION('',(0.,0.,1.)); #379930=DIRECTION('center_axis',(-0.183334818573505,-0.983050529880647, 0.)); #379931=DIRECTION('ref_axis',(0.983050529880647,-0.183334818573505,0.)); #379932=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #379933=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #379934=DIRECTION('',(0.,0.,1.)); #379935=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #379936=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #379937=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #379938=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #379939=DIRECTION('',(0.,0.,1.)); #379940=DIRECTION('center_axis',(-0.707061847002896,-0.707151712514969, 0.)); #379941=DIRECTION('ref_axis',(0.707151712514969,-0.707061847002896,0.)); #379942=DIRECTION('',(0.707151712514969,-0.707061847002896,0.)); #379943=DIRECTION('',(0.707151712514969,-0.707061847002896,0.)); #379944=DIRECTION('',(0.,0.,1.)); #379945=DIRECTION('center_axis',(-0.824789890119759,-0.565439331101256, 0.)); #379946=DIRECTION('ref_axis',(0.565439331101256,-0.824789890119759,0.)); #379947=DIRECTION('',(0.565439331101256,-0.824789890119759,0.)); #379948=DIRECTION('',(0.565439331101256,-0.824789890119759,0.)); #379949=DIRECTION('',(0.,0.,1.)); #379950=DIRECTION('center_axis',(-0.976694123650575,-0.214635944861141, 0.)); #379951=DIRECTION('ref_axis',(0.214635944861141,-0.976694123650575,0.)); #379952=DIRECTION('',(0.214635944861141,-0.976694123650575,0.)); #379953=DIRECTION('',(0.214635944861141,-0.976694123650575,0.)); #379954=DIRECTION('',(0.,0.,1.)); #379955=DIRECTION('center_axis',(-0.983056472197893,0.183302952703531,0.)); #379956=DIRECTION('ref_axis',(-0.183302952703531,-0.983056472197893,0.)); #379957=DIRECTION('',(-0.183302952703531,-0.983056472197893,0.)); #379958=DIRECTION('',(-0.183302952703531,-0.983056472197893,0.)); #379959=DIRECTION('',(0.,0.,1.)); #379960=DIRECTION('center_axis',(-0.833659859749822,0.552278225391792,0.)); #379961=DIRECTION('ref_axis',(-0.552278225391792,-0.833659859749822,0.)); #379962=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #379963=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #379964=DIRECTION('',(0.,0.,1.)); #379965=DIRECTION('center_axis',(-0.707106781186527,0.707106781186568,0.)); #379966=DIRECTION('ref_axis',(-0.707106781186568,-0.707106781186527,0.)); #379967=DIRECTION('',(-0.707106781186568,-0.707106781186527,0.)); #379968=DIRECTION('',(-0.707106781186568,-0.707106781186527,0.)); #379969=DIRECTION('',(0.,0.,1.)); #379970=DIRECTION('center_axis',(-0.572058771549436,0.820212632122518,0.)); #379971=DIRECTION('ref_axis',(-0.820212632122518,-0.572058771549436,0.)); #379972=DIRECTION('',(-0.820212632122518,-0.572058771549436,0.)); #379973=DIRECTION('',(-0.820212632122518,-0.572058771549436,0.)); #379974=DIRECTION('',(0.,0.,1.)); #379975=DIRECTION('center_axis',(-0.230165683714617,0.973151456886431,0.)); #379976=DIRECTION('ref_axis',(-0.973151456886431,-0.230165683714617,0.)); #379977=DIRECTION('',(-0.973151456886431,-0.230165683714617,0.)); #379978=DIRECTION('',(-0.973151456886431,-0.230165683714617,0.)); #379979=DIRECTION('',(0.,0.,1.)); #379980=DIRECTION('center_axis',(0.167643887112304,0.985847618607398,0.)); #379981=DIRECTION('ref_axis',(-0.985847618607398,0.167643887112304,0.)); #379982=DIRECTION('',(-0.985847618607398,0.167643887112304,0.)); #379983=DIRECTION('',(-0.985847618607398,0.167643887112304,0.)); #379984=DIRECTION('',(0.,0.,1.)); #379985=DIRECTION('center_axis',(0.538911466746055,0.842362410729263,0.)); #379986=DIRECTION('ref_axis',(-0.842362410729263,0.538911466746055,0.)); #379987=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #379988=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #379989=DIRECTION('',(0.,0.,1.)); #379990=DIRECTION('center_axis',(0.824756093515085,0.565488626065756,0.)); #379991=DIRECTION('ref_axis',(-0.565488626065756,0.824756093515085,0.)); #379992=DIRECTION('',(-0.565488626065756,0.824756093515085,0.)); #379993=DIRECTION('',(-0.565488626065756,0.824756093515085,0.)); #379994=DIRECTION('',(0.,0.,1.)); #379995=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #379996=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #379997=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #379998=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #379999=DIRECTION('',(0.,0.,1.)); #380000=DIRECTION('center_axis',(1.,0.,0.)); #380001=DIRECTION('ref_axis',(0.,1.,0.)); #380002=DIRECTION('',(0.,1.,0.)); #380003=DIRECTION('',(0.,1.,0.)); #380004=DIRECTION('',(0.,0.,1.)); #380005=DIRECTION('center_axis',(0.981560865252922,-0.191149856928888,0.)); #380006=DIRECTION('ref_axis',(0.191149856928888,0.981560865252922,0.)); #380007=DIRECTION('',(0.191149856928888,0.981560865252922,0.)); #380008=DIRECTION('',(0.191149856928888,0.981560865252922,0.)); #380009=DIRECTION('',(0.,0.,1.)); #380010=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #380011=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #380012=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #380013=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #380014=DIRECTION('',(0.,0.,1.)); #380015=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #380016=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #380017=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #380018=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #380019=DIRECTION('',(0.,0.,1.)); #380020=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #380021=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #380022=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #380023=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #380024=DIRECTION('center_axis',(0.,0.,1.)); #380025=DIRECTION('ref_axis',(1.,0.,0.)); #380026=DIRECTION('center_axis',(0.,0.,1.)); #380027=DIRECTION('ref_axis',(1.,0.,0.)); #380028=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #380029=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #380030=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #380031=DIRECTION('',(0.,0.,1.)); #380032=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #380033=DIRECTION('',(0.,0.,1.)); #380034=DIRECTION('center_axis',(0.,-1.,0.)); #380035=DIRECTION('ref_axis',(1.,0.,0.)); #380036=DIRECTION('',(1.,0.,0.)); #380037=DIRECTION('',(1.,0.,0.)); #380038=DIRECTION('',(0.,0.,1.)); #380039=DIRECTION('center_axis',(-0.175495599689726,-0.984480215387564, 0.)); #380040=DIRECTION('ref_axis',(0.984480215387564,-0.175495599689726,0.)); #380041=DIRECTION('',(0.984480215387564,-0.175495599689726,0.)); #380042=DIRECTION('',(0.984480215387564,-0.175495599689726,0.)); #380043=DIRECTION('',(0.,0.,1.)); #380044=DIRECTION('center_axis',(-0.525373540973609,-0.850871695642093, 0.)); #380045=DIRECTION('ref_axis',(0.850871695642093,-0.525373540973609,0.)); #380046=DIRECTION('',(0.850871695642093,-0.525373540973609,0.)); #380047=DIRECTION('',(0.850871695642093,-0.525373540973609,0.)); #380048=DIRECTION('',(0.,0.,1.)); #380049=DIRECTION('center_axis',(-0.815693852178772,-0.578483828224917, 0.)); #380050=DIRECTION('ref_axis',(0.578483828224917,-0.815693852178772,0.)); #380051=DIRECTION('',(0.578483828224917,-0.815693852178772,0.)); #380052=DIRECTION('',(0.578483828224917,-0.815693852178772,0.)); #380053=DIRECTION('',(0.,0.,1.)); #380054=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #380055=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #380056=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #380057=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #380058=DIRECTION('',(0.,0.,1.)); #380059=DIRECTION('center_axis',(-0.983056472197898,0.183302952703505,0.)); #380060=DIRECTION('ref_axis',(-0.183302952703505,-0.983056472197898,0.)); #380061=DIRECTION('',(-0.183302952703505,-0.983056472197898,0.)); #380062=DIRECTION('',(-0.183302952703505,-0.983056472197898,0.)); #380063=DIRECTION('',(0.,0.,1.)); #380064=DIRECTION('center_axis',(-0.833659859749643,0.552278225392063,0.)); #380065=DIRECTION('ref_axis',(-0.552278225392063,-0.833659859749643,0.)); #380066=DIRECTION('',(-0.552278225392063,-0.833659859749643,0.)); #380067=DIRECTION('',(-0.552278225392063,-0.833659859749643,0.)); #380068=DIRECTION('',(0.,0.,1.)); #380069=DIRECTION('center_axis',(-0.70710678118655,0.707106781186545,0.)); #380070=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #380071=DIRECTION('',(-0.707106781186545,-0.70710678118655,0.)); #380072=DIRECTION('',(-0.707106781186545,-0.70710678118655,0.)); #380073=DIRECTION('',(0.,0.,1.)); #380074=DIRECTION('center_axis',(-0.572058771549169,0.820212632122705,0.)); #380075=DIRECTION('ref_axis',(-0.820212632122705,-0.572058771549169,0.)); #380076=DIRECTION('',(-0.820212632122705,-0.572058771549169,0.)); #380077=DIRECTION('',(-0.820212632122705,-0.572058771549169,0.)); #380078=DIRECTION('',(0.,0.,1.)); #380079=DIRECTION('center_axis',(-0.230165683714756,0.973151456886398,0.)); #380080=DIRECTION('ref_axis',(-0.973151456886398,-0.230165683714756,0.)); #380081=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #380082=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #380083=DIRECTION('',(0.,0.,1.)); #380084=DIRECTION('center_axis',(0.167643887112495,0.985847618607365,0.)); #380085=DIRECTION('ref_axis',(-0.985847618607365,0.167643887112495,0.)); #380086=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #380087=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #380088=DIRECTION('',(0.,0.,1.)); #380089=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #380090=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #380091=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #380092=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #380093=DIRECTION('',(0.,0.,1.)); #380094=DIRECTION('center_axis',(0.824756093515038,0.565488626065824,0.)); #380095=DIRECTION('ref_axis',(-0.565488626065824,0.824756093515038,0.)); #380096=DIRECTION('',(-0.565488626065824,0.824756093515038,0.)); #380097=DIRECTION('',(-0.565488626065824,0.824756093515038,0.)); #380098=DIRECTION('',(0.,0.,1.)); #380099=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #380100=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #380101=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #380102=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #380103=DIRECTION('',(0.,0.,1.)); #380104=DIRECTION('center_axis',(1.,0.,0.)); #380105=DIRECTION('ref_axis',(0.,1.,0.)); #380106=DIRECTION('',(0.,1.,0.)); #380107=DIRECTION('',(0.,1.,0.)); #380108=DIRECTION('',(0.,0.,1.)); #380109=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #380110=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #380111=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #380112=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #380113=DIRECTION('',(0.,0.,1.)); #380114=DIRECTION('center_axis',(0.833659859749822,-0.552278225391792,0.)); #380115=DIRECTION('ref_axis',(0.552278225391792,0.833659859749822,0.)); #380116=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #380117=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #380118=DIRECTION('',(0.,0.,1.)); #380119=DIRECTION('center_axis',(0.707106781186546,-0.707106781186549,0.)); #380120=DIRECTION('ref_axis',(0.707106781186549,0.707106781186546,0.)); #380121=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #380122=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #380123=DIRECTION('',(0.,0.,1.)); #380124=DIRECTION('center_axis',(0.558946331948969,-0.829203833807341,0.)); #380125=DIRECTION('ref_axis',(0.829203833807341,0.558946331948969,0.)); #380126=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #380127=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #380128=DIRECTION('center_axis',(0.,0.,1.)); #380129=DIRECTION('ref_axis',(1.,0.,0.)); #380130=DIRECTION('center_axis',(0.,0.,1.)); #380131=DIRECTION('ref_axis',(1.,0.,0.)); #380132=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #380133=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #380134=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #380135=DIRECTION('',(0.,0.,1.)); #380136=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #380137=DIRECTION('',(0.,0.,1.)); #380138=DIRECTION('center_axis',(0.,-1.,0.)); #380139=DIRECTION('ref_axis',(1.,0.,0.)); #380140=DIRECTION('',(1.,0.,0.)); #380141=DIRECTION('',(1.,0.,0.)); #380142=DIRECTION('',(0.,0.,1.)); #380143=DIRECTION('center_axis',(-0.17550205938607,-0.984479063846077,0.)); #380144=DIRECTION('ref_axis',(0.984479063846077,-0.17550205938607,0.)); #380145=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #380146=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #380147=DIRECTION('',(0.,0.,1.)); #380148=DIRECTION('center_axis',(-0.525373540973373,-0.85087169564224,0.)); #380149=DIRECTION('ref_axis',(0.85087169564224,-0.525373540973373,0.)); #380150=DIRECTION('',(0.85087169564224,-0.525373540973373,0.)); #380151=DIRECTION('',(0.85087169564224,-0.525373540973373,0.)); #380152=DIRECTION('',(0.,0.,1.)); #380153=DIRECTION('center_axis',(-0.815693852178959,-0.578483828224653, 0.)); #380154=DIRECTION('ref_axis',(0.578483828224653,-0.815693852178959,0.)); #380155=DIRECTION('',(0.578483828224653,-0.815693852178959,0.)); #380156=DIRECTION('',(0.578483828224653,-0.815693852178959,0.)); #380157=DIRECTION('',(0.,0.,1.)); #380158=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #380159=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #380160=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #380161=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #380162=DIRECTION('',(0.,0.,1.)); #380163=DIRECTION('center_axis',(-0.983056472197893,0.183302952703531,0.)); #380164=DIRECTION('ref_axis',(-0.183302952703531,-0.983056472197893,0.)); #380165=DIRECTION('',(-0.183302952703531,-0.983056472197893,0.)); #380166=DIRECTION('',(-0.183302952703531,-0.983056472197893,0.)); #380167=DIRECTION('',(0.,0.,1.)); #380168=DIRECTION('center_axis',(-0.833659859749778,0.552278225391859,0.)); #380169=DIRECTION('ref_axis',(-0.552278225391859,-0.833659859749778,0.)); #380170=DIRECTION('',(-0.552278225391859,-0.833659859749778,0.)); #380171=DIRECTION('',(-0.552278225391859,-0.833659859749778,0.)); #380172=DIRECTION('',(0.,0.,1.)); #380173=DIRECTION('center_axis',(-0.707106781186567,0.707106781186528,0.)); #380174=DIRECTION('ref_axis',(-0.707106781186528,-0.707106781186567,0.)); #380175=DIRECTION('',(-0.707106781186528,-0.707106781186567,0.)); #380176=DIRECTION('',(-0.707106781186528,-0.707106781186567,0.)); #380177=DIRECTION('',(0.,0.,1.)); #380178=DIRECTION('center_axis',(-0.558946331948864,0.829203833807412,0.)); #380179=DIRECTION('ref_axis',(-0.829203833807412,-0.558946331948864,0.)); #380180=DIRECTION('',(-0.829203833807412,-0.558946331948864,0.)); #380181=DIRECTION('',(-0.829203833807412,-0.558946331948864,0.)); #380182=DIRECTION('',(0.,0.,1.)); #380183=DIRECTION('center_axis',(-0.198956019232542,0.980008419561353,0.)); #380184=DIRECTION('ref_axis',(-0.980008419561353,-0.198956019232542,0.)); #380185=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #380186=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #380187=DIRECTION('',(0.,0.,1.)); #380188=DIRECTION('center_axis',(0.,1.,0.)); #380189=DIRECTION('ref_axis',(-1.,0.,0.)); #380190=DIRECTION('',(-1.,0.,0.)); #380191=DIRECTION('',(-1.,0.,0.)); #380192=DIRECTION('',(0.,0.,1.)); #380193=DIRECTION('center_axis',(0.17550205938607,0.984479063846077,0.)); #380194=DIRECTION('ref_axis',(-0.984479063846077,0.17550205938607,0.)); #380195=DIRECTION('',(-0.984479063846077,0.17550205938607,0.)); #380196=DIRECTION('',(-0.984479063846077,0.17550205938607,0.)); #380197=DIRECTION('',(0.,0.,1.)); #380198=DIRECTION('center_axis',(0.525373540973373,0.85087169564224,0.)); #380199=DIRECTION('ref_axis',(-0.85087169564224,0.525373540973373,0.)); #380200=DIRECTION('',(-0.85087169564224,0.525373540973373,0.)); #380201=DIRECTION('',(-0.85087169564224,0.525373540973373,0.)); #380202=DIRECTION('',(0.,0.,1.)); #380203=DIRECTION('center_axis',(0.815693852178959,0.578483828224653,0.)); #380204=DIRECTION('ref_axis',(-0.578483828224653,0.815693852178959,0.)); #380205=DIRECTION('',(-0.578483828224653,0.815693852178959,0.)); #380206=DIRECTION('',(-0.578483828224653,0.815693852178959,0.)); #380207=DIRECTION('',(0.,0.,1.)); #380208=DIRECTION('center_axis',(0.97668569083752,0.21467431451675,0.)); #380209=DIRECTION('ref_axis',(-0.21467431451675,0.97668569083752,0.)); #380210=DIRECTION('',(-0.21467431451675,0.97668569083752,0.)); #380211=DIRECTION('',(-0.21467431451675,0.97668569083752,0.)); #380212=DIRECTION('',(0.,0.,1.)); #380213=DIRECTION('center_axis',(0.983050529880594,-0.183334818573788,0.)); #380214=DIRECTION('ref_axis',(0.183334818573788,0.983050529880594,0.)); #380215=DIRECTION('',(0.183334818573788,0.983050529880594,0.)); #380216=DIRECTION('',(0.183334818573788,0.983050529880594,0.)); #380217=DIRECTION('',(0.,0.,1.)); #380218=DIRECTION('center_axis',(0.833659859749643,-0.552278225392063,0.)); #380219=DIRECTION('ref_axis',(0.552278225392063,0.833659859749643,0.)); #380220=DIRECTION('',(0.552278225392063,0.833659859749643,0.)); #380221=DIRECTION('',(0.552278225392063,0.833659859749643,0.)); #380222=DIRECTION('',(0.,0.,1.)); #380223=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #380224=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #380225=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #380226=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #380227=DIRECTION('',(0.,0.,1.)); #380228=DIRECTION('center_axis',(0.55894633194911,-0.829203833807246,0.)); #380229=DIRECTION('ref_axis',(0.829203833807246,0.55894633194911,0.)); #380230=DIRECTION('',(0.829203833807246,0.55894633194911,0.)); #380231=DIRECTION('',(0.829203833807246,0.55894633194911,0.)); #380232=DIRECTION('center_axis',(0.,0.,1.)); #380233=DIRECTION('ref_axis',(1.,0.,0.)); #380234=DIRECTION('center_axis',(0.,0.,1.)); #380235=DIRECTION('ref_axis',(1.,0.,0.)); #380236=DIRECTION('center_axis',(0.222335042818087,-0.974970321976561,0.)); #380237=DIRECTION('ref_axis',(0.974970321976561,0.222335042818087,0.)); #380238=DIRECTION('',(0.974970321976561,0.222335042818087,0.)); #380239=DIRECTION('',(0.,0.,1.)); #380240=DIRECTION('',(0.974970321976561,0.222335042818087,0.)); #380241=DIRECTION('',(0.,0.,1.)); #380242=DIRECTION('center_axis',(-0.175457377966587,-0.984487028110117, 0.)); #380243=DIRECTION('ref_axis',(0.984487028110117,-0.175457377966587,0.)); #380244=DIRECTION('',(0.984487028110117,-0.175457377966587,0.)); #380245=DIRECTION('',(0.984487028110117,-0.175457377966587,0.)); #380246=DIRECTION('',(0.,0.,1.)); #380247=DIRECTION('center_axis',(-0.54560478157073,-0.838042613670186,0.)); #380248=DIRECTION('ref_axis',(0.838042613670186,-0.54560478157073,0.)); #380249=DIRECTION('',(0.838042613670186,-0.54560478157073,0.)); #380250=DIRECTION('',(0.838042613670186,-0.54560478157073,0.)); #380251=DIRECTION('',(0.,0.,1.)); #380252=DIRECTION('center_axis',(-0.829249817914661,-0.558878107898763, 0.)); #380253=DIRECTION('ref_axis',(0.558878107898763,-0.829249817914661,0.)); #380254=DIRECTION('',(0.558878107898763,-0.829249817914661,0.)); #380255=DIRECTION('',(0.558878107898763,-0.829249817914661,0.)); #380256=DIRECTION('',(0.,0.,1.)); #380257=DIRECTION('center_axis',(-0.923877479452204,-0.382688388850566, 0.)); #380258=DIRECTION('ref_axis',(0.382688388850566,-0.923877479452204,0.)); #380259=DIRECTION('',(0.382688388850566,-0.923877479452204,0.)); #380260=DIRECTION('',(0.382688388850566,-0.923877479452204,0.)); #380261=DIRECTION('',(0.,0.,1.)); #380262=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #380263=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #380264=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #380265=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #380266=DIRECTION('',(0.,0.,1.)); #380267=DIRECTION('center_axis',(-0.382688667805833,-0.923877363903347, 0.)); #380268=DIRECTION('ref_axis',(0.923877363903347,-0.382688667805833,0.)); #380269=DIRECTION('',(0.923877363903347,-0.382688667805833,0.)); #380270=DIRECTION('',(0.923877363903347,-0.382688667805833,0.)); #380271=DIRECTION('',(0.,0.,1.)); #380272=DIRECTION('center_axis',(0.,-1.,0.)); #380273=DIRECTION('ref_axis',(1.,0.,0.)); #380274=DIRECTION('',(1.,0.,0.)); #380275=DIRECTION('',(1.,0.,0.)); #380276=DIRECTION('',(0.,0.,1.)); #380277=DIRECTION('center_axis',(0.382688667805837,-0.923877363903345,0.)); #380278=DIRECTION('ref_axis',(0.923877363903345,0.382688667805837,0.)); #380279=DIRECTION('',(0.923877363903345,0.382688667805837,0.)); #380280=DIRECTION('',(0.923877363903345,0.382688667805837,0.)); #380281=DIRECTION('',(0.,0.,1.)); #380282=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #380283=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #380284=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #380285=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #380286=DIRECTION('',(0.,0.,1.)); #380287=DIRECTION('center_axis',(0.572058771549325,-0.820212632122596,0.)); #380288=DIRECTION('ref_axis',(0.820212632122596,0.572058771549325,0.)); #380289=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #380290=DIRECTION('',(0.820212632122596,0.572058771549325,0.)); #380291=DIRECTION('',(0.,0.,1.)); #380292=DIRECTION('center_axis',(0.230196907019351,-0.973144071552987,0.)); #380293=DIRECTION('ref_axis',(0.973144071552987,0.230196907019351,0.)); #380294=DIRECTION('',(0.973144071552987,0.230196907019351,0.)); #380295=DIRECTION('',(0.973144071552987,0.230196907019351,0.)); #380296=DIRECTION('',(0.,0.,1.)); #380297=DIRECTION('center_axis',(-0.167675934908011,-0.985842168327529, 0.)); #380298=DIRECTION('ref_axis',(0.985842168327529,-0.167675934908011,0.)); #380299=DIRECTION('',(0.985842168327529,-0.167675934908011,0.)); #380300=DIRECTION('',(0.985842168327529,-0.167675934908011,0.)); #380301=DIRECTION('',(0.,0.,1.)); #380302=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #380303=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #380304=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #380305=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #380306=DIRECTION('',(0.,0.,1.)); #380307=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #380308=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #380309=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #380310=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #380311=DIRECTION('',(0.,0.,1.)); #380312=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #380313=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #380314=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #380315=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #380316=DIRECTION('',(0.,0.,1.)); #380317=DIRECTION('center_axis',(-1.,0.,0.)); #380318=DIRECTION('ref_axis',(0.,-1.,0.)); #380319=DIRECTION('',(0.,-1.,0.)); #380320=DIRECTION('',(0.,-1.,0.)); #380321=DIRECTION('',(0.,0.,1.)); #380322=DIRECTION('center_axis',(-0.984479063846082,0.175502059386041,0.)); #380323=DIRECTION('ref_axis',(-0.175502059386041,-0.984479063846082,0.)); #380324=DIRECTION('',(-0.175502059386041,-0.984479063846082,0.)); #380325=DIRECTION('',(-0.175502059386041,-0.984479063846082,0.)); #380326=DIRECTION('',(0.,0.,1.)); #380327=DIRECTION('center_axis',(-0.850880796063525,0.525358802048945,0.)); #380328=DIRECTION('ref_axis',(-0.525358802048945,-0.850880796063525,0.)); #380329=DIRECTION('',(-0.525358802048945,-0.850880796063525,0.)); #380330=DIRECTION('',(-0.525358802048945,-0.850880796063525,0.)); #380331=DIRECTION('',(0.,0.,1.)); #380332=DIRECTION('center_axis',(-0.57846188858929,0.815709411156762,0.)); #380333=DIRECTION('ref_axis',(-0.815709411156762,-0.57846188858929,0.)); #380334=DIRECTION('',(-0.815709411156762,-0.57846188858929,0.)); #380335=DIRECTION('',(-0.815709411156762,-0.57846188858929,0.)); #380336=DIRECTION('',(0.,0.,1.)); #380337=DIRECTION('center_axis',(-0.21467431451661,0.976685690837551,0.)); #380338=DIRECTION('ref_axis',(-0.976685690837551,-0.21467431451661,0.)); #380339=DIRECTION('',(-0.976685690837551,-0.21467431451661,0.)); #380340=DIRECTION('',(-0.976685690837551,-0.21467431451661,0.)); #380341=DIRECTION('',(0.,0.,1.)); #380342=DIRECTION('center_axis',(0.183334818573646,0.98305052988062,0.)); #380343=DIRECTION('ref_axis',(-0.98305052988062,0.183334818573646,0.)); #380344=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #380345=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #380346=DIRECTION('',(0.,0.,1.)); #380347=DIRECTION('center_axis',(0.552278225391859,0.833659859749778,0.)); #380348=DIRECTION('ref_axis',(-0.833659859749778,0.552278225391859,0.)); #380349=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #380350=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #380351=DIRECTION('',(0.,0.,1.)); #380352=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #380353=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #380354=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #380355=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #380356=DIRECTION('',(0.,0.,1.)); #380357=DIRECTION('center_axis',(0.382688667805837,0.923877363903345,0.)); #380358=DIRECTION('ref_axis',(-0.923877363903345,0.382688667805837,0.)); #380359=DIRECTION('',(-0.923877363903345,0.382688667805837,0.)); #380360=DIRECTION('',(-0.923877363903345,0.382688667805837,0.)); #380361=DIRECTION('',(0.,0.,1.)); #380362=DIRECTION('center_axis',(0.,1.,0.)); #380363=DIRECTION('ref_axis',(-1.,0.,0.)); #380364=DIRECTION('',(-1.,0.,0.)); #380365=DIRECTION('',(-1.,0.,0.)); #380366=DIRECTION('',(0.,0.,1.)); #380367=DIRECTION('center_axis',(-0.382688667805833,0.923877363903347,0.)); #380368=DIRECTION('ref_axis',(-0.923877363903347,-0.382688667805833,0.)); #380369=DIRECTION('',(-0.923877363903347,-0.382688667805833,0.)); #380370=DIRECTION('',(-0.923877363903347,-0.382688667805833,0.)); #380371=DIRECTION('',(0.,0.,1.)); #380372=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #380373=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #380374=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #380375=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #380376=DIRECTION('',(0.,0.,1.)); #380377=DIRECTION('center_axis',(-0.923877479452207,0.382688388850561,0.)); #380378=DIRECTION('ref_axis',(-0.382688388850561,-0.923877479452207,0.)); #380379=DIRECTION('',(-0.38268838885056,-0.923877479452207,0.)); #380380=DIRECTION('',(-0.38268838885056,-0.923877479452207,0.)); #380381=DIRECTION('',(0.,0.,1.)); #380382=DIRECTION('center_axis',(-0.844534310044551,0.535501446457032,0.)); #380383=DIRECTION('ref_axis',(-0.535501446457032,-0.844534310044551,0.)); #380384=DIRECTION('',(-0.535501446457032,-0.844534310044551,0.)); #380385=DIRECTION('',(-0.535501446457032,-0.844534310044551,0.)); #380386=DIRECTION('',(0.,0.,1.)); #380387=DIRECTION('center_axis',(-0.591488023935674,0.806313783548732,0.)); #380388=DIRECTION('ref_axis',(-0.806313783548732,-0.591488023935674,0.)); #380389=DIRECTION('',(-0.806313783548732,-0.591488023935674,0.)); #380390=DIRECTION('',(-0.806313783548732,-0.591488023935674,0.)); #380391=DIRECTION('',(0.,0.,1.)); #380392=DIRECTION('center_axis',(-0.230165683714756,0.973151456886398,0.)); #380393=DIRECTION('ref_axis',(-0.973151456886398,-0.230165683714756,0.)); #380394=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #380395=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #380396=DIRECTION('',(0.,0.,1.)); #380397=DIRECTION('center_axis',(0.167643887112304,0.985847618607398,0.)); #380398=DIRECTION('ref_axis',(-0.985847618607398,0.167643887112304,0.)); #380399=DIRECTION('',(-0.985847618607398,0.167643887112304,0.)); #380400=DIRECTION('',(-0.985847618607398,0.167643887112304,0.)); #380401=DIRECTION('',(0.,0.,1.)); #380402=DIRECTION('center_axis',(0.538911466746018,0.842362410729287,0.)); #380403=DIRECTION('ref_axis',(-0.842362410729287,0.538911466746018,0.)); #380404=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #380405=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #380406=DIRECTION('',(0.,0.,1.)); #380407=DIRECTION('center_axis',(0.824756093515132,0.565488626065688,0.)); #380408=DIRECTION('ref_axis',(-0.565488626065688,0.824756093515132,0.)); #380409=DIRECTION('',(-0.565488626065688,0.824756093515132,0.)); #380410=DIRECTION('',(-0.565488626065688,0.824756093515132,0.)); #380411=DIRECTION('',(0.,0.,1.)); #380412=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #380413=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #380414=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #380415=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #380416=DIRECTION('',(0.,0.,1.)); #380417=DIRECTION('center_axis',(1.,0.,0.)); #380418=DIRECTION('ref_axis',(0.,1.,0.)); #380419=DIRECTION('',(0.,1.,0.)); #380420=DIRECTION('',(0.,1.,0.)); #380421=DIRECTION('',(0.,0.,1.)); #380422=DIRECTION('center_axis',(0.985169907360795,-0.171581623814215,0.)); #380423=DIRECTION('ref_axis',(0.171581623814215,0.985169907360795,0.)); #380424=DIRECTION('',(0.171581623814215,0.985169907360795,0.)); #380425=DIRECTION('',(0.171581623814215,0.985169907360795,0.)); #380426=DIRECTION('',(0.,0.,1.)); #380427=DIRECTION('center_axis',(0.854977625343709,-0.518664882329267,0.)); #380428=DIRECTION('ref_axis',(0.518664882329267,0.854977625343709,0.)); #380429=DIRECTION('',(0.518664882329267,0.854977625343709,0.)); #380430=DIRECTION('',(0.518664882329267,0.854977625343709,0.)); #380431=DIRECTION('',(0.,0.,1.)); #380432=DIRECTION('center_axis',(0.585108834823578,-0.810954777661119,0.)); #380433=DIRECTION('ref_axis',(0.810954777661119,0.585108834823578,0.)); #380434=DIRECTION('',(0.810954777661119,0.585108834823578,0.)); #380435=DIRECTION('',(0.810954777661119,0.585108834823578,0.)); #380436=DIRECTION('center_axis',(0.,0.,1.)); #380437=DIRECTION('ref_axis',(1.,0.,0.)); #380438=DIRECTION('center_axis',(0.,0.,1.)); #380439=DIRECTION('ref_axis',(1.,0.,0.)); #380440=DIRECTION('center_axis',(0.,0.,1.)); #380441=DIRECTION('ref_axis',(1.,0.,0.)); #380442=DIRECTION('center_axis',(0.,0.,1.)); #380443=DIRECTION('ref_axis',(1.,0.,0.)); #380444=DIRECTION('',(0.,0.,1.)); #380445=DIRECTION('center_axis',(0.,0.,-1.)); #380446=DIRECTION('ref_axis',(1.,0.,0.)); #380447=DIRECTION('center_axis',(0.,1.,0.)); #380448=DIRECTION('ref_axis',(-1.,0.,0.)); #380449=DIRECTION('',(1.,0.,0.)); #380450=DIRECTION('',(0.,0.,1.)); #380451=DIRECTION('',(-1.,0.,0.)); #380452=DIRECTION('',(0.,0.,1.)); #380453=DIRECTION('center_axis',(0.,0.,1.)); #380454=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #380455=DIRECTION('center_axis',(0.,0.,-1.)); #380456=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #380457=DIRECTION('center_axis',(0.,0.,1.)); #380458=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #380459=DIRECTION('',(0.,0.,1.)); #380460=DIRECTION('center_axis',(0.,-1.,0.)); #380461=DIRECTION('ref_axis',(1.,0.,0.)); #380462=DIRECTION('',(-1.,0.,0.)); #380463=DIRECTION('',(1.,0.,0.)); #380464=DIRECTION('',(0.,0.,1.)); #380465=DIRECTION('center_axis',(0.,0.,1.)); #380466=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #380467=DIRECTION('center_axis',(0.,0.,-1.)); #380468=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #380469=DIRECTION('center_axis',(0.,0.,1.)); #380470=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #380471=DIRECTION('center_axis',(0.214642857802347,-0.976692604453644,0.)); #380472=DIRECTION('ref_axis',(0.976692604453643,0.214642857802347,0.)); #380473=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #380474=DIRECTION('',(0.,0.,1.)); #380475=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #380476=DIRECTION('',(0.,0.,1.)); #380477=DIRECTION('center_axis',(-0.183302952703505,-0.983056472197898, 0.)); #380478=DIRECTION('ref_axis',(0.983056472197898,-0.183302952703505,0.)); #380479=DIRECTION('',(0.983056472197898,-0.183302952703505,0.)); #380480=DIRECTION('',(0.983056472197898,-0.183302952703505,0.)); #380481=DIRECTION('',(0.,0.,1.)); #380482=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #380483=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #380484=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #380485=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #380486=DIRECTION('',(0.,0.,1.)); #380487=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #380488=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #380489=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #380490=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #380491=DIRECTION('',(0.,0.,1.)); #380492=DIRECTION('center_axis',(-0.829203833807341,-0.558946331948969, 0.)); #380493=DIRECTION('ref_axis',(0.558946331948969,-0.829203833807341,0.)); #380494=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #380495=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #380496=DIRECTION('',(0.,0.,1.)); #380497=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #380498=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #380499=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #380500=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #380501=DIRECTION('',(0.,0.,1.)); #380502=DIRECTION('center_axis',(-1.,0.,0.)); #380503=DIRECTION('ref_axis',(0.,-1.,0.)); #380504=DIRECTION('',(0.,-1.,0.)); #380505=DIRECTION('',(0.,-1.,0.)); #380506=DIRECTION('',(0.,0.,1.)); #380507=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #380508=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #380509=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #380510=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #380511=DIRECTION('',(0.,0.,1.)); #380512=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #380513=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #380514=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #380515=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #380516=DIRECTION('',(0.,0.,1.)); #380517=DIRECTION('center_axis',(-0.707108784529055,0.707104777838364,0.)); #380518=DIRECTION('ref_axis',(-0.707104777838364,-0.707108784529055,0.)); #380519=DIRECTION('',(-0.707104777838364,-0.707108784529055,0.)); #380520=DIRECTION('',(-0.707104777838364,-0.707108784529055,0.)); #380521=DIRECTION('',(0.,0.,1.)); #380522=DIRECTION('center_axis',(-1.,0.,0.)); #380523=DIRECTION('ref_axis',(0.,-1.,0.)); #380524=DIRECTION('',(0.,-1.,0.)); #380525=DIRECTION('',(0.,-1.,0.)); #380526=DIRECTION('',(0.,0.,1.)); #380527=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #380528=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #380529=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #380530=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #380531=DIRECTION('',(0.,0.,1.)); #380532=DIRECTION('center_axis',(0.,-1.,0.)); #380533=DIRECTION('ref_axis',(1.,0.,0.)); #380534=DIRECTION('',(1.,0.,0.)); #380535=DIRECTION('',(1.,0.,0.)); #380536=DIRECTION('',(0.,0.,1.)); #380537=DIRECTION('center_axis',(0.707104327390303,-0.707109234974277,0.)); #380538=DIRECTION('ref_axis',(0.707109234974277,0.707104327390303,0.)); #380539=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #380540=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #380541=DIRECTION('',(0.,0.,1.)); #380542=DIRECTION('center_axis',(0.565439331101182,-0.82478989011981,0.)); #380543=DIRECTION('ref_axis',(0.82478989011981,0.565439331101182,0.)); #380544=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #380545=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #380546=DIRECTION('',(0.,0.,1.)); #380547=DIRECTION('center_axis',(0.214674314516719,-0.976685690837527,0.)); #380548=DIRECTION('ref_axis',(0.976685690837527,0.214674314516719,0.)); #380549=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #380550=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #380551=DIRECTION('',(0.,0.,1.)); #380552=DIRECTION('center_axis',(-0.183334818573788,-0.983050529880594, 0.)); #380553=DIRECTION('ref_axis',(0.983050529880594,-0.183334818573788,0.)); #380554=DIRECTION('',(0.983050529880594,-0.183334818573788,0.)); #380555=DIRECTION('',(0.983050529880594,-0.183334818573788,0.)); #380556=DIRECTION('',(0.,0.,1.)); #380557=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #380558=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #380559=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #380560=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #380561=DIRECTION('',(0.,0.,1.)); #380562=DIRECTION('center_axis',(-0.707104327390303,-0.707109234974277, 0.)); #380563=DIRECTION('ref_axis',(0.707109234974277,-0.707104327390303,0.)); #380564=DIRECTION('',(0.707109234974277,-0.707104327390303,0.)); #380565=DIRECTION('',(0.707109234974277,-0.707104327390303,0.)); #380566=DIRECTION('',(0.,0.,1.)); #380567=DIRECTION('center_axis',(0.,-1.,0.)); #380568=DIRECTION('ref_axis',(1.,0.,0.)); #380569=DIRECTION('',(1.,0.,0.)); #380570=DIRECTION('',(1.,0.,0.)); #380571=DIRECTION('',(0.,0.,1.)); #380572=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #380573=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #380574=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #380575=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #380576=DIRECTION('',(0.,0.,1.)); #380577=DIRECTION('center_axis',(1.,0.,0.)); #380578=DIRECTION('ref_axis',(0.,1.,0.)); #380579=DIRECTION('',(0.,1.,0.)); #380580=DIRECTION('',(0.,1.,0.)); #380581=DIRECTION('',(0.,0.,1.)); #380582=DIRECTION('center_axis',(0.707108784529055,0.707104777838364,0.)); #380583=DIRECTION('ref_axis',(-0.707104777838364,0.707108784529055,0.)); #380584=DIRECTION('',(-0.707104777838364,0.707108784529055,0.)); #380585=DIRECTION('',(-0.707104777838364,0.707108784529055,0.)); #380586=DIRECTION('',(0.,0.,1.)); #380587=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #380588=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #380589=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #380590=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #380591=DIRECTION('',(0.,0.,1.)); #380592=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #380593=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #380594=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #380595=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #380596=DIRECTION('',(0.,0.,1.)); #380597=DIRECTION('center_axis',(1.,0.,0.)); #380598=DIRECTION('ref_axis',(0.,1.,0.)); #380599=DIRECTION('',(0.,1.,0.)); #380600=DIRECTION('',(0.,1.,0.)); #380601=DIRECTION('',(0.,0.,1.)); #380602=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #380603=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #380604=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #380605=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #380606=DIRECTION('',(0.,0.,1.)); #380607=DIRECTION('center_axis',(0.842362410729329,-0.538911466745951,0.)); #380608=DIRECTION('ref_axis',(0.538911466745951,0.842362410729329,0.)); #380609=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #380610=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #380611=DIRECTION('',(0.,0.,1.)); #380612=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #380613=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #380614=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #380615=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #380616=DIRECTION('',(0.,0.,1.)); #380617=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #380618=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #380619=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #380620=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #380621=DIRECTION('',(0.,0.,1.)); #380622=DIRECTION('center_axis',(0.,-1.,0.)); #380623=DIRECTION('ref_axis',(1.,0.,0.)); #380624=DIRECTION('',(1.,0.,0.)); #380625=DIRECTION('',(1.,0.,0.)); #380626=DIRECTION('',(0.,0.,1.)); #380627=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #380628=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #380629=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #380630=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #380631=DIRECTION('',(0.,0.,1.)); #380632=DIRECTION('center_axis',(-0.538911466745951,-0.842362410729329, 0.)); #380633=DIRECTION('ref_axis',(0.842362410729329,-0.538911466745951,0.)); #380634=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #380635=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #380636=DIRECTION('',(0.,0.,1.)); #380637=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #380638=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #380639=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #380640=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #380641=DIRECTION('',(0.,0.,1.)); #380642=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #380643=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #380644=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #380645=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #380646=DIRECTION('',(0.,0.,1.)); #380647=DIRECTION('center_axis',(-1.,0.,0.)); #380648=DIRECTION('ref_axis',(0.,-1.,0.)); #380649=DIRECTION('',(0.,-1.,0.)); #380650=DIRECTION('',(0.,-1.,0.)); #380651=DIRECTION('',(0.,0.,1.)); #380652=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #380653=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #380654=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #380655=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #380656=DIRECTION('',(0.,0.,1.)); #380657=DIRECTION('center_axis',(-0.842362410729372,0.538911466745885,0.)); #380658=DIRECTION('ref_axis',(-0.538911466745885,-0.842362410729372,0.)); #380659=DIRECTION('',(-0.538911466745885,-0.842362410729372,0.)); #380660=DIRECTION('',(-0.538911466745885,-0.842362410729372,0.)); #380661=DIRECTION('',(0.,0.,1.)); #380662=DIRECTION('center_axis',(-0.565504004714863,0.824745549034035,0.)); #380663=DIRECTION('ref_axis',(-0.824745549034035,-0.565504004714863,0.)); #380664=DIRECTION('',(-0.824745549034035,-0.565504004714863,0.)); #380665=DIRECTION('',(-0.824745549034035,-0.565504004714863,0.)); #380666=DIRECTION('',(0.,0.,1.)); #380667=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #380668=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #380669=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #380670=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #380671=DIRECTION('',(0.,0.,1.)); #380672=DIRECTION('center_axis',(0.,1.,0.)); #380673=DIRECTION('ref_axis',(-1.,0.,0.)); #380674=DIRECTION('',(-1.,0.,0.)); #380675=DIRECTION('',(-1.,0.,0.)); #380676=DIRECTION('',(0.,0.,1.)); #380677=DIRECTION('center_axis',(-0.105885061288526,0.994378375567332,0.)); #380678=DIRECTION('ref_axis',(-0.994378375567332,-0.105885061288526,0.)); #380679=DIRECTION('',(-0.994378375567332,-0.105885061288526,0.)); #380680=DIRECTION('',(-0.994378375567332,-0.105885061288526,0.)); #380681=DIRECTION('',(0.,0.,1.)); #380682=DIRECTION('center_axis',(-0.312922107778943,0.949778792384408,0.)); #380683=DIRECTION('ref_axis',(-0.949778792384408,-0.312922107778943,0.)); #380684=DIRECTION('',(-0.949778792384408,-0.312922107778943,0.)); #380685=DIRECTION('',(-0.949778792384408,-0.312922107778943,0.)); #380686=DIRECTION('',(0.,0.,1.)); #380687=DIRECTION('center_axis',(-0.506114900434647,0.862466061684764,0.)); #380688=DIRECTION('ref_axis',(-0.862466061684764,-0.506114900434647,0.)); #380689=DIRECTION('',(-0.862466061684764,-0.506114900434647,0.)); #380690=DIRECTION('',(-0.862466061684764,-0.506114900434647,0.)); #380691=DIRECTION('',(0.,0.,1.)); #380692=DIRECTION('center_axis',(-0.652508824452284,0.75778112539961,0.)); #380693=DIRECTION('ref_axis',(-0.75778112539961,-0.652508824452284,0.)); #380694=DIRECTION('',(-0.75778112539961,-0.652508824452284,0.)); #380695=DIRECTION('',(-0.75778112539961,-0.652508824452284,0.)); #380696=DIRECTION('',(0.,0.,1.)); #380697=DIRECTION('center_axis',(-0.707107039233478,0.707106523139523,0.)); #380698=DIRECTION('ref_axis',(-0.707106523139523,-0.707107039233478,0.)); #380699=DIRECTION('',(-0.707106523139522,-0.707107039233478,0.)); #380700=DIRECTION('',(-0.707106523139522,-0.707107039233478,0.)); #380701=DIRECTION('',(0.,0.,1.)); #380702=DIRECTION('center_axis',(-0.77804881138165,0.628203826084816,0.)); #380703=DIRECTION('ref_axis',(-0.628203826084816,-0.77804881138165,0.)); #380704=DIRECTION('',(-0.628203826084816,-0.77804881138165,0.)); #380705=DIRECTION('',(-0.628203826084816,-0.77804881138165,0.)); #380706=DIRECTION('',(0.,0.,1.)); #380707=DIRECTION('center_axis',(-0.892938781493025,0.450178111979861,0.)); #380708=DIRECTION('ref_axis',(-0.45017811197986,-0.892938781493025,0.)); #380709=DIRECTION('',(-0.45017811197986,-0.892938781493025,0.)); #380710=DIRECTION('',(-0.45017811197986,-0.892938781493025,0.)); #380711=DIRECTION('',(0.,0.,1.)); #380712=DIRECTION('center_axis',(-0.96766227807795,0.252249312357818,0.)); #380713=DIRECTION('ref_axis',(-0.252249312357818,-0.96766227807795,0.)); #380714=DIRECTION('',(-0.252249312357818,-0.96766227807795,0.)); #380715=DIRECTION('',(-0.252249312357818,-0.96766227807795,0.)); #380716=DIRECTION('',(0.,0.,1.)); #380717=DIRECTION('center_axis',(-0.997238118320005,0.074270689841797,0.)); #380718=DIRECTION('ref_axis',(-0.074270689841797,-0.997238118320005,0.)); #380719=DIRECTION('',(-0.074270689841797,-0.997238118320005,0.)); #380720=DIRECTION('',(-0.074270689841797,-0.997238118320005,0.)); #380721=DIRECTION('',(0.,0.,1.)); #380722=DIRECTION('center_axis',(-1.,0.,0.)); #380723=DIRECTION('ref_axis',(0.,-1.,0.)); #380724=DIRECTION('',(0.,-1.,0.)); #380725=DIRECTION('',(0.,-1.,0.)); #380726=DIRECTION('',(0.,0.,1.)); #380727=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #380728=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #380729=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #380730=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #380731=DIRECTION('',(0.,0.,1.)); #380732=DIRECTION('center_axis',(-0.8336598597498,0.552278225391826,0.)); #380733=DIRECTION('ref_axis',(-0.552278225391826,-0.8336598597498,0.)); #380734=DIRECTION('',(-0.552278225391826,-0.8336598597498,0.)); #380735=DIRECTION('',(-0.552278225391826,-0.8336598597498,0.)); #380736=DIRECTION('',(0.,0.,1.)); #380737=DIRECTION('center_axis',(-0.707106781186546,0.707106781186549,0.)); #380738=DIRECTION('ref_axis',(-0.707106781186549,-0.707106781186546,0.)); #380739=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #380740=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #380741=DIRECTION('',(0.,0.,1.)); #380742=DIRECTION('center_axis',(-0.558946331948969,0.829203833807341,0.)); #380743=DIRECTION('ref_axis',(-0.829203833807341,-0.558946331948969,0.)); #380744=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #380745=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #380746=DIRECTION('',(0.,0.,1.)); #380747=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #380748=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #380749=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #380750=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #380751=DIRECTION('',(0.,0.,1.)); #380752=DIRECTION('center_axis',(0.,1.,0.)); #380753=DIRECTION('ref_axis',(-1.,0.,0.)); #380754=DIRECTION('',(-1.,0.,0.)); #380755=DIRECTION('',(-1.,0.,0.)); #380756=DIRECTION('',(0.,0.,1.)); #380757=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #380758=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #380759=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #380760=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #380761=DIRECTION('',(0.,0.,1.)); #380762=DIRECTION('center_axis',(0.538911466746055,0.842362410729263,0.)); #380763=DIRECTION('ref_axis',(-0.842362410729263,0.538911466746055,0.)); #380764=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #380765=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #380766=DIRECTION('',(0.,0.,1.)); #380767=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #380768=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #380769=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #380770=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #380771=DIRECTION('',(0.,0.,1.)); #380772=DIRECTION('center_axis',(0.980003828794046,0.198978630885354,0.)); #380773=DIRECTION('ref_axis',(-0.198978630885354,0.980003828794046,0.)); #380774=DIRECTION('',(-0.198978630885354,0.980003828794046,0.)); #380775=DIRECTION('',(-0.198978630885354,0.980003828794046,0.)); #380776=DIRECTION('',(0.,0.,1.)); #380777=DIRECTION('center_axis',(0.707105370129509,0.70710819224077,0.)); #380778=DIRECTION('ref_axis',(-0.70710819224077,0.707105370129509,0.)); #380779=DIRECTION('',(-0.70710819224077,0.707105370129509,0.)); #380780=DIRECTION('',(-0.70710819224077,0.707105370129509,0.)); #380781=DIRECTION('',(0.,0.,1.)); #380782=DIRECTION('center_axis',(0.,1.,0.)); #380783=DIRECTION('ref_axis',(-1.,0.,0.)); #380784=DIRECTION('',(-1.,0.,0.)); #380785=DIRECTION('',(-1.,0.,0.)); #380786=DIRECTION('',(0.,0.,1.)); #380787=DIRECTION('center_axis',(-0.707104327390303,0.707109234974277,0.)); #380788=DIRECTION('ref_axis',(-0.707109234974277,-0.707104327390303,0.)); #380789=DIRECTION('',(-0.707109234974277,-0.707104327390303,0.)); #380790=DIRECTION('',(-0.707109234974277,-0.707104327390303,0.)); #380791=DIRECTION('',(0.,0.,1.)); #380792=DIRECTION('center_axis',(-0.56543933110129,0.824789890119736,0.)); #380793=DIRECTION('ref_axis',(-0.824789890119736,-0.56543933110129,0.)); #380794=DIRECTION('',(-0.824789890119736,-0.56543933110129,0.)); #380795=DIRECTION('',(-0.824789890119736,-0.56543933110129,0.)); #380796=DIRECTION('',(0.,0.,1.)); #380797=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #380798=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #380799=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #380800=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #380801=DIRECTION('',(0.,0.,1.)); #380802=DIRECTION('center_axis',(0.183334818573505,0.983050529880647,0.)); #380803=DIRECTION('ref_axis',(-0.983050529880647,0.183334818573505,0.)); #380804=DIRECTION('',(-0.983050529880647,0.183334818573505,0.)); #380805=DIRECTION('',(-0.983050529880647,0.183334818573505,0.)); #380806=DIRECTION('',(0.,0.,1.)); #380807=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #380808=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #380809=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #380810=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #380811=DIRECTION('',(0.,0.,1.)); #380812=DIRECTION('center_axis',(0.707104327390303,0.707109234974277,0.)); #380813=DIRECTION('ref_axis',(-0.707109234974277,0.707104327390303,0.)); #380814=DIRECTION('',(-0.707109234974277,0.707104327390303,0.)); #380815=DIRECTION('',(-0.707109234974277,0.707104327390303,0.)); #380816=DIRECTION('',(0.,0.,1.)); #380817=DIRECTION('center_axis',(0.,1.,0.)); #380818=DIRECTION('ref_axis',(-1.,0.,0.)); #380819=DIRECTION('',(-1.,0.,0.)); #380820=DIRECTION('',(-1.,0.,0.)); #380821=DIRECTION('',(0.,0.,1.)); #380822=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #380823=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #380824=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #380825=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #380826=DIRECTION('',(0.,0.,1.)); #380827=DIRECTION('center_axis',(-1.,0.,0.)); #380828=DIRECTION('ref_axis',(0.,-1.,0.)); #380829=DIRECTION('',(0.,-1.,0.)); #380830=DIRECTION('',(0.,-1.,0.)); #380831=DIRECTION('',(0.,0.,1.)); #380832=DIRECTION('center_axis',(-0.984479063846077,0.17550205938607,0.)); #380833=DIRECTION('ref_axis',(-0.17550205938607,-0.984479063846077,0.)); #380834=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #380835=DIRECTION('',(-0.17550205938607,-0.984479063846077,0.)); #380836=DIRECTION('',(0.,0.,1.)); #380837=DIRECTION('center_axis',(-0.850871695642199,0.525373540973438,0.)); #380838=DIRECTION('ref_axis',(-0.525373540973438,-0.850871695642199,0.)); #380839=DIRECTION('',(-0.525373540973438,-0.850871695642199,0.)); #380840=DIRECTION('',(-0.525373540973438,-0.850871695642199,0.)); #380841=DIRECTION('',(0.,0.,1.)); #380842=DIRECTION('center_axis',(-0.578483828224848,0.815693852178821,0.)); #380843=DIRECTION('ref_axis',(-0.815693852178821,-0.578483828224848,0.)); #380844=DIRECTION('',(-0.815693852178821,-0.578483828224848,0.)); #380845=DIRECTION('',(-0.815693852178821,-0.578483828224848,0.)); #380846=DIRECTION('',(0.,0.,1.)); #380847=DIRECTION('center_axis',(-0.21467431451644,0.976685690837588,0.)); #380848=DIRECTION('ref_axis',(-0.976685690837588,-0.21467431451644,0.)); #380849=DIRECTION('',(-0.976685690837588,-0.21467431451644,0.)); #380850=DIRECTION('',(-0.976685690837588,-0.21467431451644,0.)); #380851=DIRECTION('',(0.,0.,1.)); #380852=DIRECTION('center_axis',(0.183334818573646,0.98305052988062,0.)); #380853=DIRECTION('ref_axis',(-0.98305052988062,0.183334818573646,0.)); #380854=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #380855=DIRECTION('',(-0.98305052988062,0.183334818573646,0.)); #380856=DIRECTION('',(0.,0.,1.)); #380857=DIRECTION('center_axis',(0.552278225391859,0.833659859749778,0.)); #380858=DIRECTION('ref_axis',(-0.833659859749778,0.552278225391859,0.)); #380859=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #380860=DIRECTION('',(-0.833659859749778,0.552278225391859,0.)); #380861=DIRECTION('',(0.,0.,1.)); #380862=DIRECTION('center_axis',(0.707106781186553,0.707106781186542,0.)); #380863=DIRECTION('ref_axis',(-0.707106781186542,0.707106781186553,0.)); #380864=DIRECTION('',(-0.707106781186542,0.707106781186553,0.)); #380865=DIRECTION('',(-0.707106781186542,0.707106781186553,0.)); #380866=DIRECTION('',(0.,0.,1.)); #380867=DIRECTION('center_axis',(0.,1.,0.)); #380868=DIRECTION('ref_axis',(-1.,0.,0.)); #380869=DIRECTION('',(-1.,0.,0.)); #380870=DIRECTION('',(-1.,0.,0.)); #380871=DIRECTION('',(0.,0.,1.)); #380872=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #380873=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #380874=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #380875=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #380876=DIRECTION('',(0.,0.,1.)); #380877=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #380878=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #380879=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #380880=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #380881=DIRECTION('',(0.,0.,1.)); #380882=DIRECTION('center_axis',(0.707106781186546,0.707106781186549,0.)); #380883=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #380884=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #380885=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #380886=DIRECTION('',(0.,0.,1.)); #380887=DIRECTION('center_axis',(0.82920383380746,0.558946331948794,0.)); #380888=DIRECTION('ref_axis',(-0.558946331948794,0.82920383380746,0.)); #380889=DIRECTION('',(-0.558946331948794,0.82920383380746,0.)); #380890=DIRECTION('',(-0.558946331948794,0.82920383380746,0.)); #380891=DIRECTION('',(0.,0.,1.)); #380892=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #380893=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #380894=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #380895=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #380896=DIRECTION('',(0.,0.,1.)); #380897=DIRECTION('center_axis',(1.,0.,0.)); #380898=DIRECTION('ref_axis',(0.,1.,0.)); #380899=DIRECTION('',(0.,1.,0.)); #380900=DIRECTION('',(0.,1.,0.)); #380901=DIRECTION('',(0.,0.,1.)); #380902=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #380903=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #380904=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #380905=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #380906=DIRECTION('',(0.,0.,1.)); #380907=DIRECTION('center_axis',(0.833659859749778,-0.552278225391859,0.)); #380908=DIRECTION('ref_axis',(0.552278225391859,0.833659859749778,0.)); #380909=DIRECTION('',(0.552278225391859,0.833659859749778,0.)); #380910=DIRECTION('',(0.552278225391859,0.833659859749778,0.)); #380911=DIRECTION('',(0.,0.,1.)); #380912=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #380913=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #380914=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #380915=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #380916=DIRECTION('',(0.,0.,1.)); #380917=DIRECTION('center_axis',(1.,0.,0.)); #380918=DIRECTION('ref_axis',(0.,1.,0.)); #380919=DIRECTION('',(0.,1.,0.)); #380920=DIRECTION('',(0.,1.,0.)); #380921=DIRECTION('',(0.,0.,1.)); #380922=DIRECTION('center_axis',(0.984479063846082,-0.175502059386041,0.)); #380923=DIRECTION('ref_axis',(0.175502059386041,0.984479063846082,0.)); #380924=DIRECTION('',(0.175502059386041,0.984479063846082,0.)); #380925=DIRECTION('',(0.175502059386041,0.984479063846082,0.)); #380926=DIRECTION('',(0.,0.,1.)); #380927=DIRECTION('center_axis',(0.850871695642159,-0.525373540973504,0.)); #380928=DIRECTION('ref_axis',(0.525373540973504,0.850871695642159,0.)); #380929=DIRECTION('',(0.525373540973504,0.850871695642159,0.)); #380930=DIRECTION('',(0.525373540973504,0.850871695642159,0.)); #380931=DIRECTION('',(0.,0.,1.)); #380932=DIRECTION('center_axis',(0.578483828224751,-0.81569385217889,0.)); #380933=DIRECTION('ref_axis',(0.81569385217889,0.578483828224751,0.)); #380934=DIRECTION('',(0.81569385217889,0.578483828224751,0.)); #380935=DIRECTION('',(0.81569385217889,0.578483828224751,0.)); #380936=DIRECTION('center_axis',(0.,0.,1.)); #380937=DIRECTION('ref_axis',(1.,0.,0.)); #380938=DIRECTION('center_axis',(0.,0.,1.)); #380939=DIRECTION('ref_axis',(1.,0.,0.)); #380940=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #380941=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #380942=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #380943=DIRECTION('',(0.,0.,1.)); #380944=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #380945=DIRECTION('',(0.,0.,1.)); #380946=DIRECTION('center_axis',(0.,-1.,0.)); #380947=DIRECTION('ref_axis',(1.,0.,0.)); #380948=DIRECTION('',(1.,0.,0.)); #380949=DIRECTION('',(1.,0.,0.)); #380950=DIRECTION('',(0.,0.,1.)); #380951=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #380952=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #380953=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #380954=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #380955=DIRECTION('',(0.,0.,1.)); #380956=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #380957=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #380958=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #380959=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #380960=DIRECTION('',(0.,0.,1.)); #380961=DIRECTION('center_axis',(-0.824745549033899,-0.565504004715062, 0.)); #380962=DIRECTION('ref_axis',(0.565504004715062,-0.824745549033899,0.)); #380963=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #380964=DIRECTION('',(0.565504004715062,-0.824745549033899,0.)); #380965=DIRECTION('',(0.,0.,1.)); #380966=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #380967=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #380968=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #380969=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #380970=DIRECTION('',(0.,0.,1.)); #380971=DIRECTION('center_axis',(-1.,0.,0.)); #380972=DIRECTION('ref_axis',(0.,-1.,0.)); #380973=DIRECTION('',(0.,-1.,0.)); #380974=DIRECTION('',(0.,-1.,0.)); #380975=DIRECTION('',(0.,0.,1.)); #380976=DIRECTION('center_axis',(-0.983056036329416,0.183305290257262,0.)); #380977=DIRECTION('ref_axis',(-0.183305290257262,-0.983056036329416,0.)); #380978=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #380979=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #380980=DIRECTION('',(0.,0.,1.)); #380981=DIRECTION('center_axis',(-0.84236241072922,0.538911466746122,0.)); #380982=DIRECTION('ref_axis',(-0.538911466746122,-0.84236241072922,0.)); #380983=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #380984=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #380985=DIRECTION('',(0.,0.,1.)); #380986=DIRECTION('center_axis',(-0.565504004715131,0.824745549033852,0.)); #380987=DIRECTION('ref_axis',(-0.824745549033852,-0.565504004715131,0.)); #380988=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #380989=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #380990=DIRECTION('',(0.,0.,1.)); #380991=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #380992=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #380993=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #380994=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #380995=DIRECTION('',(0.,0.,1.)); #380996=DIRECTION('center_axis',(0.,1.,0.)); #380997=DIRECTION('ref_axis',(-1.,0.,0.)); #380998=DIRECTION('',(-1.,0.,0.)); #380999=DIRECTION('',(-1.,0.,0.)); #381000=DIRECTION('',(0.,0.,1.)); #381001=DIRECTION('center_axis',(0.191149856928798,0.98156086525294,0.)); #381002=DIRECTION('ref_axis',(-0.98156086525294,0.191149856928798,0.)); #381003=DIRECTION('',(-0.98156086525294,0.191149856928798,0.)); #381004=DIRECTION('',(-0.98156086525294,0.191149856928798,0.)); #381005=DIRECTION('',(0.,0.,1.)); #381006=DIRECTION('center_axis',(0.55226304508323,0.833669916115124,0.)); #381007=DIRECTION('ref_axis',(-0.833669916115124,0.55226304508323,0.)); #381008=DIRECTION('',(-0.833669916115124,0.55226304508323,0.)); #381009=DIRECTION('',(-0.833669916115124,0.55226304508323,0.)); #381010=DIRECTION('',(0.,0.,1.)); #381011=DIRECTION('center_axis',(0.707106781186536,0.707106781186559,0.)); #381012=DIRECTION('ref_axis',(-0.707106781186559,0.707106781186536,0.)); #381013=DIRECTION('',(-0.707106781186559,0.707106781186536,0.)); #381014=DIRECTION('',(-0.707106781186559,0.707106781186536,0.)); #381015=DIRECTION('',(0.,0.,1.)); #381016=DIRECTION('center_axis',(0.820212632122627,0.572058771549281,0.)); #381017=DIRECTION('ref_axis',(-0.572058771549281,0.820212632122627,0.)); #381018=DIRECTION('',(-0.572058771549281,0.820212632122627,0.)); #381019=DIRECTION('',(-0.572058771549281,0.820212632122627,0.)); #381020=DIRECTION('',(0.,0.,1.)); #381021=DIRECTION('center_axis',(0.973151456886398,0.230165683714756,0.)); #381022=DIRECTION('ref_axis',(-0.230165683714756,0.973151456886398,0.)); #381023=DIRECTION('',(-0.230165683714756,0.973151456886398,0.)); #381024=DIRECTION('',(-0.230165683714756,0.973151456886398,0.)); #381025=DIRECTION('',(0.,0.,1.)); #381026=DIRECTION('center_axis',(0.985847618607365,-0.167643887112495,0.)); #381027=DIRECTION('ref_axis',(0.167643887112495,0.985847618607365,0.)); #381028=DIRECTION('',(0.167643887112495,0.985847618607365,0.)); #381029=DIRECTION('',(0.167643887112495,0.985847618607365,0.)); #381030=DIRECTION('',(0.,0.,1.)); #381031=DIRECTION('center_axis',(0.842362410729329,-0.538911466745951,0.)); #381032=DIRECTION('ref_axis',(0.538911466745951,0.842362410729329,0.)); #381033=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #381034=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #381035=DIRECTION('',(0.,0.,1.)); #381036=DIRECTION('center_axis',(0.565488626065756,-0.824756093515085,0.)); #381037=DIRECTION('ref_axis',(0.824756093515085,0.565488626065756,0.)); #381038=DIRECTION('',(0.824756093515085,0.565488626065756,0.)); #381039=DIRECTION('',(0.824756093515085,0.565488626065756,0.)); #381040=DIRECTION('center_axis',(0.,0.,1.)); #381041=DIRECTION('ref_axis',(1.,0.,0.)); #381042=DIRECTION('center_axis',(0.,0.,1.)); #381043=DIRECTION('ref_axis',(1.,0.,0.)); #381044=DIRECTION('center_axis',(0.,0.,1.)); #381045=DIRECTION('ref_axis',(1.,0.,0.)); #381046=DIRECTION('center_axis',(0.,0.,1.)); #381047=DIRECTION('ref_axis',(1.,0.,0.)); #381048=DIRECTION('',(0.,0.,1.)); #381049=DIRECTION('center_axis',(0.,0.,-1.)); #381050=DIRECTION('ref_axis',(1.,0.,0.)); #381051=DIRECTION('center_axis',(0.,0.,1.)); #381052=DIRECTION('ref_axis',(1.,0.,0.)); #381053=DIRECTION('center_axis',(0.,0.,1.)); #381054=DIRECTION('ref_axis',(1.,0.,0.)); #381055=DIRECTION('',(0.,0.,1.)); #381056=DIRECTION('center_axis',(0.,0.,-1.)); #381057=DIRECTION('ref_axis',(1.,0.,0.)); #381058=DIRECTION('center_axis',(0.,0.,1.)); #381059=DIRECTION('ref_axis',(1.,0.,0.)); #381060=DIRECTION('center_axis',(0.,0.,1.)); #381061=DIRECTION('ref_axis',(1.,0.,0.)); #381062=DIRECTION('',(0.,0.,1.)); #381063=DIRECTION('center_axis',(0.,0.,-1.)); #381064=DIRECTION('ref_axis',(1.,0.,0.)); #381065=DIRECTION('center_axis',(0.,0.,1.)); #381066=DIRECTION('ref_axis',(1.,0.,0.)); #381067=DIRECTION('center_axis',(0.,0.,1.)); #381068=DIRECTION('ref_axis',(1.,0.,0.)); #381069=DIRECTION('',(0.,0.,1.)); #381070=DIRECTION('center_axis',(0.,0.,-1.)); #381071=DIRECTION('ref_axis',(1.,0.,0.)); #381072=DIRECTION('center_axis',(0.,0.,1.)); #381073=DIRECTION('ref_axis',(1.,0.,0.)); #381074=DIRECTION('center_axis',(0.,0.,1.)); #381075=DIRECTION('ref_axis',(1.,0.,0.)); #381076=DIRECTION('',(0.,0.,1.)); #381077=DIRECTION('center_axis',(0.,0.,-1.)); #381078=DIRECTION('ref_axis',(1.,0.,0.)); #381079=DIRECTION('center_axis',(0.,0.,1.)); #381080=DIRECTION('ref_axis',(1.,0.,0.)); #381081=DIRECTION('center_axis',(0.,0.,1.)); #381082=DIRECTION('ref_axis',(1.,0.,0.)); #381083=DIRECTION('',(0.,0.,1.)); #381084=DIRECTION('center_axis',(0.,0.,-1.)); #381085=DIRECTION('ref_axis',(1.,0.,0.)); #381086=DIRECTION('center_axis',(0.,0.,1.)); #381087=DIRECTION('ref_axis',(1.,0.,0.)); #381088=DIRECTION('center_axis',(0.,0.,1.)); #381089=DIRECTION('ref_axis',(1.,0.,0.)); #381090=DIRECTION('',(0.,0.,1.)); #381091=DIRECTION('center_axis',(0.,0.,-1.)); #381092=DIRECTION('ref_axis',(1.,0.,0.)); #381093=DIRECTION('center_axis',(0.,0.,1.)); #381094=DIRECTION('ref_axis',(1.,0.,0.)); #381095=DIRECTION('center_axis',(0.,0.,1.)); #381096=DIRECTION('ref_axis',(1.,0.,0.)); #381097=DIRECTION('',(0.,0.,1.)); #381098=DIRECTION('center_axis',(0.,0.,-1.)); #381099=DIRECTION('ref_axis',(1.,0.,0.)); #381100=DIRECTION('center_axis',(0.,0.,1.)); #381101=DIRECTION('ref_axis',(1.,0.,0.)); #381102=DIRECTION('center_axis',(0.,0.,1.)); #381103=DIRECTION('ref_axis',(1.,0.,0.)); #381104=DIRECTION('',(0.,0.,1.)); #381105=DIRECTION('center_axis',(0.,0.,-1.)); #381106=DIRECTION('ref_axis',(1.,0.,0.)); #381107=DIRECTION('center_axis',(0.,0.,1.)); #381108=DIRECTION('ref_axis',(1.,0.,0.)); #381109=DIRECTION('center_axis',(0.,0.,1.)); #381110=DIRECTION('ref_axis',(1.,0.,0.)); #381111=DIRECTION('',(0.,0.,1.)); #381112=DIRECTION('center_axis',(0.,0.,-1.)); #381113=DIRECTION('ref_axis',(1.,0.,0.)); #381114=DIRECTION('center_axis',(0.,0.,1.)); #381115=DIRECTION('ref_axis',(1.,0.,0.)); #381116=DIRECTION('center_axis',(0.,0.,1.)); #381117=DIRECTION('ref_axis',(1.,0.,0.)); #381118=DIRECTION('',(0.,0.,1.)); #381119=DIRECTION('center_axis',(0.,0.,-1.)); #381120=DIRECTION('ref_axis',(1.,0.,0.)); #381121=DIRECTION('center_axis',(0.,0.,1.)); #381122=DIRECTION('ref_axis',(1.,0.,0.)); #381123=DIRECTION('center_axis',(0.,0.,1.)); #381124=DIRECTION('ref_axis',(1.,0.,0.)); #381125=DIRECTION('',(0.,0.,1.)); #381126=DIRECTION('center_axis',(0.,0.,-1.)); #381127=DIRECTION('ref_axis',(1.,0.,0.)); #381128=DIRECTION('center_axis',(0.,0.,1.)); #381129=DIRECTION('ref_axis',(1.,0.,0.)); #381130=DIRECTION('center_axis',(0.,0.,1.)); #381131=DIRECTION('ref_axis',(1.,0.,0.)); #381132=DIRECTION('',(0.,0.,1.)); #381133=DIRECTION('center_axis',(0.,0.,-1.)); #381134=DIRECTION('ref_axis',(1.,0.,0.)); #381135=DIRECTION('center_axis',(0.,0.,1.)); #381136=DIRECTION('ref_axis',(1.,0.,0.)); #381137=DIRECTION('center_axis',(0.,0.,1.)); #381138=DIRECTION('ref_axis',(1.,0.,0.)); #381139=DIRECTION('',(0.,0.,1.)); #381140=DIRECTION('center_axis',(0.,0.,-1.)); #381141=DIRECTION('ref_axis',(1.,0.,0.)); #381142=DIRECTION('center_axis',(0.,0.,1.)); #381143=DIRECTION('ref_axis',(1.,0.,0.)); #381144=DIRECTION('center_axis',(0.,0.,1.)); #381145=DIRECTION('ref_axis',(1.,0.,0.)); #381146=DIRECTION('',(0.,0.,1.)); #381147=DIRECTION('center_axis',(0.,0.,-1.)); #381148=DIRECTION('ref_axis',(1.,0.,0.)); #381149=DIRECTION('center_axis',(0.23011981702834,-0.973162303940532,0.)); #381150=DIRECTION('ref_axis',(0.973162303940531,0.23011981702834,0.)); #381151=DIRECTION('',(0.973162303940531,0.23011981702834,0.)); #381152=DIRECTION('',(0.,0.,1.)); #381153=DIRECTION('',(0.973162303940531,0.23011981702834,0.)); #381154=DIRECTION('',(0.,0.,1.)); #381155=DIRECTION('center_axis',(-0.167619338301134,-0.985851792830692, 0.)); #381156=DIRECTION('ref_axis',(0.985851792830692,-0.167619338301134,0.)); #381157=DIRECTION('',(0.985851792830692,-0.167619338301134,0.)); #381158=DIRECTION('',(0.985851792830692,-0.167619338301134,0.)); #381159=DIRECTION('',(0.,0.,1.)); #381160=DIRECTION('center_axis',(-0.538928498327708,-0.842351514327743, 0.)); #381161=DIRECTION('ref_axis',(0.842351514327743,-0.538928498327708,0.)); #381162=DIRECTION('',(0.842351514327743,-0.538928498327708,0.)); #381163=DIRECTION('',(0.842351514327743,-0.538928498327708,0.)); #381164=DIRECTION('',(0.,0.,1.)); #381165=DIRECTION('center_axis',(-0.824759961060292,-0.565482985271728, 0.)); #381166=DIRECTION('ref_axis',(0.565482985271728,-0.824759961060293,0.)); #381167=DIRECTION('',(0.565482985271728,-0.824759961060293,0.)); #381168=DIRECTION('',(0.565482985271728,-0.824759961060293,0.)); #381169=DIRECTION('',(0.,0.,1.)); #381170=DIRECTION('center_axis',(-0.979998112340228,-0.199006783325569, 0.)); #381171=DIRECTION('ref_axis',(0.199006783325569,-0.979998112340228,0.)); #381172=DIRECTION('',(0.199006783325569,-0.979998112340228,0.)); #381173=DIRECTION('',(0.199006783325569,-0.979998112340228,0.)); #381174=DIRECTION('',(0.,0.,1.)); #381175=DIRECTION('center_axis',(-1.,0.,0.)); #381176=DIRECTION('ref_axis',(0.,-1.,0.)); #381177=DIRECTION('',(0.,-1.,0.)); #381178=DIRECTION('',(0.,-1.,0.)); #381179=DIRECTION('',(0.,0.,1.)); #381180=DIRECTION('center_axis',(-0.981552851945467,0.191191000932891,0.)); #381181=DIRECTION('ref_axis',(-0.191191000932891,-0.981552851945467,0.)); #381182=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #381183=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #381184=DIRECTION('',(0.,0.,1.)); #381185=DIRECTION('center_axis',(-0.833693256958937,0.552227809242888,0.)); #381186=DIRECTION('ref_axis',(-0.552227809242888,-0.833693256958937,0.)); #381187=DIRECTION('',(-0.552227809242888,-0.833693256958937,0.)); #381188=DIRECTION('',(-0.552227809242888,-0.833693256958937,0.)); #381189=DIRECTION('',(0.,0.,1.)); #381190=DIRECTION('center_axis',(-0.707106781186552,0.707106781186543,0.)); #381191=DIRECTION('ref_axis',(-0.707106781186543,-0.707106781186552,0.)); #381192=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #381193=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #381194=DIRECTION('',(0.,0.,1.)); #381195=DIRECTION('center_axis',(-0.558865776601855,0.829258128536106,0.)); #381196=DIRECTION('ref_axis',(-0.829258128536106,-0.558865776601855,0.)); #381197=DIRECTION('',(-0.829258128536106,-0.558865776601855,0.)); #381198=DIRECTION('',(-0.829258128536106,-0.558865776601855,0.)); #381199=DIRECTION('',(0.,0.,1.)); #381200=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #381201=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #381202=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #381203=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #381204=DIRECTION('',(0.,0.,1.)); #381205=DIRECTION('center_axis',(0.,1.,0.)); #381206=DIRECTION('ref_axis',(-1.,0.,0.)); #381207=DIRECTION('',(-1.,0.,0.)); #381208=DIRECTION('',(-1.,0.,0.)); #381209=DIRECTION('',(0.,0.,1.)); #381210=DIRECTION('center_axis',(0.191191000932891,0.981552851945467,0.)); #381211=DIRECTION('ref_axis',(-0.981552851945467,0.191191000932891,0.)); #381212=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #381213=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #381214=DIRECTION('',(0.,0.,1.)); #381215=DIRECTION('center_axis',(0.552218701081209,0.833699290017799,0.)); #381216=DIRECTION('ref_axis',(-0.833699290017799,0.552218701081209,0.)); #381217=DIRECTION('',(-0.833699290017799,0.552218701081209,0.)); #381218=DIRECTION('',(-0.833699290017799,0.552218701081209,0.)); #381219=DIRECTION('',(0.,0.,1.)); #381220=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #381221=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #381222=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #381223=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #381224=DIRECTION('',(0.,0.,1.)); #381225=DIRECTION('center_axis',(0.,1.,0.)); #381226=DIRECTION('ref_axis',(-1.,0.,0.)); #381227=DIRECTION('',(-1.,0.,0.)); #381228=DIRECTION('',(-1.,0.,0.)); #381229=DIRECTION('',(0.,0.,1.)); #381230=DIRECTION('center_axis',(-0.707089434194021,0.707124127753522,0.)); #381231=DIRECTION('ref_axis',(-0.707124127753522,-0.707089434194021,0.)); #381232=DIRECTION('',(-0.707124127753522,-0.707089434194021,0.)); #381233=DIRECTION('',(-0.707124127753522,-0.707089434194021,0.)); #381234=DIRECTION('',(0.,0.,1.)); #381235=DIRECTION('center_axis',(-0.56546033767927,0.824775488549245,0.)); #381236=DIRECTION('ref_axis',(-0.824775488549245,-0.56546033767927,0.)); #381237=DIRECTION('',(-0.824775488549245,-0.56546033767927,0.)); #381238=DIRECTION('',(-0.824775488549245,-0.56546033767927,0.)); #381239=DIRECTION('',(0.,0.,1.)); #381240=DIRECTION('center_axis',(-0.214606632624321,0.976700564775945,0.)); #381241=DIRECTION('ref_axis',(-0.976700564775945,-0.214606632624321,0.)); #381242=DIRECTION('',(-0.976700564775945,-0.214606632624321,0.)); #381243=DIRECTION('',(-0.976700564775945,-0.214606632624321,0.)); #381244=DIRECTION('',(0.,0.,1.)); #381245=DIRECTION('center_axis',(0.183373485867123,0.983043317804938,0.)); #381246=DIRECTION('ref_axis',(-0.983043317804938,0.183373485867123,0.)); #381247=DIRECTION('',(-0.983043317804938,0.183373485867123,0.)); #381248=DIRECTION('',(-0.983043317804938,0.183373485867123,0.)); #381249=DIRECTION('',(0.,0.,1.)); #381250=DIRECTION('center_axis',(0.552246026269759,0.83368118994569,0.)); #381251=DIRECTION('ref_axis',(-0.83368118994569,0.552246026269759,0.)); #381252=DIRECTION('',(-0.83368118994569,0.552246026269759,0.)); #381253=DIRECTION('',(-0.83368118994569,0.552246026269759,0.)); #381254=DIRECTION('',(0.,0.,1.)); #381255=DIRECTION('center_axis',(0.707107593465437,0.707105968906725,0.)); #381256=DIRECTION('ref_axis',(-0.707105968906725,0.707107593465437,0.)); #381257=DIRECTION('',(-0.707105968906725,0.707107593465437,0.)); #381258=DIRECTION('',(-0.707105968906725,0.707107593465437,0.)); #381259=DIRECTION('',(0.,0.,1.)); #381260=DIRECTION('center_axis',(0.829264560351648,0.558856232804814,0.)); #381261=DIRECTION('ref_axis',(-0.558856232804814,0.829264560351648,0.)); #381262=DIRECTION('',(-0.558856232804814,0.829264560351648,0.)); #381263=DIRECTION('',(-0.558856232804814,0.829264560351648,0.)); #381264=DIRECTION('',(0.,0.,1.)); #381265=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #381266=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #381267=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #381268=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #381269=DIRECTION('',(0.,0.,1.)); #381270=DIRECTION('center_axis',(1.,0.,0.)); #381271=DIRECTION('ref_axis',(0.,1.,0.)); #381272=DIRECTION('',(0.,1.,0.)); #381273=DIRECTION('',(0.,1.,0.)); #381274=DIRECTION('',(0.,0.,1.)); #381275=DIRECTION('center_axis',(0.969317265597633,-0.245813015551107,0.)); #381276=DIRECTION('ref_axis',(0.245813015551107,0.969317265597633,0.)); #381277=DIRECTION('',(0.245813015551107,0.969317265597633,0.)); #381278=DIRECTION('',(0.245813015551107,0.969317265597633,0.)); #381279=DIRECTION('',(0.,0.,1.)); #381280=DIRECTION('center_axis',(0.766764693244331,-0.641928271066112,0.)); #381281=DIRECTION('ref_axis',(0.641928271066112,0.766764693244331,0.)); #381282=DIRECTION('',(0.641928271066112,0.766764693244331,0.)); #381283=DIRECTION('',(0.641928271066112,0.766764693244331,0.)); #381284=DIRECTION('',(0.,0.,1.)); #381285=DIRECTION('center_axis',(0.623671669116095,-0.781686413558496,0.)); #381286=DIRECTION('ref_axis',(0.781686413558496,0.623671669116095,0.)); #381287=DIRECTION('',(0.781686413558496,0.623671669116095,0.)); #381288=DIRECTION('',(0.781686413558496,0.623671669116095,0.)); #381289=DIRECTION('',(0.,0.,1.)); #381290=DIRECTION('center_axis',(0.707106456213335,-0.707107106159611,0.)); #381291=DIRECTION('ref_axis',(0.707107106159611,0.707106456213335,0.)); #381292=DIRECTION('',(0.707107106159611,0.707106456213335,0.)); #381293=DIRECTION('',(0.707107106159611,0.707106456213335,0.)); #381294=DIRECTION('',(0.,0.,1.)); #381295=DIRECTION('center_axis',(0.565490380840369,-0.824754890362594,0.)); #381296=DIRECTION('ref_axis',(0.824754890362594,0.565490380840369,0.)); #381297=DIRECTION('',(0.824754890362594,0.565490380840369,0.)); #381298=DIRECTION('',(0.824754890362594,0.565490380840369,0.)); #381299=DIRECTION('',(0.,0.,1.)); #381300=DIRECTION('center_axis',(0.214594192212104,-0.97670329817649,0.)); #381301=DIRECTION('ref_axis',(0.97670329817649,0.214594192212104,0.)); #381302=DIRECTION('',(0.97670329817649,0.214594192212104,0.)); #381303=DIRECTION('',(0.97670329817649,0.214594192212104,0.)); #381304=DIRECTION('',(0.,0.,1.)); #381305=DIRECTION('center_axis',(-0.183384185256736,-0.983041321917712, 0.)); #381306=DIRECTION('ref_axis',(0.983041321917712,-0.183384185256736,0.)); #381307=DIRECTION('',(0.983041321917712,-0.183384185256736,0.)); #381308=DIRECTION('',(0.983041321917712,-0.183384185256736,0.)); #381309=DIRECTION('',(0.,0.,1.)); #381310=DIRECTION('center_axis',(-0.55221870108125,-0.833699290017772,0.)); #381311=DIRECTION('ref_axis',(0.833699290017772,-0.55221870108125,0.)); #381312=DIRECTION('',(0.833699290017772,-0.55221870108125,0.)); #381313=DIRECTION('',(0.833699290017772,-0.55221870108125,0.)); #381314=DIRECTION('',(0.,0.,1.)); #381315=DIRECTION('center_axis',(-0.707099658048594,-0.707113904252746, 0.)); #381316=DIRECTION('ref_axis',(0.707113904252746,-0.707099658048594,0.)); #381317=DIRECTION('',(0.707113904252746,-0.707099658048594,0.)); #381318=DIRECTION('',(0.707113904252746,-0.707099658048594,0.)); #381319=DIRECTION('',(0.,0.,1.)); #381320=DIRECTION('center_axis',(0.,-1.,0.)); #381321=DIRECTION('ref_axis',(1.,0.,0.)); #381322=DIRECTION('',(1.,0.,0.)); #381323=DIRECTION('',(1.,0.,0.)); #381324=DIRECTION('',(0.,0.,1.)); #381325=DIRECTION('center_axis',(0.707106781186528,-0.707106781186567,0.)); #381326=DIRECTION('ref_axis',(0.707106781186567,0.707106781186528,0.)); #381327=DIRECTION('',(0.707106781186567,0.707106781186528,0.)); #381328=DIRECTION('',(0.707106781186567,0.707106781186528,0.)); #381329=DIRECTION('',(0.,0.,1.)); #381330=DIRECTION('center_axis',(0.55885623280494,-0.829264560351563,0.)); #381331=DIRECTION('ref_axis',(0.829264560351563,0.55885623280494,0.)); #381332=DIRECTION('',(0.829264560351563,0.55885623280494,0.)); #381333=DIRECTION('',(0.829264560351563,0.55885623280494,0.)); #381334=DIRECTION('',(0.,0.,1.)); #381335=DIRECTION('center_axis',(0.199006783325569,-0.979998112340228,0.)); #381336=DIRECTION('ref_axis',(0.979998112340228,0.199006783325569,0.)); #381337=DIRECTION('',(0.979998112340228,0.199006783325569,0.)); #381338=DIRECTION('',(0.979998112340228,0.199006783325569,0.)); #381339=DIRECTION('',(0.,0.,1.)); #381340=DIRECTION('center_axis',(0.,-1.,0.)); #381341=DIRECTION('ref_axis',(1.,0.,0.)); #381342=DIRECTION('',(1.,0.,0.)); #381343=DIRECTION('',(1.,0.,0.)); #381344=DIRECTION('',(0.,0.,1.)); #381345=DIRECTION('center_axis',(0.707105725517313,-0.707107836854206,0.)); #381346=DIRECTION('ref_axis',(0.707107836854206,0.707105725517313,0.)); #381347=DIRECTION('',(0.707107836854206,0.707105725517313,0.)); #381348=DIRECTION('',(0.707107836854206,0.707105725517313,0.)); #381349=DIRECTION('',(0.,0.,1.)); #381350=DIRECTION('center_axis',(0.572023686171414,-0.820237101366957,0.)); #381351=DIRECTION('ref_axis',(0.820237101366957,0.572023686171414,0.)); #381352=DIRECTION('',(0.820237101366957,0.572023686171414,0.)); #381353=DIRECTION('',(0.820237101366957,0.572023686171414,0.)); #381354=DIRECTION('center_axis',(0.,0.,1.)); #381355=DIRECTION('ref_axis',(1.,0.,0.)); #381356=DIRECTION('center_axis',(0.,0.,1.)); #381357=DIRECTION('ref_axis',(1.,0.,0.)); #381358=DIRECTION('center_axis',(0.,0.,1.)); #381359=DIRECTION('ref_axis',(1.,0.,0.)); #381360=DIRECTION('center_axis',(0.,0.,1.)); #381361=DIRECTION('ref_axis',(1.,0.,0.)); #381362=DIRECTION('',(0.,0.,1.)); #381363=DIRECTION('center_axis',(0.,0.,-1.)); #381364=DIRECTION('ref_axis',(1.,0.,0.)); #381365=DIRECTION('center_axis',(1.,0.,0.)); #381366=DIRECTION('ref_axis',(0.,1.,0.)); #381367=DIRECTION('',(0.,1.,0.)); #381368=DIRECTION('',(0.,0.,1.)); #381369=DIRECTION('',(0.,1.,0.)); #381370=DIRECTION('',(0.,0.,1.)); #381371=DIRECTION('center_axis',(0.,-1.,0.)); #381372=DIRECTION('ref_axis',(1.,0.,0.)); #381373=DIRECTION('',(1.,0.,0.)); #381374=DIRECTION('',(1.,0.,0.)); #381375=DIRECTION('',(0.,0.,1.)); #381376=DIRECTION('center_axis',(-1.,0.,0.)); #381377=DIRECTION('ref_axis',(0.,-1.,0.)); #381378=DIRECTION('',(0.,-1.,0.)); #381379=DIRECTION('',(0.,-1.,0.)); #381380=DIRECTION('',(0.,0.,1.)); #381381=DIRECTION('center_axis',(0.,1.,0.)); #381382=DIRECTION('ref_axis',(-1.,0.,0.)); #381383=DIRECTION('',(-1.,0.,0.)); #381384=DIRECTION('',(-1.,0.,0.)); #381385=DIRECTION('',(0.,0.,1.)); #381386=DIRECTION('center_axis',(-1.,0.,0.)); #381387=DIRECTION('ref_axis',(0.,-1.,0.)); #381388=DIRECTION('',(0.,-1.,0.)); #381389=DIRECTION('',(0.,-1.,0.)); #381390=DIRECTION('',(0.,0.,1.)); #381391=DIRECTION('center_axis',(0.,0.,1.)); #381392=DIRECTION('ref_axis',(-0.707110948194325,0.707102614154214,0.)); #381393=DIRECTION('center_axis',(0.,0.,1.)); #381394=DIRECTION('ref_axis',(-0.707110948194325,0.707102614154214,0.)); #381395=DIRECTION('center_axis',(0.,0.,1.)); #381396=DIRECTION('ref_axis',(-0.707110948194325,0.707102614154214,0.)); #381397=DIRECTION('',(0.,0.,1.)); #381398=DIRECTION('center_axis',(-0.707106781186546,0.707106781186549,0.)); #381399=DIRECTION('ref_axis',(-0.707106781186549,-0.707106781186546,0.)); #381400=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #381401=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #381402=DIRECTION('',(0.,0.,1.)); #381403=DIRECTION('center_axis',(-1.,0.,0.)); #381404=DIRECTION('ref_axis',(0.,-1.,0.)); #381405=DIRECTION('',(0.,-1.,0.)); #381406=DIRECTION('',(0.,-1.,0.)); #381407=DIRECTION('',(0.,0.,1.)); #381408=DIRECTION('center_axis',(0.,0.,1.)); #381409=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #381410=DIRECTION('center_axis',(0.,0.,1.)); #381411=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #381412=DIRECTION('center_axis',(0.,0.,1.)); #381413=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #381414=DIRECTION('',(0.,0.,1.)); #381415=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,0.)); #381416=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); #381417=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #381418=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #381419=DIRECTION('',(0.,0.,1.)); #381420=DIRECTION('center_axis',(-1.,0.,0.)); #381421=DIRECTION('ref_axis',(0.,-1.,0.)); #381422=DIRECTION('',(0.,-1.,0.)); #381423=DIRECTION('',(0.,-1.,0.)); #381424=DIRECTION('',(0.,0.,1.)); #381425=DIRECTION('center_axis',(-0.707106781186538,-0.707106781186557, 0.)); #381426=DIRECTION('ref_axis',(0.707106781186557,-0.707106781186538,0.)); #381427=DIRECTION('',(0.707106781186557,-0.707106781186538,0.)); #381428=DIRECTION('',(0.707106781186557,-0.707106781186538,0.)); #381429=DIRECTION('',(0.,0.,1.)); #381430=DIRECTION('center_axis',(0.,-1.,0.)); #381431=DIRECTION('ref_axis',(1.,0.,0.)); #381432=DIRECTION('',(1.,0.,0.)); #381433=DIRECTION('',(1.,0.,0.)); #381434=DIRECTION('',(0.,0.,1.)); #381435=DIRECTION('center_axis',(-1.,0.,0.)); #381436=DIRECTION('ref_axis',(0.,-1.,0.)); #381437=DIRECTION('',(0.,-1.,0.)); #381438=DIRECTION('',(0.,-1.,0.)); #381439=DIRECTION('',(0.,0.,1.)); #381440=DIRECTION('center_axis',(0.,1.,0.)); #381441=DIRECTION('ref_axis',(-1.,0.,0.)); #381442=DIRECTION('',(-1.,0.,0.)); #381443=DIRECTION('',(-1.,0.,0.)); #381444=DIRECTION('',(0.,0.,1.)); #381445=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #381446=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #381447=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #381448=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #381449=DIRECTION('',(0.,0.,1.)); #381450=DIRECTION('center_axis',(-1.,0.,0.)); #381451=DIRECTION('ref_axis',(0.,-1.,0.)); #381452=DIRECTION('',(0.,-1.,0.)); #381453=DIRECTION('',(0.,-1.,0.)); #381454=DIRECTION('',(0.,0.,1.)); #381455=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #381456=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #381457=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #381458=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #381459=DIRECTION('',(0.,0.,1.)); #381460=DIRECTION('center_axis',(0.,-1.,0.)); #381461=DIRECTION('ref_axis',(1.,0.,0.)); #381462=DIRECTION('',(1.,0.,0.)); #381463=DIRECTION('',(1.,0.,0.)); #381464=DIRECTION('',(0.,0.,1.)); #381465=DIRECTION('center_axis',(1.,0.,0.)); #381466=DIRECTION('ref_axis',(0.,1.,0.)); #381467=DIRECTION('',(0.,1.,0.)); #381468=DIRECTION('',(0.,1.,0.)); #381469=DIRECTION('',(0.,0.,1.)); #381470=DIRECTION('center_axis',(0.,-1.,0.)); #381471=DIRECTION('ref_axis',(1.,0.,0.)); #381472=DIRECTION('',(1.,0.,0.)); #381473=DIRECTION('',(1.,0.,0.)); #381474=DIRECTION('',(0.,0.,1.)); #381475=DIRECTION('center_axis',(-1.,0.,0.)); #381476=DIRECTION('ref_axis',(0.,-1.,0.)); #381477=DIRECTION('',(0.,-1.,0.)); #381478=DIRECTION('',(0.,-1.,0.)); #381479=DIRECTION('',(0.,0.,1.)); #381480=DIRECTION('center_axis',(0.,1.,0.)); #381481=DIRECTION('ref_axis',(-1.,0.,0.)); #381482=DIRECTION('',(-1.,0.,0.)); #381483=DIRECTION('',(-1.,0.,0.)); #381484=DIRECTION('',(0.,0.,1.)); #381485=DIRECTION('center_axis',(1.,0.,0.)); #381486=DIRECTION('ref_axis',(0.,1.,0.)); #381487=DIRECTION('',(0.,1.,0.)); #381488=DIRECTION('',(0.,1.,0.)); #381489=DIRECTION('',(0.,0.,1.)); #381490=DIRECTION('center_axis',(0.,1.,0.)); #381491=DIRECTION('ref_axis',(-1.,0.,0.)); #381492=DIRECTION('',(-1.,0.,0.)); #381493=DIRECTION('',(-1.,0.,0.)); #381494=DIRECTION('',(0.,0.,1.)); #381495=DIRECTION('center_axis',(0.,0.,1.)); #381496=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #381497=DIRECTION('center_axis',(0.,0.,1.)); #381498=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #381499=DIRECTION('center_axis',(0.,0.,1.)); #381500=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #381501=DIRECTION('',(0.,0.,1.)); #381502=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #381503=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #381504=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #381505=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #381506=DIRECTION('',(0.,0.,1.)); #381507=DIRECTION('center_axis',(0.,0.,1.)); #381508=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192551E-6,0.)); #381509=DIRECTION('center_axis',(0.,0.,1.)); #381510=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192551E-6,0.)); #381511=DIRECTION('center_axis',(0.,0.,1.)); #381512=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192551E-6,0.)); #381513=DIRECTION('',(0.,0.,1.)); #381514=DIRECTION('center_axis',(1.,0.,0.)); #381515=DIRECTION('ref_axis',(0.,1.,0.)); #381516=DIRECTION('',(0.,1.,0.)); #381517=DIRECTION('',(0.,1.,0.)); #381518=DIRECTION('',(0.,0.,1.)); #381519=DIRECTION('center_axis',(0.,0.,1.)); #381520=DIRECTION('ref_axis',(0.407010715057731,-0.913423383666192,0.)); #381521=DIRECTION('center_axis',(0.,0.,1.)); #381522=DIRECTION('ref_axis',(0.407010715057731,-0.913423383666192,0.)); #381523=DIRECTION('center_axis',(0.,0.,1.)); #381524=DIRECTION('ref_axis',(0.407010715057731,-0.913423383666192,0.)); #381525=DIRECTION('',(0.,0.,1.)); #381526=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #381527=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #381528=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #381529=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #381530=DIRECTION('',(0.,0.,1.)); #381531=DIRECTION('center_axis',(1.,0.,0.)); #381532=DIRECTION('ref_axis',(0.,1.,0.)); #381533=DIRECTION('',(0.,1.,0.)); #381534=DIRECTION('',(0.,1.,0.)); #381535=DIRECTION('',(0.,0.,1.)); #381536=DIRECTION('center_axis',(0.707106781186541,0.707106781186554,0.)); #381537=DIRECTION('ref_axis',(-0.707106781186554,0.707106781186541,0.)); #381538=DIRECTION('',(-0.707106781186554,0.707106781186541,0.)); #381539=DIRECTION('',(-0.707106781186554,0.707106781186541,0.)); #381540=DIRECTION('',(0.,0.,1.)); #381541=DIRECTION('center_axis',(0.,0.,1.)); #381542=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #381543=DIRECTION('center_axis',(0.,0.,1.)); #381544=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #381545=DIRECTION('center_axis',(0.,0.,1.)); #381546=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #381547=DIRECTION('',(0.,0.,1.)); #381548=DIRECTION('center_axis',(1.,0.,0.)); #381549=DIRECTION('ref_axis',(0.,1.,0.)); #381550=DIRECTION('',(0.,1.,0.)); #381551=DIRECTION('',(0.,1.,0.)); #381552=DIRECTION('',(0.,0.,1.)); #381553=DIRECTION('center_axis',(0.,0.,1.)); #381554=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #381555=DIRECTION('center_axis',(0.,0.,1.)); #381556=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #381557=DIRECTION('center_axis',(0.,0.,1.)); #381558=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #381559=DIRECTION('',(0.,0.,1.)); #381560=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #381561=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #381562=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #381563=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #381564=DIRECTION('',(0.,0.,1.)); #381565=DIRECTION('center_axis',(1.,0.,0.)); #381566=DIRECTION('ref_axis',(0.,1.,0.)); #381567=DIRECTION('',(0.,1.,0.)); #381568=DIRECTION('',(0.,1.,0.)); #381569=DIRECTION('',(0.,0.,1.)); #381570=DIRECTION('center_axis',(0.,0.,1.)); #381571=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #381572=DIRECTION('center_axis',(0.,0.,1.)); #381573=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #381574=DIRECTION('center_axis',(0.,0.,1.)); #381575=DIRECTION('ref_axis',(0.707113731984453,-0.707099830320316,0.)); #381576=DIRECTION('',(0.,0.,1.)); #381577=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #381578=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #381579=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #381580=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #381581=DIRECTION('',(0.,0.,1.)); #381582=DIRECTION('center_axis',(1.,0.,0.)); #381583=DIRECTION('ref_axis',(0.,1.,0.)); #381584=DIRECTION('',(0.,1.,0.)); #381585=DIRECTION('',(0.,1.,0.)); #381586=DIRECTION('',(0.,0.,1.)); #381587=DIRECTION('center_axis',(0.,1.,0.)); #381588=DIRECTION('ref_axis',(-1.,0.,0.)); #381589=DIRECTION('',(-1.,0.,0.)); #381590=DIRECTION('',(-1.,0.,0.)); #381591=DIRECTION('center_axis',(0.,0.,1.)); #381592=DIRECTION('ref_axis',(1.,0.,0.)); #381593=DIRECTION('center_axis',(0.,0.,1.)); #381594=DIRECTION('ref_axis',(1.,0.,0.)); #381595=DIRECTION('center_axis',(0.,0.,1.)); #381596=DIRECTION('ref_axis',(1.,0.,0.)); #381597=DIRECTION('center_axis',(0.,0.,1.)); #381598=DIRECTION('ref_axis',(1.,0.,0.)); #381599=DIRECTION('',(0.,0.,1.)); #381600=DIRECTION('center_axis',(0.,0.,-1.)); #381601=DIRECTION('ref_axis',(1.,0.,0.)); #381602=DIRECTION('center_axis',(0.,0.,1.)); #381603=DIRECTION('ref_axis',(1.,0.,0.)); #381604=DIRECTION('center_axis',(0.,0.,1.)); #381605=DIRECTION('ref_axis',(1.,0.,0.)); #381606=DIRECTION('',(0.,0.,1.)); #381607=DIRECTION('center_axis',(0.,0.,-1.)); #381608=DIRECTION('ref_axis',(1.,0.,0.)); #381609=DIRECTION('center_axis',(0.,0.,1.)); #381610=DIRECTION('ref_axis',(1.,0.,0.)); #381611=DIRECTION('center_axis',(0.,0.,1.)); #381612=DIRECTION('ref_axis',(1.,0.,0.)); #381613=DIRECTION('',(0.,0.,1.)); #381614=DIRECTION('center_axis',(0.,0.,-1.)); #381615=DIRECTION('ref_axis',(1.,0.,0.)); #381616=DIRECTION('center_axis',(0.,0.,1.)); #381617=DIRECTION('ref_axis',(1.,0.,0.)); #381618=DIRECTION('center_axis',(0.,0.,1.)); #381619=DIRECTION('ref_axis',(1.,0.,0.)); #381620=DIRECTION('',(0.,0.,1.)); #381621=DIRECTION('center_axis',(0.,0.,-1.)); #381622=DIRECTION('ref_axis',(1.,0.,0.)); #381623=DIRECTION('center_axis',(0.,0.,1.)); #381624=DIRECTION('ref_axis',(1.,0.,0.)); #381625=DIRECTION('center_axis',(0.,0.,1.)); #381626=DIRECTION('ref_axis',(1.,0.,0.)); #381627=DIRECTION('',(0.,0.,1.)); #381628=DIRECTION('center_axis',(0.,0.,-1.)); #381629=DIRECTION('ref_axis',(1.,0.,0.)); #381630=DIRECTION('center_axis',(0.,0.,1.)); #381631=DIRECTION('ref_axis',(1.,0.,0.)); #381632=DIRECTION('center_axis',(0.,0.,1.)); #381633=DIRECTION('ref_axis',(1.,0.,0.)); #381634=DIRECTION('',(0.,0.,1.)); #381635=DIRECTION('center_axis',(0.,0.,-1.)); #381636=DIRECTION('ref_axis',(1.,0.,0.)); #381637=DIRECTION('center_axis',(0.,0.,1.)); #381638=DIRECTION('ref_axis',(1.,0.,0.)); #381639=DIRECTION('center_axis',(0.,0.,1.)); #381640=DIRECTION('ref_axis',(1.,0.,0.)); #381641=DIRECTION('',(0.,0.,1.)); #381642=DIRECTION('center_axis',(0.,0.,-1.)); #381643=DIRECTION('ref_axis',(1.,0.,0.)); #381644=DIRECTION('center_axis',(0.,0.,1.)); #381645=DIRECTION('ref_axis',(1.,0.,0.)); #381646=DIRECTION('center_axis',(0.,0.,1.)); #381647=DIRECTION('ref_axis',(1.,0.,0.)); #381648=DIRECTION('',(0.,0.,1.)); #381649=DIRECTION('center_axis',(0.,0.,-1.)); #381650=DIRECTION('ref_axis',(1.,0.,0.)); #381651=DIRECTION('center_axis',(0.,0.,1.)); #381652=DIRECTION('ref_axis',(1.,0.,0.)); #381653=DIRECTION('center_axis',(0.,0.,1.)); #381654=DIRECTION('ref_axis',(1.,0.,0.)); #381655=DIRECTION('',(0.,0.,1.)); #381656=DIRECTION('center_axis',(0.,0.,-1.)); #381657=DIRECTION('ref_axis',(1.,0.,0.)); #381658=DIRECTION('center_axis',(0.,0.,1.)); #381659=DIRECTION('ref_axis',(1.,0.,0.)); #381660=DIRECTION('center_axis',(0.,0.,1.)); #381661=DIRECTION('ref_axis',(1.,0.,0.)); #381662=DIRECTION('',(0.,0.,1.)); #381663=DIRECTION('center_axis',(0.,0.,-1.)); #381664=DIRECTION('ref_axis',(1.,0.,0.)); #381665=DIRECTION('center_axis',(0.,0.,1.)); #381666=DIRECTION('ref_axis',(1.,0.,0.)); #381667=DIRECTION('center_axis',(0.,0.,1.)); #381668=DIRECTION('ref_axis',(1.,0.,0.)); #381669=DIRECTION('',(0.,0.,1.)); #381670=DIRECTION('center_axis',(0.,0.,-1.)); #381671=DIRECTION('ref_axis',(1.,0.,0.)); #381672=DIRECTION('center_axis',(0.,0.,1.)); #381673=DIRECTION('ref_axis',(1.,0.,0.)); #381674=DIRECTION('center_axis',(0.,0.,1.)); #381675=DIRECTION('ref_axis',(1.,0.,0.)); #381676=DIRECTION('',(0.,0.,1.)); #381677=DIRECTION('center_axis',(0.,0.,-1.)); #381678=DIRECTION('ref_axis',(1.,0.,0.)); #381679=DIRECTION('center_axis',(0.,0.,1.)); #381680=DIRECTION('ref_axis',(1.,0.,0.)); #381681=DIRECTION('center_axis',(0.,0.,1.)); #381682=DIRECTION('ref_axis',(1.,0.,0.)); #381683=DIRECTION('',(0.,0.,1.)); #381684=DIRECTION('center_axis',(0.,0.,-1.)); #381685=DIRECTION('ref_axis',(1.,0.,0.)); #381686=DIRECTION('center_axis',(0.,0.,1.)); #381687=DIRECTION('ref_axis',(1.,0.,0.)); #381688=DIRECTION('center_axis',(0.,0.,1.)); #381689=DIRECTION('ref_axis',(1.,0.,0.)); #381690=DIRECTION('',(0.,0.,1.)); #381691=DIRECTION('center_axis',(0.,0.,-1.)); #381692=DIRECTION('ref_axis',(1.,0.,0.)); #381693=DIRECTION('center_axis',(0.,0.,1.)); #381694=DIRECTION('ref_axis',(1.,0.,0.)); #381695=DIRECTION('center_axis',(0.,0.,1.)); #381696=DIRECTION('ref_axis',(1.,0.,0.)); #381697=DIRECTION('',(0.,0.,1.)); #381698=DIRECTION('center_axis',(0.,0.,-1.)); #381699=DIRECTION('ref_axis',(1.,0.,0.)); #381700=DIRECTION('center_axis',(0.214594192212086,-0.976703298176495,0.)); #381701=DIRECTION('ref_axis',(0.976703298176494,0.214594192212086,0.)); #381702=DIRECTION('',(0.976703298176494,0.214594192212086,0.)); #381703=DIRECTION('',(0.,0.,1.)); #381704=DIRECTION('',(0.976703298176494,0.214594192212086,0.)); #381705=DIRECTION('',(0.,0.,1.)); #381706=DIRECTION('center_axis',(-0.183380618657178,-0.983041987252279, 0.)); #381707=DIRECTION('ref_axis',(0.983041987252279,-0.183380618657178,0.)); #381708=DIRECTION('',(0.983041987252279,-0.183380618657178,0.)); #381709=DIRECTION('',(0.983041987252279,-0.183380618657178,0.)); #381710=DIRECTION('',(0.,0.,1.)); #381711=DIRECTION('center_axis',(-0.552227809242766,-0.833693256959018, 0.)); #381712=DIRECTION('ref_axis',(0.833693256959018,-0.552227809242766,0.)); #381713=DIRECTION('',(0.833693256959018,-0.552227809242766,0.)); #381714=DIRECTION('',(0.833693256959018,-0.552227809242766,0.)); #381715=DIRECTION('',(0.,0.,1.)); #381716=DIRECTION('center_axis',(-0.707106781186552,-0.707106781186543, 0.)); #381717=DIRECTION('ref_axis',(0.707106781186543,-0.707106781186552,0.)); #381718=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #381719=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #381720=DIRECTION('',(0.,0.,1.)); #381721=DIRECTION('center_axis',(0.,-1.,0.)); #381722=DIRECTION('ref_axis',(1.,0.,0.)); #381723=DIRECTION('',(1.,0.,0.)); #381724=DIRECTION('',(1.,0.,0.)); #381725=DIRECTION('',(0.,0.,1.)); #381726=DIRECTION('center_axis',(-0.191191000932891,-0.981552851945467, 0.)); #381727=DIRECTION('ref_axis',(0.981552851945467,-0.191191000932891,0.)); #381728=DIRECTION('',(0.981552851945467,-0.191191000932891,0.)); #381729=DIRECTION('',(0.981552851945467,-0.191191000932891,0.)); #381730=DIRECTION('',(0.,0.,1.)); #381731=DIRECTION('center_axis',(-0.552227809242888,-0.833693256958937, 0.)); #381732=DIRECTION('ref_axis',(0.833693256958937,-0.552227809242888,0.)); #381733=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #381734=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #381735=DIRECTION('',(0.,0.,1.)); #381736=DIRECTION('center_axis',(-0.707104593400144,-0.707108968966182, 0.)); #381737=DIRECTION('ref_axis',(0.707108968966182,-0.707104593400144,0.)); #381738=DIRECTION('',(0.707108968966182,-0.707104593400144,0.)); #381739=DIRECTION('',(0.707108968966182,-0.707104593400144,0.)); #381740=DIRECTION('',(0.,0.,1.)); #381741=DIRECTION('center_axis',(0.,-1.,0.)); #381742=DIRECTION('ref_axis',(1.,0.,0.)); #381743=DIRECTION('',(1.,0.,0.)); #381744=DIRECTION('',(1.,0.,0.)); #381745=DIRECTION('',(0.,0.,1.)); #381746=DIRECTION('center_axis',(0.707106781186542,-0.707106781186553,0.)); #381747=DIRECTION('ref_axis',(0.707106781186553,0.707106781186542,0.)); #381748=DIRECTION('',(0.707106781186553,0.707106781186542,0.)); #381749=DIRECTION('',(0.707106781186553,0.707106781186542,0.)); #381750=DIRECTION('',(0.,0.,1.)); #381751=DIRECTION('center_axis',(0.842341965840975,-0.538943422432412,0.)); #381752=DIRECTION('ref_axis',(0.538943422432413,0.842341965840975,0.)); #381753=DIRECTION('',(0.538943422432413,0.842341965840975,0.)); #381754=DIRECTION('',(0.538943422432413,0.842341965840975,0.)); #381755=DIRECTION('',(0.,0.,1.)); #381756=DIRECTION('center_axis',(0.565473758099792,-0.82476628744178,0.)); #381757=DIRECTION('ref_axis',(0.82476628744178,0.565473758099792,0.)); #381758=DIRECTION('',(0.82476628744178,0.565473758099792,0.)); #381759=DIRECTION('',(0.82476628744178,0.565473758099792,0.)); #381760=DIRECTION('',(0.,0.,1.)); #381761=DIRECTION('center_axis',(0.199010641789396,-0.979997328799713,0.)); #381762=DIRECTION('ref_axis',(0.979997328799713,0.199010641789396,0.)); #381763=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #381764=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #381765=DIRECTION('',(0.,0.,1.)); #381766=DIRECTION('center_axis',(0.,-1.,0.)); #381767=DIRECTION('ref_axis',(1.,0.,0.)); #381768=DIRECTION('',(1.,0.,0.)); #381769=DIRECTION('',(1.,0.,0.)); #381770=DIRECTION('',(0.,0.,1.)); #381771=DIRECTION('center_axis',(-0.191191000932715,-0.981552851945501, 0.)); #381772=DIRECTION('ref_axis',(0.981552851945501,-0.191191000932715,0.)); #381773=DIRECTION('',(0.981552851945501,-0.191191000932715,0.)); #381774=DIRECTION('',(0.981552851945501,-0.191191000932715,0.)); #381775=DIRECTION('',(0.,0.,1.)); #381776=DIRECTION('center_axis',(-0.552218701081291,-0.833699290017745, 0.)); #381777=DIRECTION('ref_axis',(0.833699290017745,-0.552218701081291,0.)); #381778=DIRECTION('',(0.833699290017745,-0.552218701081291,0.)); #381779=DIRECTION('',(0.833699290017745,-0.552218701081291,0.)); #381780=DIRECTION('',(0.,0.,1.)); #381781=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #381782=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #381783=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #381784=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #381785=DIRECTION('',(0.,0.,1.)); #381786=DIRECTION('center_axis',(-0.637992511441951,-0.770042567228586, 0.)); #381787=DIRECTION('ref_axis',(0.770042567228586,-0.637992511441951,0.)); #381788=DIRECTION('',(0.770042567228586,-0.637992511441951,0.)); #381789=DIRECTION('',(0.770042567228586,-0.637992511441951,0.)); #381790=DIRECTION('',(0.,0.,1.)); #381791=DIRECTION('center_axis',(-0.770213822251693,0.637785754005558,0.)); #381792=DIRECTION('ref_axis',(-0.637785754005558,-0.770213822251693,0.)); #381793=DIRECTION('',(-0.637785754005558,-0.770213822251693,0.)); #381794=DIRECTION('',(-0.637785754005558,-0.770213822251693,0.)); #381795=DIRECTION('',(0.,0.,1.)); #381796=DIRECTION('center_axis',(-0.59483098052112,-0.803850797481897,0.)); #381797=DIRECTION('ref_axis',(0.803850797481897,-0.59483098052112,0.)); #381798=DIRECTION('',(0.803850797481897,-0.59483098052112,0.)); #381799=DIRECTION('',(0.803850797481897,-0.59483098052112,0.)); #381800=DIRECTION('',(0.,0.,1.)); #381801=DIRECTION('center_axis',(-0.824759961060277,-0.56548298527175,0.)); #381802=DIRECTION('ref_axis',(0.56548298527175,-0.824759961060277,0.)); #381803=DIRECTION('',(0.56548298527175,-0.824759961060277,0.)); #381804=DIRECTION('',(0.56548298527175,-0.824759961060277,0.)); #381805=DIRECTION('',(0.,0.,1.)); #381806=DIRECTION('center_axis',(-0.979998112340244,-0.199006783325492, 0.)); #381807=DIRECTION('ref_axis',(0.199006783325492,-0.979998112340244,0.)); #381808=DIRECTION('',(0.199006783325492,-0.979998112340244,0.)); #381809=DIRECTION('',(0.199006783325492,-0.979998112340244,0.)); #381810=DIRECTION('',(0.,0.,1.)); #381811=DIRECTION('center_axis',(-1.,0.,0.)); #381812=DIRECTION('ref_axis',(0.,-1.,0.)); #381813=DIRECTION('',(0.,-1.,0.)); #381814=DIRECTION('',(0.,-1.,0.)); #381815=DIRECTION('',(0.,0.,1.)); #381816=DIRECTION('center_axis',(-0.981552099144144,0.19119486568871,0.)); #381817=DIRECTION('ref_axis',(-0.19119486568871,-0.981552099144144,0.)); #381818=DIRECTION('',(-0.19119486568871,-0.981552099144144,0.)); #381819=DIRECTION('',(-0.19119486568871,-0.981552099144144,0.)); #381820=DIRECTION('',(0.,0.,1.)); #381821=DIRECTION('center_axis',(-0.833699290017812,0.552218701081189,0.)); #381822=DIRECTION('ref_axis',(-0.552218701081189,-0.833699290017812,0.)); #381823=DIRECTION('',(-0.552218701081189,-0.833699290017812,0.)); #381824=DIRECTION('',(-0.552218701081189,-0.833699290017812,0.)); #381825=DIRECTION('',(0.,0.,1.)); #381826=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,0.)); #381827=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); #381828=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #381829=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #381830=DIRECTION('',(0.,0.,1.)); #381831=DIRECTION('center_axis',(-0.558856232804982,0.829264560351534,0.)); #381832=DIRECTION('ref_axis',(-0.829264560351534,-0.558856232804982,0.)); #381833=DIRECTION('',(-0.829264560351534,-0.558856232804982,0.)); #381834=DIRECTION('',(-0.829264560351534,-0.558856232804982,0.)); #381835=DIRECTION('',(0.,0.,1.)); #381836=DIRECTION('center_axis',(-0.199010641789227,0.979997328799747,0.)); #381837=DIRECTION('ref_axis',(-0.979997328799747,-0.199010641789227,0.)); #381838=DIRECTION('',(-0.979997328799747,-0.199010641789227,0.)); #381839=DIRECTION('',(-0.979997328799747,-0.199010641789227,0.)); #381840=DIRECTION('',(0.,0.,1.)); #381841=DIRECTION('center_axis',(0.,1.,0.)); #381842=DIRECTION('ref_axis',(-1.,0.,0.)); #381843=DIRECTION('',(-1.,0.,0.)); #381844=DIRECTION('',(-1.,0.,0.)); #381845=DIRECTION('',(0.,0.,1.)); #381846=DIRECTION('center_axis',(0.183314787014809,0.983054265471502,0.)); #381847=DIRECTION('ref_axis',(-0.983054265471502,0.183314787014809,0.)); #381848=DIRECTION('',(-0.983054265471502,0.183314787014809,0.)); #381849=DIRECTION('',(-0.983054265471502,0.183314787014809,0.)); #381850=DIRECTION('',(0.,0.,1.)); #381851=DIRECTION('center_axis',(0.538928498327793,0.842351514327689,0.)); #381852=DIRECTION('ref_axis',(-0.842351514327689,0.538928498327792,0.)); #381853=DIRECTION('',(-0.842351514327689,0.538928498327792,0.)); #381854=DIRECTION('',(-0.842351514327689,0.538928498327792,0.)); #381855=DIRECTION('',(0.,0.,1.)); #381856=DIRECTION('center_axis',(0.82476628744185,0.565473758099692,0.)); #381857=DIRECTION('ref_axis',(-0.565473758099692,0.82476628744185,0.)); #381858=DIRECTION('',(-0.565473758099692,0.82476628744185,0.)); #381859=DIRECTION('',(-0.565473758099692,0.82476628744185,0.)); #381860=DIRECTION('',(0.,0.,1.)); #381861=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #381862=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #381863=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #381864=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #381865=DIRECTION('',(0.,0.,1.)); #381866=DIRECTION('center_axis',(1.,0.,0.)); #381867=DIRECTION('ref_axis',(0.,1.,0.)); #381868=DIRECTION('',(0.,1.,0.)); #381869=DIRECTION('',(0.,1.,0.)); #381870=DIRECTION('',(0.,0.,1.)); #381871=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #381872=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #381873=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #381874=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #381875=DIRECTION('',(0.,0.,1.)); #381876=DIRECTION('center_axis',(0.,1.,0.)); #381877=DIRECTION('ref_axis',(-1.,0.,0.)); #381878=DIRECTION('',(-1.,0.,0.)); #381879=DIRECTION('',(-1.,0.,0.)); #381880=DIRECTION('',(0.,0.,1.)); #381881=DIRECTION('center_axis',(-0.707104593400163,0.707108968966163,0.)); #381882=DIRECTION('ref_axis',(-0.707108968966163,-0.707104593400163,0.)); #381883=DIRECTION('',(-0.707108968966163,-0.707104593400163,0.)); #381884=DIRECTION('',(-0.707108968966163,-0.707104593400163,0.)); #381885=DIRECTION('',(0.,0.,1.)); #381886=DIRECTION('center_axis',(-0.55886577660194,0.829258128536049,0.)); #381887=DIRECTION('ref_axis',(-0.829258128536049,-0.55886577660194,0.)); #381888=DIRECTION('',(-0.829258128536049,-0.55886577660194,0.)); #381889=DIRECTION('',(-0.829258128536049,-0.55886577660194,0.)); #381890=DIRECTION('',(0.,0.,1.)); #381891=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #381892=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #381893=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #381894=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #381895=DIRECTION('',(0.,0.,1.)); #381896=DIRECTION('center_axis',(0.,1.,0.)); #381897=DIRECTION('ref_axis',(-1.,0.,0.)); #381898=DIRECTION('',(-1.,0.,0.)); #381899=DIRECTION('',(-1.,0.,0.)); #381900=DIRECTION('',(0.,0.,1.)); #381901=DIRECTION('center_axis',(0.191191000932891,0.981552851945467,0.)); #381902=DIRECTION('ref_axis',(-0.981552851945467,0.191191000932891,0.)); #381903=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #381904=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #381905=DIRECTION('',(0.,0.,1.)); #381906=DIRECTION('center_axis',(0.552227809242806,0.833693256958992,0.)); #381907=DIRECTION('ref_axis',(-0.833693256958992,0.552227809242806,0.)); #381908=DIRECTION('',(-0.833693256958992,0.552227809242806,0.)); #381909=DIRECTION('',(-0.833693256958992,0.552227809242806,0.)); #381910=DIRECTION('',(0.,0.,1.)); #381911=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #381912=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #381913=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #381914=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #381915=DIRECTION('',(0.,0.,1.)); #381916=DIRECTION('center_axis',(0.829258128536007,0.558865776602003,0.)); #381917=DIRECTION('ref_axis',(-0.558865776602003,0.829258128536007,0.)); #381918=DIRECTION('',(-0.558865776602003,0.829258128536007,0.)); #381919=DIRECTION('',(-0.558865776602003,0.829258128536007,0.)); #381920=DIRECTION('',(0.,0.,1.)); #381921=DIRECTION('center_axis',(0.979998112340252,0.19900678332545,0.)); #381922=DIRECTION('ref_axis',(-0.19900678332545,0.979998112340252,0.)); #381923=DIRECTION('',(-0.19900678332545,0.979998112340252,0.)); #381924=DIRECTION('',(-0.19900678332545,0.979998112340252,0.)); #381925=DIRECTION('',(0.,0.,1.)); #381926=DIRECTION('center_axis',(1.,0.,0.)); #381927=DIRECTION('ref_axis',(0.,1.,0.)); #381928=DIRECTION('',(0.,1.,0.)); #381929=DIRECTION('',(0.,1.,0.)); #381930=DIRECTION('',(0.,0.,1.)); #381931=DIRECTION('center_axis',(0.984474676816787,-0.175526666653484,0.)); #381932=DIRECTION('ref_axis',(0.175526666653484,0.984474676816787,0.)); #381933=DIRECTION('',(0.175526666653484,0.984474676816787,0.)); #381934=DIRECTION('',(0.175526666653484,0.984474676816787,0.)); #381935=DIRECTION('',(0.,0.,1.)); #381936=DIRECTION('center_axis',(0.850855747839139,-0.525399368451372,0.)); #381937=DIRECTION('ref_axis',(0.525399368451372,0.850855747839139,0.)); #381938=DIRECTION('',(0.525399368451372,0.850855747839139,0.)); #381939=DIRECTION('',(0.525399368451372,0.850855747839139,0.)); #381940=DIRECTION('',(0.,0.,1.)); #381941=DIRECTION('center_axis',(0.578583157949432,-0.815623399209012,0.)); #381942=DIRECTION('ref_axis',(0.815623399209012,0.578583157949432,0.)); #381943=DIRECTION('',(0.815623399209012,0.578583157949432,0.)); #381944=DIRECTION('',(0.815623399209012,0.578583157949432,0.)); #381945=DIRECTION('center_axis',(0.,0.,1.)); #381946=DIRECTION('ref_axis',(1.,0.,0.)); #381947=DIRECTION('center_axis',(0.,0.,1.)); #381948=DIRECTION('ref_axis',(1.,0.,0.)); #381949=DIRECTION('center_axis',(0.,0.,1.)); #381950=DIRECTION('ref_axis',(1.,0.,0.)); #381951=DIRECTION('center_axis',(0.,0.,1.)); #381952=DIRECTION('ref_axis',(1.,0.,0.)); #381953=DIRECTION('',(0.,0.,1.)); #381954=DIRECTION('center_axis',(0.,0.,-1.)); #381955=DIRECTION('ref_axis',(1.,0.,0.)); #381956=DIRECTION('center_axis',(1.,0.,0.)); #381957=DIRECTION('ref_axis',(0.,1.,0.)); #381958=DIRECTION('',(0.,1.,0.)); #381959=DIRECTION('',(0.,0.,1.)); #381960=DIRECTION('',(0.,1.,0.)); #381961=DIRECTION('',(0.,0.,1.)); #381962=DIRECTION('center_axis',(0.,-1.,0.)); #381963=DIRECTION('ref_axis',(1.,0.,0.)); #381964=DIRECTION('',(1.,0.,0.)); #381965=DIRECTION('',(1.,0.,0.)); #381966=DIRECTION('',(0.,0.,1.)); #381967=DIRECTION('center_axis',(-1.,0.,0.)); #381968=DIRECTION('ref_axis',(0.,-1.,0.)); #381969=DIRECTION('',(0.,-1.,0.)); #381970=DIRECTION('',(0.,-1.,0.)); #381971=DIRECTION('',(0.,0.,1.)); #381972=DIRECTION('center_axis',(0.,1.,0.)); #381973=DIRECTION('ref_axis',(-1.,0.,0.)); #381974=DIRECTION('',(-1.,0.,0.)); #381975=DIRECTION('',(-1.,0.,0.)); #381976=DIRECTION('',(0.,0.,1.)); #381977=DIRECTION('center_axis',(-1.,0.,0.)); #381978=DIRECTION('ref_axis',(0.,-1.,0.)); #381979=DIRECTION('',(0.,-1.,0.)); #381980=DIRECTION('',(0.,-1.,0.)); #381981=DIRECTION('',(0.,0.,1.)); #381982=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #381983=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #381984=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #381985=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #381986=DIRECTION('',(0.,0.,1.)); #381987=DIRECTION('center_axis',(0.,0.,1.)); #381988=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #381989=DIRECTION('center_axis',(0.,0.,1.)); #381990=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #381991=DIRECTION('center_axis',(0.,0.,1.)); #381992=DIRECTION('ref_axis',(-0.999999999951587,9.84004343396515E-6,0.)); #381993=DIRECTION('',(0.,0.,1.)); #381994=DIRECTION('center_axis',(-1.,0.,0.)); #381995=DIRECTION('ref_axis',(0.,-1.,0.)); #381996=DIRECTION('',(0.,-1.,0.)); #381997=DIRECTION('',(0.,-1.,0.)); #381998=DIRECTION('',(0.,0.,1.)); #381999=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #382000=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #382001=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #382002=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #382003=DIRECTION('',(0.,0.,1.)); #382004=DIRECTION('center_axis',(0.,0.,1.)); #382005=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #382006=DIRECTION('center_axis',(0.,0.,1.)); #382007=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #382008=DIRECTION('center_axis',(0.,0.,1.)); #382009=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #382010=DIRECTION('',(0.,0.,1.)); #382011=DIRECTION('center_axis',(-1.,0.,0.)); #382012=DIRECTION('ref_axis',(0.,-1.,0.)); #382013=DIRECTION('',(0.,-1.,0.)); #382014=DIRECTION('',(0.,-1.,0.)); #382015=DIRECTION('',(0.,0.,1.)); #382016=DIRECTION('center_axis',(0.,0.,1.)); #382017=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #382018=DIRECTION('center_axis',(0.,0.,1.)); #382019=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #382020=DIRECTION('center_axis',(0.,0.,1.)); #382021=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #382022=DIRECTION('',(0.,0.,1.)); #382023=DIRECTION('center_axis',(-0.707106781186541,0.707106781186554,0.)); #382024=DIRECTION('ref_axis',(-0.707106781186554,-0.707106781186541,0.)); #382025=DIRECTION('',(-0.707106781186554,-0.707106781186541,0.)); #382026=DIRECTION('',(-0.707106781186554,-0.707106781186541,0.)); #382027=DIRECTION('',(0.,0.,1.)); #382028=DIRECTION('center_axis',(-1.,0.,0.)); #382029=DIRECTION('ref_axis',(0.,-1.,0.)); #382030=DIRECTION('',(0.,-1.,0.)); #382031=DIRECTION('',(0.,-1.,0.)); #382032=DIRECTION('',(0.,0.,1.)); #382033=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #382034=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #382035=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #382036=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #382037=DIRECTION('',(0.,0.,1.)); #382038=DIRECTION('center_axis',(0.,0.,1.)); #382039=DIRECTION('ref_axis',(-0.999999999982576,5.90313875186003E-6,0.)); #382040=DIRECTION('center_axis',(0.,0.,1.)); #382041=DIRECTION('ref_axis',(-0.999999999982576,5.90313875186003E-6,0.)); #382042=DIRECTION('center_axis',(0.,0.,1.)); #382043=DIRECTION('ref_axis',(-0.999999999982576,5.90313875186003E-6,0.)); #382044=DIRECTION('',(0.,0.,1.)); #382045=DIRECTION('center_axis',(-1.,0.,0.)); #382046=DIRECTION('ref_axis',(0.,-1.,0.)); #382047=DIRECTION('',(0.,-1.,0.)); #382048=DIRECTION('',(0.,-1.,0.)); #382049=DIRECTION('',(0.,0.,1.)); #382050=DIRECTION('center_axis',(0.,0.,1.)); #382051=DIRECTION('ref_axis',(-0.707110948194308,0.707102614154231,0.)); #382052=DIRECTION('center_axis',(0.,0.,1.)); #382053=DIRECTION('ref_axis',(-0.707110948194308,0.707102614154231,0.)); #382054=DIRECTION('center_axis',(0.,0.,1.)); #382055=DIRECTION('ref_axis',(-0.707110948194308,0.707102614154231,0.)); #382056=DIRECTION('',(0.,0.,1.)); #382057=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #382058=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #382059=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #382060=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #382061=DIRECTION('',(0.,0.,1.)); #382062=DIRECTION('center_axis',(0.,0.,1.)); #382063=DIRECTION('ref_axis',(5.90313871689308E-6,0.999999999982576,0.)); #382064=DIRECTION('center_axis',(0.,0.,1.)); #382065=DIRECTION('ref_axis',(5.90313871689308E-6,0.999999999982576,0.)); #382066=DIRECTION('center_axis',(0.,0.,1.)); #382067=DIRECTION('ref_axis',(5.90313871689308E-6,0.999999999982576,0.)); #382068=DIRECTION('',(0.,0.,1.)); #382069=DIRECTION('center_axis',(0.,1.,0.)); #382070=DIRECTION('ref_axis',(-1.,0.,0.)); #382071=DIRECTION('',(-1.,0.,0.)); #382072=DIRECTION('',(-1.,0.,0.)); #382073=DIRECTION('',(0.,0.,1.)); #382074=DIRECTION('center_axis',(-1.,0.,0.)); #382075=DIRECTION('ref_axis',(0.,-1.,0.)); #382076=DIRECTION('',(0.,-1.,0.)); #382077=DIRECTION('',(0.,-1.,0.)); #382078=DIRECTION('',(0.,0.,1.)); #382079=DIRECTION('center_axis',(0.,1.,0.)); #382080=DIRECTION('ref_axis',(-1.,0.,0.)); #382081=DIRECTION('',(-1.,0.,0.)); #382082=DIRECTION('',(-1.,0.,0.)); #382083=DIRECTION('',(0.,0.,1.)); #382084=DIRECTION('center_axis',(1.,0.,0.)); #382085=DIRECTION('ref_axis',(0.,1.,0.)); #382086=DIRECTION('',(0.,1.,0.)); #382087=DIRECTION('',(0.,1.,0.)); #382088=DIRECTION('',(0.,0.,1.)); #382089=DIRECTION('center_axis',(0.,-1.,0.)); #382090=DIRECTION('ref_axis',(1.,0.,0.)); #382091=DIRECTION('',(1.,0.,0.)); #382092=DIRECTION('',(1.,0.,0.)); #382093=DIRECTION('',(0.,0.,1.)); #382094=DIRECTION('center_axis',(-1.,0.,0.)); #382095=DIRECTION('ref_axis',(0.,-1.,0.)); #382096=DIRECTION('',(0.,-1.,0.)); #382097=DIRECTION('',(0.,-1.,0.)); #382098=DIRECTION('',(0.,0.,1.)); #382099=DIRECTION('center_axis',(0.,-1.,0.)); #382100=DIRECTION('ref_axis',(1.,0.,0.)); #382101=DIRECTION('',(1.,0.,0.)); #382102=DIRECTION('',(1.,0.,0.)); #382103=DIRECTION('',(0.,0.,1.)); #382104=DIRECTION('center_axis',(0.707106781186544,-0.707106781186552,0.)); #382105=DIRECTION('ref_axis',(0.707106781186552,0.707106781186544,0.)); #382106=DIRECTION('',(0.707106781186552,0.707106781186544,0.)); #382107=DIRECTION('',(0.707106781186552,0.707106781186544,0.)); #382108=DIRECTION('',(0.,0.,1.)); #382109=DIRECTION('center_axis',(1.,0.,0.)); #382110=DIRECTION('ref_axis',(0.,1.,0.)); #382111=DIRECTION('',(0.,1.,0.)); #382112=DIRECTION('',(0.,1.,0.)); #382113=DIRECTION('',(0.,0.,1.)); #382114=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #382115=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #382116=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #382117=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #382118=DIRECTION('',(0.,0.,1.)); #382119=DIRECTION('center_axis',(0.,1.,0.)); #382120=DIRECTION('ref_axis',(-1.,0.,0.)); #382121=DIRECTION('',(-1.,0.,0.)); #382122=DIRECTION('',(-1.,0.,0.)); #382123=DIRECTION('',(0.,0.,1.)); #382124=DIRECTION('center_axis',(1.,0.,0.)); #382125=DIRECTION('ref_axis',(0.,1.,0.)); #382126=DIRECTION('',(0.,1.,0.)); #382127=DIRECTION('',(0.,1.,0.)); #382128=DIRECTION('',(0.,0.,1.)); #382129=DIRECTION('center_axis',(0.,-1.,0.)); #382130=DIRECTION('ref_axis',(1.,0.,0.)); #382131=DIRECTION('',(1.,0.,0.)); #382132=DIRECTION('',(1.,0.,0.)); #382133=DIRECTION('',(0.,0.,1.)); #382134=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #382135=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #382136=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #382137=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #382138=DIRECTION('',(0.,0.,1.)); #382139=DIRECTION('center_axis',(1.,0.,0.)); #382140=DIRECTION('ref_axis',(0.,1.,0.)); #382141=DIRECTION('',(0.,1.,0.)); #382142=DIRECTION('',(0.,1.,0.)); #382143=DIRECTION('',(0.,0.,1.)); #382144=DIRECTION('center_axis',(0.707106781186546,0.707106781186549,0.)); #382145=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #382146=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #382147=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #382148=DIRECTION('',(0.,0.,1.)); #382149=DIRECTION('center_axis',(0.,0.,1.)); #382150=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689288E-6,0.)); #382151=DIRECTION('center_axis',(0.,0.,1.)); #382152=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689288E-6,0.)); #382153=DIRECTION('center_axis',(0.,0.,1.)); #382154=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689288E-6,0.)); #382155=DIRECTION('',(0.,0.,1.)); #382156=DIRECTION('center_axis',(1.,0.,0.)); #382157=DIRECTION('ref_axis',(0.,1.,0.)); #382158=DIRECTION('',(0.,1.,0.)); #382159=DIRECTION('',(0.,1.,0.)); #382160=DIRECTION('',(0.,0.,1.)); #382161=DIRECTION('center_axis',(0.707106781186537,0.707106781186558,0.)); #382162=DIRECTION('ref_axis',(-0.707106781186558,0.707106781186537,0.)); #382163=DIRECTION('',(-0.707106781186558,0.707106781186537,0.)); #382164=DIRECTION('',(-0.707106781186558,0.707106781186537,0.)); #382165=DIRECTION('',(0.,0.,1.)); #382166=DIRECTION('center_axis',(0.,0.,1.)); #382167=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #382168=DIRECTION('center_axis',(0.,0.,1.)); #382169=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #382170=DIRECTION('center_axis',(0.,0.,1.)); #382171=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #382172=DIRECTION('',(0.,0.,1.)); #382173=DIRECTION('center_axis',(1.,0.,0.)); #382174=DIRECTION('ref_axis',(0.,1.,0.)); #382175=DIRECTION('',(0.,1.,0.)); #382176=DIRECTION('',(0.,1.,0.)); #382177=DIRECTION('',(0.,0.,1.)); #382178=DIRECTION('center_axis',(0.,1.,0.)); #382179=DIRECTION('ref_axis',(-1.,0.,0.)); #382180=DIRECTION('',(-1.,0.,0.)); #382181=DIRECTION('',(-1.,0.,0.)); #382182=DIRECTION('center_axis',(0.,0.,1.)); #382183=DIRECTION('ref_axis',(1.,0.,0.)); #382184=DIRECTION('center_axis',(0.,0.,1.)); #382185=DIRECTION('ref_axis',(1.,0.,0.)); #382186=DIRECTION('center_axis',(0.,0.,1.)); #382187=DIRECTION('ref_axis',(1.,0.,0.)); #382188=DIRECTION('center_axis',(0.,0.,1.)); #382189=DIRECTION('ref_axis',(1.,0.,0.)); #382190=DIRECTION('',(0.,0.,1.)); #382191=DIRECTION('center_axis',(0.,0.,-1.)); #382192=DIRECTION('ref_axis',(1.,0.,0.)); #382193=DIRECTION('center_axis',(0.,0.,1.)); #382194=DIRECTION('ref_axis',(1.,0.,0.)); #382195=DIRECTION('center_axis',(0.,0.,1.)); #382196=DIRECTION('ref_axis',(1.,0.,0.)); #382197=DIRECTION('',(0.,0.,1.)); #382198=DIRECTION('center_axis',(0.,0.,-1.)); #382199=DIRECTION('ref_axis',(1.,0.,0.)); #382200=DIRECTION('center_axis',(0.,0.,1.)); #382201=DIRECTION('ref_axis',(1.,0.,0.)); #382202=DIRECTION('center_axis',(0.,0.,1.)); #382203=DIRECTION('ref_axis',(1.,0.,0.)); #382204=DIRECTION('',(0.,0.,1.)); #382205=DIRECTION('center_axis',(0.,0.,-1.)); #382206=DIRECTION('ref_axis',(1.,0.,0.)); #382207=DIRECTION('center_axis',(0.,0.,1.)); #382208=DIRECTION('ref_axis',(1.,0.,0.)); #382209=DIRECTION('center_axis',(0.,0.,1.)); #382210=DIRECTION('ref_axis',(1.,0.,0.)); #382211=DIRECTION('',(0.,0.,1.)); #382212=DIRECTION('center_axis',(0.,0.,-1.)); #382213=DIRECTION('ref_axis',(1.,0.,0.)); #382214=DIRECTION('center_axis',(0.,0.,1.)); #382215=DIRECTION('ref_axis',(1.,0.,0.)); #382216=DIRECTION('center_axis',(0.,0.,1.)); #382217=DIRECTION('ref_axis',(1.,0.,0.)); #382218=DIRECTION('',(0.,0.,1.)); #382219=DIRECTION('center_axis',(0.,0.,-1.)); #382220=DIRECTION('ref_axis',(1.,0.,0.)); #382221=DIRECTION('center_axis',(0.,0.,1.)); #382222=DIRECTION('ref_axis',(1.,0.,0.)); #382223=DIRECTION('center_axis',(0.,0.,1.)); #382224=DIRECTION('ref_axis',(1.,0.,0.)); #382225=DIRECTION('',(0.,0.,1.)); #382226=DIRECTION('center_axis',(0.,0.,-1.)); #382227=DIRECTION('ref_axis',(1.,0.,0.)); #382228=DIRECTION('center_axis',(0.,0.,1.)); #382229=DIRECTION('ref_axis',(1.,0.,0.)); #382230=DIRECTION('center_axis',(0.,0.,1.)); #382231=DIRECTION('ref_axis',(1.,0.,0.)); #382232=DIRECTION('',(0.,0.,1.)); #382233=DIRECTION('center_axis',(0.,0.,-1.)); #382234=DIRECTION('ref_axis',(1.,0.,0.)); #382235=DIRECTION('center_axis',(0.,0.,1.)); #382236=DIRECTION('ref_axis',(1.,0.,0.)); #382237=DIRECTION('center_axis',(0.,0.,1.)); #382238=DIRECTION('ref_axis',(1.,0.,0.)); #382239=DIRECTION('',(0.,0.,1.)); #382240=DIRECTION('center_axis',(0.,0.,-1.)); #382241=DIRECTION('ref_axis',(1.,0.,0.)); #382242=DIRECTION('center_axis',(0.,0.,1.)); #382243=DIRECTION('ref_axis',(1.,0.,0.)); #382244=DIRECTION('center_axis',(0.,0.,1.)); #382245=DIRECTION('ref_axis',(1.,0.,0.)); #382246=DIRECTION('',(0.,0.,1.)); #382247=DIRECTION('center_axis',(0.,0.,-1.)); #382248=DIRECTION('ref_axis',(1.,0.,0.)); #382249=DIRECTION('center_axis',(0.,0.,1.)); #382250=DIRECTION('ref_axis',(1.,0.,0.)); #382251=DIRECTION('center_axis',(0.,0.,1.)); #382252=DIRECTION('ref_axis',(1.,0.,0.)); #382253=DIRECTION('',(0.,0.,1.)); #382254=DIRECTION('center_axis',(0.,0.,-1.)); #382255=DIRECTION('ref_axis',(1.,0.,0.)); #382256=DIRECTION('center_axis',(0.,0.,1.)); #382257=DIRECTION('ref_axis',(1.,0.,0.)); #382258=DIRECTION('center_axis',(0.,0.,1.)); #382259=DIRECTION('ref_axis',(1.,0.,0.)); #382260=DIRECTION('',(0.,0.,1.)); #382261=DIRECTION('center_axis',(0.,0.,-1.)); #382262=DIRECTION('ref_axis',(1.,0.,0.)); #382263=DIRECTION('center_axis',(0.,0.,1.)); #382264=DIRECTION('ref_axis',(1.,0.,0.)); #382265=DIRECTION('center_axis',(0.,0.,1.)); #382266=DIRECTION('ref_axis',(1.,0.,0.)); #382267=DIRECTION('',(0.,0.,1.)); #382268=DIRECTION('center_axis',(0.,0.,-1.)); #382269=DIRECTION('ref_axis',(1.,0.,0.)); #382270=DIRECTION('center_axis',(0.,0.,1.)); #382271=DIRECTION('ref_axis',(1.,0.,0.)); #382272=DIRECTION('center_axis',(0.,0.,1.)); #382273=DIRECTION('ref_axis',(1.,0.,0.)); #382274=DIRECTION('',(0.,0.,1.)); #382275=DIRECTION('center_axis',(0.,0.,-1.)); #382276=DIRECTION('ref_axis',(1.,0.,0.)); #382277=DIRECTION('center_axis',(0.,0.,1.)); #382278=DIRECTION('ref_axis',(1.,0.,0.)); #382279=DIRECTION('center_axis',(0.,0.,1.)); #382280=DIRECTION('ref_axis',(1.,0.,0.)); #382281=DIRECTION('',(0.,0.,1.)); #382282=DIRECTION('center_axis',(0.,0.,-1.)); #382283=DIRECTION('ref_axis',(1.,0.,0.)); #382284=DIRECTION('center_axis',(0.,0.,1.)); #382285=DIRECTION('ref_axis',(1.,0.,0.)); #382286=DIRECTION('center_axis',(0.,0.,1.)); #382287=DIRECTION('ref_axis',(1.,0.,0.)); #382288=DIRECTION('',(0.,0.,1.)); #382289=DIRECTION('center_axis',(0.,0.,-1.)); #382290=DIRECTION('ref_axis',(1.,0.,0.)); #382291=DIRECTION('center_axis',(0.,0.,1.)); #382292=DIRECTION('ref_axis',(1.,0.,0.)); #382293=DIRECTION('center_axis',(0.,0.,1.)); #382294=DIRECTION('ref_axis',(1.,0.,0.)); #382295=DIRECTION('',(0.,0.,1.)); #382296=DIRECTION('center_axis',(0.,0.,-1.)); #382297=DIRECTION('ref_axis',(1.,0.,0.)); #382298=DIRECTION('center_axis',(0.,0.,1.)); #382299=DIRECTION('ref_axis',(1.,0.,0.)); #382300=DIRECTION('center_axis',(0.,0.,1.)); #382301=DIRECTION('ref_axis',(1.,0.,0.)); #382302=DIRECTION('',(0.,0.,1.)); #382303=DIRECTION('center_axis',(0.,0.,-1.)); #382304=DIRECTION('ref_axis',(1.,0.,0.)); #382305=DIRECTION('center_axis',(0.,0.,1.)); #382306=DIRECTION('ref_axis',(1.,0.,0.)); #382307=DIRECTION('center_axis',(0.,0.,1.)); #382308=DIRECTION('ref_axis',(1.,0.,0.)); #382309=DIRECTION('',(0.,0.,1.)); #382310=DIRECTION('center_axis',(0.,0.,-1.)); #382311=DIRECTION('ref_axis',(1.,0.,0.)); #382312=DIRECTION('center_axis',(0.,0.,1.)); #382313=DIRECTION('ref_axis',(1.,0.,0.)); #382314=DIRECTION('center_axis',(0.,0.,1.)); #382315=DIRECTION('ref_axis',(1.,0.,0.)); #382316=DIRECTION('',(0.,0.,1.)); #382317=DIRECTION('center_axis',(0.,0.,-1.)); #382318=DIRECTION('ref_axis',(1.,0.,0.)); #382319=DIRECTION('center_axis',(0.,0.,1.)); #382320=DIRECTION('ref_axis',(1.,0.,0.)); #382321=DIRECTION('center_axis',(0.,0.,1.)); #382322=DIRECTION('ref_axis',(1.,0.,0.)); #382323=DIRECTION('',(0.,0.,1.)); #382324=DIRECTION('center_axis',(0.,0.,-1.)); #382325=DIRECTION('ref_axis',(1.,0.,0.)); #382326=DIRECTION('center_axis',(0.,0.,1.)); #382327=DIRECTION('ref_axis',(1.,0.,0.)); #382328=DIRECTION('center_axis',(0.,0.,1.)); #382329=DIRECTION('ref_axis',(1.,0.,0.)); #382330=DIRECTION('',(0.,0.,1.)); #382331=DIRECTION('center_axis',(0.,0.,-1.)); #382332=DIRECTION('ref_axis',(1.,0.,0.)); #382333=DIRECTION('center_axis',(0.,0.,1.)); #382334=DIRECTION('ref_axis',(1.,0.,0.)); #382335=DIRECTION('center_axis',(0.,0.,1.)); #382336=DIRECTION('ref_axis',(1.,0.,0.)); #382337=DIRECTION('',(0.,0.,1.)); #382338=DIRECTION('center_axis',(0.,0.,-1.)); #382339=DIRECTION('ref_axis',(1.,0.,0.)); #382340=DIRECTION('center_axis',(0.,0.,1.)); #382341=DIRECTION('ref_axis',(1.,0.,0.)); #382342=DIRECTION('center_axis',(0.,0.,1.)); #382343=DIRECTION('ref_axis',(1.,0.,0.)); #382344=DIRECTION('',(0.,0.,1.)); #382345=DIRECTION('center_axis',(0.,0.,-1.)); #382346=DIRECTION('ref_axis',(1.,0.,0.)); #382347=DIRECTION('center_axis',(0.,0.,1.)); #382348=DIRECTION('ref_axis',(1.,0.,0.)); #382349=DIRECTION('center_axis',(0.,0.,1.)); #382350=DIRECTION('ref_axis',(1.,0.,0.)); #382351=DIRECTION('',(0.,0.,1.)); #382352=DIRECTION('center_axis',(0.,0.,-1.)); #382353=DIRECTION('ref_axis',(1.,0.,0.)); #382354=DIRECTION('center_axis',(0.,0.,1.)); #382355=DIRECTION('ref_axis',(1.,0.,0.)); #382356=DIRECTION('center_axis',(0.,0.,1.)); #382357=DIRECTION('ref_axis',(1.,0.,0.)); #382358=DIRECTION('',(0.,0.,1.)); #382359=DIRECTION('center_axis',(0.,0.,-1.)); #382360=DIRECTION('ref_axis',(1.,0.,0.)); #382361=DIRECTION('center_axis',(0.,0.,1.)); #382362=DIRECTION('ref_axis',(1.,0.,0.)); #382363=DIRECTION('center_axis',(0.,0.,1.)); #382364=DIRECTION('ref_axis',(1.,0.,0.)); #382365=DIRECTION('',(0.,0.,1.)); #382366=DIRECTION('center_axis',(0.,0.,-1.)); #382367=DIRECTION('ref_axis',(1.,0.,0.)); #382368=DIRECTION('center_axis',(0.,0.,1.)); #382369=DIRECTION('ref_axis',(1.,0.,0.)); #382370=DIRECTION('center_axis',(0.,0.,1.)); #382371=DIRECTION('ref_axis',(1.,0.,0.)); #382372=DIRECTION('',(0.,0.,1.)); #382373=DIRECTION('center_axis',(0.,0.,-1.)); #382374=DIRECTION('ref_axis',(1.,0.,0.)); #382375=DIRECTION('center_axis',(0.,0.,1.)); #382376=DIRECTION('ref_axis',(1.,0.,0.)); #382377=DIRECTION('center_axis',(0.,0.,1.)); #382378=DIRECTION('ref_axis',(1.,0.,0.)); #382379=DIRECTION('',(0.,0.,1.)); #382380=DIRECTION('center_axis',(0.,0.,-1.)); #382381=DIRECTION('ref_axis',(1.,0.,0.)); #382382=DIRECTION('center_axis',(0.,0.,1.)); #382383=DIRECTION('ref_axis',(1.,0.,0.)); #382384=DIRECTION('center_axis',(0.,0.,1.)); #382385=DIRECTION('ref_axis',(1.,0.,0.)); #382386=DIRECTION('',(0.,0.,1.)); #382387=DIRECTION('center_axis',(0.,0.,-1.)); #382388=DIRECTION('ref_axis',(1.,0.,0.)); #382389=DIRECTION('center_axis',(0.,0.,1.)); #382390=DIRECTION('ref_axis',(1.,0.,0.)); #382391=DIRECTION('center_axis',(0.,0.,1.)); #382392=DIRECTION('ref_axis',(1.,0.,0.)); #382393=DIRECTION('',(0.,0.,1.)); #382394=DIRECTION('center_axis',(0.,0.,-1.)); #382395=DIRECTION('ref_axis',(1.,0.,0.)); #382396=DIRECTION('center_axis',(0.,0.,1.)); #382397=DIRECTION('ref_axis',(1.,0.,0.)); #382398=DIRECTION('center_axis',(0.,0.,1.)); #382399=DIRECTION('ref_axis',(1.,0.,0.)); #382400=DIRECTION('',(0.,0.,1.)); #382401=DIRECTION('center_axis',(0.,0.,-1.)); #382402=DIRECTION('ref_axis',(1.,0.,0.)); #382403=DIRECTION('center_axis',(0.156447762252232,-0.987686234431902,0.)); #382404=DIRECTION('ref_axis',(0.987686234431902,0.156447762252232,0.)); #382405=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #382406=DIRECTION('',(0.,0.,1.)); #382407=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #382408=DIRECTION('',(0.,0.,1.)); #382409=DIRECTION('center_axis',(0.,0.,1.)); #382410=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #382411=DIRECTION('center_axis',(0.,0.,1.)); #382412=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #382413=DIRECTION('center_axis',(0.,0.,1.)); #382414=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #382415=DIRECTION('',(0.,0.,1.)); #382416=DIRECTION('center_axis',(-1.,0.,0.)); #382417=DIRECTION('ref_axis',(0.,-1.,0.)); #382418=DIRECTION('',(0.,-1.,0.)); #382419=DIRECTION('',(0.,-1.,0.)); #382420=DIRECTION('',(0.,0.,1.)); #382421=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #382422=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #382423=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #382424=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #382425=DIRECTION('',(0.,0.,1.)); #382426=DIRECTION('center_axis',(0.,-1.,0.)); #382427=DIRECTION('ref_axis',(1.,0.,0.)); #382428=DIRECTION('',(1.,0.,0.)); #382429=DIRECTION('',(1.,0.,0.)); #382430=DIRECTION('',(0.,0.,1.)); #382431=DIRECTION('center_axis',(0.,0.,1.)); #382432=DIRECTION('ref_axis',(-0.707102614154257,-0.707110948194281,0.)); #382433=DIRECTION('center_axis',(0.,0.,1.)); #382434=DIRECTION('ref_axis',(-0.707102614154257,-0.707110948194281,0.)); #382435=DIRECTION('center_axis',(0.,0.,1.)); #382436=DIRECTION('ref_axis',(-0.707102614154257,-0.707110948194281,0.)); #382437=DIRECTION('',(0.,0.,1.)); #382438=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #382439=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #382440=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #382441=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #382442=DIRECTION('',(0.,0.,1.)); #382443=DIRECTION('center_axis',(0.,0.,1.)); #382444=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #382445=DIRECTION('center_axis',(0.,0.,1.)); #382446=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #382447=DIRECTION('center_axis',(0.,0.,1.)); #382448=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #382449=DIRECTION('',(0.,0.,1.)); #382450=DIRECTION('center_axis',(-1.,0.,0.)); #382451=DIRECTION('ref_axis',(0.,-1.,0.)); #382452=DIRECTION('',(0.,-1.,0.)); #382453=DIRECTION('',(0.,-1.,0.)); #382454=DIRECTION('',(0.,0.,1.)); #382455=DIRECTION('center_axis',(-0.829232066016633,-0.558904446833076, 0.)); #382456=DIRECTION('ref_axis',(0.558904446833076,-0.829232066016633,0.)); #382457=DIRECTION('',(0.558904446833076,-0.829232066016633,0.)); #382458=DIRECTION('',(0.558904446833076,-0.829232066016633,0.)); #382459=DIRECTION('',(0.,0.,1.)); #382460=DIRECTION('center_axis',(-0.979997328799711,-0.199010641789405, 0.)); #382461=DIRECTION('ref_axis',(0.199010641789405,-0.979997328799711,0.)); #382462=DIRECTION('',(0.199010641789405,-0.979997328799711,0.)); #382463=DIRECTION('',(0.199010641789405,-0.979997328799711,0.)); #382464=DIRECTION('',(0.,0.,1.)); #382465=DIRECTION('center_axis',(-1.,0.,0.)); #382466=DIRECTION('ref_axis',(0.,-1.,0.)); #382467=DIRECTION('',(0.,-1.,0.)); #382468=DIRECTION('',(0.,-1.,0.)); #382469=DIRECTION('',(0.,0.,1.)); #382470=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #382471=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #382472=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #382473=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #382474=DIRECTION('',(0.,0.,1.)); #382475=DIRECTION('center_axis',(0.,-1.,0.)); #382476=DIRECTION('ref_axis',(1.,0.,0.)); #382477=DIRECTION('',(1.,0.,0.)); #382478=DIRECTION('',(1.,0.,0.)); #382479=DIRECTION('',(0.,0.,1.)); #382480=DIRECTION('center_axis',(-0.191191000932891,-0.981552851945467, 0.)); #382481=DIRECTION('ref_axis',(0.981552851945467,-0.191191000932891,0.)); #382482=DIRECTION('',(0.981552851945467,-0.191191000932891,0.)); #382483=DIRECTION('',(0.981552851945467,-0.191191000932891,0.)); #382484=DIRECTION('',(0.,0.,1.)); #382485=DIRECTION('center_axis',(-0.552227809242786,-0.833693256959005, 0.)); #382486=DIRECTION('ref_axis',(0.833693256959005,-0.552227809242786,0.)); #382487=DIRECTION('',(0.833693256959005,-0.552227809242786,0.)); #382488=DIRECTION('',(0.833693256959005,-0.552227809242786,0.)); #382489=DIRECTION('',(0.,0.,1.)); #382490=DIRECTION('center_axis',(-0.707106781186545,-0.70710678118655,0.)); #382491=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,0.)); #382492=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #382493=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #382494=DIRECTION('',(0.,0.,1.)); #382495=DIRECTION('center_axis',(-0.829258128536064,-0.558865776601918, 0.)); #382496=DIRECTION('ref_axis',(0.558865776601918,-0.829258128536064,0.)); #382497=DIRECTION('',(0.558865776601918,-0.829258128536064,0.)); #382498=DIRECTION('',(0.558865776601918,-0.829258128536064,0.)); #382499=DIRECTION('',(0.,0.,1.)); #382500=DIRECTION('center_axis',(-0.979998112340235,-0.199006783325535, 0.)); #382501=DIRECTION('ref_axis',(0.199006783325535,-0.979998112340235,0.)); #382502=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #382503=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #382504=DIRECTION('',(0.,0.,1.)); #382505=DIRECTION('center_axis',(-1.,0.,0.)); #382506=DIRECTION('ref_axis',(0.,-1.,0.)); #382507=DIRECTION('',(0.,-1.,0.)); #382508=DIRECTION('',(0.,-1.,0.)); #382509=DIRECTION('',(0.,0.,1.)); #382510=DIRECTION('center_axis',(-0.981552851945484,0.191191000932803,0.)); #382511=DIRECTION('ref_axis',(-0.191191000932803,-0.981552851945484,0.)); #382512=DIRECTION('',(-0.191191000932803,-0.981552851945484,0.)); #382513=DIRECTION('',(-0.191191000932803,-0.981552851945484,0.)); #382514=DIRECTION('',(0.,0.,1.)); #382515=DIRECTION('center_axis',(-0.833693256958937,0.552227809242888,0.)); #382516=DIRECTION('ref_axis',(-0.552227809242888,-0.833693256958937,0.)); #382517=DIRECTION('',(-0.552227809242888,-0.833693256958937,0.)); #382518=DIRECTION('',(-0.552227809242888,-0.833693256958937,0.)); #382519=DIRECTION('',(0.,0.,1.)); #382520=DIRECTION('center_axis',(-0.707106781186566,0.707106781186529,0.)); #382521=DIRECTION('ref_axis',(-0.707106781186529,-0.707106781186566,0.)); #382522=DIRECTION('',(-0.707106781186529,-0.707106781186566,0.)); #382523=DIRECTION('',(-0.707106781186529,-0.707106781186566,0.)); #382524=DIRECTION('',(0.,0.,1.)); #382525=DIRECTION('center_axis',(-0.558865776601961,0.829258128536035,0.)); #382526=DIRECTION('ref_axis',(-0.829258128536035,-0.558865776601961,0.)); #382527=DIRECTION('',(-0.829258128536035,-0.558865776601961,0.)); #382528=DIRECTION('',(-0.829258128536035,-0.558865776601961,0.)); #382529=DIRECTION('',(0.,0.,1.)); #382530=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #382531=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #382532=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #382533=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #382534=DIRECTION('',(0.,0.,1.)); #382535=DIRECTION('center_axis',(0.,1.,0.)); #382536=DIRECTION('ref_axis',(-1.,0.,0.)); #382537=DIRECTION('',(-1.,0.,0.)); #382538=DIRECTION('',(-1.,0.,0.)); #382539=DIRECTION('',(0.,0.,1.)); #382540=DIRECTION('center_axis',(0.183314787014818,0.983054265471501,0.)); #382541=DIRECTION('ref_axis',(-0.983054265471501,0.183314787014818,0.)); #382542=DIRECTION('',(-0.983054265471501,0.183314787014818,0.)); #382543=DIRECTION('',(-0.983054265471501,0.183314787014818,0.)); #382544=DIRECTION('',(0.,0.,1.)); #382545=DIRECTION('center_axis',(0.538928498327793,0.842351514327689,0.)); #382546=DIRECTION('ref_axis',(-0.842351514327689,0.538928498327792,0.)); #382547=DIRECTION('',(-0.842351514327689,0.538928498327792,0.)); #382548=DIRECTION('',(-0.842351514327689,0.538928498327792,0.)); #382549=DIRECTION('',(0.,0.,1.)); #382550=DIRECTION('center_axis',(0.82476628744185,0.565473758099692,0.)); #382551=DIRECTION('ref_axis',(-0.565473758099692,0.82476628744185,0.)); #382552=DIRECTION('',(-0.565473758099692,0.82476628744185,0.)); #382553=DIRECTION('',(-0.565473758099692,0.82476628744185,0.)); #382554=DIRECTION('',(0.,0.,1.)); #382555=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #382556=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #382557=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #382558=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #382559=DIRECTION('',(0.,0.,1.)); #382560=DIRECTION('center_axis',(1.,0.,0.)); #382561=DIRECTION('ref_axis',(0.,1.,0.)); #382562=DIRECTION('',(0.,1.,0.)); #382563=DIRECTION('',(0.,1.,0.)); #382564=DIRECTION('',(0.,0.,1.)); #382565=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #382566=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #382567=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #382568=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #382569=DIRECTION('',(0.,0.,1.)); #382570=DIRECTION('center_axis',(0.,1.,0.)); #382571=DIRECTION('ref_axis',(-1.,0.,0.)); #382572=DIRECTION('',(-1.,0.,0.)); #382573=DIRECTION('',(-1.,0.,0.)); #382574=DIRECTION('',(0.,0.,1.)); #382575=DIRECTION('center_axis',(-0.707106781186521,0.707106781186574,0.)); #382576=DIRECTION('ref_axis',(-0.707106781186574,-0.707106781186521,0.)); #382577=DIRECTION('',(-0.707106781186574,-0.707106781186521,0.)); #382578=DIRECTION('',(-0.707106781186574,-0.707106781186521,0.)); #382579=DIRECTION('',(0.,0.,1.)); #382580=DIRECTION('center_axis',(-1.,0.,0.)); #382581=DIRECTION('ref_axis',(0.,-1.,0.)); #382582=DIRECTION('',(0.,-1.,0.)); #382583=DIRECTION('',(0.,-1.,0.)); #382584=DIRECTION('',(0.,0.,1.)); #382585=DIRECTION('center_axis',(-0.983054265471488,0.183314787014884,0.)); #382586=DIRECTION('ref_axis',(-0.183314787014884,-0.983054265471488,0.)); #382587=DIRECTION('',(-0.183314787014884,-0.983054265471488,0.)); #382588=DIRECTION('',(-0.183314787014884,-0.983054265471488,0.)); #382589=DIRECTION('',(0.,0.,1.)); #382590=DIRECTION('center_axis',(-0.842351514327663,0.538928498327832,0.)); #382591=DIRECTION('ref_axis',(-0.538928498327832,-0.842351514327663,0.)); #382592=DIRECTION('',(-0.538928498327832,-0.842351514327663,0.)); #382593=DIRECTION('',(-0.538928498327832,-0.842351514327663,0.)); #382594=DIRECTION('',(0.,0.,1.)); #382595=DIRECTION('center_axis',(-0.565473758099792,0.82476628744178,0.)); #382596=DIRECTION('ref_axis',(-0.82476628744178,-0.565473758099792,0.)); #382597=DIRECTION('',(-0.82476628744178,-0.565473758099792,0.)); #382598=DIRECTION('',(-0.82476628744178,-0.565473758099792,0.)); #382599=DIRECTION('',(0.,0.,1.)); #382600=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #382601=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #382602=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #382603=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #382604=DIRECTION('',(0.,0.,1.)); #382605=DIRECTION('center_axis',(0.,1.,0.)); #382606=DIRECTION('ref_axis',(-1.,0.,0.)); #382607=DIRECTION('',(-1.,0.,0.)); #382608=DIRECTION('',(-1.,0.,0.)); #382609=DIRECTION('',(0.,0.,1.)); #382610=DIRECTION('center_axis',(0.191194865688728,0.981552099144141,0.)); #382611=DIRECTION('ref_axis',(-0.981552099144141,0.191194865688728,0.)); #382612=DIRECTION('',(-0.981552099144141,0.191194865688728,0.)); #382613=DIRECTION('',(-0.981552099144141,0.191194865688728,0.)); #382614=DIRECTION('',(0.,0.,1.)); #382615=DIRECTION('center_axis',(0.552218701081331,0.833699290017718,0.)); #382616=DIRECTION('ref_axis',(-0.833699290017718,0.552218701081331,0.)); #382617=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #382618=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #382619=DIRECTION('',(0.,0.,1.)); #382620=DIRECTION('center_axis',(0.707106781186546,0.707106781186549,0.)); #382621=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #382622=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #382623=DIRECTION('',(-0.707106781186549,0.707106781186546,0.)); #382624=DIRECTION('',(0.,0.,1.)); #382625=DIRECTION('center_axis',(0.600315735780842,0.799763100782917,0.)); #382626=DIRECTION('ref_axis',(-0.799763100782917,0.600315735780842,0.)); #382627=DIRECTION('',(-0.799763100782917,0.600315735780842,0.)); #382628=DIRECTION('',(-0.799763100782917,0.600315735780842,0.)); #382629=DIRECTION('',(0.,0.,1.)); #382630=DIRECTION('center_axis',(0.125280629073855,0.992121345390199,0.)); #382631=DIRECTION('ref_axis',(-0.992121345390199,0.125280629073855,0.)); #382632=DIRECTION('',(-0.992121345390199,0.125280629073855,0.)); #382633=DIRECTION('',(-0.992121345390199,0.125280629073855,0.)); #382634=DIRECTION('',(0.,0.,1.)); #382635=DIRECTION('center_axis',(0.,1.,0.)); #382636=DIRECTION('ref_axis',(-1.,0.,0.)); #382637=DIRECTION('',(-1.,0.,0.)); #382638=DIRECTION('',(-1.,0.,0.)); #382639=DIRECTION('',(0.,0.,1.)); #382640=DIRECTION('center_axis',(0.183314787014809,0.983054265471502,0.)); #382641=DIRECTION('ref_axis',(-0.983054265471502,0.183314787014809,0.)); #382642=DIRECTION('',(-0.983054265471502,0.183314787014809,0.)); #382643=DIRECTION('',(-0.983054265471502,0.183314787014809,0.)); #382644=DIRECTION('',(0.,0.,1.)); #382645=DIRECTION('center_axis',(0.538928498327917,0.842351514327609,0.)); #382646=DIRECTION('ref_axis',(-0.842351514327609,0.538928498327917,0.)); #382647=DIRECTION('',(-0.842351514327609,0.538928498327917,0.)); #382648=DIRECTION('',(-0.842351514327609,0.538928498327917,0.)); #382649=DIRECTION('',(0.,0.,1.)); #382650=DIRECTION('center_axis',(0.824766287441793,0.565473758099774,0.)); #382651=DIRECTION('ref_axis',(-0.565473758099774,0.824766287441793,0.)); #382652=DIRECTION('',(-0.565473758099774,0.824766287441793,0.)); #382653=DIRECTION('',(-0.565473758099774,0.824766287441793,0.)); #382654=DIRECTION('',(0.,0.,1.)); #382655=DIRECTION('center_axis',(0.979997328799717,0.199010641789378,0.)); #382656=DIRECTION('ref_axis',(-0.199010641789378,0.979997328799717,0.)); #382657=DIRECTION('',(-0.199010641789378,0.979997328799717,0.)); #382658=DIRECTION('',(-0.199010641789378,0.979997328799717,0.)); #382659=DIRECTION('',(0.,0.,1.)); #382660=DIRECTION('center_axis',(1.,0.,0.)); #382661=DIRECTION('ref_axis',(0.,1.,0.)); #382662=DIRECTION('',(0.,1.,0.)); #382663=DIRECTION('',(0.,1.,0.)); #382664=DIRECTION('',(0.,0.,1.)); #382665=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #382666=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #382667=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #382668=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #382669=DIRECTION('',(0.,0.,1.)); #382670=DIRECTION('center_axis',(0.,1.,0.)); #382671=DIRECTION('ref_axis',(-1.,0.,0.)); #382672=DIRECTION('',(-1.,0.,0.)); #382673=DIRECTION('',(-1.,0.,0.)); #382674=DIRECTION('',(0.,0.,1.)); #382675=DIRECTION('center_axis',(-0.707104593400163,0.707108968966163,0.)); #382676=DIRECTION('ref_axis',(-0.707108968966163,-0.707104593400163,0.)); #382677=DIRECTION('',(-0.707108968966163,-0.707104593400163,0.)); #382678=DIRECTION('',(-0.707108968966163,-0.707104593400163,0.)); #382679=DIRECTION('',(0.,0.,1.)); #382680=DIRECTION('center_axis',(-0.558865776602066,0.829258128535964,0.)); #382681=DIRECTION('ref_axis',(-0.829258128535964,-0.558865776602066,0.)); #382682=DIRECTION('',(-0.829258128535964,-0.558865776602066,0.)); #382683=DIRECTION('',(-0.829258128535964,-0.558865776602066,0.)); #382684=DIRECTION('',(0.,0.,1.)); #382685=DIRECTION('center_axis',(-0.199006783325366,0.979998112340269,0.)); #382686=DIRECTION('ref_axis',(-0.979998112340269,-0.199006783325366,0.)); #382687=DIRECTION('',(-0.979998112340269,-0.199006783325366,0.)); #382688=DIRECTION('',(-0.979998112340269,-0.199006783325366,0.)); #382689=DIRECTION('',(0.,0.,1.)); #382690=DIRECTION('center_axis',(0.,1.,0.)); #382691=DIRECTION('ref_axis',(-1.,0.,0.)); #382692=DIRECTION('',(-1.,0.,0.)); #382693=DIRECTION('',(-1.,0.,0.)); #382694=DIRECTION('',(0.,0.,1.)); #382695=DIRECTION('center_axis',(0.191191000932715,0.981552851945501,0.)); #382696=DIRECTION('ref_axis',(-0.981552851945501,0.191191000932715,0.)); #382697=DIRECTION('',(-0.981552851945501,0.191191000932715,0.)); #382698=DIRECTION('',(-0.981552851945501,0.191191000932715,0.)); #382699=DIRECTION('',(0.,0.,1.)); #382700=DIRECTION('center_axis',(0.552227809242928,0.833693256958911,0.)); #382701=DIRECTION('ref_axis',(-0.833693256958911,0.552227809242928,0.)); #382702=DIRECTION('',(-0.833693256958911,0.552227809242928,0.)); #382703=DIRECTION('',(-0.833693256958911,0.552227809242928,0.)); #382704=DIRECTION('',(0.,0.,1.)); #382705=DIRECTION('center_axis',(0.707106781186542,0.707106781186553,0.)); #382706=DIRECTION('ref_axis',(-0.707106781186553,0.707106781186542,0.)); #382707=DIRECTION('',(-0.707106781186553,0.707106781186542,0.)); #382708=DIRECTION('',(-0.707106781186553,0.707106781186542,0.)); #382709=DIRECTION('',(0.,0.,1.)); #382710=DIRECTION('center_axis',(0.829258128536064,0.558865776601918,0.)); #382711=DIRECTION('ref_axis',(-0.558865776601918,0.829258128536064,0.)); #382712=DIRECTION('',(-0.558865776601918,0.829258128536064,0.)); #382713=DIRECTION('',(-0.558865776601918,0.829258128536064,0.)); #382714=DIRECTION('',(0.,0.,1.)); #382715=DIRECTION('center_axis',(0.979998112340228,0.199006783325569,0.)); #382716=DIRECTION('ref_axis',(-0.199006783325569,0.979998112340228,0.)); #382717=DIRECTION('',(-0.199006783325569,0.979998112340228,0.)); #382718=DIRECTION('',(-0.199006783325569,0.979998112340228,0.)); #382719=DIRECTION('',(0.,0.,1.)); #382720=DIRECTION('center_axis',(1.,0.,0.)); #382721=DIRECTION('ref_axis',(0.,1.,0.)); #382722=DIRECTION('',(0.,1.,0.)); #382723=DIRECTION('',(0.,1.,0.)); #382724=DIRECTION('',(0.,0.,1.)); #382725=DIRECTION('center_axis',(0.981552851945467,-0.191191000932891,0.)); #382726=DIRECTION('ref_axis',(0.191191000932891,0.981552851945467,0.)); #382727=DIRECTION('',(0.191191000932891,0.981552851945467,0.)); #382728=DIRECTION('',(0.191191000932891,0.981552851945467,0.)); #382729=DIRECTION('',(0.,0.,1.)); #382730=DIRECTION('center_axis',(0.833693256958978,-0.552227809242827,0.)); #382731=DIRECTION('ref_axis',(0.552227809242827,0.833693256958978,0.)); #382732=DIRECTION('',(0.552227809242827,0.833693256958978,0.)); #382733=DIRECTION('',(0.552227809242827,0.833693256958978,0.)); #382734=DIRECTION('',(0.,0.,1.)); #382735=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #382736=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #382737=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #382738=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #382739=DIRECTION('',(0.,0.,1.)); #382740=DIRECTION('center_axis',(0.558865776602066,-0.829258128535964,0.)); #382741=DIRECTION('ref_axis',(0.829258128535964,0.558865776602066,0.)); #382742=DIRECTION('',(0.829258128535964,0.558865776602066,0.)); #382743=DIRECTION('',(0.829258128535964,0.558865776602066,0.)); #382744=DIRECTION('',(0.,0.,1.)); #382745=DIRECTION('center_axis',(0.199006783325383,-0.979998112340266,0.)); #382746=DIRECTION('ref_axis',(0.979998112340266,0.199006783325383,0.)); #382747=DIRECTION('',(0.979998112340266,0.199006783325383,0.)); #382748=DIRECTION('',(0.979998112340266,0.199006783325383,0.)); #382749=DIRECTION('',(0.,0.,1.)); #382750=DIRECTION('center_axis',(0.,-1.,0.)); #382751=DIRECTION('ref_axis',(1.,0.,0.)); #382752=DIRECTION('',(1.,0.,0.)); #382753=DIRECTION('',(1.,0.,0.)); #382754=DIRECTION('',(0.,0.,1.)); #382755=DIRECTION('center_axis',(0.707105793340279,-0.707107769031436,0.)); #382756=DIRECTION('ref_axis',(0.707107769031436,0.707105793340279,0.)); #382757=DIRECTION('',(0.707107769031436,0.707105793340279,0.)); #382758=DIRECTION('',(0.707107769031436,0.707105793340279,0.)); #382759=DIRECTION('',(0.,0.,1.)); #382760=DIRECTION('center_axis',(0.558865776602108,-0.829258128535936,0.)); #382761=DIRECTION('ref_axis',(0.829258128535936,0.558865776602108,0.)); #382762=DIRECTION('',(0.829258128535936,0.558865776602108,0.)); #382763=DIRECTION('',(0.829258128535936,0.558865776602108,0.)); #382764=DIRECTION('',(0.,0.,1.)); #382765=DIRECTION('center_axis',(0.199006783325366,-0.979998112340269,0.)); #382766=DIRECTION('ref_axis',(0.979998112340269,0.199006783325366,0.)); #382767=DIRECTION('',(0.979998112340269,0.199006783325366,0.)); #382768=DIRECTION('',(0.979998112340269,0.199006783325366,0.)); #382769=DIRECTION('',(0.,0.,1.)); #382770=DIRECTION('center_axis',(0.,-1.,0.)); #382771=DIRECTION('ref_axis',(1.,0.,0.)); #382772=DIRECTION('',(1.,0.,0.)); #382773=DIRECTION('',(1.,0.,0.)); #382774=DIRECTION('',(0.,0.,1.)); #382775=DIRECTION('center_axis',(0.70710678118667,-0.707106781186425,0.)); #382776=DIRECTION('ref_axis',(0.707106781186425,0.70710678118667,0.)); #382777=DIRECTION('',(0.707106781186425,0.70710678118667,0.)); #382778=DIRECTION('',(0.707106781186425,0.70710678118667,0.)); #382779=DIRECTION('',(0.,0.,1.)); #382780=DIRECTION('center_axis',(0.57202368617132,-0.820237101367023,0.)); #382781=DIRECTION('ref_axis',(0.820237101367023,0.57202368617132,0.)); #382782=DIRECTION('',(0.820237101367023,0.57202368617132,0.)); #382783=DIRECTION('',(0.820237101367023,0.57202368617132,0.)); #382784=DIRECTION('',(0.,0.,1.)); #382785=DIRECTION('center_axis',(0.230101081189212,-0.973166734139405,0.)); #382786=DIRECTION('ref_axis',(0.973166734139405,0.230101081189211,0.)); #382787=DIRECTION('',(0.973166734139405,0.230101081189212,0.)); #382788=DIRECTION('',(0.973166734139405,0.230101081189212,0.)); #382789=DIRECTION('',(0.,0.,1.)); #382790=DIRECTION('center_axis',(-0.167361088023315,-0.985895667003184, 0.)); #382791=DIRECTION('ref_axis',(0.985895667003184,-0.167361088023315,0.)); #382792=DIRECTION('',(0.985895667003184,-0.167361088023315,0.)); #382793=DIRECTION('',(0.985895667003184,-0.167361088023315,0.)); #382794=DIRECTION('',(0.,0.,1.)); #382795=DIRECTION('center_axis',(1.,0.,0.)); #382796=DIRECTION('ref_axis',(0.,1.,0.)); #382797=DIRECTION('',(0.,1.,0.)); #382798=DIRECTION('',(0.,1.,0.)); #382799=DIRECTION('',(0.,0.,1.)); #382800=DIRECTION('center_axis',(0.707106781186542,0.707106781186553,0.)); #382801=DIRECTION('ref_axis',(-0.707106781186553,0.707106781186542,0.)); #382802=DIRECTION('',(-0.707106781186553,0.707106781186542,0.)); #382803=DIRECTION('',(-0.707106781186553,0.707106781186542,0.)); #382804=DIRECTION('',(0.,0.,1.)); #382805=DIRECTION('center_axis',(0.,1.,0.)); #382806=DIRECTION('ref_axis',(-1.,0.,0.)); #382807=DIRECTION('',(-1.,0.,0.)); #382808=DIRECTION('',(-1.,0.,0.)); #382809=DIRECTION('',(0.,0.,1.)); #382810=DIRECTION('center_axis',(0.,0.,1.)); #382811=DIRECTION('ref_axis',(0.707102614154214,0.707110948194325,0.)); #382812=DIRECTION('center_axis',(0.,0.,1.)); #382813=DIRECTION('ref_axis',(0.707102614154214,0.707110948194325,0.)); #382814=DIRECTION('center_axis',(0.,0.,1.)); #382815=DIRECTION('ref_axis',(0.707102614154214,0.707110948194325,0.)); #382816=DIRECTION('',(0.,0.,1.)); #382817=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.)); #382818=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #382819=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #382820=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #382821=DIRECTION('',(0.,0.,1.)); #382822=DIRECTION('center_axis',(0.,0.,1.)); #382823=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199058E-6,0.)); #382824=DIRECTION('center_axis',(0.,0.,1.)); #382825=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199058E-6,0.)); #382826=DIRECTION('center_axis',(0.,0.,1.)); #382827=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199058E-6,0.)); #382828=DIRECTION('',(0.,0.,1.)); #382829=DIRECTION('center_axis',(1.,0.,0.)); #382830=DIRECTION('ref_axis',(0.,1.,0.)); #382831=DIRECTION('',(0.,1.,0.)); #382832=DIRECTION('',(0.,1.,0.)); #382833=DIRECTION('',(0.,0.,1.)); #382834=DIRECTION('center_axis',(0.,0.,1.)); #382835=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #382836=DIRECTION('center_axis',(0.,0.,1.)); #382837=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #382838=DIRECTION('center_axis',(0.,0.,1.)); #382839=DIRECTION('ref_axis',(0.234004121468812,-0.972235604745892,0.)); #382840=DIRECTION('center_axis',(0.,0.,1.)); #382841=DIRECTION('ref_axis',(1.,0.,0.)); #382842=DIRECTION('center_axis',(0.,0.,1.)); #382843=DIRECTION('ref_axis',(1.,0.,0.)); #382844=DIRECTION('center_axis',(0.,0.,1.)); #382845=DIRECTION('ref_axis',(1.,0.,0.)); #382846=DIRECTION('center_axis',(0.,0.,1.)); #382847=DIRECTION('ref_axis',(1.,0.,0.)); #382848=DIRECTION('',(0.,0.,1.)); #382849=DIRECTION('center_axis',(0.,0.,-1.)); #382850=DIRECTION('ref_axis',(1.,0.,0.)); #382851=DIRECTION('center_axis',(1.,0.,0.)); #382852=DIRECTION('ref_axis',(0.,1.,0.)); #382853=DIRECTION('',(0.,1.,0.)); #382854=DIRECTION('',(0.,0.,1.)); #382855=DIRECTION('',(0.,1.,0.)); #382856=DIRECTION('',(0.,0.,1.)); #382857=DIRECTION('center_axis',(0.,-1.,0.)); #382858=DIRECTION('ref_axis',(1.,0.,0.)); #382859=DIRECTION('',(1.,0.,0.)); #382860=DIRECTION('',(1.,0.,0.)); #382861=DIRECTION('',(0.,0.,1.)); #382862=DIRECTION('center_axis',(-1.,0.,0.)); #382863=DIRECTION('ref_axis',(0.,-1.,0.)); #382864=DIRECTION('',(0.,-1.,0.)); #382865=DIRECTION('',(0.,-1.,0.)); #382866=DIRECTION('',(0.,0.,1.)); #382867=DIRECTION('center_axis',(0.,1.,0.)); #382868=DIRECTION('ref_axis',(-1.,0.,0.)); #382869=DIRECTION('',(-1.,0.,0.)); #382870=DIRECTION('',(-1.,0.,0.)); #382871=DIRECTION('',(0.,0.,1.)); #382872=DIRECTION('center_axis',(-1.,0.,0.)); #382873=DIRECTION('ref_axis',(0.,-1.,0.)); #382874=DIRECTION('',(0.,-1.,0.)); #382875=DIRECTION('',(0.,-1.,0.)); #382876=DIRECTION('',(0.,0.,1.)); #382877=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #382878=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #382879=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #382880=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #382881=DIRECTION('',(0.,0.,1.)); #382882=DIRECTION('center_axis',(0.,0.,1.)); #382883=DIRECTION('ref_axis',(-0.999999999982576,5.90313871689288E-6,0.)); #382884=DIRECTION('center_axis',(0.,0.,1.)); #382885=DIRECTION('ref_axis',(-0.999999999982576,5.90313871689288E-6,0.)); #382886=DIRECTION('center_axis',(0.,0.,1.)); #382887=DIRECTION('ref_axis',(-0.999999999982576,5.90313871689288E-6,0.)); #382888=DIRECTION('',(0.,0.,1.)); #382889=DIRECTION('center_axis',(-1.,0.,0.)); #382890=DIRECTION('ref_axis',(0.,-1.,0.)); #382891=DIRECTION('',(0.,-1.,0.)); #382892=DIRECTION('',(0.,-1.,0.)); #382893=DIRECTION('',(0.,0.,1.)); #382894=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #382895=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #382896=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #382897=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #382898=DIRECTION('',(0.,0.,1.)); #382899=DIRECTION('center_axis',(0.,0.,1.)); #382900=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #382901=DIRECTION('center_axis',(0.,0.,1.)); #382902=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #382903=DIRECTION('center_axis',(0.,0.,1.)); #382904=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #382905=DIRECTION('',(0.,0.,1.)); #382906=DIRECTION('center_axis',(-1.,0.,0.)); #382907=DIRECTION('ref_axis',(0.,-1.,0.)); #382908=DIRECTION('',(0.,-1.,0.)); #382909=DIRECTION('',(0.,-1.,0.)); #382910=DIRECTION('',(0.,0.,1.)); #382911=DIRECTION('center_axis',(0.,0.,1.)); #382912=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #382913=DIRECTION('center_axis',(0.,0.,1.)); #382914=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #382915=DIRECTION('center_axis',(0.,0.,1.)); #382916=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #382917=DIRECTION('',(0.,0.,1.)); #382918=DIRECTION('center_axis',(0.,0.,1.)); #382919=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #382920=DIRECTION('center_axis',(0.,0.,1.)); #382921=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #382922=DIRECTION('center_axis',(0.,0.,1.)); #382923=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #382924=DIRECTION('',(0.,0.,1.)); #382925=DIRECTION('center_axis',(1.,0.,0.)); #382926=DIRECTION('ref_axis',(0.,1.,0.)); #382927=DIRECTION('',(0.,1.,0.)); #382928=DIRECTION('',(0.,1.,0.)); #382929=DIRECTION('',(0.,0.,1.)); #382930=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #382931=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #382932=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #382933=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #382934=DIRECTION('',(0.,0.,1.)); #382935=DIRECTION('center_axis',(0.,0.,1.)); #382936=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #382937=DIRECTION('center_axis',(0.,0.,1.)); #382938=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #382939=DIRECTION('center_axis',(0.,0.,1.)); #382940=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192572E-6,0.)); #382941=DIRECTION('',(0.,0.,1.)); #382942=DIRECTION('center_axis',(1.,0.,0.)); #382943=DIRECTION('ref_axis',(0.,1.,0.)); #382944=DIRECTION('',(0.,1.,0.)); #382945=DIRECTION('',(0.,1.,0.)); #382946=DIRECTION('',(0.,0.,1.)); #382947=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #382948=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #382949=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #382950=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #382951=DIRECTION('',(0.,0.,1.)); #382952=DIRECTION('center_axis',(0.,0.,1.)); #382953=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #382954=DIRECTION('center_axis',(0.,0.,1.)); #382955=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #382956=DIRECTION('center_axis',(0.,0.,1.)); #382957=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695774E-6,0.)); #382958=DIRECTION('',(0.,0.,1.)); #382959=DIRECTION('center_axis',(1.,0.,0.)); #382960=DIRECTION('ref_axis',(0.,1.,0.)); #382961=DIRECTION('',(0.,1.,0.)); #382962=DIRECTION('',(0.,1.,0.)); #382963=DIRECTION('',(0.,0.,1.)); #382964=DIRECTION('center_axis',(0.,1.,0.)); #382965=DIRECTION('ref_axis',(-1.,0.,0.)); #382966=DIRECTION('',(-1.,0.,0.)); #382967=DIRECTION('',(-1.,0.,0.)); #382968=DIRECTION('center_axis',(0.,0.,1.)); #382969=DIRECTION('ref_axis',(1.,0.,0.)); #382970=DIRECTION('center_axis',(0.,0.,1.)); #382971=DIRECTION('ref_axis',(1.,0.,0.)); #382972=DIRECTION('center_axis',(0.,0.,1.)); #382973=DIRECTION('ref_axis',(1.,0.,0.)); #382974=DIRECTION('center_axis',(0.,0.,1.)); #382975=DIRECTION('ref_axis',(1.,0.,0.)); #382976=DIRECTION('',(0.,0.,1.)); #382977=DIRECTION('center_axis',(0.,0.,-1.)); #382978=DIRECTION('ref_axis',(1.,0.,0.)); #382979=DIRECTION('center_axis',(0.,0.,1.)); #382980=DIRECTION('ref_axis',(1.,0.,0.)); #382981=DIRECTION('center_axis',(0.,0.,1.)); #382982=DIRECTION('ref_axis',(1.,0.,0.)); #382983=DIRECTION('',(0.,0.,1.)); #382984=DIRECTION('center_axis',(0.,0.,-1.)); #382985=DIRECTION('ref_axis',(1.,0.,0.)); #382986=DIRECTION('center_axis',(0.,0.,1.)); #382987=DIRECTION('ref_axis',(1.,0.,0.)); #382988=DIRECTION('center_axis',(0.,0.,1.)); #382989=DIRECTION('ref_axis',(1.,0.,0.)); #382990=DIRECTION('',(0.,0.,1.)); #382991=DIRECTION('center_axis',(0.,0.,-1.)); #382992=DIRECTION('ref_axis',(1.,0.,0.)); #382993=DIRECTION('center_axis',(0.,0.,1.)); #382994=DIRECTION('ref_axis',(1.,0.,0.)); #382995=DIRECTION('center_axis',(0.,0.,1.)); #382996=DIRECTION('ref_axis',(1.,0.,0.)); #382997=DIRECTION('',(0.,0.,1.)); #382998=DIRECTION('center_axis',(0.,0.,-1.)); #382999=DIRECTION('ref_axis',(1.,0.,0.)); #383000=DIRECTION('center_axis',(0.,0.,1.)); #383001=DIRECTION('ref_axis',(1.,0.,0.)); #383002=DIRECTION('center_axis',(0.,0.,1.)); #383003=DIRECTION('ref_axis',(1.,0.,0.)); #383004=DIRECTION('',(0.,0.,1.)); #383005=DIRECTION('center_axis',(0.,0.,-1.)); #383006=DIRECTION('ref_axis',(1.,0.,0.)); #383007=DIRECTION('center_axis',(0.,0.,1.)); #383008=DIRECTION('ref_axis',(1.,0.,0.)); #383009=DIRECTION('center_axis',(0.,0.,1.)); #383010=DIRECTION('ref_axis',(1.,0.,0.)); #383011=DIRECTION('',(0.,0.,1.)); #383012=DIRECTION('center_axis',(0.,0.,-1.)); #383013=DIRECTION('ref_axis',(1.,0.,0.)); #383014=DIRECTION('center_axis',(0.,0.,1.)); #383015=DIRECTION('ref_axis',(1.,0.,0.)); #383016=DIRECTION('center_axis',(0.,0.,1.)); #383017=DIRECTION('ref_axis',(1.,0.,0.)); #383018=DIRECTION('',(0.,0.,1.)); #383019=DIRECTION('center_axis',(0.,0.,-1.)); #383020=DIRECTION('ref_axis',(1.,0.,0.)); #383021=DIRECTION('center_axis',(0.,0.,1.)); #383022=DIRECTION('ref_axis',(1.,0.,0.)); #383023=DIRECTION('center_axis',(0.,0.,1.)); #383024=DIRECTION('ref_axis',(1.,0.,0.)); #383025=DIRECTION('',(0.,0.,1.)); #383026=DIRECTION('center_axis',(0.,0.,-1.)); #383027=DIRECTION('ref_axis',(1.,0.,0.)); #383028=DIRECTION('center_axis',(0.,0.,1.)); #383029=DIRECTION('ref_axis',(1.,0.,0.)); #383030=DIRECTION('center_axis',(0.,0.,1.)); #383031=DIRECTION('ref_axis',(1.,0.,0.)); #383032=DIRECTION('',(0.,0.,1.)); #383033=DIRECTION('center_axis',(0.,0.,-1.)); #383034=DIRECTION('ref_axis',(1.,0.,0.)); #383035=DIRECTION('center_axis',(0.,0.,1.)); #383036=DIRECTION('ref_axis',(1.,0.,0.)); #383037=DIRECTION('center_axis',(0.,0.,1.)); #383038=DIRECTION('ref_axis',(1.,0.,0.)); #383039=DIRECTION('',(0.,0.,1.)); #383040=DIRECTION('center_axis',(0.,0.,-1.)); #383041=DIRECTION('ref_axis',(1.,0.,0.)); #383042=DIRECTION('center_axis',(0.,0.,1.)); #383043=DIRECTION('ref_axis',(1.,0.,0.)); #383044=DIRECTION('center_axis',(0.,0.,1.)); #383045=DIRECTION('ref_axis',(1.,0.,0.)); #383046=DIRECTION('',(0.,0.,1.)); #383047=DIRECTION('center_axis',(0.,0.,-1.)); #383048=DIRECTION('ref_axis',(1.,0.,0.)); #383049=DIRECTION('center_axis',(0.199010641789413,-0.97999732879971,0.)); #383050=DIRECTION('ref_axis',(0.97999732879971,0.199010641789413,0.)); #383051=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #383052=DIRECTION('',(0.,0.,1.)); #383053=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #383054=DIRECTION('',(0.,0.,1.)); #383055=DIRECTION('center_axis',(0.,-1.,0.)); #383056=DIRECTION('ref_axis',(1.,0.,0.)); #383057=DIRECTION('',(1.,0.,0.)); #383058=DIRECTION('',(1.,0.,0.)); #383059=DIRECTION('',(0.,0.,1.)); #383060=DIRECTION('center_axis',(-0.183335538282804,-0.983050395657595, 0.)); #383061=DIRECTION('ref_axis',(0.983050395657595,-0.183335538282804,0.)); #383062=DIRECTION('',(0.983050395657595,-0.183335538282804,0.)); #383063=DIRECTION('',(0.983050395657595,-0.183335538282804,0.)); #383064=DIRECTION('',(0.,0.,1.)); #383065=DIRECTION('center_axis',(-0.538914460439928,-0.842360495470165, 0.)); #383066=DIRECTION('ref_axis',(0.842360495470165,-0.538914460439928,0.)); #383067=DIRECTION('',(0.842360495470165,-0.538914460439928,0.)); #383068=DIRECTION('',(0.842360495470165,-0.538914460439928,0.)); #383069=DIRECTION('',(0.,0.,1.)); #383070=DIRECTION('center_axis',(-0.824766287441766,-0.565473758099813, 0.)); #383071=DIRECTION('ref_axis',(0.565473758099813,-0.824766287441766,0.)); #383072=DIRECTION('',(0.565473758099813,-0.824766287441766,0.)); #383073=DIRECTION('',(0.565473758099813,-0.824766287441766,0.)); #383074=DIRECTION('',(0.,0.,1.)); #383075=DIRECTION('center_axis',(-0.979997328799679,-0.199010641789564, 0.)); #383076=DIRECTION('ref_axis',(0.199010641789564,-0.979997328799679,0.)); #383077=DIRECTION('',(0.199010641789564,-0.979997328799679,0.)); #383078=DIRECTION('',(0.199010641789564,-0.979997328799679,0.)); #383079=DIRECTION('',(0.,0.,1.)); #383080=DIRECTION('center_axis',(-1.,0.,0.)); #383081=DIRECTION('ref_axis',(0.,-1.,0.)); #383082=DIRECTION('',(0.,-1.,0.)); #383083=DIRECTION('',(0.,-1.,0.)); #383084=DIRECTION('',(0.,0.,1.)); #383085=DIRECTION('center_axis',(-0.995621238776244,-0.0934791361623409, 0.)); #383086=DIRECTION('ref_axis',(0.0934791361623409,-0.995621238776244,0.)); #383087=DIRECTION('',(0.0934791361623409,-0.995621238776244,0.)); #383088=DIRECTION('',(0.0934791361623409,-0.995621238776244,0.)); #383089=DIRECTION('',(0.,0.,1.)); #383090=DIRECTION('center_axis',(-0.960863719718224,-0.277021501203894, 0.)); #383091=DIRECTION('ref_axis',(0.277021501203894,-0.960863719718224,0.)); #383092=DIRECTION('',(0.277021501203894,-0.960863719718224,0.)); #383093=DIRECTION('',(0.277021501203894,-0.960863719718224,0.)); #383094=DIRECTION('',(0.,0.,1.)); #383095=DIRECTION('center_axis',(-0.892532404086875,-0.450983267599702, 0.)); #383096=DIRECTION('ref_axis',(0.450983267599702,-0.892532404086875,0.)); #383097=DIRECTION('',(0.450983267599702,-0.892532404086875,0.)); #383098=DIRECTION('',(0.450983267599702,-0.892532404086875,0.)); #383099=DIRECTION('',(0.,0.,1.)); #383100=DIRECTION('center_axis',(-0.793097730527882,-0.609094401412066, 0.)); #383101=DIRECTION('ref_axis',(0.609094401412066,-0.793097730527882,0.)); #383102=DIRECTION('',(0.609094401412066,-0.793097730527882,0.)); #383103=DIRECTION('',(0.609094401412066,-0.793097730527882,0.)); #383104=DIRECTION('',(0.,0.,1.)); #383105=DIRECTION('center_axis',(-0.665917848415929,-0.746025079445121, 0.)); #383106=DIRECTION('ref_axis',(0.746025079445121,-0.665917848415929,0.)); #383107=DIRECTION('',(0.746025079445121,-0.665917848415929,0.)); #383108=DIRECTION('',(0.746025079445121,-0.665917848415929,0.)); #383109=DIRECTION('',(0.,0.,1.)); #383110=DIRECTION('center_axis',(-0.515344405546105,-0.856983164170879, 0.)); #383111=DIRECTION('ref_axis',(0.856983164170879,-0.515344405546105,0.)); #383112=DIRECTION('',(0.856983164170879,-0.515344405546105,0.)); #383113=DIRECTION('',(0.856983164170879,-0.515344405546105,0.)); #383114=DIRECTION('',(0.,0.,1.)); #383115=DIRECTION('center_axis',(-0.347052026072811,-0.937845878169093, 0.)); #383116=DIRECTION('ref_axis',(0.937845878169093,-0.347052026072811,0.)); #383117=DIRECTION('',(0.937845878169093,-0.347052026072811,0.)); #383118=DIRECTION('',(0.937845878169093,-0.347052026072811,0.)); #383119=DIRECTION('',(0.,0.,1.)); #383120=DIRECTION('center_axis',(-0.130062439437506,-0.99150580525157,0.)); #383121=DIRECTION('ref_axis',(0.99150580525157,-0.130062439437506,0.)); #383122=DIRECTION('',(0.99150580525157,-0.130062439437506,0.)); #383123=DIRECTION('',(0.99150580525157,-0.130062439437506,0.)); #383124=DIRECTION('',(0.,0.,1.)); #383125=DIRECTION('center_axis',(0.,-1.,0.)); #383126=DIRECTION('ref_axis',(1.,0.,0.)); #383127=DIRECTION('',(1.,0.,0.)); #383128=DIRECTION('',(1.,0.,0.)); #383129=DIRECTION('',(0.,0.,1.)); #383130=DIRECTION('center_axis',(-0.183335538282758,-0.983050395657604, 0.)); #383131=DIRECTION('ref_axis',(0.983050395657604,-0.183335538282758,0.)); #383132=DIRECTION('',(0.983050395657604,-0.183335538282758,0.)); #383133=DIRECTION('',(0.983050395657604,-0.183335538282758,0.)); #383134=DIRECTION('',(0.,0.,1.)); #383135=DIRECTION('center_axis',(-0.538914460439817,-0.842360495470236, 0.)); #383136=DIRECTION('ref_axis',(0.842360495470236,-0.538914460439817,0.)); #383137=DIRECTION('',(0.842360495470236,-0.538914460439817,0.)); #383138=DIRECTION('',(0.842360495470236,-0.538914460439817,0.)); #383139=DIRECTION('',(0.,0.,1.)); #383140=DIRECTION('center_axis',(-0.8246744274587,-0.565607716262487,0.)); #383141=DIRECTION('ref_axis',(0.565607716262487,-0.8246744274587,0.)); #383142=DIRECTION('',(0.565607716262487,-0.8246744274587,0.)); #383143=DIRECTION('',(0.565607716262487,-0.8246744274587,0.)); #383144=DIRECTION('',(0.,0.,1.)); #383145=DIRECTION('center_axis',(-0.707106781186542,-0.707106781186553, 0.)); #383146=DIRECTION('ref_axis',(0.707106781186553,-0.707106781186542,0.)); #383147=DIRECTION('',(0.707106781186553,-0.707106781186542,0.)); #383148=DIRECTION('',(0.707106781186553,-0.707106781186542,0.)); #383149=DIRECTION('',(0.,0.,1.)); #383150=DIRECTION('center_axis',(0.,-1.,0.)); #383151=DIRECTION('ref_axis',(1.,0.,0.)); #383152=DIRECTION('',(1.,0.,0.)); #383153=DIRECTION('',(1.,0.,0.)); #383154=DIRECTION('',(0.,0.,1.)); #383155=DIRECTION('center_axis',(-0.183335538282816,-0.983050395657593, 0.)); #383156=DIRECTION('ref_axis',(0.983050395657593,-0.183335538282816,0.)); #383157=DIRECTION('',(0.983050395657593,-0.183335538282816,0.)); #383158=DIRECTION('',(0.983050395657593,-0.183335538282816,0.)); #383159=DIRECTION('',(0.,0.,1.)); #383160=DIRECTION('center_axis',(-0.538914460439865,-0.842360495470205, 0.)); #383161=DIRECTION('ref_axis',(0.842360495470205,-0.538914460439865,0.)); #383162=DIRECTION('',(0.842360495470205,-0.538914460439865,0.)); #383163=DIRECTION('',(0.842360495470205,-0.538914460439865,0.)); #383164=DIRECTION('',(0.,0.,1.)); #383165=DIRECTION('center_axis',(-0.824838804062798,-0.565367975138541, 0.)); #383166=DIRECTION('ref_axis',(0.565367975138541,-0.824838804062798,0.)); #383167=DIRECTION('',(0.565367975138541,-0.824838804062798,0.)); #383168=DIRECTION('',(0.565367975138541,-0.824838804062798,0.)); #383169=DIRECTION('',(0.,0.,1.)); #383170=DIRECTION('center_axis',(0.,0.,1.)); #383171=DIRECTION('ref_axis',(-0.887377267399284,-0.461044016665416,0.)); #383172=DIRECTION('center_axis',(0.,0.,1.)); #383173=DIRECTION('ref_axis',(-0.887377267399284,-0.461044016665416,0.)); #383174=DIRECTION('center_axis',(0.,0.,1.)); #383175=DIRECTION('ref_axis',(-0.887377267399284,-0.461044016665416,0.)); #383176=DIRECTION('',(0.,0.,1.)); #383177=DIRECTION('center_axis',(0.,-1.,0.)); #383178=DIRECTION('ref_axis',(1.,0.,0.)); #383179=DIRECTION('',(1.,0.,0.)); #383180=DIRECTION('',(1.,0.,0.)); #383181=DIRECTION('',(0.,0.,1.)); #383182=DIRECTION('center_axis',(0.707106781186559,-0.707106781186536,0.)); #383183=DIRECTION('ref_axis',(0.707106781186536,0.707106781186559,0.)); #383184=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #383185=DIRECTION('',(0.707106781186536,0.707106781186559,0.)); #383186=DIRECTION('',(0.,0.,1.)); #383187=DIRECTION('center_axis',(0.,0.,1.)); #383188=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #383189=DIRECTION('center_axis',(0.,0.,1.)); #383190=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #383191=DIRECTION('center_axis',(0.,0.,1.)); #383192=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #383193=DIRECTION('',(0.,0.,1.)); #383194=DIRECTION('center_axis',(0.,-1.,0.)); #383195=DIRECTION('ref_axis',(1.,0.,0.)); #383196=DIRECTION('',(1.,0.,0.)); #383197=DIRECTION('',(1.,0.,0.)); #383198=DIRECTION('',(0.,0.,1.)); #383199=DIRECTION('center_axis',(1.,0.,0.)); #383200=DIRECTION('ref_axis',(0.,1.,0.)); #383201=DIRECTION('',(0.,1.,0.)); #383202=DIRECTION('',(0.,1.,0.)); #383203=DIRECTION('',(0.,0.,1.)); #383204=DIRECTION('center_axis',(0.,-1.,0.)); #383205=DIRECTION('ref_axis',(1.,0.,0.)); #383206=DIRECTION('',(1.,0.,0.)); #383207=DIRECTION('',(1.,0.,0.)); #383208=DIRECTION('',(0.,0.,1.)); #383209=DIRECTION('center_axis',(-1.,0.,0.)); #383210=DIRECTION('ref_axis',(0.,-1.,0.)); #383211=DIRECTION('',(0.,-1.,0.)); #383212=DIRECTION('',(0.,-1.,0.)); #383213=DIRECTION('',(0.,0.,1.)); #383214=DIRECTION('center_axis',(0.,1.,0.)); #383215=DIRECTION('ref_axis',(-1.,0.,0.)); #383216=DIRECTION('',(-1.,0.,0.)); #383217=DIRECTION('',(-1.,0.,0.)); #383218=DIRECTION('',(0.,0.,1.)); #383219=DIRECTION('center_axis',(1.,0.,0.)); #383220=DIRECTION('ref_axis',(0.,1.,0.)); #383221=DIRECTION('',(0.,1.,0.)); #383222=DIRECTION('',(0.,1.,0.)); #383223=DIRECTION('',(0.,0.,1.)); #383224=DIRECTION('center_axis',(0.,1.,0.)); #383225=DIRECTION('ref_axis',(-1.,0.,0.)); #383226=DIRECTION('',(-1.,0.,0.)); #383227=DIRECTION('',(-1.,0.,0.)); #383228=DIRECTION('',(0.,0.,1.)); #383229=DIRECTION('center_axis',(-0.707106781186559,0.707106781186536,0.)); #383230=DIRECTION('ref_axis',(-0.707106781186536,-0.707106781186559,0.)); #383231=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #383232=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #383233=DIRECTION('',(0.,0.,1.)); #383234=DIRECTION('center_axis',(0.,0.,1.)); #383235=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #383236=DIRECTION('center_axis',(0.,0.,1.)); #383237=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #383238=DIRECTION('center_axis',(0.,0.,1.)); #383239=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #383240=DIRECTION('',(0.,0.,1.)); #383241=DIRECTION('center_axis',(0.,1.,0.)); #383242=DIRECTION('ref_axis',(-1.,0.,0.)); #383243=DIRECTION('',(-1.,0.,0.)); #383244=DIRECTION('',(-1.,0.,0.)); #383245=DIRECTION('',(0.,0.,1.)); #383246=DIRECTION('center_axis',(0.,0.,1.)); #383247=DIRECTION('ref_axis',(2.66249975407799E-5,0.999999999645555,0.)); #383248=DIRECTION('center_axis',(0.,0.,1.)); #383249=DIRECTION('ref_axis',(2.66249975407799E-5,0.999999999645555,0.)); #383250=DIRECTION('center_axis',(0.,0.,1.)); #383251=DIRECTION('ref_axis',(2.66249975407799E-5,0.999999999645555,0.)); #383252=DIRECTION('',(0.,0.,1.)); #383253=DIRECTION('center_axis',(-1.,0.,0.)); #383254=DIRECTION('ref_axis',(0.,-1.,0.)); #383255=DIRECTION('',(0.,-1.,0.)); #383256=DIRECTION('',(0.,-1.,0.)); #383257=DIRECTION('',(0.,0.,1.)); #383258=DIRECTION('center_axis',(0.,-1.,0.)); #383259=DIRECTION('ref_axis',(1.,0.,0.)); #383260=DIRECTION('',(1.,0.,0.)); #383261=DIRECTION('',(1.,0.,0.)); #383262=DIRECTION('',(0.,0.,1.)); #383263=DIRECTION('center_axis',(1.,0.,0.)); #383264=DIRECTION('ref_axis',(0.,1.,0.)); #383265=DIRECTION('',(0.,1.,0.)); #383266=DIRECTION('',(0.,1.,0.)); #383267=DIRECTION('',(0.,0.,1.)); #383268=DIRECTION('center_axis',(0.,-1.,0.)); #383269=DIRECTION('ref_axis',(1.,0.,0.)); #383270=DIRECTION('',(1.,0.,0.)); #383271=DIRECTION('',(1.,0.,0.)); #383272=DIRECTION('',(0.,0.,1.)); #383273=DIRECTION('center_axis',(-1.,0.,0.)); #383274=DIRECTION('ref_axis',(0.,-1.,0.)); #383275=DIRECTION('',(0.,-1.,0.)); #383276=DIRECTION('',(0.,-1.,0.)); #383277=DIRECTION('',(0.,0.,1.)); #383278=DIRECTION('center_axis',(0.,1.,0.)); #383279=DIRECTION('ref_axis',(-1.,0.,0.)); #383280=DIRECTION('',(-1.,0.,0.)); #383281=DIRECTION('',(-1.,0.,0.)); #383282=DIRECTION('',(0.,0.,1.)); #383283=DIRECTION('center_axis',(-1.,0.,0.)); #383284=DIRECTION('ref_axis',(0.,-1.,0.)); #383285=DIRECTION('',(0.,-1.,0.)); #383286=DIRECTION('',(0.,-1.,0.)); #383287=DIRECTION('',(0.,0.,1.)); #383288=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #383289=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #383290=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #383291=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #383292=DIRECTION('',(0.,0.,1.)); #383293=DIRECTION('center_axis',(0.,-1.,0.)); #383294=DIRECTION('ref_axis',(1.,0.,0.)); #383295=DIRECTION('',(1.,0.,0.)); #383296=DIRECTION('',(1.,0.,0.)); #383297=DIRECTION('',(0.,0.,1.)); #383298=DIRECTION('center_axis',(1.,0.,0.)); #383299=DIRECTION('ref_axis',(0.,1.,0.)); #383300=DIRECTION('',(0.,1.,0.)); #383301=DIRECTION('',(0.,1.,0.)); #383302=DIRECTION('',(0.,0.,1.)); #383303=DIRECTION('center_axis',(0.,-1.,0.)); #383304=DIRECTION('ref_axis',(1.,0.,0.)); #383305=DIRECTION('',(1.,0.,0.)); #383306=DIRECTION('',(1.,0.,0.)); #383307=DIRECTION('',(0.,0.,1.)); #383308=DIRECTION('center_axis',(-1.,0.,0.)); #383309=DIRECTION('ref_axis',(0.,-1.,0.)); #383310=DIRECTION('',(0.,-1.,0.)); #383311=DIRECTION('',(0.,-1.,0.)); #383312=DIRECTION('',(0.,0.,1.)); #383313=DIRECTION('center_axis',(0.,1.,0.)); #383314=DIRECTION('ref_axis',(-1.,0.,0.)); #383315=DIRECTION('',(-1.,0.,0.)); #383316=DIRECTION('',(-1.,0.,0.)); #383317=DIRECTION('',(0.,0.,1.)); #383318=DIRECTION('center_axis',(1.,0.,0.)); #383319=DIRECTION('ref_axis',(0.,1.,0.)); #383320=DIRECTION('',(0.,1.,0.)); #383321=DIRECTION('',(0.,1.,0.)); #383322=DIRECTION('',(0.,0.,1.)); #383323=DIRECTION('center_axis',(0.,1.,0.)); #383324=DIRECTION('ref_axis',(-1.,0.,0.)); #383325=DIRECTION('',(-1.,0.,0.)); #383326=DIRECTION('',(-1.,0.,0.)); #383327=DIRECTION('',(0.,0.,1.)); #383328=DIRECTION('center_axis',(0.,0.,1.)); #383329=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #383330=DIRECTION('center_axis',(0.,0.,1.)); #383331=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #383332=DIRECTION('center_axis',(0.,0.,1.)); #383333=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #383334=DIRECTION('',(0.,0.,1.)); #383335=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #383336=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #383337=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #383338=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #383339=DIRECTION('',(0.,0.,1.)); #383340=DIRECTION('center_axis',(0.,0.,1.)); #383341=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #383342=DIRECTION('center_axis',(0.,0.,1.)); #383343=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #383344=DIRECTION('center_axis',(0.,0.,1.)); #383345=DIRECTION('ref_axis',(0.999999999982576,-5.90313864695794E-6,0.)); #383346=DIRECTION('',(0.,0.,1.)); #383347=DIRECTION('center_axis',(1.,0.,0.)); #383348=DIRECTION('ref_axis',(0.,1.,0.)); #383349=DIRECTION('',(0.,1.,0.)); #383350=DIRECTION('',(0.,1.,0.)); #383351=DIRECTION('',(0.,0.,1.)); #383352=DIRECTION('center_axis',(0.,1.,0.)); #383353=DIRECTION('ref_axis',(-1.,0.,0.)); #383354=DIRECTION('',(-1.,0.,0.)); #383355=DIRECTION('',(-1.,0.,0.)); #383356=DIRECTION('',(0.,0.,1.)); #383357=DIRECTION('center_axis',(1.,0.,0.)); #383358=DIRECTION('ref_axis',(0.,1.,0.)); #383359=DIRECTION('',(0.,1.,0.)); #383360=DIRECTION('',(0.,1.,0.)); #383361=DIRECTION('',(0.,0.,1.)); #383362=DIRECTION('center_axis',(0.,1.,0.)); #383363=DIRECTION('ref_axis',(-1.,0.,0.)); #383364=DIRECTION('',(-1.,0.,0.)); #383365=DIRECTION('',(-1.,0.,0.)); #383366=DIRECTION('',(0.,0.,1.)); #383367=DIRECTION('center_axis',(-1.,0.,0.)); #383368=DIRECTION('ref_axis',(0.,-1.,0.)); #383369=DIRECTION('',(0.,-1.,0.)); #383370=DIRECTION('',(0.,-1.,0.)); #383371=DIRECTION('',(0.,0.,1.)); #383372=DIRECTION('center_axis',(-0.981552099144156,0.191194865688648,0.)); #383373=DIRECTION('ref_axis',(-0.191194865688648,-0.981552099144156,0.)); #383374=DIRECTION('',(-0.191194865688648,-0.981552099144156,0.)); #383375=DIRECTION('',(-0.191194865688648,-0.981552099144156,0.)); #383376=DIRECTION('',(0.,0.,1.)); #383377=DIRECTION('center_axis',(-0.833699290017745,0.552218701081291,0.)); #383378=DIRECTION('ref_axis',(-0.552218701081291,-0.833699290017745,0.)); #383379=DIRECTION('',(-0.552218701081291,-0.833699290017745,0.)); #383380=DIRECTION('',(-0.552218701081291,-0.833699290017745,0.)); #383381=DIRECTION('',(0.,0.,1.)); #383382=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #383383=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #383384=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #383385=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #383386=DIRECTION('',(0.,0.,1.)); #383387=DIRECTION('center_axis',(-0.558856232804877,0.829264560351605,0.)); #383388=DIRECTION('ref_axis',(-0.829264560351605,-0.558856232804877,0.)); #383389=DIRECTION('',(-0.829264560351605,-0.558856232804877,0.)); #383390=DIRECTION('',(-0.829264560351605,-0.558856232804877,0.)); #383391=DIRECTION('',(0.,0.,1.)); #383392=DIRECTION('center_axis',(-0.199010641789447,0.979997328799703,0.)); #383393=DIRECTION('ref_axis',(-0.979997328799703,-0.199010641789447,0.)); #383394=DIRECTION('',(-0.979997328799703,-0.199010641789447,0.)); #383395=DIRECTION('',(-0.979997328799703,-0.199010641789447,0.)); #383396=DIRECTION('',(0.,0.,1.)); #383397=DIRECTION('center_axis',(0.,1.,0.)); #383398=DIRECTION('ref_axis',(-1.,0.,0.)); #383399=DIRECTION('',(-1.,0.,0.)); #383400=DIRECTION('',(-1.,0.,0.)); #383401=DIRECTION('',(0.,0.,1.)); #383402=DIRECTION('center_axis',(-0.140944937191828,0.990017436553514,0.)); #383403=DIRECTION('ref_axis',(-0.990017436553514,-0.140944937191828,0.)); #383404=DIRECTION('',(-0.990017436553514,-0.140944937191828,0.)); #383405=DIRECTION('',(-0.990017436553514,-0.140944937191828,0.)); #383406=DIRECTION('',(0.,0.,1.)); #383407=DIRECTION('center_axis',(-0.613211584810861,0.7899186997747,0.)); #383408=DIRECTION('ref_axis',(-0.7899186997747,-0.613211584810861,0.)); #383409=DIRECTION('',(-0.7899186997747,-0.613211584810861,0.)); #383410=DIRECTION('',(-0.7899186997747,-0.613211584810861,0.)); #383411=DIRECTION('',(0.,0.,1.)); #383412=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #383413=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #383414=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #383415=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #383416=DIRECTION('',(0.,0.,1.)); #383417=DIRECTION('center_axis',(-0.799763100783004,0.600315735780726,0.)); #383418=DIRECTION('ref_axis',(-0.600315735780726,-0.799763100783004,0.)); #383419=DIRECTION('',(-0.600315735780726,-0.799763100783004,0.)); #383420=DIRECTION('',(-0.600315735780726,-0.799763100783004,0.)); #383421=DIRECTION('',(0.,0.,1.)); #383422=DIRECTION('center_axis',(-0.99212134539019,0.125280629073933,0.)); #383423=DIRECTION('ref_axis',(-0.125280629073933,-0.99212134539019,0.)); #383424=DIRECTION('',(-0.125280629073933,-0.99212134539019,0.)); #383425=DIRECTION('',(-0.125280629073933,-0.99212134539019,0.)); #383426=DIRECTION('',(0.,0.,1.)); #383427=DIRECTION('center_axis',(-1.,0.,0.)); #383428=DIRECTION('ref_axis',(0.,-1.,0.)); #383429=DIRECTION('',(0.,-1.,0.)); #383430=DIRECTION('',(0.,-1.,0.)); #383431=DIRECTION('',(0.,0.,1.)); #383432=DIRECTION('center_axis',(-0.98305039565761,0.183335538282724,0.)); #383433=DIRECTION('ref_axis',(-0.183335538282724,-0.98305039565761,0.)); #383434=DIRECTION('',(-0.183335538282724,-0.98305039565761,0.)); #383435=DIRECTION('',(-0.183335538282724,-0.98305039565761,0.)); #383436=DIRECTION('',(0.,0.,1.)); #383437=DIRECTION('center_axis',(-0.84236049547023,0.538914460439825,0.)); #383438=DIRECTION('ref_axis',(-0.538914460439825,-0.84236049547023,0.)); #383439=DIRECTION('',(-0.538914460439825,-0.84236049547023,0.)); #383440=DIRECTION('',(-0.538914460439825,-0.84236049547023,0.)); #383441=DIRECTION('',(0.,0.,1.)); #383442=DIRECTION('center_axis',(-0.565473758099692,0.82476628744185,0.)); #383443=DIRECTION('ref_axis',(-0.82476628744185,-0.565473758099692,0.)); #383444=DIRECTION('',(-0.82476628744185,-0.565473758099692,0.)); #383445=DIRECTION('',(-0.82476628744185,-0.565473758099692,0.)); #383446=DIRECTION('',(0.,0.,1.)); #383447=DIRECTION('center_axis',(-0.19901492196467,0.979996459603501,0.)); #383448=DIRECTION('ref_axis',(-0.979996459603501,-0.19901492196467,0.)); #383449=DIRECTION('',(-0.979996459603501,-0.19901492196467,0.)); #383450=DIRECTION('',(-0.979996459603501,-0.19901492196467,0.)); #383451=DIRECTION('',(0.,0.,1.)); #383452=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #383453=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #383454=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #383455=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #383456=DIRECTION('',(0.,0.,1.)); #383457=DIRECTION('center_axis',(-1.,0.,0.)); #383458=DIRECTION('ref_axis',(0.,-1.,0.)); #383459=DIRECTION('',(0.,-1.,0.)); #383460=DIRECTION('',(0.,-1.,0.)); #383461=DIRECTION('',(0.,0.,1.)); #383462=DIRECTION('center_axis',(-0.981552851945467,0.191191000932891,0.)); #383463=DIRECTION('ref_axis',(-0.191191000932891,-0.981552851945467,0.)); #383464=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #383465=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #383466=DIRECTION('',(0.,0.,1.)); #383467=DIRECTION('center_axis',(-0.833693256958937,0.552227809242888,0.)); #383468=DIRECTION('ref_axis',(-0.552227809242888,-0.833693256958937,0.)); #383469=DIRECTION('',(-0.552227809242888,-0.833693256958937,0.)); #383470=DIRECTION('',(-0.552227809242888,-0.833693256958937,0.)); #383471=DIRECTION('',(0.,0.,1.)); #383472=DIRECTION('center_axis',(-0.707106781186552,0.707106781186543,0.)); #383473=DIRECTION('ref_axis',(-0.707106781186543,-0.707106781186552,0.)); #383474=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #383475=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #383476=DIRECTION('',(0.,0.,1.)); #383477=DIRECTION('center_axis',(-0.558865776601855,0.829258128536106,0.)); #383478=DIRECTION('ref_axis',(-0.829258128536106,-0.558865776601855,0.)); #383479=DIRECTION('',(-0.829258128536106,-0.558865776601855,0.)); #383480=DIRECTION('',(-0.829258128536106,-0.558865776601855,0.)); #383481=DIRECTION('',(0.,0.,1.)); #383482=DIRECTION('center_axis',(-0.199006783325569,0.979998112340228,0.)); #383483=DIRECTION('ref_axis',(-0.979998112340228,-0.199006783325569,0.)); #383484=DIRECTION('',(-0.979998112340228,-0.199006783325569,0.)); #383485=DIRECTION('',(-0.979998112340228,-0.199006783325569,0.)); #383486=DIRECTION('',(0.,0.,1.)); #383487=DIRECTION('center_axis',(0.,1.,0.)); #383488=DIRECTION('ref_axis',(-1.,0.,0.)); #383489=DIRECTION('',(-1.,0.,0.)); #383490=DIRECTION('',(-1.,0.,0.)); #383491=DIRECTION('',(0.,0.,1.)); #383492=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #383493=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #383494=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #383495=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #383496=DIRECTION('',(0.,0.,1.)); #383497=DIRECTION('center_axis',(-1.,0.,0.)); #383498=DIRECTION('ref_axis',(0.,-1.,0.)); #383499=DIRECTION('',(0.,-1.,0.)); #383500=DIRECTION('',(0.,-1.,0.)); #383501=DIRECTION('',(0.,0.,1.)); #383502=DIRECTION('center_axis',(-0.981552851945467,0.191191000932891,0.)); #383503=DIRECTION('ref_axis',(-0.191191000932891,-0.981552851945467,0.)); #383504=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #383505=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #383506=DIRECTION('',(0.,0.,1.)); #383507=DIRECTION('center_axis',(-0.833693256959018,0.552227809242766,0.)); #383508=DIRECTION('ref_axis',(-0.552227809242766,-0.833693256959018,0.)); #383509=DIRECTION('',(-0.552227809242766,-0.833693256959018,0.)); #383510=DIRECTION('',(-0.552227809242766,-0.833693256959018,0.)); #383511=DIRECTION('',(0.,0.,1.)); #383512=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #383513=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #383514=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #383515=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #383516=DIRECTION('',(0.,0.,1.)); #383517=DIRECTION('center_axis',(-0.55886577660194,0.829258128536049,0.)); #383518=DIRECTION('ref_axis',(-0.829258128536049,-0.55886577660194,0.)); #383519=DIRECTION('',(-0.829258128536049,-0.55886577660194,0.)); #383520=DIRECTION('',(-0.829258128536049,-0.55886577660194,0.)); #383521=DIRECTION('',(0.,0.,1.)); #383522=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #383523=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #383524=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #383525=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #383526=DIRECTION('',(0.,0.,1.)); #383527=DIRECTION('center_axis',(0.,1.,0.)); #383528=DIRECTION('ref_axis',(-1.,0.,0.)); #383529=DIRECTION('',(-1.,0.,0.)); #383530=DIRECTION('',(-1.,0.,0.)); #383531=DIRECTION('',(0.,0.,1.)); #383532=DIRECTION('center_axis',(0.183314787014792,0.983054265471506,0.)); #383533=DIRECTION('ref_axis',(-0.983054265471506,0.183314787014792,0.)); #383534=DIRECTION('',(-0.983054265471506,0.183314787014792,0.)); #383535=DIRECTION('',(-0.983054265471506,0.183314787014792,0.)); #383536=DIRECTION('',(0.,0.,1.)); #383537=DIRECTION('center_axis',(0.538928498327832,0.842351514327663,0.)); #383538=DIRECTION('ref_axis',(-0.842351514327663,0.538928498327832,0.)); #383539=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #383540=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #383541=DIRECTION('',(0.,0.,1.)); #383542=DIRECTION('center_axis',(0.824766287441809,0.565473758099751,0.)); #383543=DIRECTION('ref_axis',(-0.565473758099751,0.824766287441808,0.)); #383544=DIRECTION('',(-0.565473758099751,0.824766287441808,0.)); #383545=DIRECTION('',(-0.565473758099751,0.824766287441808,0.)); #383546=DIRECTION('',(0.,0.,1.)); #383547=DIRECTION('center_axis',(0.979997328799744,0.199010641789244,0.)); #383548=DIRECTION('ref_axis',(-0.199010641789244,0.979997328799744,0.)); #383549=DIRECTION('',(-0.199010641789244,0.979997328799744,0.)); #383550=DIRECTION('',(-0.199010641789244,0.979997328799744,0.)); #383551=DIRECTION('',(0.,0.,1.)); #383552=DIRECTION('center_axis',(1.,0.,0.)); #383553=DIRECTION('ref_axis',(0.,1.,0.)); #383554=DIRECTION('',(0.,1.,0.)); #383555=DIRECTION('',(0.,1.,0.)); #383556=DIRECTION('',(0.,0.,1.)); #383557=DIRECTION('center_axis',(0.707106781186512,0.707106781186583,0.)); #383558=DIRECTION('ref_axis',(-0.707106781186583,0.707106781186512,0.)); #383559=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #383560=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #383561=DIRECTION('',(0.,0.,1.)); #383562=DIRECTION('center_axis',(0.,1.,0.)); #383563=DIRECTION('ref_axis',(-1.,0.,0.)); #383564=DIRECTION('',(-1.,0.,0.)); #383565=DIRECTION('',(-1.,0.,0.)); #383566=DIRECTION('',(0.,0.,1.)); #383567=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #383568=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #383569=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #383570=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #383571=DIRECTION('',(0.,0.,1.)); #383572=DIRECTION('center_axis',(-1.,0.,0.)); #383573=DIRECTION('ref_axis',(0.,-1.,0.)); #383574=DIRECTION('',(0.,-1.,0.)); #383575=DIRECTION('',(0.,-1.,0.)); #383576=DIRECTION('',(0.,0.,1.)); #383577=DIRECTION('center_axis',(-0.983050395657576,0.183335538282908,0.)); #383578=DIRECTION('ref_axis',(-0.183335538282908,-0.983050395657576,0.)); #383579=DIRECTION('',(-0.183335538282908,-0.983050395657576,0.)); #383580=DIRECTION('',(-0.183335538282908,-0.983050395657576,0.)); #383581=DIRECTION('',(0.,0.,1.)); #383582=DIRECTION('center_axis',(-0.842360495470205,0.538914460439865,0.)); #383583=DIRECTION('ref_axis',(-0.538914460439865,-0.842360495470205,0.)); #383584=DIRECTION('',(-0.538914460439865,-0.842360495470205,0.)); #383585=DIRECTION('',(-0.538914460439865,-0.842360495470205,0.)); #383586=DIRECTION('',(0.,0.,1.)); #383587=DIRECTION('center_axis',(-0.565473758099751,0.824766287441809,0.)); #383588=DIRECTION('ref_axis',(-0.824766287441808,-0.565473758099751,0.)); #383589=DIRECTION('',(-0.824766287441808,-0.565473758099751,0.)); #383590=DIRECTION('',(-0.824766287441808,-0.565473758099751,0.)); #383591=DIRECTION('',(0.,0.,1.)); #383592=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #383593=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #383594=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #383595=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #383596=DIRECTION('',(0.,0.,1.)); #383597=DIRECTION('center_axis',(0.,1.,0.)); #383598=DIRECTION('ref_axis',(-1.,0.,0.)); #383599=DIRECTION('',(-1.,0.,0.)); #383600=DIRECTION('',(-1.,0.,0.)); #383601=DIRECTION('',(0.,0.,1.)); #383602=DIRECTION('center_axis',(0.216895865380999,0.976194746749145,0.)); #383603=DIRECTION('ref_axis',(-0.976194746749145,0.216895865380999,0.)); #383604=DIRECTION('',(-0.976194746749145,0.216895865380999,0.)); #383605=DIRECTION('',(-0.976194746749145,0.216895865380999,0.)); #383606=DIRECTION('',(0.,0.,1.)); #383607=DIRECTION('center_axis',(0.595320754033768,0.803488145411409,0.)); #383608=DIRECTION('ref_axis',(-0.803488145411409,0.595320754033768,0.)); #383609=DIRECTION('',(-0.803488145411409,0.595320754033768,0.)); #383610=DIRECTION('',(-0.803488145411409,0.595320754033768,0.)); #383611=DIRECTION('',(0.,0.,1.)); #383612=DIRECTION('center_axis',(0.743294562183035,0.668964269471196,0.)); #383613=DIRECTION('ref_axis',(-0.668964269471196,0.743294562183034,0.)); #383614=DIRECTION('',(-0.668964269471196,0.743294562183034,0.)); #383615=DIRECTION('',(-0.668964269471196,0.743294562183034,0.)); #383616=DIRECTION('',(0.,0.,1.)); #383617=DIRECTION('center_axis',(0.843652661993583,0.536889360959166,0.)); #383618=DIRECTION('ref_axis',(-0.536889360959166,0.843652661993583,0.)); #383619=DIRECTION('',(-0.536889360959166,0.843652661993583,0.)); #383620=DIRECTION('',(-0.536889360959166,0.843652661993583,0.)); #383621=DIRECTION('',(0.,0.,1.)); #383622=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #383623=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #383624=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #383625=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #383626=DIRECTION('',(0.,0.,1.)); #383627=DIRECTION('center_axis',(1.,0.,0.)); #383628=DIRECTION('ref_axis',(0.,1.,0.)); #383629=DIRECTION('',(0.,1.,0.)); #383630=DIRECTION('',(0.,1.,0.)); #383631=DIRECTION('',(0.,0.,1.)); #383632=DIRECTION('center_axis',(0.981552099144129,-0.191194865688788,0.)); #383633=DIRECTION('ref_axis',(0.191194865688788,0.981552099144129,0.)); #383634=DIRECTION('',(0.191194865688788,0.981552099144129,0.)); #383635=DIRECTION('',(0.191194865688788,0.981552099144129,0.)); #383636=DIRECTION('',(0.,0.,1.)); #383637=DIRECTION('center_axis',(0.833699290017853,-0.552218701081128,0.)); #383638=DIRECTION('ref_axis',(0.552218701081128,0.833699290017853,0.)); #383639=DIRECTION('',(0.552218701081128,0.833699290017853,0.)); #383640=DIRECTION('',(0.552218701081128,0.833699290017853,0.)); #383641=DIRECTION('',(0.,0.,1.)); #383642=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #383643=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #383644=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #383645=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #383646=DIRECTION('',(0.,0.,1.)); #383647=DIRECTION('center_axis',(0.79976310078318,-0.600315735780492,0.)); #383648=DIRECTION('ref_axis',(0.600315735780492,0.79976310078318,0.)); #383649=DIRECTION('',(0.600315735780492,0.79976310078318,0.)); #383650=DIRECTION('',(0.600315735780492,0.79976310078318,0.)); #383651=DIRECTION('',(0.,0.,1.)); #383652=DIRECTION('center_axis',(0.99212134539019,-0.125280629073933,0.)); #383653=DIRECTION('ref_axis',(0.125280629073933,0.99212134539019,0.)); #383654=DIRECTION('',(0.125280629073933,0.99212134539019,0.)); #383655=DIRECTION('',(0.125280629073933,0.99212134539019,0.)); #383656=DIRECTION('',(0.,0.,1.)); #383657=DIRECTION('center_axis',(1.,0.,0.)); #383658=DIRECTION('ref_axis',(0.,1.,0.)); #383659=DIRECTION('',(0.,1.,0.)); #383660=DIRECTION('',(0.,1.,0.)); #383661=DIRECTION('',(0.,0.,1.)); #383662=DIRECTION('center_axis',(0.981552099144139,-0.191194865688736,0.)); #383663=DIRECTION('ref_axis',(0.191194865688736,0.981552099144139,0.)); #383664=DIRECTION('',(0.191194865688736,0.981552099144139,0.)); #383665=DIRECTION('',(0.191194865688736,0.981552099144139,0.)); #383666=DIRECTION('',(0.,0.,1.)); #383667=DIRECTION('center_axis',(0.833699290017812,-0.552218701081189,0.)); #383668=DIRECTION('ref_axis',(0.552218701081189,0.833699290017812,0.)); #383669=DIRECTION('',(0.552218701081189,0.833699290017812,0.)); #383670=DIRECTION('',(0.552218701081189,0.833699290017812,0.)); #383671=DIRECTION('',(0.,0.,1.)); #383672=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #383673=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #383674=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #383675=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #383676=DIRECTION('',(0.,0.,1.)); #383677=DIRECTION('center_axis',(0.558856232804908,-0.829264560351584,0.)); #383678=DIRECTION('ref_axis',(0.829264560351584,0.558856232804908,0.)); #383679=DIRECTION('',(0.829264560351584,0.558856232804908,0.)); #383680=DIRECTION('',(0.829264560351584,0.558856232804908,0.)); #383681=DIRECTION('center_axis',(0.,0.,1.)); #383682=DIRECTION('ref_axis',(1.,0.,0.)); #383683=DIRECTION('center_axis',(0.,0.,1.)); #383684=DIRECTION('ref_axis',(1.,0.,0.)); #383685=DIRECTION('center_axis',(0.,0.,1.)); #383686=DIRECTION('ref_axis',(1.,0.,0.)); #383687=DIRECTION('center_axis',(0.,0.,1.)); #383688=DIRECTION('ref_axis',(1.,0.,0.)); #383689=DIRECTION('',(0.,0.,1.)); #383690=DIRECTION('center_axis',(0.,0.,-1.)); #383691=DIRECTION('ref_axis',(1.,0.,0.)); #383692=DIRECTION('center_axis',(0.,0.,1.)); #383693=DIRECTION('ref_axis',(1.,0.,0.)); #383694=DIRECTION('center_axis',(0.,0.,1.)); #383695=DIRECTION('ref_axis',(1.,0.,0.)); #383696=DIRECTION('',(0.,0.,1.)); #383697=DIRECTION('center_axis',(0.,0.,-1.)); #383698=DIRECTION('ref_axis',(1.,0.,0.)); #383699=DIRECTION('center_axis',(0.,0.,1.)); #383700=DIRECTION('ref_axis',(1.,0.,0.)); #383701=DIRECTION('center_axis',(0.,0.,1.)); #383702=DIRECTION('ref_axis',(1.,0.,0.)); #383703=DIRECTION('',(0.,0.,1.)); #383704=DIRECTION('center_axis',(0.,0.,-1.)); #383705=DIRECTION('ref_axis',(1.,0.,0.)); #383706=DIRECTION('center_axis',(0.,0.,1.)); #383707=DIRECTION('ref_axis',(1.,0.,0.)); #383708=DIRECTION('center_axis',(0.,0.,1.)); #383709=DIRECTION('ref_axis',(1.,0.,0.)); #383710=DIRECTION('',(0.,0.,1.)); #383711=DIRECTION('center_axis',(0.,0.,-1.)); #383712=DIRECTION('ref_axis',(1.,0.,0.)); #383713=DIRECTION('center_axis',(0.,0.,1.)); #383714=DIRECTION('ref_axis',(1.,0.,0.)); #383715=DIRECTION('center_axis',(0.,0.,1.)); #383716=DIRECTION('ref_axis',(1.,0.,0.)); #383717=DIRECTION('',(0.,0.,1.)); #383718=DIRECTION('center_axis',(0.,0.,-1.)); #383719=DIRECTION('ref_axis',(1.,0.,0.)); #383720=DIRECTION('center_axis',(0.,0.,1.)); #383721=DIRECTION('ref_axis',(1.,0.,0.)); #383722=DIRECTION('center_axis',(0.,0.,1.)); #383723=DIRECTION('ref_axis',(1.,0.,0.)); #383724=DIRECTION('',(0.,0.,1.)); #383725=DIRECTION('center_axis',(0.,0.,-1.)); #383726=DIRECTION('ref_axis',(1.,0.,0.)); #383727=DIRECTION('center_axis',(0.,0.,1.)); #383728=DIRECTION('ref_axis',(1.,0.,0.)); #383729=DIRECTION('center_axis',(0.,0.,1.)); #383730=DIRECTION('ref_axis',(1.,0.,0.)); #383731=DIRECTION('',(0.,0.,1.)); #383732=DIRECTION('center_axis',(0.,0.,-1.)); #383733=DIRECTION('ref_axis',(1.,0.,0.)); #383734=DIRECTION('center_axis',(0.,0.,1.)); #383735=DIRECTION('ref_axis',(1.,0.,0.)); #383736=DIRECTION('center_axis',(0.,0.,1.)); #383737=DIRECTION('ref_axis',(1.,0.,0.)); #383738=DIRECTION('',(0.,0.,1.)); #383739=DIRECTION('center_axis',(0.,0.,-1.)); #383740=DIRECTION('ref_axis',(1.,0.,0.)); #383741=DIRECTION('center_axis',(0.,0.,1.)); #383742=DIRECTION('ref_axis',(1.,0.,0.)); #383743=DIRECTION('center_axis',(0.,0.,1.)); #383744=DIRECTION('ref_axis',(1.,0.,0.)); #383745=DIRECTION('',(0.,0.,1.)); #383746=DIRECTION('center_axis',(0.,0.,-1.)); #383747=DIRECTION('ref_axis',(1.,0.,0.)); #383748=DIRECTION('center_axis',(0.,0.,1.)); #383749=DIRECTION('ref_axis',(1.,0.,0.)); #383750=DIRECTION('center_axis',(0.,0.,1.)); #383751=DIRECTION('ref_axis',(1.,0.,0.)); #383752=DIRECTION('',(0.,0.,1.)); #383753=DIRECTION('center_axis',(0.,0.,-1.)); #383754=DIRECTION('ref_axis',(1.,0.,0.)); #383755=DIRECTION('center_axis',(0.,0.,1.)); #383756=DIRECTION('ref_axis',(1.,0.,0.)); #383757=DIRECTION('center_axis',(0.,0.,1.)); #383758=DIRECTION('ref_axis',(1.,0.,0.)); #383759=DIRECTION('',(0.,0.,1.)); #383760=DIRECTION('center_axis',(0.,0.,-1.)); #383761=DIRECTION('ref_axis',(1.,0.,0.)); #383762=DIRECTION('center_axis',(0.,0.,1.)); #383763=DIRECTION('ref_axis',(1.,0.,0.)); #383764=DIRECTION('center_axis',(0.,0.,1.)); #383765=DIRECTION('ref_axis',(1.,0.,0.)); #383766=DIRECTION('',(0.,0.,1.)); #383767=DIRECTION('center_axis',(0.,0.,-1.)); #383768=DIRECTION('ref_axis',(1.,0.,0.)); #383769=DIRECTION('center_axis',(0.,0.,1.)); #383770=DIRECTION('ref_axis',(1.,0.,0.)); #383771=DIRECTION('center_axis',(0.,0.,1.)); #383772=DIRECTION('ref_axis',(1.,0.,0.)); #383773=DIRECTION('',(0.,0.,1.)); #383774=DIRECTION('center_axis',(0.,0.,-1.)); #383775=DIRECTION('ref_axis',(1.,0.,0.)); #383776=DIRECTION('center_axis',(0.,0.,1.)); #383777=DIRECTION('ref_axis',(1.,0.,0.)); #383778=DIRECTION('center_axis',(0.,0.,1.)); #383779=DIRECTION('ref_axis',(1.,0.,0.)); #383780=DIRECTION('',(0.,0.,1.)); #383781=DIRECTION('center_axis',(0.,0.,-1.)); #383782=DIRECTION('ref_axis',(1.,0.,0.)); #383783=DIRECTION('center_axis',(0.,0.,1.)); #383784=DIRECTION('ref_axis',(1.,0.,0.)); #383785=DIRECTION('center_axis',(0.,0.,1.)); #383786=DIRECTION('ref_axis',(1.,0.,0.)); #383787=DIRECTION('',(0.,0.,1.)); #383788=DIRECTION('center_axis',(0.,0.,-1.)); #383789=DIRECTION('ref_axis',(1.,0.,0.)); #383790=DIRECTION('center_axis',(0.,0.,1.)); #383791=DIRECTION('ref_axis',(1.,0.,0.)); #383792=DIRECTION('center_axis',(0.,0.,1.)); #383793=DIRECTION('ref_axis',(1.,0.,0.)); #383794=DIRECTION('',(0.,0.,1.)); #383795=DIRECTION('center_axis',(0.,0.,-1.)); #383796=DIRECTION('ref_axis',(1.,0.,0.)); #383797=DIRECTION('center_axis',(0.,0.,1.)); #383798=DIRECTION('ref_axis',(1.,0.,0.)); #383799=DIRECTION('center_axis',(0.,0.,1.)); #383800=DIRECTION('ref_axis',(1.,0.,0.)); #383801=DIRECTION('',(0.,0.,1.)); #383802=DIRECTION('center_axis',(0.,0.,-1.)); #383803=DIRECTION('ref_axis',(1.,0.,0.)); #383804=DIRECTION('center_axis',(0.,0.,1.)); #383805=DIRECTION('ref_axis',(1.,0.,0.)); #383806=DIRECTION('center_axis',(0.,0.,1.)); #383807=DIRECTION('ref_axis',(1.,0.,0.)); #383808=DIRECTION('',(0.,0.,1.)); #383809=DIRECTION('center_axis',(0.,0.,-1.)); #383810=DIRECTION('ref_axis',(1.,0.,0.)); #383811=DIRECTION('center_axis',(0.,0.,1.)); #383812=DIRECTION('ref_axis',(1.,0.,0.)); #383813=DIRECTION('center_axis',(0.,0.,1.)); #383814=DIRECTION('ref_axis',(1.,0.,0.)); #383815=DIRECTION('',(0.,0.,1.)); #383816=DIRECTION('center_axis',(0.,0.,-1.)); #383817=DIRECTION('ref_axis',(1.,0.,0.)); #383818=DIRECTION('center_axis',(0.,0.,1.)); #383819=DIRECTION('ref_axis',(1.,0.,0.)); #383820=DIRECTION('center_axis',(0.,0.,1.)); #383821=DIRECTION('ref_axis',(1.,0.,0.)); #383822=DIRECTION('',(0.,0.,1.)); #383823=DIRECTION('center_axis',(0.,0.,-1.)); #383824=DIRECTION('ref_axis',(1.,0.,0.)); #383825=DIRECTION('center_axis',(0.,0.,1.)); #383826=DIRECTION('ref_axis',(1.,0.,0.)); #383827=DIRECTION('center_axis',(0.,0.,1.)); #383828=DIRECTION('ref_axis',(1.,0.,0.)); #383829=DIRECTION('',(0.,0.,1.)); #383830=DIRECTION('center_axis',(0.,0.,-1.)); #383831=DIRECTION('ref_axis',(1.,0.,0.)); #383832=DIRECTION('center_axis',(0.,0.,1.)); #383833=DIRECTION('ref_axis',(1.,0.,0.)); #383834=DIRECTION('center_axis',(0.,0.,1.)); #383835=DIRECTION('ref_axis',(1.,0.,0.)); #383836=DIRECTION('',(0.,0.,1.)); #383837=DIRECTION('center_axis',(0.,0.,-1.)); #383838=DIRECTION('ref_axis',(1.,0.,0.)); #383839=DIRECTION('center_axis',(0.,0.,1.)); #383840=DIRECTION('ref_axis',(1.,0.,0.)); #383841=DIRECTION('center_axis',(0.,0.,1.)); #383842=DIRECTION('ref_axis',(1.,0.,0.)); #383843=DIRECTION('',(0.,0.,1.)); #383844=DIRECTION('center_axis',(0.,0.,-1.)); #383845=DIRECTION('ref_axis',(1.,0.,0.)); #383846=DIRECTION('center_axis',(0.,0.,1.)); #383847=DIRECTION('ref_axis',(1.,0.,0.)); #383848=DIRECTION('center_axis',(0.,0.,1.)); #383849=DIRECTION('ref_axis',(1.,0.,0.)); #383850=DIRECTION('',(0.,0.,1.)); #383851=DIRECTION('center_axis',(0.,0.,-1.)); #383852=DIRECTION('ref_axis',(1.,0.,0.)); #383853=DIRECTION('center_axis',(0.,0.,1.)); #383854=DIRECTION('ref_axis',(1.,0.,0.)); #383855=DIRECTION('center_axis',(0.,0.,1.)); #383856=DIRECTION('ref_axis',(1.,0.,0.)); #383857=DIRECTION('',(0.,0.,1.)); #383858=DIRECTION('center_axis',(0.,0.,-1.)); #383859=DIRECTION('ref_axis',(1.,0.,0.)); #383860=DIRECTION('center_axis',(0.,0.,1.)); #383861=DIRECTION('ref_axis',(1.,0.,0.)); #383862=DIRECTION('center_axis',(0.,0.,1.)); #383863=DIRECTION('ref_axis',(1.,0.,0.)); #383864=DIRECTION('',(0.,0.,1.)); #383865=DIRECTION('center_axis',(0.,0.,-1.)); #383866=DIRECTION('ref_axis',(1.,0.,0.)); #383867=DIRECTION('center_axis',(0.,0.,1.)); #383868=DIRECTION('ref_axis',(1.,0.,0.)); #383869=DIRECTION('center_axis',(0.,0.,1.)); #383870=DIRECTION('ref_axis',(1.,0.,0.)); #383871=DIRECTION('',(0.,0.,1.)); #383872=DIRECTION('center_axis',(0.,0.,-1.)); #383873=DIRECTION('ref_axis',(1.,0.,0.)); #383874=DIRECTION('center_axis',(0.,0.,1.)); #383875=DIRECTION('ref_axis',(1.,0.,0.)); #383876=DIRECTION('center_axis',(0.,0.,1.)); #383877=DIRECTION('ref_axis',(1.,0.,0.)); #383878=DIRECTION('',(0.,0.,1.)); #383879=DIRECTION('center_axis',(0.,0.,-1.)); #383880=DIRECTION('ref_axis',(1.,0.,0.)); #383881=DIRECTION('center_axis',(0.,0.,1.)); #383882=DIRECTION('ref_axis',(1.,0.,0.)); #383883=DIRECTION('center_axis',(0.,0.,1.)); #383884=DIRECTION('ref_axis',(1.,0.,0.)); #383885=DIRECTION('',(0.,0.,1.)); #383886=DIRECTION('center_axis',(0.,0.,-1.)); #383887=DIRECTION('ref_axis',(1.,0.,0.)); #383888=DIRECTION('center_axis',(0.,0.,1.)); #383889=DIRECTION('ref_axis',(1.,0.,0.)); #383890=DIRECTION('center_axis',(0.,0.,1.)); #383891=DIRECTION('ref_axis',(1.,0.,0.)); #383892=DIRECTION('',(0.,0.,1.)); #383893=DIRECTION('center_axis',(0.,0.,-1.)); #383894=DIRECTION('ref_axis',(1.,0.,0.)); #383895=DIRECTION('center_axis',(0.,0.,1.)); #383896=DIRECTION('ref_axis',(1.,0.,0.)); #383897=DIRECTION('center_axis',(0.,0.,1.)); #383898=DIRECTION('ref_axis',(1.,0.,0.)); #383899=DIRECTION('',(0.,0.,1.)); #383900=DIRECTION('center_axis',(0.,0.,-1.)); #383901=DIRECTION('ref_axis',(1.,0.,0.)); #383902=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #383903=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #383904=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #383905=DIRECTION('',(0.,0.,1.)); #383906=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #383907=DIRECTION('',(0.,0.,1.)); #383908=DIRECTION('center_axis',(0.,0.,1.)); #383909=DIRECTION('ref_axis',(-0.461527865934846,0.887125712041776,0.)); #383910=DIRECTION('center_axis',(0.,0.,1.)); #383911=DIRECTION('ref_axis',(-0.461527865934846,0.887125712041776,0.)); #383912=DIRECTION('center_axis',(0.,0.,1.)); #383913=DIRECTION('ref_axis',(-0.461527865934846,0.887125712041776,0.)); #383914=DIRECTION('',(0.,0.,1.)); #383915=DIRECTION('center_axis',(-1.,0.,0.)); #383916=DIRECTION('ref_axis',(0.,-1.,0.)); #383917=DIRECTION('',(0.,-1.,0.)); #383918=DIRECTION('',(0.,-1.,0.)); #383919=DIRECTION('',(0.,0.,1.)); #383920=DIRECTION('center_axis',(0.,0.,1.)); #383921=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154249,0.)); #383922=DIRECTION('center_axis',(0.,0.,1.)); #383923=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154249,0.)); #383924=DIRECTION('center_axis',(0.,0.,1.)); #383925=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154249,0.)); #383926=DIRECTION('',(0.,0.,1.)); #383927=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #383928=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #383929=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #383930=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #383931=DIRECTION('',(0.,0.,1.)); #383932=DIRECTION('center_axis',(0.,0.,1.)); #383933=DIRECTION('ref_axis',(9.84004343396653E-6,0.999999999951587,0.)); #383934=DIRECTION('center_axis',(0.,0.,1.)); #383935=DIRECTION('ref_axis',(9.84004343396653E-6,0.999999999951587,0.)); #383936=DIRECTION('center_axis',(0.,0.,1.)); #383937=DIRECTION('ref_axis',(9.84004343396653E-6,0.999999999951587,0.)); #383938=DIRECTION('',(0.,0.,1.)); #383939=DIRECTION('center_axis',(0.,1.,0.)); #383940=DIRECTION('ref_axis',(-1.,0.,0.)); #383941=DIRECTION('',(-1.,0.,0.)); #383942=DIRECTION('',(-1.,0.,0.)); #383943=DIRECTION('',(0.,0.,1.)); #383944=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #383945=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #383946=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #383947=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #383948=DIRECTION('',(0.,0.,1.)); #383949=DIRECTION('center_axis',(-1.,0.,0.)); #383950=DIRECTION('ref_axis',(0.,-1.,0.)); #383951=DIRECTION('',(0.,-1.,0.)); #383952=DIRECTION('',(0.,-1.,0.)); #383953=DIRECTION('',(0.,0.,1.)); #383954=DIRECTION('center_axis',(-0.183379387167296,-0.983042216978573, 0.)); #383955=DIRECTION('ref_axis',(0.983042216978573,-0.183379387167296,0.)); #383956=DIRECTION('',(0.983042216978573,-0.183379387167296,0.)); #383957=DIRECTION('',(0.983042216978573,-0.183379387167296,0.)); #383958=DIRECTION('',(0.,0.,1.)); #383959=DIRECTION('center_axis',(-0.552204950198475,-0.833708398048322, 0.)); #383960=DIRECTION('ref_axis',(0.833708398048322,-0.552204950198475,0.)); #383961=DIRECTION('',(0.833708398048322,-0.552204950198475,0.)); #383962=DIRECTION('',(0.833708398048322,-0.552204950198475,0.)); #383963=DIRECTION('',(0.,0.,1.)); #383964=DIRECTION('center_axis',(-0.70710678118667,-0.707106781186425,0.)); #383965=DIRECTION('ref_axis',(0.707106781186425,-0.70710678118667,0.)); #383966=DIRECTION('',(0.707106781186425,-0.70710678118667,0.)); #383967=DIRECTION('',(0.707106781186425,-0.70710678118667,0.)); #383968=DIRECTION('',(0.,0.,1.)); #383969=DIRECTION('center_axis',(0.,-1.,0.)); #383970=DIRECTION('ref_axis',(1.,0.,0.)); #383971=DIRECTION('',(1.,0.,0.)); #383972=DIRECTION('',(1.,0.,0.)); #383973=DIRECTION('',(0.,0.,1.)); #383974=DIRECTION('center_axis',(-0.191191000932715,-0.981552851945501, 0.)); #383975=DIRECTION('ref_axis',(0.981552851945501,-0.191191000932715,0.)); #383976=DIRECTION('',(0.981552851945501,-0.191191000932715,0.)); #383977=DIRECTION('',(0.981552851945501,-0.191191000932715,0.)); #383978=DIRECTION('',(0.,0.,1.)); #383979=DIRECTION('center_axis',(-0.552227809242888,-0.833693256958937, 0.)); #383980=DIRECTION('ref_axis',(0.833693256958937,-0.552227809242888,0.)); #383981=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #383982=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #383983=DIRECTION('',(0.,0.,1.)); #383984=DIRECTION('center_axis',(-0.707105793340283,-0.707107769031432, 0.)); #383985=DIRECTION('ref_axis',(0.707107769031432,-0.707105793340283,0.)); #383986=DIRECTION('',(0.707107769031432,-0.707105793340283,0.)); #383987=DIRECTION('',(0.707107769031432,-0.707105793340283,0.)); #383988=DIRECTION('',(0.,0.,1.)); #383989=DIRECTION('center_axis',(0.,-1.,0.)); #383990=DIRECTION('ref_axis',(1.,0.,0.)); #383991=DIRECTION('',(1.,0.,0.)); #383992=DIRECTION('',(1.,0.,0.)); #383993=DIRECTION('',(0.,0.,1.)); #383994=DIRECTION('center_axis',(-0.191191000932715,-0.981552851945501, 0.)); #383995=DIRECTION('ref_axis',(0.981552851945501,-0.191191000932715,0.)); #383996=DIRECTION('',(0.981552851945501,-0.191191000932715,0.)); #383997=DIRECTION('',(0.981552851945501,-0.191191000932715,0.)); #383998=DIRECTION('',(0.,0.,1.)); #383999=DIRECTION('center_axis',(-0.552227809242888,-0.833693256958937, 0.)); #384000=DIRECTION('ref_axis',(0.833693256958937,-0.552227809242888,0.)); #384001=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #384002=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #384003=DIRECTION('',(0.,0.,1.)); #384004=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #384005=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #384006=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #384007=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #384008=DIRECTION('',(0.,0.,1.)); #384009=DIRECTION('center_axis',(-0.829258128536049,-0.55886577660194,0.)); #384010=DIRECTION('ref_axis',(0.55886577660194,-0.829258128536049,0.)); #384011=DIRECTION('',(0.55886577660194,-0.829258128536049,0.)); #384012=DIRECTION('',(0.55886577660194,-0.829258128536049,0.)); #384013=DIRECTION('',(0.,0.,1.)); #384014=DIRECTION('center_axis',(-0.979998112340235,-0.199006783325535, 0.)); #384015=DIRECTION('ref_axis',(0.199006783325535,-0.979998112340235,0.)); #384016=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #384017=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #384018=DIRECTION('',(0.,0.,1.)); #384019=DIRECTION('center_axis',(-1.,0.,0.)); #384020=DIRECTION('ref_axis',(0.,-1.,0.)); #384021=DIRECTION('',(0.,-1.,0.)); #384022=DIRECTION('',(0.,-1.,0.)); #384023=DIRECTION('',(0.,0.,1.)); #384024=DIRECTION('center_axis',(-0.981552851945467,0.191191000932891,0.)); #384025=DIRECTION('ref_axis',(-0.191191000932891,-0.981552851945467,0.)); #384026=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #384027=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #384028=DIRECTION('',(0.,0.,1.)); #384029=DIRECTION('center_axis',(-0.833693256959018,0.552227809242766,0.)); #384030=DIRECTION('ref_axis',(-0.552227809242766,-0.833693256959018,0.)); #384031=DIRECTION('',(-0.552227809242766,-0.833693256959018,0.)); #384032=DIRECTION('',(-0.552227809242766,-0.833693256959018,0.)); #384033=DIRECTION('',(0.,0.,1.)); #384034=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #384035=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #384036=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #384037=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #384038=DIRECTION('',(0.,0.,1.)); #384039=DIRECTION('center_axis',(-0.558865776601981,0.829258128536022,0.)); #384040=DIRECTION('ref_axis',(-0.829258128536021,-0.558865776601981,0.)); #384041=DIRECTION('',(-0.829258128536021,-0.558865776601981,0.)); #384042=DIRECTION('',(-0.829258128536021,-0.558865776601981,0.)); #384043=DIRECTION('',(0.,0.,1.)); #384044=DIRECTION('center_axis',(-0.199006783325366,0.979998112340269,0.)); #384045=DIRECTION('ref_axis',(-0.979998112340269,-0.199006783325366,0.)); #384046=DIRECTION('',(-0.979998112340269,-0.199006783325366,0.)); #384047=DIRECTION('',(-0.979998112340269,-0.199006783325366,0.)); #384048=DIRECTION('',(0.,0.,1.)); #384049=DIRECTION('center_axis',(0.,1.,0.)); #384050=DIRECTION('ref_axis',(-1.,0.,0.)); #384051=DIRECTION('',(-1.,0.,0.)); #384052=DIRECTION('',(-1.,0.,0.)); #384053=DIRECTION('',(0.,0.,1.)); #384054=DIRECTION('center_axis',(0.191191000932715,0.981552851945501,0.)); #384055=DIRECTION('ref_axis',(-0.981552851945501,0.191191000932715,0.)); #384056=DIRECTION('',(-0.981552851945501,0.191191000932715,0.)); #384057=DIRECTION('',(-0.981552851945501,0.191191000932715,0.)); #384058=DIRECTION('',(0.,0.,1.)); #384059=DIRECTION('center_axis',(0.552218701081331,0.833699290017718,0.)); #384060=DIRECTION('ref_axis',(-0.833699290017718,0.552218701081331,0.)); #384061=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #384062=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #384063=DIRECTION('',(0.,0.,1.)); #384064=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #384065=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #384066=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #384067=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #384068=DIRECTION('',(0.,0.,1.)); #384069=DIRECTION('center_axis',(0.,1.,0.)); #384070=DIRECTION('ref_axis',(-1.,0.,0.)); #384071=DIRECTION('',(-1.,0.,0.)); #384072=DIRECTION('',(-1.,0.,0.)); #384073=DIRECTION('',(0.,0.,1.)); #384074=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #384075=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #384076=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #384077=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #384078=DIRECTION('',(0.,0.,1.)); #384079=DIRECTION('center_axis',(-1.,0.,0.)); #384080=DIRECTION('ref_axis',(0.,-1.,0.)); #384081=DIRECTION('',(0.,-1.,0.)); #384082=DIRECTION('',(0.,-1.,0.)); #384083=DIRECTION('',(0.,0.,1.)); #384084=DIRECTION('center_axis',(-0.967598887509711,0.252492362042832,0.)); #384085=DIRECTION('ref_axis',(-0.252492362042832,-0.967598887509711,0.)); #384086=DIRECTION('',(-0.252492362042832,-0.967598887509711,0.)); #384087=DIRECTION('',(-0.252492362042832,-0.967598887509711,0.)); #384088=DIRECTION('',(0.,0.,1.)); #384089=DIRECTION('center_axis',(-0.757857751583445,0.652419825238998,0.)); #384090=DIRECTION('ref_axis',(-0.652419825238998,-0.757857751583445,0.)); #384091=DIRECTION('',(-0.652419825238998,-0.757857751583445,0.)); #384092=DIRECTION('',(-0.652419825238998,-0.757857751583445,0.)); #384093=DIRECTION('',(0.,0.,1.)); #384094=DIRECTION('center_axis',(-0.706504732320894,-0.707708317888226, 0.)); #384095=DIRECTION('ref_axis',(0.707708317888226,-0.706504732320894,0.)); #384096=DIRECTION('',(0.707708317888226,-0.706504732320894,0.)); #384097=DIRECTION('',(0.707708317888226,-0.706504732320894,0.)); #384098=DIRECTION('',(0.,0.,1.)); #384099=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #384100=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #384101=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #384102=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #384103=DIRECTION('',(0.,0.,1.)); #384104=DIRECTION('center_axis',(-0.558856232805025,0.829264560351506,0.)); #384105=DIRECTION('ref_axis',(-0.829264560351506,-0.558856232805025,0.)); #384106=DIRECTION('',(-0.829264560351506,-0.558856232805025,0.)); #384107=DIRECTION('',(-0.829264560351506,-0.558856232805025,0.)); #384108=DIRECTION('',(0.,0.,1.)); #384109=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #384110=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #384111=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #384112=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #384113=DIRECTION('',(0.,0.,1.)); #384114=DIRECTION('center_axis',(0.,1.,0.)); #384115=DIRECTION('ref_axis',(-1.,0.,0.)); #384116=DIRECTION('',(-1.,0.,0.)); #384117=DIRECTION('',(-1.,0.,0.)); #384118=DIRECTION('',(0.,0.,1.)); #384119=DIRECTION('center_axis',(0.183314787014792,0.983054265471506,0.)); #384120=DIRECTION('ref_axis',(-0.983054265471506,0.183314787014792,0.)); #384121=DIRECTION('',(-0.983054265471506,0.183314787014792,0.)); #384122=DIRECTION('',(-0.983054265471506,0.183314787014792,0.)); #384123=DIRECTION('',(0.,0.,1.)); #384124=DIRECTION('center_axis',(0.538928498327957,0.842351514327583,0.)); #384125=DIRECTION('ref_axis',(-0.842351514327584,0.538928498327957,0.)); #384126=DIRECTION('',(-0.842351514327584,0.538928498327957,0.)); #384127=DIRECTION('',(-0.842351514327584,0.538928498327957,0.)); #384128=DIRECTION('',(0.,0.,1.)); #384129=DIRECTION('center_axis',(0.824766287441752,0.565473758099833,0.)); #384130=DIRECTION('ref_axis',(-0.565473758099833,0.824766287441752,0.)); #384131=DIRECTION('',(-0.565473758099833,0.824766287441752,0.)); #384132=DIRECTION('',(-0.565473758099833,0.824766287441752,0.)); #384133=DIRECTION('',(0.,0.,1.)); #384134=DIRECTION('center_axis',(0.979997328799717,0.199010641789378,0.)); #384135=DIRECTION('ref_axis',(-0.199010641789378,0.979997328799717,0.)); #384136=DIRECTION('',(-0.199010641789378,0.979997328799717,0.)); #384137=DIRECTION('',(-0.199010641789378,0.979997328799717,0.)); #384138=DIRECTION('',(0.,0.,1.)); #384139=DIRECTION('center_axis',(1.,0.,0.)); #384140=DIRECTION('ref_axis',(0.,1.,0.)); #384141=DIRECTION('',(0.,1.,0.)); #384142=DIRECTION('',(0.,1.,0.)); #384143=DIRECTION('',(0.,0.,1.)); #384144=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #384145=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #384146=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #384147=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #384148=DIRECTION('',(0.,0.,1.)); #384149=DIRECTION('center_axis',(0.,1.,0.)); #384150=DIRECTION('ref_axis',(-1.,0.,0.)); #384151=DIRECTION('',(-1.,0.,0.)); #384152=DIRECTION('',(-1.,0.,0.)); #384153=DIRECTION('',(0.,0.,1.)); #384154=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #384155=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #384156=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #384157=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #384158=DIRECTION('',(0.,0.,1.)); #384159=DIRECTION('center_axis',(-1.,0.,0.)); #384160=DIRECTION('ref_axis',(0.,-1.,0.)); #384161=DIRECTION('',(0.,-1.,0.)); #384162=DIRECTION('',(0.,-1.,0.)); #384163=DIRECTION('',(0.,0.,1.)); #384164=DIRECTION('center_axis',(-0.983050395657604,0.183335538282758,0.)); #384165=DIRECTION('ref_axis',(-0.183335538282758,-0.983050395657604,0.)); #384166=DIRECTION('',(-0.183335538282758,-0.983050395657604,0.)); #384167=DIRECTION('',(-0.183335538282758,-0.983050395657604,0.)); #384168=DIRECTION('',(0.,0.,1.)); #384169=DIRECTION('center_axis',(-0.842360495470256,0.538914460439786,0.)); #384170=DIRECTION('ref_axis',(-0.538914460439786,-0.842360495470256,0.)); #384171=DIRECTION('',(-0.538914460439786,-0.842360495470256,0.)); #384172=DIRECTION('',(-0.538914460439786,-0.842360495470256,0.)); #384173=DIRECTION('',(0.,0.,1.)); #384174=DIRECTION('center_axis',(-0.565473758099632,0.824766287441891,0.)); #384175=DIRECTION('ref_axis',(-0.824766287441891,-0.565473758099632,0.)); #384176=DIRECTION('',(-0.824766287441891,-0.565473758099632,0.)); #384177=DIRECTION('',(-0.824766287441891,-0.565473758099632,0.)); #384178=DIRECTION('',(0.,0.,1.)); #384179=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #384180=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #384181=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #384182=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #384183=DIRECTION('',(0.,0.,1.)); #384184=DIRECTION('center_axis',(0.,1.,0.)); #384185=DIRECTION('ref_axis',(-1.,0.,0.)); #384186=DIRECTION('',(-1.,0.,0.)); #384187=DIRECTION('',(-1.,0.,0.)); #384188=DIRECTION('',(0.,0.,1.)); #384189=DIRECTION('center_axis',(0.191191000932891,0.981552851945467,0.)); #384190=DIRECTION('ref_axis',(-0.981552851945467,0.191191000932891,0.)); #384191=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #384192=DIRECTION('',(-0.981552851945467,0.191191000932891,0.)); #384193=DIRECTION('',(0.,0.,1.)); #384194=DIRECTION('center_axis',(0.552227809242766,0.833693256959018,0.)); #384195=DIRECTION('ref_axis',(-0.833693256959018,0.552227809242766,0.)); #384196=DIRECTION('',(-0.833693256959018,0.552227809242766,0.)); #384197=DIRECTION('',(-0.833693256959018,0.552227809242766,0.)); #384198=DIRECTION('',(0.,0.,1.)); #384199=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #384200=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #384201=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #384202=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #384203=DIRECTION('',(0.,0.,1.)); #384204=DIRECTION('center_axis',(0.829258128536049,0.55886577660194,0.)); #384205=DIRECTION('ref_axis',(-0.55886577660194,0.829258128536049,0.)); #384206=DIRECTION('',(-0.55886577660194,0.829258128536049,0.)); #384207=DIRECTION('',(-0.55886577660194,0.829258128536049,0.)); #384208=DIRECTION('',(0.,0.,1.)); #384209=DIRECTION('center_axis',(0.979998112340235,0.199006783325535,0.)); #384210=DIRECTION('ref_axis',(-0.199006783325535,0.979998112340235,0.)); #384211=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #384212=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #384213=DIRECTION('',(0.,0.,1.)); #384214=DIRECTION('center_axis',(1.,0.,0.)); #384215=DIRECTION('ref_axis',(0.,1.,0.)); #384216=DIRECTION('',(0.,1.,0.)); #384217=DIRECTION('',(0.,1.,0.)); #384218=DIRECTION('',(0.,0.,1.)); #384219=DIRECTION('center_axis',(0.981552851945467,-0.191191000932891,0.)); #384220=DIRECTION('ref_axis',(0.191191000932891,0.981552851945467,0.)); #384221=DIRECTION('',(0.191191000932891,0.981552851945467,0.)); #384222=DIRECTION('',(0.191191000932891,0.981552851945467,0.)); #384223=DIRECTION('',(0.,0.,1.)); #384224=DIRECTION('center_axis',(0.833693256959072,-0.552227809242685,0.)); #384225=DIRECTION('ref_axis',(0.552227809242685,0.833693256959072,0.)); #384226=DIRECTION('',(0.552227809242685,0.833693256959072,0.)); #384227=DIRECTION('',(0.552227809242685,0.833693256959072,0.)); #384228=DIRECTION('',(0.,0.,1.)); #384229=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #384230=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #384231=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #384232=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #384233=DIRECTION('',(0.,0.,1.)); #384234=DIRECTION('center_axis',(0.558865776601855,-0.829258128536106,0.)); #384235=DIRECTION('ref_axis',(0.829258128536106,0.558865776601855,0.)); #384236=DIRECTION('',(0.829258128536106,0.558865776601855,0.)); #384237=DIRECTION('',(0.829258128536106,0.558865776601855,0.)); #384238=DIRECTION('',(0.,0.,1.)); #384239=DIRECTION('center_axis',(0.199006783325535,-0.979998112340235,0.)); #384240=DIRECTION('ref_axis',(0.979998112340235,0.199006783325535,0.)); #384241=DIRECTION('',(0.979998112340235,0.199006783325535,0.)); #384242=DIRECTION('',(0.979998112340235,0.199006783325535,0.)); #384243=DIRECTION('',(0.,0.,1.)); #384244=DIRECTION('center_axis',(0.,-1.,0.)); #384245=DIRECTION('ref_axis',(1.,0.,0.)); #384246=DIRECTION('',(1.,0.,0.)); #384247=DIRECTION('',(1.,0.,0.)); #384248=DIRECTION('',(0.,0.,1.)); #384249=DIRECTION('center_axis',(0.707106781186544,-0.707106781186551,0.)); #384250=DIRECTION('ref_axis',(0.707106781186551,0.707106781186544,0.)); #384251=DIRECTION('',(0.707106781186551,0.707106781186544,0.)); #384252=DIRECTION('',(0.707106781186551,0.707106781186544,0.)); #384253=DIRECTION('',(0.,0.,1.)); #384254=DIRECTION('center_axis',(1.,0.,0.)); #384255=DIRECTION('ref_axis',(0.,1.,0.)); #384256=DIRECTION('',(0.,1.,0.)); #384257=DIRECTION('',(0.,1.,0.)); #384258=DIRECTION('',(0.,0.,1.)); #384259=DIRECTION('center_axis',(0.981552099144164,-0.191194865688612,0.)); #384260=DIRECTION('ref_axis',(0.191194865688612,0.981552099144164,0.)); #384261=DIRECTION('',(0.191194865688612,0.981552099144164,0.)); #384262=DIRECTION('',(0.191194865688612,0.981552099144164,0.)); #384263=DIRECTION('',(0.,0.,1.)); #384264=DIRECTION('center_axis',(0.833692717809995,-0.552228623190236,0.)); #384265=DIRECTION('ref_axis',(0.552228623190236,0.833692717809995,0.)); #384266=DIRECTION('',(0.552228623190236,0.833692717809995,0.)); #384267=DIRECTION('',(0.552228623190236,0.833692717809995,0.)); #384268=DIRECTION('',(0.,0.,1.)); #384269=DIRECTION('center_axis',(1.,0.,0.)); #384270=DIRECTION('ref_axis',(0.,1.,0.)); #384271=DIRECTION('',(0.,1.,0.)); #384272=DIRECTION('',(0.,1.,0.)); #384273=DIRECTION('',(0.,0.,1.)); #384274=DIRECTION('center_axis',(0.,0.,1.)); #384275=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #384276=DIRECTION('center_axis',(0.,0.,1.)); #384277=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #384278=DIRECTION('center_axis',(0.,0.,1.)); #384279=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #384280=DIRECTION('',(0.,0.,1.)); #384281=DIRECTION('center_axis',(0.707106781186543,-0.707106781186552,0.)); #384282=DIRECTION('ref_axis',(0.707106781186552,0.707106781186543,0.)); #384283=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #384284=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #384285=DIRECTION('',(0.,0.,1.)); #384286=DIRECTION('center_axis',(0.,0.,1.)); #384287=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #384288=DIRECTION('center_axis',(0.,0.,1.)); #384289=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #384290=DIRECTION('center_axis',(0.,0.,1.)); #384291=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #384292=DIRECTION('',(0.,0.,1.)); #384293=DIRECTION('center_axis',(0.,-1.,0.)); #384294=DIRECTION('ref_axis',(1.,0.,0.)); #384295=DIRECTION('',(1.,0.,0.)); #384296=DIRECTION('',(1.,0.,0.)); #384297=DIRECTION('',(0.,0.,1.)); #384298=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #384299=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #384300=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #384301=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #384302=DIRECTION('',(0.,0.,1.)); #384303=DIRECTION('center_axis',(1.,0.,0.)); #384304=DIRECTION('ref_axis',(0.,1.,0.)); #384305=DIRECTION('',(0.,1.,0.)); #384306=DIRECTION('',(0.,1.,0.)); #384307=DIRECTION('',(0.,0.,1.)); #384308=DIRECTION('center_axis',(0.,0.,1.)); #384309=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #384310=DIRECTION('center_axis',(0.,0.,1.)); #384311=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #384312=DIRECTION('center_axis',(0.,0.,1.)); #384313=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #384314=DIRECTION('center_axis',(0.,0.,1.)); #384315=DIRECTION('ref_axis',(1.,0.,0.)); #384316=DIRECTION('center_axis',(0.,0.,1.)); #384317=DIRECTION('ref_axis',(1.,0.,0.)); #384318=DIRECTION('center_axis',(0.,0.,1.)); #384319=DIRECTION('ref_axis',(1.,0.,0.)); #384320=DIRECTION('center_axis',(0.,0.,1.)); #384321=DIRECTION('ref_axis',(1.,0.,0.)); #384322=DIRECTION('',(0.,0.,1.)); #384323=DIRECTION('center_axis',(0.,0.,-1.)); #384324=DIRECTION('ref_axis',(1.,0.,0.)); #384325=DIRECTION('center_axis',(1.,0.,0.)); #384326=DIRECTION('ref_axis',(0.,1.,0.)); #384327=DIRECTION('',(0.,1.,0.)); #384328=DIRECTION('',(0.,0.,1.)); #384329=DIRECTION('',(0.,1.,0.)); #384330=DIRECTION('',(0.,0.,1.)); #384331=DIRECTION('center_axis',(0.,-1.,0.)); #384332=DIRECTION('ref_axis',(1.,0.,0.)); #384333=DIRECTION('',(1.,0.,0.)); #384334=DIRECTION('',(1.,0.,0.)); #384335=DIRECTION('',(0.,0.,1.)); #384336=DIRECTION('center_axis',(-1.,0.,0.)); #384337=DIRECTION('ref_axis',(0.,-1.,0.)); #384338=DIRECTION('',(0.,-1.,0.)); #384339=DIRECTION('',(0.,-1.,0.)); #384340=DIRECTION('',(0.,0.,1.)); #384341=DIRECTION('center_axis',(0.,1.,0.)); #384342=DIRECTION('ref_axis',(-1.,0.,0.)); #384343=DIRECTION('',(-1.,0.,0.)); #384344=DIRECTION('',(-1.,0.,0.)); #384345=DIRECTION('',(0.,0.,1.)); #384346=DIRECTION('center_axis',(-1.,0.,0.)); #384347=DIRECTION('ref_axis',(0.,-1.,0.)); #384348=DIRECTION('',(0.,-1.,0.)); #384349=DIRECTION('',(0.,-1.,0.)); #384350=DIRECTION('',(0.,0.,1.)); #384351=DIRECTION('center_axis',(0.,0.,1.)); #384352=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #384353=DIRECTION('center_axis',(0.,0.,1.)); #384354=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #384355=DIRECTION('center_axis',(0.,0.,1.)); #384356=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #384357=DIRECTION('',(0.,0.,1.)); #384358=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #384359=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #384360=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #384361=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #384362=DIRECTION('',(0.,0.,1.)); #384363=DIRECTION('center_axis',(-1.,0.,0.)); #384364=DIRECTION('ref_axis',(0.,-1.,0.)); #384365=DIRECTION('',(0.,-1.,0.)); #384366=DIRECTION('',(0.,-1.,0.)); #384367=DIRECTION('',(0.,0.,1.)); #384368=DIRECTION('center_axis',(0.,0.,1.)); #384369=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #384370=DIRECTION('center_axis',(0.,0.,1.)); #384371=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #384372=DIRECTION('center_axis',(0.,0.,1.)); #384373=DIRECTION('ref_axis',(-0.707110948194273,0.707102614154266,0.)); #384374=DIRECTION('',(0.,0.,1.)); #384375=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #384376=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #384377=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #384378=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #384379=DIRECTION('',(0.,0.,1.)); #384380=DIRECTION('center_axis',(-1.,0.,0.)); #384381=DIRECTION('ref_axis',(0.,-1.,0.)); #384382=DIRECTION('',(0.,-1.,0.)); #384383=DIRECTION('',(0.,-1.,0.)); #384384=DIRECTION('',(0.,0.,1.)); #384385=DIRECTION('center_axis',(0.,0.,1.)); #384386=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #384387=DIRECTION('center_axis',(0.,0.,1.)); #384388=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #384389=DIRECTION('center_axis',(0.,0.,1.)); #384390=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #384391=DIRECTION('',(0.,0.,1.)); #384392=DIRECTION('center_axis',(0.,0.,1.)); #384393=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #384394=DIRECTION('center_axis',(0.,0.,1.)); #384395=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #384396=DIRECTION('center_axis',(0.,0.,1.)); #384397=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #384398=DIRECTION('',(0.,0.,1.)); #384399=DIRECTION('center_axis',(1.,0.,0.)); #384400=DIRECTION('ref_axis',(0.,1.,0.)); #384401=DIRECTION('',(0.,1.,0.)); #384402=DIRECTION('',(0.,1.,0.)); #384403=DIRECTION('',(0.,0.,1.)); #384404=DIRECTION('center_axis',(0.,0.,1.)); #384405=DIRECTION('ref_axis',(0.707110948194255,-0.707102614154283,0.)); #384406=DIRECTION('center_axis',(0.,0.,1.)); #384407=DIRECTION('ref_axis',(0.707110948194255,-0.707102614154283,0.)); #384408=DIRECTION('center_axis',(0.,0.,1.)); #384409=DIRECTION('ref_axis',(0.707110948194255,-0.707102614154283,0.)); #384410=DIRECTION('',(0.,0.,1.)); #384411=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #384412=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #384413=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #384414=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #384415=DIRECTION('',(0.,0.,1.)); #384416=DIRECTION('center_axis',(1.,0.,0.)); #384417=DIRECTION('ref_axis',(0.,1.,0.)); #384418=DIRECTION('',(0.,1.,0.)); #384419=DIRECTION('',(0.,1.,0.)); #384420=DIRECTION('',(0.,0.,1.)); #384421=DIRECTION('center_axis',(0.,0.,1.)); #384422=DIRECTION('ref_axis',(0.707110948194325,-0.707102614154214,0.)); #384423=DIRECTION('center_axis',(0.,0.,1.)); #384424=DIRECTION('ref_axis',(0.707110948194325,-0.707102614154214,0.)); #384425=DIRECTION('center_axis',(0.,0.,1.)); #384426=DIRECTION('ref_axis',(0.707110948194325,-0.707102614154214,0.)); #384427=DIRECTION('',(0.,0.,1.)); #384428=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #384429=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #384430=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #384431=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #384432=DIRECTION('',(0.,0.,1.)); #384433=DIRECTION('center_axis',(1.,0.,0.)); #384434=DIRECTION('ref_axis',(0.,1.,0.)); #384435=DIRECTION('',(0.,1.,0.)); #384436=DIRECTION('',(0.,1.,0.)); #384437=DIRECTION('',(0.,0.,1.)); #384438=DIRECTION('center_axis',(0.,1.,0.)); #384439=DIRECTION('ref_axis',(-1.,0.,0.)); #384440=DIRECTION('',(-1.,0.,0.)); #384441=DIRECTION('',(-1.,0.,0.)); #384442=DIRECTION('center_axis',(0.,0.,1.)); #384443=DIRECTION('ref_axis',(1.,0.,0.)); #384444=DIRECTION('center_axis',(0.,0.,1.)); #384445=DIRECTION('ref_axis',(1.,0.,0.)); #384446=DIRECTION('center_axis',(0.,0.,1.)); #384447=DIRECTION('ref_axis',(1.,0.,0.)); #384448=DIRECTION('center_axis',(0.,0.,1.)); #384449=DIRECTION('ref_axis',(1.,0.,0.)); #384450=DIRECTION('',(0.,0.,1.)); #384451=DIRECTION('center_axis',(0.,0.,-1.)); #384452=DIRECTION('ref_axis',(1.,0.,0.)); #384453=DIRECTION('center_axis',(0.,0.,1.)); #384454=DIRECTION('ref_axis',(1.,0.,0.)); #384455=DIRECTION('center_axis',(0.,0.,1.)); #384456=DIRECTION('ref_axis',(1.,0.,0.)); #384457=DIRECTION('',(0.,0.,1.)); #384458=DIRECTION('center_axis',(0.,0.,-1.)); #384459=DIRECTION('ref_axis',(1.,0.,0.)); #384460=DIRECTION('center_axis',(0.,0.,1.)); #384461=DIRECTION('ref_axis',(1.,0.,0.)); #384462=DIRECTION('center_axis',(0.,0.,1.)); #384463=DIRECTION('ref_axis',(1.,0.,0.)); #384464=DIRECTION('',(0.,0.,1.)); #384465=DIRECTION('center_axis',(0.,0.,-1.)); #384466=DIRECTION('ref_axis',(1.,0.,0.)); #384467=DIRECTION('center_axis',(0.,0.,1.)); #384468=DIRECTION('ref_axis',(1.,0.,0.)); #384469=DIRECTION('center_axis',(0.,0.,1.)); #384470=DIRECTION('ref_axis',(1.,0.,0.)); #384471=DIRECTION('',(0.,0.,1.)); #384472=DIRECTION('center_axis',(0.,0.,-1.)); #384473=DIRECTION('ref_axis',(1.,0.,0.)); #384474=DIRECTION('center_axis',(0.,0.,1.)); #384475=DIRECTION('ref_axis',(1.,0.,0.)); #384476=DIRECTION('center_axis',(0.,0.,1.)); #384477=DIRECTION('ref_axis',(1.,0.,0.)); #384478=DIRECTION('',(0.,0.,1.)); #384479=DIRECTION('center_axis',(0.,0.,-1.)); #384480=DIRECTION('ref_axis',(1.,0.,0.)); #384481=DIRECTION('center_axis',(0.,0.,1.)); #384482=DIRECTION('ref_axis',(1.,0.,0.)); #384483=DIRECTION('center_axis',(0.,0.,1.)); #384484=DIRECTION('ref_axis',(1.,0.,0.)); #384485=DIRECTION('',(0.,0.,1.)); #384486=DIRECTION('center_axis',(0.,0.,-1.)); #384487=DIRECTION('ref_axis',(1.,0.,0.)); #384488=DIRECTION('center_axis',(0.,0.,1.)); #384489=DIRECTION('ref_axis',(1.,0.,0.)); #384490=DIRECTION('center_axis',(0.,0.,1.)); #384491=DIRECTION('ref_axis',(1.,0.,0.)); #384492=DIRECTION('',(0.,0.,1.)); #384493=DIRECTION('center_axis',(0.,0.,-1.)); #384494=DIRECTION('ref_axis',(1.,0.,0.)); #384495=DIRECTION('center_axis',(0.,0.,1.)); #384496=DIRECTION('ref_axis',(1.,0.,0.)); #384497=DIRECTION('center_axis',(0.,0.,1.)); #384498=DIRECTION('ref_axis',(1.,0.,0.)); #384499=DIRECTION('',(0.,0.,1.)); #384500=DIRECTION('center_axis',(0.,0.,-1.)); #384501=DIRECTION('ref_axis',(1.,0.,0.)); #384502=DIRECTION('center_axis',(0.,0.,1.)); #384503=DIRECTION('ref_axis',(1.,0.,0.)); #384504=DIRECTION('center_axis',(0.,0.,1.)); #384505=DIRECTION('ref_axis',(1.,0.,0.)); #384506=DIRECTION('',(0.,0.,1.)); #384507=DIRECTION('center_axis',(0.,0.,-1.)); #384508=DIRECTION('ref_axis',(1.,0.,0.)); #384509=DIRECTION('center_axis',(0.,0.,1.)); #384510=DIRECTION('ref_axis',(1.,0.,0.)); #384511=DIRECTION('center_axis',(0.,0.,1.)); #384512=DIRECTION('ref_axis',(1.,0.,0.)); #384513=DIRECTION('',(0.,0.,1.)); #384514=DIRECTION('center_axis',(0.,0.,-1.)); #384515=DIRECTION('ref_axis',(1.,0.,0.)); #384516=DIRECTION('center_axis',(0.,0.,1.)); #384517=DIRECTION('ref_axis',(1.,0.,0.)); #384518=DIRECTION('center_axis',(0.,0.,1.)); #384519=DIRECTION('ref_axis',(1.,0.,0.)); #384520=DIRECTION('',(0.,0.,1.)); #384521=DIRECTION('center_axis',(0.,0.,-1.)); #384522=DIRECTION('ref_axis',(1.,0.,0.)); #384523=DIRECTION('center_axis',(0.,0.,1.)); #384524=DIRECTION('ref_axis',(1.,0.,0.)); #384525=DIRECTION('center_axis',(0.,0.,1.)); #384526=DIRECTION('ref_axis',(1.,0.,0.)); #384527=DIRECTION('',(0.,0.,1.)); #384528=DIRECTION('center_axis',(0.,0.,-1.)); #384529=DIRECTION('ref_axis',(1.,0.,0.)); #384530=DIRECTION('center_axis',(0.,0.,1.)); #384531=DIRECTION('ref_axis',(1.,0.,0.)); #384532=DIRECTION('center_axis',(0.,0.,1.)); #384533=DIRECTION('ref_axis',(1.,0.,0.)); #384534=DIRECTION('',(0.,0.,1.)); #384535=DIRECTION('center_axis',(0.,0.,-1.)); #384536=DIRECTION('ref_axis',(1.,0.,0.)); #384537=DIRECTION('center_axis',(0.,0.,1.)); #384538=DIRECTION('ref_axis',(1.,0.,0.)); #384539=DIRECTION('center_axis',(0.,0.,1.)); #384540=DIRECTION('ref_axis',(1.,0.,0.)); #384541=DIRECTION('',(0.,0.,1.)); #384542=DIRECTION('center_axis',(0.,0.,-1.)); #384543=DIRECTION('ref_axis',(1.,0.,0.)); #384544=DIRECTION('center_axis',(0.,0.,1.)); #384545=DIRECTION('ref_axis',(1.,0.,0.)); #384546=DIRECTION('center_axis',(0.,0.,1.)); #384547=DIRECTION('ref_axis',(1.,0.,0.)); #384548=DIRECTION('',(0.,0.,1.)); #384549=DIRECTION('center_axis',(0.,0.,-1.)); #384550=DIRECTION('ref_axis',(1.,0.,0.)); #384551=DIRECTION('center_axis',(0.,0.,1.)); #384552=DIRECTION('ref_axis',(1.,0.,0.)); #384553=DIRECTION('center_axis',(0.,0.,1.)); #384554=DIRECTION('ref_axis',(1.,0.,0.)); #384555=DIRECTION('',(0.,0.,1.)); #384556=DIRECTION('center_axis',(0.,0.,-1.)); #384557=DIRECTION('ref_axis',(1.,0.,0.)); #384558=DIRECTION('center_axis',(0.,1.,0.)); #384559=DIRECTION('ref_axis',(-1.,0.,0.)); #384560=DIRECTION('',(-1.,0.,0.)); #384561=DIRECTION('',(0.,0.,1.)); #384562=DIRECTION('',(-1.,0.,0.)); #384563=DIRECTION('',(0.,0.,1.)); #384564=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #384565=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #384566=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #384567=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #384568=DIRECTION('',(0.,0.,1.)); #384569=DIRECTION('center_axis',(-1.,0.,0.)); #384570=DIRECTION('ref_axis',(0.,-1.,0.)); #384571=DIRECTION('',(0.,-1.,0.)); #384572=DIRECTION('',(0.,-1.,0.)); #384573=DIRECTION('',(0.,0.,1.)); #384574=DIRECTION('center_axis',(-0.981552851945467,0.191191000932891,0.)); #384575=DIRECTION('ref_axis',(-0.191191000932891,-0.981552851945467,0.)); #384576=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #384577=DIRECTION('',(-0.191191000932891,-0.981552851945467,0.)); #384578=DIRECTION('',(0.,0.,1.)); #384579=DIRECTION('center_axis',(-0.833693256959005,0.552227809242786,0.)); #384580=DIRECTION('ref_axis',(-0.552227809242786,-0.833693256959005,0.)); #384581=DIRECTION('',(-0.552227809242786,-0.833693256959005,0.)); #384582=DIRECTION('',(-0.552227809242786,-0.833693256959005,0.)); #384583=DIRECTION('',(0.,0.,1.)); #384584=DIRECTION('center_axis',(-0.707106781186543,0.707106781186552,0.)); #384585=DIRECTION('ref_axis',(-0.707106781186552,-0.707106781186543,0.)); #384586=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #384587=DIRECTION('',(-0.707106781186552,-0.707106781186543,0.)); #384588=DIRECTION('',(0.,0.,1.)); #384589=DIRECTION('center_axis',(-0.558865776602088,0.82925812853595,0.)); #384590=DIRECTION('ref_axis',(-0.82925812853595,-0.558865776602088,0.)); #384591=DIRECTION('',(-0.82925812853595,-0.558865776602088,0.)); #384592=DIRECTION('',(-0.82925812853595,-0.558865776602088,0.)); #384593=DIRECTION('',(0.,0.,1.)); #384594=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #384595=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #384596=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #384597=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #384598=DIRECTION('',(0.,0.,1.)); #384599=DIRECTION('center_axis',(0.,1.,0.)); #384600=DIRECTION('ref_axis',(-1.,0.,0.)); #384601=DIRECTION('',(-1.,0.,0.)); #384602=DIRECTION('',(-1.,0.,0.)); #384603=DIRECTION('',(0.,0.,1.)); #384604=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #384605=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #384606=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #384607=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #384608=DIRECTION('',(0.,0.,1.)); #384609=DIRECTION('center_axis',(-1.,0.,0.)); #384610=DIRECTION('ref_axis',(0.,-1.,0.)); #384611=DIRECTION('',(0.,-1.,0.)); #384612=DIRECTION('',(0.,-1.,0.)); #384613=DIRECTION('',(0.,0.,1.)); #384614=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #384615=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #384616=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #384617=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #384618=DIRECTION('',(0.,0.,1.)); #384619=DIRECTION('center_axis',(0.,-1.,0.)); #384620=DIRECTION('ref_axis',(1.,0.,0.)); #384621=DIRECTION('',(1.,0.,0.)); #384622=DIRECTION('',(1.,0.,0.)); #384623=DIRECTION('',(0.,0.,1.)); #384624=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #384625=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #384626=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #384627=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #384628=DIRECTION('',(0.,0.,1.)); #384629=DIRECTION('center_axis',(1.,0.,0.)); #384630=DIRECTION('ref_axis',(0.,1.,0.)); #384631=DIRECTION('',(0.,1.,0.)); #384632=DIRECTION('',(0.,1.,0.)); #384633=DIRECTION('',(0.,0.,1.)); #384634=DIRECTION('center_axis',(0.981552851945467,-0.191191000932891,0.)); #384635=DIRECTION('ref_axis',(0.191191000932891,0.981552851945467,0.)); #384636=DIRECTION('',(0.191191000932891,0.981552851945467,0.)); #384637=DIRECTION('',(0.191191000932891,0.981552851945467,0.)); #384638=DIRECTION('',(0.,0.,1.)); #384639=DIRECTION('center_axis',(0.833693256958924,-0.552227809242908,0.)); #384640=DIRECTION('ref_axis',(0.552227809242908,0.833693256958924,0.)); #384641=DIRECTION('',(0.552227809242908,0.833693256958924,0.)); #384642=DIRECTION('',(0.552227809242908,0.833693256958924,0.)); #384643=DIRECTION('',(0.,0.,1.)); #384644=DIRECTION('center_axis',(0.707106781186549,-0.707106781186546,0.)); #384645=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,0.)); #384646=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #384647=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #384648=DIRECTION('',(0.,0.,1.)); #384649=DIRECTION('center_axis',(0.558865776602003,-0.829258128536007,0.)); #384650=DIRECTION('ref_axis',(0.829258128536007,0.558865776602003,0.)); #384651=DIRECTION('',(0.829258128536007,0.558865776602003,0.)); #384652=DIRECTION('',(0.829258128536007,0.558865776602003,0.)); #384653=DIRECTION('',(0.,0.,1.)); #384654=DIRECTION('center_axis',(0.199006783325535,-0.979998112340235,0.)); #384655=DIRECTION('ref_axis',(0.979998112340235,0.199006783325535,0.)); #384656=DIRECTION('',(0.979998112340235,0.199006783325535,0.)); #384657=DIRECTION('',(0.979998112340235,0.199006783325535,0.)); #384658=DIRECTION('',(0.,0.,1.)); #384659=DIRECTION('center_axis',(0.,-1.,0.)); #384660=DIRECTION('ref_axis',(1.,0.,0.)); #384661=DIRECTION('',(1.,0.,0.)); #384662=DIRECTION('',(1.,0.,0.)); #384663=DIRECTION('',(0.,0.,1.)); #384664=DIRECTION('center_axis',(0.707106781186551,-0.707106781186544,0.)); #384665=DIRECTION('ref_axis',(0.707106781186544,0.707106781186551,0.)); #384666=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #384667=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #384668=DIRECTION('',(0.,0.,1.)); #384669=DIRECTION('center_axis',(1.,0.,0.)); #384670=DIRECTION('ref_axis',(0.,1.,0.)); #384671=DIRECTION('',(0.,1.,0.)); #384672=DIRECTION('',(0.,1.,0.)); #384673=DIRECTION('',(0.,0.,1.)); #384674=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #384675=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #384676=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #384677=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #384678=DIRECTION('center_axis',(0.199018242080805,-0.979995785357808,0.)); #384679=DIRECTION('ref_axis',(0.979995785357808,0.199018242080805,0.)); #384680=DIRECTION('',(0.979995785357808,0.199018242080805,0.)); #384681=DIRECTION('',(0.,0.,1.)); #384682=DIRECTION('',(0.979995785357808,0.199018242080805,0.)); #384683=DIRECTION('',(0.,0.,1.)); #384684=DIRECTION('center_axis',(0.,-1.,0.)); #384685=DIRECTION('ref_axis',(1.,0.,0.)); #384686=DIRECTION('',(1.,0.,0.)); #384687=DIRECTION('',(1.,0.,0.)); #384688=DIRECTION('',(0.,0.,1.)); #384689=DIRECTION('center_axis',(-0.191191207895148,-0.981552811632464, 0.)); #384690=DIRECTION('ref_axis',(0.981552811632464,-0.191191207895148,0.)); #384691=DIRECTION('',(0.981552811632464,-0.191191207895148,0.)); #384692=DIRECTION('',(0.981552811632464,-0.191191207895148,0.)); #384693=DIRECTION('',(0.,0.,1.)); #384694=DIRECTION('center_axis',(-0.552239150843043,-0.833685744316259, 0.)); #384695=DIRECTION('ref_axis',(0.833685744316259,-0.552239150843043,0.)); #384696=DIRECTION('',(0.833685744316259,-0.552239150843043,0.)); #384697=DIRECTION('',(0.833685744316259,-0.552239150843043,0.)); #384698=DIRECTION('',(0.,0.,1.)); #384699=DIRECTION('center_axis',(-0.707108814963959,-0.707104747403286, 0.)); #384700=DIRECTION('ref_axis',(0.707104747403286,-0.707108814963959,0.)); #384701=DIRECTION('',(0.707104747403286,-0.707108814963959,0.)); #384702=DIRECTION('',(0.707104747403286,-0.707108814963959,0.)); #384703=DIRECTION('',(0.,0.,1.)); #384704=DIRECTION('center_axis',(-0.824766965033867,-0.56547276980313,0.)); #384705=DIRECTION('ref_axis',(0.56547276980313,-0.824766965033867,0.)); #384706=DIRECTION('',(0.56547276980313,-0.824766965033867,0.)); #384707=DIRECTION('',(0.56547276980313,-0.824766965033867,0.)); #384708=DIRECTION('',(0.,0.,1.)); #384709=DIRECTION('center_axis',(-0.976703115964562,-0.214595021529193, 0.)); #384710=DIRECTION('ref_axis',(0.214595021529193,-0.976703115964562,0.)); #384711=DIRECTION('',(0.214595021529193,-0.976703115964562,0.)); #384712=DIRECTION('',(0.214595021529193,-0.976703115964562,0.)); #384713=DIRECTION('',(0.,0.,1.)); #384714=DIRECTION('center_axis',(-0.983045221016405,0.18336328268442,0.)); #384715=DIRECTION('ref_axis',(-0.18336328268442,-0.983045221016405,0.)); #384716=DIRECTION('',(-0.18336328268442,-0.983045221016405,0.)); #384717=DIRECTION('',(-0.18336328268442,-0.983045221016405,0.)); #384718=DIRECTION('',(0.,0.,1.)); #384719=DIRECTION('center_axis',(-0.833696876830075,0.552222344317738,0.)); #384720=DIRECTION('ref_axis',(-0.552222344317738,-0.833696876830075,0.)); #384721=DIRECTION('',(-0.552222344317738,-0.833696876830075,0.)); #384722=DIRECTION('',(-0.552222344317738,-0.833696876830075,0.)); #384723=DIRECTION('',(0.,0.,1.)); #384724=DIRECTION('center_axis',(-0.707108734188369,0.707104828179332,0.)); #384725=DIRECTION('ref_axis',(-0.707104828179332,-0.707108734188369,0.)); #384726=DIRECTION('',(-0.707104828179332,-0.707108734188369,0.)); #384727=DIRECTION('',(-0.707104828179332,-0.707108734188369,0.)); #384728=DIRECTION('',(0.,0.,1.)); #384729=DIRECTION('center_axis',(-0.923883289882689,0.382674361142133,0.)); #384730=DIRECTION('ref_axis',(-0.382674361142133,-0.923883289882689,0.)); #384731=DIRECTION('',(-0.382674361142133,-0.923883289882689,0.)); #384732=DIRECTION('',(-0.382674361142133,-0.923883289882689,0.)); #384733=DIRECTION('',(0.,0.,1.)); #384734=DIRECTION('center_axis',(-1.,0.,0.)); #384735=DIRECTION('ref_axis',(0.,-1.,0.)); #384736=DIRECTION('',(0.,-1.,0.)); #384737=DIRECTION('',(0.,-1.,0.)); #384738=DIRECTION('',(0.,0.,1.)); #384739=DIRECTION('center_axis',(-0.923883289882689,-0.382674361142132, 0.)); #384740=DIRECTION('ref_axis',(0.382674361142132,-0.923883289882689,0.)); #384741=DIRECTION('',(0.382674361142132,-0.923883289882689,0.)); #384742=DIRECTION('',(0.382674361142132,-0.923883289882689,0.)); #384743=DIRECTION('',(0.,0.,1.)); #384744=DIRECTION('center_axis',(-0.707106177917541,-0.707107384455039, 0.)); #384745=DIRECTION('ref_axis',(0.707107384455039,-0.707106177917541,0.)); #384746=DIRECTION('',(0.707107384455039,-0.707106177917541,0.)); #384747=DIRECTION('',(0.707107384455039,-0.707106177917541,0.)); #384748=DIRECTION('',(0.,0.,1.)); #384749=DIRECTION('center_axis',(-0.382689829785808,-0.923876882586911, 0.)); #384750=DIRECTION('ref_axis',(0.923876882586911,-0.382689829785808,0.)); #384751=DIRECTION('',(0.923876882586911,-0.382689829785808,0.)); #384752=DIRECTION('',(0.923876882586911,-0.382689829785808,0.)); #384753=DIRECTION('',(0.,0.,1.)); #384754=DIRECTION('center_axis',(-0.548914404741951,-0.835878565502663, 0.)); #384755=DIRECTION('ref_axis',(0.835878565502663,-0.548914404741951,0.)); #384756=DIRECTION('',(0.835878565502663,-0.548914404741951,0.)); #384757=DIRECTION('',(0.835878565502663,-0.548914404741951,0.)); #384758=DIRECTION('',(0.,0.,1.)); #384759=DIRECTION('center_axis',(-0.824763124289761,-0.565478371656947, 0.)); #384760=DIRECTION('ref_axis',(0.565478371656947,-0.824763124289761,0.)); #384761=DIRECTION('',(0.565478371656947,-0.824763124289761,0.)); #384762=DIRECTION('',(0.565478371656947,-0.824763124289761,0.)); #384763=DIRECTION('',(0.,0.,1.)); #384764=DIRECTION('center_axis',(-0.979997563866654,-0.199009484234856, 0.)); #384765=DIRECTION('ref_axis',(0.199009484234856,-0.979997563866654,0.)); #384766=DIRECTION('',(0.199009484234856,-0.979997563866654,0.)); #384767=DIRECTION('',(0.199009484234856,-0.979997563866654,0.)); #384768=DIRECTION('',(0.,0.,1.)); #384769=DIRECTION('center_axis',(-1.,0.,0.)); #384770=DIRECTION('ref_axis',(0.,-1.,0.)); #384771=DIRECTION('',(0.,-1.,0.)); #384772=DIRECTION('',(0.,-1.,0.)); #384773=DIRECTION('',(0.,0.,1.)); #384774=DIRECTION('center_axis',(-0.987649248560494,-0.156681083152665, 0.)); #384775=DIRECTION('ref_axis',(0.156681083152665,-0.987649248560494,0.)); #384776=DIRECTION('',(0.156681083152665,-0.987649248560494,0.)); #384777=DIRECTION('',(0.156681083152665,-0.987649248560494,0.)); #384778=DIRECTION('',(0.,0.,1.)); #384779=DIRECTION('center_axis',(-0.125438113889326,-0.992101446216005, 0.)); #384780=DIRECTION('ref_axis',(0.992101446216005,-0.125438113889326,0.)); #384781=DIRECTION('',(0.992101446216005,-0.125438113889326,0.)); #384782=DIRECTION('',(0.992101446216005,-0.125438113889326,0.)); #384783=DIRECTION('',(0.,0.,1.)); #384784=DIRECTION('center_axis',(0.,-1.,0.)); #384785=DIRECTION('ref_axis',(1.,0.,0.)); #384786=DIRECTION('',(1.,0.,0.)); #384787=DIRECTION('',(1.,0.,0.)); #384788=DIRECTION('',(0.,0.,1.)); #384789=DIRECTION('center_axis',(-0.191195889874734,-0.981551899644134, 0.)); #384790=DIRECTION('ref_axis',(0.981551899644134,-0.191195889874734,0.)); #384791=DIRECTION('',(0.981551899644134,-0.191195889874734,0.)); #384792=DIRECTION('',(0.981551899644134,-0.191195889874734,0.)); #384793=DIRECTION('',(0.,0.,1.)); #384794=DIRECTION('center_axis',(0.,-1.,0.)); #384795=DIRECTION('ref_axis',(1.,0.,0.)); #384796=DIRECTION('',(1.,0.,0.)); #384797=DIRECTION('',(1.,0.,0.)); #384798=DIRECTION('',(0.,0.,1.)); #384799=DIRECTION('center_axis',(-0.191202802147605,-0.981550553181498, 0.)); #384800=DIRECTION('ref_axis',(0.981550553181498,-0.191202802147605,0.)); #384801=DIRECTION('',(0.981550553181498,-0.191202802147605,0.)); #384802=DIRECTION('',(0.981550553181498,-0.191202802147605,0.)); #384803=DIRECTION('',(0.,0.,1.)); #384804=DIRECTION('center_axis',(-0.552211825696243,-0.833703844036491, 0.)); #384805=DIRECTION('ref_axis',(0.833703844036491,-0.552211825696243,0.)); #384806=DIRECTION('',(0.833703844036491,-0.552211825696243,0.)); #384807=DIRECTION('',(0.833703844036491,-0.552211825696243,0.)); #384808=DIRECTION('',(0.,0.,1.)); #384809=DIRECTION('center_axis',(-0.707106948269843,-0.707106614103213, 0.)); #384810=DIRECTION('ref_axis',(0.707106614103213,-0.707106948269843,0.)); #384811=DIRECTION('',(0.707106614103213,-0.707106948269843,0.)); #384812=DIRECTION('',(0.707106614103213,-0.707106948269843,0.)); #384813=DIRECTION('',(0.,0.,1.)); #384814=DIRECTION('center_axis',(-0.829263274014829,-0.558858141544172, 0.)); #384815=DIRECTION('ref_axis',(0.558858141544172,-0.829263274014829,0.)); #384816=DIRECTION('',(0.558858141544172,-0.829263274014829,0.)); #384817=DIRECTION('',(0.558858141544172,-0.829263274014829,0.)); #384818=DIRECTION('',(0.,0.,1.)); #384819=DIRECTION('center_axis',(-0.979997642221407,-0.199009098386189, 0.)); #384820=DIRECTION('ref_axis',(0.199009098386189,-0.979997642221407,0.)); #384821=DIRECTION('',(0.199009098386189,-0.979997642221407,0.)); #384822=DIRECTION('',(0.199009098386189,-0.979997642221407,0.)); #384823=DIRECTION('',(0.,0.,1.)); #384824=DIRECTION('center_axis',(-1.,0.,0.)); #384825=DIRECTION('ref_axis',(0.,-1.,0.)); #384826=DIRECTION('',(0.,-1.,0.)); #384827=DIRECTION('',(0.,-1.,0.)); #384828=DIRECTION('',(0.,0.,1.)); #384829=DIRECTION('center_axis',(-0.983050540005704,0.183334764282431,0.)); #384830=DIRECTION('ref_axis',(-0.183334764282431,-0.983050540005703,0.)); #384831=DIRECTION('',(-0.183334764282431,-0.983050540005703,0.)); #384832=DIRECTION('',(-0.183334764282431,-0.983050540005703,0.)); #384833=DIRECTION('',(0.,0.,1.)); #384834=DIRECTION('center_axis',(-0.842359346299405,0.538916256668919,0.)); #384835=DIRECTION('ref_axis',(-0.538916256668919,-0.842359346299405,0.)); #384836=DIRECTION('',(-0.538916256668919,-0.842359346299405,0.)); #384837=DIRECTION('',(-0.538916256668919,-0.842359346299405,0.)); #384838=DIRECTION('',(0.,0.,1.)); #384839=DIRECTION('center_axis',(-0.565473758099763,0.824766287441801,0.)); #384840=DIRECTION('ref_axis',(-0.824766287441801,-0.565473758099762,0.)); #384841=DIRECTION('',(-0.824766287441801,-0.565473758099762,0.)); #384842=DIRECTION('',(-0.824766287441801,-0.565473758099762,0.)); #384843=DIRECTION('',(0.,0.,1.)); #384844=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #384845=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #384846=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #384847=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #384848=DIRECTION('',(0.,0.,1.)); #384849=DIRECTION('center_axis',(0.,1.,0.)); #384850=DIRECTION('ref_axis',(-1.,0.,0.)); #384851=DIRECTION('',(-1.,0.,0.)); #384852=DIRECTION('',(-1.,0.,0.)); #384853=DIRECTION('',(0.,0.,1.)); #384854=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #384855=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #384856=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #384857=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #384858=DIRECTION('',(0.,0.,1.)); #384859=DIRECTION('center_axis',(-1.,0.,0.)); #384860=DIRECTION('ref_axis',(0.,-1.,0.)); #384861=DIRECTION('',(0.,-1.,0.)); #384862=DIRECTION('',(0.,-1.,0.)); #384863=DIRECTION('',(0.,0.,1.)); #384864=DIRECTION('center_axis',(-0.707106781186555,-0.70710678118654,0.)); #384865=DIRECTION('ref_axis',(0.70710678118654,-0.707106781186555,0.)); #384866=DIRECTION('',(0.70710678118654,-0.707106781186555,0.)); #384867=DIRECTION('',(0.70710678118654,-0.707106781186555,0.)); #384868=DIRECTION('',(0.,0.,1.)); #384869=DIRECTION('center_axis',(-0.824764905148841,-0.565475774224523, 0.)); #384870=DIRECTION('ref_axis',(0.565475774224523,-0.82476490514884,0.)); #384871=DIRECTION('',(0.565475774224523,-0.824764905148841,0.)); #384872=DIRECTION('',(0.565475774224523,-0.824764905148841,0.)); #384873=DIRECTION('',(0.,0.,1.)); #384874=DIRECTION('center_axis',(-0.976703298176492,-0.214594192212095, 0.)); #384875=DIRECTION('ref_axis',(0.214594192212095,-0.976703298176492,0.)); #384876=DIRECTION('',(0.214594192212095,-0.976703298176492,0.)); #384877=DIRECTION('',(0.214594192212095,-0.976703298176492,0.)); #384878=DIRECTION('',(0.,0.,1.)); #384879=DIRECTION('center_axis',(-0.983041987252281,0.18338061865717,0.)); #384880=DIRECTION('ref_axis',(-0.18338061865717,-0.983041987252281,0.)); #384881=DIRECTION('',(-0.18338061865717,-0.983041987252281,0.)); #384882=DIRECTION('',(-0.18338061865717,-0.983041987252281,0.)); #384883=DIRECTION('',(0.,0.,1.)); #384884=DIRECTION('center_axis',(-0.8337023652239,0.552214058332524,0.)); #384885=DIRECTION('ref_axis',(-0.552214058332524,-0.8337023652239,0.)); #384886=DIRECTION('',(-0.552214058332524,-0.8337023652239,0.)); #384887=DIRECTION('',(-0.552214058332524,-0.8337023652239,0.)); #384888=DIRECTION('',(0.,0.,1.)); #384889=DIRECTION('center_axis',(-0.707106781186555,0.70710678118654,0.)); #384890=DIRECTION('ref_axis',(-0.70710678118654,-0.707106781186555,0.)); #384891=DIRECTION('',(-0.70710678118654,-0.707106781186555,0.)); #384892=DIRECTION('',(-0.70710678118654,-0.707106781186555,0.)); #384893=DIRECTION('',(0.,0.,1.)); #384894=DIRECTION('center_axis',(-1.,0.,0.)); #384895=DIRECTION('ref_axis',(0.,-1.,0.)); #384896=DIRECTION('',(0.,-1.,0.)); #384897=DIRECTION('',(0.,-1.,0.)); #384898=DIRECTION('',(0.,0.,1.)); #384899=DIRECTION('center_axis',(-0.983050395657587,0.18333553828285,0.)); #384900=DIRECTION('ref_axis',(-0.18333553828285,-0.983050395657587,0.)); #384901=DIRECTION('',(-0.18333553828285,-0.983050395657587,0.)); #384902=DIRECTION('',(-0.18333553828285,-0.983050395657587,0.)); #384903=DIRECTION('',(0.,0.,1.)); #384904=DIRECTION('center_axis',(-0.842360495470243,0.538914460439806,0.)); #384905=DIRECTION('ref_axis',(-0.538914460439806,-0.842360495470243,0.)); #384906=DIRECTION('',(-0.538914460439806,-0.842360495470243,0.)); #384907=DIRECTION('',(-0.538914460439806,-0.842360495470243,0.)); #384908=DIRECTION('',(0.,0.,1.)); #384909=DIRECTION('center_axis',(-0.565473758099733,0.824766287441821,0.)); #384910=DIRECTION('ref_axis',(-0.824766287441821,-0.565473758099733,0.)); #384911=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #384912=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #384913=DIRECTION('',(0.,0.,1.)); #384914=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #384915=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #384916=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #384917=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #384918=DIRECTION('',(0.,0.,1.)); #384919=DIRECTION('center_axis',(0.,1.,0.)); #384920=DIRECTION('ref_axis',(-1.,0.,0.)); #384921=DIRECTION('',(-1.,0.,0.)); #384922=DIRECTION('',(-1.,0.,0.)); #384923=DIRECTION('',(0.,0.,1.)); #384924=DIRECTION('center_axis',(0.191194865688648,0.981552099144156,0.)); #384925=DIRECTION('ref_axis',(-0.981552099144156,0.191194865688648,0.)); #384926=DIRECTION('',(-0.981552099144156,0.191194865688648,0.)); #384927=DIRECTION('',(-0.981552099144156,0.191194865688648,0.)); #384928=DIRECTION('',(0.,0.,1.)); #384929=DIRECTION('center_axis',(0.552218701081291,0.833699290017745,0.)); #384930=DIRECTION('ref_axis',(-0.833699290017745,0.552218701081291,0.)); #384931=DIRECTION('',(-0.833699290017745,0.552218701081291,0.)); #384932=DIRECTION('',(-0.833699290017745,0.552218701081291,0.)); #384933=DIRECTION('',(0.,0.,1.)); #384934=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #384935=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #384936=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #384937=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #384938=DIRECTION('',(0.,0.,1.)); #384939=DIRECTION('center_axis',(0.829264560351577,0.558856232804919,0.)); #384940=DIRECTION('ref_axis',(-0.558856232804919,0.829264560351577,0.)); #384941=DIRECTION('',(-0.558856232804919,0.829264560351577,0.)); #384942=DIRECTION('',(-0.558856232804919,0.829264560351577,0.)); #384943=DIRECTION('',(0.,0.,1.)); #384944=DIRECTION('center_axis',(0.979997328799711,0.199010641789404,0.)); #384945=DIRECTION('ref_axis',(-0.199010641789404,0.979997328799711,0.)); #384946=DIRECTION('',(-0.199010641789404,0.979997328799711,0.)); #384947=DIRECTION('',(-0.199010641789404,0.979997328799711,0.)); #384948=DIRECTION('',(0.,0.,1.)); #384949=DIRECTION('center_axis',(1.,0.,0.)); #384950=DIRECTION('ref_axis',(0.,1.,0.)); #384951=DIRECTION('',(0.,1.,0.)); #384952=DIRECTION('',(0.,1.,0.)); #384953=DIRECTION('',(0.,0.,1.)); #384954=DIRECTION('center_axis',(0.981552475550509,-0.191192933291658,0.)); #384955=DIRECTION('ref_axis',(0.191192933291658,0.981552475550508,0.)); #384956=DIRECTION('',(0.191192933291658,0.981552475550508,0.)); #384957=DIRECTION('',(0.191192933291658,0.981552475550508,0.)); #384958=DIRECTION('',(0.,0.,1.)); #384959=DIRECTION('center_axis',(0.833696876830075,-0.552222344317738,0.)); #384960=DIRECTION('ref_axis',(0.552222344317738,0.833696876830075,0.)); #384961=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #384962=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #384963=DIRECTION('',(0.,0.,1.)); #384964=DIRECTION('center_axis',(0.707106999965422,-0.707106562407605,0.)); #384965=DIRECTION('ref_axis',(0.707106562407605,0.707106999965422,0.)); #384966=DIRECTION('',(0.707106562407605,0.707106999965422,0.)); #384967=DIRECTION('',(0.707106562407605,0.707106999965422,0.)); #384968=DIRECTION('',(0.,0.,1.)); #384969=DIRECTION('center_axis',(1.,0.,0.)); #384970=DIRECTION('ref_axis',(0.,1.,0.)); #384971=DIRECTION('',(0.,1.,0.)); #384972=DIRECTION('',(0.,1.,0.)); #384973=DIRECTION('',(0.,0.,1.)); #384974=DIRECTION('center_axis',(0.707106216327399,0.707107346045245,0.)); #384975=DIRECTION('ref_axis',(-0.707107346045245,0.707106216327399,0.)); #384976=DIRECTION('',(-0.707107346045245,0.707106216327399,0.)); #384977=DIRECTION('',(-0.707107346045245,0.707106216327399,0.)); #384978=DIRECTION('',(0.,0.,1.)); #384979=DIRECTION('center_axis',(0.,1.,0.)); #384980=DIRECTION('ref_axis',(-1.,0.,0.)); #384981=DIRECTION('',(-1.,0.,0.)); #384982=DIRECTION('',(-1.,0.,0.)); #384983=DIRECTION('',(0.,0.,1.)); #384984=DIRECTION('center_axis',(-0.707105395383339,0.70710816698704,0.)); #384985=DIRECTION('ref_axis',(-0.70710816698704,-0.707105395383339,0.)); #384986=DIRECTION('',(-0.70710816698704,-0.707105395383339,0.)); #384987=DIRECTION('',(-0.70710816698704,-0.707105395383339,0.)); #384988=DIRECTION('',(0.,0.,1.)); #384989=DIRECTION('center_axis',(-0.572013161193149,0.820244441262372,0.)); #384990=DIRECTION('ref_axis',(-0.820244441262372,-0.572013161193149,0.)); #384991=DIRECTION('',(-0.820244441262372,-0.572013161193149,0.)); #384992=DIRECTION('',(-0.820244441262372,-0.572013161193149,0.)); #384993=DIRECTION('',(0.,0.,1.)); #384994=DIRECTION('center_axis',(-0.230111132185464,0.973164357569842,0.)); #384995=DIRECTION('ref_axis',(-0.973164357569842,-0.230111132185464,0.)); #384996=DIRECTION('',(-0.973164357569842,-0.230111132185464,0.)); #384997=DIRECTION('',(-0.973164357569842,-0.230111132185464,0.)); #384998=DIRECTION('',(0.,0.,1.)); #384999=DIRECTION('center_axis',(0.167617415379047,0.985852119773371,0.)); #385000=DIRECTION('ref_axis',(-0.985852119773371,0.167617415379047,0.)); #385001=DIRECTION('',(-0.985852119773371,0.167617415379047,0.)); #385002=DIRECTION('',(-0.985852119773371,0.167617415379047,0.)); #385003=DIRECTION('',(0.,0.,1.)); #385004=DIRECTION('center_axis',(0.538922883226506,0.842355106789785,0.)); #385005=DIRECTION('ref_axis',(-0.842355106789785,0.538922883226506,0.)); #385006=DIRECTION('',(-0.842355106789785,0.538922883226506,0.)); #385007=DIRECTION('',(-0.842355106789785,0.538922883226506,0.)); #385008=DIRECTION('',(0.,0.,1.)); #385009=DIRECTION('center_axis',(0.824763124289754,0.565478371656958,0.)); #385010=DIRECTION('ref_axis',(-0.565478371656958,0.824763124289754,0.)); #385011=DIRECTION('',(-0.565478371656958,0.824763124289754,0.)); #385012=DIRECTION('',(-0.565478371656958,0.824763124289754,0.)); #385013=DIRECTION('',(0.,0.,1.)); #385014=DIRECTION('center_axis',(0.979990414878419,0.199044685300622,0.)); #385015=DIRECTION('ref_axis',(-0.199044685300622,0.979990414878419,0.)); #385016=DIRECTION('',(-0.199044685300622,0.979990414878419,0.)); #385017=DIRECTION('',(-0.199044685300622,0.979990414878419,0.)); #385018=DIRECTION('',(0.,0.,1.)); #385019=DIRECTION('center_axis',(0.707106622194624,0.707106940178435,0.)); #385020=DIRECTION('ref_axis',(-0.707106940178435,0.707106622194624,0.)); #385021=DIRECTION('',(-0.707106940178435,0.707106622194624,0.)); #385022=DIRECTION('',(-0.707106940178435,0.707106622194624,0.)); #385023=DIRECTION('',(0.,0.,1.)); #385024=DIRECTION('center_axis',(0.829263274014829,0.558858141544172,0.)); #385025=DIRECTION('ref_axis',(-0.558858141544172,0.829263274014829,0.)); #385026=DIRECTION('',(-0.558858141544172,0.829263274014829,0.)); #385027=DIRECTION('',(-0.558858141544172,0.829263274014829,0.)); #385028=DIRECTION('',(0.,0.,1.)); #385029=DIRECTION('center_axis',(0.979997563866654,0.199009484234856,0.)); #385030=DIRECTION('ref_axis',(-0.199009484234856,0.979997563866654,0.)); #385031=DIRECTION('',(-0.199009484234856,0.979997563866654,0.)); #385032=DIRECTION('',(-0.199009484234856,0.979997563866654,0.)); #385033=DIRECTION('',(0.,0.,1.)); #385034=DIRECTION('center_axis',(1.,0.,0.)); #385035=DIRECTION('ref_axis',(0.,1.,0.)); #385036=DIRECTION('',(0.,1.,0.)); #385037=DIRECTION('',(0.,1.,0.)); #385038=DIRECTION('',(0.,0.,1.)); #385039=DIRECTION('center_axis',(0.981552475550509,-0.191192933291654,0.)); #385040=DIRECTION('ref_axis',(0.191192933291654,0.981552475550509,0.)); #385041=DIRECTION('',(0.191192933291654,0.981552475550509,0.)); #385042=DIRECTION('',(0.191192933291654,0.981552475550509,0.)); #385043=DIRECTION('',(0.,0.,1.)); #385044=DIRECTION('center_axis',(0.833696876830075,-0.552222344317738,0.)); #385045=DIRECTION('ref_axis',(0.552222344317738,0.833696876830075,0.)); #385046=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #385047=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #385048=DIRECTION('',(0.,0.,1.)); #385049=DIRECTION('center_axis',(0.707106999965422,-0.707106562407605,0.)); #385050=DIRECTION('ref_axis',(0.707106562407605,0.707106999965422,0.)); #385051=DIRECTION('',(0.707106562407605,0.707106999965422,0.)); #385052=DIRECTION('',(0.707106562407605,0.707106999965422,0.)); #385053=DIRECTION('',(0.,0.,1.)); #385054=DIRECTION('center_axis',(1.,0.,0.)); #385055=DIRECTION('ref_axis',(0.,1.,0.)); #385056=DIRECTION('',(0.,1.,0.)); #385057=DIRECTION('',(0.,1.,0.)); #385058=DIRECTION('',(0.,0.,1.)); #385059=DIRECTION('center_axis',(0.707106216327399,0.707107346045245,0.)); #385060=DIRECTION('ref_axis',(-0.707107346045245,0.707106216327399,0.)); #385061=DIRECTION('',(-0.707107346045245,0.707106216327399,0.)); #385062=DIRECTION('',(-0.707107346045245,0.707106216327399,0.)); #385063=DIRECTION('',(0.,0.,1.)); #385064=DIRECTION('center_axis',(0.,1.,0.)); #385065=DIRECTION('ref_axis',(-1.,0.,0.)); #385066=DIRECTION('',(-1.,0.,0.)); #385067=DIRECTION('',(-1.,0.,0.)); #385068=DIRECTION('',(0.,0.,1.)); #385069=DIRECTION('center_axis',(-0.707106216327399,0.707107346045245,0.)); #385070=DIRECTION('ref_axis',(-0.707107346045245,-0.707106216327399,0.)); #385071=DIRECTION('',(-0.707107346045245,-0.707106216327399,0.)); #385072=DIRECTION('',(-0.707107346045245,-0.707106216327399,0.)); #385073=DIRECTION('',(0.,0.,1.)); #385074=DIRECTION('center_axis',(-1.,0.,0.)); #385075=DIRECTION('ref_axis',(0.,-1.,0.)); #385076=DIRECTION('',(0.,-1.,0.)); #385077=DIRECTION('',(0.,-1.,0.)); #385078=DIRECTION('',(0.,0.,1.)); #385079=DIRECTION('center_axis',(-0.707106999965422,-0.707106562407605, 0.)); #385080=DIRECTION('ref_axis',(0.707106562407605,-0.707106999965422,0.)); #385081=DIRECTION('',(0.707106562407605,-0.707106999965422,0.)); #385082=DIRECTION('',(0.707106562407605,-0.707106999965422,0.)); #385083=DIRECTION('',(0.,0.,1.)); #385084=DIRECTION('center_axis',(-0.829263274014822,-0.558858141544183, 0.)); #385085=DIRECTION('ref_axis',(0.558858141544183,-0.829263274014822,0.)); #385086=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #385087=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #385088=DIRECTION('',(0.,0.,1.)); #385089=DIRECTION('center_axis',(-0.979997563866654,-0.199009484234856, 0.)); #385090=DIRECTION('ref_axis',(0.199009484234856,-0.979997563866654,0.)); #385091=DIRECTION('',(0.199009484234856,-0.979997563866654,0.)); #385092=DIRECTION('',(0.199009484234856,-0.979997563866654,0.)); #385093=DIRECTION('',(0.,0.,1.)); #385094=DIRECTION('center_axis',(-1.,0.,0.)); #385095=DIRECTION('ref_axis',(0.,-1.,0.)); #385096=DIRECTION('',(0.,-1.,0.)); #385097=DIRECTION('',(0.,-1.,0.)); #385098=DIRECTION('',(0.,0.,1.)); #385099=DIRECTION('center_axis',(-0.983050612179051,0.183334377284768,0.)); #385100=DIRECTION('ref_axis',(-0.183334377284768,-0.983050612179051,0.)); #385101=DIRECTION('',(-0.183334377284768,-0.983050612179051,0.)); #385102=DIRECTION('',(-0.183334377284768,-0.983050612179051,0.)); #385103=DIRECTION('',(0.,0.,1.)); #385104=DIRECTION('center_axis',(-0.842361070051314,0.538913562328881,0.)); #385105=DIRECTION('ref_axis',(-0.538913562328881,-0.842361070051314,0.)); #385106=DIRECTION('',(-0.538913562328881,-0.842361070051314,0.)); #385107=DIRECTION('',(-0.538913562328881,-0.842361070051314,0.)); #385108=DIRECTION('',(0.,0.,1.)); #385109=DIRECTION('center_axis',(-0.565462991527455,0.824773669083114,0.)); #385110=DIRECTION('ref_axis',(-0.824773669083114,-0.565462991527455,0.)); #385111=DIRECTION('',(-0.824773669083114,-0.565462991527455,0.)); #385112=DIRECTION('',(-0.824773669083114,-0.565462991527455,0.)); #385113=DIRECTION('',(0.,0.,1.)); #385114=DIRECTION('center_axis',(-0.199018242080767,0.979995785357815,0.)); #385115=DIRECTION('ref_axis',(-0.979995785357815,-0.199018242080767,0.)); #385116=DIRECTION('',(-0.979995785357815,-0.199018242080767,0.)); #385117=DIRECTION('',(-0.979995785357815,-0.199018242080767,0.)); #385118=DIRECTION('',(0.,0.,1.)); #385119=DIRECTION('center_axis',(0.,1.,0.)); #385120=DIRECTION('ref_axis',(-1.,0.,0.)); #385121=DIRECTION('',(-1.,0.,0.)); #385122=DIRECTION('',(-1.,0.,0.)); #385123=DIRECTION('',(0.,0.,1.)); #385124=DIRECTION('center_axis',(-0.707105395383327,0.707108166987052,0.)); #385125=DIRECTION('ref_axis',(-0.707108166987052,-0.707105395383327,0.)); #385126=DIRECTION('',(-0.707108166987052,-0.707105395383327,0.)); #385127=DIRECTION('',(-0.707108166987052,-0.707105395383327,0.)); #385128=DIRECTION('',(0.,0.,1.)); #385129=DIRECTION('center_axis',(-0.572013161193196,0.820244441262339,0.)); #385130=DIRECTION('ref_axis',(-0.820244441262339,-0.572013161193195,0.)); #385131=DIRECTION('',(-0.820244441262339,-0.572013161193196,0.)); #385132=DIRECTION('',(-0.820244441262339,-0.572013161193196,0.)); #385133=DIRECTION('',(0.,0.,1.)); #385134=DIRECTION('center_axis',(-0.230111132185464,0.973164357569842,0.)); #385135=DIRECTION('ref_axis',(-0.973164357569842,-0.230111132185464,0.)); #385136=DIRECTION('',(-0.973164357569842,-0.230111132185464,0.)); #385137=DIRECTION('',(-0.973164357569842,-0.230111132185464,0.)); #385138=DIRECTION('',(0.,0.,1.)); #385139=DIRECTION('center_axis',(0.167617415379047,0.985852119773371,0.)); #385140=DIRECTION('ref_axis',(-0.985852119773371,0.167617415379047,0.)); #385141=DIRECTION('',(-0.985852119773371,0.167617415379047,0.)); #385142=DIRECTION('',(-0.985852119773371,0.167617415379047,0.)); #385143=DIRECTION('',(0.,0.,1.)); #385144=DIRECTION('center_axis',(0.538922883226506,0.842355106789785,0.)); #385145=DIRECTION('ref_axis',(-0.842355106789785,0.538922883226506,0.)); #385146=DIRECTION('',(-0.842355106789785,0.538922883226506,0.)); #385147=DIRECTION('',(-0.842355106789785,0.538922883226506,0.)); #385148=DIRECTION('',(0.,0.,1.)); #385149=DIRECTION('center_axis',(0.824762824798868,0.56547880847101,0.)); #385150=DIRECTION('ref_axis',(-0.56547880847101,0.824762824798868,0.)); #385151=DIRECTION('',(-0.56547880847101,0.824762824798868,0.)); #385152=DIRECTION('',(-0.56547880847101,0.824762824798868,0.)); #385153=DIRECTION('',(0.,0.,1.)); #385154=DIRECTION('center_axis',(0.707107383468298,0.707106178904284,0.)); #385155=DIRECTION('ref_axis',(-0.707106178904284,0.707107383468298,0.)); #385156=DIRECTION('',(-0.707106178904284,0.707107383468298,0.)); #385157=DIRECTION('',(-0.707106178904284,0.707107383468298,0.)); #385158=DIRECTION('',(0.,0.,1.)); #385159=DIRECTION('center_axis',(0.829263274014829,0.558858141544172,0.)); #385160=DIRECTION('ref_axis',(-0.558858141544172,0.829263274014829,0.)); #385161=DIRECTION('',(-0.558858141544172,0.829263274014829,0.)); #385162=DIRECTION('',(-0.558858141544172,0.829263274014829,0.)); #385163=DIRECTION('',(0.,0.,1.)); #385164=DIRECTION('center_axis',(0.979997563866653,0.199009484234861,0.)); #385165=DIRECTION('ref_axis',(-0.199009484234861,0.979997563866653,0.)); #385166=DIRECTION('',(-0.199009484234861,0.979997563866653,0.)); #385167=DIRECTION('',(-0.199009484234861,0.979997563866653,0.)); #385168=DIRECTION('',(0.,0.,1.)); #385169=DIRECTION('center_axis',(1.,0.,0.)); #385170=DIRECTION('ref_axis',(0.,1.,0.)); #385171=DIRECTION('',(0.,1.,0.)); #385172=DIRECTION('',(0.,1.,0.)); #385173=DIRECTION('',(0.,0.,1.)); #385174=DIRECTION('center_axis',(0.981552475550509,-0.191192933291654,0.)); #385175=DIRECTION('ref_axis',(0.191192933291654,0.981552475550509,0.)); #385176=DIRECTION('',(0.191192933291654,0.981552475550509,0.)); #385177=DIRECTION('',(0.191192933291654,0.981552475550509,0.)); #385178=DIRECTION('',(0.,0.,1.)); #385179=DIRECTION('center_axis',(0.833696876830075,-0.552222344317738,0.)); #385180=DIRECTION('ref_axis',(0.552222344317738,0.833696876830075,0.)); #385181=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #385182=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #385183=DIRECTION('',(0.,0.,1.)); #385184=DIRECTION('center_axis',(0.707106999965422,-0.707106562407605,0.)); #385185=DIRECTION('ref_axis',(0.707106562407605,0.707106999965422,0.)); #385186=DIRECTION('',(0.707106562407605,0.707106999965422,0.)); #385187=DIRECTION('',(0.707106562407605,0.707106999965422,0.)); #385188=DIRECTION('',(0.,0.,1.)); #385189=DIRECTION('center_axis',(1.,0.,0.)); #385190=DIRECTION('ref_axis',(0.,1.,0.)); #385191=DIRECTION('',(0.,1.,0.)); #385192=DIRECTION('',(0.,1.,0.)); #385193=DIRECTION('',(0.,0.,1.)); #385194=DIRECTION('center_axis',(0.707106216327397,0.707107346045247,0.)); #385195=DIRECTION('ref_axis',(-0.707107346045247,0.707106216327397,0.)); #385196=DIRECTION('',(-0.707107346045247,0.707106216327397,0.)); #385197=DIRECTION('',(-0.707107346045247,0.707106216327397,0.)); #385198=DIRECTION('',(0.,0.,1.)); #385199=DIRECTION('center_axis',(0.,1.,0.)); #385200=DIRECTION('ref_axis',(-1.,0.,0.)); #385201=DIRECTION('',(-1.,0.,0.)); #385202=DIRECTION('',(-1.,0.,0.)); #385203=DIRECTION('',(0.,0.,1.)); #385204=DIRECTION('center_axis',(-0.707106216327397,0.707107346045247,0.)); #385205=DIRECTION('ref_axis',(-0.707107346045247,-0.707106216327397,0.)); #385206=DIRECTION('',(-0.707107346045247,-0.707106216327397,0.)); #385207=DIRECTION('',(-0.707107346045247,-0.707106216327397,0.)); #385208=DIRECTION('',(0.,0.,1.)); #385209=DIRECTION('center_axis',(-1.,0.,0.)); #385210=DIRECTION('ref_axis',(0.,-1.,0.)); #385211=DIRECTION('',(0.,-1.,0.)); #385212=DIRECTION('',(0.,-1.,0.)); #385213=DIRECTION('',(0.,0.,1.)); #385214=DIRECTION('center_axis',(-0.707106999965422,-0.707106562407605, 0.)); #385215=DIRECTION('ref_axis',(0.707106562407605,-0.707106999965422,0.)); #385216=DIRECTION('',(0.707106562407605,-0.707106999965422,0.)); #385217=DIRECTION('',(0.707106562407605,-0.707106999965422,0.)); #385218=DIRECTION('',(0.,0.,1.)); #385219=DIRECTION('center_axis',(-0.829263274014822,-0.558858141544183, 0.)); #385220=DIRECTION('ref_axis',(0.558858141544183,-0.829263274014822,0.)); #385221=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #385222=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #385223=DIRECTION('',(0.,0.,1.)); #385224=DIRECTION('center_axis',(-0.979997563866654,-0.199009484234856, 0.)); #385225=DIRECTION('ref_axis',(0.199009484234856,-0.979997563866654,0.)); #385226=DIRECTION('',(0.199009484234856,-0.979997563866654,0.)); #385227=DIRECTION('',(0.199009484234856,-0.979997563866654,0.)); #385228=DIRECTION('',(0.,0.,1.)); #385229=DIRECTION('center_axis',(-1.,0.,0.)); #385230=DIRECTION('ref_axis',(0.,-1.,0.)); #385231=DIRECTION('',(0.,-1.,0.)); #385232=DIRECTION('',(0.,-1.,0.)); #385233=DIRECTION('',(0.,0.,1.)); #385234=DIRECTION('center_axis',(-0.707106947327658,-0.707106615045398, 0.)); #385235=DIRECTION('ref_axis',(0.707106615045398,-0.707106947327658,0.)); #385236=DIRECTION('',(0.707106615045398,-0.707106947327658,0.)); #385237=DIRECTION('',(0.707106615045398,-0.707106947327658,0.)); #385238=DIRECTION('',(0.,0.,1.)); #385239=DIRECTION('center_axis',(-0.829263274014822,-0.558858141544183, 0.)); #385240=DIRECTION('ref_axis',(0.558858141544183,-0.829263274014822,0.)); #385241=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #385242=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #385243=DIRECTION('',(0.,0.,1.)); #385244=DIRECTION('center_axis',(-0.97999764222139,-0.19900909838627,0.)); #385245=DIRECTION('ref_axis',(0.19900909838627,-0.97999764222139,0.)); #385246=DIRECTION('',(0.19900909838627,-0.97999764222139,0.)); #385247=DIRECTION('',(0.19900909838627,-0.97999764222139,0.)); #385248=DIRECTION('',(0.,0.,1.)); #385249=DIRECTION('center_axis',(-1.,0.,0.)); #385250=DIRECTION('ref_axis',(0.,-1.,0.)); #385251=DIRECTION('',(0.,-1.,0.)); #385252=DIRECTION('',(0.,-1.,0.)); #385253=DIRECTION('',(0.,0.,1.)); #385254=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546, 0.)); #385255=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #385256=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #385257=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #385258=DIRECTION('',(0.,0.,1.)); #385259=DIRECTION('center_axis',(-0.820244441262304,-0.572013161193246, 0.)); #385260=DIRECTION('ref_axis',(0.572013161193246,-0.820244441262304,0.)); #385261=DIRECTION('',(0.572013161193246,-0.820244441262304,0.)); #385262=DIRECTION('',(0.572013161193246,-0.820244441262304,0.)); #385263=DIRECTION('',(0.,0.,1.)); #385264=DIRECTION('center_axis',(-0.97316125628094,-0.23012424747015,0.)); #385265=DIRECTION('ref_axis',(0.23012424747015,-0.97316125628094,0.)); #385266=DIRECTION('',(0.23012424747015,-0.97316125628094,0.)); #385267=DIRECTION('',(0.23012424747015,-0.97316125628094,0.)); #385268=DIRECTION('',(0.,0.,1.)); #385269=DIRECTION('center_axis',(-0.985851792830733,0.167619338300892,0.)); #385270=DIRECTION('ref_axis',(-0.167619338300892,-0.985851792830733,0.)); #385271=DIRECTION('',(-0.167619338300892,-0.985851792830733,0.)); #385272=DIRECTION('',(-0.167619338300892,-0.985851792830733,0.)); #385273=DIRECTION('',(0.,0.,1.)); #385274=DIRECTION('center_axis',(-0.842351514327676,0.538928498327812,0.)); #385275=DIRECTION('ref_axis',(-0.538928498327812,-0.842351514327676,0.)); #385276=DIRECTION('',(-0.538928498327812,-0.842351514327676,0.)); #385277=DIRECTION('',(-0.538928498327812,-0.842351514327676,0.)); #385278=DIRECTION('',(0.,0.,1.)); #385279=DIRECTION('center_axis',(-0.56548298527178,0.824759961060257,0.)); #385280=DIRECTION('ref_axis',(-0.824759961060257,-0.56548298527178,0.)); #385281=DIRECTION('',(-0.824759961060257,-0.56548298527178,0.)); #385282=DIRECTION('',(-0.824759961060257,-0.56548298527178,0.)); #385283=DIRECTION('',(0.,0.,1.)); #385284=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #385285=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #385286=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #385287=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #385288=DIRECTION('',(0.,0.,1.)); #385289=DIRECTION('center_axis',(0.,1.,0.)); #385290=DIRECTION('ref_axis',(-1.,0.,0.)); #385291=DIRECTION('',(-1.,0.,0.)); #385292=DIRECTION('',(-1.,0.,0.)); #385293=DIRECTION('',(0.,0.,1.)); #385294=DIRECTION('center_axis',(-0.707106781186552,0.707106781186543,0.)); #385295=DIRECTION('ref_axis',(-0.707106781186543,-0.707106781186552,0.)); #385296=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #385297=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #385298=DIRECTION('',(0.,0.,1.)); #385299=DIRECTION('center_axis',(-0.565475774224491,0.824764905148863,0.)); #385300=DIRECTION('ref_axis',(-0.824764905148863,-0.565475774224491,0.)); #385301=DIRECTION('',(-0.824764905148863,-0.565475774224491,0.)); #385302=DIRECTION('',(-0.824764905148863,-0.565475774224491,0.)); #385303=DIRECTION('',(0.,0.,1.)); #385304=DIRECTION('center_axis',(-0.214594192212146,0.976703298176481,0.)); #385305=DIRECTION('ref_axis',(-0.976703298176481,-0.214594192212146,0.)); #385306=DIRECTION('',(-0.976703298176481,-0.214594192212146,0.)); #385307=DIRECTION('',(-0.976703298176481,-0.214594192212146,0.)); #385308=DIRECTION('',(0.,0.,1.)); #385309=DIRECTION('center_axis',(0.183380618657247,0.983041987252267,0.)); #385310=DIRECTION('ref_axis',(-0.983041987252266,0.183380618657247,0.)); #385311=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #385312=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #385313=DIRECTION('',(0.,0.,1.)); #385314=DIRECTION('center_axis',(0.552214058332442,0.833702365223953,0.)); #385315=DIRECTION('ref_axis',(-0.833702365223953,0.552214058332442,0.)); #385316=DIRECTION('',(-0.833702365223953,0.552214058332442,0.)); #385317=DIRECTION('',(-0.833702365223953,0.552214058332442,0.)); #385318=DIRECTION('',(0.,0.,1.)); #385319=DIRECTION('center_axis',(0.707106781186552,0.707106781186543,0.)); #385320=DIRECTION('ref_axis',(-0.707106781186543,0.707106781186552,0.)); #385321=DIRECTION('',(-0.707106781186543,0.707106781186552,0.)); #385322=DIRECTION('',(-0.707106781186543,0.707106781186552,0.)); #385323=DIRECTION('',(0.,0.,1.)); #385324=DIRECTION('center_axis',(0.,1.,0.)); #385325=DIRECTION('ref_axis',(-1.,0.,0.)); #385326=DIRECTION('',(-1.,0.,0.)); #385327=DIRECTION('',(-1.,0.,0.)); #385328=DIRECTION('',(0.,0.,1.)); #385329=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #385330=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #385331=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #385332=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #385333=DIRECTION('',(0.,0.,1.)); #385334=DIRECTION('center_axis',(-0.572013161193165,0.82024444126236,0.)); #385335=DIRECTION('ref_axis',(-0.82024444126236,-0.572013161193165,0.)); #385336=DIRECTION('',(-0.82024444126236,-0.572013161193165,0.)); #385337=DIRECTION('',(-0.82024444126236,-0.572013161193165,0.)); #385338=DIRECTION('',(0.,0.,1.)); #385339=DIRECTION('center_axis',(-0.230105511310725,0.973165686645614,0.)); #385340=DIRECTION('ref_axis',(-0.973165686645614,-0.230105511310725,0.)); #385341=DIRECTION('',(-0.973165686645614,-0.230105511310725,0.)); #385342=DIRECTION('',(-0.973165686645614,-0.230105511310725,0.)); #385343=DIRECTION('',(0.,0.,1.)); #385344=DIRECTION('center_axis',(0.167619338300963,0.985851792830721,0.)); #385345=DIRECTION('ref_axis',(-0.985851792830721,0.167619338300963,0.)); #385346=DIRECTION('',(-0.985851792830721,0.167619338300963,0.)); #385347=DIRECTION('',(-0.985851792830721,0.167619338300963,0.)); #385348=DIRECTION('',(0.,0.,1.)); #385349=DIRECTION('center_axis',(0.538928498327832,0.842351514327663,0.)); #385350=DIRECTION('ref_axis',(-0.842351514327663,0.538928498327832,0.)); #385351=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #385352=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #385353=DIRECTION('',(0.,0.,1.)); #385354=DIRECTION('center_axis',(0.824759961060236,0.56548298527181,0.)); #385355=DIRECTION('ref_axis',(-0.56548298527181,0.824759961060236,0.)); #385356=DIRECTION('',(-0.56548298527181,0.824759961060236,0.)); #385357=DIRECTION('',(-0.56548298527181,0.824759961060236,0.)); #385358=DIRECTION('',(0.,0.,1.)); #385359=DIRECTION('center_axis',(0.97999811234025,0.199006783325459,0.)); #385360=DIRECTION('ref_axis',(-0.199006783325459,0.97999811234025,0.)); #385361=DIRECTION('',(-0.199006783325459,0.97999811234025,0.)); #385362=DIRECTION('',(-0.199006783325459,0.97999811234025,0.)); #385363=DIRECTION('',(0.,0.,1.)); #385364=DIRECTION('center_axis',(1.,0.,0.)); #385365=DIRECTION('ref_axis',(0.,1.,0.)); #385366=DIRECTION('',(0.,1.,0.)); #385367=DIRECTION('',(0.,1.,0.)); #385368=DIRECTION('',(0.,0.,1.)); #385369=DIRECTION('center_axis',(0.981552851945484,-0.191191000932803,0.)); #385370=DIRECTION('ref_axis',(0.191191000932803,0.981552851945484,0.)); #385371=DIRECTION('',(0.191191000932803,0.981552851945484,0.)); #385372=DIRECTION('',(0.191191000932803,0.981552851945484,0.)); #385373=DIRECTION('',(0.,0.,1.)); #385374=DIRECTION('center_axis',(0.833693256958924,-0.552227809242908,0.)); #385375=DIRECTION('ref_axis',(0.552227809242908,0.833693256958924,0.)); #385376=DIRECTION('',(0.552227809242908,0.833693256958924,0.)); #385377=DIRECTION('',(0.552227809242908,0.833693256958924,0.)); #385378=DIRECTION('',(0.,0.,1.)); #385379=DIRECTION('center_axis',(0.707108968966173,-0.707104593400154,0.)); #385380=DIRECTION('ref_axis',(0.707104593400154,0.707108968966173,0.)); #385381=DIRECTION('',(0.707104593400154,0.707108968966173,0.)); #385382=DIRECTION('',(0.707104593400154,0.707108968966173,0.)); #385383=DIRECTION('',(0.,0.,1.)); #385384=DIRECTION('center_axis',(1.,0.,0.)); #385385=DIRECTION('ref_axis',(0.,1.,0.)); #385386=DIRECTION('',(0.,1.,0.)); #385387=DIRECTION('',(0.,1.,0.)); #385388=DIRECTION('',(0.,0.,1.)); #385389=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #385390=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #385391=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #385392=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #385393=DIRECTION('',(0.,0.,1.)); #385394=DIRECTION('center_axis',(0.,1.,0.)); #385395=DIRECTION('ref_axis',(-1.,0.,0.)); #385396=DIRECTION('',(-1.,0.,0.)); #385397=DIRECTION('',(-1.,0.,0.)); #385398=DIRECTION('',(0.,0.,1.)); #385399=DIRECTION('center_axis',(0.183314787014918,0.983054265471482,0.)); #385400=DIRECTION('ref_axis',(-0.983054265471482,0.183314787014918,0.)); #385401=DIRECTION('',(-0.983054265471482,0.183314787014918,0.)); #385402=DIRECTION('',(-0.983054265471482,0.183314787014918,0.)); #385403=DIRECTION('',(0.,0.,1.)); #385404=DIRECTION('center_axis',(0.538928498327713,0.84235151432774,0.)); #385405=DIRECTION('ref_axis',(-0.84235151432774,0.538928498327713,0.)); #385406=DIRECTION('',(-0.84235151432774,0.538928498327713,0.)); #385407=DIRECTION('',(-0.84235151432774,0.538928498327713,0.)); #385408=DIRECTION('',(0.,0.,1.)); #385409=DIRECTION('center_axis',(0.824766287441821,0.565473758099733,0.)); #385410=DIRECTION('ref_axis',(-0.565473758099733,0.824766287441821,0.)); #385411=DIRECTION('',(-0.565473758099733,0.824766287441821,0.)); #385412=DIRECTION('',(-0.565473758099733,0.824766287441821,0.)); #385413=DIRECTION('',(0.,0.,1.)); #385414=DIRECTION('center_axis',(0.979997328799728,0.19901064178932,0.)); #385415=DIRECTION('ref_axis',(-0.19901064178932,0.979997328799728,0.)); #385416=DIRECTION('',(-0.19901064178932,0.979997328799728,0.)); #385417=DIRECTION('',(-0.19901064178932,0.979997328799728,0.)); #385418=DIRECTION('',(0.,0.,1.)); #385419=DIRECTION('center_axis',(1.,0.,0.)); #385420=DIRECTION('ref_axis',(0.,1.,0.)); #385421=DIRECTION('',(0.,1.,0.)); #385422=DIRECTION('',(0.,1.,0.)); #385423=DIRECTION('',(0.,0.,1.)); #385424=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #385425=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #385426=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #385427=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #385428=DIRECTION('',(0.,0.,1.)); #385429=DIRECTION('center_axis',(0.,1.,0.)); #385430=DIRECTION('ref_axis',(-1.,0.,0.)); #385431=DIRECTION('',(-1.,0.,0.)); #385432=DIRECTION('',(-1.,0.,0.)); #385433=DIRECTION('',(0.,0.,1.)); #385434=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #385435=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #385436=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #385437=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #385438=DIRECTION('',(0.,0.,1.)); #385439=DIRECTION('center_axis',(-1.,0.,0.)); #385440=DIRECTION('ref_axis',(0.,-1.,0.)); #385441=DIRECTION('',(0.,-1.,0.)); #385442=DIRECTION('',(0.,-1.,0.)); #385443=DIRECTION('',(0.,0.,1.)); #385444=DIRECTION('center_axis',(-0.707108968966163,-0.707104593400163, 0.)); #385445=DIRECTION('ref_axis',(0.707104593400163,-0.707108968966163,0.)); #385446=DIRECTION('',(0.707104593400163,-0.707108968966163,0.)); #385447=DIRECTION('',(0.707104593400163,-0.707108968966163,0.)); #385448=DIRECTION('',(0.,0.,1.)); #385449=DIRECTION('center_axis',(-0.829258128536007,-0.558865776602003, 0.)); #385450=DIRECTION('ref_axis',(0.558865776602003,-0.829258128536007,0.)); #385451=DIRECTION('',(0.558865776602003,-0.829258128536007,0.)); #385452=DIRECTION('',(0.558865776602003,-0.829258128536007,0.)); #385453=DIRECTION('',(0.,0.,1.)); #385454=DIRECTION('center_axis',(-0.979998112340233,-0.199006783325543, 0.)); #385455=DIRECTION('ref_axis',(0.199006783325543,-0.979998112340233,0.)); #385456=DIRECTION('',(0.199006783325543,-0.979998112340233,0.)); #385457=DIRECTION('',(0.199006783325543,-0.979998112340233,0.)); #385458=DIRECTION('',(0.,0.,1.)); #385459=DIRECTION('center_axis',(-1.,0.,0.)); #385460=DIRECTION('ref_axis',(0.,-1.,0.)); #385461=DIRECTION('',(0.,-1.,0.)); #385462=DIRECTION('',(0.,-1.,0.)); #385463=DIRECTION('',(0.,0.,1.)); #385464=DIRECTION('center_axis',(-0.707106781186569,-0.707106781186526, 0.)); #385465=DIRECTION('ref_axis',(0.707106781186526,-0.707106781186569,0.)); #385466=DIRECTION('',(0.707106781186526,-0.707106781186569,0.)); #385467=DIRECTION('',(0.707106781186526,-0.707106781186569,0.)); #385468=DIRECTION('',(0.,0.,1.)); #385469=DIRECTION('center_axis',(-0.820244441262368,-0.572013161193155, 0.)); #385470=DIRECTION('ref_axis',(0.572013161193155,-0.820244441262367,0.)); #385471=DIRECTION('',(0.572013161193155,-0.820244441262367,0.)); #385472=DIRECTION('',(0.572013161193155,-0.820244441262367,0.)); #385473=DIRECTION('',(0.,0.,1.)); #385474=DIRECTION('center_axis',(-0.97316125628092,-0.230124247470233,0.)); #385475=DIRECTION('ref_axis',(0.230124247470233,-0.97316125628092,0.)); #385476=DIRECTION('',(0.230124247470233,-0.97316125628092,0.)); #385477=DIRECTION('',(0.230124247470233,-0.97316125628092,0.)); #385478=DIRECTION('',(0.,0.,1.)); #385479=DIRECTION('center_axis',(-0.985851792830718,0.167619338300985,0.)); #385480=DIRECTION('ref_axis',(-0.167619338300985,-0.985851792830718,0.)); #385481=DIRECTION('',(-0.167619338300985,-0.985851792830718,0.)); #385482=DIRECTION('',(-0.167619338300985,-0.985851792830718,0.)); #385483=DIRECTION('',(0.,0.,1.)); #385484=DIRECTION('center_axis',(-0.842351514327729,0.53892849832773,0.)); #385485=DIRECTION('ref_axis',(-0.53892849832773,-0.842351514327729,0.)); #385486=DIRECTION('',(-0.53892849832773,-0.842351514327729,0.)); #385487=DIRECTION('',(-0.53892849832773,-0.842351514327729,0.)); #385488=DIRECTION('',(0.,0.,1.)); #385489=DIRECTION('center_axis',(-0.56548298527175,0.824759961060277,0.)); #385490=DIRECTION('ref_axis',(-0.824759961060277,-0.56548298527175,0.)); #385491=DIRECTION('',(-0.824759961060277,-0.56548298527175,0.)); #385492=DIRECTION('',(-0.824759961060277,-0.56548298527175,0.)); #385493=DIRECTION('',(0.,0.,1.)); #385494=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #385495=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #385496=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #385497=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #385498=DIRECTION('',(0.,0.,1.)); #385499=DIRECTION('center_axis',(0.,1.,0.)); #385500=DIRECTION('ref_axis',(-1.,0.,0.)); #385501=DIRECTION('',(-1.,0.,0.)); #385502=DIRECTION('',(-1.,0.,0.)); #385503=DIRECTION('',(0.,0.,1.)); #385504=DIRECTION('center_axis',(-0.707106781186556,0.707106781186539,0.)); #385505=DIRECTION('ref_axis',(-0.707106781186539,-0.707106781186556,0.)); #385506=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #385507=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #385508=DIRECTION('',(0.,0.,1.)); #385509=DIRECTION('center_axis',(-1.,0.,0.)); #385510=DIRECTION('ref_axis',(0.,-1.,0.)); #385511=DIRECTION('',(0.,-1.,0.)); #385512=DIRECTION('',(0.,-1.,0.)); #385513=DIRECTION('',(0.,0.,1.)); #385514=DIRECTION('center_axis',(-0.983054265471501,0.183314787014818,0.)); #385515=DIRECTION('ref_axis',(-0.183314787014818,-0.983054265471501,0.)); #385516=DIRECTION('',(-0.183314787014818,-0.983054265471501,0.)); #385517=DIRECTION('',(-0.183314787014818,-0.983054265471501,0.)); #385518=DIRECTION('',(0.,0.,1.)); #385519=DIRECTION('center_axis',(-0.842351514327649,0.538928498327855,0.)); #385520=DIRECTION('ref_axis',(-0.538928498327855,-0.842351514327649,0.)); #385521=DIRECTION('',(-0.538928498327855,-0.842351514327649,0.)); #385522=DIRECTION('',(-0.538928498327855,-0.842351514327649,0.)); #385523=DIRECTION('',(0.,0.,1.)); #385524=DIRECTION('center_axis',(-0.565473758099803,0.824766287441773,0.)); #385525=DIRECTION('ref_axis',(-0.824766287441773,-0.565473758099803,0.)); #385526=DIRECTION('',(-0.824766287441773,-0.565473758099803,0.)); #385527=DIRECTION('',(-0.824766287441773,-0.565473758099803,0.)); #385528=DIRECTION('',(0.,0.,1.)); #385529=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #385530=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #385531=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #385532=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #385533=DIRECTION('',(0.,0.,1.)); #385534=DIRECTION('center_axis',(0.,1.,0.)); #385535=DIRECTION('ref_axis',(-1.,0.,0.)); #385536=DIRECTION('',(-1.,0.,0.)); #385537=DIRECTION('',(-1.,0.,0.)); #385538=DIRECTION('',(0.,0.,1.)); #385539=DIRECTION('center_axis',(-0.707106781186539,0.707106781186556,0.)); #385540=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #385541=DIRECTION('',(-0.707106781186556,-0.707106781186539,0.)); #385542=DIRECTION('',(-0.707106781186556,-0.707106781186539,0.)); #385543=DIRECTION('',(0.,0.,1.)); #385544=DIRECTION('center_axis',(-1.,0.,0.)); #385545=DIRECTION('ref_axis',(0.,-1.,0.)); #385546=DIRECTION('',(0.,-1.,0.)); #385547=DIRECTION('',(0.,-1.,0.)); #385548=DIRECTION('',(0.,0.,1.)); #385549=DIRECTION('center_axis',(-0.98305039565759,0.183335538282833,0.)); #385550=DIRECTION('ref_axis',(-0.183335538282833,-0.98305039565759,0.)); #385551=DIRECTION('',(-0.183335538282833,-0.98305039565759,0.)); #385552=DIRECTION('',(-0.183335538282833,-0.98305039565759,0.)); #385553=DIRECTION('',(0.,0.,1.)); #385554=DIRECTION('center_axis',(-0.84236049547023,0.538914460439825,0.)); #385555=DIRECTION('ref_axis',(-0.538914460439825,-0.84236049547023,0.)); #385556=DIRECTION('',(-0.538914460439825,-0.84236049547023,0.)); #385557=DIRECTION('',(-0.538914460439825,-0.84236049547023,0.)); #385558=DIRECTION('',(0.,0.,1.)); #385559=DIRECTION('center_axis',(-0.565473758099733,0.824766287441821,0.)); #385560=DIRECTION('ref_axis',(-0.824766287441821,-0.565473758099733,0.)); #385561=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #385562=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #385563=DIRECTION('',(0.,0.,1.)); #385564=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #385565=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #385566=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #385567=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #385568=DIRECTION('',(0.,0.,1.)); #385569=DIRECTION('center_axis',(0.,1.,0.)); #385570=DIRECTION('ref_axis',(-1.,0.,0.)); #385571=DIRECTION('',(-1.,0.,0.)); #385572=DIRECTION('',(-1.,0.,0.)); #385573=DIRECTION('',(0.,0.,1.)); #385574=DIRECTION('center_axis',(0.191191000932803,0.981552851945484,0.)); #385575=DIRECTION('ref_axis',(-0.981552851945484,0.191191000932803,0.)); #385576=DIRECTION('',(-0.981552851945484,0.191191000932803,0.)); #385577=DIRECTION('',(-0.981552851945484,0.191191000932803,0.)); #385578=DIRECTION('',(0.,0.,1.)); #385579=DIRECTION('center_axis',(0.552218701081291,0.833699290017745,0.)); #385580=DIRECTION('ref_axis',(-0.833699290017745,0.552218701081291,0.)); #385581=DIRECTION('',(-0.833699290017745,0.552218701081291,0.)); #385582=DIRECTION('',(-0.833699290017745,0.552218701081291,0.)); #385583=DIRECTION('',(0.,0.,1.)); #385584=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #385585=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #385586=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #385587=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #385588=DIRECTION('',(0.,0.,1.)); #385589=DIRECTION('center_axis',(0.,1.,0.)); #385590=DIRECTION('ref_axis',(-1.,0.,0.)); #385591=DIRECTION('',(-1.,0.,0.)); #385592=DIRECTION('',(-1.,0.,0.)); #385593=DIRECTION('',(0.,0.,1.)); #385594=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #385595=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #385596=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #385597=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #385598=DIRECTION('',(0.,0.,1.)); #385599=DIRECTION('center_axis',(-1.,0.,0.)); #385600=DIRECTION('ref_axis',(0.,-1.,0.)); #385601=DIRECTION('',(0.,-1.,0.)); #385602=DIRECTION('',(0.,-1.,0.)); #385603=DIRECTION('',(0.,0.,1.)); #385604=DIRECTION('center_axis',(-0.707106781186555,-0.70710678118654,0.)); #385605=DIRECTION('ref_axis',(0.70710678118654,-0.707106781186555,0.)); #385606=DIRECTION('',(0.70710678118654,-0.707106781186555,0.)); #385607=DIRECTION('',(0.70710678118654,-0.707106781186555,0.)); #385608=DIRECTION('',(0.,0.,1.)); #385609=DIRECTION('center_axis',(-0.824764905148841,-0.565475774224523, 0.)); #385610=DIRECTION('ref_axis',(0.565475774224523,-0.82476490514884,0.)); #385611=DIRECTION('',(0.565475774224523,-0.824764905148841,0.)); #385612=DIRECTION('',(0.565475774224523,-0.824764905148841,0.)); #385613=DIRECTION('',(0.,0.,1.)); #385614=DIRECTION('center_axis',(-0.976703298176474,-0.214594192212179, 0.)); #385615=DIRECTION('ref_axis',(0.214594192212179,-0.976703298176474,0.)); #385616=DIRECTION('',(0.214594192212179,-0.976703298176474,0.)); #385617=DIRECTION('',(0.214594192212179,-0.976703298176474,0.)); #385618=DIRECTION('',(0.,0.,1.)); #385619=DIRECTION('center_axis',(-0.983041987252264,0.183380618657263,0.)); #385620=DIRECTION('ref_axis',(-0.183380618657263,-0.983041987252264,0.)); #385621=DIRECTION('',(-0.183380618657263,-0.983041987252264,0.)); #385622=DIRECTION('',(-0.183380618657263,-0.983041987252264,0.)); #385623=DIRECTION('',(0.,0.,1.)); #385624=DIRECTION('center_axis',(-0.8337023652239,0.552214058332524,0.)); #385625=DIRECTION('ref_axis',(-0.552214058332524,-0.8337023652239,0.)); #385626=DIRECTION('',(-0.552214058332524,-0.8337023652239,0.)); #385627=DIRECTION('',(-0.552214058332524,-0.8337023652239,0.)); #385628=DIRECTION('',(0.,0.,1.)); #385629=DIRECTION('center_axis',(-0.707106781186562,0.707106781186533,0.)); #385630=DIRECTION('ref_axis',(-0.707106781186533,-0.707106781186562,0.)); #385631=DIRECTION('',(-0.707106781186533,-0.707106781186562,0.)); #385632=DIRECTION('',(-0.707106781186533,-0.707106781186562,0.)); #385633=DIRECTION('',(0.,0.,1.)); #385634=DIRECTION('center_axis',(-1.,0.,0.)); #385635=DIRECTION('ref_axis',(0.,-1.,0.)); #385636=DIRECTION('',(0.,-1.,0.)); #385637=DIRECTION('',(0.,-1.,0.)); #385638=DIRECTION('',(0.,0.,1.)); #385639=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #385640=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #385641=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #385642=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #385643=DIRECTION('',(0.,0.,1.)); #385644=DIRECTION('center_axis',(0.,-1.,0.)); #385645=DIRECTION('ref_axis',(1.,0.,0.)); #385646=DIRECTION('',(1.,0.,0.)); #385647=DIRECTION('',(1.,0.,0.)); #385648=DIRECTION('',(0.,0.,1.)); #385649=DIRECTION('center_axis',(-0.183335538282816,-0.983050395657593, 0.)); #385650=DIRECTION('ref_axis',(0.983050395657593,-0.183335538282816,0.)); #385651=DIRECTION('',(0.983050395657593,-0.183335538282816,0.)); #385652=DIRECTION('',(0.983050395657593,-0.183335538282816,0.)); #385653=DIRECTION('',(0.,0.,1.)); #385654=DIRECTION('center_axis',(-0.538914460439897,-0.842360495470185, 0.)); #385655=DIRECTION('ref_axis',(0.842360495470185,-0.538914460439896,0.)); #385656=DIRECTION('',(0.842360495470185,-0.538914460439896,0.)); #385657=DIRECTION('',(0.842360495470185,-0.538914460439896,0.)); #385658=DIRECTION('',(0.,0.,1.)); #385659=DIRECTION('center_axis',(-0.82476628744178,-0.565473758099792,0.)); #385660=DIRECTION('ref_axis',(0.565473758099792,-0.82476628744178,0.)); #385661=DIRECTION('',(0.565473758099792,-0.82476628744178,0.)); #385662=DIRECTION('',(0.565473758099792,-0.82476628744178,0.)); #385663=DIRECTION('',(0.,0.,1.)); #385664=DIRECTION('center_axis',(-0.97999732879973,-0.199010641789311,0.)); #385665=DIRECTION('ref_axis',(0.199010641789311,-0.97999732879973,0.)); #385666=DIRECTION('',(0.199010641789311,-0.97999732879973,0.)); #385667=DIRECTION('',(0.199010641789311,-0.97999732879973,0.)); #385668=DIRECTION('',(0.,0.,1.)); #385669=DIRECTION('center_axis',(-1.,0.,0.)); #385670=DIRECTION('ref_axis',(0.,-1.,0.)); #385671=DIRECTION('',(0.,-1.,0.)); #385672=DIRECTION('',(0.,-1.,0.)); #385673=DIRECTION('',(0.,0.,1.)); #385674=DIRECTION('center_axis',(0.,0.,1.)); #385675=DIRECTION('ref_axis',(-0.886970149509798,-0.461826757430281,0.)); #385676=DIRECTION('center_axis',(0.,0.,1.)); #385677=DIRECTION('ref_axis',(-0.886970149509798,-0.461826757430281,0.)); #385678=DIRECTION('center_axis',(0.,0.,1.)); #385679=DIRECTION('ref_axis',(-0.886970149509798,-0.461826757430281,0.)); #385680=DIRECTION('',(0.,0.,1.)); #385681=DIRECTION('center_axis',(0.,-1.,0.)); #385682=DIRECTION('ref_axis',(1.,0.,0.)); #385683=DIRECTION('',(1.,0.,0.)); #385684=DIRECTION('',(1.,0.,0.)); #385685=DIRECTION('',(0.,0.,1.)); #385686=DIRECTION('center_axis',(0.,0.,1.)); #385687=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #385688=DIRECTION('center_axis',(0.,0.,1.)); #385689=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #385690=DIRECTION('center_axis',(0.,0.,1.)); #385691=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #385692=DIRECTION('',(0.,0.,1.)); #385693=DIRECTION('center_axis',(-0.707106781186559,-0.707106781186536, 0.)); #385694=DIRECTION('ref_axis',(0.707106781186536,-0.707106781186559,0.)); #385695=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #385696=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #385697=DIRECTION('',(0.,0.,1.)); #385698=DIRECTION('center_axis',(0.,-1.,0.)); #385699=DIRECTION('ref_axis',(1.,0.,0.)); #385700=DIRECTION('',(1.,0.,0.)); #385701=DIRECTION('',(1.,0.,0.)); #385702=DIRECTION('',(0.,0.,1.)); #385703=DIRECTION('center_axis',(1.,0.,0.)); #385704=DIRECTION('ref_axis',(0.,1.,0.)); #385705=DIRECTION('',(0.,1.,0.)); #385706=DIRECTION('',(0.,1.,0.)); #385707=DIRECTION('',(0.,0.,1.)); #385708=DIRECTION('center_axis',(0.,-1.,0.)); #385709=DIRECTION('ref_axis',(1.,0.,0.)); #385710=DIRECTION('',(1.,0.,0.)); #385711=DIRECTION('',(1.,0.,0.)); #385712=DIRECTION('',(0.,0.,1.)); #385713=DIRECTION('center_axis',(-1.,0.,0.)); #385714=DIRECTION('ref_axis',(0.,-1.,0.)); #385715=DIRECTION('',(0.,-1.,0.)); #385716=DIRECTION('',(0.,-1.,0.)); #385717=DIRECTION('',(0.,0.,1.)); #385718=DIRECTION('center_axis',(0.,1.,0.)); #385719=DIRECTION('ref_axis',(-1.,0.,0.)); #385720=DIRECTION('',(-1.,0.,0.)); #385721=DIRECTION('',(-1.,0.,0.)); #385722=DIRECTION('',(0.,0.,1.)); #385723=DIRECTION('center_axis',(1.,0.,0.)); #385724=DIRECTION('ref_axis',(0.,1.,0.)); #385725=DIRECTION('',(0.,1.,0.)); #385726=DIRECTION('',(0.,1.,0.)); #385727=DIRECTION('',(0.,0.,1.)); #385728=DIRECTION('center_axis',(0.,1.,0.)); #385729=DIRECTION('ref_axis',(-1.,0.,0.)); #385730=DIRECTION('',(-1.,0.,0.)); #385731=DIRECTION('',(-1.,0.,0.)); #385732=DIRECTION('',(0.,0.,1.)); #385733=DIRECTION('center_axis',(0.,0.,1.)); #385734=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #385735=DIRECTION('center_axis',(0.,0.,1.)); #385736=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #385737=DIRECTION('center_axis',(0.,0.,1.)); #385738=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #385739=DIRECTION('',(0.,0.,1.)); #385740=DIRECTION('center_axis',(0.707106781186559,0.707106781186536,0.)); #385741=DIRECTION('ref_axis',(-0.707106781186536,0.707106781186559,0.)); #385742=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #385743=DIRECTION('',(-0.707106781186536,0.707106781186559,0.)); #385744=DIRECTION('',(0.,0.,1.)); #385745=DIRECTION('center_axis',(0.,1.,0.)); #385746=DIRECTION('ref_axis',(-1.,0.,0.)); #385747=DIRECTION('',(-1.,0.,0.)); #385748=DIRECTION('',(-1.,0.,0.)); #385749=DIRECTION('',(0.,0.,1.)); #385750=DIRECTION('center_axis',(0.,0.,1.)); #385751=DIRECTION('ref_axis',(0.105400626625707,0.994429840615671,0.)); #385752=DIRECTION('center_axis',(0.,0.,1.)); #385753=DIRECTION('ref_axis',(0.105400626625707,0.994429840615671,0.)); #385754=DIRECTION('center_axis',(0.,0.,1.)); #385755=DIRECTION('ref_axis',(0.105400626625707,0.994429840615671,0.)); #385756=DIRECTION('',(0.,0.,1.)); #385757=DIRECTION('center_axis',(-0.842328566644566,0.538964364141556,0.)); #385758=DIRECTION('ref_axis',(-0.538964364141556,-0.842328566644566,0.)); #385759=DIRECTION('',(-0.538964364141556,-0.842328566644566,0.)); #385760=DIRECTION('',(-0.538964364141556,-0.842328566644566,0.)); #385761=DIRECTION('',(0.,0.,1.)); #385762=DIRECTION('center_axis',(-0.565473758099733,0.824766287441821,0.)); #385763=DIRECTION('ref_axis',(-0.824766287441821,-0.565473758099733,0.)); #385764=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #385765=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #385766=DIRECTION('',(0.,0.,1.)); #385767=DIRECTION('center_axis',(-0.199010641789438,0.979997328799704,0.)); #385768=DIRECTION('ref_axis',(-0.979997328799704,-0.199010641789438,0.)); #385769=DIRECTION('',(-0.979997328799704,-0.199010641789438,0.)); #385770=DIRECTION('',(-0.979997328799704,-0.199010641789438,0.)); #385771=DIRECTION('',(0.,0.,1.)); #385772=DIRECTION('center_axis',(0.,1.,0.)); #385773=DIRECTION('ref_axis',(-1.,0.,0.)); #385774=DIRECTION('',(-1.,0.,0.)); #385775=DIRECTION('',(-1.,0.,0.)); #385776=DIRECTION('',(0.,0.,1.)); #385777=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #385778=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #385779=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #385780=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #385781=DIRECTION('',(0.,0.,1.)); #385782=DIRECTION('center_axis',(-1.,0.,0.)); #385783=DIRECTION('ref_axis',(0.,-1.,0.)); #385784=DIRECTION('',(0.,-1.,0.)); #385785=DIRECTION('',(0.,-1.,0.)); #385786=DIRECTION('',(0.,0.,1.)); #385787=DIRECTION('center_axis',(-0.983050395657587,0.18333553828285,0.)); #385788=DIRECTION('ref_axis',(-0.18333553828285,-0.983050395657587,0.)); #385789=DIRECTION('',(-0.18333553828285,-0.983050395657587,0.)); #385790=DIRECTION('',(-0.18333553828285,-0.983050395657587,0.)); #385791=DIRECTION('',(0.,0.,1.)); #385792=DIRECTION('center_axis',(-0.842360495470243,0.538914460439806,0.)); #385793=DIRECTION('ref_axis',(-0.538914460439806,-0.842360495470243,0.)); #385794=DIRECTION('',(-0.538914460439806,-0.842360495470243,0.)); #385795=DIRECTION('',(-0.538914460439806,-0.842360495470243,0.)); #385796=DIRECTION('',(0.,0.,1.)); #385797=DIRECTION('center_axis',(-0.565473758099733,0.824766287441821,0.)); #385798=DIRECTION('ref_axis',(-0.824766287441821,-0.565473758099733,0.)); #385799=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #385800=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #385801=DIRECTION('',(0.,0.,1.)); #385802=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #385803=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #385804=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #385805=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #385806=DIRECTION('',(0.,0.,1.)); #385807=DIRECTION('center_axis',(0.,1.,0.)); #385808=DIRECTION('ref_axis',(-1.,0.,0.)); #385809=DIRECTION('',(-1.,0.,0.)); #385810=DIRECTION('',(-1.,0.,0.)); #385811=DIRECTION('',(0.,0.,1.)); #385812=DIRECTION('center_axis',(0.18331478701493,0.98305426547148,0.)); #385813=DIRECTION('ref_axis',(-0.98305426547148,0.18331478701493,0.)); #385814=DIRECTION('',(-0.98305426547148,0.18331478701493,0.)); #385815=DIRECTION('',(-0.98305426547148,0.18331478701493,0.)); #385816=DIRECTION('',(0.,0.,1.)); #385817=DIRECTION('center_axis',(0.538928498327832,0.842351514327663,0.)); #385818=DIRECTION('ref_axis',(-0.842351514327663,0.538928498327832,0.)); #385819=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #385820=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #385821=DIRECTION('',(0.,0.,1.)); #385822=DIRECTION('center_axis',(0.82476628744178,0.565473758099792,0.)); #385823=DIRECTION('ref_axis',(-0.565473758099792,0.82476628744178,0.)); #385824=DIRECTION('',(-0.565473758099792,0.82476628744178,0.)); #385825=DIRECTION('',(-0.565473758099792,0.82476628744178,0.)); #385826=DIRECTION('',(0.,0.,1.)); #385827=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #385828=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #385829=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #385830=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #385831=DIRECTION('',(0.,0.,1.)); #385832=DIRECTION('center_axis',(1.,0.,0.)); #385833=DIRECTION('ref_axis',(0.,1.,0.)); #385834=DIRECTION('',(0.,1.,0.)); #385835=DIRECTION('',(0.,1.,0.)); #385836=DIRECTION('',(0.,0.,1.)); #385837=DIRECTION('center_axis',(0.983054265471468,-0.183314787014993,0.)); #385838=DIRECTION('ref_axis',(0.183314787014993,0.983054265471468,0.)); #385839=DIRECTION('',(0.183314787014993,0.983054265471468,0.)); #385840=DIRECTION('',(0.183314787014993,0.983054265471468,0.)); #385841=DIRECTION('',(0.,0.,1.)); #385842=DIRECTION('center_axis',(0.842351514327676,-0.538928498327812,0.)); #385843=DIRECTION('ref_axis',(0.538928498327812,0.842351514327676,0.)); #385844=DIRECTION('',(0.538928498327812,0.842351514327676,0.)); #385845=DIRECTION('',(0.538928498327812,0.842351514327676,0.)); #385846=DIRECTION('',(0.,0.,1.)); #385847=DIRECTION('center_axis',(0.565473758099721,-0.824766287441829,0.)); #385848=DIRECTION('ref_axis',(0.824766287441829,0.565473758099721,0.)); #385849=DIRECTION('',(0.824766287441829,0.565473758099721,0.)); #385850=DIRECTION('',(0.824766287441829,0.565473758099721,0.)); #385851=DIRECTION('',(0.,0.,1.)); #385852=DIRECTION('center_axis',(0.19901064178937,-0.979997328799718,0.)); #385853=DIRECTION('ref_axis',(0.979997328799718,0.19901064178937,0.)); #385854=DIRECTION('',(0.979997328799718,0.19901064178937,0.)); #385855=DIRECTION('',(0.979997328799718,0.19901064178937,0.)); #385856=DIRECTION('',(0.,0.,1.)); #385857=DIRECTION('center_axis',(0.,-1.,0.)); #385858=DIRECTION('ref_axis',(1.,0.,0.)); #385859=DIRECTION('',(1.,0.,0.)); #385860=DIRECTION('',(1.,0.,0.)); #385861=DIRECTION('',(0.,0.,1.)); #385862=DIRECTION('center_axis',(0.707106781186535,-0.70710678118656,0.)); #385863=DIRECTION('ref_axis',(0.70710678118656,0.707106781186535,0.)); #385864=DIRECTION('',(0.70710678118656,0.707106781186535,0.)); #385865=DIRECTION('',(0.70710678118656,0.707106781186535,0.)); #385866=DIRECTION('',(0.,0.,1.)); #385867=DIRECTION('center_axis',(0.565475774224491,-0.824764905148863,0.)); #385868=DIRECTION('ref_axis',(0.824764905148863,0.565475774224491,0.)); #385869=DIRECTION('',(0.824764905148863,0.565475774224491,0.)); #385870=DIRECTION('',(0.824764905148863,0.565475774224491,0.)); #385871=DIRECTION('',(0.,0.,1.)); #385872=DIRECTION('center_axis',(0.214594192212104,-0.97670329817649,0.)); #385873=DIRECTION('ref_axis',(0.97670329817649,0.214594192212104,0.)); #385874=DIRECTION('',(0.97670329817649,0.214594192212104,0.)); #385875=DIRECTION('',(0.97670329817649,0.214594192212104,0.)); #385876=DIRECTION('',(0.,0.,1.)); #385877=DIRECTION('center_axis',(-0.183384185256821,-0.983041321917696, 0.)); #385878=DIRECTION('ref_axis',(0.983041321917696,-0.183384185256821,0.)); #385879=DIRECTION('',(0.983041321917696,-0.183384185256821,0.)); #385880=DIRECTION('',(0.983041321917696,-0.183384185256821,0.)); #385881=DIRECTION('',(0.,0.,1.)); #385882=DIRECTION('center_axis',(-0.552204950198567,-0.833708398048261, 0.)); #385883=DIRECTION('ref_axis',(0.833708398048261,-0.552204950198567,0.)); #385884=DIRECTION('',(0.833708398048261,-0.552204950198567,0.)); #385885=DIRECTION('',(0.833708398048261,-0.552204950198567,0.)); #385886=DIRECTION('',(0.,0.,1.)); #385887=DIRECTION('center_axis',(-0.707097567408623,-0.707115994844416, 0.)); #385888=DIRECTION('ref_axis',(0.707115994844416,-0.707097567408623,0.)); #385889=DIRECTION('',(0.707115994844416,-0.707097567408623,0.)); #385890=DIRECTION('',(0.707115994844416,-0.707097567408623,0.)); #385891=DIRECTION('',(0.,0.,1.)); #385892=DIRECTION('center_axis',(0.,-1.,0.)); #385893=DIRECTION('ref_axis',(1.,0.,0.)); #385894=DIRECTION('',(1.,0.,0.)); #385895=DIRECTION('',(1.,0.,0.)); #385896=DIRECTION('',(0.,0.,1.)); #385897=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #385898=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #385899=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #385900=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #385901=DIRECTION('',(0.,0.,1.)); #385902=DIRECTION('center_axis',(1.,0.,0.)); #385903=DIRECTION('ref_axis',(0.,1.,0.)); #385904=DIRECTION('',(0.,1.,0.)); #385905=DIRECTION('',(0.,1.,0.)); #385906=DIRECTION('',(0.,0.,1.)); #385907=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #385908=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #385909=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #385910=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #385911=DIRECTION('',(0.,0.,1.)); #385912=DIRECTION('center_axis',(0.,1.,0.)); #385913=DIRECTION('ref_axis',(-1.,0.,0.)); #385914=DIRECTION('',(-1.,0.,0.)); #385915=DIRECTION('',(-1.,0.,0.)); #385916=DIRECTION('',(0.,0.,1.)); #385917=DIRECTION('center_axis',(-0.707106781186553,0.707106781186542,0.)); #385918=DIRECTION('ref_axis',(-0.707106781186542,-0.707106781186553,0.)); #385919=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #385920=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #385921=DIRECTION('',(0.,0.,1.)); #385922=DIRECTION('center_axis',(-0.565435717281154,0.824792367582743,0.)); #385923=DIRECTION('ref_axis',(-0.824792367582743,-0.565435717281154,0.)); #385924=DIRECTION('',(-0.824792367582743,-0.565435717281154,0.)); #385925=DIRECTION('',(-0.824792367582743,-0.565435717281154,0.)); #385926=DIRECTION('',(0.,0.,1.)); #385927=DIRECTION('center_axis',(-0.214598338859784,0.976702387095793,0.)); #385928=DIRECTION('ref_axis',(-0.976702387095793,-0.214598338859784,0.)); #385929=DIRECTION('',(-0.976702387095793,-0.214598338859784,0.)); #385930=DIRECTION('',(-0.976702387095793,-0.214598338859784,0.)); #385931=DIRECTION('',(0.,0.,1.)); #385932=DIRECTION('center_axis',(0.183380618657247,0.983041987252267,0.)); #385933=DIRECTION('ref_axis',(-0.983041987252266,0.183380618657247,0.)); #385934=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #385935=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #385936=DIRECTION('',(0.,0.,1.)); #385937=DIRECTION('center_axis',(0.552282635770302,0.833656937970655,0.)); #385938=DIRECTION('ref_axis',(-0.833656937970655,0.552282635770302,0.)); #385939=DIRECTION('',(-0.833656937970655,0.552282635770302,0.)); #385940=DIRECTION('',(-0.833656937970655,0.552282635770302,0.)); #385941=DIRECTION('',(0.,0.,1.)); #385942=DIRECTION('center_axis',(0.707133221509551,0.707080339874844,0.)); #385943=DIRECTION('ref_axis',(-0.707080339874844,0.707133221509551,0.)); #385944=DIRECTION('',(-0.707080339874844,0.707133221509551,0.)); #385945=DIRECTION('',(-0.707080339874844,0.707133221509551,0.)); #385946=DIRECTION('',(0.,0.,1.)); #385947=DIRECTION('center_axis',(0.,1.,0.)); #385948=DIRECTION('ref_axis',(-1.,0.,0.)); #385949=DIRECTION('',(-1.,0.,0.)); #385950=DIRECTION('',(-1.,0.,0.)); #385951=DIRECTION('',(0.,0.,1.)); #385952=DIRECTION('center_axis',(0.183314787014884,0.983054265471488,0.)); #385953=DIRECTION('ref_axis',(-0.983054265471488,0.183314787014884,0.)); #385954=DIRECTION('',(-0.983054265471488,0.183314787014884,0.)); #385955=DIRECTION('',(-0.983054265471488,0.183314787014884,0.)); #385956=DIRECTION('',(0.,0.,1.)); #385957=DIRECTION('center_axis',(0.538928498327832,0.842351514327663,0.)); #385958=DIRECTION('ref_axis',(-0.842351514327663,0.538928498327832,0.)); #385959=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #385960=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #385961=DIRECTION('',(0.,0.,1.)); #385962=DIRECTION('center_axis',(0.82476628744178,0.565473758099792,0.)); #385963=DIRECTION('ref_axis',(-0.565473758099792,0.82476628744178,0.)); #385964=DIRECTION('',(-0.565473758099792,0.82476628744178,0.)); #385965=DIRECTION('',(-0.565473758099792,0.82476628744178,0.)); #385966=DIRECTION('',(0.,0.,1.)); #385967=DIRECTION('center_axis',(0.979997328799711,0.199010641789404,0.)); #385968=DIRECTION('ref_axis',(-0.199010641789404,0.979997328799711,0.)); #385969=DIRECTION('',(-0.199010641789404,0.979997328799711,0.)); #385970=DIRECTION('',(-0.199010641789404,0.979997328799711,0.)); #385971=DIRECTION('',(0.,0.,1.)); #385972=DIRECTION('center_axis',(1.,0.,0.)); #385973=DIRECTION('ref_axis',(0.,1.,0.)); #385974=DIRECTION('',(0.,1.,0.)); #385975=DIRECTION('',(0.,1.,0.)); #385976=DIRECTION('',(0.,0.,1.)); #385977=DIRECTION('center_axis',(0.987649512186036,0.156679421363127,0.)); #385978=DIRECTION('ref_axis',(-0.156679421363127,0.987649512186036,0.)); #385979=DIRECTION('',(-0.156679421363127,0.987649512186036,0.)); #385980=DIRECTION('',(-0.156679421363127,0.987649512186036,0.)); #385981=DIRECTION('',(0.,0.,1.)); #385982=DIRECTION('center_axis',(0.125438113889326,0.992101446216005,0.)); #385983=DIRECTION('ref_axis',(-0.992101446216005,0.125438113889326,0.)); #385984=DIRECTION('',(-0.992101446216005,0.125438113889326,0.)); #385985=DIRECTION('',(-0.992101446216005,0.125438113889326,0.)); #385986=DIRECTION('',(0.,0.,1.)); #385987=DIRECTION('center_axis',(0.,1.,0.)); #385988=DIRECTION('ref_axis',(-1.,0.,0.)); #385989=DIRECTION('',(-1.,0.,0.)); #385990=DIRECTION('',(-1.,0.,0.)); #385991=DIRECTION('',(0.,0.,1.)); #385992=DIRECTION('center_axis',(0.183314787014918,0.983054265471482,0.)); #385993=DIRECTION('ref_axis',(-0.983054265471482,0.183314787014918,0.)); #385994=DIRECTION('',(-0.983054265471482,0.183314787014918,0.)); #385995=DIRECTION('',(-0.983054265471482,0.183314787014918,0.)); #385996=DIRECTION('',(0.,0.,1.)); #385997=DIRECTION('center_axis',(0.538928498327753,0.842351514327714,0.)); #385998=DIRECTION('ref_axis',(-0.842351514327714,0.538928498327753,0.)); #385999=DIRECTION('',(-0.842351514327714,0.538928498327753,0.)); #386000=DIRECTION('',(-0.842351514327714,0.538928498327753,0.)); #386001=DIRECTION('',(0.,0.,1.)); #386002=DIRECTION('center_axis',(0.824766287441862,0.565473758099673,0.)); #386003=DIRECTION('ref_axis',(-0.565473758099673,0.824766287441862,0.)); #386004=DIRECTION('',(-0.565473758099673,0.824766287441862,0.)); #386005=DIRECTION('',(-0.565473758099673,0.824766287441862,0.)); #386006=DIRECTION('',(0.,0.,1.)); #386007=DIRECTION('center_axis',(0.979997328799713,0.199010641789396,0.)); #386008=DIRECTION('ref_axis',(-0.199010641789396,0.979997328799713,0.)); #386009=DIRECTION('',(-0.199010641789396,0.979997328799713,0.)); #386010=DIRECTION('',(-0.199010641789396,0.979997328799713,0.)); #386011=DIRECTION('',(0.,0.,1.)); #386012=DIRECTION('center_axis',(1.,0.,0.)); #386013=DIRECTION('ref_axis',(0.,1.,0.)); #386014=DIRECTION('',(0.,1.,0.)); #386015=DIRECTION('',(0.,1.,0.)); #386016=DIRECTION('',(0.,0.,1.)); #386017=DIRECTION('center_axis',(0.981552099144139,-0.191194865688736,0.)); #386018=DIRECTION('ref_axis',(0.191194865688736,0.981552099144139,0.)); #386019=DIRECTION('',(0.191194865688736,0.981552099144139,0.)); #386020=DIRECTION('',(0.191194865688736,0.981552099144139,0.)); #386021=DIRECTION('',(0.,0.,1.)); #386022=DIRECTION('center_axis',(0.833699290017826,-0.552218701081169,0.)); #386023=DIRECTION('ref_axis',(0.552218701081169,0.833699290017826,0.)); #386024=DIRECTION('',(0.552218701081169,0.833699290017826,0.)); #386025=DIRECTION('',(0.552218701081169,0.833699290017826,0.)); #386026=DIRECTION('',(0.,0.,1.)); #386027=DIRECTION('center_axis',(0.707106797437347,-0.707106764935748,0.)); #386028=DIRECTION('ref_axis',(0.707106764935748,0.707106797437347,0.)); #386029=DIRECTION('',(0.707106764935748,0.707106797437347,0.)); #386030=DIRECTION('',(0.707106764935748,0.707106797437347,0.)); #386031=DIRECTION('',(0.,0.,1.)); #386032=DIRECTION('center_axis',(0.558849152006563,-0.82926933218439,0.)); #386033=DIRECTION('ref_axis',(0.82926933218439,0.558849152006563,0.)); #386034=DIRECTION('',(0.82926933218439,0.558849152006563,0.)); #386035=DIRECTION('',(0.82926933218439,0.558849152006563,0.)); #386036=DIRECTION('',(0.,0.,1.)); #386037=DIRECTION('center_axis',(0.19901824208078,-0.979995785357813,0.)); #386038=DIRECTION('ref_axis',(0.979995785357813,0.19901824208078,0.)); #386039=DIRECTION('',(0.979995785357813,0.19901824208078,0.)); #386040=DIRECTION('',(0.979995785357813,0.19901824208078,0.)); #386041=DIRECTION('',(0.,0.,1.)); #386042=DIRECTION('center_axis',(0.,-1.,0.)); #386043=DIRECTION('ref_axis',(1.,0.,0.)); #386044=DIRECTION('',(1.,0.,0.)); #386045=DIRECTION('',(1.,0.,0.)); #386046=DIRECTION('',(0.,0.,1.)); #386047=DIRECTION('center_axis',(0.140987972617697,-0.990011308812759,0.)); #386048=DIRECTION('ref_axis',(0.990011308812759,0.140987972617697,0.)); #386049=DIRECTION('',(0.990011308812759,0.140987972617697,0.)); #386050=DIRECTION('',(0.990011308812759,0.140987972617697,0.)); #386051=DIRECTION('',(0.,0.,1.)); #386052=DIRECTION('center_axis',(0.613185310509894,-0.789939095737693,0.)); #386053=DIRECTION('ref_axis',(0.789939095737693,0.613185310509894,0.)); #386054=DIRECTION('',(0.789939095737693,0.613185310509894,0.)); #386055=DIRECTION('',(0.789939095737693,0.613185310509894,0.)); #386056=DIRECTION('',(0.,0.,1.)); #386057=DIRECTION('center_axis',(0.70710678118655,-0.707106781186545,0.)); #386058=DIRECTION('ref_axis',(0.707106781186545,0.70710678118655,0.)); #386059=DIRECTION('',(0.707106781186545,0.70710678118655,0.)); #386060=DIRECTION('',(0.707106781186545,0.70710678118655,0.)); #386061=DIRECTION('',(0.,0.,1.)); #386062=DIRECTION('center_axis',(0.558849152006563,-0.82926933218439,0.)); #386063=DIRECTION('ref_axis',(0.82926933218439,0.558849152006563,0.)); #386064=DIRECTION('',(0.82926933218439,0.558849152006563,0.)); #386065=DIRECTION('',(0.82926933218439,0.558849152006563,0.)); #386066=DIRECTION('',(0.,0.,1.)); #386067=DIRECTION('center_axis',(0.199018242080728,-0.979995785357823,0.)); #386068=DIRECTION('ref_axis',(0.979995785357823,0.199018242080728,0.)); #386069=DIRECTION('',(0.979995785357823,0.199018242080728,0.)); #386070=DIRECTION('',(0.979995785357823,0.199018242080728,0.)); #386071=DIRECTION('',(0.,0.,1.)); #386072=DIRECTION('center_axis',(0.,-1.,0.)); #386073=DIRECTION('ref_axis',(1.,0.,0.)); #386074=DIRECTION('',(1.,0.,0.)); #386075=DIRECTION('',(1.,0.,0.)); #386076=DIRECTION('',(0.,0.,1.)); #386077=DIRECTION('center_axis',(0.199017616334687,-0.979995912434567,0.)); #386078=DIRECTION('ref_axis',(0.979995912434567,0.199017616334687,0.)); #386079=DIRECTION('',(0.979995912434567,0.199017616334687,0.)); #386080=DIRECTION('',(0.979995912434567,0.199017616334687,0.)); #386081=DIRECTION('',(0.,0.,1.)); #386082=DIRECTION('center_axis',(0.,-1.,0.)); #386083=DIRECTION('ref_axis',(1.,0.,0.)); #386084=DIRECTION('',(1.,0.,0.)); #386085=DIRECTION('',(1.,0.,0.)); #386086=DIRECTION('',(0.,0.,1.)); #386087=DIRECTION('center_axis',(0.156721321745304,-0.987642864253271,0.)); #386088=DIRECTION('ref_axis',(0.987642864253271,0.156721321745304,0.)); #386089=DIRECTION('',(0.987642864253271,0.156721321745304,0.)); #386090=DIRECTION('',(0.987642864253271,0.156721321745304,0.)); #386091=DIRECTION('',(0.,0.,1.)); #386092=DIRECTION('center_axis',(0.992101446216,-0.125438113889368,0.)); #386093=DIRECTION('ref_axis',(0.125438113889368,0.992101446216,0.)); #386094=DIRECTION('',(0.125438113889368,0.992101446216,0.)); #386095=DIRECTION('',(0.125438113889368,0.992101446216,0.)); #386096=DIRECTION('',(0.,0.,1.)); #386097=DIRECTION('center_axis',(1.,0.,0.)); #386098=DIRECTION('ref_axis',(0.,1.,0.)); #386099=DIRECTION('',(0.,1.,0.)); #386100=DIRECTION('',(0.,1.,0.)); #386101=DIRECTION('',(0.,0.,1.)); #386102=DIRECTION('center_axis',(0.982315373407559,-0.187233830188798,0.)); #386103=DIRECTION('ref_axis',(0.187233830188798,0.982315373407559,0.)); #386104=DIRECTION('',(0.187233830188798,0.982315373407559,0.)); #386105=DIRECTION('',(0.187233830188798,0.982315373407559,0.)); #386106=DIRECTION('',(0.,0.,1.)); #386107=DIRECTION('center_axis',(0.838041506433313,-0.545606482269944,0.)); #386108=DIRECTION('ref_axis',(0.545606482269944,0.838041506433313,0.)); #386109=DIRECTION('',(0.545606482269944,0.838041506433313,0.)); #386110=DIRECTION('',(0.545606482269944,0.838041506433313,0.)); #386111=DIRECTION('',(0.,0.,1.)); #386112=DIRECTION('center_axis',(0.558842045442049,-0.829274121292921,0.)); #386113=DIRECTION('ref_axis',(0.829274121292921,0.558842045442049,0.)); #386114=DIRECTION('',(0.829274121292921,0.558842045442049,0.)); #386115=DIRECTION('',(0.829274121292921,0.558842045442049,0.)); #386116=DIRECTION('',(0.,0.,1.)); #386117=DIRECTION('center_axis',(0.382689829785819,-0.923876882586907,0.)); #386118=DIRECTION('ref_axis',(0.923876882586907,0.382689829785819,0.)); #386119=DIRECTION('',(0.923876882586907,0.382689829785819,0.)); #386120=DIRECTION('',(0.923876882586907,0.382689829785819,0.)); #386121=DIRECTION('',(0.,0.,1.)); #386122=DIRECTION('center_axis',(0.707106177917541,-0.707107384455039,0.)); #386123=DIRECTION('ref_axis',(0.707107384455039,0.707106177917541,0.)); #386124=DIRECTION('',(0.707107384455039,0.707106177917541,0.)); #386125=DIRECTION('',(0.707107384455039,0.707106177917541,0.)); #386126=DIRECTION('',(0.,0.,1.)); #386127=DIRECTION('center_axis',(0.923883289882689,-0.382674361142132,0.)); #386128=DIRECTION('ref_axis',(0.382674361142132,0.923883289882689,0.)); #386129=DIRECTION('',(0.382674361142132,0.923883289882689,0.)); #386130=DIRECTION('',(0.382674361142132,0.923883289882689,0.)); #386131=DIRECTION('',(0.,0.,1.)); #386132=DIRECTION('center_axis',(1.,0.,0.)); #386133=DIRECTION('ref_axis',(0.,1.,0.)); #386134=DIRECTION('',(0.,1.,0.)); #386135=DIRECTION('',(0.,1.,0.)); #386136=DIRECTION('',(0.,0.,1.)); #386137=DIRECTION('center_axis',(0.923883289882689,0.382674361142133,0.)); #386138=DIRECTION('ref_axis',(-0.382674361142133,0.923883289882689,0.)); #386139=DIRECTION('',(-0.382674361142133,0.923883289882689,0.)); #386140=DIRECTION('',(-0.382674361142133,0.923883289882689,0.)); #386141=DIRECTION('',(0.,0.,1.)); #386142=DIRECTION('center_axis',(0.707048183605379,0.707165373912166,0.)); #386143=DIRECTION('ref_axis',(-0.707165373912166,0.707048183605379,0.)); #386144=DIRECTION('',(-0.707165373912166,0.707048183605379,0.)); #386145=DIRECTION('',(-0.707165373912166,0.707048183605379,0.)); #386146=DIRECTION('',(0.,0.,1.)); #386147=DIRECTION('center_axis',(0.824748879658343,0.565499147216251,0.)); #386148=DIRECTION('ref_axis',(-0.565499147216251,0.824748879658343,0.)); #386149=DIRECTION('',(-0.565499147216251,0.824748879658343,0.)); #386150=DIRECTION('',(-0.565499147216251,0.824748879658343,0.)); #386151=DIRECTION('',(0.,0.,1.)); #386152=DIRECTION('center_axis',(0.976703024857833,0.21459543618996,0.)); #386153=DIRECTION('ref_axis',(-0.21459543618996,0.976703024857833,0.)); #386154=DIRECTION('',(-0.21459543618996,0.976703024857833,0.)); #386155=DIRECTION('',(-0.21459543618996,0.976703024857833,0.)); #386156=DIRECTION('',(0.,0.,1.)); #386157=DIRECTION('center_axis',(0.983041654589817,-0.183382401940083,0.)); #386158=DIRECTION('ref_axis',(0.183382401940083,0.983041654589817,0.)); #386159=DIRECTION('',(0.183382401940083,0.983041654589817,0.)); #386160=DIRECTION('',(0.183382401940083,0.983041654589817,0.)); #386161=DIRECTION('',(0.,0.,1.)); #386162=DIRECTION('center_axis',(0.833696876830075,-0.552222344317738,0.)); #386163=DIRECTION('ref_axis',(0.552222344317738,0.833696876830075,0.)); #386164=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #386165=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #386166=DIRECTION('',(0.,0.,1.)); #386167=DIRECTION('center_axis',(0.707107020454175,-0.707106541918839,0.)); #386168=DIRECTION('ref_axis',(0.707106541918839,0.707107020454175,0.)); #386169=DIRECTION('',(0.707106541918839,0.707107020454175,0.)); #386170=DIRECTION('',(0.707106541918839,0.707107020454175,0.)); #386171=DIRECTION('',(0.,0.,1.)); #386172=DIRECTION('center_axis',(0.558849152006532,-0.829269332184412,0.)); #386173=DIRECTION('ref_axis',(0.829269332184412,0.558849152006532,0.)); #386174=DIRECTION('',(0.829269332184412,0.558849152006532,0.)); #386175=DIRECTION('',(0.829269332184412,0.558849152006532,0.)); #386176=DIRECTION('center_axis',(0.,0.,1.)); #386177=DIRECTION('ref_axis',(1.,0.,0.)); #386178=DIRECTION('center_axis',(0.,0.,1.)); #386179=DIRECTION('ref_axis',(1.,0.,0.)); #386180=DIRECTION('center_axis',(0.230104828377436,-0.973165848125278,0.)); #386181=DIRECTION('ref_axis',(0.973165848125278,0.230104828377436,0.)); #386182=DIRECTION('',(0.973165848125277,0.230104828377436,0.)); #386183=DIRECTION('',(0.,0.,1.)); #386184=DIRECTION('',(0.973165848125277,0.230104828377436,0.)); #386185=DIRECTION('',(0.,0.,1.)); #386186=DIRECTION('center_axis',(-0.167615492455217,-0.985852446712486, 0.)); #386187=DIRECTION('ref_axis',(0.985852446712486,-0.167615492455217,0.)); #386188=DIRECTION('',(0.985852446712486,-0.167615492455217,0.)); #386189=DIRECTION('',(0.985852446712486,-0.167615492455217,0.)); #386190=DIRECTION('',(0.,0.,1.)); #386191=DIRECTION('center_axis',(-0.53892288322649,-0.842355106789795,0.)); #386192=DIRECTION('ref_axis',(0.842355106789795,-0.53892288322649,0.)); #386193=DIRECTION('',(0.842355106789795,-0.53892288322649,0.)); #386194=DIRECTION('',(0.842355106789795,-0.53892288322649,0.)); #386195=DIRECTION('',(0.,0.,1.)); #386196=DIRECTION('center_axis',(-0.824763124289754,-0.565478371656958, 0.)); #386197=DIRECTION('ref_axis',(0.565478371656958,-0.824763124289754,0.)); #386198=DIRECTION('',(0.565478371656958,-0.824763124289754,0.)); #386199=DIRECTION('',(0.565478371656958,-0.824763124289754,0.)); #386200=DIRECTION('',(0.,0.,1.)); #386201=DIRECTION('center_axis',(-0.97999764222139,-0.19900909838627,0.)); #386202=DIRECTION('ref_axis',(0.19900909838627,-0.97999764222139,0.)); #386203=DIRECTION('',(0.19900909838627,-0.97999764222139,0.)); #386204=DIRECTION('',(0.19900909838627,-0.97999764222139,0.)); #386205=DIRECTION('',(0.,0.,1.)); #386206=DIRECTION('center_axis',(-1.,0.,0.)); #386207=DIRECTION('ref_axis',(0.,-1.,0.)); #386208=DIRECTION('',(0.,-1.,0.)); #386209=DIRECTION('',(0.,-1.,0.)); #386210=DIRECTION('',(0.,0.,1.)); #386211=DIRECTION('center_axis',(-0.984473985687406,0.175530542939833,0.)); #386212=DIRECTION('ref_axis',(-0.175530542939833,-0.984473985687406,0.)); #386213=DIRECTION('',(-0.175530542939833,-0.984473985687406,0.)); #386214=DIRECTION('',(-0.175530542939833,-0.984473985687406,0.)); #386215=DIRECTION('',(0.,0.,1.)); #386216=DIRECTION('center_axis',(-0.850855747839111,0.525399368451416,0.)); #386217=DIRECTION('ref_axis',(-0.525399368451416,-0.850855747839111,0.)); #386218=DIRECTION('',(-0.525399368451416,-0.850855747839111,0.)); #386219=DIRECTION('',(-0.525399368451416,-0.850855747839111,0.)); #386220=DIRECTION('',(0.,0.,1.)); #386221=DIRECTION('center_axis',(-0.57858315794949,0.81562339920897,0.)); #386222=DIRECTION('ref_axis',(-0.81562339920897,-0.57858315794949,0.)); #386223=DIRECTION('',(-0.81562339920897,-0.57858315794949,0.)); #386224=DIRECTION('',(-0.81562339920897,-0.57858315794949,0.)); #386225=DIRECTION('',(0.,0.,1.)); #386226=DIRECTION('center_axis',(-0.214594192212146,0.976703298176481,0.)); #386227=DIRECTION('ref_axis',(-0.976703298176481,-0.214594192212146,0.)); #386228=DIRECTION('',(-0.976703298176481,-0.214594192212146,0.)); #386229=DIRECTION('',(-0.976703298176481,-0.214594192212146,0.)); #386230=DIRECTION('',(0.,0.,1.)); #386231=DIRECTION('center_axis',(0.183380618657247,0.983041987252267,0.)); #386232=DIRECTION('ref_axis',(-0.983041987252266,0.183380618657247,0.)); #386233=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #386234=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #386235=DIRECTION('',(0.,0.,1.)); #386236=DIRECTION('center_axis',(0.552214058332483,0.833702365223927,0.)); #386237=DIRECTION('ref_axis',(-0.833702365223927,0.552214058332483,0.)); #386238=DIRECTION('',(-0.833702365223927,0.552214058332483,0.)); #386239=DIRECTION('',(-0.833702365223927,0.552214058332483,0.)); #386240=DIRECTION('',(0.,0.,1.)); #386241=DIRECTION('center_axis',(0.707106781186527,0.707106781186568,0.)); #386242=DIRECTION('ref_axis',(-0.707106781186568,0.707106781186527,0.)); #386243=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #386244=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #386245=DIRECTION('',(0.,0.,1.)); #386246=DIRECTION('center_axis',(0.829264560351591,0.558856232804898,0.)); #386247=DIRECTION('ref_axis',(-0.558856232804898,0.829264560351591,0.)); #386248=DIRECTION('',(-0.558856232804898,0.829264560351591,0.)); #386249=DIRECTION('',(-0.558856232804898,0.829264560351591,0.)); #386250=DIRECTION('',(0.,0.,1.)); #386251=DIRECTION('center_axis',(0.979997328799711,0.199010641789404,0.)); #386252=DIRECTION('ref_axis',(-0.199010641789404,0.979997328799711,0.)); #386253=DIRECTION('',(-0.199010641789404,0.979997328799711,0.)); #386254=DIRECTION('',(-0.199010641789404,0.979997328799711,0.)); #386255=DIRECTION('',(0.,0.,1.)); #386256=DIRECTION('center_axis',(1.,0.,0.)); #386257=DIRECTION('ref_axis',(0.,1.,0.)); #386258=DIRECTION('',(0.,1.,0.)); #386259=DIRECTION('',(0.,1.,0.)); #386260=DIRECTION('',(0.,0.,1.)); #386261=DIRECTION('center_axis',(0.981552475550509,-0.191192933291658,0.)); #386262=DIRECTION('ref_axis',(0.191192933291658,0.981552475550508,0.)); #386263=DIRECTION('',(0.191192933291658,0.981552475550508,0.)); #386264=DIRECTION('',(0.191192933291658,0.981552475550508,0.)); #386265=DIRECTION('',(0.,0.,1.)); #386266=DIRECTION('center_axis',(0.833696876830075,-0.552222344317738,0.)); #386267=DIRECTION('ref_axis',(0.552222344317738,0.833696876830075,0.)); #386268=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #386269=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #386270=DIRECTION('',(0.,0.,1.)); #386271=DIRECTION('center_axis',(0.707106781186537,-0.707106781186558,0.)); #386272=DIRECTION('ref_axis',(0.707106781186558,0.707106781186537,0.)); #386273=DIRECTION('',(0.707106781186558,0.707106781186537,0.)); #386274=DIRECTION('',(0.707106781186558,0.707106781186537,0.)); #386275=DIRECTION('',(0.,0.,1.)); #386276=DIRECTION('center_axis',(0.572023686171484,-0.820237101366908,0.)); #386277=DIRECTION('ref_axis',(0.820237101366908,0.572023686171484,0.)); #386278=DIRECTION('',(0.820237101366908,0.572023686171484,0.)); #386279=DIRECTION('',(0.820237101366908,0.572023686171484,0.)); #386280=DIRECTION('center_axis',(0.,0.,1.)); #386281=DIRECTION('ref_axis',(1.,0.,0.)); #386282=DIRECTION('center_axis',(0.,0.,1.)); #386283=DIRECTION('ref_axis',(1.,0.,0.)); #386284=DIRECTION('center_axis',(0.214594192212104,-0.97670329817649,0.)); #386285=DIRECTION('ref_axis',(0.97670329817649,0.214594192212104,0.)); #386286=DIRECTION('',(0.97670329817649,0.214594192212104,0.)); #386287=DIRECTION('',(0.,0.,1.)); #386288=DIRECTION('',(0.97670329817649,0.214594192212104,0.)); #386289=DIRECTION('',(0.,0.,1.)); #386290=DIRECTION('center_axis',(-0.183384185256779,-0.983041321917704, 0.)); #386291=DIRECTION('ref_axis',(0.983041321917704,-0.183384185256779,0.)); #386292=DIRECTION('',(0.983041321917704,-0.183384185256779,0.)); #386293=DIRECTION('',(0.983041321917704,-0.183384185256779,0.)); #386294=DIRECTION('',(0.,0.,1.)); #386295=DIRECTION('center_axis',(-0.55221870108126,-0.833699290017765,0.)); #386296=DIRECTION('ref_axis',(0.833699290017765,-0.55221870108126,0.)); #386297=DIRECTION('',(0.833699290017765,-0.55221870108126,0.)); #386298=DIRECTION('',(0.833699290017765,-0.55221870108126,0.)); #386299=DIRECTION('',(0.,0.,1.)); #386300=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #386301=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #386302=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #386303=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #386304=DIRECTION('',(0.,0.,1.)); #386305=DIRECTION('center_axis',(-0.829258128535964,-0.558865776602066, 0.)); #386306=DIRECTION('ref_axis',(0.558865776602066,-0.829258128535964,0.)); #386307=DIRECTION('',(0.558865776602066,-0.829258128535964,0.)); #386308=DIRECTION('',(0.558865776602066,-0.829258128535964,0.)); #386309=DIRECTION('',(0.,0.,1.)); #386310=DIRECTION('center_axis',(-0.97999811234025,-0.199006783325459,0.)); #386311=DIRECTION('ref_axis',(0.199006783325459,-0.97999811234025,0.)); #386312=DIRECTION('',(0.199006783325459,-0.97999811234025,0.)); #386313=DIRECTION('',(0.199006783325459,-0.97999811234025,0.)); #386314=DIRECTION('',(0.,0.,1.)); #386315=DIRECTION('center_axis',(-1.,0.,0.)); #386316=DIRECTION('ref_axis',(0.,-1.,0.)); #386317=DIRECTION('',(0.,-1.,0.)); #386318=DIRECTION('',(0.,-1.,0.)); #386319=DIRECTION('',(0.,0.,1.)); #386320=DIRECTION('center_axis',(-0.984474676816787,0.175526666653484,0.)); #386321=DIRECTION('ref_axis',(-0.175526666653484,-0.984474676816787,0.)); #386322=DIRECTION('',(-0.175526666653484,-0.984474676816787,0.)); #386323=DIRECTION('',(-0.175526666653484,-0.984474676816787,0.)); #386324=DIRECTION('',(0.,0.,1.)); #386325=DIRECTION('center_axis',(-0.850855747839124,0.525399368451396,0.)); #386326=DIRECTION('ref_axis',(-0.525399368451396,-0.850855747839124,0.)); #386327=DIRECTION('',(-0.525399368451396,-0.850855747839124,0.)); #386328=DIRECTION('',(-0.525399368451396,-0.850855747839124,0.)); #386329=DIRECTION('',(0.,0.,1.)); #386330=DIRECTION('center_axis',(-0.57858315794949,0.81562339920897,0.)); #386331=DIRECTION('ref_axis',(-0.81562339920897,-0.57858315794949,0.)); #386332=DIRECTION('',(-0.81562339920897,-0.57858315794949,0.)); #386333=DIRECTION('',(-0.81562339920897,-0.57858315794949,0.)); #386334=DIRECTION('',(0.,0.,1.)); #386335=DIRECTION('center_axis',(-0.214594192212104,0.97670329817649,0.)); #386336=DIRECTION('ref_axis',(-0.97670329817649,-0.214594192212104,0.)); #386337=DIRECTION('',(-0.97670329817649,-0.214594192212104,0.)); #386338=DIRECTION('',(-0.97670329817649,-0.214594192212104,0.)); #386339=DIRECTION('',(0.,0.,1.)); #386340=DIRECTION('center_axis',(0.183380618657204,0.983041987252275,0.)); #386341=DIRECTION('ref_axis',(-0.983041987252274,0.183380618657204,0.)); #386342=DIRECTION('',(-0.983041987252274,0.183380618657204,0.)); #386343=DIRECTION('',(-0.983041987252274,0.183380618657204,0.)); #386344=DIRECTION('',(0.,0.,1.)); #386345=DIRECTION('center_axis',(0.552227809242928,0.833693256958911,0.)); #386346=DIRECTION('ref_axis',(-0.833693256958911,0.552227809242928,0.)); #386347=DIRECTION('',(-0.833693256958911,0.552227809242928,0.)); #386348=DIRECTION('',(-0.833693256958911,0.552227809242928,0.)); #386349=DIRECTION('',(0.,0.,1.)); #386350=DIRECTION('center_axis',(0.707106781186527,0.707106781186568,0.)); #386351=DIRECTION('ref_axis',(-0.707106781186568,0.707106781186527,0.)); #386352=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #386353=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #386354=DIRECTION('',(0.,0.,1.)); #386355=DIRECTION('center_axis',(0.829258128535992,0.558865776602024,0.)); #386356=DIRECTION('ref_axis',(-0.558865776602024,0.829258128535992,0.)); #386357=DIRECTION('',(-0.558865776602024,0.829258128535992,0.)); #386358=DIRECTION('',(-0.558865776602024,0.829258128535992,0.)); #386359=DIRECTION('',(0.,0.,1.)); #386360=DIRECTION('center_axis',(0.979998112340235,0.199006783325535,0.)); #386361=DIRECTION('ref_axis',(-0.199006783325535,0.979998112340235,0.)); #386362=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #386363=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #386364=DIRECTION('',(0.,0.,1.)); #386365=DIRECTION('center_axis',(1.,0.,0.)); #386366=DIRECTION('ref_axis',(0.,1.,0.)); #386367=DIRECTION('',(0.,1.,0.)); #386368=DIRECTION('',(0.,1.,0.)); #386369=DIRECTION('',(0.,0.,1.)); #386370=DIRECTION('center_axis',(0.984474676816787,-0.175526666653484,0.)); #386371=DIRECTION('ref_axis',(0.175526666653484,0.984474676816787,0.)); #386372=DIRECTION('',(0.175526666653484,0.984474676816787,0.)); #386373=DIRECTION('',(0.175526666653484,0.984474676816787,0.)); #386374=DIRECTION('',(0.,0.,1.)); #386375=DIRECTION('center_axis',(0.850855747839111,-0.525399368451416,0.)); #386376=DIRECTION('ref_axis',(0.525399368451416,0.850855747839111,0.)); #386377=DIRECTION('',(0.525399368451416,0.850855747839111,0.)); #386378=DIRECTION('',(0.525399368451416,0.850855747839111,0.)); #386379=DIRECTION('',(0.,0.,1.)); #386380=DIRECTION('center_axis',(0.57858315794952,-0.815623399208949,0.)); #386381=DIRECTION('ref_axis',(0.81562339920895,0.57858315794952,0.)); #386382=DIRECTION('',(0.81562339920895,0.57858315794952,0.)); #386383=DIRECTION('',(0.81562339920895,0.57858315794952,0.)); #386384=DIRECTION('center_axis',(0.,0.,1.)); #386385=DIRECTION('ref_axis',(1.,0.,0.)); #386386=DIRECTION('center_axis',(0.,0.,1.)); #386387=DIRECTION('ref_axis',(1.,0.,0.)); #386388=DIRECTION('center_axis',(0.214590417670813,-0.976704127483787,0.)); #386389=DIRECTION('ref_axis',(0.976704127483787,0.214590417670813,0.)); #386390=DIRECTION('',(0.976704127483787,0.214590417670813,0.)); #386391=DIRECTION('',(0.,0.,1.)); #386392=DIRECTION('',(0.976704127483787,0.214590417670813,0.)); #386393=DIRECTION('',(0.,0.,1.)); #386394=DIRECTION('center_axis',(-0.1833784494501,-0.983042391902443,0.)); #386395=DIRECTION('ref_axis',(0.983042391902443,-0.1833784494501,0.)); #386396=DIRECTION('',(0.983042391902443,-0.1833784494501,0.)); #386397=DIRECTION('',(0.983042391902443,-0.1833784494501,0.)); #386398=DIRECTION('',(0.,0.,1.)); #386399=DIRECTION('center_axis',(-0.552211825696274,-0.833703844036471, 0.)); #386400=DIRECTION('ref_axis',(0.833703844036471,-0.552211825696274,0.)); #386401=DIRECTION('',(0.833703844036471,-0.552211825696274,0.)); #386402=DIRECTION('',(0.833703844036471,-0.552211825696274,0.)); #386403=DIRECTION('',(0.,0.,1.)); #386404=DIRECTION('center_axis',(-0.707106781186537,-0.707106781186558, 0.)); #386405=DIRECTION('ref_axis',(0.707106781186558,-0.707106781186537,0.)); #386406=DIRECTION('',(0.707106781186558,-0.707106781186537,0.)); #386407=DIRECTION('',(0.707106781186558,-0.707106781186537,0.)); #386408=DIRECTION('',(0.,0.,1.)); #386409=DIRECTION('center_axis',(-0.829263274014822,-0.558858141544183, 0.)); #386410=DIRECTION('ref_axis',(0.558858141544183,-0.829263274014822,0.)); #386411=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #386412=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #386413=DIRECTION('',(0.,0.,1.)); #386414=DIRECTION('center_axis',(-0.979997563866653,-0.199009484234861, 0.)); #386415=DIRECTION('ref_axis',(0.199009484234861,-0.979997563866653,0.)); #386416=DIRECTION('',(0.199009484234861,-0.979997563866653,0.)); #386417=DIRECTION('',(0.199009484234861,-0.979997563866653,0.)); #386418=DIRECTION('',(0.,0.,1.)); #386419=DIRECTION('center_axis',(-1.,0.,0.)); #386420=DIRECTION('ref_axis',(0.,-1.,0.)); #386421=DIRECTION('',(0.,-1.,0.)); #386422=DIRECTION('',(0.,-1.,0.)); #386423=DIRECTION('',(0.,0.,1.)); #386424=DIRECTION('center_axis',(-0.984474676816787,0.175526666653484,0.)); #386425=DIRECTION('ref_axis',(-0.175526666653484,-0.984474676816787,0.)); #386426=DIRECTION('',(-0.175526666653484,-0.984474676816787,0.)); #386427=DIRECTION('',(-0.175526666653484,-0.984474676816787,0.)); #386428=DIRECTION('',(0.,0.,1.)); #386429=DIRECTION('center_axis',(-0.850855747839124,0.525399368451396,0.)); #386430=DIRECTION('ref_axis',(-0.525399368451396,-0.850855747839124,0.)); #386431=DIRECTION('',(-0.525399368451396,-0.850855747839124,0.)); #386432=DIRECTION('',(-0.525399368451396,-0.850855747839124,0.)); #386433=DIRECTION('',(0.,0.,1.)); #386434=DIRECTION('center_axis',(-0.57858315794949,0.81562339920897,0.)); #386435=DIRECTION('ref_axis',(-0.81562339920897,-0.57858315794949,0.)); #386436=DIRECTION('',(-0.81562339920897,-0.57858315794949,0.)); #386437=DIRECTION('',(-0.81562339920897,-0.57858315794949,0.)); #386438=DIRECTION('',(0.,0.,1.)); #386439=DIRECTION('center_axis',(-0.214594192212128,0.976703298176485,0.)); #386440=DIRECTION('ref_axis',(-0.976703298176485,-0.214594192212128,0.)); #386441=DIRECTION('',(-0.976703298176485,-0.214594192212128,0.)); #386442=DIRECTION('',(-0.976703298176485,-0.214594192212128,0.)); #386443=DIRECTION('',(0.,0.,1.)); #386444=DIRECTION('center_axis',(0.183380618657247,0.983041987252267,0.)); #386445=DIRECTION('ref_axis',(-0.983041987252266,0.183380618657247,0.)); #386446=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #386447=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #386448=DIRECTION('',(0.,0.,1.)); #386449=DIRECTION('center_axis',(0.552227809242938,0.833693256958904,0.)); #386450=DIRECTION('ref_axis',(-0.833693256958904,0.552227809242938,0.)); #386451=DIRECTION('',(-0.833693256958904,0.552227809242938,0.)); #386452=DIRECTION('',(-0.833693256958904,0.552227809242938,0.)); #386453=DIRECTION('',(0.,0.,1.)); #386454=DIRECTION('center_axis',(0.707106781186507,0.707106781186588,0.)); #386455=DIRECTION('ref_axis',(-0.707106781186588,0.707106781186507,0.)); #386456=DIRECTION('',(-0.707106781186588,0.707106781186507,0.)); #386457=DIRECTION('',(-0.707106781186588,0.707106781186507,0.)); #386458=DIRECTION('',(0.,0.,1.)); #386459=DIRECTION('center_axis',(0.829258128535992,0.558865776602024,0.)); #386460=DIRECTION('ref_axis',(-0.558865776602024,0.829258128535992,0.)); #386461=DIRECTION('',(-0.558865776602024,0.829258128535992,0.)); #386462=DIRECTION('',(-0.558865776602024,0.829258128535992,0.)); #386463=DIRECTION('',(0.,0.,1.)); #386464=DIRECTION('center_axis',(0.979998112340252,0.19900678332545,0.)); #386465=DIRECTION('ref_axis',(-0.19900678332545,0.979998112340252,0.)); #386466=DIRECTION('',(-0.19900678332545,0.979998112340252,0.)); #386467=DIRECTION('',(-0.19900678332545,0.979998112340252,0.)); #386468=DIRECTION('',(0.,0.,1.)); #386469=DIRECTION('center_axis',(1.,0.,0.)); #386470=DIRECTION('ref_axis',(0.,1.,0.)); #386471=DIRECTION('',(0.,1.,0.)); #386472=DIRECTION('',(0.,1.,0.)); #386473=DIRECTION('',(0.,0.,1.)); #386474=DIRECTION('center_axis',(0.984474331257819,-0.175528604775603,0.)); #386475=DIRECTION('ref_axis',(0.175528604775603,0.984474331257819,0.)); #386476=DIRECTION('',(0.175528604775603,0.984474331257819,0.)); #386477=DIRECTION('',(0.175528604775603,0.984474331257819,0.)); #386478=DIRECTION('',(0.,0.,1.)); #386479=DIRECTION('center_axis',(0.850856840118608,-0.525397599559969,0.)); #386480=DIRECTION('ref_axis',(0.525397599559969,0.850856840118608,0.)); #386481=DIRECTION('',(0.525397599559969,0.850856840118608,0.)); #386482=DIRECTION('',(0.525397599559969,0.850856840118608,0.)); #386483=DIRECTION('',(0.,0.,1.)); #386484=DIRECTION('center_axis',(0.578580525622476,-0.815625266510559,0.)); #386485=DIRECTION('ref_axis',(0.815625266510559,0.578580525622476,0.)); #386486=DIRECTION('',(0.815625266510559,0.578580525622476,0.)); #386487=DIRECTION('',(0.815625266510559,0.578580525622476,0.)); #386488=DIRECTION('center_axis',(0.,0.,1.)); #386489=DIRECTION('ref_axis',(1.,0.,0.)); #386490=DIRECTION('center_axis',(0.,0.,1.)); #386491=DIRECTION('ref_axis',(1.,0.,0.)); #386492=DIRECTION('center_axis',(0.214594192212146,-0.976703298176481,0.)); #386493=DIRECTION('ref_axis',(0.976703298176481,0.214594192212146,0.)); #386494=DIRECTION('',(0.976703298176481,0.214594192212146,0.)); #386495=DIRECTION('',(0.,0.,1.)); #386496=DIRECTION('',(0.976703298176481,0.214594192212146,0.)); #386497=DIRECTION('',(0.,0.,1.)); #386498=DIRECTION('center_axis',(-0.183384185256779,-0.983041321917704, 0.)); #386499=DIRECTION('ref_axis',(0.983041321917704,-0.183384185256779,0.)); #386500=DIRECTION('',(0.983041321917704,-0.183384185256779,0.)); #386501=DIRECTION('',(0.983041321917704,-0.183384185256779,0.)); #386502=DIRECTION('',(0.,0.,1.)); #386503=DIRECTION('center_axis',(-0.552218701081291,-0.833699290017745, 0.)); #386504=DIRECTION('ref_axis',(0.833699290017745,-0.552218701081291,0.)); #386505=DIRECTION('',(0.833699290017745,-0.552218701081291,0.)); #386506=DIRECTION('',(0.833699290017745,-0.552218701081291,0.)); #386507=DIRECTION('',(0.,0.,1.)); #386508=DIRECTION('center_axis',(-0.707104920988687,-0.707108641379514, 0.)); #386509=DIRECTION('ref_axis',(0.707108641379514,-0.707104920988687,0.)); #386510=DIRECTION('',(0.707108641379514,-0.707104920988687,0.)); #386511=DIRECTION('',(0.707108641379514,-0.707104920988687,0.)); #386512=DIRECTION('',(0.,0.,1.)); #386513=DIRECTION('center_axis',(0.,-1.,0.)); #386514=DIRECTION('ref_axis',(1.,0.,0.)); #386515=DIRECTION('',(1.,0.,0.)); #386516=DIRECTION('',(1.,0.,0.)); #386517=DIRECTION('',(0.,0.,1.)); #386518=DIRECTION('center_axis',(-0.191191000932847,-0.981552851945475, 0.)); #386519=DIRECTION('ref_axis',(0.981552851945475,-0.191191000932847,0.)); #386520=DIRECTION('',(0.981552851945475,-0.191191000932847,0.)); #386521=DIRECTION('',(0.981552851945475,-0.191191000932847,0.)); #386522=DIRECTION('',(0.,0.,1.)); #386523=DIRECTION('center_axis',(-0.552227809242898,-0.833693256958931, 0.)); #386524=DIRECTION('ref_axis',(0.833693256958931,-0.552227809242898,0.)); #386525=DIRECTION('',(0.833693256958931,-0.552227809242898,0.)); #386526=DIRECTION('',(0.833693256958931,-0.552227809242898,0.)); #386527=DIRECTION('',(0.,0.,1.)); #386528=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #386529=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #386530=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #386531=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #386532=DIRECTION('',(0.,0.,1.)); #386533=DIRECTION('center_axis',(-0.820244441262321,-0.572013161193222, 0.)); #386534=DIRECTION('ref_axis',(0.572013161193222,-0.820244441262321,0.)); #386535=DIRECTION('',(0.572013161193222,-0.820244441262321,0.)); #386536=DIRECTION('',(0.572013161193222,-0.820244441262321,0.)); #386537=DIRECTION('',(0.,0.,1.)); #386538=DIRECTION('center_axis',(-0.97316125628094,-0.23012424747015,0.)); #386539=DIRECTION('ref_axis',(0.23012424747015,-0.97316125628094,0.)); #386540=DIRECTION('',(0.23012424747015,-0.97316125628094,0.)); #386541=DIRECTION('',(0.23012424747015,-0.97316125628094,0.)); #386542=DIRECTION('',(0.,0.,1.)); #386543=DIRECTION('center_axis',(-0.985851792830732,0.1676193383009,0.)); #386544=DIRECTION('ref_axis',(-0.1676193383009,-0.985851792830732,0.)); #386545=DIRECTION('',(-0.1676193383009,-0.985851792830732,0.)); #386546=DIRECTION('',(-0.1676193383009,-0.985851792830732,0.)); #386547=DIRECTION('',(0.,0.,1.)); #386548=DIRECTION('center_axis',(-0.842351514327689,0.538928498327793,0.)); #386549=DIRECTION('ref_axis',(-0.538928498327792,-0.842351514327689,0.)); #386550=DIRECTION('',(-0.538928498327792,-0.842351514327689,0.)); #386551=DIRECTION('',(-0.538928498327792,-0.842351514327689,0.)); #386552=DIRECTION('',(0.,0.,1.)); #386553=DIRECTION('center_axis',(-0.56548298527175,0.824759961060277,0.)); #386554=DIRECTION('ref_axis',(-0.824759961060277,-0.56548298527175,0.)); #386555=DIRECTION('',(-0.824759961060277,-0.56548298527175,0.)); #386556=DIRECTION('',(-0.824759961060277,-0.56548298527175,0.)); #386557=DIRECTION('',(0.,0.,1.)); #386558=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #386559=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #386560=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #386561=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #386562=DIRECTION('',(0.,0.,1.)); #386563=DIRECTION('center_axis',(0.,1.,0.)); #386564=DIRECTION('ref_axis',(-1.,0.,0.)); #386565=DIRECTION('',(-1.,0.,0.)); #386566=DIRECTION('',(-1.,0.,0.)); #386567=DIRECTION('',(0.,0.,1.)); #386568=DIRECTION('center_axis',(0.183314787014838,0.983054265471497,0.)); #386569=DIRECTION('ref_axis',(-0.983054265471497,0.183314787014838,0.)); #386570=DIRECTION('',(-0.983054265471497,0.183314787014838,0.)); #386571=DIRECTION('',(-0.983054265471497,0.183314787014838,0.)); #386572=DIRECTION('',(0.,0.,1.)); #386573=DIRECTION('center_axis',(0.538928498327832,0.842351514327663,0.)); #386574=DIRECTION('ref_axis',(-0.842351514327663,0.538928498327832,0.)); #386575=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #386576=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #386577=DIRECTION('',(0.,0.,1.)); #386578=DIRECTION('center_axis',(0.824766287441794,0.565473758099772,0.)); #386579=DIRECTION('ref_axis',(-0.565473758099772,0.824766287441794,0.)); #386580=DIRECTION('',(-0.565473758099772,0.824766287441794,0.)); #386581=DIRECTION('',(-0.565473758099772,0.824766287441794,0.)); #386582=DIRECTION('',(0.,0.,1.)); #386583=DIRECTION('center_axis',(0.979997328799727,0.199010641789328,0.)); #386584=DIRECTION('ref_axis',(-0.199010641789328,0.979997328799727,0.)); #386585=DIRECTION('',(-0.199010641789328,0.979997328799727,0.)); #386586=DIRECTION('',(-0.199010641789328,0.979997328799727,0.)); #386587=DIRECTION('',(0.,0.,1.)); #386588=DIRECTION('center_axis',(1.,0.,0.)); #386589=DIRECTION('ref_axis',(0.,1.,0.)); #386590=DIRECTION('',(0.,1.,0.)); #386591=DIRECTION('',(0.,1.,0.)); #386592=DIRECTION('',(0.,0.,1.)); #386593=DIRECTION('center_axis',(0.984474676816785,-0.175526666653493,0.)); #386594=DIRECTION('ref_axis',(0.175526666653493,0.984474676816785,0.)); #386595=DIRECTION('',(0.175526666653493,0.984474676816785,0.)); #386596=DIRECTION('',(0.175526666653493,0.984474676816785,0.)); #386597=DIRECTION('',(0.,0.,1.)); #386598=DIRECTION('center_axis',(0.850855747839124,-0.525399368451396,0.)); #386599=DIRECTION('ref_axis',(0.525399368451396,0.850855747839124,0.)); #386600=DIRECTION('',(0.525399368451396,0.850855747839124,0.)); #386601=DIRECTION('',(0.525399368451396,0.850855747839124,0.)); #386602=DIRECTION('',(0.,0.,1.)); #386603=DIRECTION('center_axis',(0.57858315794949,-0.81562339920897,0.)); #386604=DIRECTION('ref_axis',(0.81562339920897,0.57858315794949,0.)); #386605=DIRECTION('',(0.81562339920897,0.57858315794949,0.)); #386606=DIRECTION('',(0.81562339920897,0.57858315794949,0.)); #386607=DIRECTION('center_axis',(0.,0.,1.)); #386608=DIRECTION('ref_axis',(1.,0.,0.)); #386609=DIRECTION('center_axis',(0.,0.,1.)); #386610=DIRECTION('ref_axis',(1.,0.,0.)); #386611=DIRECTION('center_axis',(0.214590417670813,-0.976704127483787,0.)); #386612=DIRECTION('ref_axis',(0.976704127483787,0.214590417670813,0.)); #386613=DIRECTION('',(0.976704127483787,0.214590417670813,0.)); #386614=DIRECTION('',(0.,0.,1.)); #386615=DIRECTION('',(0.976704127483787,0.214590417670813,0.)); #386616=DIRECTION('',(0.,0.,1.)); #386617=DIRECTION('center_axis',(-0.1833784494501,-0.983042391902443,0.)); #386618=DIRECTION('ref_axis',(0.983042391902443,-0.1833784494501,0.)); #386619=DIRECTION('',(0.983042391902443,-0.1833784494501,0.)); #386620=DIRECTION('',(0.983042391902443,-0.1833784494501,0.)); #386621=DIRECTION('',(0.,0.,1.)); #386622=DIRECTION('center_axis',(-0.552211825696314,-0.833703844036444, 0.)); #386623=DIRECTION('ref_axis',(0.833703844036444,-0.552211825696314,0.)); #386624=DIRECTION('',(0.833703844036444,-0.552211825696314,0.)); #386625=DIRECTION('',(0.833703844036444,-0.552211825696314,0.)); #386626=DIRECTION('',(0.,0.,1.)); #386627=DIRECTION('center_axis',(-0.707106781186497,-0.707106781186598, 0.)); #386628=DIRECTION('ref_axis',(0.707106781186598,-0.707106781186497,0.)); #386629=DIRECTION('',(0.707106781186598,-0.707106781186497,0.)); #386630=DIRECTION('',(0.707106781186598,-0.707106781186497,0.)); #386631=DIRECTION('',(0.,0.,1.)); #386632=DIRECTION('center_axis',(-0.829263274014822,-0.558858141544183, 0.)); #386633=DIRECTION('ref_axis',(0.558858141544183,-0.829263274014822,0.)); #386634=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #386635=DIRECTION('',(0.558858141544183,-0.829263274014822,0.)); #386636=DIRECTION('',(0.,0.,1.)); #386637=DIRECTION('center_axis',(-0.97999756386667,-0.199009484234776,0.)); #386638=DIRECTION('ref_axis',(0.199009484234776,-0.97999756386667,0.)); #386639=DIRECTION('',(0.199009484234776,-0.97999756386667,0.)); #386640=DIRECTION('',(0.199009484234776,-0.97999756386667,0.)); #386641=DIRECTION('',(0.,0.,1.)); #386642=DIRECTION('center_axis',(-1.,0.,0.)); #386643=DIRECTION('ref_axis',(0.,-1.,0.)); #386644=DIRECTION('',(0.,-1.,0.)); #386645=DIRECTION('',(0.,-1.,0.)); #386646=DIRECTION('',(0.,0.,1.)); #386647=DIRECTION('center_axis',(-0.984474676816787,0.175526666653484,0.)); #386648=DIRECTION('ref_axis',(-0.175526666653484,-0.984474676816787,0.)); #386649=DIRECTION('',(-0.175526666653484,-0.984474676816787,0.)); #386650=DIRECTION('',(-0.175526666653484,-0.984474676816787,0.)); #386651=DIRECTION('',(0.,0.,1.)); #386652=DIRECTION('center_axis',(-0.850855747839124,0.525399368451396,0.)); #386653=DIRECTION('ref_axis',(-0.525399368451396,-0.850855747839124,0.)); #386654=DIRECTION('',(-0.525399368451396,-0.850855747839124,0.)); #386655=DIRECTION('',(-0.525399368451396,-0.850855747839124,0.)); #386656=DIRECTION('',(0.,0.,1.)); #386657=DIRECTION('center_axis',(-0.578583157949532,0.815623399208941,0.)); #386658=DIRECTION('ref_axis',(-0.815623399208941,-0.578583157949532,0.)); #386659=DIRECTION('',(-0.815623399208941,-0.578583157949532,0.)); #386660=DIRECTION('',(-0.815623399208941,-0.578583157949532,0.)); #386661=DIRECTION('',(0.,0.,1.)); #386662=DIRECTION('center_axis',(-0.214594192212086,0.976703298176495,0.)); #386663=DIRECTION('ref_axis',(-0.976703298176494,-0.214594192212086,0.)); #386664=DIRECTION('',(-0.976703298176494,-0.214594192212086,0.)); #386665=DIRECTION('',(-0.976703298176494,-0.214594192212086,0.)); #386666=DIRECTION('',(0.,0.,1.)); #386667=DIRECTION('center_axis',(0.183380618657247,0.983041987252267,0.)); #386668=DIRECTION('ref_axis',(-0.983041987252266,0.183380618657247,0.)); #386669=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #386670=DIRECTION('',(-0.983041987252266,0.183380618657247,0.)); #386671=DIRECTION('',(0.,0.,1.)); #386672=DIRECTION('center_axis',(0.552227809242898,0.833693256958931,0.)); #386673=DIRECTION('ref_axis',(-0.833693256958931,0.552227809242898,0.)); #386674=DIRECTION('',(-0.833693256958931,0.552227809242898,0.)); #386675=DIRECTION('',(-0.833693256958931,0.552227809242898,0.)); #386676=DIRECTION('',(0.,0.,1.)); #386677=DIRECTION('center_axis',(0.707106781186527,0.707106781186568,0.)); #386678=DIRECTION('ref_axis',(-0.707106781186568,0.707106781186527,0.)); #386679=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #386680=DIRECTION('',(-0.707106781186568,0.707106781186527,0.)); #386681=DIRECTION('',(0.,0.,1.)); #386682=DIRECTION('center_axis',(0.829258128536007,0.558865776602003,0.)); #386683=DIRECTION('ref_axis',(-0.558865776602003,0.829258128536007,0.)); #386684=DIRECTION('',(-0.558865776602003,0.829258128536007,0.)); #386685=DIRECTION('',(-0.558865776602003,0.829258128536007,0.)); #386686=DIRECTION('',(0.,0.,1.)); #386687=DIRECTION('center_axis',(0.979998112340235,0.199006783325535,0.)); #386688=DIRECTION('ref_axis',(-0.199006783325535,0.979998112340235,0.)); #386689=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #386690=DIRECTION('',(-0.199006783325535,0.979998112340235,0.)); #386691=DIRECTION('',(0.,0.,1.)); #386692=DIRECTION('center_axis',(1.,0.,0.)); #386693=DIRECTION('ref_axis',(0.,1.,0.)); #386694=DIRECTION('',(0.,1.,0.)); #386695=DIRECTION('',(0.,1.,0.)); #386696=DIRECTION('',(0.,0.,1.)); #386697=DIRECTION('center_axis',(0.984474331257819,-0.175528604775603,0.)); #386698=DIRECTION('ref_axis',(0.175528604775603,0.984474331257819,0.)); #386699=DIRECTION('',(0.175528604775603,0.984474331257819,0.)); #386700=DIRECTION('',(0.175528604775603,0.984474331257819,0.)); #386701=DIRECTION('',(0.,0.,1.)); #386702=DIRECTION('center_axis',(0.850856840118608,-0.525397599559969,0.)); #386703=DIRECTION('ref_axis',(0.525397599559969,0.850856840118608,0.)); #386704=DIRECTION('',(0.525397599559969,0.850856840118608,0.)); #386705=DIRECTION('',(0.525397599559969,0.850856840118608,0.)); #386706=DIRECTION('',(0.,0.,1.)); #386707=DIRECTION('center_axis',(0.578580525622434,-0.815625266510588,0.)); #386708=DIRECTION('ref_axis',(0.815625266510588,0.578580525622434,0.)); #386709=DIRECTION('',(0.815625266510588,0.578580525622434,0.)); #386710=DIRECTION('',(0.815625266510588,0.578580525622434,0.)); #386711=DIRECTION('center_axis',(0.,0.,1.)); #386712=DIRECTION('ref_axis',(1.,0.,0.)); #386713=DIRECTION('center_axis',(0.,0.,1.)); #386714=DIRECTION('ref_axis',(1.,0.,0.)); #386715=DIRECTION('center_axis',(0.199006783325552,-0.979998112340232,0.)); #386716=DIRECTION('ref_axis',(0.979998112340231,0.199006783325552,0.)); #386717=DIRECTION('',(0.979998112340231,0.199006783325552,0.)); #386718=DIRECTION('',(0.,0.,1.)); #386719=DIRECTION('',(0.979998112340231,0.199006783325552,0.)); #386720=DIRECTION('',(0.,0.,1.)); #386721=DIRECTION('center_axis',(0.,-1.,0.)); #386722=DIRECTION('ref_axis',(1.,0.,0.)); #386723=DIRECTION('',(1.,0.,0.)); #386724=DIRECTION('',(1.,0.,0.)); #386725=DIRECTION('',(0.,0.,1.)); #386726=DIRECTION('center_axis',(-0.191191000932803,-0.981552851945484, 0.)); #386727=DIRECTION('ref_axis',(0.981552851945484,-0.191191000932803,0.)); #386728=DIRECTION('',(0.981552851945484,-0.191191000932803,0.)); #386729=DIRECTION('',(0.981552851945484,-0.191191000932803,0.)); #386730=DIRECTION('',(0.,0.,1.)); #386731=DIRECTION('center_axis',(-0.552227809242898,-0.833693256958931, 0.)); #386732=DIRECTION('ref_axis',(0.833693256958931,-0.552227809242898,0.)); #386733=DIRECTION('',(0.833693256958931,-0.552227809242898,0.)); #386734=DIRECTION('',(0.833693256958931,-0.552227809242898,0.)); #386735=DIRECTION('',(0.,0.,1.)); #386736=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #386737=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #386738=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #386739=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #386740=DIRECTION('',(0.,0.,1.)); #386741=DIRECTION('center_axis',(-0.820244441262368,-0.572013161193155, 0.)); #386742=DIRECTION('ref_axis',(0.572013161193155,-0.820244441262367,0.)); #386743=DIRECTION('',(0.572013161193155,-0.820244441262367,0.)); #386744=DIRECTION('',(0.572013161193155,-0.820244441262367,0.)); #386745=DIRECTION('',(0.,0.,1.)); #386746=DIRECTION('center_axis',(-0.973161256280918,-0.230124247470243, 0.)); #386747=DIRECTION('ref_axis',(0.230124247470243,-0.973161256280918,0.)); #386748=DIRECTION('',(0.230124247470243,-0.973161256280918,0.)); #386749=DIRECTION('',(0.230124247470243,-0.973161256280918,0.)); #386750=DIRECTION('',(0.,0.,1.)); #386751=DIRECTION('center_axis',(-0.98585179283072,0.16761933830097,0.)); #386752=DIRECTION('ref_axis',(-0.16761933830097,-0.98585179283072,0.)); #386753=DIRECTION('',(-0.16761933830097,-0.98585179283072,0.)); #386754=DIRECTION('',(-0.16761933830097,-0.98585179283072,0.)); #386755=DIRECTION('',(0.,0.,1.)); #386756=DIRECTION('center_axis',(-0.842351514327676,0.538928498327812,0.)); #386757=DIRECTION('ref_axis',(-0.538928498327812,-0.842351514327676,0.)); #386758=DIRECTION('',(-0.538928498327812,-0.842351514327676,0.)); #386759=DIRECTION('',(-0.538928498327812,-0.842351514327676,0.)); #386760=DIRECTION('',(0.,0.,1.)); #386761=DIRECTION('center_axis',(-0.56548298527178,0.824759961060257,0.)); #386762=DIRECTION('ref_axis',(-0.824759961060257,-0.56548298527178,0.)); #386763=DIRECTION('',(-0.824759961060257,-0.56548298527178,0.)); #386764=DIRECTION('',(-0.824759961060257,-0.56548298527178,0.)); #386765=DIRECTION('',(0.,0.,1.)); #386766=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #386767=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #386768=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #386769=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #386770=DIRECTION('',(0.,0.,1.)); #386771=DIRECTION('center_axis',(0.,1.,0.)); #386772=DIRECTION('ref_axis',(-1.,0.,0.)); #386773=DIRECTION('',(-1.,0.,0.)); #386774=DIRECTION('',(-1.,0.,0.)); #386775=DIRECTION('',(0.,0.,1.)); #386776=DIRECTION('center_axis',(0.191191000932847,0.981552851945475,0.)); #386777=DIRECTION('ref_axis',(-0.981552851945475,0.191191000932847,0.)); #386778=DIRECTION('',(-0.981552851945475,0.191191000932847,0.)); #386779=DIRECTION('',(-0.981552851945475,0.191191000932847,0.)); #386780=DIRECTION('',(0.,0.,1.)); #386781=DIRECTION('center_axis',(0.55221870108126,0.833699290017765,0.)); #386782=DIRECTION('ref_axis',(-0.833699290017765,0.55221870108126,0.)); #386783=DIRECTION('',(-0.833699290017765,0.55221870108126,0.)); #386784=DIRECTION('',(-0.833699290017765,0.55221870108126,0.)); #386785=DIRECTION('',(0.,0.,1.)); #386786=DIRECTION('center_axis',(0.707106781186588,0.707106781186507,0.)); #386787=DIRECTION('ref_axis',(-0.707106781186507,0.707106781186588,0.)); #386788=DIRECTION('',(-0.707106781186507,0.707106781186588,0.)); #386789=DIRECTION('',(-0.707106781186507,0.707106781186588,0.)); #386790=DIRECTION('',(0.,0.,1.)); #386791=DIRECTION('center_axis',(0.824764905148825,0.565475774224546,0.)); #386792=DIRECTION('ref_axis',(-0.565475774224546,0.824764905148825,0.)); #386793=DIRECTION('',(-0.565475774224546,0.824764905148825,0.)); #386794=DIRECTION('',(-0.565475774224546,0.824764905148825,0.)); #386795=DIRECTION('',(0.,0.,1.)); #386796=DIRECTION('center_axis',(0.976703298176495,0.214594192212086,0.)); #386797=DIRECTION('ref_axis',(-0.214594192212086,0.976703298176494,0.)); #386798=DIRECTION('',(-0.214594192212086,0.976703298176494,0.)); #386799=DIRECTION('',(-0.214594192212086,0.976703298176494,0.)); #386800=DIRECTION('',(0.,0.,1.)); #386801=DIRECTION('center_axis',(0.983041321917696,-0.183384185256821,0.)); #386802=DIRECTION('ref_axis',(0.183384185256821,0.983041321917696,0.)); #386803=DIRECTION('',(0.183384185256821,0.983041321917696,0.)); #386804=DIRECTION('',(0.183384185256821,0.983041321917696,0.)); #386805=DIRECTION('',(0.,0.,1.)); #386806=DIRECTION('center_axis',(0.833708398048215,-0.552204950198638,0.)); #386807=DIRECTION('ref_axis',(0.552204950198638,0.833708398048215,0.)); #386808=DIRECTION('',(0.552204950198638,0.833708398048215,0.)); #386809=DIRECTION('',(0.552204950198638,0.833708398048215,0.)); #386810=DIRECTION('',(0.,0.,1.)); #386811=DIRECTION('center_axis',(0.707106781186588,-0.707106781186507,0.)); #386812=DIRECTION('ref_axis',(0.707106781186507,0.707106781186588,0.)); #386813=DIRECTION('',(0.707106781186507,0.707106781186588,0.)); #386814=DIRECTION('',(0.707106781186507,0.707106781186588,0.)); #386815=DIRECTION('',(0.,0.,1.)); #386816=DIRECTION('center_axis',(0.558856232804846,-0.829264560351626,0.)); #386817=DIRECTION('ref_axis',(0.829264560351626,0.558856232804846,0.)); #386818=DIRECTION('',(0.829264560351626,0.558856232804846,0.)); #386819=DIRECTION('',(0.829264560351626,0.558856232804846,0.)); #386820=DIRECTION('center_axis',(0.,0.,1.)); #386821=DIRECTION('ref_axis',(1.,0.,0.)); #386822=DIRECTION('center_axis',(0.,0.,1.)); #386823=DIRECTION('ref_axis',(1.,0.,0.)); #386824=DIRECTION('center_axis',(0.,0.,1.)); #386825=DIRECTION('ref_axis',(1.,0.,0.)); #386826=DIRECTION('center_axis',(0.,0.,1.)); #386827=DIRECTION('ref_axis',(1.,0.,0.)); #386828=DIRECTION('',(0.,0.,1.)); #386829=DIRECTION('center_axis',(0.,0.,-1.)); #386830=DIRECTION('ref_axis',(1.,0.,0.)); #386831=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #386832=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #386833=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #386834=DIRECTION('',(0.,0.,1.)); #386835=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #386836=DIRECTION('',(0.,0.,1.)); #386837=DIRECTION('center_axis',(0.,0.,1.)); #386838=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #386839=DIRECTION('center_axis',(0.,0.,1.)); #386840=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #386841=DIRECTION('center_axis',(0.,0.,1.)); #386842=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #386843=DIRECTION('',(0.,0.,1.)); #386844=DIRECTION('center_axis',(0.,0.,1.)); #386845=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #386846=DIRECTION('center_axis',(0.,0.,1.)); #386847=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #386848=DIRECTION('center_axis',(0.,0.,1.)); #386849=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #386850=DIRECTION('center_axis',(0.,0.,1.)); #386851=DIRECTION('ref_axis',(1.,0.,0.)); #386852=DIRECTION('center_axis',(0.,0.,1.)); #386853=DIRECTION('ref_axis',(1.,0.,0.)); #386854=DIRECTION('center_axis',(0.,0.,1.)); #386855=DIRECTION('ref_axis',(1.,0.,0.)); #386856=DIRECTION('center_axis',(0.,0.,1.)); #386857=DIRECTION('ref_axis',(1.,0.,0.)); #386858=DIRECTION('',(0.,0.,1.)); #386859=DIRECTION('center_axis',(0.,0.,-1.)); #386860=DIRECTION('ref_axis',(1.,0.,0.)); #386861=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #386862=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #386863=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #386864=DIRECTION('',(0.,0.,1.)); #386865=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #386866=DIRECTION('',(0.,0.,1.)); #386867=DIRECTION('center_axis',(0.,0.,1.)); #386868=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #386869=DIRECTION('center_axis',(0.,0.,1.)); #386870=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #386871=DIRECTION('center_axis',(0.,0.,1.)); #386872=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #386873=DIRECTION('',(0.,0.,1.)); #386874=DIRECTION('center_axis',(0.,0.,1.)); #386875=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #386876=DIRECTION('center_axis',(0.,0.,1.)); #386877=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #386878=DIRECTION('center_axis',(0.,0.,1.)); #386879=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #386880=DIRECTION('center_axis',(0.,0.,1.)); #386881=DIRECTION('ref_axis',(1.,0.,0.)); #386882=DIRECTION('center_axis',(0.,0.,1.)); #386883=DIRECTION('ref_axis',(1.,0.,0.)); #386884=DIRECTION('center_axis',(0.,0.,1.)); #386885=DIRECTION('ref_axis',(1.,0.,0.)); #386886=DIRECTION('center_axis',(0.,0.,1.)); #386887=DIRECTION('ref_axis',(1.,0.,0.)); #386888=DIRECTION('',(0.,0.,1.)); #386889=DIRECTION('center_axis',(0.,0.,-1.)); #386890=DIRECTION('ref_axis',(1.,0.,0.)); #386891=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #386892=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #386893=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #386894=DIRECTION('',(0.,0.,1.)); #386895=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #386896=DIRECTION('',(0.,0.,1.)); #386897=DIRECTION('center_axis',(0.,0.,1.)); #386898=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #386899=DIRECTION('center_axis',(0.,0.,1.)); #386900=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #386901=DIRECTION('center_axis',(0.,0.,1.)); #386902=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #386903=DIRECTION('',(0.,0.,1.)); #386904=DIRECTION('center_axis',(0.,0.,1.)); #386905=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #386906=DIRECTION('center_axis',(0.,0.,1.)); #386907=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #386908=DIRECTION('center_axis',(0.,0.,1.)); #386909=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #386910=DIRECTION('center_axis',(0.,0.,1.)); #386911=DIRECTION('ref_axis',(1.,0.,0.)); #386912=DIRECTION('center_axis',(0.,0.,1.)); #386913=DIRECTION('ref_axis',(1.,0.,0.)); #386914=DIRECTION('center_axis',(0.,0.,1.)); #386915=DIRECTION('ref_axis',(1.,0.,0.)); #386916=DIRECTION('center_axis',(0.,0.,1.)); #386917=DIRECTION('ref_axis',(1.,0.,0.)); #386918=DIRECTION('',(0.,0.,1.)); #386919=DIRECTION('center_axis',(0.,0.,-1.)); #386920=DIRECTION('ref_axis',(1.,0.,0.)); #386921=DIRECTION('center_axis',(0.0979988322081603,-0.995186529694729, 0.)); #386922=DIRECTION('ref_axis',(0.995186529694729,0.0979988322081603,0.)); #386923=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #386924=DIRECTION('',(0.,0.,1.)); #386925=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #386926=DIRECTION('',(0.,0.,1.)); #386927=DIRECTION('center_axis',(0.,0.,1.)); #386928=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #386929=DIRECTION('center_axis',(0.,0.,1.)); #386930=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #386931=DIRECTION('center_axis',(0.,0.,1.)); #386932=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #386933=DIRECTION('',(0.,0.,1.)); #386934=DIRECTION('center_axis',(0.,0.,1.)); #386935=DIRECTION('ref_axis',(0.146731885739879,-0.989176300619469,0.)); #386936=DIRECTION('center_axis',(0.,0.,1.)); #386937=DIRECTION('ref_axis',(0.146731885739879,-0.989176300619469,0.)); #386938=DIRECTION('center_axis',(0.,0.,1.)); #386939=DIRECTION('ref_axis',(0.146731885739879,-0.989176300619469,0.)); #386940=DIRECTION('center_axis',(0.,0.,1.)); #386941=DIRECTION('ref_axis',(1.,0.,0.)); #386942=DIRECTION('center_axis',(0.,0.,1.)); #386943=DIRECTION('ref_axis',(1.,0.,0.)); #386944=DIRECTION('center_axis',(0.,0.,1.)); #386945=DIRECTION('ref_axis',(1.,0.,0.)); #386946=DIRECTION('center_axis',(0.,0.,1.)); #386947=DIRECTION('ref_axis',(1.,0.,0.)); #386948=DIRECTION('',(0.,0.,1.)); #386949=DIRECTION('center_axis',(0.,0.,-1.)); #386950=DIRECTION('ref_axis',(1.,0.,0.)); #386951=DIRECTION('center_axis',(1.,0.,0.)); #386952=DIRECTION('ref_axis',(0.,1.,0.)); #386953=DIRECTION('',(0.,1.,0.)); #386954=DIRECTION('',(0.,0.,1.)); #386955=DIRECTION('',(0.,1.,0.)); #386956=DIRECTION('',(0.,0.,1.)); #386957=DIRECTION('center_axis',(0.,-1.,0.)); #386958=DIRECTION('ref_axis',(1.,0.,0.)); #386959=DIRECTION('',(1.,0.,0.)); #386960=DIRECTION('',(1.,0.,0.)); #386961=DIRECTION('',(0.,0.,1.)); #386962=DIRECTION('center_axis',(-1.,0.,0.)); #386963=DIRECTION('ref_axis',(0.,-1.,0.)); #386964=DIRECTION('',(0.,-1.,0.)); #386965=DIRECTION('',(0.,-1.,0.)); #386966=DIRECTION('',(0.,0.,1.)); #386967=DIRECTION('center_axis',(0.,1.,0.)); #386968=DIRECTION('ref_axis',(-1.,0.,0.)); #386969=DIRECTION('',(-1.,0.,0.)); #386970=DIRECTION('',(-1.,0.,0.)); #386971=DIRECTION('center_axis',(0.,0.,1.)); #386972=DIRECTION('ref_axis',(1.,0.,0.)); #386973=DIRECTION('center_axis',(0.,0.,1.)); #386974=DIRECTION('ref_axis',(1.,0.,0.)); #386975=DIRECTION('center_axis',(0.,0.,1.)); #386976=DIRECTION('ref_axis',(1.,0.,0.)); #386977=DIRECTION('center_axis',(0.,0.,1.)); #386978=DIRECTION('ref_axis',(1.,0.,0.)); #386979=DIRECTION('',(0.,0.,1.)); #386980=DIRECTION('center_axis',(0.,0.,-1.)); #386981=DIRECTION('ref_axis',(1.,0.,0.)); #386982=DIRECTION('center_axis',(0.0980028398661646,-0.995186135041162, 0.)); #386983=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661646,0.)); #386984=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #386985=DIRECTION('',(0.,0.,1.)); #386986=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #386987=DIRECTION('',(0.,0.,1.)); #386988=DIRECTION('center_axis',(0.,0.,1.)); #386989=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #386990=DIRECTION('center_axis',(0.,0.,1.)); #386991=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #386992=DIRECTION('center_axis',(0.,0.,1.)); #386993=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #386994=DIRECTION('',(0.,0.,1.)); #386995=DIRECTION('center_axis',(0.,0.,1.)); #386996=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #386997=DIRECTION('center_axis',(0.,0.,1.)); #386998=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #386999=DIRECTION('center_axis',(0.,0.,1.)); #387000=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #387001=DIRECTION('center_axis',(0.,0.,1.)); #387002=DIRECTION('ref_axis',(1.,0.,0.)); #387003=DIRECTION('center_axis',(0.,0.,1.)); #387004=DIRECTION('ref_axis',(1.,0.,0.)); #387005=DIRECTION('center_axis',(0.,0.,1.)); #387006=DIRECTION('ref_axis',(1.,0.,0.)); #387007=DIRECTION('center_axis',(0.,0.,1.)); #387008=DIRECTION('ref_axis',(1.,0.,0.)); #387009=DIRECTION('',(0.,0.,1.)); #387010=DIRECTION('center_axis',(0.,0.,-1.)); #387011=DIRECTION('ref_axis',(1.,0.,0.)); #387012=DIRECTION('center_axis',(0.0980028398661675,-0.995186135041162, 0.)); #387013=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661675,0.)); #387014=DIRECTION('',(0.995186135041162,0.0980028398661675,0.)); #387015=DIRECTION('',(0.,0.,1.)); #387016=DIRECTION('',(0.995186135041162,0.0980028398661675,0.)); #387017=DIRECTION('',(0.,0.,1.)); #387018=DIRECTION('center_axis',(0.,0.,1.)); #387019=DIRECTION('ref_axis',(-0.14673120156606,0.989176402107824,0.)); #387020=DIRECTION('center_axis',(0.,0.,1.)); #387021=DIRECTION('ref_axis',(-0.14673120156606,0.989176402107824,0.)); #387022=DIRECTION('center_axis',(0.,0.,1.)); #387023=DIRECTION('ref_axis',(-0.14673120156606,0.989176402107824,0.)); #387024=DIRECTION('',(0.,0.,1.)); #387025=DIRECTION('center_axis',(0.,0.,1.)); #387026=DIRECTION('ref_axis',(0.146730854749293,-0.98917645355343,0.)); #387027=DIRECTION('center_axis',(0.,0.,1.)); #387028=DIRECTION('ref_axis',(0.146730854749293,-0.98917645355343,0.)); #387029=DIRECTION('center_axis',(0.,0.,1.)); #387030=DIRECTION('ref_axis',(0.146730854749293,-0.98917645355343,0.)); #387031=DIRECTION('center_axis',(0.,0.,1.)); #387032=DIRECTION('ref_axis',(1.,0.,0.)); #387033=DIRECTION('center_axis',(0.,0.,1.)); #387034=DIRECTION('ref_axis',(1.,0.,0.)); #387035=DIRECTION('center_axis',(0.,0.,1.)); #387036=DIRECTION('ref_axis',(1.,0.,0.)); #387037=DIRECTION('center_axis',(0.,0.,1.)); #387038=DIRECTION('ref_axis',(1.,0.,0.)); #387039=DIRECTION('',(0.,0.,1.)); #387040=DIRECTION('center_axis',(0.,0.,-1.)); #387041=DIRECTION('ref_axis',(1.,0.,0.)); #387042=DIRECTION('center_axis',(0.087162214881199,-0.996194131832247,0.)); #387043=DIRECTION('ref_axis',(0.996194131832247,0.087162214881199,0.)); #387044=DIRECTION('',(0.996194131832247,0.087162214881199,0.)); #387045=DIRECTION('',(0.,0.,1.)); #387046=DIRECTION('',(0.996194131832247,0.087162214881199,0.)); #387047=DIRECTION('',(0.,0.,1.)); #387048=DIRECTION('center_axis',(0.,0.,1.)); #387049=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #387050=DIRECTION('center_axis',(0.,0.,1.)); #387051=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #387052=DIRECTION('center_axis',(0.,0.,1.)); #387053=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #387054=DIRECTION('',(0.,0.,1.)); #387055=DIRECTION('center_axis',(0.,0.,1.)); #387056=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #387057=DIRECTION('center_axis',(0.,0.,1.)); #387058=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #387059=DIRECTION('center_axis',(0.,0.,1.)); #387060=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #387061=DIRECTION('center_axis',(0.,0.,1.)); #387062=DIRECTION('ref_axis',(1.,0.,0.)); #387063=DIRECTION('center_axis',(0.,0.,1.)); #387064=DIRECTION('ref_axis',(1.,0.,0.)); #387065=DIRECTION('center_axis',(0.,0.,1.)); #387066=DIRECTION('ref_axis',(1.,0.,0.)); #387067=DIRECTION('center_axis',(0.,0.,1.)); #387068=DIRECTION('ref_axis',(1.,0.,0.)); #387069=DIRECTION('',(0.,0.,1.)); #387070=DIRECTION('center_axis',(0.,0.,-1.)); #387071=DIRECTION('ref_axis',(1.,0.,0.)); #387072=DIRECTION('center_axis',(0.0980028398661646,-0.995186135041162, 0.)); #387073=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661646,0.)); #387074=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #387075=DIRECTION('',(0.,0.,1.)); #387076=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #387077=DIRECTION('',(0.,0.,1.)); #387078=DIRECTION('center_axis',(0.,0.,1.)); #387079=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #387080=DIRECTION('center_axis',(0.,0.,1.)); #387081=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #387082=DIRECTION('center_axis',(0.,0.,1.)); #387083=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #387084=DIRECTION('',(0.,0.,1.)); #387085=DIRECTION('center_axis',(0.,0.,1.)); #387086=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #387087=DIRECTION('center_axis',(0.,0.,1.)); #387088=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #387089=DIRECTION('center_axis',(0.,0.,1.)); #387090=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #387091=DIRECTION('center_axis',(0.,0.,1.)); #387092=DIRECTION('ref_axis',(1.,0.,0.)); #387093=DIRECTION('center_axis',(0.,0.,1.)); #387094=DIRECTION('ref_axis',(1.,0.,0.)); #387095=DIRECTION('center_axis',(1.,0.,0.)); #387096=DIRECTION('ref_axis',(0.,1.,0.)); #387097=DIRECTION('',(0.,-1.,0.)); #387098=DIRECTION('',(0.,0.,1.)); #387099=DIRECTION('',(0.,1.,0.)); #387100=DIRECTION('',(0.,0.,1.)); #387101=DIRECTION('center_axis',(0.,0.,1.)); #387102=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #387103=DIRECTION('center_axis',(0.,0.,-1.)); #387104=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #387105=DIRECTION('center_axis',(0.,0.,1.)); #387106=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #387107=DIRECTION('',(0.,0.,1.)); #387108=DIRECTION('center_axis',(-1.,0.,0.)); #387109=DIRECTION('ref_axis',(0.,-1.,0.)); #387110=DIRECTION('',(0.,1.,0.)); #387111=DIRECTION('',(0.,-1.,0.)); #387112=DIRECTION('',(0.,0.,1.)); #387113=DIRECTION('center_axis',(0.,0.,1.)); #387114=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #387115=DIRECTION('center_axis',(0.,0.,-1.)); #387116=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #387117=DIRECTION('center_axis',(0.,0.,1.)); #387118=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #387119=DIRECTION('center_axis',(0.111945831198929,-0.993714310492297,0.)); #387120=DIRECTION('ref_axis',(0.993714310492297,0.111945831198929,0.)); #387121=DIRECTION('',(0.993714310492297,0.111945831198929,0.)); #387122=DIRECTION('',(0.,0.,1.)); #387123=DIRECTION('',(0.993714310492297,0.111945831198929,0.)); #387124=DIRECTION('',(0.,0.,1.)); #387125=DIRECTION('center_axis',(0.,-1.,0.)); #387126=DIRECTION('ref_axis',(1.,0.,0.)); #387127=DIRECTION('',(1.,0.,0.)); #387128=DIRECTION('',(1.,0.,0.)); #387129=DIRECTION('',(0.,0.,1.)); #387130=DIRECTION('center_axis',(-0.111945831198937,-0.993714310492296, 0.)); #387131=DIRECTION('ref_axis',(0.993714310492296,-0.111945831198937,0.)); #387132=DIRECTION('',(0.993714310492296,-0.111945831198937,0.)); #387133=DIRECTION('',(0.993714310492296,-0.111945831198937,0.)); #387134=DIRECTION('',(0.,0.,1.)); #387135=DIRECTION('center_axis',(-0.222535347290555,-0.974924622320245, 0.)); #387136=DIRECTION('ref_axis',(0.974924622320245,-0.222535347290555,0.)); #387137=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #387138=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #387139=DIRECTION('',(0.,0.,1.)); #387140=DIRECTION('center_axis',(-0.330292737303257,-0.943878544985912, 0.)); #387141=DIRECTION('ref_axis',(0.943878544985912,-0.330292737303257,0.)); #387142=DIRECTION('',(0.943878544985912,-0.330292737303257,0.)); #387143=DIRECTION('',(0.943878544985912,-0.330292737303257,0.)); #387144=DIRECTION('',(0.,0.,1.)); #387145=DIRECTION('center_axis',(-0.43386608850586,-0.900977367776031,0.)); #387146=DIRECTION('ref_axis',(0.900977367776031,-0.43386608850586,0.)); #387147=DIRECTION('',(0.900977367776031,-0.43386608850586,0.)); #387148=DIRECTION('',(0.900977367776031,-0.43386608850586,0.)); #387149=DIRECTION('',(0.,0.,1.)); #387150=DIRECTION('center_axis',(-0.532028152553409,-0.846726664804296, 0.)); #387151=DIRECTION('ref_axis',(0.846726664804296,-0.532028152553409,0.)); #387152=DIRECTION('',(0.846726664804296,-0.532028152553409,0.)); #387153=DIRECTION('',(0.846726664804296,-0.532028152553409,0.)); #387154=DIRECTION('',(0.,0.,1.)); #387155=DIRECTION('center_axis',(-0.623490076319921,-0.781831263592457, 0.)); #387156=DIRECTION('ref_axis',(0.781831263592457,-0.623490076319921,0.)); #387157=DIRECTION('',(0.781831263592457,-0.623490076319921,0.)); #387158=DIRECTION('',(0.781831263592457,-0.623490076319921,0.)); #387159=DIRECTION('',(0.,0.,1.)); #387160=DIRECTION('center_axis',(-0.707109209369744,-0.707104352995013, 0.)); #387161=DIRECTION('ref_axis',(0.707104352995013,-0.707109209369744,0.)); #387162=DIRECTION('',(0.707104352995013,-0.707109209369744,0.)); #387163=DIRECTION('',(0.707104352995013,-0.707109209369744,0.)); #387164=DIRECTION('',(0.,0.,1.)); #387165=DIRECTION('center_axis',(-0.781826848571423,-0.623495612536991, 0.)); #387166=DIRECTION('ref_axis',(0.623495612536991,-0.781826848571423,0.)); #387167=DIRECTION('',(0.623495612536991,-0.781826848571423,0.)); #387168=DIRECTION('',(0.623495612536991,-0.781826848571423,0.)); #387169=DIRECTION('',(0.,0.,1.)); #387170=DIRECTION('center_axis',(-0.846733144041853,-0.532017840660441, 0.)); #387171=DIRECTION('ref_axis',(0.532017840660441,-0.846733144041853,0.)); #387172=DIRECTION('',(0.532017840660441,-0.846733144041853,0.)); #387173=DIRECTION('',(0.532017840660441,-0.846733144041853,0.)); #387174=DIRECTION('',(0.,0.,1.)); #387175=DIRECTION('center_axis',(-0.900976172282534,-0.433868571089349, 0.)); #387176=DIRECTION('ref_axis',(0.433868571089349,-0.900976172282534,0.)); #387177=DIRECTION('',(0.433868571089349,-0.900976172282534,0.)); #387178=DIRECTION('',(0.433868571089349,-0.900976172282534,0.)); #387179=DIRECTION('',(0.,0.,1.)); #387180=DIRECTION('center_axis',(-0.943879402871124,-0.330290285711902, 0.)); #387181=DIRECTION('ref_axis',(0.330290285711902,-0.943879402871124,0.)); #387182=DIRECTION('',(0.330290285711902,-0.943879402871124,0.)); #387183=DIRECTION('',(0.330290285711902,-0.943879402871124,0.)); #387184=DIRECTION('',(0.,0.,1.)); #387185=DIRECTION('center_axis',(-0.97492427111126,-0.222536885927206,0.)); #387186=DIRECTION('ref_axis',(0.222536885927206,-0.97492427111126,0.)); #387187=DIRECTION('',(0.222536885927206,-0.97492427111126,0.)); #387188=DIRECTION('',(0.222536885927206,-0.97492427111126,0.)); #387189=DIRECTION('',(0.,0.,1.)); #387190=DIRECTION('center_axis',(-0.993713488739879,-0.111953125442832, 0.)); #387191=DIRECTION('ref_axis',(0.111953125442832,-0.993713488739879,0.)); #387192=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #387193=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #387194=DIRECTION('',(0.,0.,1.)); #387195=DIRECTION('center_axis',(-1.,0.,0.)); #387196=DIRECTION('ref_axis',(0.,-1.,0.)); #387197=DIRECTION('',(0.,-1.,0.)); #387198=DIRECTION('',(0.,-1.,0.)); #387199=DIRECTION('',(0.,0.,1.)); #387200=DIRECTION('center_axis',(-0.993713488739879,0.111953125442832,0.)); #387201=DIRECTION('ref_axis',(-0.111953125442832,-0.993713488739879,0.)); #387202=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #387203=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #387204=DIRECTION('',(0.,0.,1.)); #387205=DIRECTION('center_axis',(-0.97492427111126,0.222536885927205,0.)); #387206=DIRECTION('ref_axis',(-0.222536885927205,-0.97492427111126,0.)); #387207=DIRECTION('',(-0.222536885927205,-0.97492427111126,0.)); #387208=DIRECTION('',(-0.222536885927205,-0.97492427111126,0.)); #387209=DIRECTION('',(0.,0.,1.)); #387210=DIRECTION('center_axis',(-0.943879402871124,0.330290285711902,0.)); #387211=DIRECTION('ref_axis',(-0.330290285711902,-0.943879402871124,0.)); #387212=DIRECTION('',(-0.330290285711902,-0.943879402871124,0.)); #387213=DIRECTION('',(-0.330290285711902,-0.943879402871124,0.)); #387214=DIRECTION('',(0.,0.,1.)); #387215=DIRECTION('center_axis',(-0.900976172282534,0.433868571089349,0.)); #387216=DIRECTION('ref_axis',(-0.433868571089349,-0.900976172282534,0.)); #387217=DIRECTION('',(-0.433868571089349,-0.900976172282534,0.)); #387218=DIRECTION('',(-0.433868571089349,-0.900976172282534,0.)); #387219=DIRECTION('',(0.,0.,1.)); #387220=DIRECTION('center_axis',(-0.846733144041855,0.532017840660439,0.)); #387221=DIRECTION('ref_axis',(-0.532017840660439,-0.846733144041855,0.)); #387222=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #387223=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #387224=DIRECTION('',(0.,0.,1.)); #387225=DIRECTION('center_axis',(-0.781826848571419,0.623495612536995,0.)); #387226=DIRECTION('ref_axis',(-0.623495612536995,-0.781826848571419,0.)); #387227=DIRECTION('',(-0.623495612536995,-0.781826848571419,0.)); #387228=DIRECTION('',(-0.623495612536995,-0.781826848571419,0.)); #387229=DIRECTION('',(0.,0.,1.)); #387230=DIRECTION('center_axis',(-0.707109209369744,0.707104352995013,0.)); #387231=DIRECTION('ref_axis',(-0.707104352995013,-0.707109209369744,0.)); #387232=DIRECTION('',(-0.707104352995013,-0.707109209369744,0.)); #387233=DIRECTION('',(-0.707104352995013,-0.707109209369744,0.)); #387234=DIRECTION('',(0.,0.,1.)); #387235=DIRECTION('center_axis',(-0.623490076319921,0.781831263592457,0.)); #387236=DIRECTION('ref_axis',(-0.781831263592457,-0.623490076319921,0.)); #387237=DIRECTION('',(-0.781831263592457,-0.623490076319921,0.)); #387238=DIRECTION('',(-0.781831263592457,-0.623490076319921,0.)); #387239=DIRECTION('',(0.,0.,1.)); #387240=DIRECTION('center_axis',(-0.532028152553415,0.846726664804292,0.)); #387241=DIRECTION('ref_axis',(-0.846726664804292,-0.532028152553415,0.)); #387242=DIRECTION('',(-0.846726664804292,-0.532028152553415,0.)); #387243=DIRECTION('',(-0.846726664804292,-0.532028152553415,0.)); #387244=DIRECTION('',(0.,0.,1.)); #387245=DIRECTION('center_axis',(-0.43386608850586,0.900977367776031,0.)); #387246=DIRECTION('ref_axis',(-0.900977367776031,-0.43386608850586,0.)); #387247=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #387248=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #387249=DIRECTION('',(0.,0.,1.)); #387250=DIRECTION('center_axis',(-0.330292737303257,0.943878544985912,0.)); #387251=DIRECTION('ref_axis',(-0.943878544985912,-0.330292737303257,0.)); #387252=DIRECTION('',(-0.943878544985912,-0.330292737303257,0.)); #387253=DIRECTION('',(-0.943878544985912,-0.330292737303257,0.)); #387254=DIRECTION('',(0.,0.,1.)); #387255=DIRECTION('center_axis',(-0.222535347290555,0.974924622320245,0.)); #387256=DIRECTION('ref_axis',(-0.974924622320245,-0.222535347290555,0.)); #387257=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #387258=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #387259=DIRECTION('',(0.,0.,1.)); #387260=DIRECTION('center_axis',(-0.111945831198937,0.993714310492296,0.)); #387261=DIRECTION('ref_axis',(-0.993714310492296,-0.111945831198937,0.)); #387262=DIRECTION('',(-0.993714310492296,-0.111945831198937,0.)); #387263=DIRECTION('',(-0.993714310492296,-0.111945831198937,0.)); #387264=DIRECTION('',(0.,0.,1.)); #387265=DIRECTION('center_axis',(0.,1.,0.)); #387266=DIRECTION('ref_axis',(-1.,0.,0.)); #387267=DIRECTION('',(-1.,0.,0.)); #387268=DIRECTION('',(-1.,0.,0.)); #387269=DIRECTION('',(0.,0.,1.)); #387270=DIRECTION('center_axis',(0.111945831198929,0.993714310492297,0.)); #387271=DIRECTION('ref_axis',(-0.993714310492297,0.111945831198929,0.)); #387272=DIRECTION('',(-0.993714310492297,0.111945831198929,0.)); #387273=DIRECTION('',(-0.993714310492297,0.111945831198929,0.)); #387274=DIRECTION('',(0.,0.,1.)); #387275=DIRECTION('center_axis',(0.222535347290587,0.974924622320238,0.)); #387276=DIRECTION('ref_axis',(-0.974924622320238,0.222535347290587,0.)); #387277=DIRECTION('',(-0.974924622320238,0.222535347290587,0.)); #387278=DIRECTION('',(-0.974924622320238,0.222535347290587,0.)); #387279=DIRECTION('',(0.,0.,1.)); #387280=DIRECTION('center_axis',(0.330292737303213,0.943878544985927,0.)); #387281=DIRECTION('ref_axis',(-0.943878544985927,0.330292737303213,0.)); #387282=DIRECTION('',(-0.943878544985927,0.330292737303213,0.)); #387283=DIRECTION('',(-0.943878544985927,0.330292737303213,0.)); #387284=DIRECTION('',(0.,0.,1.)); #387285=DIRECTION('center_axis',(0.433866088505888,0.900977367776018,0.)); #387286=DIRECTION('ref_axis',(-0.900977367776017,0.433866088505888,0.)); #387287=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #387288=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #387289=DIRECTION('',(0.,0.,1.)); #387290=DIRECTION('center_axis',(0.532028152553383,0.846726664804312,0.)); #387291=DIRECTION('ref_axis',(-0.846726664804312,0.532028152553383,0.)); #387292=DIRECTION('',(-0.846726664804312,0.532028152553383,0.)); #387293=DIRECTION('',(-0.846726664804312,0.532028152553383,0.)); #387294=DIRECTION('',(0.,0.,1.)); #387295=DIRECTION('center_axis',(0.623490076319921,0.781831263592457,0.)); #387296=DIRECTION('ref_axis',(-0.781831263592457,0.623490076319921,0.)); #387297=DIRECTION('',(-0.781831263592457,0.623490076319921,0.)); #387298=DIRECTION('',(-0.781831263592457,0.623490076319921,0.)); #387299=DIRECTION('',(0.,0.,1.)); #387300=DIRECTION('center_axis',(0.70710920936978,0.707104352994977,0.)); #387301=DIRECTION('ref_axis',(-0.707104352994977,0.70710920936978,0.)); #387302=DIRECTION('',(-0.707104352994977,0.70710920936978,0.)); #387303=DIRECTION('',(-0.707104352994977,0.70710920936978,0.)); #387304=DIRECTION('',(0.,0.,1.)); #387305=DIRECTION('center_axis',(0.781826848571384,0.623495612537039,0.)); #387306=DIRECTION('ref_axis',(-0.623495612537039,0.781826848571384,0.)); #387307=DIRECTION('',(-0.623495612537039,0.781826848571384,0.)); #387308=DIRECTION('',(-0.623495612537039,0.781826848571384,0.)); #387309=DIRECTION('',(0.,0.,1.)); #387310=DIRECTION('center_axis',(0.846733144041855,0.532017840660439,0.)); #387311=DIRECTION('ref_axis',(-0.532017840660439,0.846733144041855,0.)); #387312=DIRECTION('',(-0.532017840660439,0.846733144041855,0.)); #387313=DIRECTION('',(-0.532017840660439,0.846733144041855,0.)); #387314=DIRECTION('',(0.,0.,1.)); #387315=DIRECTION('center_axis',(0.90097617228259,0.433868571089232,0.)); #387316=DIRECTION('ref_axis',(-0.433868571089232,0.90097617228259,0.)); #387317=DIRECTION('',(-0.433868571089232,0.90097617228259,0.)); #387318=DIRECTION('',(-0.433868571089232,0.90097617228259,0.)); #387319=DIRECTION('',(0.,0.,1.)); #387320=DIRECTION('center_axis',(0.943874356011264,0.330304707905173,0.)); #387321=DIRECTION('ref_axis',(-0.330304707905173,0.943874356011264,0.)); #387322=DIRECTION('',(-0.330304707905173,0.943874356011264,0.)); #387323=DIRECTION('',(-0.330304707905173,0.943874356011264,0.)); #387324=DIRECTION('',(0.,0.,1.)); #387325=DIRECTION('center_axis',(0.974927783103231,0.222521499485823,0.)); #387326=DIRECTION('ref_axis',(-0.222521499485823,0.974927783103231,0.)); #387327=DIRECTION('',(-0.222521499485823,0.974927783103231,0.)); #387328=DIRECTION('',(-0.222521499485823,0.974927783103231,0.)); #387329=DIRECTION('',(0.,0.,1.)); #387330=DIRECTION('center_axis',(0.993713488739879,0.111953125442832,0.)); #387331=DIRECTION('ref_axis',(-0.111953125442832,0.993713488739879,0.)); #387332=DIRECTION('',(-0.111953125442832,0.993713488739879,0.)); #387333=DIRECTION('',(-0.111953125442832,0.993713488739879,0.)); #387334=DIRECTION('',(0.,0.,1.)); #387335=DIRECTION('center_axis',(1.,0.,0.)); #387336=DIRECTION('ref_axis',(0.,1.,0.)); #387337=DIRECTION('',(0.,1.,0.)); #387338=DIRECTION('',(0.,1.,0.)); #387339=DIRECTION('',(0.,0.,1.)); #387340=DIRECTION('center_axis',(0.993713488739879,-0.111953125442832,0.)); #387341=DIRECTION('ref_axis',(0.111953125442832,0.993713488739879,0.)); #387342=DIRECTION('',(0.111953125442832,0.993713488739879,0.)); #387343=DIRECTION('',(0.111953125442832,0.993713488739879,0.)); #387344=DIRECTION('',(0.,0.,1.)); #387345=DIRECTION('center_axis',(0.974927783103231,-0.222521499485824,0.)); #387346=DIRECTION('ref_axis',(0.222521499485824,0.974927783103231,0.)); #387347=DIRECTION('',(0.222521499485824,0.974927783103231,0.)); #387348=DIRECTION('',(0.222521499485824,0.974927783103231,0.)); #387349=DIRECTION('',(0.,0.,1.)); #387350=DIRECTION('center_axis',(0.943874356011264,-0.330304707905173,0.)); #387351=DIRECTION('ref_axis',(0.330304707905173,0.943874356011264,0.)); #387352=DIRECTION('',(0.330304707905173,0.943874356011264,0.)); #387353=DIRECTION('',(0.330304707905173,0.943874356011264,0.)); #387354=DIRECTION('',(0.,0.,1.)); #387355=DIRECTION('center_axis',(0.90097617228259,-0.433868571089232,0.)); #387356=DIRECTION('ref_axis',(0.433868571089232,0.90097617228259,0.)); #387357=DIRECTION('',(0.433868571089232,0.90097617228259,0.)); #387358=DIRECTION('',(0.433868571089232,0.90097617228259,0.)); #387359=DIRECTION('',(0.,0.,1.)); #387360=DIRECTION('center_axis',(0.846733144041853,-0.532017840660441,0.)); #387361=DIRECTION('ref_axis',(0.532017840660441,0.846733144041853,0.)); #387362=DIRECTION('',(0.532017840660441,0.846733144041853,0.)); #387363=DIRECTION('',(0.532017840660441,0.846733144041853,0.)); #387364=DIRECTION('',(0.,0.,1.)); #387365=DIRECTION('center_axis',(0.781826848571388,-0.623495612537035,0.)); #387366=DIRECTION('ref_axis',(0.623495612537035,0.781826848571388,0.)); #387367=DIRECTION('',(0.623495612537035,0.781826848571388,0.)); #387368=DIRECTION('',(0.623495612537035,0.781826848571388,0.)); #387369=DIRECTION('',(0.,0.,1.)); #387370=DIRECTION('center_axis',(0.70710920936978,-0.707104352994977,0.)); #387371=DIRECTION('ref_axis',(0.707104352994977,0.70710920936978,0.)); #387372=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #387373=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #387374=DIRECTION('',(0.,0.,1.)); #387375=DIRECTION('center_axis',(0.623490076319921,-0.781831263592457,0.)); #387376=DIRECTION('ref_axis',(0.781831263592457,0.623490076319921,0.)); #387377=DIRECTION('',(0.781831263592457,0.623490076319921,0.)); #387378=DIRECTION('',(0.781831263592457,0.623490076319921,0.)); #387379=DIRECTION('',(0.,0.,1.)); #387380=DIRECTION('center_axis',(0.532028152553376,-0.846726664804316,0.)); #387381=DIRECTION('ref_axis',(0.846726664804316,0.532028152553376,0.)); #387382=DIRECTION('',(0.846726664804316,0.532028152553376,0.)); #387383=DIRECTION('',(0.846726664804316,0.532028152553376,0.)); #387384=DIRECTION('',(0.,0.,1.)); #387385=DIRECTION('center_axis',(0.433866088505888,-0.900977367776018,0.)); #387386=DIRECTION('ref_axis',(0.900977367776017,0.433866088505888,0.)); #387387=DIRECTION('',(0.900977367776017,0.433866088505888,0.)); #387388=DIRECTION('',(0.900977367776017,0.433866088505888,0.)); #387389=DIRECTION('',(0.,0.,1.)); #387390=DIRECTION('center_axis',(0.330292737303213,-0.943878544985927,0.)); #387391=DIRECTION('ref_axis',(0.943878544985927,0.330292737303213,0.)); #387392=DIRECTION('',(0.943878544985927,0.330292737303213,0.)); #387393=DIRECTION('',(0.943878544985927,0.330292737303213,0.)); #387394=DIRECTION('',(0.,0.,1.)); #387395=DIRECTION('center_axis',(0.222535347290587,-0.974924622320238,0.)); #387396=DIRECTION('ref_axis',(0.974924622320238,0.222535347290587,0.)); #387397=DIRECTION('',(0.974924622320238,0.222535347290587,0.)); #387398=DIRECTION('',(0.974924622320238,0.222535347290587,0.)); #387399=DIRECTION('center_axis',(0.,0.,1.)); #387400=DIRECTION('ref_axis',(1.,0.,0.)); #387401=DIRECTION('center_axis',(0.,0.,1.)); #387402=DIRECTION('ref_axis',(1.,0.,0.)); #387403=DIRECTION('center_axis',(0.,1.,0.)); #387404=DIRECTION('ref_axis',(-1.,0.,0.)); #387405=DIRECTION('',(1.,0.,0.)); #387406=DIRECTION('',(0.,0.,1.)); #387407=DIRECTION('',(-1.,0.,0.)); #387408=DIRECTION('',(0.,0.,1.)); #387409=DIRECTION('center_axis',(0.,0.,1.)); #387410=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #387411=DIRECTION('center_axis',(0.,0.,-1.)); #387412=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #387413=DIRECTION('center_axis',(0.,0.,1.)); #387414=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #387415=DIRECTION('',(0.,0.,1.)); #387416=DIRECTION('center_axis',(0.,-1.,0.)); #387417=DIRECTION('ref_axis',(1.,0.,0.)); #387418=DIRECTION('',(-1.,0.,0.)); #387419=DIRECTION('',(1.,0.,0.)); #387420=DIRECTION('',(0.,0.,1.)); #387421=DIRECTION('center_axis',(0.,0.,1.)); #387422=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #387423=DIRECTION('center_axis',(0.,0.,-1.)); #387424=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #387425=DIRECTION('center_axis',(0.,0.,1.)); #387426=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #387427=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #387428=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #387429=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #387430=DIRECTION('',(0.,0.,1.)); #387431=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #387432=DIRECTION('',(0.,0.,1.)); #387433=DIRECTION('center_axis',(0.,-1.,0.)); #387434=DIRECTION('ref_axis',(1.,0.,0.)); #387435=DIRECTION('',(1.,0.,0.)); #387436=DIRECTION('',(1.,0.,0.)); #387437=DIRECTION('',(0.,0.,1.)); #387438=DIRECTION('center_axis',(-0.087162214881199,-0.996194131832247, 0.)); #387439=DIRECTION('ref_axis',(0.996194131832247,-0.087162214881199,0.)); #387440=DIRECTION('',(0.996194131832247,-0.087162214881199,0.)); #387441=DIRECTION('',(0.996194131832247,-0.087162214881199,0.)); #387442=DIRECTION('',(0.,0.,1.)); #387443=DIRECTION('center_axis',(-0.173645964707188,-0.984808143214154, 0.)); #387444=DIRECTION('ref_axis',(0.984808143214154,-0.173645964707188,0.)); #387445=DIRECTION('',(0.984808143214154,-0.173645964707188,0.)); #387446=DIRECTION('',(0.984808143214154,-0.173645964707188,0.)); #387447=DIRECTION('',(0.,0.,1.)); #387448=DIRECTION('center_axis',(-0.258821098381128,-0.965925276112385, 0.)); #387449=DIRECTION('ref_axis',(0.965925276112385,-0.258821098381128,0.)); #387450=DIRECTION('',(0.965925276112385,-0.258821098381128,0.)); #387451=DIRECTION('',(0.965925276112385,-0.258821098381128,0.)); #387452=DIRECTION('',(0.,0.,1.)); #387453=DIRECTION('center_axis',(-0.342006639937231,-0.939697535507487, 0.)); #387454=DIRECTION('ref_axis',(0.939697535507487,-0.342006639937231,0.)); #387455=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #387456=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #387457=DIRECTION('',(0.,0.,1.)); #387458=DIRECTION('center_axis',(-0.422641642689159,-0.906296883954154, 0.)); #387459=DIRECTION('ref_axis',(0.906296883954154,-0.422641642689159,0.)); #387460=DIRECTION('',(0.906296883954154,-0.422641642689159,0.)); #387461=DIRECTION('',(0.906296883954154,-0.422641642689159,0.)); #387462=DIRECTION('',(0.,0.,1.)); #387463=DIRECTION('center_axis',(-0.499984597074501,-0.866034296484989, 0.)); #387464=DIRECTION('ref_axis',(0.866034296484989,-0.499984597074501,0.)); #387465=DIRECTION('',(0.866034296484989,-0.499984597074501,0.)); #387466=DIRECTION('',(0.866034296484989,-0.499984597074501,0.)); #387467=DIRECTION('',(0.,0.,1.)); #387468=DIRECTION('center_axis',(-0.573594705766918,-0.819139251602658, 0.)); #387469=DIRECTION('ref_axis',(0.819139251602658,-0.573594705766918,0.)); #387470=DIRECTION('',(0.819139251602658,-0.573594705766918,0.)); #387471=DIRECTION('',(0.819139251602658,-0.573594705766918,0.)); #387472=DIRECTION('',(0.,0.,1.)); #387473=DIRECTION('center_axis',(-0.642785256870282,-0.766046417360075, 0.)); #387474=DIRECTION('ref_axis',(0.766046417360075,-0.642785256870282,0.)); #387475=DIRECTION('',(0.766046417360075,-0.642785256870282,0.)); #387476=DIRECTION('',(0.766046417360075,-0.642785256870282,0.)); #387477=DIRECTION('',(0.,0.,1.)); #387478=DIRECTION('center_axis',(-0.707106781186519,-0.707106781186576, 0.)); #387479=DIRECTION('ref_axis',(0.707106781186576,-0.707106781186519,0.)); #387480=DIRECTION('',(0.707106781186576,-0.707106781186519,0.)); #387481=DIRECTION('',(0.707106781186576,-0.707106781186519,0.)); #387482=DIRECTION('',(0.,0.,1.)); #387483=DIRECTION('center_axis',(-0.766046417360079,-0.642785256870277, 0.)); #387484=DIRECTION('ref_axis',(0.642785256870277,-0.766046417360079,0.)); #387485=DIRECTION('',(0.642785256870277,-0.766046417360079,0.)); #387486=DIRECTION('',(0.642785256870277,-0.766046417360079,0.)); #387487=DIRECTION('',(0.,0.,1.)); #387488=DIRECTION('center_axis',(-0.819139251602677,-0.573594705766891, 0.)); #387489=DIRECTION('ref_axis',(0.573594705766891,-0.819139251602677,0.)); #387490=DIRECTION('',(0.573594705766891,-0.819139251602677,0.)); #387491=DIRECTION('',(0.573594705766891,-0.819139251602677,0.)); #387492=DIRECTION('',(0.,0.,1.)); #387493=DIRECTION('center_axis',(-0.866034296485013,-0.499984597074458, 0.)); #387494=DIRECTION('ref_axis',(0.499984597074458,-0.866034296485013,0.)); #387495=DIRECTION('',(0.499984597074458,-0.866034296485013,0.)); #387496=DIRECTION('',(0.499984597074458,-0.866034296485013,0.)); #387497=DIRECTION('',(0.,0.,1.)); #387498=DIRECTION('center_axis',(-0.906296883954154,-0.422641642689159, 0.)); #387499=DIRECTION('ref_axis',(0.422641642689159,-0.906296883954154,0.)); #387500=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #387501=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #387502=DIRECTION('',(0.,0.,1.)); #387503=DIRECTION('center_axis',(-0.939697535507451,-0.342006639937331, 0.)); #387504=DIRECTION('ref_axis',(0.342006639937331,-0.939697535507451,0.)); #387505=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #387506=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #387507=DIRECTION('',(0.,0.,1.)); #387508=DIRECTION('center_axis',(-0.965925276112417,-0.258821098381008, 0.)); #387509=DIRECTION('ref_axis',(0.258821098381008,-0.965925276112417,0.)); #387510=DIRECTION('',(0.258821098381008,-0.965925276112417,0.)); #387511=DIRECTION('',(0.258821098381008,-0.965925276112417,0.)); #387512=DIRECTION('',(0.,0.,1.)); #387513=DIRECTION('center_axis',(-0.984808143214143,-0.173645964707252, 0.)); #387514=DIRECTION('ref_axis',(0.173645964707252,-0.984808143214143,0.)); #387515=DIRECTION('',(0.173645964707252,-0.984808143214143,0.)); #387516=DIRECTION('',(0.173645964707252,-0.984808143214143,0.)); #387517=DIRECTION('',(0.,0.,1.)); #387518=DIRECTION('center_axis',(-0.996194131832242,-0.0871622148812551, 0.)); #387519=DIRECTION('ref_axis',(0.0871622148812551,-0.996194131832242,0.)); #387520=DIRECTION('',(0.087162214881255,-0.996194131832242,0.)); #387521=DIRECTION('',(0.087162214881255,-0.996194131832242,0.)); #387522=DIRECTION('',(0.,0.,1.)); #387523=DIRECTION('center_axis',(-1.,0.,0.)); #387524=DIRECTION('ref_axis',(0.,-1.,0.)); #387525=DIRECTION('',(0.,-1.,0.)); #387526=DIRECTION('',(0.,-1.,0.)); #387527=DIRECTION('',(0.,0.,1.)); #387528=DIRECTION('center_axis',(-0.996194131832242,0.0871622148812551, 0.)); #387529=DIRECTION('ref_axis',(-0.0871622148812551,-0.996194131832242,0.)); #387530=DIRECTION('',(-0.087162214881255,-0.996194131832242,0.)); #387531=DIRECTION('',(-0.087162214881255,-0.996194131832242,0.)); #387532=DIRECTION('',(0.,0.,1.)); #387533=DIRECTION('center_axis',(-0.984808143214145,0.173645964707243,0.)); #387534=DIRECTION('ref_axis',(-0.173645964707243,-0.984808143214144,0.)); #387535=DIRECTION('',(-0.173645964707243,-0.984808143214144,0.)); #387536=DIRECTION('',(-0.173645964707243,-0.984808143214144,0.)); #387537=DIRECTION('',(0.,0.,1.)); #387538=DIRECTION('center_axis',(-0.965925276112413,0.258821098381022,0.)); #387539=DIRECTION('ref_axis',(-0.258821098381022,-0.965925276112413,0.)); #387540=DIRECTION('',(-0.258821098381022,-0.965925276112413,0.)); #387541=DIRECTION('',(-0.258821098381022,-0.965925276112413,0.)); #387542=DIRECTION('',(0.,0.,1.)); #387543=DIRECTION('center_axis',(-0.939697535507451,0.342006639937331,0.)); #387544=DIRECTION('ref_axis',(-0.342006639937331,-0.939697535507451,0.)); #387545=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #387546=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #387547=DIRECTION('',(0.,0.,1.)); #387548=DIRECTION('center_axis',(-0.906296883954154,0.422641642689159,0.)); #387549=DIRECTION('ref_axis',(-0.422641642689159,-0.906296883954154,0.)); #387550=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #387551=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #387552=DIRECTION('',(0.,0.,1.)); #387553=DIRECTION('center_axis',(-0.866034296485013,0.499984597074458,0.)); #387554=DIRECTION('ref_axis',(-0.499984597074458,-0.866034296485013,0.)); #387555=DIRECTION('',(-0.499984597074458,-0.866034296485013,0.)); #387556=DIRECTION('',(-0.499984597074458,-0.866034296485013,0.)); #387557=DIRECTION('',(0.,0.,1.)); #387558=DIRECTION('center_axis',(-0.819139251602677,0.573594705766891,0.)); #387559=DIRECTION('ref_axis',(-0.573594705766891,-0.819139251602677,0.)); #387560=DIRECTION('',(-0.573594705766891,-0.819139251602677,0.)); #387561=DIRECTION('',(-0.573594705766891,-0.819139251602677,0.)); #387562=DIRECTION('',(0.,0.,1.)); #387563=DIRECTION('center_axis',(-0.766046417360079,0.642785256870277,0.)); #387564=DIRECTION('ref_axis',(-0.642785256870277,-0.766046417360079,0.)); #387565=DIRECTION('',(-0.642785256870277,-0.766046417360079,0.)); #387566=DIRECTION('',(-0.642785256870277,-0.766046417360079,0.)); #387567=DIRECTION('',(0.,0.,1.)); #387568=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #387569=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #387570=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #387571=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #387572=DIRECTION('',(0.,0.,1.)); #387573=DIRECTION('center_axis',(-0.642785256870249,0.766046417360103,0.)); #387574=DIRECTION('ref_axis',(-0.766046417360103,-0.642785256870249,0.)); #387575=DIRECTION('',(-0.766046417360103,-0.642785256870249,0.)); #387576=DIRECTION('',(-0.766046417360103,-0.642785256870249,0.)); #387577=DIRECTION('',(0.,0.,1.)); #387578=DIRECTION('center_axis',(-0.573594705766918,0.819139251602658,0.)); #387579=DIRECTION('ref_axis',(-0.819139251602658,-0.573594705766918,0.)); #387580=DIRECTION('',(-0.819139251602658,-0.573594705766918,0.)); #387581=DIRECTION('',(-0.819139251602658,-0.573594705766918,0.)); #387582=DIRECTION('',(0.,0.,1.)); #387583=DIRECTION('center_axis',(-0.499984597074501,0.866034296484989,0.)); #387584=DIRECTION('ref_axis',(-0.866034296484989,-0.499984597074501,0.)); #387585=DIRECTION('',(-0.866034296484989,-0.499984597074501,0.)); #387586=DIRECTION('',(-0.866034296484989,-0.499984597074501,0.)); #387587=DIRECTION('',(0.,0.,1.)); #387588=DIRECTION('center_axis',(-0.422641642689159,0.906296883954154,0.)); #387589=DIRECTION('ref_axis',(-0.906296883954154,-0.422641642689159,0.)); #387590=DIRECTION('',(-0.906296883954154,-0.422641642689159,0.)); #387591=DIRECTION('',(-0.906296883954154,-0.422641642689159,0.)); #387592=DIRECTION('',(0.,0.,1.)); #387593=DIRECTION('center_axis',(-0.342017875916574,0.93969344605223,0.)); #387594=DIRECTION('ref_axis',(-0.93969344605223,-0.342017875916574,0.)); #387595=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #387596=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #387597=DIRECTION('',(0.,0.,1.)); #387598=DIRECTION('center_axis',(-0.258809226580732,0.965928457100568,0.)); #387599=DIRECTION('ref_axis',(-0.965928457100568,-0.258809226580732,0.)); #387600=DIRECTION('',(-0.965928457100568,-0.258809226580732,0.)); #387601=DIRECTION('',(-0.965928457100568,-0.258809226580732,0.)); #387602=DIRECTION('',(0.,0.,1.)); #387603=DIRECTION('center_axis',(-0.173645964707188,0.984808143214154,0.)); #387604=DIRECTION('ref_axis',(-0.984808143214154,-0.173645964707188,0.)); #387605=DIRECTION('',(-0.984808143214154,-0.173645964707188,0.)); #387606=DIRECTION('',(-0.984808143214154,-0.173645964707188,0.)); #387607=DIRECTION('',(0.,0.,1.)); #387608=DIRECTION('center_axis',(-0.0871622148812551,0.996194131832242, 0.)); #387609=DIRECTION('ref_axis',(-0.996194131832242,-0.0871622148812551,0.)); #387610=DIRECTION('',(-0.996194131832242,-0.087162214881255,0.)); #387611=DIRECTION('',(-0.996194131832242,-0.087162214881255,0.)); #387612=DIRECTION('',(0.,0.,1.)); #387613=DIRECTION('center_axis',(0.,1.,0.)); #387614=DIRECTION('ref_axis',(-1.,0.,0.)); #387615=DIRECTION('',(-1.,0.,0.)); #387616=DIRECTION('',(-1.,0.,0.)); #387617=DIRECTION('',(0.,0.,1.)); #387618=DIRECTION('center_axis',(0.08716221488126,0.996194131832241,0.)); #387619=DIRECTION('ref_axis',(-0.996194131832241,0.08716221488126,0.)); #387620=DIRECTION('',(-0.996194131832241,0.08716221488126,0.)); #387621=DIRECTION('',(-0.996194131832241,0.08716221488126,0.)); #387622=DIRECTION('',(0.,0.,1.)); #387623=DIRECTION('center_axis',(0.173645964707188,0.984808143214154,0.)); #387624=DIRECTION('ref_axis',(-0.984808143214154,0.173645964707188,0.)); #387625=DIRECTION('',(-0.984808143214154,0.173645964707188,0.)); #387626=DIRECTION('',(-0.984808143214154,0.173645964707188,0.)); #387627=DIRECTION('',(0.,0.,1.)); #387628=DIRECTION('center_axis',(0.258809226580718,0.965928457100572,0.)); #387629=DIRECTION('ref_axis',(-0.965928457100572,0.258809226580718,0.)); #387630=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #387631=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #387632=DIRECTION('',(0.,0.,1.)); #387633=DIRECTION('center_axis',(0.342017875916574,0.93969344605223,0.)); #387634=DIRECTION('ref_axis',(-0.93969344605223,0.342017875916574,0.)); #387635=DIRECTION('',(-0.93969344605223,0.342017875916574,0.)); #387636=DIRECTION('',(-0.93969344605223,0.342017875916574,0.)); #387637=DIRECTION('',(0.,0.,1.)); #387638=DIRECTION('center_axis',(0.422641642689159,0.906296883954154,0.)); #387639=DIRECTION('ref_axis',(-0.906296883954154,0.422641642689159,0.)); #387640=DIRECTION('',(-0.906296883954154,0.422641642689159,0.)); #387641=DIRECTION('',(-0.906296883954154,0.422641642689159,0.)); #387642=DIRECTION('',(0.,0.,1.)); #387643=DIRECTION('center_axis',(0.499984597074525,0.866034296484975,0.)); #387644=DIRECTION('ref_axis',(-0.866034296484975,0.499984597074525,0.)); #387645=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #387646=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #387647=DIRECTION('',(0.,0.,1.)); #387648=DIRECTION('center_axis',(0.573594705766891,0.819139251602677,0.)); #387649=DIRECTION('ref_axis',(-0.819139251602677,0.573594705766891,0.)); #387650=DIRECTION('',(-0.819139251602677,0.573594705766891,0.)); #387651=DIRECTION('',(-0.819139251602677,0.573594705766891,0.)); #387652=DIRECTION('',(0.,0.,1.)); #387653=DIRECTION('center_axis',(0.642785256870277,0.766046417360079,0.)); #387654=DIRECTION('ref_axis',(-0.766046417360079,0.642785256870277,0.)); #387655=DIRECTION('',(-0.766046417360079,0.642785256870277,0.)); #387656=DIRECTION('',(-0.766046417360079,0.642785256870277,0.)); #387657=DIRECTION('',(0.,0.,1.)); #387658=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #387659=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #387660=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #387661=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #387662=DIRECTION('',(0.,0.,1.)); #387663=DIRECTION('center_axis',(0.766046417360079,0.642785256870277,0.)); #387664=DIRECTION('ref_axis',(-0.642785256870277,0.766046417360079,0.)); #387665=DIRECTION('',(-0.642785256870277,0.766046417360079,0.)); #387666=DIRECTION('',(-0.642785256870277,0.766046417360079,0.)); #387667=DIRECTION('',(0.,0.,1.)); #387668=DIRECTION('center_axis',(0.81913925160265,0.573594705766929,0.)); #387669=DIRECTION('ref_axis',(-0.573594705766929,0.81913925160265,0.)); #387670=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #387671=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #387672=DIRECTION('',(0.,0.,1.)); #387673=DIRECTION('center_axis',(0.866034296485038,0.499984597074416,0.)); #387674=DIRECTION('ref_axis',(-0.499984597074416,0.866034296485038,0.)); #387675=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #387676=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #387677=DIRECTION('',(0.,0.,1.)); #387678=DIRECTION('center_axis',(0.906296883954132,0.422641642689205,0.)); #387679=DIRECTION('ref_axis',(-0.422641642689205,0.906296883954132,0.)); #387680=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #387681=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #387682=DIRECTION('',(0.,0.,1.)); #387683=DIRECTION('center_axis',(0.93969344605223,0.342017875916574,0.)); #387684=DIRECTION('ref_axis',(-0.342017875916574,0.93969344605223,0.)); #387685=DIRECTION('',(-0.342017875916574,0.93969344605223,0.)); #387686=DIRECTION('',(-0.342017875916574,0.93969344605223,0.)); #387687=DIRECTION('',(0.,0.,1.)); #387688=DIRECTION('center_axis',(0.965928457100568,0.258809226580732,0.)); #387689=DIRECTION('ref_axis',(-0.258809226580732,0.965928457100568,0.)); #387690=DIRECTION('',(-0.258809226580732,0.965928457100568,0.)); #387691=DIRECTION('',(-0.258809226580732,0.965928457100568,0.)); #387692=DIRECTION('',(0.,0.,1.)); #387693=DIRECTION('center_axis',(0.984808143214145,0.173645964707243,0.)); #387694=DIRECTION('ref_axis',(-0.173645964707243,0.984808143214144,0.)); #387695=DIRECTION('',(-0.173645964707243,0.984808143214144,0.)); #387696=DIRECTION('',(-0.173645964707243,0.984808143214144,0.)); #387697=DIRECTION('',(0.,0.,1.)); #387698=DIRECTION('center_axis',(0.996194131832247,0.087162214881199,0.)); #387699=DIRECTION('ref_axis',(-0.087162214881199,0.996194131832247,0.)); #387700=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #387701=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #387702=DIRECTION('',(0.,0.,1.)); #387703=DIRECTION('center_axis',(1.,0.,0.)); #387704=DIRECTION('ref_axis',(0.,1.,0.)); #387705=DIRECTION('',(0.,1.,0.)); #387706=DIRECTION('',(0.,1.,0.)); #387707=DIRECTION('',(0.,0.,1.)); #387708=DIRECTION('center_axis',(0.996194131832247,-0.087162214881199,0.)); #387709=DIRECTION('ref_axis',(0.087162214881199,0.996194131832247,0.)); #387710=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #387711=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #387712=DIRECTION('',(0.,0.,1.)); #387713=DIRECTION('center_axis',(0.984808143214143,-0.173645964707252,0.)); #387714=DIRECTION('ref_axis',(0.173645964707252,0.984808143214143,0.)); #387715=DIRECTION('',(0.173645964707252,0.984808143214143,0.)); #387716=DIRECTION('',(0.173645964707252,0.984808143214143,0.)); #387717=DIRECTION('',(0.,0.,1.)); #387718=DIRECTION('center_axis',(0.965928457100572,-0.258809226580718,0.)); #387719=DIRECTION('ref_axis',(0.258809226580718,0.965928457100572,0.)); #387720=DIRECTION('',(0.258809226580718,0.965928457100572,0.)); #387721=DIRECTION('',(0.258809226580718,0.965928457100572,0.)); #387722=DIRECTION('',(0.,0.,1.)); #387723=DIRECTION('center_axis',(0.93969344605223,-0.342017875916574,0.)); #387724=DIRECTION('ref_axis',(0.342017875916574,0.93969344605223,0.)); #387725=DIRECTION('',(0.342017875916574,0.93969344605223,0.)); #387726=DIRECTION('',(0.342017875916574,0.93969344605223,0.)); #387727=DIRECTION('',(0.,0.,1.)); #387728=DIRECTION('center_axis',(0.906296883954132,-0.422641642689205,0.)); #387729=DIRECTION('ref_axis',(0.422641642689205,0.906296883954132,0.)); #387730=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #387731=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #387732=DIRECTION('',(0.,0.,1.)); #387733=DIRECTION('center_axis',(0.866034296485038,-0.499984597074416,0.)); #387734=DIRECTION('ref_axis',(0.499984597074416,0.866034296485038,0.)); #387735=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #387736=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #387737=DIRECTION('',(0.,0.,1.)); #387738=DIRECTION('center_axis',(0.81913925160265,-0.573594705766929,0.)); #387739=DIRECTION('ref_axis',(0.573594705766929,0.81913925160265,0.)); #387740=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #387741=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #387742=DIRECTION('',(0.,0.,1.)); #387743=DIRECTION('center_axis',(0.766046417360079,-0.642785256870277,0.)); #387744=DIRECTION('ref_axis',(0.642785256870277,0.766046417360079,0.)); #387745=DIRECTION('',(0.642785256870277,0.766046417360079,0.)); #387746=DIRECTION('',(0.642785256870277,0.766046417360079,0.)); #387747=DIRECTION('',(0.,0.,1.)); #387748=DIRECTION('center_axis',(0.707106781186519,-0.707106781186576,0.)); #387749=DIRECTION('ref_axis',(0.707106781186576,0.707106781186519,0.)); #387750=DIRECTION('',(0.707106781186576,0.707106781186519,0.)); #387751=DIRECTION('',(0.707106781186576,0.707106781186519,0.)); #387752=DIRECTION('',(0.,0.,1.)); #387753=DIRECTION('center_axis',(0.64278525687031,-0.766046417360051,0.)); #387754=DIRECTION('ref_axis',(0.766046417360051,0.64278525687031,0.)); #387755=DIRECTION('',(0.766046417360051,0.64278525687031,0.)); #387756=DIRECTION('',(0.766046417360051,0.64278525687031,0.)); #387757=DIRECTION('',(0.,0.,1.)); #387758=DIRECTION('center_axis',(0.573594705766891,-0.819139251602677,0.)); #387759=DIRECTION('ref_axis',(0.819139251602677,0.573594705766891,0.)); #387760=DIRECTION('',(0.819139251602677,0.573594705766891,0.)); #387761=DIRECTION('',(0.819139251602677,0.573594705766891,0.)); #387762=DIRECTION('',(0.,0.,1.)); #387763=DIRECTION('center_axis',(0.499984597074525,-0.866034296484975,0.)); #387764=DIRECTION('ref_axis',(0.866034296484975,0.499984597074525,0.)); #387765=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #387766=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #387767=DIRECTION('',(0.,0.,1.)); #387768=DIRECTION('center_axis',(0.422641642689159,-0.906296883954154,0.)); #387769=DIRECTION('ref_axis',(0.906296883954154,0.422641642689159,0.)); #387770=DIRECTION('',(0.906296883954154,0.422641642689159,0.)); #387771=DIRECTION('',(0.906296883954154,0.422641642689159,0.)); #387772=DIRECTION('',(0.,0.,1.)); #387773=DIRECTION('center_axis',(0.342006639937231,-0.939697535507487,0.)); #387774=DIRECTION('ref_axis',(0.939697535507487,0.342006639937231,0.)); #387775=DIRECTION('',(0.939697535507487,0.342006639937231,0.)); #387776=DIRECTION('',(0.939697535507487,0.342006639937231,0.)); #387777=DIRECTION('',(0.,0.,1.)); #387778=DIRECTION('center_axis',(0.258821098381114,-0.965925276112388,0.)); #387779=DIRECTION('ref_axis',(0.965925276112388,0.258821098381114,0.)); #387780=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #387781=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #387782=DIRECTION('',(0.,0.,1.)); #387783=DIRECTION('center_axis',(0.173645964707188,-0.984808143214154,0.)); #387784=DIRECTION('ref_axis',(0.984808143214154,0.173645964707188,0.)); #387785=DIRECTION('',(0.984808143214154,0.173645964707188,0.)); #387786=DIRECTION('',(0.984808143214154,0.173645964707188,0.)); #387787=DIRECTION('center_axis',(0.,0.,1.)); #387788=DIRECTION('ref_axis',(1.,0.,0.)); #387789=DIRECTION('center_axis',(0.,0.,1.)); #387790=DIRECTION('ref_axis',(1.,0.,0.)); #387791=DIRECTION('center_axis',(1.,0.,0.)); #387792=DIRECTION('ref_axis',(0.,1.,0.)); #387793=DIRECTION('',(0.,-1.,0.)); #387794=DIRECTION('',(0.,0.,1.)); #387795=DIRECTION('',(0.,1.,0.)); #387796=DIRECTION('',(0.,0.,1.)); #387797=DIRECTION('center_axis',(0.,0.,1.)); #387798=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #387799=DIRECTION('center_axis',(0.,0.,-1.)); #387800=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #387801=DIRECTION('center_axis',(0.,0.,1.)); #387802=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #387803=DIRECTION('',(0.,0.,1.)); #387804=DIRECTION('center_axis',(-1.,0.,0.)); #387805=DIRECTION('ref_axis',(0.,-1.,0.)); #387806=DIRECTION('',(0.,1.,0.)); #387807=DIRECTION('',(0.,-1.,0.)); #387808=DIRECTION('',(0.,0.,1.)); #387809=DIRECTION('center_axis',(0.,0.,1.)); #387810=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #387811=DIRECTION('center_axis',(0.,0.,-1.)); #387812=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #387813=DIRECTION('center_axis',(0.,0.,1.)); #387814=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #387815=DIRECTION('center_axis',(0.111947631953276,-0.993714107628574,0.)); #387816=DIRECTION('ref_axis',(0.993714107628574,0.111947631953276,0.)); #387817=DIRECTION('',(0.993714107628574,0.111947631953276,0.)); #387818=DIRECTION('',(0.,0.,1.)); #387819=DIRECTION('',(0.993714107628574,0.111947631953276,0.)); #387820=DIRECTION('',(0.,0.,1.)); #387821=DIRECTION('center_axis',(0.,-1.,0.)); #387822=DIRECTION('ref_axis',(1.,0.,0.)); #387823=DIRECTION('',(1.,0.,0.)); #387824=DIRECTION('',(1.,0.,0.)); #387825=DIRECTION('',(0.,0.,1.)); #387826=DIRECTION('center_axis',(-0.111945831198928,-0.993714310492297, 0.)); #387827=DIRECTION('ref_axis',(0.993714310492297,-0.111945831198928,0.)); #387828=DIRECTION('',(0.993714310492297,-0.111945831198928,0.)); #387829=DIRECTION('',(0.993714310492297,-0.111945831198928,0.)); #387830=DIRECTION('',(0.,0.,1.)); #387831=DIRECTION('center_axis',(-0.222535347290555,-0.974924622320245, 0.)); #387832=DIRECTION('ref_axis',(0.974924622320245,-0.222535347290555,0.)); #387833=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #387834=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #387835=DIRECTION('',(0.,0.,1.)); #387836=DIRECTION('center_axis',(-0.330292737303265,-0.943878544985909, 0.)); #387837=DIRECTION('ref_axis',(0.943878544985909,-0.330292737303265,0.)); #387838=DIRECTION('',(0.943878544985909,-0.330292737303265,0.)); #387839=DIRECTION('',(0.943878544985909,-0.330292737303265,0.)); #387840=DIRECTION('',(0.,0.,1.)); #387841=DIRECTION('center_axis',(-0.433867402513781,-0.900976735013699, 0.)); #387842=DIRECTION('ref_axis',(0.900976735013699,-0.433867402513781,0.)); #387843=DIRECTION('',(0.900976735013699,-0.433867402513781,0.)); #387844=DIRECTION('',(0.900976735013699,-0.433867402513781,0.)); #387845=DIRECTION('',(0.,0.,1.)); #387846=DIRECTION('center_axis',(-0.532026991963584,-0.846727394042605, 0.)); #387847=DIRECTION('ref_axis',(0.846727394042605,-0.532026991963584,0.)); #387848=DIRECTION('',(0.846727394042605,-0.532026991963583,0.)); #387849=DIRECTION('',(0.846727394042605,-0.532026991963583,0.)); #387850=DIRECTION('',(0.,0.,1.)); #387851=DIRECTION('center_axis',(-0.623490076319886,-0.781831263592485, 0.)); #387852=DIRECTION('ref_axis',(0.781831263592485,-0.623490076319886,0.)); #387853=DIRECTION('',(0.781831263592485,-0.623490076319886,0.)); #387854=DIRECTION('',(0.781831263592485,-0.623490076319886,0.)); #387855=DIRECTION('',(0.,0.,1.)); #387856=DIRECTION('center_axis',(-0.70710920936978,-0.707104352994977,0.)); #387857=DIRECTION('ref_axis',(0.707104352994977,-0.70710920936978,0.)); #387858=DIRECTION('',(0.707104352994977,-0.70710920936978,0.)); #387859=DIRECTION('',(0.707104352994977,-0.70710920936978,0.)); #387860=DIRECTION('',(0.,0.,1.)); #387861=DIRECTION('center_axis',(-0.781826848571419,-0.623495612536995, 0.)); #387862=DIRECTION('ref_axis',(0.623495612536995,-0.781826848571419,0.)); #387863=DIRECTION('',(0.623495612536995,-0.781826848571419,0.)); #387864=DIRECTION('',(0.623495612536995,-0.781826848571419,0.)); #387865=DIRECTION('',(0.,0.,1.)); #387866=DIRECTION('center_axis',(-0.846733144041855,-0.532017840660439, 0.)); #387867=DIRECTION('ref_axis',(0.532017840660439,-0.846733144041855,0.)); #387868=DIRECTION('',(0.532017840660439,-0.846733144041855,0.)); #387869=DIRECTION('',(0.532017840660439,-0.846733144041855,0.)); #387870=DIRECTION('',(0.,0.,1.)); #387871=DIRECTION('center_axis',(-0.900976172282562,-0.433868571089291, 0.)); #387872=DIRECTION('ref_axis',(0.433868571089291,-0.900976172282562,0.)); #387873=DIRECTION('',(0.433868571089291,-0.900976172282562,0.)); #387874=DIRECTION('',(0.433868571089291,-0.900976172282562,0.)); #387875=DIRECTION('',(0.,0.,1.)); #387876=DIRECTION('center_axis',(-0.943879402871104,-0.33029028571196,0.)); #387877=DIRECTION('ref_axis',(0.33029028571196,-0.943879402871104,0.)); #387878=DIRECTION('',(0.33029028571196,-0.943879402871104,0.)); #387879=DIRECTION('',(0.33029028571196,-0.943879402871104,0.)); #387880=DIRECTION('',(0.,0.,1.)); #387881=DIRECTION('center_axis',(-0.974924271111244,-0.222536885927277, 0.)); #387882=DIRECTION('ref_axis',(0.222536885927277,-0.974924271111244,0.)); #387883=DIRECTION('',(0.222536885927277,-0.974924271111244,0.)); #387884=DIRECTION('',(0.222536885927277,-0.974924271111244,0.)); #387885=DIRECTION('',(0.,0.,1.)); #387886=DIRECTION('center_axis',(-0.993713488739879,-0.111953125442832, 0.)); #387887=DIRECTION('ref_axis',(0.111953125442832,-0.993713488739879,0.)); #387888=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #387889=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #387890=DIRECTION('',(0.,0.,1.)); #387891=DIRECTION('center_axis',(-1.,0.,0.)); #387892=DIRECTION('ref_axis',(0.,-1.,0.)); #387893=DIRECTION('',(0.,-1.,0.)); #387894=DIRECTION('',(0.,-1.,0.)); #387895=DIRECTION('',(0.,0.,1.)); #387896=DIRECTION('center_axis',(-0.993713488739879,0.111953125442832,0.)); #387897=DIRECTION('ref_axis',(-0.111953125442832,-0.993713488739879,0.)); #387898=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #387899=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #387900=DIRECTION('',(0.,0.,1.)); #387901=DIRECTION('center_axis',(-0.974924271111245,0.222536885927273,0.)); #387902=DIRECTION('ref_axis',(-0.222536885927273,-0.974924271111245,0.)); #387903=DIRECTION('',(-0.222536885927273,-0.974924271111245,0.)); #387904=DIRECTION('',(-0.222536885927273,-0.974924271111245,0.)); #387905=DIRECTION('',(0.,0.,1.)); #387906=DIRECTION('center_axis',(-0.943879579471783,0.330289781035033,0.)); #387907=DIRECTION('ref_axis',(-0.330289781035033,-0.943879579471783,0.)); #387908=DIRECTION('',(-0.330289781035033,-0.943879579471783,0.)); #387909=DIRECTION('',(-0.330289781035033,-0.943879579471783,0.)); #387910=DIRECTION('',(0.,0.,1.)); #387911=DIRECTION('center_axis',(-0.900975867568767,0.433869203860688,0.)); #387912=DIRECTION('ref_axis',(-0.433869203860688,-0.900975867568767,0.)); #387913=DIRECTION('',(-0.433869203860688,-0.900975867568767,0.)); #387914=DIRECTION('',(-0.433869203860688,-0.900975867568767,0.)); #387915=DIRECTION('',(0.,0.,1.)); #387916=DIRECTION('center_axis',(-0.846733144041855,0.532017840660439,0.)); #387917=DIRECTION('ref_axis',(-0.532017840660439,-0.846733144041855,0.)); #387918=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #387919=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #387920=DIRECTION('',(0.,0.,1.)); #387921=DIRECTION('center_axis',(-0.781827477872105,0.623494823430109,0.)); #387922=DIRECTION('ref_axis',(-0.623494823430109,-0.781827477872105,0.)); #387923=DIRECTION('',(-0.623494823430109,-0.781827477872105,0.)); #387924=DIRECTION('',(-0.623494823430109,-0.781827477872105,0.)); #387925=DIRECTION('',(0.,0.,1.)); #387926=DIRECTION('center_axis',(-0.707108399978151,0.707105162391238,0.)); #387927=DIRECTION('ref_axis',(-0.707105162391238,-0.707108399978151,0.)); #387928=DIRECTION('',(-0.707105162391238,-0.707108399978151,0.)); #387929=DIRECTION('',(-0.707105162391238,-0.707108399978151,0.)); #387930=DIRECTION('',(0.,0.,1.)); #387931=DIRECTION('center_axis',(-0.623490076319886,0.781831263592485,0.)); #387932=DIRECTION('ref_axis',(-0.781831263592485,-0.623490076319886,0.)); #387933=DIRECTION('',(-0.781831263592485,-0.623490076319886,0.)); #387934=DIRECTION('',(-0.781831263592485,-0.623490076319886,0.)); #387935=DIRECTION('',(0.,0.,1.)); #387936=DIRECTION('center_axis',(-0.532028152553409,0.846726664804296,0.)); #387937=DIRECTION('ref_axis',(-0.846726664804296,-0.532028152553409,0.)); #387938=DIRECTION('',(-0.846726664804296,-0.532028152553409,0.)); #387939=DIRECTION('',(-0.846726664804296,-0.532028152553409,0.)); #387940=DIRECTION('',(0.,0.,1.)); #387941=DIRECTION('center_axis',(-0.43386608850586,0.900977367776031,0.)); #387942=DIRECTION('ref_axis',(-0.900977367776031,-0.43386608850586,0.)); #387943=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #387944=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #387945=DIRECTION('',(0.,0.,1.)); #387946=DIRECTION('center_axis',(-0.330292737303249,0.943878544985914,0.)); #387947=DIRECTION('ref_axis',(-0.943878544985914,-0.330292737303249,0.)); #387948=DIRECTION('',(-0.943878544985914,-0.330292737303249,0.)); #387949=DIRECTION('',(-0.943878544985914,-0.330292737303249,0.)); #387950=DIRECTION('',(0.,0.,1.)); #387951=DIRECTION('center_axis',(-0.222535347290555,0.974924622320245,0.)); #387952=DIRECTION('ref_axis',(-0.974924622320245,-0.222535347290555,0.)); #387953=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #387954=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #387955=DIRECTION('',(0.,0.,1.)); #387956=DIRECTION('center_axis',(-0.111945831198946,0.993714310492295,0.)); #387957=DIRECTION('ref_axis',(-0.993714310492295,-0.111945831198946,0.)); #387958=DIRECTION('',(-0.993714310492295,-0.111945831198946,0.)); #387959=DIRECTION('',(-0.993714310492295,-0.111945831198946,0.)); #387960=DIRECTION('',(0.,0.,1.)); #387961=DIRECTION('center_axis',(0.,1.,0.)); #387962=DIRECTION('ref_axis',(-1.,0.,0.)); #387963=DIRECTION('',(-1.,0.,0.)); #387964=DIRECTION('',(-1.,0.,0.)); #387965=DIRECTION('',(0.,0.,1.)); #387966=DIRECTION('center_axis',(0.111947631953293,0.993714107628572,0.)); #387967=DIRECTION('ref_axis',(-0.993714107628572,0.111947631953293,0.)); #387968=DIRECTION('',(-0.993714107628572,0.111947631953293,0.)); #387969=DIRECTION('',(-0.993714107628572,0.111947631953293,0.)); #387970=DIRECTION('',(0.,0.,1.)); #387971=DIRECTION('center_axis',(0.222535347290571,0.974924622320241,0.)); #387972=DIRECTION('ref_axis',(-0.974924622320241,0.222535347290571,0.)); #387973=DIRECTION('',(-0.974924622320241,0.222535347290571,0.)); #387974=DIRECTION('',(-0.974924622320241,0.222535347290571,0.)); #387975=DIRECTION('',(0.,0.,1.)); #387976=DIRECTION('center_axis',(0.330292737303205,0.94387854498593,0.)); #387977=DIRECTION('ref_axis',(-0.94387854498593,0.330292737303205,0.)); #387978=DIRECTION('',(-0.94387854498593,0.330292737303205,0.)); #387979=DIRECTION('',(-0.94387854498593,0.330292737303205,0.)); #387980=DIRECTION('',(0.,0.,1.)); #387981=DIRECTION('center_axis',(0.433866088505888,0.900977367776018,0.)); #387982=DIRECTION('ref_axis',(-0.900977367776017,0.433866088505888,0.)); #387983=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #387984=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #387985=DIRECTION('',(0.,0.,1.)); #387986=DIRECTION('center_axis',(0.532028152553409,0.846726664804296,0.)); #387987=DIRECTION('ref_axis',(-0.846726664804296,0.532028152553409,0.)); #387988=DIRECTION('',(-0.846726664804296,0.532028152553409,0.)); #387989=DIRECTION('',(-0.846726664804296,0.532028152553409,0.)); #387990=DIRECTION('',(0.,0.,1.)); #387991=DIRECTION('center_axis',(0.623490076319886,0.781831263592485,0.)); #387992=DIRECTION('ref_axis',(-0.781831263592485,0.623490076319886,0.)); #387993=DIRECTION('',(-0.781831263592485,0.623490076319886,0.)); #387994=DIRECTION('',(-0.781831263592485,0.623490076319886,0.)); #387995=DIRECTION('',(0.,0.,1.)); #387996=DIRECTION('center_axis',(0.707108399978151,0.707105162391238,0.)); #387997=DIRECTION('ref_axis',(-0.707105162391238,0.707108399978151,0.)); #387998=DIRECTION('',(-0.707105162391238,0.707108399978151,0.)); #387999=DIRECTION('',(-0.707105162391238,0.707108399978151,0.)); #388000=DIRECTION('',(0.,0.,1.)); #388001=DIRECTION('center_axis',(0.781827477872105,0.623494823430109,0.)); #388002=DIRECTION('ref_axis',(-0.623494823430109,0.781827477872105,0.)); #388003=DIRECTION('',(-0.623494823430109,0.781827477872105,0.)); #388004=DIRECTION('',(-0.623494823430109,0.781827477872105,0.)); #388005=DIRECTION('',(0.,0.,1.)); #388006=DIRECTION('center_axis',(0.846733144041887,0.532017840660387,0.)); #388007=DIRECTION('ref_axis',(-0.532017840660387,0.846733144041887,0.)); #388008=DIRECTION('',(-0.532017840660387,0.846733144041887,0.)); #388009=DIRECTION('',(-0.532017840660387,0.846733144041887,0.)); #388010=DIRECTION('',(0.,0.,1.)); #388011=DIRECTION('center_axis',(0.900975867568739,0.433869203860746,0.)); #388012=DIRECTION('ref_axis',(-0.433869203860746,0.900975867568739,0.)); #388013=DIRECTION('',(-0.433869203860746,0.900975867568739,0.)); #388014=DIRECTION('',(-0.433869203860746,0.900975867568739,0.)); #388015=DIRECTION('',(0.,0.,1.)); #388016=DIRECTION('center_axis',(0.943874532626469,0.330304203211472,0.)); #388017=DIRECTION('ref_axis',(-0.330304203211472,0.943874532626469,0.)); #388018=DIRECTION('',(-0.330304203211472,0.943874532626469,0.)); #388019=DIRECTION('',(-0.330304203211472,0.943874532626469,0.)); #388020=DIRECTION('',(0.,0.,1.)); #388021=DIRECTION('center_axis',(0.974927783103216,0.222521499485892,0.)); #388022=DIRECTION('ref_axis',(-0.222521499485892,0.974927783103215,0.)); #388023=DIRECTION('',(-0.222521499485892,0.974927783103215,0.)); #388024=DIRECTION('',(-0.222521499485892,0.974927783103215,0.)); #388025=DIRECTION('',(0.,0.,1.)); #388026=DIRECTION('center_axis',(0.993713488739871,0.111953125442903,0.)); #388027=DIRECTION('ref_axis',(-0.111953125442903,0.993713488739871,0.)); #388028=DIRECTION('',(-0.111953125442903,0.993713488739871,0.)); #388029=DIRECTION('',(-0.111953125442903,0.993713488739871,0.)); #388030=DIRECTION('',(0.,0.,1.)); #388031=DIRECTION('center_axis',(1.,0.,0.)); #388032=DIRECTION('ref_axis',(0.,1.,0.)); #388033=DIRECTION('',(0.,1.,0.)); #388034=DIRECTION('',(0.,1.,0.)); #388035=DIRECTION('',(0.,0.,1.)); #388036=DIRECTION('center_axis',(0.993713488739871,-0.111953125442903,0.)); #388037=DIRECTION('ref_axis',(0.111953125442903,0.993713488739871,0.)); #388038=DIRECTION('',(0.111953125442903,0.993713488739871,0.)); #388039=DIRECTION('',(0.111953125442903,0.993713488739871,0.)); #388040=DIRECTION('',(0.,0.,1.)); #388041=DIRECTION('center_axis',(0.974927783103215,-0.222521499485896,0.)); #388042=DIRECTION('ref_axis',(0.222521499485896,0.974927783103215,0.)); #388043=DIRECTION('',(0.222521499485896,0.974927783103215,0.)); #388044=DIRECTION('',(0.222521499485896,0.974927783103215,0.)); #388045=DIRECTION('',(0.,0.,1.)); #388046=DIRECTION('center_axis',(0.943874356011311,-0.330304707905039,0.)); #388047=DIRECTION('ref_axis',(0.330304707905039,0.943874356011311,0.)); #388048=DIRECTION('',(0.330304707905039,0.943874356011311,0.)); #388049=DIRECTION('',(0.330304707905039,0.943874356011311,0.)); #388050=DIRECTION('',(0.,0.,1.)); #388051=DIRECTION('center_axis',(0.900976172282534,-0.433868571089349,0.)); #388052=DIRECTION('ref_axis',(0.433868571089349,0.900976172282534,0.)); #388053=DIRECTION('',(0.433868571089349,0.900976172282534,0.)); #388054=DIRECTION('',(0.433868571089349,0.900976172282534,0.)); #388055=DIRECTION('',(0.,0.,1.)); #388056=DIRECTION('center_axis',(0.846733144041887,-0.532017840660387,0.)); #388057=DIRECTION('ref_axis',(0.532017840660387,0.846733144041887,0.)); #388058=DIRECTION('',(0.532017840660387,0.846733144041887,0.)); #388059=DIRECTION('',(0.532017840660387,0.846733144041887,0.)); #388060=DIRECTION('',(0.,0.,1.)); #388061=DIRECTION('center_axis',(0.781826848571419,-0.623495612536995,0.)); #388062=DIRECTION('ref_axis',(0.623495612536995,0.781826848571419,0.)); #388063=DIRECTION('',(0.623495612536995,0.781826848571419,0.)); #388064=DIRECTION('',(0.623495612536995,0.781826848571419,0.)); #388065=DIRECTION('',(0.,0.,1.)); #388066=DIRECTION('center_axis',(0.70710920936978,-0.707104352994977,0.)); #388067=DIRECTION('ref_axis',(0.707104352994977,0.70710920936978,0.)); #388068=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #388069=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #388070=DIRECTION('',(0.,0.,1.)); #388071=DIRECTION('center_axis',(0.623490076319886,-0.781831263592485,0.)); #388072=DIRECTION('ref_axis',(0.781831263592485,0.623490076319886,0.)); #388073=DIRECTION('',(0.781831263592485,0.623490076319886,0.)); #388074=DIRECTION('',(0.781831263592485,0.623490076319886,0.)); #388075=DIRECTION('',(0.,0.,1.)); #388076=DIRECTION('center_axis',(0.532026991963584,-0.846727394042605,0.)); #388077=DIRECTION('ref_axis',(0.846727394042605,0.532026991963584,0.)); #388078=DIRECTION('',(0.846727394042605,0.532026991963583,0.)); #388079=DIRECTION('',(0.846727394042605,0.532026991963583,0.)); #388080=DIRECTION('',(0.,0.,1.)); #388081=DIRECTION('center_axis',(0.433867402513809,-0.900976735013685,0.)); #388082=DIRECTION('ref_axis',(0.900976735013685,0.433867402513809,0.)); #388083=DIRECTION('',(0.900976735013685,0.433867402513809,0.)); #388084=DIRECTION('',(0.900976735013685,0.433867402513809,0.)); #388085=DIRECTION('',(0.,0.,1.)); #388086=DIRECTION('center_axis',(0.330292737303221,-0.943878544985924,0.)); #388087=DIRECTION('ref_axis',(0.943878544985924,0.330292737303221,0.)); #388088=DIRECTION('',(0.943878544985924,0.330292737303221,0.)); #388089=DIRECTION('',(0.943878544985924,0.330292737303221,0.)); #388090=DIRECTION('',(0.,0.,1.)); #388091=DIRECTION('center_axis',(0.222535347290571,-0.974924622320241,0.)); #388092=DIRECTION('ref_axis',(0.974924622320241,0.222535347290571,0.)); #388093=DIRECTION('',(0.974924622320241,0.222535347290571,0.)); #388094=DIRECTION('',(0.974924622320241,0.222535347290571,0.)); #388095=DIRECTION('center_axis',(0.,0.,1.)); #388096=DIRECTION('ref_axis',(1.,0.,0.)); #388097=DIRECTION('center_axis',(0.,0.,1.)); #388098=DIRECTION('ref_axis',(1.,0.,0.)); #388099=DIRECTION('center_axis',(0.,0.,1.)); #388100=DIRECTION('ref_axis',(1.,0.,0.)); #388101=DIRECTION('center_axis',(0.,0.,1.)); #388102=DIRECTION('ref_axis',(1.,0.,0.)); #388103=DIRECTION('',(0.,0.,1.)); #388104=DIRECTION('center_axis',(0.,0.,-1.)); #388105=DIRECTION('ref_axis',(1.,0.,0.)); #388106=DIRECTION('center_axis',(1.,0.,0.)); #388107=DIRECTION('ref_axis',(0.,1.,0.)); #388108=DIRECTION('',(0.,1.,0.)); #388109=DIRECTION('',(0.,0.,1.)); #388110=DIRECTION('',(0.,1.,0.)); #388111=DIRECTION('',(0.,0.,1.)); #388112=DIRECTION('center_axis',(0.,-1.,0.)); #388113=DIRECTION('ref_axis',(1.,0.,0.)); #388114=DIRECTION('',(1.,0.,0.)); #388115=DIRECTION('',(1.,0.,0.)); #388116=DIRECTION('',(0.,0.,1.)); #388117=DIRECTION('center_axis',(-1.,0.,0.)); #388118=DIRECTION('ref_axis',(0.,-1.,0.)); #388119=DIRECTION('',(0.,-1.,0.)); #388120=DIRECTION('',(0.,-1.,0.)); #388121=DIRECTION('',(0.,0.,1.)); #388122=DIRECTION('center_axis',(0.,1.,0.)); #388123=DIRECTION('ref_axis',(-1.,0.,0.)); #388124=DIRECTION('',(-1.,0.,0.)); #388125=DIRECTION('',(-1.,0.,0.)); #388126=DIRECTION('center_axis',(0.,0.,1.)); #388127=DIRECTION('ref_axis',(1.,0.,0.)); #388128=DIRECTION('center_axis',(0.,0.,1.)); #388129=DIRECTION('ref_axis',(1.,0.,0.)); #388130=DIRECTION('center_axis',(1.,0.,0.)); #388131=DIRECTION('ref_axis',(0.,1.,0.)); #388132=DIRECTION('',(0.,-1.,0.)); #388133=DIRECTION('',(0.,0.,1.)); #388134=DIRECTION('',(0.,1.,0.)); #388135=DIRECTION('',(0.,0.,1.)); #388136=DIRECTION('center_axis',(0.,0.,1.)); #388137=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #388138=DIRECTION('center_axis',(0.,0.,-1.)); #388139=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #388140=DIRECTION('center_axis',(0.,0.,1.)); #388141=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #388142=DIRECTION('',(0.,0.,1.)); #388143=DIRECTION('center_axis',(-1.,0.,0.)); #388144=DIRECTION('ref_axis',(0.,-1.,0.)); #388145=DIRECTION('',(0.,1.,0.)); #388146=DIRECTION('',(0.,-1.,0.)); #388147=DIRECTION('',(0.,0.,1.)); #388148=DIRECTION('center_axis',(0.,0.,1.)); #388149=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #388150=DIRECTION('center_axis',(0.,0.,-1.)); #388151=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #388152=DIRECTION('center_axis',(0.,0.,1.)); #388153=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #388154=DIRECTION('center_axis',(0.111947631953285,-0.993714107628573,0.)); #388155=DIRECTION('ref_axis',(0.993714107628573,0.111947631953285,0.)); #388156=DIRECTION('',(0.993714107628573,0.111947631953285,0.)); #388157=DIRECTION('',(0.,0.,1.)); #388158=DIRECTION('',(0.993714107628573,0.111947631953285,0.)); #388159=DIRECTION('',(0.,0.,1.)); #388160=DIRECTION('center_axis',(0.,-1.,0.)); #388161=DIRECTION('ref_axis',(1.,0.,0.)); #388162=DIRECTION('',(1.,0.,0.)); #388163=DIRECTION('',(1.,0.,0.)); #388164=DIRECTION('',(0.,0.,1.)); #388165=DIRECTION('center_axis',(-0.111945831198937,-0.993714310492296, 0.)); #388166=DIRECTION('ref_axis',(0.993714310492296,-0.111945831198937,0.)); #388167=DIRECTION('',(0.993714310492296,-0.111945831198937,0.)); #388168=DIRECTION('',(0.993714310492296,-0.111945831198937,0.)); #388169=DIRECTION('',(0.,0.,1.)); #388170=DIRECTION('center_axis',(-0.222535347290555,-0.974924622320245, 0.)); #388171=DIRECTION('ref_axis',(0.974924622320245,-0.222535347290555,0.)); #388172=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #388173=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #388174=DIRECTION('',(0.,0.,1.)); #388175=DIRECTION('center_axis',(-0.330292737303257,-0.943878544985912, 0.)); #388176=DIRECTION('ref_axis',(0.943878544985912,-0.330292737303257,0.)); #388177=DIRECTION('',(0.943878544985912,-0.330292737303257,0.)); #388178=DIRECTION('',(0.943878544985912,-0.330292737303257,0.)); #388179=DIRECTION('',(0.,0.,1.)); #388180=DIRECTION('center_axis',(-0.43386608850586,-0.900977367776031,0.)); #388181=DIRECTION('ref_axis',(0.900977367776031,-0.43386608850586,0.)); #388182=DIRECTION('',(0.900977367776031,-0.43386608850586,0.)); #388183=DIRECTION('',(0.900977367776031,-0.43386608850586,0.)); #388184=DIRECTION('',(0.,0.,1.)); #388185=DIRECTION('center_axis',(-0.532028152553409,-0.846726664804296, 0.)); #388186=DIRECTION('ref_axis',(0.846726664804296,-0.532028152553409,0.)); #388187=DIRECTION('',(0.846726664804296,-0.532028152553409,0.)); #388188=DIRECTION('',(0.846726664804296,-0.532028152553409,0.)); #388189=DIRECTION('',(0.,0.,1.)); #388190=DIRECTION('center_axis',(-0.623490076319886,-0.781831263592485, 0.)); #388191=DIRECTION('ref_axis',(0.781831263592485,-0.623490076319886,0.)); #388192=DIRECTION('',(0.781831263592485,-0.623490076319886,0.)); #388193=DIRECTION('',(0.781831263592485,-0.623490076319886,0.)); #388194=DIRECTION('',(0.,0.,1.)); #388195=DIRECTION('center_axis',(-0.70710920936978,-0.707104352994977,0.)); #388196=DIRECTION('ref_axis',(0.707104352994977,-0.70710920936978,0.)); #388197=DIRECTION('',(0.707104352994977,-0.70710920936978,0.)); #388198=DIRECTION('',(0.707104352994977,-0.70710920936978,0.)); #388199=DIRECTION('',(0.,0.,1.)); #388200=DIRECTION('center_axis',(-0.781826848571423,-0.623495612536991, 0.)); #388201=DIRECTION('ref_axis',(0.623495612536991,-0.781826848571423,0.)); #388202=DIRECTION('',(0.623495612536991,-0.781826848571423,0.)); #388203=DIRECTION('',(0.623495612536991,-0.781826848571423,0.)); #388204=DIRECTION('',(0.,0.,1.)); #388205=DIRECTION('center_axis',(-0.846733144041853,-0.532017840660441, 0.)); #388206=DIRECTION('ref_axis',(0.532017840660441,-0.846733144041853,0.)); #388207=DIRECTION('',(0.532017840660441,-0.846733144041853,0.)); #388208=DIRECTION('',(0.532017840660441,-0.846733144041853,0.)); #388209=DIRECTION('',(0.,0.,1.)); #388210=DIRECTION('center_axis',(-0.900976172282562,-0.433868571089291, 0.)); #388211=DIRECTION('ref_axis',(0.433868571089291,-0.900976172282562,0.)); #388212=DIRECTION('',(0.433868571089291,-0.900976172282562,0.)); #388213=DIRECTION('',(0.433868571089291,-0.900976172282562,0.)); #388214=DIRECTION('',(0.,0.,1.)); #388215=DIRECTION('center_axis',(-0.943879402871102,-0.330290285711966, 0.)); #388216=DIRECTION('ref_axis',(0.330290285711966,-0.943879402871102,0.)); #388217=DIRECTION('',(0.330290285711966,-0.943879402871102,0.)); #388218=DIRECTION('',(0.330290285711966,-0.943879402871102,0.)); #388219=DIRECTION('',(0.,0.,1.)); #388220=DIRECTION('center_axis',(-0.974924271111244,-0.222536885927274, 0.)); #388221=DIRECTION('ref_axis',(0.222536885927274,-0.974924271111244,0.)); #388222=DIRECTION('',(0.222536885927274,-0.974924271111244,0.)); #388223=DIRECTION('',(0.222536885927274,-0.974924271111244,0.)); #388224=DIRECTION('',(0.,0.,1.)); #388225=DIRECTION('center_axis',(-0.993713488739879,-0.111953125442832, 0.)); #388226=DIRECTION('ref_axis',(0.111953125442832,-0.993713488739879,0.)); #388227=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #388228=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #388229=DIRECTION('',(0.,0.,1.)); #388230=DIRECTION('center_axis',(-1.,0.,0.)); #388231=DIRECTION('ref_axis',(0.,-1.,0.)); #388232=DIRECTION('',(0.,-1.,0.)); #388233=DIRECTION('',(0.,-1.,0.)); #388234=DIRECTION('',(0.,0.,1.)); #388235=DIRECTION('center_axis',(-0.993713488739879,0.111953125442832,0.)); #388236=DIRECTION('ref_axis',(-0.111953125442832,-0.993713488739879,0.)); #388237=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #388238=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #388239=DIRECTION('',(0.,0.,1.)); #388240=DIRECTION('center_axis',(-0.974924271111245,0.222536885927273,0.)); #388241=DIRECTION('ref_axis',(-0.222536885927273,-0.974924271111245,0.)); #388242=DIRECTION('',(-0.222536885927273,-0.974924271111245,0.)); #388243=DIRECTION('',(-0.222536885927273,-0.974924271111245,0.)); #388244=DIRECTION('',(0.,0.,1.)); #388245=DIRECTION('center_axis',(-0.943879402871102,0.330290285711966,0.)); #388246=DIRECTION('ref_axis',(-0.330290285711966,-0.943879402871102,0.)); #388247=DIRECTION('',(-0.330290285711966,-0.943879402871102,0.)); #388248=DIRECTION('',(-0.330290285711966,-0.943879402871102,0.)); #388249=DIRECTION('',(0.,0.,1.)); #388250=DIRECTION('center_axis',(-0.900976172282562,0.433868571089291,0.)); #388251=DIRECTION('ref_axis',(-0.433868571089291,-0.900976172282562,0.)); #388252=DIRECTION('',(-0.433868571089291,-0.900976172282562,0.)); #388253=DIRECTION('',(-0.433868571089291,-0.900976172282562,0.)); #388254=DIRECTION('',(0.,0.,1.)); #388255=DIRECTION('center_axis',(-0.846733144041855,0.532017840660439,0.)); #388256=DIRECTION('ref_axis',(-0.532017840660439,-0.846733144041855,0.)); #388257=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #388258=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #388259=DIRECTION('',(0.,0.,1.)); #388260=DIRECTION('center_axis',(-0.781826848571419,0.623495612536995,0.)); #388261=DIRECTION('ref_axis',(-0.623495612536995,-0.781826848571419,0.)); #388262=DIRECTION('',(-0.623495612536995,-0.781826848571419,0.)); #388263=DIRECTION('',(-0.623495612536995,-0.781826848571419,0.)); #388264=DIRECTION('',(0.,0.,1.)); #388265=DIRECTION('center_axis',(-0.70710920936978,0.707104352994977,0.)); #388266=DIRECTION('ref_axis',(-0.707104352994977,-0.70710920936978,0.)); #388267=DIRECTION('',(-0.707104352994977,-0.70710920936978,0.)); #388268=DIRECTION('',(-0.707104352994977,-0.70710920936978,0.)); #388269=DIRECTION('',(0.,0.,1.)); #388270=DIRECTION('center_axis',(-0.623490076319886,0.781831263592485,0.)); #388271=DIRECTION('ref_axis',(-0.781831263592485,-0.623490076319886,0.)); #388272=DIRECTION('',(-0.781831263592485,-0.623490076319886,0.)); #388273=DIRECTION('',(-0.781831263592485,-0.623490076319886,0.)); #388274=DIRECTION('',(0.,0.,1.)); #388275=DIRECTION('center_axis',(-0.532028152553415,0.846726664804292,0.)); #388276=DIRECTION('ref_axis',(-0.846726664804292,-0.532028152553415,0.)); #388277=DIRECTION('',(-0.846726664804292,-0.532028152553415,0.)); #388278=DIRECTION('',(-0.846726664804292,-0.532028152553415,0.)); #388279=DIRECTION('',(0.,0.,1.)); #388280=DIRECTION('center_axis',(-0.43386608850586,0.900977367776031,0.)); #388281=DIRECTION('ref_axis',(-0.900977367776031,-0.43386608850586,0.)); #388282=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #388283=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #388284=DIRECTION('',(0.,0.,1.)); #388285=DIRECTION('center_axis',(-0.330292737303257,0.943878544985912,0.)); #388286=DIRECTION('ref_axis',(-0.943878544985912,-0.330292737303257,0.)); #388287=DIRECTION('',(-0.943878544985912,-0.330292737303257,0.)); #388288=DIRECTION('',(-0.943878544985912,-0.330292737303257,0.)); #388289=DIRECTION('',(0.,0.,1.)); #388290=DIRECTION('center_axis',(-0.222535347290555,0.974924622320245,0.)); #388291=DIRECTION('ref_axis',(-0.974924622320245,-0.222535347290555,0.)); #388292=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #388293=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #388294=DIRECTION('',(0.,0.,1.)); #388295=DIRECTION('center_axis',(-0.111945831198937,0.993714310492296,0.)); #388296=DIRECTION('ref_axis',(-0.993714310492296,-0.111945831198937,0.)); #388297=DIRECTION('',(-0.993714310492296,-0.111945831198937,0.)); #388298=DIRECTION('',(-0.993714310492296,-0.111945831198937,0.)); #388299=DIRECTION('',(0.,0.,1.)); #388300=DIRECTION('center_axis',(0.,1.,0.)); #388301=DIRECTION('ref_axis',(-1.,0.,0.)); #388302=DIRECTION('',(-1.,0.,0.)); #388303=DIRECTION('',(-1.,0.,0.)); #388304=DIRECTION('',(0.,0.,1.)); #388305=DIRECTION('center_axis',(0.111947631953285,0.993714107628573,0.)); #388306=DIRECTION('ref_axis',(-0.993714107628573,0.111947631953285,0.)); #388307=DIRECTION('',(-0.993714107628573,0.111947631953285,0.)); #388308=DIRECTION('',(-0.993714107628573,0.111947631953285,0.)); #388309=DIRECTION('',(0.,0.,1.)); #388310=DIRECTION('center_axis',(0.222535347290571,0.974924622320241,0.)); #388311=DIRECTION('ref_axis',(-0.974924622320241,0.222535347290571,0.)); #388312=DIRECTION('',(-0.974924622320241,0.222535347290571,0.)); #388313=DIRECTION('',(-0.974924622320241,0.222535347290571,0.)); #388314=DIRECTION('',(0.,0.,1.)); #388315=DIRECTION('center_axis',(0.330292737303213,0.943878544985927,0.)); #388316=DIRECTION('ref_axis',(-0.943878544985927,0.330292737303213,0.)); #388317=DIRECTION('',(-0.943878544985927,0.330292737303213,0.)); #388318=DIRECTION('',(-0.943878544985927,0.330292737303213,0.)); #388319=DIRECTION('',(0.,0.,1.)); #388320=DIRECTION('center_axis',(0.433866088505888,0.900977367776018,0.)); #388321=DIRECTION('ref_axis',(-0.900977367776017,0.433866088505888,0.)); #388322=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #388323=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #388324=DIRECTION('',(0.,0.,1.)); #388325=DIRECTION('center_axis',(0.532028152553415,0.846726664804292,0.)); #388326=DIRECTION('ref_axis',(-0.846726664804292,0.532028152553415,0.)); #388327=DIRECTION('',(-0.846726664804292,0.532028152553415,0.)); #388328=DIRECTION('',(-0.846726664804292,0.532028152553415,0.)); #388329=DIRECTION('',(0.,0.,1.)); #388330=DIRECTION('center_axis',(0.623490076319886,0.781831263592485,0.)); #388331=DIRECTION('ref_axis',(-0.781831263592485,0.623490076319886,0.)); #388332=DIRECTION('',(-0.781831263592485,0.623490076319886,0.)); #388333=DIRECTION('',(-0.781831263592485,0.623490076319886,0.)); #388334=DIRECTION('',(0.,0.,1.)); #388335=DIRECTION('center_axis',(0.70710920936978,0.707104352994977,0.)); #388336=DIRECTION('ref_axis',(-0.707104352994977,0.70710920936978,0.)); #388337=DIRECTION('',(-0.707104352994977,0.70710920936978,0.)); #388338=DIRECTION('',(-0.707104352994977,0.70710920936978,0.)); #388339=DIRECTION('',(0.,0.,1.)); #388340=DIRECTION('center_axis',(0.781826848571419,0.623495612536995,0.)); #388341=DIRECTION('ref_axis',(-0.623495612536995,0.781826848571419,0.)); #388342=DIRECTION('',(-0.623495612536995,0.781826848571419,0.)); #388343=DIRECTION('',(-0.623495612536995,0.781826848571419,0.)); #388344=DIRECTION('',(0.,0.,1.)); #388345=DIRECTION('center_axis',(0.846733144041887,0.532017840660387,0.)); #388346=DIRECTION('ref_axis',(-0.532017840660387,0.846733144041887,0.)); #388347=DIRECTION('',(-0.532017840660387,0.846733144041887,0.)); #388348=DIRECTION('',(-0.532017840660387,0.846733144041887,0.)); #388349=DIRECTION('',(0.,0.,1.)); #388350=DIRECTION('center_axis',(0.900976172282534,0.433868571089349,0.)); #388351=DIRECTION('ref_axis',(-0.433868571089349,0.900976172282534,0.)); #388352=DIRECTION('',(-0.433868571089349,0.900976172282534,0.)); #388353=DIRECTION('',(-0.433868571089349,0.900976172282534,0.)); #388354=DIRECTION('',(0.,0.,1.)); #388355=DIRECTION('center_axis',(0.943874356011309,0.330304707905045,0.)); #388356=DIRECTION('ref_axis',(-0.330304707905045,0.943874356011309,0.)); #388357=DIRECTION('',(-0.330304707905045,0.943874356011309,0.)); #388358=DIRECTION('',(-0.330304707905045,0.943874356011309,0.)); #388359=DIRECTION('',(0.,0.,1.)); #388360=DIRECTION('center_axis',(0.974927783103216,0.222521499485892,0.)); #388361=DIRECTION('ref_axis',(-0.222521499485892,0.974927783103215,0.)); #388362=DIRECTION('',(-0.222521499485892,0.974927783103215,0.)); #388363=DIRECTION('',(-0.222521499485892,0.974927783103215,0.)); #388364=DIRECTION('',(0.,0.,1.)); #388365=DIRECTION('center_axis',(0.993713488739871,0.111953125442903,0.)); #388366=DIRECTION('ref_axis',(-0.111953125442903,0.993713488739871,0.)); #388367=DIRECTION('',(-0.111953125442903,0.993713488739871,0.)); #388368=DIRECTION('',(-0.111953125442903,0.993713488739871,0.)); #388369=DIRECTION('',(0.,0.,1.)); #388370=DIRECTION('center_axis',(1.,0.,0.)); #388371=DIRECTION('ref_axis',(0.,1.,0.)); #388372=DIRECTION('',(0.,1.,0.)); #388373=DIRECTION('',(0.,1.,0.)); #388374=DIRECTION('',(0.,0.,1.)); #388375=DIRECTION('center_axis',(0.993713488739871,-0.111953125442903,0.)); #388376=DIRECTION('ref_axis',(0.111953125442903,0.993713488739871,0.)); #388377=DIRECTION('',(0.111953125442903,0.993713488739871,0.)); #388378=DIRECTION('',(0.111953125442903,0.993713488739871,0.)); #388379=DIRECTION('',(0.,0.,1.)); #388380=DIRECTION('center_axis',(0.974927783103215,-0.222521499485893,0.)); #388381=DIRECTION('ref_axis',(0.222521499485893,0.974927783103215,0.)); #388382=DIRECTION('',(0.222521499485893,0.974927783103215,0.)); #388383=DIRECTION('',(0.222521499485893,0.974927783103215,0.)); #388384=DIRECTION('',(0.,0.,1.)); #388385=DIRECTION('center_axis',(0.943874356011309,-0.330304707905045,0.)); #388386=DIRECTION('ref_axis',(0.330304707905045,0.943874356011309,0.)); #388387=DIRECTION('',(0.330304707905045,0.943874356011309,0.)); #388388=DIRECTION('',(0.330304707905045,0.943874356011309,0.)); #388389=DIRECTION('',(0.,0.,1.)); #388390=DIRECTION('center_axis',(0.900976172282534,-0.433868571089349,0.)); #388391=DIRECTION('ref_axis',(0.433868571089349,0.900976172282534,0.)); #388392=DIRECTION('',(0.433868571089349,0.900976172282534,0.)); #388393=DIRECTION('',(0.433868571089349,0.900976172282534,0.)); #388394=DIRECTION('',(0.,0.,1.)); #388395=DIRECTION('center_axis',(0.846733144041886,-0.532017840660389,0.)); #388396=DIRECTION('ref_axis',(0.532017840660389,0.846733144041886,0.)); #388397=DIRECTION('',(0.532017840660389,0.846733144041886,0.)); #388398=DIRECTION('',(0.532017840660389,0.846733144041886,0.)); #388399=DIRECTION('',(0.,0.,1.)); #388400=DIRECTION('center_axis',(0.781826848571423,-0.623495612536991,0.)); #388401=DIRECTION('ref_axis',(0.623495612536991,0.781826848571423,0.)); #388402=DIRECTION('',(0.623495612536991,0.781826848571423,0.)); #388403=DIRECTION('',(0.623495612536991,0.781826848571423,0.)); #388404=DIRECTION('',(0.,0.,1.)); #388405=DIRECTION('center_axis',(0.70710920936978,-0.707104352994977,0.)); #388406=DIRECTION('ref_axis',(0.707104352994977,0.70710920936978,0.)); #388407=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #388408=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #388409=DIRECTION('',(0.,0.,1.)); #388410=DIRECTION('center_axis',(0.623490076319886,-0.781831263592485,0.)); #388411=DIRECTION('ref_axis',(0.781831263592485,0.623490076319886,0.)); #388412=DIRECTION('',(0.781831263592485,0.623490076319886,0.)); #388413=DIRECTION('',(0.781831263592485,0.623490076319886,0.)); #388414=DIRECTION('',(0.,0.,1.)); #388415=DIRECTION('center_axis',(0.532028152553409,-0.846726664804296,0.)); #388416=DIRECTION('ref_axis',(0.846726664804296,0.532028152553409,0.)); #388417=DIRECTION('',(0.846726664804296,0.532028152553409,0.)); #388418=DIRECTION('',(0.846726664804296,0.532028152553409,0.)); #388419=DIRECTION('',(0.,0.,1.)); #388420=DIRECTION('center_axis',(0.433866088505888,-0.900977367776018,0.)); #388421=DIRECTION('ref_axis',(0.900977367776017,0.433866088505888,0.)); #388422=DIRECTION('',(0.900977367776017,0.433866088505888,0.)); #388423=DIRECTION('',(0.900977367776017,0.433866088505888,0.)); #388424=DIRECTION('',(0.,0.,1.)); #388425=DIRECTION('center_axis',(0.330292737303213,-0.943878544985927,0.)); #388426=DIRECTION('ref_axis',(0.943878544985927,0.330292737303213,0.)); #388427=DIRECTION('',(0.943878544985927,0.330292737303213,0.)); #388428=DIRECTION('',(0.943878544985927,0.330292737303213,0.)); #388429=DIRECTION('',(0.,0.,1.)); #388430=DIRECTION('center_axis',(0.222535347290571,-0.974924622320241,0.)); #388431=DIRECTION('ref_axis',(0.974924622320241,0.222535347290571,0.)); #388432=DIRECTION('',(0.974924622320241,0.222535347290571,0.)); #388433=DIRECTION('',(0.974924622320241,0.222535347290571,0.)); #388434=DIRECTION('center_axis',(0.,0.,1.)); #388435=DIRECTION('ref_axis',(1.,0.,0.)); #388436=DIRECTION('center_axis',(0.,0.,1.)); #388437=DIRECTION('ref_axis',(1.,0.,0.)); #388438=DIRECTION('center_axis',(0.,1.,0.)); #388439=DIRECTION('ref_axis',(-1.,0.,0.)); #388440=DIRECTION('',(1.,0.,0.)); #388441=DIRECTION('',(0.,0.,1.)); #388442=DIRECTION('',(-1.,0.,0.)); #388443=DIRECTION('',(0.,0.,1.)); #388444=DIRECTION('center_axis',(0.,0.,1.)); #388445=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #388446=DIRECTION('center_axis',(0.,0.,-1.)); #388447=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #388448=DIRECTION('center_axis',(0.,0.,1.)); #388449=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #388450=DIRECTION('',(0.,0.,1.)); #388451=DIRECTION('center_axis',(0.,-1.,0.)); #388452=DIRECTION('ref_axis',(1.,0.,0.)); #388453=DIRECTION('',(-1.,0.,0.)); #388454=DIRECTION('',(1.,0.,0.)); #388455=DIRECTION('',(0.,0.,1.)); #388456=DIRECTION('center_axis',(0.,0.,1.)); #388457=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #388458=DIRECTION('center_axis',(0.,0.,-1.)); #388459=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #388460=DIRECTION('center_axis',(0.,0.,1.)); #388461=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #388462=DIRECTION('center_axis',(0.0871622148811941,-0.996194131832247, 0.)); #388463=DIRECTION('ref_axis',(0.996194131832247,0.0871622148811941,0.)); #388464=DIRECTION('',(0.996194131832247,0.0871622148811941,0.)); #388465=DIRECTION('',(0.,0.,1.)); #388466=DIRECTION('',(0.996194131832247,0.0871622148811941,0.)); #388467=DIRECTION('',(0.,0.,1.)); #388468=DIRECTION('center_axis',(0.,-1.,0.)); #388469=DIRECTION('ref_axis',(1.,0.,0.)); #388470=DIRECTION('',(1.,0.,0.)); #388471=DIRECTION('',(1.,0.,0.)); #388472=DIRECTION('',(0.,0.,1.)); #388473=DIRECTION('center_axis',(-0.0871622148812088,-0.996194131832246, 0.)); #388474=DIRECTION('ref_axis',(0.996194131832246,-0.0871622148812088,0.)); #388475=DIRECTION('',(0.996194131832246,-0.0871622148812088,0.)); #388476=DIRECTION('',(0.996194131832246,-0.0871622148812088,0.)); #388477=DIRECTION('',(0.,0.,1.)); #388478=DIRECTION('center_axis',(-0.173645964707178,-0.984808143214156, 0.)); #388479=DIRECTION('ref_axis',(0.984808143214156,-0.173645964707178,0.)); #388480=DIRECTION('',(0.984808143214156,-0.173645964707178,0.)); #388481=DIRECTION('',(0.984808143214156,-0.173645964707178,0.)); #388482=DIRECTION('',(0.,0.,1.)); #388483=DIRECTION('center_axis',(-0.258821098381114,-0.965925276112388, 0.)); #388484=DIRECTION('ref_axis',(0.965925276112388,-0.258821098381114,0.)); #388485=DIRECTION('',(0.965925276112388,-0.258821098381114,0.)); #388486=DIRECTION('',(0.965925276112388,-0.258821098381114,0.)); #388487=DIRECTION('',(0.,0.,1.)); #388488=DIRECTION('center_axis',(-0.342006639937231,-0.939697535507487, 0.)); #388489=DIRECTION('ref_axis',(0.939697535507487,-0.342006639937231,0.)); #388490=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #388491=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #388492=DIRECTION('',(0.,0.,1.)); #388493=DIRECTION('center_axis',(-0.422641642689181,-0.906296883954144, 0.)); #388494=DIRECTION('ref_axis',(0.906296883954144,-0.422641642689181,0.)); #388495=DIRECTION('',(0.906296883954144,-0.42264164268918,0.)); #388496=DIRECTION('',(0.906296883954144,-0.42264164268918,0.)); #388497=DIRECTION('',(0.,0.,1.)); #388498=DIRECTION('center_axis',(-0.499984597074525,-0.866034296484975, 0.)); #388499=DIRECTION('ref_axis',(0.866034296484975,-0.499984597074525,0.)); #388500=DIRECTION('',(0.866034296484975,-0.499984597074525,0.)); #388501=DIRECTION('',(0.866034296484975,-0.499984597074525,0.)); #388502=DIRECTION('',(0.,0.,1.)); #388503=DIRECTION('center_axis',(-0.573594705766864,-0.819139251602696, 0.)); #388504=DIRECTION('ref_axis',(0.819139251602696,-0.573594705766864,0.)); #388505=DIRECTION('',(0.819139251602696,-0.573594705766864,0.)); #388506=DIRECTION('',(0.819139251602696,-0.573594705766864,0.)); #388507=DIRECTION('',(0.,0.,1.)); #388508=DIRECTION('center_axis',(-0.642785256870338,-0.766046417360028, 0.)); #388509=DIRECTION('ref_axis',(0.766046417360028,-0.642785256870337,0.)); #388510=DIRECTION('',(0.766046417360028,-0.642785256870337,0.)); #388511=DIRECTION('',(0.766046417360028,-0.642785256870337,0.)); #388512=DIRECTION('',(0.,0.,1.)); #388513=DIRECTION('center_axis',(-0.707106781186491,-0.707106781186604, 0.)); #388514=DIRECTION('ref_axis',(0.707106781186604,-0.707106781186491,0.)); #388515=DIRECTION('',(0.707106781186604,-0.707106781186491,0.)); #388516=DIRECTION('',(0.707106781186604,-0.707106781186491,0.)); #388517=DIRECTION('',(0.,0.,1.)); #388518=DIRECTION('center_axis',(-0.766046417360107,-0.642785256870243, 0.)); #388519=DIRECTION('ref_axis',(0.642785256870243,-0.766046417360107,0.)); #388520=DIRECTION('',(0.642785256870243,-0.766046417360107,0.)); #388521=DIRECTION('',(0.642785256870243,-0.766046417360107,0.)); #388522=DIRECTION('',(0.,0.,1.)); #388523=DIRECTION('center_axis',(-0.819139251602624,-0.573594705766967, 0.)); #388524=DIRECTION('ref_axis',(0.573594705766967,-0.819139251602624,0.)); #388525=DIRECTION('',(0.573594705766967,-0.819139251602624,0.)); #388526=DIRECTION('',(0.573594705766967,-0.819139251602624,0.)); #388527=DIRECTION('',(0.,0.,1.)); #388528=DIRECTION('center_axis',(-0.866034296485038,-0.499984597074416, 0.)); #388529=DIRECTION('ref_axis',(0.499984597074416,-0.866034296485038,0.)); #388530=DIRECTION('',(0.499984597074416,-0.866034296485038,0.)); #388531=DIRECTION('',(0.499984597074416,-0.866034296485038,0.)); #388532=DIRECTION('',(0.,0.,1.)); #388533=DIRECTION('center_axis',(-0.906296883954154,-0.422641642689159, 0.)); #388534=DIRECTION('ref_axis',(0.422641642689159,-0.906296883954154,0.)); #388535=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #388536=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #388537=DIRECTION('',(0.,0.,1.)); #388538=DIRECTION('center_axis',(-0.939697535507451,-0.342006639937331, 0.)); #388539=DIRECTION('ref_axis',(0.342006639937331,-0.939697535507451,0.)); #388540=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #388541=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #388542=DIRECTION('',(0.,0.,1.)); #388543=DIRECTION('center_axis',(-0.965925276112388,-0.258821098381114, 0.)); #388544=DIRECTION('ref_axis',(0.258821098381114,-0.965925276112388,0.)); #388545=DIRECTION('',(0.258821098381114,-0.965925276112388,0.)); #388546=DIRECTION('',(0.258821098381114,-0.965925276112388,0.)); #388547=DIRECTION('',(0.,0.,1.)); #388548=DIRECTION('center_axis',(-0.984808143214153,-0.173645964707197, 0.)); #388549=DIRECTION('ref_axis',(0.173645964707197,-0.984808143214152,0.)); #388550=DIRECTION('',(0.173645964707197,-0.984808143214152,0.)); #388551=DIRECTION('',(0.173645964707197,-0.984808143214152,0.)); #388552=DIRECTION('',(0.,0.,1.)); #388553=DIRECTION('center_axis',(-0.996194131832247,-0.087162214881199, 0.)); #388554=DIRECTION('ref_axis',(0.087162214881199,-0.996194131832247,0.)); #388555=DIRECTION('',(0.087162214881199,-0.996194131832247,0.)); #388556=DIRECTION('',(0.087162214881199,-0.996194131832247,0.)); #388557=DIRECTION('',(0.,0.,1.)); #388558=DIRECTION('center_axis',(-1.,0.,0.)); #388559=DIRECTION('ref_axis',(0.,-1.,0.)); #388560=DIRECTION('',(0.,-1.,0.)); #388561=DIRECTION('',(0.,-1.,0.)); #388562=DIRECTION('',(0.,0.,1.)); #388563=DIRECTION('center_axis',(-0.996194131832247,0.087162214881199,0.)); #388564=DIRECTION('ref_axis',(-0.087162214881199,-0.996194131832247,0.)); #388565=DIRECTION('',(-0.087162214881199,-0.996194131832247,0.)); #388566=DIRECTION('',(-0.087162214881199,-0.996194131832247,0.)); #388567=DIRECTION('',(0.,0.,1.)); #388568=DIRECTION('center_axis',(-0.984808143214154,0.173645964707188,0.)); #388569=DIRECTION('ref_axis',(-0.173645964707188,-0.984808143214154,0.)); #388570=DIRECTION('',(-0.173645964707188,-0.984808143214154,0.)); #388571=DIRECTION('',(-0.173645964707188,-0.984808143214154,0.)); #388572=DIRECTION('',(0.,0.,1.)); #388573=DIRECTION('center_axis',(-0.965925276112385,0.258821098381128,0.)); #388574=DIRECTION('ref_axis',(-0.258821098381128,-0.965925276112385,0.)); #388575=DIRECTION('',(-0.258821098381128,-0.965925276112385,0.)); #388576=DIRECTION('',(-0.258821098381128,-0.965925276112385,0.)); #388577=DIRECTION('',(0.,0.,1.)); #388578=DIRECTION('center_axis',(-0.939697535507451,0.342006639937331,0.)); #388579=DIRECTION('ref_axis',(-0.342006639937331,-0.939697535507451,0.)); #388580=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #388581=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #388582=DIRECTION('',(0.,0.,1.)); #388583=DIRECTION('center_axis',(-0.906296883954154,0.422641642689159,0.)); #388584=DIRECTION('ref_axis',(-0.422641642689159,-0.906296883954154,0.)); #388585=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #388586=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #388587=DIRECTION('',(0.,0.,1.)); #388588=DIRECTION('center_axis',(-0.866034296485038,0.499984597074416,0.)); #388589=DIRECTION('ref_axis',(-0.499984597074416,-0.866034296485038,0.)); #388590=DIRECTION('',(-0.499984597074416,-0.866034296485038,0.)); #388591=DIRECTION('',(-0.499984597074416,-0.866034296485038,0.)); #388592=DIRECTION('',(0.,0.,1.)); #388593=DIRECTION('center_axis',(-0.819139251602624,0.573594705766967,0.)); #388594=DIRECTION('ref_axis',(-0.573594705766967,-0.819139251602624,0.)); #388595=DIRECTION('',(-0.573594705766967,-0.819139251602624,0.)); #388596=DIRECTION('',(-0.573594705766967,-0.819139251602624,0.)); #388597=DIRECTION('',(0.,0.,1.)); #388598=DIRECTION('center_axis',(-0.766046417360107,0.642785256870243,0.)); #388599=DIRECTION('ref_axis',(-0.642785256870243,-0.766046417360107,0.)); #388600=DIRECTION('',(-0.642785256870243,-0.766046417360107,0.)); #388601=DIRECTION('',(-0.642785256870243,-0.766046417360107,0.)); #388602=DIRECTION('',(0.,0.,1.)); #388603=DIRECTION('center_axis',(-0.707106781186519,0.707106781186576,0.)); #388604=DIRECTION('ref_axis',(-0.707106781186576,-0.707106781186519,0.)); #388605=DIRECTION('',(-0.707106781186576,-0.707106781186519,0.)); #388606=DIRECTION('',(-0.707106781186576,-0.707106781186519,0.)); #388607=DIRECTION('',(0.,0.,1.)); #388608=DIRECTION('center_axis',(-0.642785256870304,0.766046417360056,0.)); #388609=DIRECTION('ref_axis',(-0.766046417360056,-0.642785256870304,0.)); #388610=DIRECTION('',(-0.766046417360056,-0.642785256870304,0.)); #388611=DIRECTION('',(-0.766046417360056,-0.642785256870304,0.)); #388612=DIRECTION('',(0.,0.,1.)); #388613=DIRECTION('center_axis',(-0.573594705766864,0.819139251602696,0.)); #388614=DIRECTION('ref_axis',(-0.819139251602696,-0.573594705766864,0.)); #388615=DIRECTION('',(-0.819139251602696,-0.573594705766864,0.)); #388616=DIRECTION('',(-0.819139251602696,-0.573594705766864,0.)); #388617=DIRECTION('',(0.,0.,1.)); #388618=DIRECTION('center_axis',(-0.499984597074525,0.866034296484975,0.)); #388619=DIRECTION('ref_axis',(-0.866034296484975,-0.499984597074525,0.)); #388620=DIRECTION('',(-0.866034296484975,-0.499984597074525,0.)); #388621=DIRECTION('',(-0.866034296484975,-0.499984597074525,0.)); #388622=DIRECTION('',(0.,0.,1.)); #388623=DIRECTION('center_axis',(-0.422641642689181,0.906296883954144,0.)); #388624=DIRECTION('ref_axis',(-0.906296883954144,-0.422641642689181,0.)); #388625=DIRECTION('',(-0.906296883954144,-0.42264164268918,0.)); #388626=DIRECTION('',(-0.906296883954144,-0.42264164268918,0.)); #388627=DIRECTION('',(0.,0.,1.)); #388628=DIRECTION('center_axis',(-0.342017875916574,0.93969344605223,0.)); #388629=DIRECTION('ref_axis',(-0.93969344605223,-0.342017875916574,0.)); #388630=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #388631=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #388632=DIRECTION('',(0.,0.,1.)); #388633=DIRECTION('center_axis',(-0.258809226580718,0.965928457100572,0.)); #388634=DIRECTION('ref_axis',(-0.965928457100572,-0.258809226580718,0.)); #388635=DIRECTION('',(-0.965928457100572,-0.258809226580718,0.)); #388636=DIRECTION('',(-0.965928457100572,-0.258809226580718,0.)); #388637=DIRECTION('',(0.,0.,1.)); #388638=DIRECTION('center_axis',(-0.173645964707178,0.984808143214156,0.)); #388639=DIRECTION('ref_axis',(-0.984808143214156,-0.173645964707178,0.)); #388640=DIRECTION('',(-0.984808143214156,-0.173645964707178,0.)); #388641=DIRECTION('',(-0.984808143214156,-0.173645964707178,0.)); #388642=DIRECTION('',(0.,0.,1.)); #388643=DIRECTION('center_axis',(-0.0871622148812649,0.996194131832241, 0.)); #388644=DIRECTION('ref_axis',(-0.996194131832241,-0.0871622148812649,0.)); #388645=DIRECTION('',(-0.996194131832241,-0.0871622148812649,0.)); #388646=DIRECTION('',(-0.996194131832241,-0.0871622148812649,0.)); #388647=DIRECTION('',(0.,0.,1.)); #388648=DIRECTION('center_axis',(0.,1.,0.)); #388649=DIRECTION('ref_axis',(-1.,0.,0.)); #388650=DIRECTION('',(-1.,0.,0.)); #388651=DIRECTION('',(-1.,0.,0.)); #388652=DIRECTION('',(0.,0.,1.)); #388653=DIRECTION('center_axis',(0.0871622148812502,0.996194131832242,0.)); #388654=DIRECTION('ref_axis',(-0.996194131832242,0.0871622148812502,0.)); #388655=DIRECTION('',(-0.996194131832242,0.0871622148812501,0.)); #388656=DIRECTION('',(-0.996194131832242,0.0871622148812501,0.)); #388657=DIRECTION('',(0.,0.,1.)); #388658=DIRECTION('center_axis',(0.173645964707197,0.984808143214153,0.)); #388659=DIRECTION('ref_axis',(-0.984808143214152,0.173645964707197,0.)); #388660=DIRECTION('',(-0.984808143214152,0.173645964707197,0.)); #388661=DIRECTION('',(-0.984808143214152,0.173645964707197,0.)); #388662=DIRECTION('',(0.,0.,1.)); #388663=DIRECTION('center_axis',(0.258809226580718,0.965928457100572,0.)); #388664=DIRECTION('ref_axis',(-0.965928457100572,0.258809226580718,0.)); #388665=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #388666=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #388667=DIRECTION('',(0.,0.,1.)); #388668=DIRECTION('center_axis',(0.342017875916592,0.939693446052223,0.)); #388669=DIRECTION('ref_axis',(-0.939693446052223,0.342017875916592,0.)); #388670=DIRECTION('',(-0.939693446052223,0.342017875916592,0.)); #388671=DIRECTION('',(-0.939693446052223,0.342017875916592,0.)); #388672=DIRECTION('',(0.,0.,1.)); #388673=DIRECTION('center_axis',(0.422641642689116,0.906296883954174,0.)); #388674=DIRECTION('ref_axis',(-0.906296883954174,0.422641642689116,0.)); #388675=DIRECTION('',(-0.906296883954174,0.422641642689116,0.)); #388676=DIRECTION('',(-0.906296883954174,0.422641642689116,0.)); #388677=DIRECTION('',(0.,0.,1.)); #388678=DIRECTION('center_axis',(0.499984597074525,0.866034296484975,0.)); #388679=DIRECTION('ref_axis',(-0.866034296484975,0.499984597074525,0.)); #388680=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #388681=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #388682=DIRECTION('',(0.,0.,1.)); #388683=DIRECTION('center_axis',(0.573594705766918,0.819139251602658,0.)); #388684=DIRECTION('ref_axis',(-0.819139251602658,0.573594705766918,0.)); #388685=DIRECTION('',(-0.819139251602658,0.573594705766918,0.)); #388686=DIRECTION('',(-0.819139251602658,0.573594705766918,0.)); #388687=DIRECTION('',(0.,0.,1.)); #388688=DIRECTION('center_axis',(0.642785256870249,0.766046417360103,0.)); #388689=DIRECTION('ref_axis',(-0.766046417360103,0.642785256870249,0.)); #388690=DIRECTION('',(-0.766046417360103,0.642785256870249,0.)); #388691=DIRECTION('',(-0.766046417360103,0.642785256870249,0.)); #388692=DIRECTION('',(0.,0.,1.)); #388693=DIRECTION('center_axis',(0.707106781186576,0.707106781186519,0.)); #388694=DIRECTION('ref_axis',(-0.707106781186519,0.707106781186576,0.)); #388695=DIRECTION('',(-0.707106781186519,0.707106781186576,0.)); #388696=DIRECTION('',(-0.707106781186519,0.707106781186576,0.)); #388697=DIRECTION('',(0.,0.,1.)); #388698=DIRECTION('center_axis',(0.766046417360051,0.64278525687031,0.)); #388699=DIRECTION('ref_axis',(-0.64278525687031,0.766046417360051,0.)); #388700=DIRECTION('',(-0.64278525687031,0.766046417360051,0.)); #388701=DIRECTION('',(-0.64278525687031,0.766046417360051,0.)); #388702=DIRECTION('',(0.,0.,1.)); #388703=DIRECTION('center_axis',(0.81913925160265,0.573594705766929,0.)); #388704=DIRECTION('ref_axis',(-0.573594705766929,0.81913925160265,0.)); #388705=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #388706=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #388707=DIRECTION('',(0.,0.,1.)); #388708=DIRECTION('center_axis',(0.866034296485038,0.499984597074416,0.)); #388709=DIRECTION('ref_axis',(-0.499984597074416,0.866034296485038,0.)); #388710=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #388711=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #388712=DIRECTION('',(0.,0.,1.)); #388713=DIRECTION('center_axis',(0.906296883954132,0.422641642689205,0.)); #388714=DIRECTION('ref_axis',(-0.422641642689205,0.906296883954132,0.)); #388715=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #388716=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #388717=DIRECTION('',(0.,0.,1.)); #388718=DIRECTION('center_axis',(0.939693446052248,0.342017875916524,0.)); #388719=DIRECTION('ref_axis',(-0.342017875916524,0.939693446052248,0.)); #388720=DIRECTION('',(-0.342017875916524,0.939693446052248,0.)); #388721=DIRECTION('',(-0.342017875916524,0.939693446052248,0.)); #388722=DIRECTION('',(0.,0.,1.)); #388723=DIRECTION('center_axis',(0.965928457100554,0.258809226580784,0.)); #388724=DIRECTION('ref_axis',(-0.258809226580784,0.965928457100554,0.)); #388725=DIRECTION('',(-0.258809226580784,0.965928457100554,0.)); #388726=DIRECTION('',(-0.258809226580784,0.965928457100554,0.)); #388727=DIRECTION('',(0.,0.,1.)); #388728=DIRECTION('center_axis',(0.984808143214154,0.173645964707188,0.)); #388729=DIRECTION('ref_axis',(-0.173645964707188,0.984808143214154,0.)); #388730=DIRECTION('',(-0.173645964707188,0.984808143214154,0.)); #388731=DIRECTION('',(-0.173645964707188,0.984808143214154,0.)); #388732=DIRECTION('',(0.,0.,1.)); #388733=DIRECTION('center_axis',(0.996194131832247,0.087162214881199,0.)); #388734=DIRECTION('ref_axis',(-0.087162214881199,0.996194131832247,0.)); #388735=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #388736=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #388737=DIRECTION('',(0.,0.,1.)); #388738=DIRECTION('center_axis',(1.,0.,0.)); #388739=DIRECTION('ref_axis',(0.,1.,0.)); #388740=DIRECTION('',(0.,1.,0.)); #388741=DIRECTION('',(0.,1.,0.)); #388742=DIRECTION('',(0.,0.,1.)); #388743=DIRECTION('center_axis',(0.996194131832247,-0.087162214881199,0.)); #388744=DIRECTION('ref_axis',(0.087162214881199,0.996194131832247,0.)); #388745=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #388746=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #388747=DIRECTION('',(0.,0.,1.)); #388748=DIRECTION('center_axis',(0.984808143214153,-0.173645964707197,0.)); #388749=DIRECTION('ref_axis',(0.173645964707197,0.984808143214152,0.)); #388750=DIRECTION('',(0.173645964707197,0.984808143214152,0.)); #388751=DIRECTION('',(0.173645964707197,0.984808143214152,0.)); #388752=DIRECTION('',(0.,0.,1.)); #388753=DIRECTION('center_axis',(0.965928457100558,-0.25880922658077,0.)); #388754=DIRECTION('ref_axis',(0.25880922658077,0.965928457100558,0.)); #388755=DIRECTION('',(0.25880922658077,0.965928457100558,0.)); #388756=DIRECTION('',(0.25880922658077,0.965928457100558,0.)); #388757=DIRECTION('',(0.,0.,1.)); #388758=DIRECTION('center_axis',(0.939693446052248,-0.342017875916524,0.)); #388759=DIRECTION('ref_axis',(0.342017875916524,0.939693446052248,0.)); #388760=DIRECTION('',(0.342017875916524,0.939693446052248,0.)); #388761=DIRECTION('',(0.342017875916524,0.939693446052248,0.)); #388762=DIRECTION('',(0.,0.,1.)); #388763=DIRECTION('center_axis',(0.906296883954132,-0.422641642689205,0.)); #388764=DIRECTION('ref_axis',(0.422641642689205,0.906296883954132,0.)); #388765=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #388766=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #388767=DIRECTION('',(0.,0.,1.)); #388768=DIRECTION('center_axis',(0.866034296485038,-0.499984597074416,0.)); #388769=DIRECTION('ref_axis',(0.499984597074416,0.866034296485038,0.)); #388770=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #388771=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #388772=DIRECTION('',(0.,0.,1.)); #388773=DIRECTION('center_axis',(0.81913925160265,-0.573594705766929,0.)); #388774=DIRECTION('ref_axis',(0.573594705766929,0.81913925160265,0.)); #388775=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #388776=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #388777=DIRECTION('',(0.,0.,1.)); #388778=DIRECTION('center_axis',(0.766046417360051,-0.64278525687031,0.)); #388779=DIRECTION('ref_axis',(0.64278525687031,0.766046417360051,0.)); #388780=DIRECTION('',(0.64278525687031,0.766046417360051,0.)); #388781=DIRECTION('',(0.64278525687031,0.766046417360051,0.)); #388782=DIRECTION('',(0.,0.,1.)); #388783=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #388784=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #388785=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #388786=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #388787=DIRECTION('',(0.,0.,1.)); #388788=DIRECTION('center_axis',(0.642785256870282,-0.766046417360075,0.)); #388789=DIRECTION('ref_axis',(0.766046417360075,0.642785256870282,0.)); #388790=DIRECTION('',(0.766046417360075,0.642785256870282,0.)); #388791=DIRECTION('',(0.766046417360075,0.642785256870282,0.)); #388792=DIRECTION('',(0.,0.,1.)); #388793=DIRECTION('center_axis',(0.573594705766918,-0.819139251602658,0.)); #388794=DIRECTION('ref_axis',(0.819139251602658,0.573594705766918,0.)); #388795=DIRECTION('',(0.819139251602658,0.573594705766918,0.)); #388796=DIRECTION('',(0.819139251602658,0.573594705766918,0.)); #388797=DIRECTION('',(0.,0.,1.)); #388798=DIRECTION('center_axis',(0.499984597074525,-0.866034296484975,0.)); #388799=DIRECTION('ref_axis',(0.866034296484975,0.499984597074525,0.)); #388800=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #388801=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #388802=DIRECTION('',(0.,0.,1.)); #388803=DIRECTION('center_axis',(0.422641642689116,-0.906296883954174,0.)); #388804=DIRECTION('ref_axis',(0.906296883954174,0.422641642689116,0.)); #388805=DIRECTION('',(0.906296883954174,0.422641642689116,0.)); #388806=DIRECTION('',(0.906296883954174,0.422641642689116,0.)); #388807=DIRECTION('',(0.,0.,1.)); #388808=DIRECTION('center_axis',(0.342006639937249,-0.939697535507481,0.)); #388809=DIRECTION('ref_axis',(0.939697535507481,0.342006639937249,0.)); #388810=DIRECTION('',(0.939697535507481,0.342006639937249,0.)); #388811=DIRECTION('',(0.939697535507481,0.342006639937249,0.)); #388812=DIRECTION('',(0.,0.,1.)); #388813=DIRECTION('center_axis',(0.258821098381114,-0.965925276112388,0.)); #388814=DIRECTION('ref_axis',(0.965925276112388,0.258821098381114,0.)); #388815=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #388816=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #388817=DIRECTION('',(0.,0.,1.)); #388818=DIRECTION('center_axis',(0.173645964707197,-0.984808143214153,0.)); #388819=DIRECTION('ref_axis',(0.984808143214152,0.173645964707197,0.)); #388820=DIRECTION('',(0.984808143214152,0.173645964707197,0.)); #388821=DIRECTION('',(0.984808143214152,0.173645964707197,0.)); #388822=DIRECTION('center_axis',(0.,0.,1.)); #388823=DIRECTION('ref_axis',(1.,0.,0.)); #388824=DIRECTION('center_axis',(0.,0.,1.)); #388825=DIRECTION('ref_axis',(1.,0.,0.)); #388826=DIRECTION('center_axis',(0.,0.,1.)); #388827=DIRECTION('ref_axis',(1.,0.,0.)); #388828=DIRECTION('center_axis',(0.,0.,1.)); #388829=DIRECTION('ref_axis',(1.,0.,0.)); #388830=DIRECTION('',(0.,0.,1.)); #388831=DIRECTION('center_axis',(0.,0.,-1.)); #388832=DIRECTION('ref_axis',(1.,0.,0.)); #388833=DIRECTION('center_axis',(0.0980028398661675,-0.995186135041162, 0.)); #388834=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661675,0.)); #388835=DIRECTION('',(0.995186135041162,0.0980028398661675,0.)); #388836=DIRECTION('',(0.,0.,1.)); #388837=DIRECTION('',(0.995186135041162,0.0980028398661675,0.)); #388838=DIRECTION('',(0.,0.,1.)); #388839=DIRECTION('center_axis',(0.,0.,1.)); #388840=DIRECTION('ref_axis',(-0.146731201566057,0.989176402107825,0.)); #388841=DIRECTION('center_axis',(0.,0.,1.)); #388842=DIRECTION('ref_axis',(-0.146731201566057,0.989176402107825,0.)); #388843=DIRECTION('center_axis',(0.,0.,1.)); #388844=DIRECTION('ref_axis',(-0.146731201566057,0.989176402107825,0.)); #388845=DIRECTION('',(0.,0.,1.)); #388846=DIRECTION('center_axis',(0.,0.,1.)); #388847=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #388848=DIRECTION('center_axis',(0.,0.,1.)); #388849=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #388850=DIRECTION('center_axis',(0.,0.,1.)); #388851=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #388852=DIRECTION('center_axis',(0.,0.,1.)); #388853=DIRECTION('ref_axis',(1.,0.,0.)); #388854=DIRECTION('center_axis',(0.,0.,1.)); #388855=DIRECTION('ref_axis',(1.,0.,0.)); #388856=DIRECTION('center_axis',(0.,0.,1.)); #388857=DIRECTION('ref_axis',(1.,0.,0.)); #388858=DIRECTION('center_axis',(0.,0.,1.)); #388859=DIRECTION('ref_axis',(1.,0.,0.)); #388860=DIRECTION('',(0.,0.,1.)); #388861=DIRECTION('center_axis',(0.,0.,-1.)); #388862=DIRECTION('ref_axis',(1.,0.,0.)); #388863=DIRECTION('center_axis',(0.0979988322081603,-0.995186529694729, 0.)); #388864=DIRECTION('ref_axis',(0.995186529694729,0.0979988322081603,0.)); #388865=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #388866=DIRECTION('',(0.,0.,1.)); #388867=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #388868=DIRECTION('',(0.,0.,1.)); #388869=DIRECTION('center_axis',(0.,0.,1.)); #388870=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #388871=DIRECTION('center_axis',(0.,0.,1.)); #388872=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #388873=DIRECTION('center_axis',(0.,0.,1.)); #388874=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #388875=DIRECTION('',(0.,0.,1.)); #388876=DIRECTION('center_axis',(0.,0.,1.)); #388877=DIRECTION('ref_axis',(0.146731885739874,-0.98917630061947,0.)); #388878=DIRECTION('center_axis',(0.,0.,1.)); #388879=DIRECTION('ref_axis',(0.146731885739874,-0.98917630061947,0.)); #388880=DIRECTION('center_axis',(0.,0.,1.)); #388881=DIRECTION('ref_axis',(0.146731885739874,-0.98917630061947,0.)); #388882=DIRECTION('center_axis',(0.,0.,1.)); #388883=DIRECTION('ref_axis',(1.,0.,0.)); #388884=DIRECTION('center_axis',(0.,0.,1.)); #388885=DIRECTION('ref_axis',(1.,0.,0.)); #388886=DIRECTION('center_axis',(1.,0.,0.)); #388887=DIRECTION('ref_axis',(0.,1.,0.)); #388888=DIRECTION('',(0.,-1.,0.)); #388889=DIRECTION('',(0.,0.,1.)); #388890=DIRECTION('',(0.,1.,0.)); #388891=DIRECTION('',(0.,0.,1.)); #388892=DIRECTION('center_axis',(0.,0.,1.)); #388893=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #388894=DIRECTION('center_axis',(0.,0.,-1.)); #388895=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #388896=DIRECTION('center_axis',(0.,0.,1.)); #388897=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #388898=DIRECTION('',(0.,0.,1.)); #388899=DIRECTION('center_axis',(-1.,0.,0.)); #388900=DIRECTION('ref_axis',(0.,-1.,0.)); #388901=DIRECTION('',(0.,1.,0.)); #388902=DIRECTION('',(0.,-1.,0.)); #388903=DIRECTION('',(0.,0.,1.)); #388904=DIRECTION('center_axis',(0.,0.,1.)); #388905=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #388906=DIRECTION('center_axis',(0.,0.,-1.)); #388907=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #388908=DIRECTION('center_axis',(0.,0.,1.)); #388909=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #388910=DIRECTION('center_axis',(0.11194583119892,-0.993714310492298,0.)); #388911=DIRECTION('ref_axis',(0.993714310492298,0.11194583119892,0.)); #388912=DIRECTION('',(0.993714310492298,0.11194583119892,0.)); #388913=DIRECTION('',(0.,0.,1.)); #388914=DIRECTION('',(0.993714310492298,0.11194583119892,0.)); #388915=DIRECTION('',(0.,0.,1.)); #388916=DIRECTION('center_axis',(0.,-1.,0.)); #388917=DIRECTION('ref_axis',(1.,0.,0.)); #388918=DIRECTION('',(1.,0.,0.)); #388919=DIRECTION('',(1.,0.,0.)); #388920=DIRECTION('',(0.,0.,1.)); #388921=DIRECTION('center_axis',(-0.111945831198928,-0.993714310492297, 0.)); #388922=DIRECTION('ref_axis',(0.993714310492297,-0.111945831198928,0.)); #388923=DIRECTION('',(0.993714310492297,-0.111945831198928,0.)); #388924=DIRECTION('',(0.993714310492297,-0.111945831198928,0.)); #388925=DIRECTION('',(0.,0.,1.)); #388926=DIRECTION('center_axis',(-0.222535347290555,-0.974924622320245, 0.)); #388927=DIRECTION('ref_axis',(0.974924622320245,-0.222535347290555,0.)); #388928=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #388929=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #388930=DIRECTION('',(0.,0.,1.)); #388931=DIRECTION('center_axis',(-0.330292737303265,-0.943878544985909, 0.)); #388932=DIRECTION('ref_axis',(0.943878544985909,-0.330292737303265,0.)); #388933=DIRECTION('',(0.943878544985909,-0.330292737303265,0.)); #388934=DIRECTION('',(0.943878544985909,-0.330292737303265,0.)); #388935=DIRECTION('',(0.,0.,1.)); #388936=DIRECTION('center_axis',(-0.433867402513781,-0.900976735013699, 0.)); #388937=DIRECTION('ref_axis',(0.900976735013699,-0.433867402513781,0.)); #388938=DIRECTION('',(0.900976735013699,-0.433867402513781,0.)); #388939=DIRECTION('',(0.900976735013699,-0.433867402513781,0.)); #388940=DIRECTION('',(0.,0.,1.)); #388941=DIRECTION('center_axis',(-0.532026991963584,-0.846727394042605, 0.)); #388942=DIRECTION('ref_axis',(0.846727394042605,-0.532026991963584,0.)); #388943=DIRECTION('',(0.846727394042605,-0.532026991963583,0.)); #388944=DIRECTION('',(0.846727394042605,-0.532026991963583,0.)); #388945=DIRECTION('',(0.,0.,1.)); #388946=DIRECTION('center_axis',(-0.623490076319921,-0.781831263592457, 0.)); #388947=DIRECTION('ref_axis',(0.781831263592457,-0.623490076319921,0.)); #388948=DIRECTION('',(0.781831263592457,-0.623490076319921,0.)); #388949=DIRECTION('',(0.781831263592457,-0.623490076319921,0.)); #388950=DIRECTION('',(0.,0.,1.)); #388951=DIRECTION('center_axis',(-0.707109209369744,-0.707104352995013, 0.)); #388952=DIRECTION('ref_axis',(0.707104352995013,-0.707109209369744,0.)); #388953=DIRECTION('',(0.707104352995013,-0.707109209369744,0.)); #388954=DIRECTION('',(0.707104352995013,-0.707109209369744,0.)); #388955=DIRECTION('',(0.,0.,1.)); #388956=DIRECTION('center_axis',(-0.781826848571419,-0.623495612536995, 0.)); #388957=DIRECTION('ref_axis',(0.623495612536995,-0.781826848571419,0.)); #388958=DIRECTION('',(0.623495612536995,-0.781826848571419,0.)); #388959=DIRECTION('',(0.623495612536995,-0.781826848571419,0.)); #388960=DIRECTION('',(0.,0.,1.)); #388961=DIRECTION('center_axis',(-0.846733144041855,-0.532017840660439, 0.)); #388962=DIRECTION('ref_axis',(0.532017840660439,-0.846733144041855,0.)); #388963=DIRECTION('',(0.532017840660439,-0.846733144041855,0.)); #388964=DIRECTION('',(0.532017840660439,-0.846733144041855,0.)); #388965=DIRECTION('',(0.,0.,1.)); #388966=DIRECTION('center_axis',(-0.900976172282534,-0.433868571089349, 0.)); #388967=DIRECTION('ref_axis',(0.433868571089349,-0.900976172282534,0.)); #388968=DIRECTION('',(0.433868571089349,-0.900976172282534,0.)); #388969=DIRECTION('',(0.433868571089349,-0.900976172282534,0.)); #388970=DIRECTION('',(0.,0.,1.)); #388971=DIRECTION('center_axis',(-0.943879402871126,-0.330290285711896, 0.)); #388972=DIRECTION('ref_axis',(0.330290285711896,-0.943879402871126,0.)); #388973=DIRECTION('',(0.330290285711896,-0.943879402871126,0.)); #388974=DIRECTION('',(0.330290285711896,-0.943879402871126,0.)); #388975=DIRECTION('',(0.,0.,1.)); #388976=DIRECTION('center_axis',(-0.974924271111259,-0.222536885927209, 0.)); #388977=DIRECTION('ref_axis',(0.222536885927209,-0.974924271111259,0.)); #388978=DIRECTION('',(0.222536885927209,-0.974924271111259,0.)); #388979=DIRECTION('',(0.222536885927209,-0.974924271111259,0.)); #388980=DIRECTION('',(0.,0.,1.)); #388981=DIRECTION('center_axis',(-0.993713488739879,-0.111953125442832, 0.)); #388982=DIRECTION('ref_axis',(0.111953125442832,-0.993713488739879,0.)); #388983=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #388984=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #388985=DIRECTION('',(0.,0.,1.)); #388986=DIRECTION('center_axis',(-1.,0.,0.)); #388987=DIRECTION('ref_axis',(0.,-1.,0.)); #388988=DIRECTION('',(0.,-1.,0.)); #388989=DIRECTION('',(0.,-1.,0.)); #388990=DIRECTION('',(0.,0.,1.)); #388991=DIRECTION('center_axis',(-0.993713488739879,0.111953125442832,0.)); #388992=DIRECTION('ref_axis',(-0.111953125442832,-0.993713488739879,0.)); #388993=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #388994=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #388995=DIRECTION('',(0.,0.,1.)); #388996=DIRECTION('center_axis',(-0.97492427111126,0.222536885927205,0.)); #388997=DIRECTION('ref_axis',(-0.222536885927205,-0.97492427111126,0.)); #388998=DIRECTION('',(-0.222536885927205,-0.97492427111126,0.)); #388999=DIRECTION('',(-0.222536885927205,-0.97492427111126,0.)); #389000=DIRECTION('',(0.,0.,1.)); #389001=DIRECTION('center_axis',(-0.943879579471806,0.330289781034968,0.)); #389002=DIRECTION('ref_axis',(-0.330289781034968,-0.943879579471806,0.)); #389003=DIRECTION('',(-0.330289781034968,-0.943879579471806,0.)); #389004=DIRECTION('',(-0.330289781034968,-0.943879579471806,0.)); #389005=DIRECTION('',(0.,0.,1.)); #389006=DIRECTION('center_axis',(-0.900975867568739,0.433869203860746,0.)); #389007=DIRECTION('ref_axis',(-0.433869203860746,-0.900975867568739,0.)); #389008=DIRECTION('',(-0.433869203860746,-0.900975867568739,0.)); #389009=DIRECTION('',(-0.433869203860746,-0.900975867568739,0.)); #389010=DIRECTION('',(0.,0.,1.)); #389011=DIRECTION('center_axis',(-0.846733144041855,0.532017840660439,0.)); #389012=DIRECTION('ref_axis',(-0.532017840660439,-0.846733144041855,0.)); #389013=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #389014=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #389015=DIRECTION('',(0.,0.,1.)); #389016=DIRECTION('center_axis',(-0.781827477872105,0.623494823430109,0.)); #389017=DIRECTION('ref_axis',(-0.623494823430109,-0.781827477872105,0.)); #389018=DIRECTION('',(-0.623494823430109,-0.781827477872105,0.)); #389019=DIRECTION('',(-0.623494823430109,-0.781827477872105,0.)); #389020=DIRECTION('',(0.,0.,1.)); #389021=DIRECTION('center_axis',(-0.707108399978115,0.707105162391274,0.)); #389022=DIRECTION('ref_axis',(-0.707105162391274,-0.707108399978115,0.)); #389023=DIRECTION('',(-0.707105162391274,-0.707108399978115,0.)); #389024=DIRECTION('',(-0.707105162391274,-0.707108399978115,0.)); #389025=DIRECTION('',(0.,0.,1.)); #389026=DIRECTION('center_axis',(-0.623490076319921,0.781831263592457,0.)); #389027=DIRECTION('ref_axis',(-0.781831263592457,-0.623490076319921,0.)); #389028=DIRECTION('',(-0.781831263592457,-0.623490076319921,0.)); #389029=DIRECTION('',(-0.781831263592457,-0.623490076319921,0.)); #389030=DIRECTION('',(0.,0.,1.)); #389031=DIRECTION('center_axis',(-0.532028152553409,0.846726664804296,0.)); #389032=DIRECTION('ref_axis',(-0.846726664804296,-0.532028152553409,0.)); #389033=DIRECTION('',(-0.846726664804296,-0.532028152553409,0.)); #389034=DIRECTION('',(-0.846726664804296,-0.532028152553409,0.)); #389035=DIRECTION('',(0.,0.,1.)); #389036=DIRECTION('center_axis',(-0.43386608850586,0.900977367776031,0.)); #389037=DIRECTION('ref_axis',(-0.900977367776031,-0.43386608850586,0.)); #389038=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #389039=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #389040=DIRECTION('',(0.,0.,1.)); #389041=DIRECTION('center_axis',(-0.330292737303249,0.943878544985914,0.)); #389042=DIRECTION('ref_axis',(-0.943878544985914,-0.330292737303249,0.)); #389043=DIRECTION('',(-0.943878544985914,-0.330292737303249,0.)); #389044=DIRECTION('',(-0.943878544985914,-0.330292737303249,0.)); #389045=DIRECTION('',(0.,0.,1.)); #389046=DIRECTION('center_axis',(-0.222535347290555,0.974924622320245,0.)); #389047=DIRECTION('ref_axis',(-0.974924622320245,-0.222535347290555,0.)); #389048=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #389049=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #389050=DIRECTION('',(0.,0.,1.)); #389051=DIRECTION('center_axis',(-0.111945831198946,0.993714310492295,0.)); #389052=DIRECTION('ref_axis',(-0.993714310492295,-0.111945831198946,0.)); #389053=DIRECTION('',(-0.993714310492295,-0.111945831198946,0.)); #389054=DIRECTION('',(-0.993714310492295,-0.111945831198946,0.)); #389055=DIRECTION('',(0.,0.,1.)); #389056=DIRECTION('center_axis',(0.,1.,0.)); #389057=DIRECTION('ref_axis',(-1.,0.,0.)); #389058=DIRECTION('',(-1.,0.,0.)); #389059=DIRECTION('',(-1.,0.,0.)); #389060=DIRECTION('',(0.,0.,1.)); #389061=DIRECTION('center_axis',(0.111945831198938,0.993714310492296,0.)); #389062=DIRECTION('ref_axis',(-0.993714310492296,0.111945831198938,0.)); #389063=DIRECTION('',(-0.993714310492296,0.111945831198938,0.)); #389064=DIRECTION('',(-0.993714310492296,0.111945831198938,0.)); #389065=DIRECTION('',(0.,0.,1.)); #389066=DIRECTION('center_axis',(0.222535347290587,0.974924622320238,0.)); #389067=DIRECTION('ref_axis',(-0.974924622320238,0.222535347290587,0.)); #389068=DIRECTION('',(-0.974924622320238,0.222535347290587,0.)); #389069=DIRECTION('',(-0.974924622320238,0.222535347290587,0.)); #389070=DIRECTION('',(0.,0.,1.)); #389071=DIRECTION('center_axis',(0.330292737303205,0.94387854498593,0.)); #389072=DIRECTION('ref_axis',(-0.94387854498593,0.330292737303205,0.)); #389073=DIRECTION('',(-0.94387854498593,0.330292737303205,0.)); #389074=DIRECTION('',(-0.94387854498593,0.330292737303205,0.)); #389075=DIRECTION('',(0.,0.,1.)); #389076=DIRECTION('center_axis',(0.433866088505888,0.900977367776018,0.)); #389077=DIRECTION('ref_axis',(-0.900977367776017,0.433866088505888,0.)); #389078=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #389079=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #389080=DIRECTION('',(0.,0.,1.)); #389081=DIRECTION('center_axis',(0.532028152553376,0.846726664804316,0.)); #389082=DIRECTION('ref_axis',(-0.846726664804316,0.532028152553376,0.)); #389083=DIRECTION('',(-0.846726664804316,0.532028152553376,0.)); #389084=DIRECTION('',(-0.846726664804316,0.532028152553376,0.)); #389085=DIRECTION('',(0.,0.,1.)); #389086=DIRECTION('center_axis',(0.623490076319921,0.781831263592457,0.)); #389087=DIRECTION('ref_axis',(-0.781831263592457,0.623490076319921,0.)); #389088=DIRECTION('',(-0.781831263592457,0.623490076319921,0.)); #389089=DIRECTION('',(-0.781831263592457,0.623490076319921,0.)); #389090=DIRECTION('',(0.,0.,1.)); #389091=DIRECTION('center_axis',(0.707108399978151,0.707105162391238,0.)); #389092=DIRECTION('ref_axis',(-0.707105162391238,0.707108399978151,0.)); #389093=DIRECTION('',(-0.707105162391238,0.707108399978151,0.)); #389094=DIRECTION('',(-0.707105162391238,0.707108399978151,0.)); #389095=DIRECTION('',(0.,0.,1.)); #389096=DIRECTION('center_axis',(0.78182747787207,0.623494823430153,0.)); #389097=DIRECTION('ref_axis',(-0.623494823430153,0.78182747787207,0.)); #389098=DIRECTION('',(-0.623494823430153,0.78182747787207,0.)); #389099=DIRECTION('',(-0.623494823430153,0.78182747787207,0.)); #389100=DIRECTION('',(0.,0.,1.)); #389101=DIRECTION('center_axis',(0.846733144041855,0.532017840660439,0.)); #389102=DIRECTION('ref_axis',(-0.532017840660439,0.846733144041855,0.)); #389103=DIRECTION('',(-0.532017840660439,0.846733144041855,0.)); #389104=DIRECTION('',(-0.532017840660439,0.846733144041855,0.)); #389105=DIRECTION('',(0.,0.,1.)); #389106=DIRECTION('center_axis',(0.900975867568796,0.433869203860629,0.)); #389107=DIRECTION('ref_axis',(-0.433869203860629,0.900975867568796,0.)); #389108=DIRECTION('',(-0.433869203860629,0.900975867568796,0.)); #389109=DIRECTION('',(-0.433869203860629,0.900975867568796,0.)); #389110=DIRECTION('',(0.,0.,1.)); #389111=DIRECTION('center_axis',(0.943874532626424,0.3303042032116,0.)); #389112=DIRECTION('ref_axis',(-0.3303042032116,0.943874532626424,0.)); #389113=DIRECTION('',(-0.3303042032116,0.943874532626424,0.)); #389114=DIRECTION('',(-0.3303042032116,0.943874532626424,0.)); #389115=DIRECTION('',(0.,0.,1.)); #389116=DIRECTION('center_axis',(0.974927783103231,0.222521499485823,0.)); #389117=DIRECTION('ref_axis',(-0.222521499485823,0.974927783103231,0.)); #389118=DIRECTION('',(-0.222521499485823,0.974927783103231,0.)); #389119=DIRECTION('',(-0.222521499485823,0.974927783103231,0.)); #389120=DIRECTION('',(0.,0.,1.)); #389121=DIRECTION('center_axis',(0.993713488739879,0.111953125442832,0.)); #389122=DIRECTION('ref_axis',(-0.111953125442832,0.993713488739879,0.)); #389123=DIRECTION('',(-0.111953125442832,0.993713488739879,0.)); #389124=DIRECTION('',(-0.111953125442832,0.993713488739879,0.)); #389125=DIRECTION('',(0.,0.,1.)); #389126=DIRECTION('center_axis',(1.,0.,0.)); #389127=DIRECTION('ref_axis',(0.,1.,0.)); #389128=DIRECTION('',(0.,1.,0.)); #389129=DIRECTION('',(0.,1.,0.)); #389130=DIRECTION('',(0.,0.,1.)); #389131=DIRECTION('center_axis',(0.993713488739879,-0.111953125442832,0.)); #389132=DIRECTION('ref_axis',(0.111953125442832,0.993713488739879,0.)); #389133=DIRECTION('',(0.111953125442832,0.993713488739879,0.)); #389134=DIRECTION('',(0.111953125442832,0.993713488739879,0.)); #389135=DIRECTION('',(0.,0.,1.)); #389136=DIRECTION('center_axis',(0.97492778310323,-0.222521499485827,0.)); #389137=DIRECTION('ref_axis',(0.222521499485827,0.97492778310323,0.)); #389138=DIRECTION('',(0.222521499485827,0.97492778310323,0.)); #389139=DIRECTION('',(0.222521499485827,0.97492778310323,0.)); #389140=DIRECTION('',(0.,0.,1.)); #389141=DIRECTION('center_axis',(0.943874356011266,-0.330304707905167,0.)); #389142=DIRECTION('ref_axis',(0.330304707905167,0.943874356011266,0.)); #389143=DIRECTION('',(0.330304707905167,0.943874356011266,0.)); #389144=DIRECTION('',(0.330304707905167,0.943874356011266,0.)); #389145=DIRECTION('',(0.,0.,1.)); #389146=DIRECTION('center_axis',(0.90097617228259,-0.433868571089232,0.)); #389147=DIRECTION('ref_axis',(0.433868571089232,0.90097617228259,0.)); #389148=DIRECTION('',(0.433868571089232,0.90097617228259,0.)); #389149=DIRECTION('',(0.433868571089232,0.90097617228259,0.)); #389150=DIRECTION('',(0.,0.,1.)); #389151=DIRECTION('center_axis',(0.846733144041855,-0.532017840660439,0.)); #389152=DIRECTION('ref_axis',(0.532017840660439,0.846733144041855,0.)); #389153=DIRECTION('',(0.532017840660439,0.846733144041855,0.)); #389154=DIRECTION('',(0.532017840660439,0.846733144041855,0.)); #389155=DIRECTION('',(0.,0.,1.)); #389156=DIRECTION('center_axis',(0.781826848571384,-0.623495612537039,0.)); #389157=DIRECTION('ref_axis',(0.623495612537039,0.781826848571384,0.)); #389158=DIRECTION('',(0.623495612537039,0.781826848571384,0.)); #389159=DIRECTION('',(0.623495612537039,0.781826848571384,0.)); #389160=DIRECTION('',(0.,0.,1.)); #389161=DIRECTION('center_axis',(0.70710920936978,-0.707104352994977,0.)); #389162=DIRECTION('ref_axis',(0.707104352994977,0.70710920936978,0.)); #389163=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #389164=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #389165=DIRECTION('',(0.,0.,1.)); #389166=DIRECTION('center_axis',(0.623490076319921,-0.781831263592457,0.)); #389167=DIRECTION('ref_axis',(0.781831263592457,0.623490076319921,0.)); #389168=DIRECTION('',(0.781831263592457,0.623490076319921,0.)); #389169=DIRECTION('',(0.781831263592457,0.623490076319921,0.)); #389170=DIRECTION('',(0.,0.,1.)); #389171=DIRECTION('center_axis',(0.532026991963551,-0.846727394042625,0.)); #389172=DIRECTION('ref_axis',(0.846727394042625,0.532026991963551,0.)); #389173=DIRECTION('',(0.846727394042625,0.532026991963551,0.)); #389174=DIRECTION('',(0.846727394042625,0.532026991963551,0.)); #389175=DIRECTION('',(0.,0.,1.)); #389176=DIRECTION('center_axis',(0.433867402513809,-0.900976735013685,0.)); #389177=DIRECTION('ref_axis',(0.900976735013685,0.433867402513809,0.)); #389178=DIRECTION('',(0.900976735013685,0.433867402513809,0.)); #389179=DIRECTION('',(0.900976735013685,0.433867402513809,0.)); #389180=DIRECTION('',(0.,0.,1.)); #389181=DIRECTION('center_axis',(0.330292737303221,-0.943878544985924,0.)); #389182=DIRECTION('ref_axis',(0.943878544985924,0.330292737303221,0.)); #389183=DIRECTION('',(0.943878544985924,0.330292737303221,0.)); #389184=DIRECTION('',(0.943878544985924,0.330292737303221,0.)); #389185=DIRECTION('',(0.,0.,1.)); #389186=DIRECTION('center_axis',(0.222535347290587,-0.974924622320238,0.)); #389187=DIRECTION('ref_axis',(0.974924622320238,0.222535347290587,0.)); #389188=DIRECTION('',(0.974924622320238,0.222535347290587,0.)); #389189=DIRECTION('',(0.974924622320238,0.222535347290587,0.)); #389190=DIRECTION('center_axis',(0.,0.,1.)); #389191=DIRECTION('ref_axis',(1.,0.,0.)); #389192=DIRECTION('center_axis',(0.,0.,1.)); #389193=DIRECTION('ref_axis',(1.,0.,0.)); #389194=DIRECTION('center_axis',(0.,0.,1.)); #389195=DIRECTION('ref_axis',(1.,0.,0.)); #389196=DIRECTION('center_axis',(0.,0.,1.)); #389197=DIRECTION('ref_axis',(1.,0.,0.)); #389198=DIRECTION('',(0.,0.,1.)); #389199=DIRECTION('center_axis',(0.,0.,-1.)); #389200=DIRECTION('ref_axis',(1.,0.,0.)); #389201=DIRECTION('center_axis',(0.0980028398661646,-0.995186135041162, 0.)); #389202=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661646,0.)); #389203=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #389204=DIRECTION('',(0.,0.,1.)); #389205=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #389206=DIRECTION('',(0.,0.,1.)); #389207=DIRECTION('center_axis',(0.,0.,1.)); #389208=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #389209=DIRECTION('center_axis',(0.,0.,1.)); #389210=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #389211=DIRECTION('center_axis',(0.,0.,1.)); #389212=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #389213=DIRECTION('',(0.,0.,1.)); #389214=DIRECTION('center_axis',(0.,0.,1.)); #389215=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #389216=DIRECTION('center_axis',(0.,0.,1.)); #389217=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #389218=DIRECTION('center_axis',(0.,0.,1.)); #389219=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #389220=DIRECTION('center_axis',(0.,0.,1.)); #389221=DIRECTION('ref_axis',(1.,0.,0.)); #389222=DIRECTION('center_axis',(0.,0.,1.)); #389223=DIRECTION('ref_axis',(1.,0.,0.)); #389224=DIRECTION('center_axis',(0.,0.,1.)); #389225=DIRECTION('ref_axis',(1.,0.,0.)); #389226=DIRECTION('center_axis',(0.,0.,1.)); #389227=DIRECTION('ref_axis',(1.,0.,0.)); #389228=DIRECTION('',(0.,0.,1.)); #389229=DIRECTION('center_axis',(0.,0.,-1.)); #389230=DIRECTION('ref_axis',(1.,0.,0.)); #389231=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #389232=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #389233=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #389234=DIRECTION('',(0.,0.,1.)); #389235=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #389236=DIRECTION('',(0.,0.,1.)); #389237=DIRECTION('center_axis',(0.,0.,1.)); #389238=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #389239=DIRECTION('center_axis',(0.,0.,1.)); #389240=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #389241=DIRECTION('center_axis',(0.,0.,1.)); #389242=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #389243=DIRECTION('',(0.,0.,1.)); #389244=DIRECTION('center_axis',(0.,0.,1.)); #389245=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #389246=DIRECTION('center_axis',(0.,0.,1.)); #389247=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #389248=DIRECTION('center_axis',(0.,0.,1.)); #389249=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #389250=DIRECTION('center_axis',(0.,0.,1.)); #389251=DIRECTION('ref_axis',(1.,0.,0.)); #389252=DIRECTION('center_axis',(0.,0.,1.)); #389253=DIRECTION('ref_axis',(1.,0.,0.)); #389254=DIRECTION('center_axis',(0.,0.,1.)); #389255=DIRECTION('ref_axis',(1.,0.,0.)); #389256=DIRECTION('center_axis',(0.,0.,1.)); #389257=DIRECTION('ref_axis',(1.,0.,0.)); #389258=DIRECTION('',(0.,0.,1.)); #389259=DIRECTION('center_axis',(0.,0.,-1.)); #389260=DIRECTION('ref_axis',(1.,0.,0.)); #389261=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #389262=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #389263=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #389264=DIRECTION('',(0.,0.,1.)); #389265=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #389266=DIRECTION('',(0.,0.,1.)); #389267=DIRECTION('center_axis',(0.,0.,1.)); #389268=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #389269=DIRECTION('center_axis',(0.,0.,1.)); #389270=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #389271=DIRECTION('center_axis',(0.,0.,1.)); #389272=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #389273=DIRECTION('',(0.,0.,1.)); #389274=DIRECTION('center_axis',(0.,0.,1.)); #389275=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #389276=DIRECTION('center_axis',(0.,0.,1.)); #389277=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #389278=DIRECTION('center_axis',(0.,0.,1.)); #389279=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #389280=DIRECTION('center_axis',(0.,0.,1.)); #389281=DIRECTION('ref_axis',(1.,0.,0.)); #389282=DIRECTION('center_axis',(0.,0.,1.)); #389283=DIRECTION('ref_axis',(1.,0.,0.)); #389284=DIRECTION('center_axis',(0.,0.,1.)); #389285=DIRECTION('ref_axis',(1.,0.,0.)); #389286=DIRECTION('center_axis',(0.,0.,1.)); #389287=DIRECTION('ref_axis',(1.,0.,0.)); #389288=DIRECTION('',(0.,0.,1.)); #389289=DIRECTION('center_axis',(0.,0.,-1.)); #389290=DIRECTION('ref_axis',(1.,0.,0.)); #389291=DIRECTION('center_axis',(1.,0.,0.)); #389292=DIRECTION('ref_axis',(0.,1.,0.)); #389293=DIRECTION('',(0.,1.,0.)); #389294=DIRECTION('',(0.,0.,1.)); #389295=DIRECTION('',(0.,1.,0.)); #389296=DIRECTION('',(0.,0.,1.)); #389297=DIRECTION('center_axis',(0.,-1.,0.)); #389298=DIRECTION('ref_axis',(1.,0.,0.)); #389299=DIRECTION('',(1.,0.,0.)); #389300=DIRECTION('',(1.,0.,0.)); #389301=DIRECTION('',(0.,0.,1.)); #389302=DIRECTION('center_axis',(-1.,0.,0.)); #389303=DIRECTION('ref_axis',(0.,-1.,0.)); #389304=DIRECTION('',(0.,-1.,0.)); #389305=DIRECTION('',(0.,-1.,0.)); #389306=DIRECTION('',(0.,0.,1.)); #389307=DIRECTION('center_axis',(0.,1.,0.)); #389308=DIRECTION('ref_axis',(-1.,0.,0.)); #389309=DIRECTION('',(-1.,0.,0.)); #389310=DIRECTION('',(-1.,0.,0.)); #389311=DIRECTION('center_axis',(0.,0.,1.)); #389312=DIRECTION('ref_axis',(1.,0.,0.)); #389313=DIRECTION('center_axis',(0.,0.,1.)); #389314=DIRECTION('ref_axis',(1.,0.,0.)); #389315=DIRECTION('center_axis',(0.,0.,1.)); #389316=DIRECTION('ref_axis',(1.,0.,0.)); #389317=DIRECTION('center_axis',(0.,0.,1.)); #389318=DIRECTION('ref_axis',(1.,0.,0.)); #389319=DIRECTION('',(0.,0.,1.)); #389320=DIRECTION('center_axis',(0.,0.,-1.)); #389321=DIRECTION('ref_axis',(1.,0.,0.)); #389322=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #389323=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #389324=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #389325=DIRECTION('',(0.,0.,1.)); #389326=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #389327=DIRECTION('',(0.,0.,1.)); #389328=DIRECTION('center_axis',(0.,0.,1.)); #389329=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #389330=DIRECTION('center_axis',(0.,0.,1.)); #389331=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #389332=DIRECTION('center_axis',(0.,0.,1.)); #389333=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #389334=DIRECTION('',(0.,0.,1.)); #389335=DIRECTION('center_axis',(0.,0.,1.)); #389336=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #389337=DIRECTION('center_axis',(0.,0.,1.)); #389338=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #389339=DIRECTION('center_axis',(0.,0.,1.)); #389340=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #389341=DIRECTION('center_axis',(0.,0.,1.)); #389342=DIRECTION('ref_axis',(1.,0.,0.)); #389343=DIRECTION('center_axis',(0.,0.,1.)); #389344=DIRECTION('ref_axis',(1.,0.,0.)); #389345=DIRECTION('center_axis',(1.,0.,0.)); #389346=DIRECTION('ref_axis',(0.,1.,0.)); #389347=DIRECTION('',(0.,1.,0.)); #389348=DIRECTION('',(0.,0.,1.)); #389349=DIRECTION('',(0.,1.,0.)); #389350=DIRECTION('',(0.,0.,1.)); #389351=DIRECTION('center_axis',(0.,-1.,0.)); #389352=DIRECTION('ref_axis',(1.,0.,0.)); #389353=DIRECTION('',(1.,0.,0.)); #389354=DIRECTION('',(1.,0.,0.)); #389355=DIRECTION('',(0.,0.,1.)); #389356=DIRECTION('center_axis',(-1.,0.,0.)); #389357=DIRECTION('ref_axis',(0.,-1.,0.)); #389358=DIRECTION('',(0.,-1.,0.)); #389359=DIRECTION('',(0.,-1.,0.)); #389360=DIRECTION('',(0.,0.,1.)); #389361=DIRECTION('center_axis',(0.,1.,0.)); #389362=DIRECTION('ref_axis',(-1.,0.,0.)); #389363=DIRECTION('',(-1.,0.,0.)); #389364=DIRECTION('',(-1.,0.,0.)); #389365=DIRECTION('center_axis',(0.,0.,1.)); #389366=DIRECTION('ref_axis',(1.,0.,0.)); #389367=DIRECTION('center_axis',(0.,0.,1.)); #389368=DIRECTION('ref_axis',(1.,0.,0.)); #389369=DIRECTION('center_axis',(1.,0.,0.)); #389370=DIRECTION('ref_axis',(0.,1.,0.)); #389371=DIRECTION('',(0.,1.,0.)); #389372=DIRECTION('',(0.,0.,1.)); #389373=DIRECTION('',(0.,1.,0.)); #389374=DIRECTION('',(0.,0.,1.)); #389375=DIRECTION('center_axis',(0.,-1.,0.)); #389376=DIRECTION('ref_axis',(1.,0.,0.)); #389377=DIRECTION('',(1.,0.,0.)); #389378=DIRECTION('',(1.,0.,0.)); #389379=DIRECTION('',(0.,0.,1.)); #389380=DIRECTION('center_axis',(-1.,0.,0.)); #389381=DIRECTION('ref_axis',(0.,-1.,0.)); #389382=DIRECTION('',(0.,-1.,0.)); #389383=DIRECTION('',(0.,-1.,0.)); #389384=DIRECTION('',(0.,0.,1.)); #389385=DIRECTION('center_axis',(0.,1.,0.)); #389386=DIRECTION('ref_axis',(-1.,0.,0.)); #389387=DIRECTION('',(-1.,0.,0.)); #389388=DIRECTION('',(-1.,0.,0.)); #389389=DIRECTION('center_axis',(0.,0.,1.)); #389390=DIRECTION('ref_axis',(1.,0.,0.)); #389391=DIRECTION('center_axis',(0.,0.,1.)); #389392=DIRECTION('ref_axis',(1.,0.,0.)); #389393=DIRECTION('center_axis',(1.,0.,0.)); #389394=DIRECTION('ref_axis',(0.,1.,0.)); #389395=DIRECTION('',(0.,1.,0.)); #389396=DIRECTION('',(0.,0.,1.)); #389397=DIRECTION('',(0.,1.,0.)); #389398=DIRECTION('',(0.,0.,1.)); #389399=DIRECTION('center_axis',(0.,-1.,0.)); #389400=DIRECTION('ref_axis',(1.,0.,0.)); #389401=DIRECTION('',(1.,0.,0.)); #389402=DIRECTION('',(1.,0.,0.)); #389403=DIRECTION('',(0.,0.,1.)); #389404=DIRECTION('center_axis',(-1.,0.,0.)); #389405=DIRECTION('ref_axis',(0.,-1.,0.)); #389406=DIRECTION('',(0.,-1.,0.)); #389407=DIRECTION('',(0.,-1.,0.)); #389408=DIRECTION('',(0.,0.,1.)); #389409=DIRECTION('center_axis',(0.,1.,0.)); #389410=DIRECTION('ref_axis',(-1.,0.,0.)); #389411=DIRECTION('',(-1.,0.,0.)); #389412=DIRECTION('',(-1.,0.,0.)); #389413=DIRECTION('center_axis',(0.,0.,1.)); #389414=DIRECTION('ref_axis',(1.,0.,0.)); #389415=DIRECTION('center_axis',(0.,0.,1.)); #389416=DIRECTION('ref_axis',(1.,0.,0.)); #389417=DIRECTION('center_axis',(1.,0.,0.)); #389418=DIRECTION('ref_axis',(0.,1.,0.)); #389419=DIRECTION('',(0.,1.,0.)); #389420=DIRECTION('',(0.,0.,1.)); #389421=DIRECTION('',(0.,1.,0.)); #389422=DIRECTION('',(0.,0.,1.)); #389423=DIRECTION('center_axis',(0.,-1.,0.)); #389424=DIRECTION('ref_axis',(1.,0.,0.)); #389425=DIRECTION('',(1.,0.,0.)); #389426=DIRECTION('',(1.,0.,0.)); #389427=DIRECTION('',(0.,0.,1.)); #389428=DIRECTION('center_axis',(-1.,0.,0.)); #389429=DIRECTION('ref_axis',(0.,-1.,0.)); #389430=DIRECTION('',(0.,-1.,0.)); #389431=DIRECTION('',(0.,-1.,0.)); #389432=DIRECTION('',(0.,0.,1.)); #389433=DIRECTION('center_axis',(0.,1.,0.)); #389434=DIRECTION('ref_axis',(-1.,0.,0.)); #389435=DIRECTION('',(-1.,0.,0.)); #389436=DIRECTION('',(-1.,0.,0.)); #389437=DIRECTION('center_axis',(0.,0.,1.)); #389438=DIRECTION('ref_axis',(1.,0.,0.)); #389439=DIRECTION('center_axis',(0.,0.,1.)); #389440=DIRECTION('ref_axis',(1.,0.,0.)); #389441=DIRECTION('center_axis',(1.,0.,0.)); #389442=DIRECTION('ref_axis',(0.,1.,0.)); #389443=DIRECTION('',(0.,1.,0.)); #389444=DIRECTION('',(0.,0.,1.)); #389445=DIRECTION('',(0.,1.,0.)); #389446=DIRECTION('',(0.,0.,1.)); #389447=DIRECTION('center_axis',(0.,-1.,0.)); #389448=DIRECTION('ref_axis',(1.,0.,0.)); #389449=DIRECTION('',(1.,0.,0.)); #389450=DIRECTION('',(1.,0.,0.)); #389451=DIRECTION('',(0.,0.,1.)); #389452=DIRECTION('center_axis',(-1.,0.,0.)); #389453=DIRECTION('ref_axis',(0.,-1.,0.)); #389454=DIRECTION('',(0.,-1.,0.)); #389455=DIRECTION('',(0.,-1.,0.)); #389456=DIRECTION('',(0.,0.,1.)); #389457=DIRECTION('center_axis',(0.,1.,0.)); #389458=DIRECTION('ref_axis',(-1.,0.,0.)); #389459=DIRECTION('',(-1.,0.,0.)); #389460=DIRECTION('',(-1.,0.,0.)); #389461=DIRECTION('center_axis',(0.,0.,1.)); #389462=DIRECTION('ref_axis',(1.,0.,0.)); #389463=DIRECTION('center_axis',(0.,0.,1.)); #389464=DIRECTION('ref_axis',(1.,0.,0.)); #389465=DIRECTION('center_axis',(1.,0.,0.)); #389466=DIRECTION('ref_axis',(0.,1.,0.)); #389467=DIRECTION('',(0.,1.,0.)); #389468=DIRECTION('',(0.,0.,1.)); #389469=DIRECTION('',(0.,1.,0.)); #389470=DIRECTION('',(0.,0.,1.)); #389471=DIRECTION('center_axis',(0.,-1.,0.)); #389472=DIRECTION('ref_axis',(1.,0.,0.)); #389473=DIRECTION('',(1.,0.,0.)); #389474=DIRECTION('',(1.,0.,0.)); #389475=DIRECTION('',(0.,0.,1.)); #389476=DIRECTION('center_axis',(-1.,0.,0.)); #389477=DIRECTION('ref_axis',(0.,-1.,0.)); #389478=DIRECTION('',(0.,-1.,0.)); #389479=DIRECTION('',(0.,-1.,0.)); #389480=DIRECTION('',(0.,0.,1.)); #389481=DIRECTION('center_axis',(0.,1.,0.)); #389482=DIRECTION('ref_axis',(-1.,0.,0.)); #389483=DIRECTION('',(-1.,0.,0.)); #389484=DIRECTION('',(-1.,0.,0.)); #389485=DIRECTION('center_axis',(0.,0.,1.)); #389486=DIRECTION('ref_axis',(1.,0.,0.)); #389487=DIRECTION('center_axis',(0.,0.,1.)); #389488=DIRECTION('ref_axis',(1.,0.,0.)); #389489=DIRECTION('center_axis',(1.,0.,0.)); #389490=DIRECTION('ref_axis',(0.,1.,0.)); #389491=DIRECTION('',(0.,1.,0.)); #389492=DIRECTION('',(0.,0.,1.)); #389493=DIRECTION('',(0.,1.,0.)); #389494=DIRECTION('',(0.,0.,1.)); #389495=DIRECTION('center_axis',(0.,-1.,0.)); #389496=DIRECTION('ref_axis',(1.,0.,0.)); #389497=DIRECTION('',(1.,0.,0.)); #389498=DIRECTION('',(1.,0.,0.)); #389499=DIRECTION('',(0.,0.,1.)); #389500=DIRECTION('center_axis',(-1.,0.,0.)); #389501=DIRECTION('ref_axis',(0.,-1.,0.)); #389502=DIRECTION('',(0.,-1.,0.)); #389503=DIRECTION('',(0.,-1.,0.)); #389504=DIRECTION('',(0.,0.,1.)); #389505=DIRECTION('center_axis',(0.,1.,0.)); #389506=DIRECTION('ref_axis',(-1.,0.,0.)); #389507=DIRECTION('',(-1.,0.,0.)); #389508=DIRECTION('',(-1.,0.,0.)); #389509=DIRECTION('center_axis',(0.,0.,1.)); #389510=DIRECTION('ref_axis',(1.,0.,0.)); #389511=DIRECTION('center_axis',(0.,0.,1.)); #389512=DIRECTION('ref_axis',(1.,0.,0.)); #389513=DIRECTION('center_axis',(1.,0.,0.)); #389514=DIRECTION('ref_axis',(0.,1.,0.)); #389515=DIRECTION('',(0.,1.,0.)); #389516=DIRECTION('',(0.,0.,1.)); #389517=DIRECTION('',(0.,1.,0.)); #389518=DIRECTION('',(0.,0.,1.)); #389519=DIRECTION('center_axis',(0.,-1.,0.)); #389520=DIRECTION('ref_axis',(1.,0.,0.)); #389521=DIRECTION('',(1.,0.,0.)); #389522=DIRECTION('',(1.,0.,0.)); #389523=DIRECTION('',(0.,0.,1.)); #389524=DIRECTION('center_axis',(-1.,0.,0.)); #389525=DIRECTION('ref_axis',(0.,-1.,0.)); #389526=DIRECTION('',(0.,-1.,0.)); #389527=DIRECTION('',(0.,-1.,0.)); #389528=DIRECTION('',(0.,0.,1.)); #389529=DIRECTION('center_axis',(0.,1.,0.)); #389530=DIRECTION('ref_axis',(-1.,0.,0.)); #389531=DIRECTION('',(-1.,0.,0.)); #389532=DIRECTION('',(-1.,0.,0.)); #389533=DIRECTION('center_axis',(0.,0.,1.)); #389534=DIRECTION('ref_axis',(1.,0.,0.)); #389535=DIRECTION('center_axis',(0.,0.,1.)); #389536=DIRECTION('ref_axis',(1.,0.,0.)); #389537=DIRECTION('center_axis',(1.,0.,0.)); #389538=DIRECTION('ref_axis',(0.,1.,0.)); #389539=DIRECTION('',(0.,1.,0.)); #389540=DIRECTION('',(0.,0.,1.)); #389541=DIRECTION('',(0.,1.,0.)); #389542=DIRECTION('',(0.,0.,1.)); #389543=DIRECTION('center_axis',(0.,-1.,0.)); #389544=DIRECTION('ref_axis',(1.,0.,0.)); #389545=DIRECTION('',(1.,0.,0.)); #389546=DIRECTION('',(1.,0.,0.)); #389547=DIRECTION('',(0.,0.,1.)); #389548=DIRECTION('center_axis',(-1.,0.,0.)); #389549=DIRECTION('ref_axis',(0.,-1.,0.)); #389550=DIRECTION('',(0.,-1.,0.)); #389551=DIRECTION('',(0.,-1.,0.)); #389552=DIRECTION('',(0.,0.,1.)); #389553=DIRECTION('center_axis',(0.,1.,0.)); #389554=DIRECTION('ref_axis',(-1.,0.,0.)); #389555=DIRECTION('',(-1.,0.,0.)); #389556=DIRECTION('',(-1.,0.,0.)); #389557=DIRECTION('center_axis',(0.,0.,1.)); #389558=DIRECTION('ref_axis',(1.,0.,0.)); #389559=DIRECTION('center_axis',(0.,0.,1.)); #389560=DIRECTION('ref_axis',(1.,0.,0.)); #389561=DIRECTION('center_axis',(1.,0.,0.)); #389562=DIRECTION('ref_axis',(0.,1.,0.)); #389563=DIRECTION('',(0.,1.,0.)); #389564=DIRECTION('',(0.,0.,1.)); #389565=DIRECTION('',(0.,1.,0.)); #389566=DIRECTION('',(0.,0.,1.)); #389567=DIRECTION('center_axis',(0.,-1.,0.)); #389568=DIRECTION('ref_axis',(1.,0.,0.)); #389569=DIRECTION('',(1.,0.,0.)); #389570=DIRECTION('',(1.,0.,0.)); #389571=DIRECTION('',(0.,0.,1.)); #389572=DIRECTION('center_axis',(-1.,0.,0.)); #389573=DIRECTION('ref_axis',(0.,-1.,0.)); #389574=DIRECTION('',(0.,-1.,0.)); #389575=DIRECTION('',(0.,-1.,0.)); #389576=DIRECTION('',(0.,0.,1.)); #389577=DIRECTION('center_axis',(0.,1.,0.)); #389578=DIRECTION('ref_axis',(-1.,0.,0.)); #389579=DIRECTION('',(-1.,0.,0.)); #389580=DIRECTION('',(-1.,0.,0.)); #389581=DIRECTION('center_axis',(0.,0.,1.)); #389582=DIRECTION('ref_axis',(1.,0.,0.)); #389583=DIRECTION('center_axis',(0.,0.,1.)); #389584=DIRECTION('ref_axis',(1.,0.,0.)); #389585=DIRECTION('center_axis',(1.,0.,0.)); #389586=DIRECTION('ref_axis',(0.,1.,0.)); #389587=DIRECTION('',(0.,1.,0.)); #389588=DIRECTION('',(0.,0.,1.)); #389589=DIRECTION('',(0.,1.,0.)); #389590=DIRECTION('',(0.,0.,1.)); #389591=DIRECTION('center_axis',(0.,-1.,0.)); #389592=DIRECTION('ref_axis',(1.,0.,0.)); #389593=DIRECTION('',(1.,0.,0.)); #389594=DIRECTION('',(1.,0.,0.)); #389595=DIRECTION('',(0.,0.,1.)); #389596=DIRECTION('center_axis',(-1.,0.,0.)); #389597=DIRECTION('ref_axis',(0.,-1.,0.)); #389598=DIRECTION('',(0.,-1.,0.)); #389599=DIRECTION('',(0.,-1.,0.)); #389600=DIRECTION('',(0.,0.,1.)); #389601=DIRECTION('center_axis',(0.,1.,0.)); #389602=DIRECTION('ref_axis',(-1.,0.,0.)); #389603=DIRECTION('',(-1.,0.,0.)); #389604=DIRECTION('',(-1.,0.,0.)); #389605=DIRECTION('center_axis',(0.,0.,1.)); #389606=DIRECTION('ref_axis',(1.,0.,0.)); #389607=DIRECTION('center_axis',(0.,0.,1.)); #389608=DIRECTION('ref_axis',(1.,0.,0.)); #389609=DIRECTION('center_axis',(1.,0.,0.)); #389610=DIRECTION('ref_axis',(0.,1.,0.)); #389611=DIRECTION('',(0.,1.,0.)); #389612=DIRECTION('',(0.,0.,1.)); #389613=DIRECTION('',(0.,1.,0.)); #389614=DIRECTION('',(0.,0.,1.)); #389615=DIRECTION('center_axis',(0.,-1.,0.)); #389616=DIRECTION('ref_axis',(1.,0.,0.)); #389617=DIRECTION('',(1.,0.,0.)); #389618=DIRECTION('',(1.,0.,0.)); #389619=DIRECTION('',(0.,0.,1.)); #389620=DIRECTION('center_axis',(-1.,0.,0.)); #389621=DIRECTION('ref_axis',(0.,-1.,0.)); #389622=DIRECTION('',(0.,-1.,0.)); #389623=DIRECTION('',(0.,-1.,0.)); #389624=DIRECTION('',(0.,0.,1.)); #389625=DIRECTION('center_axis',(0.,1.,0.)); #389626=DIRECTION('ref_axis',(-1.,0.,0.)); #389627=DIRECTION('',(-1.,0.,0.)); #389628=DIRECTION('',(-1.,0.,0.)); #389629=DIRECTION('center_axis',(0.,0.,1.)); #389630=DIRECTION('ref_axis',(1.,0.,0.)); #389631=DIRECTION('center_axis',(0.,0.,1.)); #389632=DIRECTION('ref_axis',(1.,0.,0.)); #389633=DIRECTION('center_axis',(1.,0.,0.)); #389634=DIRECTION('ref_axis',(0.,1.,0.)); #389635=DIRECTION('',(0.,1.,0.)); #389636=DIRECTION('',(0.,0.,1.)); #389637=DIRECTION('',(0.,1.,0.)); #389638=DIRECTION('',(0.,0.,1.)); #389639=DIRECTION('center_axis',(0.,-1.,0.)); #389640=DIRECTION('ref_axis',(1.,0.,0.)); #389641=DIRECTION('',(1.,0.,0.)); #389642=DIRECTION('',(1.,0.,0.)); #389643=DIRECTION('',(0.,0.,1.)); #389644=DIRECTION('center_axis',(-1.,0.,0.)); #389645=DIRECTION('ref_axis',(0.,-1.,0.)); #389646=DIRECTION('',(0.,-1.,0.)); #389647=DIRECTION('',(0.,-1.,0.)); #389648=DIRECTION('',(0.,0.,1.)); #389649=DIRECTION('center_axis',(0.,1.,0.)); #389650=DIRECTION('ref_axis',(-1.,0.,0.)); #389651=DIRECTION('',(-1.,0.,0.)); #389652=DIRECTION('',(-1.,0.,0.)); #389653=DIRECTION('center_axis',(0.,0.,1.)); #389654=DIRECTION('ref_axis',(1.,0.,0.)); #389655=DIRECTION('center_axis',(0.,0.,1.)); #389656=DIRECTION('ref_axis',(1.,0.,0.)); #389657=DIRECTION('center_axis',(0.,0.,1.)); #389658=DIRECTION('ref_axis',(1.,0.,0.)); #389659=DIRECTION('center_axis',(0.,0.,1.)); #389660=DIRECTION('ref_axis',(1.,0.,0.)); #389661=DIRECTION('',(0.,0.,1.)); #389662=DIRECTION('center_axis',(0.,0.,-1.)); #389663=DIRECTION('ref_axis',(1.,0.,0.)); #389664=DIRECTION('center_axis',(0.,0.,1.)); #389665=DIRECTION('ref_axis',(1.,0.,0.)); #389666=DIRECTION('center_axis',(0.,0.,1.)); #389667=DIRECTION('ref_axis',(1.,0.,0.)); #389668=DIRECTION('',(0.,0.,1.)); #389669=DIRECTION('center_axis',(0.,0.,-1.)); #389670=DIRECTION('ref_axis',(1.,0.,0.)); #389671=DIRECTION('center_axis',(0.,0.,1.)); #389672=DIRECTION('ref_axis',(1.,0.,0.)); #389673=DIRECTION('center_axis',(0.,0.,1.)); #389674=DIRECTION('ref_axis',(1.,0.,0.)); #389675=DIRECTION('',(0.,0.,1.)); #389676=DIRECTION('center_axis',(0.,0.,-1.)); #389677=DIRECTION('ref_axis',(1.,0.,0.)); #389678=DIRECTION('center_axis',(0.,0.,1.)); #389679=DIRECTION('ref_axis',(1.,0.,0.)); #389680=DIRECTION('center_axis',(0.,0.,1.)); #389681=DIRECTION('ref_axis',(1.,0.,0.)); #389682=DIRECTION('',(0.,0.,1.)); #389683=DIRECTION('center_axis',(0.,0.,-1.)); #389684=DIRECTION('ref_axis',(1.,0.,0.)); #389685=DIRECTION('center_axis',(0.,0.,1.)); #389686=DIRECTION('ref_axis',(1.,0.,0.)); #389687=DIRECTION('center_axis',(0.,0.,1.)); #389688=DIRECTION('ref_axis',(1.,0.,0.)); #389689=DIRECTION('',(0.,0.,1.)); #389690=DIRECTION('center_axis',(0.,0.,-1.)); #389691=DIRECTION('ref_axis',(1.,0.,0.)); #389692=DIRECTION('center_axis',(0.,0.,1.)); #389693=DIRECTION('ref_axis',(1.,0.,0.)); #389694=DIRECTION('center_axis',(0.,0.,1.)); #389695=DIRECTION('ref_axis',(1.,0.,0.)); #389696=DIRECTION('',(0.,0.,1.)); #389697=DIRECTION('center_axis',(0.,0.,-1.)); #389698=DIRECTION('ref_axis',(1.,0.,0.)); #389699=DIRECTION('center_axis',(1.,0.,0.)); #389700=DIRECTION('ref_axis',(0.,1.,0.)); #389701=DIRECTION('',(0.,1.,0.)); #389702=DIRECTION('',(0.,0.,1.)); #389703=DIRECTION('',(0.,1.,0.)); #389704=DIRECTION('',(0.,0.,1.)); #389705=DIRECTION('center_axis',(0.,-1.,0.)); #389706=DIRECTION('ref_axis',(1.,0.,0.)); #389707=DIRECTION('',(1.,0.,0.)); #389708=DIRECTION('',(1.,0.,0.)); #389709=DIRECTION('',(0.,0.,1.)); #389710=DIRECTION('center_axis',(-1.,0.,0.)); #389711=DIRECTION('ref_axis',(0.,-1.,0.)); #389712=DIRECTION('',(0.,-1.,0.)); #389713=DIRECTION('',(0.,-1.,0.)); #389714=DIRECTION('',(0.,0.,1.)); #389715=DIRECTION('center_axis',(0.,1.,0.)); #389716=DIRECTION('ref_axis',(-1.,0.,0.)); #389717=DIRECTION('',(-1.,0.,0.)); #389718=DIRECTION('',(-1.,0.,0.)); #389719=DIRECTION('center_axis',(0.,0.,1.)); #389720=DIRECTION('ref_axis',(1.,0.,0.)); #389721=DIRECTION('center_axis',(0.,0.,1.)); #389722=DIRECTION('ref_axis',(1.,0.,0.)); #389723=DIRECTION('center_axis',(1.,0.,0.)); #389724=DIRECTION('ref_axis',(0.,1.,0.)); #389725=DIRECTION('',(0.,1.,0.)); #389726=DIRECTION('',(0.,0.,1.)); #389727=DIRECTION('',(0.,1.,0.)); #389728=DIRECTION('',(0.,0.,1.)); #389729=DIRECTION('center_axis',(0.,-1.,0.)); #389730=DIRECTION('ref_axis',(1.,0.,0.)); #389731=DIRECTION('',(1.,0.,0.)); #389732=DIRECTION('',(1.,0.,0.)); #389733=DIRECTION('',(0.,0.,1.)); #389734=DIRECTION('center_axis',(-1.,0.,0.)); #389735=DIRECTION('ref_axis',(0.,-1.,0.)); #389736=DIRECTION('',(0.,-1.,0.)); #389737=DIRECTION('',(0.,-1.,0.)); #389738=DIRECTION('',(0.,0.,1.)); #389739=DIRECTION('center_axis',(0.,1.,0.)); #389740=DIRECTION('ref_axis',(-1.,0.,0.)); #389741=DIRECTION('',(-1.,0.,0.)); #389742=DIRECTION('',(-1.,0.,0.)); #389743=DIRECTION('center_axis',(0.,0.,1.)); #389744=DIRECTION('ref_axis',(1.,0.,0.)); #389745=DIRECTION('center_axis',(0.,0.,1.)); #389746=DIRECTION('ref_axis',(1.,0.,0.)); #389747=DIRECTION('center_axis',(1.,0.,0.)); #389748=DIRECTION('ref_axis',(0.,1.,0.)); #389749=DIRECTION('',(0.,1.,0.)); #389750=DIRECTION('',(0.,0.,1.)); #389751=DIRECTION('',(0.,1.,0.)); #389752=DIRECTION('',(0.,0.,1.)); #389753=DIRECTION('center_axis',(0.,-1.,0.)); #389754=DIRECTION('ref_axis',(1.,0.,0.)); #389755=DIRECTION('',(1.,0.,0.)); #389756=DIRECTION('',(1.,0.,0.)); #389757=DIRECTION('',(0.,0.,1.)); #389758=DIRECTION('center_axis',(-1.,0.,0.)); #389759=DIRECTION('ref_axis',(0.,-1.,0.)); #389760=DIRECTION('',(0.,-1.,0.)); #389761=DIRECTION('',(0.,-1.,0.)); #389762=DIRECTION('',(0.,0.,1.)); #389763=DIRECTION('center_axis',(0.,1.,0.)); #389764=DIRECTION('ref_axis',(-1.,0.,0.)); #389765=DIRECTION('',(-1.,0.,0.)); #389766=DIRECTION('',(-1.,0.,0.)); #389767=DIRECTION('center_axis',(0.,0.,1.)); #389768=DIRECTION('ref_axis',(1.,0.,0.)); #389769=DIRECTION('center_axis',(0.,0.,1.)); #389770=DIRECTION('ref_axis',(1.,0.,0.)); #389771=DIRECTION('center_axis',(1.,0.,0.)); #389772=DIRECTION('ref_axis',(0.,1.,0.)); #389773=DIRECTION('',(0.,1.,0.)); #389774=DIRECTION('',(0.,0.,1.)); #389775=DIRECTION('',(0.,1.,0.)); #389776=DIRECTION('',(0.,0.,1.)); #389777=DIRECTION('center_axis',(0.,-1.,0.)); #389778=DIRECTION('ref_axis',(1.,0.,0.)); #389779=DIRECTION('',(1.,0.,0.)); #389780=DIRECTION('',(1.,0.,0.)); #389781=DIRECTION('',(0.,0.,1.)); #389782=DIRECTION('center_axis',(-1.,0.,0.)); #389783=DIRECTION('ref_axis',(0.,-1.,0.)); #389784=DIRECTION('',(0.,-1.,0.)); #389785=DIRECTION('',(0.,-1.,0.)); #389786=DIRECTION('',(0.,0.,1.)); #389787=DIRECTION('center_axis',(0.,1.,0.)); #389788=DIRECTION('ref_axis',(-1.,0.,0.)); #389789=DIRECTION('',(-1.,0.,0.)); #389790=DIRECTION('',(-1.,0.,0.)); #389791=DIRECTION('center_axis',(0.,0.,1.)); #389792=DIRECTION('ref_axis',(1.,0.,0.)); #389793=DIRECTION('center_axis',(0.,0.,1.)); #389794=DIRECTION('ref_axis',(1.,0.,0.)); #389795=DIRECTION('center_axis',(1.,0.,0.)); #389796=DIRECTION('ref_axis',(0.,1.,0.)); #389797=DIRECTION('',(0.,1.,0.)); #389798=DIRECTION('',(0.,0.,1.)); #389799=DIRECTION('',(0.,1.,0.)); #389800=DIRECTION('',(0.,0.,1.)); #389801=DIRECTION('center_axis',(0.,-1.,0.)); #389802=DIRECTION('ref_axis',(1.,0.,0.)); #389803=DIRECTION('',(1.,0.,0.)); #389804=DIRECTION('',(1.,0.,0.)); #389805=DIRECTION('',(0.,0.,1.)); #389806=DIRECTION('center_axis',(-1.,0.,0.)); #389807=DIRECTION('ref_axis',(0.,-1.,0.)); #389808=DIRECTION('',(0.,-1.,0.)); #389809=DIRECTION('',(0.,-1.,0.)); #389810=DIRECTION('',(0.,0.,1.)); #389811=DIRECTION('center_axis',(0.,1.,0.)); #389812=DIRECTION('ref_axis',(-1.,0.,0.)); #389813=DIRECTION('',(-1.,0.,0.)); #389814=DIRECTION('',(-1.,0.,0.)); #389815=DIRECTION('center_axis',(0.,0.,1.)); #389816=DIRECTION('ref_axis',(1.,0.,0.)); #389817=DIRECTION('center_axis',(0.,0.,1.)); #389818=DIRECTION('ref_axis',(1.,0.,0.)); #389819=DIRECTION('center_axis',(1.,0.,0.)); #389820=DIRECTION('ref_axis',(0.,1.,0.)); #389821=DIRECTION('',(0.,1.,0.)); #389822=DIRECTION('',(0.,0.,1.)); #389823=DIRECTION('',(0.,1.,0.)); #389824=DIRECTION('',(0.,0.,1.)); #389825=DIRECTION('center_axis',(0.,-1.,0.)); #389826=DIRECTION('ref_axis',(1.,0.,0.)); #389827=DIRECTION('',(1.,0.,0.)); #389828=DIRECTION('',(1.,0.,0.)); #389829=DIRECTION('',(0.,0.,1.)); #389830=DIRECTION('center_axis',(-1.,0.,0.)); #389831=DIRECTION('ref_axis',(0.,-1.,0.)); #389832=DIRECTION('',(0.,-1.,0.)); #389833=DIRECTION('',(0.,-1.,0.)); #389834=DIRECTION('',(0.,0.,1.)); #389835=DIRECTION('center_axis',(0.,1.,0.)); #389836=DIRECTION('ref_axis',(-1.,0.,0.)); #389837=DIRECTION('',(-1.,0.,0.)); #389838=DIRECTION('',(-1.,0.,0.)); #389839=DIRECTION('center_axis',(0.,0.,1.)); #389840=DIRECTION('ref_axis',(1.,0.,0.)); #389841=DIRECTION('center_axis',(0.,0.,1.)); #389842=DIRECTION('ref_axis',(1.,0.,0.)); #389843=DIRECTION('center_axis',(1.,0.,0.)); #389844=DIRECTION('ref_axis',(0.,1.,0.)); #389845=DIRECTION('',(0.,1.,0.)); #389846=DIRECTION('',(0.,0.,1.)); #389847=DIRECTION('',(0.,1.,0.)); #389848=DIRECTION('',(0.,0.,1.)); #389849=DIRECTION('center_axis',(0.,-1.,0.)); #389850=DIRECTION('ref_axis',(1.,0.,0.)); #389851=DIRECTION('',(1.,0.,0.)); #389852=DIRECTION('',(1.,0.,0.)); #389853=DIRECTION('',(0.,0.,1.)); #389854=DIRECTION('center_axis',(-1.,0.,0.)); #389855=DIRECTION('ref_axis',(0.,-1.,0.)); #389856=DIRECTION('',(0.,-1.,0.)); #389857=DIRECTION('',(0.,-1.,0.)); #389858=DIRECTION('',(0.,0.,1.)); #389859=DIRECTION('center_axis',(0.,1.,0.)); #389860=DIRECTION('ref_axis',(-1.,0.,0.)); #389861=DIRECTION('',(-1.,0.,0.)); #389862=DIRECTION('',(-1.,0.,0.)); #389863=DIRECTION('center_axis',(0.,0.,1.)); #389864=DIRECTION('ref_axis',(1.,0.,0.)); #389865=DIRECTION('center_axis',(0.,0.,1.)); #389866=DIRECTION('ref_axis',(1.,0.,0.)); #389867=DIRECTION('center_axis',(1.,0.,0.)); #389868=DIRECTION('ref_axis',(0.,1.,0.)); #389869=DIRECTION('',(0.,1.,0.)); #389870=DIRECTION('',(0.,0.,1.)); #389871=DIRECTION('',(0.,1.,0.)); #389872=DIRECTION('',(0.,0.,1.)); #389873=DIRECTION('center_axis',(0.,-1.,0.)); #389874=DIRECTION('ref_axis',(1.,0.,0.)); #389875=DIRECTION('',(1.,0.,0.)); #389876=DIRECTION('',(1.,0.,0.)); #389877=DIRECTION('',(0.,0.,1.)); #389878=DIRECTION('center_axis',(-1.,0.,0.)); #389879=DIRECTION('ref_axis',(0.,-1.,0.)); #389880=DIRECTION('',(0.,-1.,0.)); #389881=DIRECTION('',(0.,-1.,0.)); #389882=DIRECTION('',(0.,0.,1.)); #389883=DIRECTION('center_axis',(0.,1.,0.)); #389884=DIRECTION('ref_axis',(-1.,0.,0.)); #389885=DIRECTION('',(-1.,0.,0.)); #389886=DIRECTION('',(-1.,0.,0.)); #389887=DIRECTION('center_axis',(0.,0.,1.)); #389888=DIRECTION('ref_axis',(1.,0.,0.)); #389889=DIRECTION('center_axis',(0.,0.,1.)); #389890=DIRECTION('ref_axis',(1.,0.,0.)); #389891=DIRECTION('center_axis',(1.,0.,0.)); #389892=DIRECTION('ref_axis',(0.,1.,0.)); #389893=DIRECTION('',(0.,1.,0.)); #389894=DIRECTION('',(0.,0.,1.)); #389895=DIRECTION('',(0.,1.,0.)); #389896=DIRECTION('',(0.,0.,1.)); #389897=DIRECTION('center_axis',(0.,-1.,0.)); #389898=DIRECTION('ref_axis',(1.,0.,0.)); #389899=DIRECTION('',(1.,0.,0.)); #389900=DIRECTION('',(1.,0.,0.)); #389901=DIRECTION('',(0.,0.,1.)); #389902=DIRECTION('center_axis',(-1.,0.,0.)); #389903=DIRECTION('ref_axis',(0.,-1.,0.)); #389904=DIRECTION('',(0.,-1.,0.)); #389905=DIRECTION('',(0.,-1.,0.)); #389906=DIRECTION('',(0.,0.,1.)); #389907=DIRECTION('center_axis',(0.,1.,0.)); #389908=DIRECTION('ref_axis',(-1.,0.,0.)); #389909=DIRECTION('',(-1.,0.,0.)); #389910=DIRECTION('',(-1.,0.,0.)); #389911=DIRECTION('center_axis',(0.,0.,1.)); #389912=DIRECTION('ref_axis',(1.,0.,0.)); #389913=DIRECTION('center_axis',(0.,0.,1.)); #389914=DIRECTION('ref_axis',(1.,0.,0.)); #389915=DIRECTION('center_axis',(1.,0.,0.)); #389916=DIRECTION('ref_axis',(0.,1.,0.)); #389917=DIRECTION('',(0.,1.,0.)); #389918=DIRECTION('',(0.,0.,1.)); #389919=DIRECTION('',(0.,1.,0.)); #389920=DIRECTION('',(0.,0.,1.)); #389921=DIRECTION('center_axis',(0.,-1.,0.)); #389922=DIRECTION('ref_axis',(1.,0.,0.)); #389923=DIRECTION('',(1.,0.,0.)); #389924=DIRECTION('',(1.,0.,0.)); #389925=DIRECTION('',(0.,0.,1.)); #389926=DIRECTION('center_axis',(-1.,0.,0.)); #389927=DIRECTION('ref_axis',(0.,-1.,0.)); #389928=DIRECTION('',(0.,-1.,0.)); #389929=DIRECTION('',(0.,-1.,0.)); #389930=DIRECTION('',(0.,0.,1.)); #389931=DIRECTION('center_axis',(0.,1.,0.)); #389932=DIRECTION('ref_axis',(-1.,0.,0.)); #389933=DIRECTION('',(-1.,0.,0.)); #389934=DIRECTION('',(-1.,0.,0.)); #389935=DIRECTION('center_axis',(0.,0.,1.)); #389936=DIRECTION('ref_axis',(1.,0.,0.)); #389937=DIRECTION('center_axis',(0.,0.,1.)); #389938=DIRECTION('ref_axis',(1.,0.,0.)); #389939=DIRECTION('center_axis',(1.,0.,0.)); #389940=DIRECTION('ref_axis',(0.,1.,0.)); #389941=DIRECTION('',(0.,1.,0.)); #389942=DIRECTION('',(0.,0.,1.)); #389943=DIRECTION('',(0.,1.,0.)); #389944=DIRECTION('',(0.,0.,1.)); #389945=DIRECTION('center_axis',(0.,-1.,0.)); #389946=DIRECTION('ref_axis',(1.,0.,0.)); #389947=DIRECTION('',(1.,0.,0.)); #389948=DIRECTION('',(1.,0.,0.)); #389949=DIRECTION('',(0.,0.,1.)); #389950=DIRECTION('center_axis',(-1.,0.,0.)); #389951=DIRECTION('ref_axis',(0.,-1.,0.)); #389952=DIRECTION('',(0.,-1.,0.)); #389953=DIRECTION('',(0.,-1.,0.)); #389954=DIRECTION('',(0.,0.,1.)); #389955=DIRECTION('center_axis',(0.,1.,0.)); #389956=DIRECTION('ref_axis',(-1.,0.,0.)); #389957=DIRECTION('',(-1.,0.,0.)); #389958=DIRECTION('',(-1.,0.,0.)); #389959=DIRECTION('center_axis',(0.,0.,1.)); #389960=DIRECTION('ref_axis',(1.,0.,0.)); #389961=DIRECTION('center_axis',(0.,0.,1.)); #389962=DIRECTION('ref_axis',(1.,0.,0.)); #389963=DIRECTION('center_axis',(1.,0.,0.)); #389964=DIRECTION('ref_axis',(0.,1.,0.)); #389965=DIRECTION('',(0.,1.,0.)); #389966=DIRECTION('',(0.,0.,1.)); #389967=DIRECTION('',(0.,1.,0.)); #389968=DIRECTION('',(0.,0.,1.)); #389969=DIRECTION('center_axis',(0.,-1.,0.)); #389970=DIRECTION('ref_axis',(1.,0.,0.)); #389971=DIRECTION('',(1.,0.,0.)); #389972=DIRECTION('',(1.,0.,0.)); #389973=DIRECTION('',(0.,0.,1.)); #389974=DIRECTION('center_axis',(-1.,0.,0.)); #389975=DIRECTION('ref_axis',(0.,-1.,0.)); #389976=DIRECTION('',(0.,-1.,0.)); #389977=DIRECTION('',(0.,-1.,0.)); #389978=DIRECTION('',(0.,0.,1.)); #389979=DIRECTION('center_axis',(0.,1.,0.)); #389980=DIRECTION('ref_axis',(-1.,0.,0.)); #389981=DIRECTION('',(-1.,0.,0.)); #389982=DIRECTION('',(-1.,0.,0.)); #389983=DIRECTION('center_axis',(0.,0.,1.)); #389984=DIRECTION('ref_axis',(1.,0.,0.)); #389985=DIRECTION('center_axis',(0.,0.,1.)); #389986=DIRECTION('ref_axis',(1.,0.,0.)); #389987=DIRECTION('center_axis',(1.,0.,0.)); #389988=DIRECTION('ref_axis',(0.,1.,0.)); #389989=DIRECTION('',(0.,1.,0.)); #389990=DIRECTION('',(0.,0.,1.)); #389991=DIRECTION('',(0.,1.,0.)); #389992=DIRECTION('',(0.,0.,1.)); #389993=DIRECTION('center_axis',(0.,-1.,0.)); #389994=DIRECTION('ref_axis',(1.,0.,0.)); #389995=DIRECTION('',(1.,0.,0.)); #389996=DIRECTION('',(1.,0.,0.)); #389997=DIRECTION('',(0.,0.,1.)); #389998=DIRECTION('center_axis',(-1.,0.,0.)); #389999=DIRECTION('ref_axis',(0.,-1.,0.)); #390000=DIRECTION('',(0.,-1.,0.)); #390001=DIRECTION('',(0.,-1.,0.)); #390002=DIRECTION('',(0.,0.,1.)); #390003=DIRECTION('center_axis',(0.,1.,0.)); #390004=DIRECTION('ref_axis',(-1.,0.,0.)); #390005=DIRECTION('',(-1.,0.,0.)); #390006=DIRECTION('',(-1.,0.,0.)); #390007=DIRECTION('center_axis',(0.,0.,1.)); #390008=DIRECTION('ref_axis',(1.,0.,0.)); #390009=DIRECTION('center_axis',(0.,0.,1.)); #390010=DIRECTION('ref_axis',(1.,0.,0.)); #390011=DIRECTION('center_axis',(1.,0.,0.)); #390012=DIRECTION('ref_axis',(0.,1.,0.)); #390013=DIRECTION('',(0.,1.,0.)); #390014=DIRECTION('',(0.,0.,1.)); #390015=DIRECTION('',(0.,1.,0.)); #390016=DIRECTION('',(0.,0.,1.)); #390017=DIRECTION('center_axis',(0.,-1.,0.)); #390018=DIRECTION('ref_axis',(1.,0.,0.)); #390019=DIRECTION('',(1.,0.,0.)); #390020=DIRECTION('',(1.,0.,0.)); #390021=DIRECTION('',(0.,0.,1.)); #390022=DIRECTION('center_axis',(-1.,0.,0.)); #390023=DIRECTION('ref_axis',(0.,-1.,0.)); #390024=DIRECTION('',(0.,-1.,0.)); #390025=DIRECTION('',(0.,-1.,0.)); #390026=DIRECTION('',(0.,0.,1.)); #390027=DIRECTION('center_axis',(0.,1.,0.)); #390028=DIRECTION('ref_axis',(-1.,0.,0.)); #390029=DIRECTION('',(-1.,0.,0.)); #390030=DIRECTION('',(-1.,0.,0.)); #390031=DIRECTION('center_axis',(0.,0.,1.)); #390032=DIRECTION('ref_axis',(1.,0.,0.)); #390033=DIRECTION('center_axis',(0.,0.,1.)); #390034=DIRECTION('ref_axis',(1.,0.,0.)); #390035=DIRECTION('center_axis',(1.,0.,0.)); #390036=DIRECTION('ref_axis',(0.,1.,0.)); #390037=DIRECTION('',(0.,1.,0.)); #390038=DIRECTION('',(0.,0.,1.)); #390039=DIRECTION('',(0.,1.,0.)); #390040=DIRECTION('',(0.,0.,1.)); #390041=DIRECTION('center_axis',(0.,-1.,0.)); #390042=DIRECTION('ref_axis',(1.,0.,0.)); #390043=DIRECTION('',(1.,0.,0.)); #390044=DIRECTION('',(1.,0.,0.)); #390045=DIRECTION('',(0.,0.,1.)); #390046=DIRECTION('center_axis',(-1.,0.,0.)); #390047=DIRECTION('ref_axis',(0.,-1.,0.)); #390048=DIRECTION('',(0.,-1.,0.)); #390049=DIRECTION('',(0.,-1.,0.)); #390050=DIRECTION('',(0.,0.,1.)); #390051=DIRECTION('center_axis',(0.,1.,0.)); #390052=DIRECTION('ref_axis',(-1.,0.,0.)); #390053=DIRECTION('',(-1.,0.,0.)); #390054=DIRECTION('',(-1.,0.,0.)); #390055=DIRECTION('center_axis',(0.,0.,1.)); #390056=DIRECTION('ref_axis',(1.,0.,0.)); #390057=DIRECTION('center_axis',(0.,0.,1.)); #390058=DIRECTION('ref_axis',(1.,0.,0.)); #390059=DIRECTION('center_axis',(1.,0.,0.)); #390060=DIRECTION('ref_axis',(0.,1.,0.)); #390061=DIRECTION('',(0.,1.,0.)); #390062=DIRECTION('',(0.,0.,1.)); #390063=DIRECTION('',(0.,1.,0.)); #390064=DIRECTION('',(0.,0.,1.)); #390065=DIRECTION('center_axis',(0.,-1.,0.)); #390066=DIRECTION('ref_axis',(1.,0.,0.)); #390067=DIRECTION('',(1.,0.,0.)); #390068=DIRECTION('',(1.,0.,0.)); #390069=DIRECTION('',(0.,0.,1.)); #390070=DIRECTION('center_axis',(-1.,0.,0.)); #390071=DIRECTION('ref_axis',(0.,-1.,0.)); #390072=DIRECTION('',(0.,-1.,0.)); #390073=DIRECTION('',(0.,-1.,0.)); #390074=DIRECTION('',(0.,0.,1.)); #390075=DIRECTION('center_axis',(0.,1.,0.)); #390076=DIRECTION('ref_axis',(-1.,0.,0.)); #390077=DIRECTION('',(-1.,0.,0.)); #390078=DIRECTION('',(-1.,0.,0.)); #390079=DIRECTION('center_axis',(0.,0.,1.)); #390080=DIRECTION('ref_axis',(1.,0.,0.)); #390081=DIRECTION('center_axis',(0.,0.,1.)); #390082=DIRECTION('ref_axis',(1.,0.,0.)); #390083=DIRECTION('center_axis',(1.,0.,0.)); #390084=DIRECTION('ref_axis',(0.,1.,0.)); #390085=DIRECTION('',(0.,1.,0.)); #390086=DIRECTION('',(0.,0.,1.)); #390087=DIRECTION('',(0.,1.,0.)); #390088=DIRECTION('',(0.,0.,1.)); #390089=DIRECTION('center_axis',(0.,-1.,0.)); #390090=DIRECTION('ref_axis',(1.,0.,0.)); #390091=DIRECTION('',(1.,0.,0.)); #390092=DIRECTION('',(1.,0.,0.)); #390093=DIRECTION('',(0.,0.,1.)); #390094=DIRECTION('center_axis',(-1.,0.,0.)); #390095=DIRECTION('ref_axis',(0.,-1.,0.)); #390096=DIRECTION('',(0.,-1.,0.)); #390097=DIRECTION('',(0.,-1.,0.)); #390098=DIRECTION('',(0.,0.,1.)); #390099=DIRECTION('center_axis',(0.,1.,0.)); #390100=DIRECTION('ref_axis',(-1.,0.,0.)); #390101=DIRECTION('',(-1.,0.,0.)); #390102=DIRECTION('',(-1.,0.,0.)); #390103=DIRECTION('center_axis',(0.,0.,1.)); #390104=DIRECTION('ref_axis',(1.,0.,0.)); #390105=DIRECTION('center_axis',(0.,0.,1.)); #390106=DIRECTION('ref_axis',(1.,0.,0.)); #390107=DIRECTION('center_axis',(1.,0.,0.)); #390108=DIRECTION('ref_axis',(0.,1.,0.)); #390109=DIRECTION('',(0.,1.,0.)); #390110=DIRECTION('',(0.,0.,1.)); #390111=DIRECTION('',(0.,1.,0.)); #390112=DIRECTION('',(0.,0.,1.)); #390113=DIRECTION('center_axis',(0.,-1.,0.)); #390114=DIRECTION('ref_axis',(1.,0.,0.)); #390115=DIRECTION('',(1.,0.,0.)); #390116=DIRECTION('',(1.,0.,0.)); #390117=DIRECTION('',(0.,0.,1.)); #390118=DIRECTION('center_axis',(-1.,0.,0.)); #390119=DIRECTION('ref_axis',(0.,-1.,0.)); #390120=DIRECTION('',(0.,-1.,0.)); #390121=DIRECTION('',(0.,-1.,0.)); #390122=DIRECTION('',(0.,0.,1.)); #390123=DIRECTION('center_axis',(0.,1.,0.)); #390124=DIRECTION('ref_axis',(-1.,0.,0.)); #390125=DIRECTION('',(-1.,0.,0.)); #390126=DIRECTION('',(-1.,0.,0.)); #390127=DIRECTION('center_axis',(0.,0.,1.)); #390128=DIRECTION('ref_axis',(1.,0.,0.)); #390129=DIRECTION('center_axis',(0.,0.,1.)); #390130=DIRECTION('ref_axis',(1.,0.,0.)); #390131=DIRECTION('center_axis',(1.,0.,0.)); #390132=DIRECTION('ref_axis',(0.,1.,0.)); #390133=DIRECTION('',(0.,1.,0.)); #390134=DIRECTION('',(0.,0.,1.)); #390135=DIRECTION('',(0.,1.,0.)); #390136=DIRECTION('',(0.,0.,1.)); #390137=DIRECTION('center_axis',(0.,-1.,0.)); #390138=DIRECTION('ref_axis',(1.,0.,0.)); #390139=DIRECTION('',(1.,0.,0.)); #390140=DIRECTION('',(1.,0.,0.)); #390141=DIRECTION('',(0.,0.,1.)); #390142=DIRECTION('center_axis',(-1.,0.,0.)); #390143=DIRECTION('ref_axis',(0.,-1.,0.)); #390144=DIRECTION('',(0.,-1.,0.)); #390145=DIRECTION('',(0.,-1.,0.)); #390146=DIRECTION('',(0.,0.,1.)); #390147=DIRECTION('center_axis',(0.,1.,0.)); #390148=DIRECTION('ref_axis',(-1.,0.,0.)); #390149=DIRECTION('',(-1.,0.,0.)); #390150=DIRECTION('',(-1.,0.,0.)); #390151=DIRECTION('center_axis',(0.,0.,1.)); #390152=DIRECTION('ref_axis',(1.,0.,0.)); #390153=DIRECTION('center_axis',(0.,0.,1.)); #390154=DIRECTION('ref_axis',(1.,0.,0.)); #390155=DIRECTION('center_axis',(1.,0.,0.)); #390156=DIRECTION('ref_axis',(0.,1.,0.)); #390157=DIRECTION('',(0.,1.,0.)); #390158=DIRECTION('',(0.,0.,1.)); #390159=DIRECTION('',(0.,1.,0.)); #390160=DIRECTION('',(0.,0.,1.)); #390161=DIRECTION('center_axis',(0.,-1.,0.)); #390162=DIRECTION('ref_axis',(1.,0.,0.)); #390163=DIRECTION('',(1.,0.,0.)); #390164=DIRECTION('',(1.,0.,0.)); #390165=DIRECTION('',(0.,0.,1.)); #390166=DIRECTION('center_axis',(-1.,0.,0.)); #390167=DIRECTION('ref_axis',(0.,-1.,0.)); #390168=DIRECTION('',(0.,-1.,0.)); #390169=DIRECTION('',(0.,-1.,0.)); #390170=DIRECTION('',(0.,0.,1.)); #390171=DIRECTION('center_axis',(0.,1.,0.)); #390172=DIRECTION('ref_axis',(-1.,0.,0.)); #390173=DIRECTION('',(-1.,0.,0.)); #390174=DIRECTION('',(-1.,0.,0.)); #390175=DIRECTION('center_axis',(0.,0.,1.)); #390176=DIRECTION('ref_axis',(1.,0.,0.)); #390177=DIRECTION('center_axis',(0.,0.,1.)); #390178=DIRECTION('ref_axis',(1.,0.,0.)); #390179=DIRECTION('center_axis',(1.,0.,0.)); #390180=DIRECTION('ref_axis',(0.,1.,0.)); #390181=DIRECTION('',(0.,1.,0.)); #390182=DIRECTION('',(0.,0.,1.)); #390183=DIRECTION('',(0.,1.,0.)); #390184=DIRECTION('',(0.,0.,1.)); #390185=DIRECTION('center_axis',(0.,-1.,0.)); #390186=DIRECTION('ref_axis',(1.,0.,0.)); #390187=DIRECTION('',(1.,0.,0.)); #390188=DIRECTION('',(1.,0.,0.)); #390189=DIRECTION('',(0.,0.,1.)); #390190=DIRECTION('center_axis',(-1.,0.,0.)); #390191=DIRECTION('ref_axis',(0.,-1.,0.)); #390192=DIRECTION('',(0.,-1.,0.)); #390193=DIRECTION('',(0.,-1.,0.)); #390194=DIRECTION('',(0.,0.,1.)); #390195=DIRECTION('center_axis',(0.,1.,0.)); #390196=DIRECTION('ref_axis',(-1.,0.,0.)); #390197=DIRECTION('',(-1.,0.,0.)); #390198=DIRECTION('',(-1.,0.,0.)); #390199=DIRECTION('center_axis',(0.,0.,1.)); #390200=DIRECTION('ref_axis',(1.,0.,0.)); #390201=DIRECTION('center_axis',(0.,0.,1.)); #390202=DIRECTION('ref_axis',(1.,0.,0.)); #390203=DIRECTION('center_axis',(1.,0.,0.)); #390204=DIRECTION('ref_axis',(0.,1.,0.)); #390205=DIRECTION('',(0.,1.,0.)); #390206=DIRECTION('',(0.,0.,1.)); #390207=DIRECTION('',(0.,1.,0.)); #390208=DIRECTION('',(0.,0.,1.)); #390209=DIRECTION('center_axis',(0.,-1.,0.)); #390210=DIRECTION('ref_axis',(1.,0.,0.)); #390211=DIRECTION('',(1.,0.,0.)); #390212=DIRECTION('',(1.,0.,0.)); #390213=DIRECTION('',(0.,0.,1.)); #390214=DIRECTION('center_axis',(-1.,0.,0.)); #390215=DIRECTION('ref_axis',(0.,-1.,0.)); #390216=DIRECTION('',(0.,-1.,0.)); #390217=DIRECTION('',(0.,-1.,0.)); #390218=DIRECTION('',(0.,0.,1.)); #390219=DIRECTION('center_axis',(0.,1.,0.)); #390220=DIRECTION('ref_axis',(-1.,0.,0.)); #390221=DIRECTION('',(-1.,0.,0.)); #390222=DIRECTION('',(-1.,0.,0.)); #390223=DIRECTION('center_axis',(0.,0.,1.)); #390224=DIRECTION('ref_axis',(1.,0.,0.)); #390225=DIRECTION('center_axis',(0.,0.,1.)); #390226=DIRECTION('ref_axis',(1.,0.,0.)); #390227=DIRECTION('center_axis',(1.,0.,0.)); #390228=DIRECTION('ref_axis',(0.,1.,0.)); #390229=DIRECTION('',(0.,1.,0.)); #390230=DIRECTION('',(0.,0.,1.)); #390231=DIRECTION('',(0.,1.,0.)); #390232=DIRECTION('',(0.,0.,1.)); #390233=DIRECTION('center_axis',(0.,-1.,0.)); #390234=DIRECTION('ref_axis',(1.,0.,0.)); #390235=DIRECTION('',(1.,0.,0.)); #390236=DIRECTION('',(1.,0.,0.)); #390237=DIRECTION('',(0.,0.,1.)); #390238=DIRECTION('center_axis',(-1.,0.,0.)); #390239=DIRECTION('ref_axis',(0.,-1.,0.)); #390240=DIRECTION('',(0.,-1.,0.)); #390241=DIRECTION('',(0.,-1.,0.)); #390242=DIRECTION('',(0.,0.,1.)); #390243=DIRECTION('center_axis',(0.,1.,0.)); #390244=DIRECTION('ref_axis',(-1.,0.,0.)); #390245=DIRECTION('',(-1.,0.,0.)); #390246=DIRECTION('',(-1.,0.,0.)); #390247=DIRECTION('center_axis',(0.,0.,1.)); #390248=DIRECTION('ref_axis',(1.,0.,0.)); #390249=DIRECTION('center_axis',(0.,0.,1.)); #390250=DIRECTION('ref_axis',(1.,0.,0.)); #390251=DIRECTION('center_axis',(1.,0.,0.)); #390252=DIRECTION('ref_axis',(0.,1.,0.)); #390253=DIRECTION('',(0.,1.,0.)); #390254=DIRECTION('',(0.,0.,1.)); #390255=DIRECTION('',(0.,1.,0.)); #390256=DIRECTION('',(0.,0.,1.)); #390257=DIRECTION('center_axis',(0.,-1.,0.)); #390258=DIRECTION('ref_axis',(1.,0.,0.)); #390259=DIRECTION('',(1.,0.,0.)); #390260=DIRECTION('',(1.,0.,0.)); #390261=DIRECTION('',(0.,0.,1.)); #390262=DIRECTION('center_axis',(-1.,0.,0.)); #390263=DIRECTION('ref_axis',(0.,-1.,0.)); #390264=DIRECTION('',(0.,-1.,0.)); #390265=DIRECTION('',(0.,-1.,0.)); #390266=DIRECTION('',(0.,0.,1.)); #390267=DIRECTION('center_axis',(0.,1.,0.)); #390268=DIRECTION('ref_axis',(-1.,0.,0.)); #390269=DIRECTION('',(-1.,0.,0.)); #390270=DIRECTION('',(-1.,0.,0.)); #390271=DIRECTION('center_axis',(0.,0.,1.)); #390272=DIRECTION('ref_axis',(1.,0.,0.)); #390273=DIRECTION('center_axis',(0.,0.,1.)); #390274=DIRECTION('ref_axis',(1.,0.,0.)); #390275=DIRECTION('center_axis',(1.,0.,0.)); #390276=DIRECTION('ref_axis',(0.,1.,0.)); #390277=DIRECTION('',(0.,1.,0.)); #390278=DIRECTION('',(0.,0.,1.)); #390279=DIRECTION('',(0.,1.,0.)); #390280=DIRECTION('',(0.,0.,1.)); #390281=DIRECTION('center_axis',(0.,-1.,0.)); #390282=DIRECTION('ref_axis',(1.,0.,0.)); #390283=DIRECTION('',(1.,0.,0.)); #390284=DIRECTION('',(1.,0.,0.)); #390285=DIRECTION('',(0.,0.,1.)); #390286=DIRECTION('center_axis',(-1.,0.,0.)); #390287=DIRECTION('ref_axis',(0.,-1.,0.)); #390288=DIRECTION('',(0.,-1.,0.)); #390289=DIRECTION('',(0.,-1.,0.)); #390290=DIRECTION('',(0.,0.,1.)); #390291=DIRECTION('center_axis',(0.,1.,0.)); #390292=DIRECTION('ref_axis',(-1.,0.,0.)); #390293=DIRECTION('',(-1.,0.,0.)); #390294=DIRECTION('',(-1.,0.,0.)); #390295=DIRECTION('center_axis',(0.,0.,1.)); #390296=DIRECTION('ref_axis',(1.,0.,0.)); #390297=DIRECTION('center_axis',(0.,0.,1.)); #390298=DIRECTION('ref_axis',(1.,0.,0.)); #390299=DIRECTION('center_axis',(1.,0.,0.)); #390300=DIRECTION('ref_axis',(0.,1.,0.)); #390301=DIRECTION('',(0.,1.,0.)); #390302=DIRECTION('',(0.,0.,1.)); #390303=DIRECTION('',(0.,1.,0.)); #390304=DIRECTION('',(0.,0.,1.)); #390305=DIRECTION('center_axis',(0.,-1.,0.)); #390306=DIRECTION('ref_axis',(1.,0.,0.)); #390307=DIRECTION('',(1.,0.,0.)); #390308=DIRECTION('',(1.,0.,0.)); #390309=DIRECTION('',(0.,0.,1.)); #390310=DIRECTION('center_axis',(-1.,0.,0.)); #390311=DIRECTION('ref_axis',(0.,-1.,0.)); #390312=DIRECTION('',(0.,-1.,0.)); #390313=DIRECTION('',(0.,-1.,0.)); #390314=DIRECTION('',(0.,0.,1.)); #390315=DIRECTION('center_axis',(0.,1.,0.)); #390316=DIRECTION('ref_axis',(-1.,0.,0.)); #390317=DIRECTION('',(-1.,0.,0.)); #390318=DIRECTION('',(-1.,0.,0.)); #390319=DIRECTION('center_axis',(0.,0.,1.)); #390320=DIRECTION('ref_axis',(1.,0.,0.)); #390321=DIRECTION('center_axis',(0.,0.,1.)); #390322=DIRECTION('ref_axis',(1.,0.,0.)); #390323=DIRECTION('center_axis',(1.,0.,0.)); #390324=DIRECTION('ref_axis',(0.,1.,0.)); #390325=DIRECTION('',(0.,1.,0.)); #390326=DIRECTION('',(0.,0.,1.)); #390327=DIRECTION('',(0.,1.,0.)); #390328=DIRECTION('',(0.,0.,1.)); #390329=DIRECTION('center_axis',(0.,-1.,0.)); #390330=DIRECTION('ref_axis',(1.,0.,0.)); #390331=DIRECTION('',(1.,0.,0.)); #390332=DIRECTION('',(1.,0.,0.)); #390333=DIRECTION('',(0.,0.,1.)); #390334=DIRECTION('center_axis',(-1.,0.,0.)); #390335=DIRECTION('ref_axis',(0.,-1.,0.)); #390336=DIRECTION('',(0.,-1.,0.)); #390337=DIRECTION('',(0.,-1.,0.)); #390338=DIRECTION('',(0.,0.,1.)); #390339=DIRECTION('center_axis',(0.,1.,0.)); #390340=DIRECTION('ref_axis',(-1.,0.,0.)); #390341=DIRECTION('',(-1.,0.,0.)); #390342=DIRECTION('',(-1.,0.,0.)); #390343=DIRECTION('center_axis',(0.,0.,1.)); #390344=DIRECTION('ref_axis',(1.,0.,0.)); #390345=DIRECTION('center_axis',(0.,0.,1.)); #390346=DIRECTION('ref_axis',(1.,0.,0.)); #390347=DIRECTION('center_axis',(0.,0.,1.)); #390348=DIRECTION('ref_axis',(1.,0.,0.)); #390349=DIRECTION('center_axis',(0.,0.,1.)); #390350=DIRECTION('ref_axis',(1.,0.,0.)); #390351=DIRECTION('',(0.,0.,1.)); #390352=DIRECTION('center_axis',(0.,0.,-1.)); #390353=DIRECTION('ref_axis',(1.,0.,0.)); #390354=DIRECTION('center_axis',(0.,0.,1.)); #390355=DIRECTION('ref_axis',(1.,0.,0.)); #390356=DIRECTION('center_axis',(0.,0.,1.)); #390357=DIRECTION('ref_axis',(1.,0.,0.)); #390358=DIRECTION('',(0.,0.,1.)); #390359=DIRECTION('center_axis',(0.,0.,-1.)); #390360=DIRECTION('ref_axis',(1.,0.,0.)); #390361=DIRECTION('center_axis',(0.,0.,1.)); #390362=DIRECTION('ref_axis',(1.,0.,0.)); #390363=DIRECTION('center_axis',(0.,0.,1.)); #390364=DIRECTION('ref_axis',(1.,0.,0.)); #390365=DIRECTION('',(0.,0.,1.)); #390366=DIRECTION('center_axis',(0.,0.,-1.)); #390367=DIRECTION('ref_axis',(1.,0.,0.)); #390368=DIRECTION('center_axis',(0.,0.,1.)); #390369=DIRECTION('ref_axis',(1.,0.,0.)); #390370=DIRECTION('center_axis',(0.,0.,1.)); #390371=DIRECTION('ref_axis',(1.,0.,0.)); #390372=DIRECTION('',(0.,0.,1.)); #390373=DIRECTION('center_axis',(0.,0.,-1.)); #390374=DIRECTION('ref_axis',(1.,0.,0.)); #390375=DIRECTION('center_axis',(0.,0.,1.)); #390376=DIRECTION('ref_axis',(1.,0.,0.)); #390377=DIRECTION('center_axis',(0.,0.,1.)); #390378=DIRECTION('ref_axis',(1.,0.,0.)); #390379=DIRECTION('',(0.,0.,1.)); #390380=DIRECTION('center_axis',(0.,0.,-1.)); #390381=DIRECTION('ref_axis',(1.,0.,0.)); #390382=DIRECTION('center_axis',(0.,0.,1.)); #390383=DIRECTION('ref_axis',(1.,0.,0.)); #390384=DIRECTION('center_axis',(0.,0.,1.)); #390385=DIRECTION('ref_axis',(1.,0.,0.)); #390386=DIRECTION('',(0.,0.,1.)); #390387=DIRECTION('center_axis',(0.,0.,-1.)); #390388=DIRECTION('ref_axis',(1.,0.,0.)); #390389=DIRECTION('center_axis',(1.,0.,0.)); #390390=DIRECTION('ref_axis',(0.,1.,0.)); #390391=DIRECTION('',(0.,1.,0.)); #390392=DIRECTION('',(0.,0.,1.)); #390393=DIRECTION('',(0.,1.,0.)); #390394=DIRECTION('',(0.,0.,1.)); #390395=DIRECTION('center_axis',(0.,-1.,0.)); #390396=DIRECTION('ref_axis',(1.,0.,0.)); #390397=DIRECTION('',(1.,0.,0.)); #390398=DIRECTION('',(1.,0.,0.)); #390399=DIRECTION('',(0.,0.,1.)); #390400=DIRECTION('center_axis',(-1.,0.,0.)); #390401=DIRECTION('ref_axis',(0.,-1.,0.)); #390402=DIRECTION('',(0.,-1.,0.)); #390403=DIRECTION('',(0.,-1.,0.)); #390404=DIRECTION('',(0.,0.,1.)); #390405=DIRECTION('center_axis',(0.,1.,0.)); #390406=DIRECTION('ref_axis',(-1.,0.,0.)); #390407=DIRECTION('',(-1.,0.,0.)); #390408=DIRECTION('',(-1.,0.,0.)); #390409=DIRECTION('center_axis',(0.,0.,1.)); #390410=DIRECTION('ref_axis',(1.,0.,0.)); #390411=DIRECTION('center_axis',(0.,0.,1.)); #390412=DIRECTION('ref_axis',(1.,0.,0.)); #390413=DIRECTION('center_axis',(1.,0.,0.)); #390414=DIRECTION('ref_axis',(0.,1.,0.)); #390415=DIRECTION('',(0.,1.,0.)); #390416=DIRECTION('',(0.,0.,1.)); #390417=DIRECTION('',(0.,1.,0.)); #390418=DIRECTION('',(0.,0.,1.)); #390419=DIRECTION('center_axis',(0.,-1.,0.)); #390420=DIRECTION('ref_axis',(1.,0.,0.)); #390421=DIRECTION('',(1.,0.,0.)); #390422=DIRECTION('',(1.,0.,0.)); #390423=DIRECTION('',(0.,0.,1.)); #390424=DIRECTION('center_axis',(-1.,0.,0.)); #390425=DIRECTION('ref_axis',(0.,-1.,0.)); #390426=DIRECTION('',(0.,-1.,0.)); #390427=DIRECTION('',(0.,-1.,0.)); #390428=DIRECTION('',(0.,0.,1.)); #390429=DIRECTION('center_axis',(0.,1.,0.)); #390430=DIRECTION('ref_axis',(-1.,0.,0.)); #390431=DIRECTION('',(-1.,0.,0.)); #390432=DIRECTION('',(-1.,0.,0.)); #390433=DIRECTION('center_axis',(0.,0.,1.)); #390434=DIRECTION('ref_axis',(1.,0.,0.)); #390435=DIRECTION('center_axis',(0.,0.,1.)); #390436=DIRECTION('ref_axis',(1.,0.,0.)); #390437=DIRECTION('center_axis',(1.,0.,0.)); #390438=DIRECTION('ref_axis',(0.,1.,0.)); #390439=DIRECTION('',(0.,1.,0.)); #390440=DIRECTION('',(0.,0.,1.)); #390441=DIRECTION('',(0.,1.,0.)); #390442=DIRECTION('',(0.,0.,1.)); #390443=DIRECTION('center_axis',(0.,-1.,0.)); #390444=DIRECTION('ref_axis',(1.,0.,0.)); #390445=DIRECTION('',(1.,0.,0.)); #390446=DIRECTION('',(1.,0.,0.)); #390447=DIRECTION('',(0.,0.,1.)); #390448=DIRECTION('center_axis',(-1.,0.,0.)); #390449=DIRECTION('ref_axis',(0.,-1.,0.)); #390450=DIRECTION('',(0.,-1.,0.)); #390451=DIRECTION('',(0.,-1.,0.)); #390452=DIRECTION('',(0.,0.,1.)); #390453=DIRECTION('center_axis',(0.,1.,0.)); #390454=DIRECTION('ref_axis',(-1.,0.,0.)); #390455=DIRECTION('',(-1.,0.,0.)); #390456=DIRECTION('',(-1.,0.,0.)); #390457=DIRECTION('center_axis',(0.,0.,1.)); #390458=DIRECTION('ref_axis',(1.,0.,0.)); #390459=DIRECTION('center_axis',(0.,0.,1.)); #390460=DIRECTION('ref_axis',(1.,0.,0.)); #390461=DIRECTION('center_axis',(1.,0.,0.)); #390462=DIRECTION('ref_axis',(0.,1.,0.)); #390463=DIRECTION('',(0.,1.,0.)); #390464=DIRECTION('',(0.,0.,1.)); #390465=DIRECTION('',(0.,1.,0.)); #390466=DIRECTION('',(0.,0.,1.)); #390467=DIRECTION('center_axis',(0.,-1.,0.)); #390468=DIRECTION('ref_axis',(1.,0.,0.)); #390469=DIRECTION('',(1.,0.,0.)); #390470=DIRECTION('',(1.,0.,0.)); #390471=DIRECTION('',(0.,0.,1.)); #390472=DIRECTION('center_axis',(-1.,0.,0.)); #390473=DIRECTION('ref_axis',(0.,-1.,0.)); #390474=DIRECTION('',(0.,-1.,0.)); #390475=DIRECTION('',(0.,-1.,0.)); #390476=DIRECTION('',(0.,0.,1.)); #390477=DIRECTION('center_axis',(0.,1.,0.)); #390478=DIRECTION('ref_axis',(-1.,0.,0.)); #390479=DIRECTION('',(-1.,0.,0.)); #390480=DIRECTION('',(-1.,0.,0.)); #390481=DIRECTION('center_axis',(0.,0.,1.)); #390482=DIRECTION('ref_axis',(1.,0.,0.)); #390483=DIRECTION('center_axis',(0.,0.,1.)); #390484=DIRECTION('ref_axis',(1.,0.,0.)); #390485=DIRECTION('center_axis',(1.,0.,0.)); #390486=DIRECTION('ref_axis',(0.,1.,0.)); #390487=DIRECTION('',(0.,1.,0.)); #390488=DIRECTION('',(0.,0.,1.)); #390489=DIRECTION('',(0.,1.,0.)); #390490=DIRECTION('',(0.,0.,1.)); #390491=DIRECTION('center_axis',(0.,-1.,0.)); #390492=DIRECTION('ref_axis',(1.,0.,0.)); #390493=DIRECTION('',(1.,0.,0.)); #390494=DIRECTION('',(1.,0.,0.)); #390495=DIRECTION('',(0.,0.,1.)); #390496=DIRECTION('center_axis',(-1.,0.,0.)); #390497=DIRECTION('ref_axis',(0.,-1.,0.)); #390498=DIRECTION('',(0.,-1.,0.)); #390499=DIRECTION('',(0.,-1.,0.)); #390500=DIRECTION('',(0.,0.,1.)); #390501=DIRECTION('center_axis',(0.,1.,0.)); #390502=DIRECTION('ref_axis',(-1.,0.,0.)); #390503=DIRECTION('',(-1.,0.,0.)); #390504=DIRECTION('',(-1.,0.,0.)); #390505=DIRECTION('center_axis',(0.,0.,1.)); #390506=DIRECTION('ref_axis',(1.,0.,0.)); #390507=DIRECTION('center_axis',(0.,0.,1.)); #390508=DIRECTION('ref_axis',(1.,0.,0.)); #390509=DIRECTION('center_axis',(1.,0.,0.)); #390510=DIRECTION('ref_axis',(0.,1.,0.)); #390511=DIRECTION('',(0.,1.,0.)); #390512=DIRECTION('',(0.,0.,1.)); #390513=DIRECTION('',(0.,1.,0.)); #390514=DIRECTION('',(0.,0.,1.)); #390515=DIRECTION('center_axis',(0.,-1.,0.)); #390516=DIRECTION('ref_axis',(1.,0.,0.)); #390517=DIRECTION('',(1.,0.,0.)); #390518=DIRECTION('',(1.,0.,0.)); #390519=DIRECTION('',(0.,0.,1.)); #390520=DIRECTION('center_axis',(-1.,0.,0.)); #390521=DIRECTION('ref_axis',(0.,-1.,0.)); #390522=DIRECTION('',(0.,-1.,0.)); #390523=DIRECTION('',(0.,-1.,0.)); #390524=DIRECTION('',(0.,0.,1.)); #390525=DIRECTION('center_axis',(0.,1.,0.)); #390526=DIRECTION('ref_axis',(-1.,0.,0.)); #390527=DIRECTION('',(-1.,0.,0.)); #390528=DIRECTION('',(-1.,0.,0.)); #390529=DIRECTION('center_axis',(0.,0.,1.)); #390530=DIRECTION('ref_axis',(1.,0.,0.)); #390531=DIRECTION('center_axis',(0.,0.,1.)); #390532=DIRECTION('ref_axis',(1.,0.,0.)); #390533=DIRECTION('center_axis',(1.,0.,0.)); #390534=DIRECTION('ref_axis',(0.,1.,0.)); #390535=DIRECTION('',(0.,1.,0.)); #390536=DIRECTION('',(0.,0.,1.)); #390537=DIRECTION('',(0.,1.,0.)); #390538=DIRECTION('',(0.,0.,1.)); #390539=DIRECTION('center_axis',(0.,-1.,0.)); #390540=DIRECTION('ref_axis',(1.,0.,0.)); #390541=DIRECTION('',(1.,0.,0.)); #390542=DIRECTION('',(1.,0.,0.)); #390543=DIRECTION('',(0.,0.,1.)); #390544=DIRECTION('center_axis',(-1.,0.,0.)); #390545=DIRECTION('ref_axis',(0.,-1.,0.)); #390546=DIRECTION('',(0.,-1.,0.)); #390547=DIRECTION('',(0.,-1.,0.)); #390548=DIRECTION('',(0.,0.,1.)); #390549=DIRECTION('center_axis',(0.,1.,0.)); #390550=DIRECTION('ref_axis',(-1.,0.,0.)); #390551=DIRECTION('',(-1.,0.,0.)); #390552=DIRECTION('',(-1.,0.,0.)); #390553=DIRECTION('center_axis',(0.,0.,1.)); #390554=DIRECTION('ref_axis',(1.,0.,0.)); #390555=DIRECTION('center_axis',(0.,0.,1.)); #390556=DIRECTION('ref_axis',(1.,0.,0.)); #390557=DIRECTION('center_axis',(0.,0.,1.)); #390558=DIRECTION('ref_axis',(1.,0.,0.)); #390559=DIRECTION('center_axis',(0.,0.,1.)); #390560=DIRECTION('ref_axis',(1.,0.,0.)); #390561=DIRECTION('',(0.,0.,1.)); #390562=DIRECTION('center_axis',(0.,0.,-1.)); #390563=DIRECTION('ref_axis',(1.,0.,0.)); #390564=DIRECTION('center_axis',(0.,0.,1.)); #390565=DIRECTION('ref_axis',(1.,0.,0.)); #390566=DIRECTION('center_axis',(0.,0.,1.)); #390567=DIRECTION('ref_axis',(1.,0.,0.)); #390568=DIRECTION('',(0.,0.,1.)); #390569=DIRECTION('center_axis',(0.,0.,-1.)); #390570=DIRECTION('ref_axis',(1.,0.,0.)); #390571=DIRECTION('center_axis',(0.,0.,1.)); #390572=DIRECTION('ref_axis',(1.,0.,0.)); #390573=DIRECTION('center_axis',(0.,0.,1.)); #390574=DIRECTION('ref_axis',(1.,0.,0.)); #390575=DIRECTION('',(0.,0.,1.)); #390576=DIRECTION('center_axis',(0.,0.,-1.)); #390577=DIRECTION('ref_axis',(1.,0.,0.)); #390578=DIRECTION('center_axis',(0.,0.,1.)); #390579=DIRECTION('ref_axis',(1.,0.,0.)); #390580=DIRECTION('center_axis',(0.,0.,1.)); #390581=DIRECTION('ref_axis',(1.,0.,0.)); #390582=DIRECTION('',(0.,0.,1.)); #390583=DIRECTION('center_axis',(0.,0.,-1.)); #390584=DIRECTION('ref_axis',(1.,0.,0.)); #390585=DIRECTION('center_axis',(0.,0.,1.)); #390586=DIRECTION('ref_axis',(1.,0.,0.)); #390587=DIRECTION('center_axis',(0.,0.,1.)); #390588=DIRECTION('ref_axis',(1.,0.,0.)); #390589=DIRECTION('',(0.,0.,1.)); #390590=DIRECTION('center_axis',(0.,0.,-1.)); #390591=DIRECTION('ref_axis',(1.,0.,0.)); #390592=DIRECTION('center_axis',(0.,0.,1.)); #390593=DIRECTION('ref_axis',(1.,0.,0.)); #390594=DIRECTION('center_axis',(0.,0.,1.)); #390595=DIRECTION('ref_axis',(1.,0.,0.)); #390596=DIRECTION('',(0.,0.,1.)); #390597=DIRECTION('center_axis',(0.,0.,-1.)); #390598=DIRECTION('ref_axis',(1.,0.,0.)); #390599=DIRECTION('center_axis',(1.,0.,0.)); #390600=DIRECTION('ref_axis',(0.,1.,0.)); #390601=DIRECTION('',(0.,1.,0.)); #390602=DIRECTION('',(0.,0.,1.)); #390603=DIRECTION('',(0.,1.,0.)); #390604=DIRECTION('',(0.,0.,1.)); #390605=DIRECTION('center_axis',(0.,-1.,0.)); #390606=DIRECTION('ref_axis',(1.,0.,0.)); #390607=DIRECTION('',(1.,0.,0.)); #390608=DIRECTION('',(1.,0.,0.)); #390609=DIRECTION('',(0.,0.,1.)); #390610=DIRECTION('center_axis',(-1.,0.,0.)); #390611=DIRECTION('ref_axis',(0.,-1.,0.)); #390612=DIRECTION('',(0.,-1.,0.)); #390613=DIRECTION('',(0.,-1.,0.)); #390614=DIRECTION('',(0.,0.,1.)); #390615=DIRECTION('center_axis',(0.,1.,0.)); #390616=DIRECTION('ref_axis',(-1.,0.,0.)); #390617=DIRECTION('',(-1.,0.,0.)); #390618=DIRECTION('',(-1.,0.,0.)); #390619=DIRECTION('center_axis',(0.,0.,1.)); #390620=DIRECTION('ref_axis',(1.,0.,0.)); #390621=DIRECTION('center_axis',(0.,0.,1.)); #390622=DIRECTION('ref_axis',(1.,0.,0.)); #390623=DIRECTION('center_axis',(1.,0.,0.)); #390624=DIRECTION('ref_axis',(0.,1.,0.)); #390625=DIRECTION('',(0.,1.,0.)); #390626=DIRECTION('',(0.,0.,1.)); #390627=DIRECTION('',(0.,1.,0.)); #390628=DIRECTION('',(0.,0.,1.)); #390629=DIRECTION('center_axis',(0.,-1.,0.)); #390630=DIRECTION('ref_axis',(1.,0.,0.)); #390631=DIRECTION('',(1.,0.,0.)); #390632=DIRECTION('',(1.,0.,0.)); #390633=DIRECTION('',(0.,0.,1.)); #390634=DIRECTION('center_axis',(-1.,0.,0.)); #390635=DIRECTION('ref_axis',(0.,-1.,0.)); #390636=DIRECTION('',(0.,-1.,0.)); #390637=DIRECTION('',(0.,-1.,0.)); #390638=DIRECTION('',(0.,0.,1.)); #390639=DIRECTION('center_axis',(0.,1.,0.)); #390640=DIRECTION('ref_axis',(-1.,0.,0.)); #390641=DIRECTION('',(-1.,0.,0.)); #390642=DIRECTION('',(-1.,0.,0.)); #390643=DIRECTION('center_axis',(0.,0.,1.)); #390644=DIRECTION('ref_axis',(1.,0.,0.)); #390645=DIRECTION('center_axis',(0.,0.,1.)); #390646=DIRECTION('ref_axis',(1.,0.,0.)); #390647=DIRECTION('center_axis',(1.,0.,0.)); #390648=DIRECTION('ref_axis',(0.,1.,0.)); #390649=DIRECTION('',(0.,1.,0.)); #390650=DIRECTION('',(0.,0.,1.)); #390651=DIRECTION('',(0.,1.,0.)); #390652=DIRECTION('',(0.,0.,1.)); #390653=DIRECTION('center_axis',(0.,-1.,0.)); #390654=DIRECTION('ref_axis',(1.,0.,0.)); #390655=DIRECTION('',(1.,0.,0.)); #390656=DIRECTION('',(1.,0.,0.)); #390657=DIRECTION('',(0.,0.,1.)); #390658=DIRECTION('center_axis',(-1.,0.,0.)); #390659=DIRECTION('ref_axis',(0.,-1.,0.)); #390660=DIRECTION('',(0.,-1.,0.)); #390661=DIRECTION('',(0.,-1.,0.)); #390662=DIRECTION('',(0.,0.,1.)); #390663=DIRECTION('center_axis',(0.,1.,0.)); #390664=DIRECTION('ref_axis',(-1.,0.,0.)); #390665=DIRECTION('',(-1.,0.,0.)); #390666=DIRECTION('',(-1.,0.,0.)); #390667=DIRECTION('center_axis',(0.,0.,1.)); #390668=DIRECTION('ref_axis',(1.,0.,0.)); #390669=DIRECTION('center_axis',(0.,0.,1.)); #390670=DIRECTION('ref_axis',(1.,0.,0.)); #390671=DIRECTION('center_axis',(0.,0.,1.)); #390672=DIRECTION('ref_axis',(1.,0.,0.)); #390673=DIRECTION('center_axis',(0.,0.,1.)); #390674=DIRECTION('ref_axis',(1.,0.,0.)); #390675=DIRECTION('',(0.,0.,1.)); #390676=DIRECTION('center_axis',(0.,0.,-1.)); #390677=DIRECTION('ref_axis',(1.,0.,0.)); #390678=DIRECTION('center_axis',(0.,0.,1.)); #390679=DIRECTION('ref_axis',(1.,0.,0.)); #390680=DIRECTION('center_axis',(0.,0.,1.)); #390681=DIRECTION('ref_axis',(1.,0.,0.)); #390682=DIRECTION('',(0.,0.,1.)); #390683=DIRECTION('center_axis',(0.,0.,-1.)); #390684=DIRECTION('ref_axis',(1.,0.,0.)); #390685=DIRECTION('center_axis',(0.,0.,1.)); #390686=DIRECTION('ref_axis',(1.,0.,0.)); #390687=DIRECTION('center_axis',(0.,0.,1.)); #390688=DIRECTION('ref_axis',(1.,0.,0.)); #390689=DIRECTION('',(0.,0.,1.)); #390690=DIRECTION('center_axis',(0.,0.,-1.)); #390691=DIRECTION('ref_axis',(1.,0.,0.)); #390692=DIRECTION('center_axis',(0.,0.,1.)); #390693=DIRECTION('ref_axis',(1.,0.,0.)); #390694=DIRECTION('center_axis',(0.,0.,1.)); #390695=DIRECTION('ref_axis',(1.,0.,0.)); #390696=DIRECTION('',(0.,0.,1.)); #390697=DIRECTION('center_axis',(0.,0.,-1.)); #390698=DIRECTION('ref_axis',(1.,0.,0.)); #390699=DIRECTION('center_axis',(0.,0.,1.)); #390700=DIRECTION('ref_axis',(1.,0.,0.)); #390701=DIRECTION('center_axis',(0.,0.,1.)); #390702=DIRECTION('ref_axis',(1.,0.,0.)); #390703=DIRECTION('',(0.,0.,1.)); #390704=DIRECTION('center_axis',(0.,0.,-1.)); #390705=DIRECTION('ref_axis',(1.,0.,0.)); #390706=DIRECTION('center_axis',(0.,0.,1.)); #390707=DIRECTION('ref_axis',(1.,0.,0.)); #390708=DIRECTION('center_axis',(0.,0.,1.)); #390709=DIRECTION('ref_axis',(1.,0.,0.)); #390710=DIRECTION('',(0.,0.,1.)); #390711=DIRECTION('center_axis',(0.,0.,-1.)); #390712=DIRECTION('ref_axis',(1.,0.,0.)); #390713=DIRECTION('center_axis',(0.,0.,1.)); #390714=DIRECTION('ref_axis',(1.,0.,0.)); #390715=DIRECTION('center_axis',(0.,0.,1.)); #390716=DIRECTION('ref_axis',(1.,0.,0.)); #390717=DIRECTION('',(0.,0.,1.)); #390718=DIRECTION('center_axis',(0.,0.,-1.)); #390719=DIRECTION('ref_axis',(1.,0.,0.)); #390720=DIRECTION('center_axis',(0.,0.,1.)); #390721=DIRECTION('ref_axis',(1.,0.,0.)); #390722=DIRECTION('center_axis',(0.,0.,1.)); #390723=DIRECTION('ref_axis',(1.,0.,0.)); #390724=DIRECTION('',(0.,0.,1.)); #390725=DIRECTION('center_axis',(0.,0.,-1.)); #390726=DIRECTION('ref_axis',(1.,0.,0.)); #390727=DIRECTION('center_axis',(0.,0.,1.)); #390728=DIRECTION('ref_axis',(1.,0.,0.)); #390729=DIRECTION('center_axis',(0.,0.,1.)); #390730=DIRECTION('ref_axis',(1.,0.,0.)); #390731=DIRECTION('',(0.,0.,1.)); #390732=DIRECTION('center_axis',(0.,0.,-1.)); #390733=DIRECTION('ref_axis',(1.,0.,0.)); #390734=DIRECTION('center_axis',(0.,0.,1.)); #390735=DIRECTION('ref_axis',(1.,0.,0.)); #390736=DIRECTION('center_axis',(0.,0.,1.)); #390737=DIRECTION('ref_axis',(1.,0.,0.)); #390738=DIRECTION('',(0.,0.,1.)); #390739=DIRECTION('center_axis',(0.,0.,-1.)); #390740=DIRECTION('ref_axis',(1.,0.,0.)); #390741=DIRECTION('center_axis',(0.,0.,1.)); #390742=DIRECTION('ref_axis',(1.,0.,0.)); #390743=DIRECTION('center_axis',(0.,0.,1.)); #390744=DIRECTION('ref_axis',(1.,0.,0.)); #390745=DIRECTION('',(0.,0.,1.)); #390746=DIRECTION('center_axis',(0.,0.,-1.)); #390747=DIRECTION('ref_axis',(1.,0.,0.)); #390748=DIRECTION('center_axis',(0.,0.,1.)); #390749=DIRECTION('ref_axis',(1.,0.,0.)); #390750=DIRECTION('center_axis',(0.,0.,1.)); #390751=DIRECTION('ref_axis',(1.,0.,0.)); #390752=DIRECTION('',(0.,0.,1.)); #390753=DIRECTION('center_axis',(0.,0.,-1.)); #390754=DIRECTION('ref_axis',(1.,0.,0.)); #390755=DIRECTION('center_axis',(0.,0.,1.)); #390756=DIRECTION('ref_axis',(1.,0.,0.)); #390757=DIRECTION('center_axis',(0.,0.,1.)); #390758=DIRECTION('ref_axis',(1.,0.,0.)); #390759=DIRECTION('',(0.,0.,1.)); #390760=DIRECTION('center_axis',(0.,0.,-1.)); #390761=DIRECTION('ref_axis',(1.,0.,0.)); #390762=DIRECTION('center_axis',(0.,0.,1.)); #390763=DIRECTION('ref_axis',(1.,0.,0.)); #390764=DIRECTION('center_axis',(0.,0.,1.)); #390765=DIRECTION('ref_axis',(1.,0.,0.)); #390766=DIRECTION('',(0.,0.,1.)); #390767=DIRECTION('center_axis',(0.,0.,-1.)); #390768=DIRECTION('ref_axis',(1.,0.,0.)); #390769=DIRECTION('center_axis',(0.,0.,1.)); #390770=DIRECTION('ref_axis',(1.,0.,0.)); #390771=DIRECTION('center_axis',(0.,0.,1.)); #390772=DIRECTION('ref_axis',(1.,0.,0.)); #390773=DIRECTION('',(0.,0.,1.)); #390774=DIRECTION('center_axis',(0.,0.,-1.)); #390775=DIRECTION('ref_axis',(1.,0.,0.)); #390776=DIRECTION('center_axis',(0.,0.,1.)); #390777=DIRECTION('ref_axis',(1.,0.,0.)); #390778=DIRECTION('center_axis',(0.,0.,1.)); #390779=DIRECTION('ref_axis',(1.,0.,0.)); #390780=DIRECTION('',(0.,0.,1.)); #390781=DIRECTION('center_axis',(0.,0.,-1.)); #390782=DIRECTION('ref_axis',(1.,0.,0.)); #390783=DIRECTION('center_axis',(0.,0.,1.)); #390784=DIRECTION('ref_axis',(1.,0.,0.)); #390785=DIRECTION('center_axis',(0.,0.,1.)); #390786=DIRECTION('ref_axis',(1.,0.,0.)); #390787=DIRECTION('',(0.,0.,1.)); #390788=DIRECTION('center_axis',(0.,0.,-1.)); #390789=DIRECTION('ref_axis',(1.,0.,0.)); #390790=DIRECTION('center_axis',(0.,0.,1.)); #390791=DIRECTION('ref_axis',(1.,0.,0.)); #390792=DIRECTION('center_axis',(0.,0.,1.)); #390793=DIRECTION('ref_axis',(1.,0.,0.)); #390794=DIRECTION('',(0.,0.,1.)); #390795=DIRECTION('center_axis',(0.,0.,-1.)); #390796=DIRECTION('ref_axis',(1.,0.,0.)); #390797=DIRECTION('center_axis',(0.,0.,1.)); #390798=DIRECTION('ref_axis',(1.,0.,0.)); #390799=DIRECTION('center_axis',(0.,0.,1.)); #390800=DIRECTION('ref_axis',(1.,0.,0.)); #390801=DIRECTION('',(0.,0.,1.)); #390802=DIRECTION('center_axis',(0.,0.,-1.)); #390803=DIRECTION('ref_axis',(1.,0.,0.)); #390804=DIRECTION('center_axis',(0.,0.,1.)); #390805=DIRECTION('ref_axis',(1.,0.,0.)); #390806=DIRECTION('center_axis',(0.,0.,1.)); #390807=DIRECTION('ref_axis',(1.,0.,0.)); #390808=DIRECTION('',(0.,0.,1.)); #390809=DIRECTION('center_axis',(0.,0.,-1.)); #390810=DIRECTION('ref_axis',(1.,0.,0.)); #390811=DIRECTION('center_axis',(0.,0.,1.)); #390812=DIRECTION('ref_axis',(1.,0.,0.)); #390813=DIRECTION('center_axis',(0.,0.,1.)); #390814=DIRECTION('ref_axis',(1.,0.,0.)); #390815=DIRECTION('',(0.,0.,1.)); #390816=DIRECTION('center_axis',(0.,0.,-1.)); #390817=DIRECTION('ref_axis',(1.,0.,0.)); #390818=DIRECTION('center_axis',(0.,0.,1.)); #390819=DIRECTION('ref_axis',(1.,0.,0.)); #390820=DIRECTION('center_axis',(0.,0.,1.)); #390821=DIRECTION('ref_axis',(1.,0.,0.)); #390822=DIRECTION('',(0.,0.,1.)); #390823=DIRECTION('center_axis',(0.,0.,-1.)); #390824=DIRECTION('ref_axis',(1.,0.,0.)); #390825=DIRECTION('center_axis',(0.,0.,1.)); #390826=DIRECTION('ref_axis',(1.,0.,0.)); #390827=DIRECTION('center_axis',(0.,0.,1.)); #390828=DIRECTION('ref_axis',(1.,0.,0.)); #390829=DIRECTION('',(0.,0.,1.)); #390830=DIRECTION('center_axis',(0.,0.,-1.)); #390831=DIRECTION('ref_axis',(1.,0.,0.)); #390832=DIRECTION('center_axis',(0.,0.,1.)); #390833=DIRECTION('ref_axis',(1.,0.,0.)); #390834=DIRECTION('center_axis',(0.,0.,1.)); #390835=DIRECTION('ref_axis',(1.,0.,0.)); #390836=DIRECTION('',(0.,0.,1.)); #390837=DIRECTION('center_axis',(0.,0.,-1.)); #390838=DIRECTION('ref_axis',(1.,0.,0.)); #390839=DIRECTION('center_axis',(0.,0.,1.)); #390840=DIRECTION('ref_axis',(1.,0.,0.)); #390841=DIRECTION('center_axis',(0.,0.,1.)); #390842=DIRECTION('ref_axis',(1.,0.,0.)); #390843=DIRECTION('',(0.,0.,1.)); #390844=DIRECTION('center_axis',(0.,0.,-1.)); #390845=DIRECTION('ref_axis',(1.,0.,0.)); #390846=DIRECTION('center_axis',(0.,0.,1.)); #390847=DIRECTION('ref_axis',(1.,0.,0.)); #390848=DIRECTION('center_axis',(0.,0.,1.)); #390849=DIRECTION('ref_axis',(1.,0.,0.)); #390850=DIRECTION('',(0.,0.,1.)); #390851=DIRECTION('center_axis',(0.,0.,-1.)); #390852=DIRECTION('ref_axis',(1.,0.,0.)); #390853=DIRECTION('center_axis',(0.,0.,1.)); #390854=DIRECTION('ref_axis',(1.,0.,0.)); #390855=DIRECTION('center_axis',(0.,0.,1.)); #390856=DIRECTION('ref_axis',(1.,0.,0.)); #390857=DIRECTION('',(0.,0.,1.)); #390858=DIRECTION('center_axis',(0.,0.,-1.)); #390859=DIRECTION('ref_axis',(1.,0.,0.)); #390860=DIRECTION('center_axis',(0.,0.,1.)); #390861=DIRECTION('ref_axis',(1.,0.,0.)); #390862=DIRECTION('center_axis',(0.,0.,1.)); #390863=DIRECTION('ref_axis',(1.,0.,0.)); #390864=DIRECTION('',(0.,0.,1.)); #390865=DIRECTION('center_axis',(0.,0.,-1.)); #390866=DIRECTION('ref_axis',(1.,0.,0.)); #390867=DIRECTION('center_axis',(0.,0.,1.)); #390868=DIRECTION('ref_axis',(1.,0.,0.)); #390869=DIRECTION('center_axis',(0.,0.,1.)); #390870=DIRECTION('ref_axis',(1.,0.,0.)); #390871=DIRECTION('',(0.,0.,1.)); #390872=DIRECTION('center_axis',(0.,0.,-1.)); #390873=DIRECTION('ref_axis',(1.,0.,0.)); #390874=DIRECTION('center_axis',(0.,0.,1.)); #390875=DIRECTION('ref_axis',(1.,0.,0.)); #390876=DIRECTION('center_axis',(0.,0.,1.)); #390877=DIRECTION('ref_axis',(1.,0.,0.)); #390878=DIRECTION('',(0.,0.,1.)); #390879=DIRECTION('center_axis',(0.,0.,-1.)); #390880=DIRECTION('ref_axis',(1.,0.,0.)); #390881=DIRECTION('center_axis',(0.,0.,1.)); #390882=DIRECTION('ref_axis',(1.,0.,0.)); #390883=DIRECTION('center_axis',(0.,0.,1.)); #390884=DIRECTION('ref_axis',(1.,0.,0.)); #390885=DIRECTION('',(0.,0.,1.)); #390886=DIRECTION('center_axis',(0.,0.,-1.)); #390887=DIRECTION('ref_axis',(1.,0.,0.)); #390888=DIRECTION('center_axis',(0.,0.,1.)); #390889=DIRECTION('ref_axis',(1.,0.,0.)); #390890=DIRECTION('center_axis',(0.,0.,1.)); #390891=DIRECTION('ref_axis',(1.,0.,0.)); #390892=DIRECTION('',(0.,0.,1.)); #390893=DIRECTION('center_axis',(0.,0.,-1.)); #390894=DIRECTION('ref_axis',(1.,0.,0.)); #390895=DIRECTION('center_axis',(0.,0.,1.)); #390896=DIRECTION('ref_axis',(1.,0.,0.)); #390897=DIRECTION('center_axis',(0.,0.,1.)); #390898=DIRECTION('ref_axis',(1.,0.,0.)); #390899=DIRECTION('',(0.,0.,1.)); #390900=DIRECTION('center_axis',(0.,0.,-1.)); #390901=DIRECTION('ref_axis',(1.,0.,0.)); #390902=DIRECTION('center_axis',(0.,0.,1.)); #390903=DIRECTION('ref_axis',(1.,0.,0.)); #390904=DIRECTION('center_axis',(0.,0.,1.)); #390905=DIRECTION('ref_axis',(1.,0.,0.)); #390906=DIRECTION('',(0.,0.,1.)); #390907=DIRECTION('center_axis',(0.,0.,-1.)); #390908=DIRECTION('ref_axis',(1.,0.,0.)); #390909=DIRECTION('center_axis',(0.,0.,1.)); #390910=DIRECTION('ref_axis',(1.,0.,0.)); #390911=DIRECTION('center_axis',(0.,0.,1.)); #390912=DIRECTION('ref_axis',(1.,0.,0.)); #390913=DIRECTION('',(0.,0.,1.)); #390914=DIRECTION('center_axis',(0.,0.,-1.)); #390915=DIRECTION('ref_axis',(1.,0.,0.)); #390916=DIRECTION('center_axis',(0.,0.,1.)); #390917=DIRECTION('ref_axis',(1.,0.,0.)); #390918=DIRECTION('center_axis',(0.,0.,1.)); #390919=DIRECTION('ref_axis',(1.,0.,0.)); #390920=DIRECTION('',(0.,0.,1.)); #390921=DIRECTION('center_axis',(0.,0.,-1.)); #390922=DIRECTION('ref_axis',(1.,0.,0.)); #390923=DIRECTION('center_axis',(0.,0.,1.)); #390924=DIRECTION('ref_axis',(1.,0.,0.)); #390925=DIRECTION('center_axis',(0.,0.,1.)); #390926=DIRECTION('ref_axis',(1.,0.,0.)); #390927=DIRECTION('',(0.,0.,1.)); #390928=DIRECTION('center_axis',(0.,0.,-1.)); #390929=DIRECTION('ref_axis',(1.,0.,0.)); #390930=DIRECTION('center_axis',(0.,0.,1.)); #390931=DIRECTION('ref_axis',(1.,0.,0.)); #390932=DIRECTION('center_axis',(0.,0.,1.)); #390933=DIRECTION('ref_axis',(1.,0.,0.)); #390934=DIRECTION('',(0.,0.,1.)); #390935=DIRECTION('center_axis',(0.,0.,-1.)); #390936=DIRECTION('ref_axis',(1.,0.,0.)); #390937=DIRECTION('center_axis',(0.,0.,1.)); #390938=DIRECTION('ref_axis',(1.,0.,0.)); #390939=DIRECTION('center_axis',(0.,0.,1.)); #390940=DIRECTION('ref_axis',(1.,0.,0.)); #390941=DIRECTION('',(0.,0.,1.)); #390942=DIRECTION('center_axis',(0.,0.,-1.)); #390943=DIRECTION('ref_axis',(1.,0.,0.)); #390944=DIRECTION('center_axis',(0.,0.,1.)); #390945=DIRECTION('ref_axis',(1.,0.,0.)); #390946=DIRECTION('center_axis',(0.,0.,1.)); #390947=DIRECTION('ref_axis',(1.,0.,0.)); #390948=DIRECTION('',(0.,0.,1.)); #390949=DIRECTION('center_axis',(0.,0.,-1.)); #390950=DIRECTION('ref_axis',(1.,0.,0.)); #390951=DIRECTION('center_axis',(0.,0.,1.)); #390952=DIRECTION('ref_axis',(1.,0.,0.)); #390953=DIRECTION('center_axis',(0.,0.,1.)); #390954=DIRECTION('ref_axis',(1.,0.,0.)); #390955=DIRECTION('',(0.,0.,1.)); #390956=DIRECTION('center_axis',(0.,0.,-1.)); #390957=DIRECTION('ref_axis',(1.,0.,0.)); #390958=DIRECTION('center_axis',(0.,0.,1.)); #390959=DIRECTION('ref_axis',(1.,0.,0.)); #390960=DIRECTION('center_axis',(0.,0.,1.)); #390961=DIRECTION('ref_axis',(1.,0.,0.)); #390962=DIRECTION('',(0.,0.,1.)); #390963=DIRECTION('center_axis',(0.,0.,-1.)); #390964=DIRECTION('ref_axis',(1.,0.,0.)); #390965=DIRECTION('center_axis',(0.,0.,1.)); #390966=DIRECTION('ref_axis',(1.,0.,0.)); #390967=DIRECTION('center_axis',(0.,0.,1.)); #390968=DIRECTION('ref_axis',(1.,0.,0.)); #390969=DIRECTION('',(0.,0.,1.)); #390970=DIRECTION('center_axis',(0.,0.,-1.)); #390971=DIRECTION('ref_axis',(1.,0.,0.)); #390972=DIRECTION('center_axis',(0.,0.,1.)); #390973=DIRECTION('ref_axis',(1.,0.,0.)); #390974=DIRECTION('center_axis',(0.,0.,1.)); #390975=DIRECTION('ref_axis',(1.,0.,0.)); #390976=DIRECTION('',(0.,0.,1.)); #390977=DIRECTION('center_axis',(0.,0.,-1.)); #390978=DIRECTION('ref_axis',(1.,0.,0.)); #390979=DIRECTION('center_axis',(0.,0.,1.)); #390980=DIRECTION('ref_axis',(1.,0.,0.)); #390981=DIRECTION('center_axis',(0.,0.,1.)); #390982=DIRECTION('ref_axis',(1.,0.,0.)); #390983=DIRECTION('',(0.,0.,1.)); #390984=DIRECTION('center_axis',(0.,0.,-1.)); #390985=DIRECTION('ref_axis',(1.,0.,0.)); #390986=DIRECTION('center_axis',(0.,0.,1.)); #390987=DIRECTION('ref_axis',(1.,0.,0.)); #390988=DIRECTION('center_axis',(0.,0.,1.)); #390989=DIRECTION('ref_axis',(1.,0.,0.)); #390990=DIRECTION('',(0.,0.,1.)); #390991=DIRECTION('center_axis',(0.,0.,-1.)); #390992=DIRECTION('ref_axis',(1.,0.,0.)); #390993=DIRECTION('center_axis',(0.,0.,1.)); #390994=DIRECTION('ref_axis',(1.,0.,0.)); #390995=DIRECTION('center_axis',(0.,0.,1.)); #390996=DIRECTION('ref_axis',(1.,0.,0.)); #390997=DIRECTION('',(0.,0.,1.)); #390998=DIRECTION('center_axis',(0.,0.,-1.)); #390999=DIRECTION('ref_axis',(1.,0.,0.)); #391000=DIRECTION('center_axis',(0.,0.,1.)); #391001=DIRECTION('ref_axis',(1.,0.,0.)); #391002=DIRECTION('center_axis',(0.,0.,1.)); #391003=DIRECTION('ref_axis',(1.,0.,0.)); #391004=DIRECTION('',(0.,0.,1.)); #391005=DIRECTION('center_axis',(0.,0.,-1.)); #391006=DIRECTION('ref_axis',(1.,0.,0.)); #391007=DIRECTION('center_axis',(0.,0.,1.)); #391008=DIRECTION('ref_axis',(1.,0.,0.)); #391009=DIRECTION('center_axis',(0.,0.,1.)); #391010=DIRECTION('ref_axis',(1.,0.,0.)); #391011=DIRECTION('',(0.,0.,1.)); #391012=DIRECTION('center_axis',(0.,0.,-1.)); #391013=DIRECTION('ref_axis',(1.,0.,0.)); #391014=DIRECTION('center_axis',(1.,0.,0.)); #391015=DIRECTION('ref_axis',(0.,1.,0.)); #391016=DIRECTION('',(0.,1.,0.)); #391017=DIRECTION('',(0.,0.,1.)); #391018=DIRECTION('',(0.,1.,0.)); #391019=DIRECTION('',(0.,0.,1.)); #391020=DIRECTION('center_axis',(0.,-1.,0.)); #391021=DIRECTION('ref_axis',(1.,0.,0.)); #391022=DIRECTION('',(1.,0.,0.)); #391023=DIRECTION('',(1.,0.,0.)); #391024=DIRECTION('',(0.,0.,1.)); #391025=DIRECTION('center_axis',(-1.,0.,0.)); #391026=DIRECTION('ref_axis',(0.,-1.,0.)); #391027=DIRECTION('',(0.,-1.,0.)); #391028=DIRECTION('',(0.,-1.,0.)); #391029=DIRECTION('',(0.,0.,1.)); #391030=DIRECTION('center_axis',(0.,1.,0.)); #391031=DIRECTION('ref_axis',(-1.,0.,0.)); #391032=DIRECTION('',(-1.,0.,0.)); #391033=DIRECTION('',(-1.,0.,0.)); #391034=DIRECTION('center_axis',(0.,0.,1.)); #391035=DIRECTION('ref_axis',(1.,0.,0.)); #391036=DIRECTION('center_axis',(0.,0.,1.)); #391037=DIRECTION('ref_axis',(1.,0.,0.)); #391038=DIRECTION('center_axis',(1.,0.,0.)); #391039=DIRECTION('ref_axis',(0.,1.,0.)); #391040=DIRECTION('',(0.,1.,0.)); #391041=DIRECTION('',(0.,0.,1.)); #391042=DIRECTION('',(0.,1.,0.)); #391043=DIRECTION('',(0.,0.,1.)); #391044=DIRECTION('center_axis',(0.,-1.,0.)); #391045=DIRECTION('ref_axis',(1.,0.,0.)); #391046=DIRECTION('',(1.,0.,0.)); #391047=DIRECTION('',(1.,0.,0.)); #391048=DIRECTION('',(0.,0.,1.)); #391049=DIRECTION('center_axis',(-1.,0.,0.)); #391050=DIRECTION('ref_axis',(0.,-1.,0.)); #391051=DIRECTION('',(0.,-1.,0.)); #391052=DIRECTION('',(0.,-1.,0.)); #391053=DIRECTION('',(0.,0.,1.)); #391054=DIRECTION('center_axis',(0.,1.,0.)); #391055=DIRECTION('ref_axis',(-1.,0.,0.)); #391056=DIRECTION('',(-1.,0.,0.)); #391057=DIRECTION('',(-1.,0.,0.)); #391058=DIRECTION('center_axis',(0.,0.,1.)); #391059=DIRECTION('ref_axis',(1.,0.,0.)); #391060=DIRECTION('center_axis',(0.,0.,1.)); #391061=DIRECTION('ref_axis',(1.,0.,0.)); #391062=DIRECTION('center_axis',(1.,0.,0.)); #391063=DIRECTION('ref_axis',(0.,1.,0.)); #391064=DIRECTION('',(0.,1.,0.)); #391065=DIRECTION('',(0.,0.,1.)); #391066=DIRECTION('',(0.,1.,0.)); #391067=DIRECTION('',(0.,0.,1.)); #391068=DIRECTION('center_axis',(0.,-1.,0.)); #391069=DIRECTION('ref_axis',(1.,0.,0.)); #391070=DIRECTION('',(1.,0.,0.)); #391071=DIRECTION('',(1.,0.,0.)); #391072=DIRECTION('',(0.,0.,1.)); #391073=DIRECTION('center_axis',(-1.,0.,0.)); #391074=DIRECTION('ref_axis',(0.,-1.,0.)); #391075=DIRECTION('',(0.,-1.,0.)); #391076=DIRECTION('',(0.,-1.,0.)); #391077=DIRECTION('',(0.,0.,1.)); #391078=DIRECTION('center_axis',(0.,1.,0.)); #391079=DIRECTION('ref_axis',(-1.,0.,0.)); #391080=DIRECTION('',(-1.,0.,0.)); #391081=DIRECTION('',(-1.,0.,0.)); #391082=DIRECTION('center_axis',(0.,0.,1.)); #391083=DIRECTION('ref_axis',(1.,0.,0.)); #391084=DIRECTION('center_axis',(0.,0.,1.)); #391085=DIRECTION('ref_axis',(1.,0.,0.)); #391086=DIRECTION('center_axis',(1.,0.,0.)); #391087=DIRECTION('ref_axis',(0.,1.,0.)); #391088=DIRECTION('',(0.,1.,0.)); #391089=DIRECTION('',(0.,0.,1.)); #391090=DIRECTION('',(0.,1.,0.)); #391091=DIRECTION('',(0.,0.,1.)); #391092=DIRECTION('center_axis',(0.,-1.,0.)); #391093=DIRECTION('ref_axis',(1.,0.,0.)); #391094=DIRECTION('',(1.,0.,0.)); #391095=DIRECTION('',(1.,0.,0.)); #391096=DIRECTION('',(0.,0.,1.)); #391097=DIRECTION('center_axis',(-1.,0.,0.)); #391098=DIRECTION('ref_axis',(0.,-1.,0.)); #391099=DIRECTION('',(0.,-1.,0.)); #391100=DIRECTION('',(0.,-1.,0.)); #391101=DIRECTION('',(0.,0.,1.)); #391102=DIRECTION('center_axis',(0.,1.,0.)); #391103=DIRECTION('ref_axis',(-1.,0.,0.)); #391104=DIRECTION('',(-1.,0.,0.)); #391105=DIRECTION('',(-1.,0.,0.)); #391106=DIRECTION('center_axis',(0.,0.,1.)); #391107=DIRECTION('ref_axis',(1.,0.,0.)); #391108=DIRECTION('center_axis',(0.,0.,1.)); #391109=DIRECTION('ref_axis',(1.,0.,0.)); #391110=DIRECTION('center_axis',(1.,0.,0.)); #391111=DIRECTION('ref_axis',(0.,1.,0.)); #391112=DIRECTION('',(0.,1.,0.)); #391113=DIRECTION('',(0.,0.,1.)); #391114=DIRECTION('',(0.,1.,0.)); #391115=DIRECTION('',(0.,0.,1.)); #391116=DIRECTION('center_axis',(0.,-1.,0.)); #391117=DIRECTION('ref_axis',(1.,0.,0.)); #391118=DIRECTION('',(1.,0.,0.)); #391119=DIRECTION('',(1.,0.,0.)); #391120=DIRECTION('',(0.,0.,1.)); #391121=DIRECTION('center_axis',(-1.,0.,0.)); #391122=DIRECTION('ref_axis',(0.,-1.,0.)); #391123=DIRECTION('',(0.,-1.,0.)); #391124=DIRECTION('',(0.,-1.,0.)); #391125=DIRECTION('',(0.,0.,1.)); #391126=DIRECTION('center_axis',(0.,1.,0.)); #391127=DIRECTION('ref_axis',(-1.,0.,0.)); #391128=DIRECTION('',(-1.,0.,0.)); #391129=DIRECTION('',(-1.,0.,0.)); #391130=DIRECTION('center_axis',(0.,0.,1.)); #391131=DIRECTION('ref_axis',(1.,0.,0.)); #391132=DIRECTION('center_axis',(0.,0.,1.)); #391133=DIRECTION('ref_axis',(1.,0.,0.)); #391134=DIRECTION('center_axis',(1.,0.,0.)); #391135=DIRECTION('ref_axis',(0.,1.,0.)); #391136=DIRECTION('',(0.,1.,0.)); #391137=DIRECTION('',(0.,0.,1.)); #391138=DIRECTION('',(0.,1.,0.)); #391139=DIRECTION('',(0.,0.,1.)); #391140=DIRECTION('center_axis',(0.,-1.,0.)); #391141=DIRECTION('ref_axis',(1.,0.,0.)); #391142=DIRECTION('',(1.,0.,0.)); #391143=DIRECTION('',(1.,0.,0.)); #391144=DIRECTION('',(0.,0.,1.)); #391145=DIRECTION('center_axis',(-1.,0.,0.)); #391146=DIRECTION('ref_axis',(0.,-1.,0.)); #391147=DIRECTION('',(0.,-1.,0.)); #391148=DIRECTION('',(0.,-1.,0.)); #391149=DIRECTION('',(0.,0.,1.)); #391150=DIRECTION('center_axis',(0.,1.,0.)); #391151=DIRECTION('ref_axis',(-1.,0.,0.)); #391152=DIRECTION('',(-1.,0.,0.)); #391153=DIRECTION('',(-1.,0.,0.)); #391154=DIRECTION('center_axis',(0.,0.,1.)); #391155=DIRECTION('ref_axis',(1.,0.,0.)); #391156=DIRECTION('center_axis',(0.,0.,1.)); #391157=DIRECTION('ref_axis',(1.,0.,0.)); #391158=DIRECTION('center_axis',(1.,0.,0.)); #391159=DIRECTION('ref_axis',(0.,1.,0.)); #391160=DIRECTION('',(0.,1.,0.)); #391161=DIRECTION('',(0.,0.,1.)); #391162=DIRECTION('',(0.,1.,0.)); #391163=DIRECTION('',(0.,0.,1.)); #391164=DIRECTION('center_axis',(0.,-1.,0.)); #391165=DIRECTION('ref_axis',(1.,0.,0.)); #391166=DIRECTION('',(1.,0.,0.)); #391167=DIRECTION('',(1.,0.,0.)); #391168=DIRECTION('',(0.,0.,1.)); #391169=DIRECTION('center_axis',(-1.,0.,0.)); #391170=DIRECTION('ref_axis',(0.,-1.,0.)); #391171=DIRECTION('',(0.,-1.,0.)); #391172=DIRECTION('',(0.,-1.,0.)); #391173=DIRECTION('',(0.,0.,1.)); #391174=DIRECTION('center_axis',(0.,1.,0.)); #391175=DIRECTION('ref_axis',(-1.,0.,0.)); #391176=DIRECTION('',(-1.,0.,0.)); #391177=DIRECTION('',(-1.,0.,0.)); #391178=DIRECTION('center_axis',(0.,0.,1.)); #391179=DIRECTION('ref_axis',(1.,0.,0.)); #391180=DIRECTION('center_axis',(0.,0.,1.)); #391181=DIRECTION('ref_axis',(1.,0.,0.)); #391182=DIRECTION('center_axis',(1.,0.,0.)); #391183=DIRECTION('ref_axis',(0.,1.,0.)); #391184=DIRECTION('',(0.,1.,0.)); #391185=DIRECTION('',(0.,0.,1.)); #391186=DIRECTION('',(0.,1.,0.)); #391187=DIRECTION('',(0.,0.,1.)); #391188=DIRECTION('center_axis',(0.,-1.,0.)); #391189=DIRECTION('ref_axis',(1.,0.,0.)); #391190=DIRECTION('',(1.,0.,0.)); #391191=DIRECTION('',(1.,0.,0.)); #391192=DIRECTION('',(0.,0.,1.)); #391193=DIRECTION('center_axis',(-1.,0.,0.)); #391194=DIRECTION('ref_axis',(0.,-1.,0.)); #391195=DIRECTION('',(0.,-1.,0.)); #391196=DIRECTION('',(0.,-1.,0.)); #391197=DIRECTION('',(0.,0.,1.)); #391198=DIRECTION('center_axis',(0.,1.,0.)); #391199=DIRECTION('ref_axis',(-1.,0.,0.)); #391200=DIRECTION('',(-1.,0.,0.)); #391201=DIRECTION('',(-1.,0.,0.)); #391202=DIRECTION('center_axis',(0.,0.,1.)); #391203=DIRECTION('ref_axis',(1.,0.,0.)); #391204=DIRECTION('center_axis',(0.,0.,1.)); #391205=DIRECTION('ref_axis',(1.,0.,0.)); #391206=DIRECTION('center_axis',(1.,0.,0.)); #391207=DIRECTION('ref_axis',(0.,1.,0.)); #391208=DIRECTION('',(0.,1.,0.)); #391209=DIRECTION('',(0.,0.,1.)); #391210=DIRECTION('',(0.,1.,0.)); #391211=DIRECTION('',(0.,0.,1.)); #391212=DIRECTION('center_axis',(0.,-1.,0.)); #391213=DIRECTION('ref_axis',(1.,0.,0.)); #391214=DIRECTION('',(1.,0.,0.)); #391215=DIRECTION('',(1.,0.,0.)); #391216=DIRECTION('',(0.,0.,1.)); #391217=DIRECTION('center_axis',(-1.,0.,0.)); #391218=DIRECTION('ref_axis',(0.,-1.,0.)); #391219=DIRECTION('',(0.,-1.,0.)); #391220=DIRECTION('',(0.,-1.,0.)); #391221=DIRECTION('',(0.,0.,1.)); #391222=DIRECTION('center_axis',(0.,1.,0.)); #391223=DIRECTION('ref_axis',(-1.,0.,0.)); #391224=DIRECTION('',(-1.,0.,0.)); #391225=DIRECTION('',(-1.,0.,0.)); #391226=DIRECTION('center_axis',(0.,0.,1.)); #391227=DIRECTION('ref_axis',(1.,0.,0.)); #391228=DIRECTION('center_axis',(0.,0.,1.)); #391229=DIRECTION('ref_axis',(1.,0.,0.)); #391230=DIRECTION('center_axis',(1.,0.,0.)); #391231=DIRECTION('ref_axis',(0.,1.,0.)); #391232=DIRECTION('',(0.,1.,0.)); #391233=DIRECTION('',(0.,0.,1.)); #391234=DIRECTION('',(0.,1.,0.)); #391235=DIRECTION('',(0.,0.,1.)); #391236=DIRECTION('center_axis',(0.,-1.,0.)); #391237=DIRECTION('ref_axis',(1.,0.,0.)); #391238=DIRECTION('',(1.,0.,0.)); #391239=DIRECTION('',(1.,0.,0.)); #391240=DIRECTION('',(0.,0.,1.)); #391241=DIRECTION('center_axis',(-1.,0.,0.)); #391242=DIRECTION('ref_axis',(0.,-1.,0.)); #391243=DIRECTION('',(0.,-1.,0.)); #391244=DIRECTION('',(0.,-1.,0.)); #391245=DIRECTION('',(0.,0.,1.)); #391246=DIRECTION('center_axis',(0.,1.,0.)); #391247=DIRECTION('ref_axis',(-1.,0.,0.)); #391248=DIRECTION('',(-1.,0.,0.)); #391249=DIRECTION('',(-1.,0.,0.)); #391250=DIRECTION('center_axis',(0.,0.,1.)); #391251=DIRECTION('ref_axis',(1.,0.,0.)); #391252=DIRECTION('center_axis',(0.,0.,1.)); #391253=DIRECTION('ref_axis',(1.,0.,0.)); #391254=DIRECTION('center_axis',(1.,0.,0.)); #391255=DIRECTION('ref_axis',(0.,1.,0.)); #391256=DIRECTION('',(0.,1.,0.)); #391257=DIRECTION('',(0.,0.,1.)); #391258=DIRECTION('',(0.,1.,0.)); #391259=DIRECTION('',(0.,0.,1.)); #391260=DIRECTION('center_axis',(0.,-1.,0.)); #391261=DIRECTION('ref_axis',(1.,0.,0.)); #391262=DIRECTION('',(1.,0.,0.)); #391263=DIRECTION('',(1.,0.,0.)); #391264=DIRECTION('',(0.,0.,1.)); #391265=DIRECTION('center_axis',(-1.,0.,0.)); #391266=DIRECTION('ref_axis',(0.,-1.,0.)); #391267=DIRECTION('',(0.,-1.,0.)); #391268=DIRECTION('',(0.,-1.,0.)); #391269=DIRECTION('',(0.,0.,1.)); #391270=DIRECTION('center_axis',(0.,1.,0.)); #391271=DIRECTION('ref_axis',(-1.,0.,0.)); #391272=DIRECTION('',(-1.,0.,0.)); #391273=DIRECTION('',(-1.,0.,0.)); #391274=DIRECTION('center_axis',(0.,0.,1.)); #391275=DIRECTION('ref_axis',(1.,0.,0.)); #391276=DIRECTION('center_axis',(0.,0.,1.)); #391277=DIRECTION('ref_axis',(1.,0.,0.)); #391278=DIRECTION('center_axis',(1.,0.,0.)); #391279=DIRECTION('ref_axis',(0.,1.,0.)); #391280=DIRECTION('',(0.,1.,0.)); #391281=DIRECTION('',(0.,0.,1.)); #391282=DIRECTION('',(0.,1.,0.)); #391283=DIRECTION('',(0.,0.,1.)); #391284=DIRECTION('center_axis',(0.,-1.,0.)); #391285=DIRECTION('ref_axis',(1.,0.,0.)); #391286=DIRECTION('',(1.,0.,0.)); #391287=DIRECTION('',(1.,0.,0.)); #391288=DIRECTION('',(0.,0.,1.)); #391289=DIRECTION('center_axis',(-1.,0.,0.)); #391290=DIRECTION('ref_axis',(0.,-1.,0.)); #391291=DIRECTION('',(0.,-1.,0.)); #391292=DIRECTION('',(0.,-1.,0.)); #391293=DIRECTION('',(0.,0.,1.)); #391294=DIRECTION('center_axis',(0.,1.,0.)); #391295=DIRECTION('ref_axis',(-1.,0.,0.)); #391296=DIRECTION('',(-1.,0.,0.)); #391297=DIRECTION('',(-1.,0.,0.)); #391298=DIRECTION('center_axis',(0.,0.,1.)); #391299=DIRECTION('ref_axis',(1.,0.,0.)); #391300=DIRECTION('center_axis',(0.,0.,1.)); #391301=DIRECTION('ref_axis',(1.,0.,0.)); #391302=DIRECTION('center_axis',(1.,0.,0.)); #391303=DIRECTION('ref_axis',(0.,1.,0.)); #391304=DIRECTION('',(0.,1.,0.)); #391305=DIRECTION('',(0.,0.,1.)); #391306=DIRECTION('',(0.,1.,0.)); #391307=DIRECTION('',(0.,0.,1.)); #391308=DIRECTION('center_axis',(0.,-1.,0.)); #391309=DIRECTION('ref_axis',(1.,0.,0.)); #391310=DIRECTION('',(1.,0.,0.)); #391311=DIRECTION('',(1.,0.,0.)); #391312=DIRECTION('',(0.,0.,1.)); #391313=DIRECTION('center_axis',(-1.,0.,0.)); #391314=DIRECTION('ref_axis',(0.,-1.,0.)); #391315=DIRECTION('',(0.,-1.,0.)); #391316=DIRECTION('',(0.,-1.,0.)); #391317=DIRECTION('',(0.,0.,1.)); #391318=DIRECTION('center_axis',(0.,1.,0.)); #391319=DIRECTION('ref_axis',(-1.,0.,0.)); #391320=DIRECTION('',(-1.,0.,0.)); #391321=DIRECTION('',(-1.,0.,0.)); #391322=DIRECTION('center_axis',(0.,0.,1.)); #391323=DIRECTION('ref_axis',(1.,0.,0.)); #391324=DIRECTION('center_axis',(0.,0.,1.)); #391325=DIRECTION('ref_axis',(1.,0.,0.)); #391326=DIRECTION('center_axis',(1.,0.,0.)); #391327=DIRECTION('ref_axis',(0.,1.,0.)); #391328=DIRECTION('',(0.,1.,0.)); #391329=DIRECTION('',(0.,0.,1.)); #391330=DIRECTION('',(0.,1.,0.)); #391331=DIRECTION('',(0.,0.,1.)); #391332=DIRECTION('center_axis',(0.,-1.,0.)); #391333=DIRECTION('ref_axis',(1.,0.,0.)); #391334=DIRECTION('',(1.,0.,0.)); #391335=DIRECTION('',(1.,0.,0.)); #391336=DIRECTION('',(0.,0.,1.)); #391337=DIRECTION('center_axis',(-1.,0.,0.)); #391338=DIRECTION('ref_axis',(0.,-1.,0.)); #391339=DIRECTION('',(0.,-1.,0.)); #391340=DIRECTION('',(0.,-1.,0.)); #391341=DIRECTION('',(0.,0.,1.)); #391342=DIRECTION('center_axis',(0.,1.,0.)); #391343=DIRECTION('ref_axis',(-1.,0.,0.)); #391344=DIRECTION('',(-1.,0.,0.)); #391345=DIRECTION('',(-1.,0.,0.)); #391346=DIRECTION('center_axis',(0.,0.,1.)); #391347=DIRECTION('ref_axis',(1.,0.,0.)); #391348=DIRECTION('center_axis',(0.,0.,1.)); #391349=DIRECTION('ref_axis',(1.,0.,0.)); #391350=DIRECTION('center_axis',(1.,0.,0.)); #391351=DIRECTION('ref_axis',(0.,1.,0.)); #391352=DIRECTION('',(0.,1.,0.)); #391353=DIRECTION('',(0.,0.,1.)); #391354=DIRECTION('',(0.,1.,0.)); #391355=DIRECTION('',(0.,0.,1.)); #391356=DIRECTION('center_axis',(0.,-1.,0.)); #391357=DIRECTION('ref_axis',(1.,0.,0.)); #391358=DIRECTION('',(1.,0.,0.)); #391359=DIRECTION('',(1.,0.,0.)); #391360=DIRECTION('',(0.,0.,1.)); #391361=DIRECTION('center_axis',(-1.,0.,0.)); #391362=DIRECTION('ref_axis',(0.,-1.,0.)); #391363=DIRECTION('',(0.,-1.,0.)); #391364=DIRECTION('',(0.,-1.,0.)); #391365=DIRECTION('',(0.,0.,1.)); #391366=DIRECTION('center_axis',(0.,1.,0.)); #391367=DIRECTION('ref_axis',(-1.,0.,0.)); #391368=DIRECTION('',(-1.,0.,0.)); #391369=DIRECTION('',(-1.,0.,0.)); #391370=DIRECTION('center_axis',(0.,0.,1.)); #391371=DIRECTION('ref_axis',(1.,0.,0.)); #391372=DIRECTION('center_axis',(0.,0.,1.)); #391373=DIRECTION('ref_axis',(1.,0.,0.)); #391374=DIRECTION('center_axis',(1.,0.,0.)); #391375=DIRECTION('ref_axis',(0.,1.,0.)); #391376=DIRECTION('',(0.,1.,0.)); #391377=DIRECTION('',(0.,0.,1.)); #391378=DIRECTION('',(0.,1.,0.)); #391379=DIRECTION('',(0.,0.,1.)); #391380=DIRECTION('center_axis',(0.,-1.,0.)); #391381=DIRECTION('ref_axis',(1.,0.,0.)); #391382=DIRECTION('',(1.,0.,0.)); #391383=DIRECTION('',(1.,0.,0.)); #391384=DIRECTION('',(0.,0.,1.)); #391385=DIRECTION('center_axis',(-1.,0.,0.)); #391386=DIRECTION('ref_axis',(0.,-1.,0.)); #391387=DIRECTION('',(0.,-1.,0.)); #391388=DIRECTION('',(0.,-1.,0.)); #391389=DIRECTION('',(0.,0.,1.)); #391390=DIRECTION('center_axis',(0.,1.,0.)); #391391=DIRECTION('ref_axis',(-1.,0.,0.)); #391392=DIRECTION('',(-1.,0.,0.)); #391393=DIRECTION('',(-1.,0.,0.)); #391394=DIRECTION('center_axis',(0.,0.,1.)); #391395=DIRECTION('ref_axis',(1.,0.,0.)); #391396=DIRECTION('center_axis',(0.,0.,1.)); #391397=DIRECTION('ref_axis',(1.,0.,0.)); #391398=DIRECTION('center_axis',(1.,0.,0.)); #391399=DIRECTION('ref_axis',(0.,1.,0.)); #391400=DIRECTION('',(0.,1.,0.)); #391401=DIRECTION('',(0.,0.,1.)); #391402=DIRECTION('',(0.,1.,0.)); #391403=DIRECTION('',(0.,0.,1.)); #391404=DIRECTION('center_axis',(0.,-1.,0.)); #391405=DIRECTION('ref_axis',(1.,0.,0.)); #391406=DIRECTION('',(1.,0.,0.)); #391407=DIRECTION('',(1.,0.,0.)); #391408=DIRECTION('',(0.,0.,1.)); #391409=DIRECTION('center_axis',(-1.,0.,0.)); #391410=DIRECTION('ref_axis',(0.,-1.,0.)); #391411=DIRECTION('',(0.,-1.,0.)); #391412=DIRECTION('',(0.,-1.,0.)); #391413=DIRECTION('',(0.,0.,1.)); #391414=DIRECTION('center_axis',(0.,1.,0.)); #391415=DIRECTION('ref_axis',(-1.,0.,0.)); #391416=DIRECTION('',(-1.,0.,0.)); #391417=DIRECTION('',(-1.,0.,0.)); #391418=DIRECTION('center_axis',(0.,0.,1.)); #391419=DIRECTION('ref_axis',(1.,0.,0.)); #391420=DIRECTION('center_axis',(0.,0.,1.)); #391421=DIRECTION('ref_axis',(1.,0.,0.)); #391422=DIRECTION('center_axis',(1.,0.,0.)); #391423=DIRECTION('ref_axis',(0.,1.,0.)); #391424=DIRECTION('',(0.,1.,0.)); #391425=DIRECTION('',(0.,0.,1.)); #391426=DIRECTION('',(0.,1.,0.)); #391427=DIRECTION('',(0.,0.,1.)); #391428=DIRECTION('center_axis',(0.,-1.,0.)); #391429=DIRECTION('ref_axis',(1.,0.,0.)); #391430=DIRECTION('',(1.,0.,0.)); #391431=DIRECTION('',(1.,0.,0.)); #391432=DIRECTION('',(0.,0.,1.)); #391433=DIRECTION('center_axis',(-1.,0.,0.)); #391434=DIRECTION('ref_axis',(0.,-1.,0.)); #391435=DIRECTION('',(0.,-1.,0.)); #391436=DIRECTION('',(0.,-1.,0.)); #391437=DIRECTION('',(0.,0.,1.)); #391438=DIRECTION('center_axis',(0.,1.,0.)); #391439=DIRECTION('ref_axis',(-1.,0.,0.)); #391440=DIRECTION('',(-1.,0.,0.)); #391441=DIRECTION('',(-1.,0.,0.)); #391442=DIRECTION('center_axis',(0.,0.,1.)); #391443=DIRECTION('ref_axis',(1.,0.,0.)); #391444=DIRECTION('center_axis',(0.,0.,1.)); #391445=DIRECTION('ref_axis',(1.,0.,0.)); #391446=DIRECTION('center_axis',(1.,0.,0.)); #391447=DIRECTION('ref_axis',(0.,1.,0.)); #391448=DIRECTION('',(0.,1.,0.)); #391449=DIRECTION('',(0.,0.,1.)); #391450=DIRECTION('',(0.,1.,0.)); #391451=DIRECTION('',(0.,0.,1.)); #391452=DIRECTION('center_axis',(0.,-1.,0.)); #391453=DIRECTION('ref_axis',(1.,0.,0.)); #391454=DIRECTION('',(1.,0.,0.)); #391455=DIRECTION('',(1.,0.,0.)); #391456=DIRECTION('',(0.,0.,1.)); #391457=DIRECTION('center_axis',(-1.,0.,0.)); #391458=DIRECTION('ref_axis',(0.,-1.,0.)); #391459=DIRECTION('',(0.,-1.,0.)); #391460=DIRECTION('',(0.,-1.,0.)); #391461=DIRECTION('',(0.,0.,1.)); #391462=DIRECTION('center_axis',(0.,1.,0.)); #391463=DIRECTION('ref_axis',(-1.,0.,0.)); #391464=DIRECTION('',(-1.,0.,0.)); #391465=DIRECTION('',(-1.,0.,0.)); #391466=DIRECTION('center_axis',(0.,0.,1.)); #391467=DIRECTION('ref_axis',(1.,0.,0.)); #391468=DIRECTION('center_axis',(0.,0.,1.)); #391469=DIRECTION('ref_axis',(1.,0.,0.)); #391470=DIRECTION('center_axis',(0.,0.,1.)); #391471=DIRECTION('ref_axis',(1.,0.,0.)); #391472=DIRECTION('center_axis',(0.,0.,1.)); #391473=DIRECTION('ref_axis',(1.,0.,0.)); #391474=DIRECTION('',(0.,0.,1.)); #391475=DIRECTION('center_axis',(0.,0.,-1.)); #391476=DIRECTION('ref_axis',(1.,0.,0.)); #391477=DIRECTION('center_axis',(1.,0.,0.)); #391478=DIRECTION('ref_axis',(0.,1.,0.)); #391479=DIRECTION('',(0.,1.,0.)); #391480=DIRECTION('',(0.,0.,1.)); #391481=DIRECTION('',(0.,1.,0.)); #391482=DIRECTION('',(0.,0.,1.)); #391483=DIRECTION('center_axis',(0.,-1.,0.)); #391484=DIRECTION('ref_axis',(1.,0.,0.)); #391485=DIRECTION('',(1.,0.,0.)); #391486=DIRECTION('',(1.,0.,0.)); #391487=DIRECTION('',(0.,0.,1.)); #391488=DIRECTION('center_axis',(-1.,0.,0.)); #391489=DIRECTION('ref_axis',(0.,-1.,0.)); #391490=DIRECTION('',(0.,-1.,0.)); #391491=DIRECTION('',(0.,-1.,0.)); #391492=DIRECTION('',(0.,0.,1.)); #391493=DIRECTION('center_axis',(0.,1.,0.)); #391494=DIRECTION('ref_axis',(-1.,0.,0.)); #391495=DIRECTION('',(-1.,0.,0.)); #391496=DIRECTION('',(-1.,0.,0.)); #391497=DIRECTION('center_axis',(0.,0.,1.)); #391498=DIRECTION('ref_axis',(1.,0.,0.)); #391499=DIRECTION('center_axis',(0.,0.,1.)); #391500=DIRECTION('ref_axis',(1.,0.,0.)); #391501=DIRECTION('center_axis',(1.,0.,0.)); #391502=DIRECTION('ref_axis',(0.,1.,0.)); #391503=DIRECTION('',(0.,1.,0.)); #391504=DIRECTION('',(0.,0.,1.)); #391505=DIRECTION('',(0.,1.,0.)); #391506=DIRECTION('',(0.,0.,1.)); #391507=DIRECTION('center_axis',(0.,-1.,0.)); #391508=DIRECTION('ref_axis',(1.,0.,0.)); #391509=DIRECTION('',(1.,0.,0.)); #391510=DIRECTION('',(1.,0.,0.)); #391511=DIRECTION('',(0.,0.,1.)); #391512=DIRECTION('center_axis',(-1.,0.,0.)); #391513=DIRECTION('ref_axis',(0.,-1.,0.)); #391514=DIRECTION('',(0.,-1.,0.)); #391515=DIRECTION('',(0.,-1.,0.)); #391516=DIRECTION('',(0.,0.,1.)); #391517=DIRECTION('center_axis',(0.,1.,0.)); #391518=DIRECTION('ref_axis',(-1.,0.,0.)); #391519=DIRECTION('',(-1.,0.,0.)); #391520=DIRECTION('',(-1.,0.,0.)); #391521=DIRECTION('center_axis',(0.,0.,1.)); #391522=DIRECTION('ref_axis',(1.,0.,0.)); #391523=DIRECTION('center_axis',(0.,0.,1.)); #391524=DIRECTION('ref_axis',(1.,0.,0.)); #391525=DIRECTION('center_axis',(1.,0.,0.)); #391526=DIRECTION('ref_axis',(0.,1.,0.)); #391527=DIRECTION('',(0.,1.,0.)); #391528=DIRECTION('',(0.,0.,1.)); #391529=DIRECTION('',(0.,1.,0.)); #391530=DIRECTION('',(0.,0.,1.)); #391531=DIRECTION('center_axis',(0.,-1.,0.)); #391532=DIRECTION('ref_axis',(1.,0.,0.)); #391533=DIRECTION('',(1.,0.,0.)); #391534=DIRECTION('',(1.,0.,0.)); #391535=DIRECTION('',(0.,0.,1.)); #391536=DIRECTION('center_axis',(-1.,0.,0.)); #391537=DIRECTION('ref_axis',(0.,-1.,0.)); #391538=DIRECTION('',(0.,-1.,0.)); #391539=DIRECTION('',(0.,-1.,0.)); #391540=DIRECTION('',(0.,0.,1.)); #391541=DIRECTION('center_axis',(0.,1.,0.)); #391542=DIRECTION('ref_axis',(-1.,0.,0.)); #391543=DIRECTION('',(-1.,0.,0.)); #391544=DIRECTION('',(-1.,0.,0.)); #391545=DIRECTION('center_axis',(0.,0.,1.)); #391546=DIRECTION('ref_axis',(1.,0.,0.)); #391547=DIRECTION('center_axis',(0.,0.,1.)); #391548=DIRECTION('ref_axis',(1.,0.,0.)); #391549=DIRECTION('center_axis',(0.,0.,1.)); #391550=DIRECTION('ref_axis',(1.,0.,0.)); #391551=DIRECTION('center_axis',(0.,0.,1.)); #391552=DIRECTION('ref_axis',(1.,0.,0.)); #391553=DIRECTION('',(0.,0.,1.)); #391554=DIRECTION('center_axis',(0.,0.,-1.)); #391555=DIRECTION('ref_axis',(1.,0.,0.)); #391556=DIRECTION('center_axis',(1.,0.,0.)); #391557=DIRECTION('ref_axis',(0.,1.,0.)); #391558=DIRECTION('',(0.,1.,0.)); #391559=DIRECTION('',(0.,0.,1.)); #391560=DIRECTION('',(0.,1.,0.)); #391561=DIRECTION('',(0.,0.,1.)); #391562=DIRECTION('center_axis',(0.,-1.,0.)); #391563=DIRECTION('ref_axis',(1.,0.,0.)); #391564=DIRECTION('',(1.,0.,0.)); #391565=DIRECTION('',(1.,0.,0.)); #391566=DIRECTION('',(0.,0.,1.)); #391567=DIRECTION('center_axis',(-1.,0.,0.)); #391568=DIRECTION('ref_axis',(0.,-1.,0.)); #391569=DIRECTION('',(0.,-1.,0.)); #391570=DIRECTION('',(0.,-1.,0.)); #391571=DIRECTION('',(0.,0.,1.)); #391572=DIRECTION('center_axis',(0.,1.,0.)); #391573=DIRECTION('ref_axis',(-1.,0.,0.)); #391574=DIRECTION('',(-1.,0.,0.)); #391575=DIRECTION('',(-1.,0.,0.)); #391576=DIRECTION('center_axis',(0.,0.,1.)); #391577=DIRECTION('ref_axis',(1.,0.,0.)); #391578=DIRECTION('center_axis',(0.,0.,1.)); #391579=DIRECTION('ref_axis',(1.,0.,0.)); #391580=DIRECTION('center_axis',(1.,0.,0.)); #391581=DIRECTION('ref_axis',(0.,1.,0.)); #391582=DIRECTION('',(0.,1.,0.)); #391583=DIRECTION('',(0.,0.,1.)); #391584=DIRECTION('',(0.,1.,0.)); #391585=DIRECTION('',(0.,0.,1.)); #391586=DIRECTION('center_axis',(0.,-1.,0.)); #391587=DIRECTION('ref_axis',(1.,0.,0.)); #391588=DIRECTION('',(1.,0.,0.)); #391589=DIRECTION('',(1.,0.,0.)); #391590=DIRECTION('',(0.,0.,1.)); #391591=DIRECTION('center_axis',(-1.,0.,0.)); #391592=DIRECTION('ref_axis',(0.,-1.,0.)); #391593=DIRECTION('',(0.,-1.,0.)); #391594=DIRECTION('',(0.,-1.,0.)); #391595=DIRECTION('',(0.,0.,1.)); #391596=DIRECTION('center_axis',(0.,1.,0.)); #391597=DIRECTION('ref_axis',(-1.,0.,0.)); #391598=DIRECTION('',(-1.,0.,0.)); #391599=DIRECTION('',(-1.,0.,0.)); #391600=DIRECTION('center_axis',(0.,0.,1.)); #391601=DIRECTION('ref_axis',(1.,0.,0.)); #391602=DIRECTION('center_axis',(0.,0.,1.)); #391603=DIRECTION('ref_axis',(1.,0.,0.)); #391604=DIRECTION('center_axis',(1.,0.,0.)); #391605=DIRECTION('ref_axis',(0.,1.,0.)); #391606=DIRECTION('',(0.,1.,0.)); #391607=DIRECTION('',(0.,0.,1.)); #391608=DIRECTION('',(0.,1.,0.)); #391609=DIRECTION('',(0.,0.,1.)); #391610=DIRECTION('center_axis',(0.,-1.,0.)); #391611=DIRECTION('ref_axis',(1.,0.,0.)); #391612=DIRECTION('',(1.,0.,0.)); #391613=DIRECTION('',(1.,0.,0.)); #391614=DIRECTION('',(0.,0.,1.)); #391615=DIRECTION('center_axis',(-1.,0.,0.)); #391616=DIRECTION('ref_axis',(0.,-1.,0.)); #391617=DIRECTION('',(0.,-1.,0.)); #391618=DIRECTION('',(0.,-1.,0.)); #391619=DIRECTION('',(0.,0.,1.)); #391620=DIRECTION('center_axis',(0.,1.,0.)); #391621=DIRECTION('ref_axis',(-1.,0.,0.)); #391622=DIRECTION('',(-1.,0.,0.)); #391623=DIRECTION('',(-1.,0.,0.)); #391624=DIRECTION('center_axis',(0.,0.,1.)); #391625=DIRECTION('ref_axis',(1.,0.,0.)); #391626=DIRECTION('center_axis',(0.,0.,1.)); #391627=DIRECTION('ref_axis',(1.,0.,0.)); #391628=DIRECTION('center_axis',(1.,0.,0.)); #391629=DIRECTION('ref_axis',(0.,1.,0.)); #391630=DIRECTION('',(0.,1.,0.)); #391631=DIRECTION('',(0.,0.,1.)); #391632=DIRECTION('',(0.,1.,0.)); #391633=DIRECTION('',(0.,0.,1.)); #391634=DIRECTION('center_axis',(0.,-1.,0.)); #391635=DIRECTION('ref_axis',(1.,0.,0.)); #391636=DIRECTION('',(1.,0.,0.)); #391637=DIRECTION('',(1.,0.,0.)); #391638=DIRECTION('',(0.,0.,1.)); #391639=DIRECTION('center_axis',(-1.,0.,0.)); #391640=DIRECTION('ref_axis',(0.,-1.,0.)); #391641=DIRECTION('',(0.,-1.,0.)); #391642=DIRECTION('',(0.,-1.,0.)); #391643=DIRECTION('',(0.,0.,1.)); #391644=DIRECTION('center_axis',(0.,1.,0.)); #391645=DIRECTION('ref_axis',(-1.,0.,0.)); #391646=DIRECTION('',(-1.,0.,0.)); #391647=DIRECTION('',(-1.,0.,0.)); #391648=DIRECTION('center_axis',(0.,0.,1.)); #391649=DIRECTION('ref_axis',(1.,0.,0.)); #391650=DIRECTION('center_axis',(0.,0.,1.)); #391651=DIRECTION('ref_axis',(1.,0.,0.)); #391652=DIRECTION('center_axis',(1.,0.,0.)); #391653=DIRECTION('ref_axis',(0.,1.,0.)); #391654=DIRECTION('',(0.,1.,0.)); #391655=DIRECTION('',(0.,0.,1.)); #391656=DIRECTION('',(0.,1.,0.)); #391657=DIRECTION('',(0.,0.,1.)); #391658=DIRECTION('center_axis',(0.,-1.,0.)); #391659=DIRECTION('ref_axis',(1.,0.,0.)); #391660=DIRECTION('',(1.,0.,0.)); #391661=DIRECTION('',(1.,0.,0.)); #391662=DIRECTION('',(0.,0.,1.)); #391663=DIRECTION('center_axis',(-1.,0.,0.)); #391664=DIRECTION('ref_axis',(0.,-1.,0.)); #391665=DIRECTION('',(0.,-1.,0.)); #391666=DIRECTION('',(0.,-1.,0.)); #391667=DIRECTION('',(0.,0.,1.)); #391668=DIRECTION('center_axis',(0.,1.,0.)); #391669=DIRECTION('ref_axis',(-1.,0.,0.)); #391670=DIRECTION('',(-1.,0.,0.)); #391671=DIRECTION('',(-1.,0.,0.)); #391672=DIRECTION('center_axis',(0.,0.,1.)); #391673=DIRECTION('ref_axis',(1.,0.,0.)); #391674=DIRECTION('center_axis',(0.,0.,1.)); #391675=DIRECTION('ref_axis',(1.,0.,0.)); #391676=DIRECTION('center_axis',(1.,0.,0.)); #391677=DIRECTION('ref_axis',(0.,1.,0.)); #391678=DIRECTION('',(0.,1.,0.)); #391679=DIRECTION('',(0.,0.,1.)); #391680=DIRECTION('',(0.,1.,0.)); #391681=DIRECTION('',(0.,0.,1.)); #391682=DIRECTION('center_axis',(0.,-1.,0.)); #391683=DIRECTION('ref_axis',(1.,0.,0.)); #391684=DIRECTION('',(1.,0.,0.)); #391685=DIRECTION('',(1.,0.,0.)); #391686=DIRECTION('',(0.,0.,1.)); #391687=DIRECTION('center_axis',(-1.,0.,0.)); #391688=DIRECTION('ref_axis',(0.,-1.,0.)); #391689=DIRECTION('',(0.,-1.,0.)); #391690=DIRECTION('',(0.,-1.,0.)); #391691=DIRECTION('',(0.,0.,1.)); #391692=DIRECTION('center_axis',(0.,1.,0.)); #391693=DIRECTION('ref_axis',(-1.,0.,0.)); #391694=DIRECTION('',(-1.,0.,0.)); #391695=DIRECTION('',(-1.,0.,0.)); #391696=DIRECTION('center_axis',(0.,0.,1.)); #391697=DIRECTION('ref_axis',(1.,0.,0.)); #391698=DIRECTION('center_axis',(0.,0.,1.)); #391699=DIRECTION('ref_axis',(1.,0.,0.)); #391700=DIRECTION('center_axis',(1.,0.,0.)); #391701=DIRECTION('ref_axis',(0.,1.,0.)); #391702=DIRECTION('',(0.,1.,0.)); #391703=DIRECTION('',(0.,0.,1.)); #391704=DIRECTION('',(0.,1.,0.)); #391705=DIRECTION('',(0.,0.,1.)); #391706=DIRECTION('center_axis',(0.,-1.,0.)); #391707=DIRECTION('ref_axis',(1.,0.,0.)); #391708=DIRECTION('',(1.,0.,0.)); #391709=DIRECTION('',(1.,0.,0.)); #391710=DIRECTION('',(0.,0.,1.)); #391711=DIRECTION('center_axis',(-1.,0.,0.)); #391712=DIRECTION('ref_axis',(0.,-1.,0.)); #391713=DIRECTION('',(0.,-1.,0.)); #391714=DIRECTION('',(0.,-1.,0.)); #391715=DIRECTION('',(0.,0.,1.)); #391716=DIRECTION('center_axis',(0.,1.,0.)); #391717=DIRECTION('ref_axis',(-1.,0.,0.)); #391718=DIRECTION('',(-1.,0.,0.)); #391719=DIRECTION('',(-1.,0.,0.)); #391720=DIRECTION('center_axis',(0.,0.,1.)); #391721=DIRECTION('ref_axis',(1.,0.,0.)); #391722=DIRECTION('center_axis',(0.,0.,1.)); #391723=DIRECTION('ref_axis',(1.,0.,0.)); #391724=DIRECTION('center_axis',(1.,0.,0.)); #391725=DIRECTION('ref_axis',(0.,1.,0.)); #391726=DIRECTION('',(0.,1.,0.)); #391727=DIRECTION('',(0.,0.,1.)); #391728=DIRECTION('',(0.,1.,0.)); #391729=DIRECTION('',(0.,0.,1.)); #391730=DIRECTION('center_axis',(0.,-1.,0.)); #391731=DIRECTION('ref_axis',(1.,0.,0.)); #391732=DIRECTION('',(1.,0.,0.)); #391733=DIRECTION('',(1.,0.,0.)); #391734=DIRECTION('',(0.,0.,1.)); #391735=DIRECTION('center_axis',(-1.,0.,0.)); #391736=DIRECTION('ref_axis',(0.,-1.,0.)); #391737=DIRECTION('',(0.,-1.,0.)); #391738=DIRECTION('',(0.,-1.,0.)); #391739=DIRECTION('',(0.,0.,1.)); #391740=DIRECTION('center_axis',(0.,1.,0.)); #391741=DIRECTION('ref_axis',(-1.,0.,0.)); #391742=DIRECTION('',(-1.,0.,0.)); #391743=DIRECTION('',(-1.,0.,0.)); #391744=DIRECTION('center_axis',(0.,0.,1.)); #391745=DIRECTION('ref_axis',(1.,0.,0.)); #391746=DIRECTION('center_axis',(0.,0.,1.)); #391747=DIRECTION('ref_axis',(1.,0.,0.)); #391748=DIRECTION('center_axis',(1.,0.,0.)); #391749=DIRECTION('ref_axis',(0.,1.,0.)); #391750=DIRECTION('',(0.,1.,0.)); #391751=DIRECTION('',(0.,0.,1.)); #391752=DIRECTION('',(0.,1.,0.)); #391753=DIRECTION('',(0.,0.,1.)); #391754=DIRECTION('center_axis',(0.,-1.,0.)); #391755=DIRECTION('ref_axis',(1.,0.,0.)); #391756=DIRECTION('',(1.,0.,0.)); #391757=DIRECTION('',(1.,0.,0.)); #391758=DIRECTION('',(0.,0.,1.)); #391759=DIRECTION('center_axis',(-1.,0.,0.)); #391760=DIRECTION('ref_axis',(0.,-1.,0.)); #391761=DIRECTION('',(0.,-1.,0.)); #391762=DIRECTION('',(0.,-1.,0.)); #391763=DIRECTION('',(0.,0.,1.)); #391764=DIRECTION('center_axis',(0.,1.,0.)); #391765=DIRECTION('ref_axis',(-1.,0.,0.)); #391766=DIRECTION('',(-1.,0.,0.)); #391767=DIRECTION('',(-1.,0.,0.)); #391768=DIRECTION('center_axis',(0.,0.,1.)); #391769=DIRECTION('ref_axis',(1.,0.,0.)); #391770=DIRECTION('center_axis',(0.,0.,1.)); #391771=DIRECTION('ref_axis',(1.,0.,0.)); #391772=DIRECTION('center_axis',(1.,0.,0.)); #391773=DIRECTION('ref_axis',(0.,1.,0.)); #391774=DIRECTION('',(0.,1.,0.)); #391775=DIRECTION('',(0.,0.,1.)); #391776=DIRECTION('',(0.,1.,0.)); #391777=DIRECTION('',(0.,0.,1.)); #391778=DIRECTION('center_axis',(0.,-1.,0.)); #391779=DIRECTION('ref_axis',(1.,0.,0.)); #391780=DIRECTION('',(1.,0.,0.)); #391781=DIRECTION('',(1.,0.,0.)); #391782=DIRECTION('',(0.,0.,1.)); #391783=DIRECTION('center_axis',(-1.,0.,0.)); #391784=DIRECTION('ref_axis',(0.,-1.,0.)); #391785=DIRECTION('',(0.,-1.,0.)); #391786=DIRECTION('',(0.,-1.,0.)); #391787=DIRECTION('',(0.,0.,1.)); #391788=DIRECTION('center_axis',(0.,1.,0.)); #391789=DIRECTION('ref_axis',(-1.,0.,0.)); #391790=DIRECTION('',(-1.,0.,0.)); #391791=DIRECTION('',(-1.,0.,0.)); #391792=DIRECTION('center_axis',(0.,0.,1.)); #391793=DIRECTION('ref_axis',(1.,0.,0.)); #391794=DIRECTION('center_axis',(0.,0.,1.)); #391795=DIRECTION('ref_axis',(1.,0.,0.)); #391796=DIRECTION('center_axis',(1.,0.,0.)); #391797=DIRECTION('ref_axis',(0.,1.,0.)); #391798=DIRECTION('',(0.,1.,0.)); #391799=DIRECTION('',(0.,0.,1.)); #391800=DIRECTION('',(0.,1.,0.)); #391801=DIRECTION('',(0.,0.,1.)); #391802=DIRECTION('center_axis',(0.,-1.,0.)); #391803=DIRECTION('ref_axis',(1.,0.,0.)); #391804=DIRECTION('',(1.,0.,0.)); #391805=DIRECTION('',(1.,0.,0.)); #391806=DIRECTION('',(0.,0.,1.)); #391807=DIRECTION('center_axis',(-1.,0.,0.)); #391808=DIRECTION('ref_axis',(0.,-1.,0.)); #391809=DIRECTION('',(0.,-1.,0.)); #391810=DIRECTION('',(0.,-1.,0.)); #391811=DIRECTION('',(0.,0.,1.)); #391812=DIRECTION('center_axis',(0.,1.,0.)); #391813=DIRECTION('ref_axis',(-1.,0.,0.)); #391814=DIRECTION('',(-1.,0.,0.)); #391815=DIRECTION('',(-1.,0.,0.)); #391816=DIRECTION('center_axis',(0.,0.,1.)); #391817=DIRECTION('ref_axis',(1.,0.,0.)); #391818=DIRECTION('center_axis',(0.,0.,1.)); #391819=DIRECTION('ref_axis',(1.,0.,0.)); #391820=DIRECTION('center_axis',(1.,0.,0.)); #391821=DIRECTION('ref_axis',(0.,1.,0.)); #391822=DIRECTION('',(0.,1.,0.)); #391823=DIRECTION('',(0.,0.,1.)); #391824=DIRECTION('',(0.,1.,0.)); #391825=DIRECTION('',(0.,0.,1.)); #391826=DIRECTION('center_axis',(0.,-1.,0.)); #391827=DIRECTION('ref_axis',(1.,0.,0.)); #391828=DIRECTION('',(1.,0.,0.)); #391829=DIRECTION('',(1.,0.,0.)); #391830=DIRECTION('',(0.,0.,1.)); #391831=DIRECTION('center_axis',(-1.,0.,0.)); #391832=DIRECTION('ref_axis',(0.,-1.,0.)); #391833=DIRECTION('',(0.,-1.,0.)); #391834=DIRECTION('',(0.,-1.,0.)); #391835=DIRECTION('',(0.,0.,1.)); #391836=DIRECTION('center_axis',(0.,1.,0.)); #391837=DIRECTION('ref_axis',(-1.,0.,0.)); #391838=DIRECTION('',(-1.,0.,0.)); #391839=DIRECTION('',(-1.,0.,0.)); #391840=DIRECTION('center_axis',(0.,0.,1.)); #391841=DIRECTION('ref_axis',(1.,0.,0.)); #391842=DIRECTION('center_axis',(0.,0.,1.)); #391843=DIRECTION('ref_axis',(1.,0.,0.)); #391844=DIRECTION('center_axis',(0.,0.,1.)); #391845=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,0.)); #391846=DIRECTION('',(0.,0.,1.)); #391847=DIRECTION('center_axis',(0.,0.,1.)); #391848=DIRECTION('ref_axis',(1.,0.,0.)); #391849=DIRECTION('',(0.,0.,-1.)); #391850=DIRECTION('center_axis',(0.,0.,-1.)); #391851=DIRECTION('ref_axis',(1.,0.,0.)); #391852=DIRECTION('center_axis',(0.,0.,1.)); #391853=DIRECTION('ref_axis',(1.,0.,0.)); #391854=DIRECTION('',(0.,0.,1.)); #391855=DIRECTION('center_axis',(0.,0.,1.)); #391856=DIRECTION('ref_axis',(1.,0.,0.)); #391857=DIRECTION('',(0.,0.,-1.)); #391858=DIRECTION('center_axis',(0.,0.,-1.)); #391859=DIRECTION('ref_axis',(1.,0.,0.)); #391860=DIRECTION('center_axis',(0.,0.,1.)); #391861=DIRECTION('ref_axis',(1.,0.,0.)); #391862=DIRECTION('center_axis',(0.,0.,1.)); #391863=DIRECTION('ref_axis',(1.,0.,0.)); #391864=DIRECTION('',(0.,0.,1.)); #391865=DIRECTION('center_axis',(0.,0.,-1.)); #391866=DIRECTION('ref_axis',(1.,0.,0.)); #391867=DIRECTION('center_axis',(0.,0.,1.)); #391868=DIRECTION('ref_axis',(-1.83697019872103E-16,1.,0.)); #391869=DIRECTION('',(0.,0.,1.)); #391870=DIRECTION('center_axis',(0.,0.,1.)); #391871=DIRECTION('ref_axis',(1.,0.,0.)); #391872=DIRECTION('',(0.,0.,-1.)); #391873=DIRECTION('center_axis',(0.,0.,-1.)); #391874=DIRECTION('ref_axis',(1.,0.,0.)); #391875=DIRECTION('center_axis',(0.,0.,1.)); #391876=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #391877=DIRECTION('',(0.,0.,1.)); #391878=DIRECTION('center_axis',(0.,0.,1.)); #391879=DIRECTION('ref_axis',(1.,0.,0.)); #391880=DIRECTION('',(0.,0.,-1.)); #391881=DIRECTION('center_axis',(0.,0.,-1.)); #391882=DIRECTION('ref_axis',(1.,0.,0.)); #391883=DIRECTION('center_axis',(0.,0.,1.)); #391884=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #391885=DIRECTION('',(0.,0.,1.)); #391886=DIRECTION('center_axis',(0.,0.,1.)); #391887=DIRECTION('ref_axis',(1.,0.,0.)); #391888=DIRECTION('',(0.,0.,-1.)); #391889=DIRECTION('center_axis',(0.,0.,-1.)); #391890=DIRECTION('ref_axis',(1.,0.,0.)); #391891=DIRECTION('center_axis',(0.,0.,1.)); #391892=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #391893=DIRECTION('',(0.,0.,1.)); #391894=DIRECTION('center_axis',(0.,0.,1.)); #391895=DIRECTION('ref_axis',(1.,0.,0.)); #391896=DIRECTION('',(0.,0.,-1.)); #391897=DIRECTION('center_axis',(0.,0.,-1.)); #391898=DIRECTION('ref_axis',(1.,0.,0.)); #391899=DIRECTION('center_axis',(0.,0.,1.)); #391900=DIRECTION('ref_axis',(1.,0.,0.)); #391901=DIRECTION('',(0.,0.,1.)); #391902=DIRECTION('center_axis',(0.,0.,1.)); #391903=DIRECTION('ref_axis',(1.,0.,0.)); #391904=DIRECTION('',(0.,0.,-1.)); #391905=DIRECTION('center_axis',(0.,0.,-1.)); #391906=DIRECTION('ref_axis',(1.,0.,0.)); #391907=DIRECTION('center_axis',(0.,0.,1.)); #391908=DIRECTION('ref_axis',(1.,0.,0.)); #391909=DIRECTION('',(0.,0.,-1.)); #391910=DIRECTION('center_axis',(0.,0.,-1.)); #391911=DIRECTION('ref_axis',(1.,0.,0.)); #391912=DIRECTION('',(0.,0.,1.)); #391913=DIRECTION('center_axis',(0.,0.,1.)); #391914=DIRECTION('ref_axis',(1.,0.,0.)); #391915=DIRECTION('center_axis',(0.,1.,0.)); #391916=DIRECTION('ref_axis',(-1.,0.,0.)); #391917=DIRECTION('',(-1.,0.,0.)); #391918=DIRECTION('',(0.,0.,1.)); #391919=DIRECTION('',(-1.,0.,0.)); #391920=DIRECTION('center_axis',(0.,1.,0.)); #391921=DIRECTION('ref_axis',(-1.,0.,0.)); #391922=DIRECTION('',(-1.,0.,0.)); #391923=DIRECTION('',(-1.,0.,0.)); #391924=DIRECTION('center_axis',(1.,0.,0.)); #391925=DIRECTION('ref_axis',(0.,1.,0.)); #391926=DIRECTION('',(0.,1.,0.)); #391927=DIRECTION('',(0.,0.,1.)); #391928=DIRECTION('',(0.,1.,0.)); #391929=DIRECTION('center_axis',(1.,0.,0.)); #391930=DIRECTION('ref_axis',(0.,1.,0.)); #391931=DIRECTION('',(0.,1.,0.)); #391932=DIRECTION('',(0.,1.,0.)); #391933=DIRECTION('center_axis',(1.,0.,0.)); #391934=DIRECTION('ref_axis',(0.,1.,0.)); #391935=DIRECTION('',(0.,1.,0.)); #391936=DIRECTION('',(0.,1.,0.)); #391937=DIRECTION('center_axis',(1.,0.,0.)); #391938=DIRECTION('ref_axis',(0.,1.,0.)); #391939=DIRECTION('',(0.,1.,0.)); #391940=DIRECTION('',(0.,1.,0.)); #391941=DIRECTION('center_axis',(0.,-1.,0.)); #391942=DIRECTION('ref_axis',(1.,0.,0.)); #391943=DIRECTION('',(1.,0.,0.)); #391944=DIRECTION('',(1.,0.,0.)); #391945=DIRECTION('center_axis',(0.,-1.,0.)); #391946=DIRECTION('ref_axis',(1.,0.,0.)); #391947=DIRECTION('',(1.,0.,0.)); #391948=DIRECTION('',(1.,0.,0.)); #391949=DIRECTION('center_axis',(-1.,0.,0.)); #391950=DIRECTION('ref_axis',(0.,-1.,0.)); #391951=DIRECTION('',(0.,-1.,0.)); #391952=DIRECTION('',(0.,-1.,0.)); #391953=DIRECTION('center_axis',(-1.,0.,0.)); #391954=DIRECTION('ref_axis',(0.,-1.,0.)); #391955=DIRECTION('',(0.,-1.,0.)); #391956=DIRECTION('',(0.,-1.,0.)); #391957=DIRECTION('center_axis',(0.,0.,1.)); #391958=DIRECTION('ref_axis',(1.,0.,0.)); #391959=DIRECTION('center_axis',(0.,0.,1.)); #391960=DIRECTION('ref_axis',(1.,0.,0.)); #391961=DIRECTION('center_axis',(0.,0.,1.)); #391962=DIRECTION('ref_axis',(1.,0.,0.)); #391963=DIRECTION('center_axis',(0.,0.,1.)); #391964=DIRECTION('ref_axis',(1.,0.,0.)); #391965=DIRECTION('',(0.,0.,1.)); #391966=DIRECTION('center_axis',(0.,0.,-1.)); #391967=DIRECTION('ref_axis',(1.,0.,0.)); #391968=DIRECTION('center_axis',(1.,0.,0.)); #391969=DIRECTION('ref_axis',(0.,1.,0.)); #391970=DIRECTION('',(0.,1.,0.)); #391971=DIRECTION('',(0.,0.,1.)); #391972=DIRECTION('',(0.,1.,0.)); #391973=DIRECTION('',(0.,0.,1.)); #391974=DIRECTION('center_axis',(0.,-1.,0.)); #391975=DIRECTION('ref_axis',(1.,0.,0.)); #391976=DIRECTION('',(1.,0.,0.)); #391977=DIRECTION('',(1.,0.,0.)); #391978=DIRECTION('',(0.,0.,1.)); #391979=DIRECTION('center_axis',(-1.,0.,0.)); #391980=DIRECTION('ref_axis',(0.,-1.,0.)); #391981=DIRECTION('',(0.,-1.,0.)); #391982=DIRECTION('',(0.,-1.,0.)); #391983=DIRECTION('',(0.,0.,1.)); #391984=DIRECTION('center_axis',(0.,1.,0.)); #391985=DIRECTION('ref_axis',(-1.,0.,0.)); #391986=DIRECTION('',(-1.,0.,0.)); #391987=DIRECTION('',(-1.,0.,0.)); #391988=DIRECTION('center_axis',(0.,0.,1.)); #391989=DIRECTION('ref_axis',(1.,0.,0.)); #391990=DIRECTION('center_axis',(0.,0.,1.)); #391991=DIRECTION('ref_axis',(1.,0.,0.)); #391992=DIRECTION('center_axis',(1.,0.,0.)); #391993=DIRECTION('ref_axis',(0.,1.,0.)); #391994=DIRECTION('',(0.,1.,0.)); #391995=DIRECTION('',(0.,0.,1.)); #391996=DIRECTION('',(0.,1.,0.)); #391997=DIRECTION('',(0.,0.,1.)); #391998=DIRECTION('center_axis',(0.,-1.,0.)); #391999=DIRECTION('ref_axis',(1.,0.,0.)); #392000=DIRECTION('',(1.,0.,0.)); #392001=DIRECTION('',(1.,0.,0.)); #392002=DIRECTION('',(0.,0.,1.)); #392003=DIRECTION('center_axis',(-1.,0.,0.)); #392004=DIRECTION('ref_axis',(0.,-1.,0.)); #392005=DIRECTION('',(0.,-1.,0.)); #392006=DIRECTION('',(0.,-1.,0.)); #392007=DIRECTION('',(0.,0.,1.)); #392008=DIRECTION('center_axis',(0.,1.,0.)); #392009=DIRECTION('ref_axis',(-1.,0.,0.)); #392010=DIRECTION('',(-1.,0.,0.)); #392011=DIRECTION('',(-1.,0.,0.)); #392012=DIRECTION('center_axis',(0.,0.,1.)); #392013=DIRECTION('ref_axis',(1.,0.,0.)); #392014=DIRECTION('center_axis',(0.,0.,1.)); #392015=DIRECTION('ref_axis',(1.,0.,0.)); #392016=DIRECTION('center_axis',(1.,0.,0.)); #392017=DIRECTION('ref_axis',(0.,1.,0.)); #392018=DIRECTION('',(0.,1.,0.)); #392019=DIRECTION('',(0.,0.,1.)); #392020=DIRECTION('',(0.,1.,0.)); #392021=DIRECTION('',(0.,0.,1.)); #392022=DIRECTION('center_axis',(0.,-1.,0.)); #392023=DIRECTION('ref_axis',(1.,0.,0.)); #392024=DIRECTION('',(1.,0.,0.)); #392025=DIRECTION('',(1.,0.,0.)); #392026=DIRECTION('',(0.,0.,1.)); #392027=DIRECTION('center_axis',(-1.,0.,0.)); #392028=DIRECTION('ref_axis',(0.,-1.,0.)); #392029=DIRECTION('',(0.,-1.,0.)); #392030=DIRECTION('',(0.,-1.,0.)); #392031=DIRECTION('',(0.,0.,1.)); #392032=DIRECTION('center_axis',(0.,1.,0.)); #392033=DIRECTION('ref_axis',(-1.,0.,0.)); #392034=DIRECTION('',(-1.,0.,0.)); #392035=DIRECTION('',(-1.,0.,0.)); #392036=DIRECTION('center_axis',(0.,0.,1.)); #392037=DIRECTION('ref_axis',(1.,0.,0.)); #392038=DIRECTION('center_axis',(0.,0.,1.)); #392039=DIRECTION('ref_axis',(1.,0.,0.)); #392040=DIRECTION('center_axis',(1.,0.,0.)); #392041=DIRECTION('ref_axis',(0.,1.,0.)); #392042=DIRECTION('',(0.,1.,0.)); #392043=DIRECTION('',(0.,0.,1.)); #392044=DIRECTION('',(0.,1.,0.)); #392045=DIRECTION('',(0.,0.,1.)); #392046=DIRECTION('center_axis',(0.,-1.,0.)); #392047=DIRECTION('ref_axis',(1.,0.,0.)); #392048=DIRECTION('',(1.,0.,0.)); #392049=DIRECTION('',(1.,0.,0.)); #392050=DIRECTION('',(0.,0.,1.)); #392051=DIRECTION('center_axis',(-1.,0.,0.)); #392052=DIRECTION('ref_axis',(0.,-1.,0.)); #392053=DIRECTION('',(0.,-1.,0.)); #392054=DIRECTION('',(0.,-1.,0.)); #392055=DIRECTION('',(0.,0.,1.)); #392056=DIRECTION('center_axis',(0.,1.,0.)); #392057=DIRECTION('ref_axis',(-1.,0.,0.)); #392058=DIRECTION('',(-1.,0.,0.)); #392059=DIRECTION('',(-1.,0.,0.)); #392060=DIRECTION('center_axis',(0.,0.,1.)); #392061=DIRECTION('ref_axis',(1.,0.,0.)); #392062=DIRECTION('center_axis',(0.,0.,1.)); #392063=DIRECTION('ref_axis',(1.,0.,0.)); #392064=DIRECTION('center_axis',(1.,0.,0.)); #392065=DIRECTION('ref_axis',(0.,1.,0.)); #392066=DIRECTION('',(0.,1.,0.)); #392067=DIRECTION('',(0.,0.,1.)); #392068=DIRECTION('',(0.,1.,0.)); #392069=DIRECTION('',(0.,0.,1.)); #392070=DIRECTION('center_axis',(0.,-1.,0.)); #392071=DIRECTION('ref_axis',(1.,0.,0.)); #392072=DIRECTION('',(1.,0.,0.)); #392073=DIRECTION('',(1.,0.,0.)); #392074=DIRECTION('',(0.,0.,1.)); #392075=DIRECTION('center_axis',(-1.,0.,0.)); #392076=DIRECTION('ref_axis',(0.,-1.,0.)); #392077=DIRECTION('',(0.,-1.,0.)); #392078=DIRECTION('',(0.,-1.,0.)); #392079=DIRECTION('',(0.,0.,1.)); #392080=DIRECTION('center_axis',(0.,1.,0.)); #392081=DIRECTION('ref_axis',(-1.,0.,0.)); #392082=DIRECTION('',(-1.,0.,0.)); #392083=DIRECTION('',(-1.,0.,0.)); #392084=DIRECTION('center_axis',(0.,0.,1.)); #392085=DIRECTION('ref_axis',(1.,0.,0.)); #392086=DIRECTION('center_axis',(0.,0.,1.)); #392087=DIRECTION('ref_axis',(1.,0.,0.)); #392088=DIRECTION('center_axis',(1.,0.,0.)); #392089=DIRECTION('ref_axis',(0.,1.,0.)); #392090=DIRECTION('',(0.,1.,0.)); #392091=DIRECTION('',(0.,0.,1.)); #392092=DIRECTION('',(0.,1.,0.)); #392093=DIRECTION('',(0.,0.,1.)); #392094=DIRECTION('center_axis',(0.,-1.,0.)); #392095=DIRECTION('ref_axis',(1.,0.,0.)); #392096=DIRECTION('',(1.,0.,0.)); #392097=DIRECTION('',(1.,0.,0.)); #392098=DIRECTION('',(0.,0.,1.)); #392099=DIRECTION('center_axis',(-1.,0.,0.)); #392100=DIRECTION('ref_axis',(0.,-1.,0.)); #392101=DIRECTION('',(0.,-1.,0.)); #392102=DIRECTION('',(0.,-1.,0.)); #392103=DIRECTION('',(0.,0.,1.)); #392104=DIRECTION('center_axis',(0.,1.,0.)); #392105=DIRECTION('ref_axis',(-1.,0.,0.)); #392106=DIRECTION('',(-1.,0.,0.)); #392107=DIRECTION('',(-1.,0.,0.)); #392108=DIRECTION('center_axis',(0.,0.,1.)); #392109=DIRECTION('ref_axis',(1.,0.,0.)); #392110=DIRECTION('center_axis',(0.,0.,1.)); #392111=DIRECTION('ref_axis',(1.,0.,0.)); #392112=DIRECTION('center_axis',(0.,0.,1.)); #392113=DIRECTION('ref_axis',(1.,0.,0.)); #392114=DIRECTION('center_axis',(0.,0.,1.)); #392115=DIRECTION('ref_axis',(1.,0.,0.)); #392116=DIRECTION('',(0.,0.,1.)); #392117=DIRECTION('center_axis',(0.,0.,-1.)); #392118=DIRECTION('ref_axis',(1.,0.,0.)); #392119=DIRECTION('center_axis',(1.,0.,0.)); #392120=DIRECTION('ref_axis',(0.,1.,0.)); #392121=DIRECTION('',(0.,1.,0.)); #392122=DIRECTION('',(0.,0.,1.)); #392123=DIRECTION('',(0.,1.,0.)); #392124=DIRECTION('',(0.,0.,1.)); #392125=DIRECTION('center_axis',(0.,-1.,0.)); #392126=DIRECTION('ref_axis',(1.,0.,0.)); #392127=DIRECTION('',(1.,0.,0.)); #392128=DIRECTION('',(1.,0.,0.)); #392129=DIRECTION('',(0.,0.,1.)); #392130=DIRECTION('center_axis',(-1.,0.,0.)); #392131=DIRECTION('ref_axis',(0.,-1.,0.)); #392132=DIRECTION('',(0.,-1.,0.)); #392133=DIRECTION('',(0.,-1.,0.)); #392134=DIRECTION('',(0.,0.,1.)); #392135=DIRECTION('center_axis',(0.,1.,0.)); #392136=DIRECTION('ref_axis',(-1.,0.,0.)); #392137=DIRECTION('',(-1.,0.,0.)); #392138=DIRECTION('',(-1.,0.,0.)); #392139=DIRECTION('center_axis',(0.,0.,1.)); #392140=DIRECTION('ref_axis',(1.,0.,0.)); #392141=DIRECTION('center_axis',(0.,0.,1.)); #392142=DIRECTION('ref_axis',(1.,0.,0.)); #392143=DIRECTION('center_axis',(0.,0.,1.)); #392144=DIRECTION('ref_axis',(1.,0.,0.)); #392145=DIRECTION('center_axis',(0.,0.,1.)); #392146=DIRECTION('ref_axis',(1.,0.,0.)); #392147=DIRECTION('',(0.,0.,1.)); #392148=DIRECTION('center_axis',(0.,0.,-1.)); #392149=DIRECTION('ref_axis',(1.,0.,0.)); #392150=DIRECTION('center_axis',(1.,0.,0.)); #392151=DIRECTION('ref_axis',(0.,1.,0.)); #392152=DIRECTION('',(0.,1.,0.)); #392153=DIRECTION('',(0.,0.,1.)); #392154=DIRECTION('',(0.,1.,0.)); #392155=DIRECTION('',(0.,0.,1.)); #392156=DIRECTION('center_axis',(0.,-1.,0.)); #392157=DIRECTION('ref_axis',(1.,0.,0.)); #392158=DIRECTION('',(1.,0.,0.)); #392159=DIRECTION('',(1.,0.,0.)); #392160=DIRECTION('',(0.,0.,1.)); #392161=DIRECTION('center_axis',(-1.,0.,0.)); #392162=DIRECTION('ref_axis',(0.,-1.,0.)); #392163=DIRECTION('',(0.,-1.,0.)); #392164=DIRECTION('',(0.,-1.,0.)); #392165=DIRECTION('',(0.,0.,1.)); #392166=DIRECTION('center_axis',(0.,1.,0.)); #392167=DIRECTION('ref_axis',(-1.,0.,0.)); #392168=DIRECTION('',(-1.,0.,0.)); #392169=DIRECTION('',(-1.,0.,0.)); #392170=DIRECTION('center_axis',(0.,0.,1.)); #392171=DIRECTION('ref_axis',(1.,0.,0.)); #392172=DIRECTION('center_axis',(0.,0.,1.)); #392173=DIRECTION('ref_axis',(1.,0.,0.)); #392174=DIRECTION('center_axis',(1.,0.,0.)); #392175=DIRECTION('ref_axis',(0.,1.,0.)); #392176=DIRECTION('',(0.,1.,0.)); #392177=DIRECTION('',(0.,0.,1.)); #392178=DIRECTION('',(0.,1.,0.)); #392179=DIRECTION('',(0.,0.,1.)); #392180=DIRECTION('center_axis',(0.,-1.,0.)); #392181=DIRECTION('ref_axis',(1.,0.,0.)); #392182=DIRECTION('',(1.,0.,0.)); #392183=DIRECTION('',(1.,0.,0.)); #392184=DIRECTION('',(0.,0.,1.)); #392185=DIRECTION('center_axis',(-1.,0.,0.)); #392186=DIRECTION('ref_axis',(0.,-1.,0.)); #392187=DIRECTION('',(0.,-1.,0.)); #392188=DIRECTION('',(0.,-1.,0.)); #392189=DIRECTION('',(0.,0.,1.)); #392190=DIRECTION('center_axis',(0.,1.,0.)); #392191=DIRECTION('ref_axis',(-1.,0.,0.)); #392192=DIRECTION('',(-1.,0.,0.)); #392193=DIRECTION('',(-1.,0.,0.)); #392194=DIRECTION('center_axis',(0.,0.,1.)); #392195=DIRECTION('ref_axis',(1.,0.,0.)); #392196=DIRECTION('center_axis',(0.,0.,1.)); #392197=DIRECTION('ref_axis',(1.,0.,0.)); #392198=DIRECTION('center_axis',(1.,0.,0.)); #392199=DIRECTION('ref_axis',(0.,1.,0.)); #392200=DIRECTION('',(0.,1.,0.)); #392201=DIRECTION('',(0.,0.,1.)); #392202=DIRECTION('',(0.,1.,0.)); #392203=DIRECTION('',(0.,0.,1.)); #392204=DIRECTION('center_axis',(0.,-1.,0.)); #392205=DIRECTION('ref_axis',(1.,0.,0.)); #392206=DIRECTION('',(1.,0.,0.)); #392207=DIRECTION('',(1.,0.,0.)); #392208=DIRECTION('',(0.,0.,1.)); #392209=DIRECTION('center_axis',(-1.,0.,0.)); #392210=DIRECTION('ref_axis',(0.,-1.,0.)); #392211=DIRECTION('',(0.,-1.,0.)); #392212=DIRECTION('',(0.,-1.,0.)); #392213=DIRECTION('',(0.,0.,1.)); #392214=DIRECTION('center_axis',(0.,1.,0.)); #392215=DIRECTION('ref_axis',(-1.,0.,0.)); #392216=DIRECTION('',(-1.,0.,0.)); #392217=DIRECTION('',(-1.,0.,0.)); #392218=DIRECTION('center_axis',(0.,0.,1.)); #392219=DIRECTION('ref_axis',(1.,0.,0.)); #392220=DIRECTION('center_axis',(0.,0.,1.)); #392221=DIRECTION('ref_axis',(1.,0.,0.)); #392222=DIRECTION('center_axis',(1.,0.,0.)); #392223=DIRECTION('ref_axis',(0.,1.,0.)); #392224=DIRECTION('',(0.,1.,0.)); #392225=DIRECTION('',(0.,0.,1.)); #392226=DIRECTION('',(0.,1.,0.)); #392227=DIRECTION('',(0.,0.,1.)); #392228=DIRECTION('center_axis',(0.,-1.,0.)); #392229=DIRECTION('ref_axis',(1.,0.,0.)); #392230=DIRECTION('',(1.,0.,0.)); #392231=DIRECTION('',(1.,0.,0.)); #392232=DIRECTION('',(0.,0.,1.)); #392233=DIRECTION('center_axis',(-1.,0.,0.)); #392234=DIRECTION('ref_axis',(0.,-1.,0.)); #392235=DIRECTION('',(0.,-1.,0.)); #392236=DIRECTION('',(0.,-1.,0.)); #392237=DIRECTION('',(0.,0.,1.)); #392238=DIRECTION('center_axis',(0.,1.,0.)); #392239=DIRECTION('ref_axis',(-1.,0.,0.)); #392240=DIRECTION('',(-1.,0.,0.)); #392241=DIRECTION('',(-1.,0.,0.)); #392242=DIRECTION('center_axis',(0.,0.,1.)); #392243=DIRECTION('ref_axis',(1.,0.,0.)); #392244=DIRECTION('center_axis',(0.,0.,1.)); #392245=DIRECTION('ref_axis',(1.,0.,0.)); #392246=DIRECTION('center_axis',(1.,0.,0.)); #392247=DIRECTION('ref_axis',(0.,1.,0.)); #392248=DIRECTION('',(0.,1.,0.)); #392249=DIRECTION('',(0.,0.,1.)); #392250=DIRECTION('',(0.,1.,0.)); #392251=DIRECTION('',(0.,0.,1.)); #392252=DIRECTION('center_axis',(0.,-1.,0.)); #392253=DIRECTION('ref_axis',(1.,0.,0.)); #392254=DIRECTION('',(1.,0.,0.)); #392255=DIRECTION('',(1.,0.,0.)); #392256=DIRECTION('',(0.,0.,1.)); #392257=DIRECTION('center_axis',(-1.,0.,0.)); #392258=DIRECTION('ref_axis',(0.,-1.,0.)); #392259=DIRECTION('',(0.,-1.,0.)); #392260=DIRECTION('',(0.,-1.,0.)); #392261=DIRECTION('',(0.,0.,1.)); #392262=DIRECTION('center_axis',(0.,1.,0.)); #392263=DIRECTION('ref_axis',(-1.,0.,0.)); #392264=DIRECTION('',(-1.,0.,0.)); #392265=DIRECTION('',(-1.,0.,0.)); #392266=DIRECTION('center_axis',(0.,0.,1.)); #392267=DIRECTION('ref_axis',(1.,0.,0.)); #392268=DIRECTION('center_axis',(0.,0.,1.)); #392269=DIRECTION('ref_axis',(1.,0.,0.)); #392270=DIRECTION('center_axis',(1.,0.,0.)); #392271=DIRECTION('ref_axis',(0.,1.,0.)); #392272=DIRECTION('',(0.,1.,0.)); #392273=DIRECTION('',(0.,0.,1.)); #392274=DIRECTION('',(0.,1.,0.)); #392275=DIRECTION('',(0.,0.,1.)); #392276=DIRECTION('center_axis',(0.,-1.,0.)); #392277=DIRECTION('ref_axis',(1.,0.,0.)); #392278=DIRECTION('',(1.,0.,0.)); #392279=DIRECTION('',(1.,0.,0.)); #392280=DIRECTION('',(0.,0.,1.)); #392281=DIRECTION('center_axis',(-1.,0.,0.)); #392282=DIRECTION('ref_axis',(0.,-1.,0.)); #392283=DIRECTION('',(0.,-1.,0.)); #392284=DIRECTION('',(0.,-1.,0.)); #392285=DIRECTION('',(0.,0.,1.)); #392286=DIRECTION('center_axis',(0.,1.,0.)); #392287=DIRECTION('ref_axis',(-1.,0.,0.)); #392288=DIRECTION('',(-1.,0.,0.)); #392289=DIRECTION('',(-1.,0.,0.)); #392290=DIRECTION('center_axis',(0.,0.,1.)); #392291=DIRECTION('ref_axis',(1.,0.,0.)); #392292=DIRECTION('center_axis',(0.,0.,1.)); #392293=DIRECTION('ref_axis',(1.,0.,0.)); #392294=DIRECTION('center_axis',(1.,0.,0.)); #392295=DIRECTION('ref_axis',(0.,1.,0.)); #392296=DIRECTION('',(0.,1.,0.)); #392297=DIRECTION('',(0.,0.,1.)); #392298=DIRECTION('',(0.,1.,0.)); #392299=DIRECTION('',(0.,0.,1.)); #392300=DIRECTION('center_axis',(0.,-1.,0.)); #392301=DIRECTION('ref_axis',(1.,0.,0.)); #392302=DIRECTION('',(1.,0.,0.)); #392303=DIRECTION('',(1.,0.,0.)); #392304=DIRECTION('',(0.,0.,1.)); #392305=DIRECTION('center_axis',(-1.,0.,0.)); #392306=DIRECTION('ref_axis',(0.,-1.,0.)); #392307=DIRECTION('',(0.,-1.,0.)); #392308=DIRECTION('',(0.,-1.,0.)); #392309=DIRECTION('',(0.,0.,1.)); #392310=DIRECTION('center_axis',(0.,1.,0.)); #392311=DIRECTION('ref_axis',(-1.,0.,0.)); #392312=DIRECTION('',(-1.,0.,0.)); #392313=DIRECTION('',(-1.,0.,0.)); #392314=DIRECTION('center_axis',(0.,0.,1.)); #392315=DIRECTION('ref_axis',(1.,0.,0.)); #392316=DIRECTION('center_axis',(0.,0.,1.)); #392317=DIRECTION('ref_axis',(1.,0.,0.)); #392318=DIRECTION('center_axis',(1.,0.,0.)); #392319=DIRECTION('ref_axis',(0.,1.,0.)); #392320=DIRECTION('',(0.,1.,0.)); #392321=DIRECTION('',(0.,0.,1.)); #392322=DIRECTION('',(0.,1.,0.)); #392323=DIRECTION('',(0.,0.,1.)); #392324=DIRECTION('center_axis',(0.,-1.,0.)); #392325=DIRECTION('ref_axis',(1.,0.,0.)); #392326=DIRECTION('',(1.,0.,0.)); #392327=DIRECTION('',(1.,0.,0.)); #392328=DIRECTION('',(0.,0.,1.)); #392329=DIRECTION('center_axis',(-1.,0.,0.)); #392330=DIRECTION('ref_axis',(0.,-1.,0.)); #392331=DIRECTION('',(0.,-1.,0.)); #392332=DIRECTION('',(0.,-1.,0.)); #392333=DIRECTION('',(0.,0.,1.)); #392334=DIRECTION('center_axis',(0.,1.,0.)); #392335=DIRECTION('ref_axis',(-1.,0.,0.)); #392336=DIRECTION('',(-1.,0.,0.)); #392337=DIRECTION('',(-1.,0.,0.)); #392338=DIRECTION('center_axis',(0.,0.,1.)); #392339=DIRECTION('ref_axis',(1.,0.,0.)); #392340=DIRECTION('center_axis',(0.,0.,1.)); #392341=DIRECTION('ref_axis',(1.,0.,0.)); #392342=DIRECTION('center_axis',(1.,0.,0.)); #392343=DIRECTION('ref_axis',(0.,1.,0.)); #392344=DIRECTION('',(0.,1.,0.)); #392345=DIRECTION('',(0.,0.,1.)); #392346=DIRECTION('',(0.,1.,0.)); #392347=DIRECTION('',(0.,0.,1.)); #392348=DIRECTION('center_axis',(0.,-1.,0.)); #392349=DIRECTION('ref_axis',(1.,0.,0.)); #392350=DIRECTION('',(1.,0.,0.)); #392351=DIRECTION('',(1.,0.,0.)); #392352=DIRECTION('',(0.,0.,1.)); #392353=DIRECTION('center_axis',(-1.,0.,0.)); #392354=DIRECTION('ref_axis',(0.,-1.,0.)); #392355=DIRECTION('',(0.,-1.,0.)); #392356=DIRECTION('',(0.,-1.,0.)); #392357=DIRECTION('',(0.,0.,1.)); #392358=DIRECTION('center_axis',(0.,1.,0.)); #392359=DIRECTION('ref_axis',(-1.,0.,0.)); #392360=DIRECTION('',(-1.,0.,0.)); #392361=DIRECTION('',(-1.,0.,0.)); #392362=DIRECTION('center_axis',(0.,0.,1.)); #392363=DIRECTION('ref_axis',(1.,0.,0.)); #392364=DIRECTION('center_axis',(0.,0.,1.)); #392365=DIRECTION('ref_axis',(1.,0.,0.)); #392366=DIRECTION('center_axis',(1.,0.,0.)); #392367=DIRECTION('ref_axis',(0.,1.,0.)); #392368=DIRECTION('',(0.,1.,0.)); #392369=DIRECTION('',(0.,0.,1.)); #392370=DIRECTION('',(0.,1.,0.)); #392371=DIRECTION('',(0.,0.,1.)); #392372=DIRECTION('center_axis',(0.,-1.,0.)); #392373=DIRECTION('ref_axis',(1.,0.,0.)); #392374=DIRECTION('',(1.,0.,0.)); #392375=DIRECTION('',(1.,0.,0.)); #392376=DIRECTION('',(0.,0.,1.)); #392377=DIRECTION('center_axis',(-1.,0.,0.)); #392378=DIRECTION('ref_axis',(0.,-1.,0.)); #392379=DIRECTION('',(0.,-1.,0.)); #392380=DIRECTION('',(0.,-1.,0.)); #392381=DIRECTION('',(0.,0.,1.)); #392382=DIRECTION('center_axis',(0.,1.,0.)); #392383=DIRECTION('ref_axis',(-1.,0.,0.)); #392384=DIRECTION('',(-1.,0.,0.)); #392385=DIRECTION('',(-1.,0.,0.)); #392386=DIRECTION('center_axis',(0.,0.,1.)); #392387=DIRECTION('ref_axis',(1.,0.,0.)); #392388=DIRECTION('center_axis',(0.,0.,1.)); #392389=DIRECTION('ref_axis',(1.,0.,0.)); #392390=DIRECTION('center_axis',(1.,0.,0.)); #392391=DIRECTION('ref_axis',(0.,1.,0.)); #392392=DIRECTION('',(0.,1.,0.)); #392393=DIRECTION('',(0.,0.,1.)); #392394=DIRECTION('',(0.,1.,0.)); #392395=DIRECTION('',(0.,0.,1.)); #392396=DIRECTION('center_axis',(0.,-1.,0.)); #392397=DIRECTION('ref_axis',(1.,0.,0.)); #392398=DIRECTION('',(1.,0.,0.)); #392399=DIRECTION('',(1.,0.,0.)); #392400=DIRECTION('',(0.,0.,1.)); #392401=DIRECTION('center_axis',(-1.,0.,0.)); #392402=DIRECTION('ref_axis',(0.,-1.,0.)); #392403=DIRECTION('',(0.,-1.,0.)); #392404=DIRECTION('',(0.,-1.,0.)); #392405=DIRECTION('',(0.,0.,1.)); #392406=DIRECTION('center_axis',(0.,1.,0.)); #392407=DIRECTION('ref_axis',(-1.,0.,0.)); #392408=DIRECTION('',(-1.,0.,0.)); #392409=DIRECTION('',(-1.,0.,0.)); #392410=DIRECTION('center_axis',(0.,0.,1.)); #392411=DIRECTION('ref_axis',(1.,0.,0.)); #392412=DIRECTION('center_axis',(0.,0.,1.)); #392413=DIRECTION('ref_axis',(1.,0.,0.)); #392414=DIRECTION('center_axis',(1.,0.,0.)); #392415=DIRECTION('ref_axis',(0.,1.,0.)); #392416=DIRECTION('',(0.,1.,0.)); #392417=DIRECTION('',(0.,0.,1.)); #392418=DIRECTION('',(0.,1.,0.)); #392419=DIRECTION('',(0.,0.,1.)); #392420=DIRECTION('center_axis',(0.,-1.,0.)); #392421=DIRECTION('ref_axis',(1.,0.,0.)); #392422=DIRECTION('',(1.,0.,0.)); #392423=DIRECTION('',(1.,0.,0.)); #392424=DIRECTION('',(0.,0.,1.)); #392425=DIRECTION('center_axis',(-1.,0.,0.)); #392426=DIRECTION('ref_axis',(0.,-1.,0.)); #392427=DIRECTION('',(0.,-1.,0.)); #392428=DIRECTION('',(0.,-1.,0.)); #392429=DIRECTION('',(0.,0.,1.)); #392430=DIRECTION('center_axis',(0.,1.,0.)); #392431=DIRECTION('ref_axis',(-1.,0.,0.)); #392432=DIRECTION('',(-1.,0.,0.)); #392433=DIRECTION('',(-1.,0.,0.)); #392434=DIRECTION('center_axis',(0.,0.,1.)); #392435=DIRECTION('ref_axis',(1.,0.,0.)); #392436=DIRECTION('center_axis',(0.,0.,1.)); #392437=DIRECTION('ref_axis',(1.,0.,0.)); #392438=DIRECTION('center_axis',(0.,0.,1.)); #392439=DIRECTION('ref_axis',(1.,0.,0.)); #392440=DIRECTION('center_axis',(0.,0.,1.)); #392441=DIRECTION('ref_axis',(1.,0.,0.)); #392442=DIRECTION('',(0.,0.,1.)); #392443=DIRECTION('center_axis',(0.,0.,-1.)); #392444=DIRECTION('ref_axis',(1.,0.,0.)); #392445=DIRECTION('center_axis',(0.,0.,1.)); #392446=DIRECTION('ref_axis',(1.,0.,0.)); #392447=DIRECTION('center_axis',(0.,0.,1.)); #392448=DIRECTION('ref_axis',(1.,0.,0.)); #392449=DIRECTION('',(0.,0.,1.)); #392450=DIRECTION('center_axis',(0.,0.,-1.)); #392451=DIRECTION('ref_axis',(1.,0.,0.)); #392452=DIRECTION('center_axis',(0.,0.,1.)); #392453=DIRECTION('ref_axis',(1.,0.,0.)); #392454=DIRECTION('center_axis',(0.,0.,1.)); #392455=DIRECTION('ref_axis',(1.,0.,0.)); #392456=DIRECTION('',(0.,0.,1.)); #392457=DIRECTION('center_axis',(0.,0.,-1.)); #392458=DIRECTION('ref_axis',(1.,0.,0.)); #392459=DIRECTION('center_axis',(0.,0.,1.)); #392460=DIRECTION('ref_axis',(1.,0.,0.)); #392461=DIRECTION('center_axis',(0.,0.,1.)); #392462=DIRECTION('ref_axis',(1.,0.,0.)); #392463=DIRECTION('',(0.,0.,1.)); #392464=DIRECTION('center_axis',(0.,0.,-1.)); #392465=DIRECTION('ref_axis',(1.,0.,0.)); #392466=DIRECTION('center_axis',(0.,0.,1.)); #392467=DIRECTION('ref_axis',(1.,0.,0.)); #392468=DIRECTION('center_axis',(0.,0.,1.)); #392469=DIRECTION('ref_axis',(1.,0.,0.)); #392470=DIRECTION('',(0.,0.,1.)); #392471=DIRECTION('center_axis',(0.,0.,-1.)); #392472=DIRECTION('ref_axis',(1.,0.,0.)); #392473=DIRECTION('center_axis',(0.,0.,1.)); #392474=DIRECTION('ref_axis',(1.,0.,0.)); #392475=DIRECTION('center_axis',(0.,0.,1.)); #392476=DIRECTION('ref_axis',(1.,0.,0.)); #392477=DIRECTION('',(0.,0.,1.)); #392478=DIRECTION('center_axis',(0.,0.,-1.)); #392479=DIRECTION('ref_axis',(1.,0.,0.)); #392480=DIRECTION('center_axis',(1.,0.,0.)); #392481=DIRECTION('ref_axis',(0.,1.,0.)); #392482=DIRECTION('',(0.,1.,0.)); #392483=DIRECTION('',(0.,0.,1.)); #392484=DIRECTION('',(0.,1.,0.)); #392485=DIRECTION('',(0.,0.,1.)); #392486=DIRECTION('center_axis',(0.,-1.,0.)); #392487=DIRECTION('ref_axis',(1.,0.,0.)); #392488=DIRECTION('',(1.,0.,0.)); #392489=DIRECTION('',(1.,0.,0.)); #392490=DIRECTION('',(0.,0.,1.)); #392491=DIRECTION('center_axis',(-1.,0.,0.)); #392492=DIRECTION('ref_axis',(0.,-1.,0.)); #392493=DIRECTION('',(0.,-1.,0.)); #392494=DIRECTION('',(0.,-1.,0.)); #392495=DIRECTION('',(0.,0.,1.)); #392496=DIRECTION('center_axis',(0.,1.,0.)); #392497=DIRECTION('ref_axis',(-1.,0.,0.)); #392498=DIRECTION('',(-1.,0.,0.)); #392499=DIRECTION('',(-1.,0.,0.)); #392500=DIRECTION('center_axis',(0.,0.,1.)); #392501=DIRECTION('ref_axis',(1.,0.,0.)); #392502=DIRECTION('center_axis',(0.,0.,1.)); #392503=DIRECTION('ref_axis',(1.,0.,0.)); #392504=DIRECTION('center_axis',(1.,0.,0.)); #392505=DIRECTION('ref_axis',(0.,1.,0.)); #392506=DIRECTION('',(0.,1.,0.)); #392507=DIRECTION('',(0.,0.,1.)); #392508=DIRECTION('',(0.,1.,0.)); #392509=DIRECTION('',(0.,0.,1.)); #392510=DIRECTION('center_axis',(0.,-1.,0.)); #392511=DIRECTION('ref_axis',(1.,0.,0.)); #392512=DIRECTION('',(1.,0.,0.)); #392513=DIRECTION('',(1.,0.,0.)); #392514=DIRECTION('',(0.,0.,1.)); #392515=DIRECTION('center_axis',(-1.,0.,0.)); #392516=DIRECTION('ref_axis',(0.,-1.,0.)); #392517=DIRECTION('',(0.,-1.,0.)); #392518=DIRECTION('',(0.,-1.,0.)); #392519=DIRECTION('',(0.,0.,1.)); #392520=DIRECTION('center_axis',(0.,1.,0.)); #392521=DIRECTION('ref_axis',(-1.,0.,0.)); #392522=DIRECTION('',(-1.,0.,0.)); #392523=DIRECTION('',(-1.,0.,0.)); #392524=DIRECTION('center_axis',(0.,0.,1.)); #392525=DIRECTION('ref_axis',(1.,0.,0.)); #392526=DIRECTION('center_axis',(0.,0.,1.)); #392527=DIRECTION('ref_axis',(1.,0.,0.)); #392528=DIRECTION('center_axis',(1.,0.,0.)); #392529=DIRECTION('ref_axis',(0.,1.,0.)); #392530=DIRECTION('',(0.,1.,0.)); #392531=DIRECTION('',(0.,0.,1.)); #392532=DIRECTION('',(0.,1.,0.)); #392533=DIRECTION('',(0.,0.,1.)); #392534=DIRECTION('center_axis',(0.,-1.,0.)); #392535=DIRECTION('ref_axis',(1.,0.,0.)); #392536=DIRECTION('',(1.,0.,0.)); #392537=DIRECTION('',(1.,0.,0.)); #392538=DIRECTION('',(0.,0.,1.)); #392539=DIRECTION('center_axis',(-1.,0.,0.)); #392540=DIRECTION('ref_axis',(0.,-1.,0.)); #392541=DIRECTION('',(0.,-1.,0.)); #392542=DIRECTION('',(0.,-1.,0.)); #392543=DIRECTION('',(0.,0.,1.)); #392544=DIRECTION('center_axis',(0.,1.,0.)); #392545=DIRECTION('ref_axis',(-1.,0.,0.)); #392546=DIRECTION('',(-1.,0.,0.)); #392547=DIRECTION('',(-1.,0.,0.)); #392548=DIRECTION('center_axis',(0.,0.,1.)); #392549=DIRECTION('ref_axis',(1.,0.,0.)); #392550=DIRECTION('center_axis',(0.,0.,1.)); #392551=DIRECTION('ref_axis',(1.,0.,0.)); #392552=DIRECTION('center_axis',(1.,0.,0.)); #392553=DIRECTION('ref_axis',(0.,1.,0.)); #392554=DIRECTION('',(0.,1.,0.)); #392555=DIRECTION('',(0.,0.,1.)); #392556=DIRECTION('',(0.,1.,0.)); #392557=DIRECTION('',(0.,0.,1.)); #392558=DIRECTION('center_axis',(0.,-1.,0.)); #392559=DIRECTION('ref_axis',(1.,0.,0.)); #392560=DIRECTION('',(1.,0.,0.)); #392561=DIRECTION('',(1.,0.,0.)); #392562=DIRECTION('',(0.,0.,1.)); #392563=DIRECTION('center_axis',(-1.,0.,0.)); #392564=DIRECTION('ref_axis',(0.,-1.,0.)); #392565=DIRECTION('',(0.,-1.,0.)); #392566=DIRECTION('',(0.,-1.,0.)); #392567=DIRECTION('',(0.,0.,1.)); #392568=DIRECTION('center_axis',(0.,1.,0.)); #392569=DIRECTION('ref_axis',(-1.,0.,0.)); #392570=DIRECTION('',(-1.,0.,0.)); #392571=DIRECTION('',(-1.,0.,0.)); #392572=DIRECTION('center_axis',(0.,0.,1.)); #392573=DIRECTION('ref_axis',(1.,0.,0.)); #392574=DIRECTION('center_axis',(0.,0.,1.)); #392575=DIRECTION('ref_axis',(1.,0.,0.)); #392576=DIRECTION('center_axis',(0.,0.,1.)); #392577=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,0.)); #392578=DIRECTION('',(0.,0.,1.)); #392579=DIRECTION('center_axis',(0.,0.,1.)); #392580=DIRECTION('ref_axis',(1.,0.,0.)); #392581=DIRECTION('',(0.,0.,-1.)); #392582=DIRECTION('center_axis',(0.,0.,-1.)); #392583=DIRECTION('ref_axis',(1.,0.,0.)); #392584=DIRECTION('center_axis',(0.,0.,1.)); #392585=DIRECTION('ref_axis',(1.,0.,0.)); #392586=DIRECTION('center_axis',(0.,0.,1.)); #392587=DIRECTION('ref_axis',(1.,0.,0.)); #392588=DIRECTION('',(0.,0.,1.)); #392589=DIRECTION('center_axis',(0.,0.,-1.)); #392590=DIRECTION('ref_axis',(1.,0.,0.)); #392591=DIRECTION('center_axis',(0.,0.,1.)); #392592=DIRECTION('ref_axis',(-1.83697019872103E-16,1.,0.)); #392593=DIRECTION('',(0.,0.,1.)); #392594=DIRECTION('center_axis',(0.,0.,1.)); #392595=DIRECTION('ref_axis',(1.,0.,0.)); #392596=DIRECTION('',(0.,0.,-1.)); #392597=DIRECTION('center_axis',(0.,0.,-1.)); #392598=DIRECTION('ref_axis',(1.,0.,0.)); #392599=DIRECTION('center_axis',(0.,0.,1.)); #392600=DIRECTION('ref_axis',(-1.83697019872103E-16,1.,0.)); #392601=DIRECTION('',(0.,0.,1.)); #392602=DIRECTION('center_axis',(0.,0.,1.)); #392603=DIRECTION('ref_axis',(1.,0.,0.)); #392604=DIRECTION('',(0.,0.,-1.)); #392605=DIRECTION('center_axis',(0.,0.,-1.)); #392606=DIRECTION('ref_axis',(1.,0.,0.)); #392607=DIRECTION('center_axis',(0.,0.,1.)); #392608=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,0.)); #392609=DIRECTION('',(0.,0.,1.)); #392610=DIRECTION('center_axis',(0.,0.,1.)); #392611=DIRECTION('ref_axis',(1.,0.,0.)); #392612=DIRECTION('',(0.,0.,-1.)); #392613=DIRECTION('center_axis',(0.,0.,-1.)); #392614=DIRECTION('ref_axis',(1.,0.,0.)); #392615=DIRECTION('center_axis',(0.,0.,1.)); #392616=DIRECTION('ref_axis',(1.,0.,0.)); #392617=DIRECTION('center_axis',(0.,0.,1.)); #392618=DIRECTION('ref_axis',(1.,0.,0.)); #392619=DIRECTION('',(0.,0.,1.)); #392620=DIRECTION('center_axis',(0.,0.,-1.)); #392621=DIRECTION('ref_axis',(1.,0.,0.)); #392622=DIRECTION('center_axis',(0.,-1.,0.)); #392623=DIRECTION('ref_axis',(1.,0.,0.)); #392624=DIRECTION('',(1.,0.,0.)); #392625=DIRECTION('',(0.,0.,1.)); #392626=DIRECTION('',(1.,0.,0.)); #392627=DIRECTION('center_axis',(0.,-1.,0.)); #392628=DIRECTION('ref_axis',(1.,0.,0.)); #392629=DIRECTION('',(1.,0.,0.)); #392630=DIRECTION('',(0.,0.,1.)); #392631=DIRECTION('',(1.,0.,0.)); #392632=DIRECTION('center_axis',(0.,-1.,0.)); #392633=DIRECTION('ref_axis',(1.,0.,0.)); #392634=DIRECTION('',(1.,0.,0.)); #392635=DIRECTION('',(1.,0.,0.)); #392636=DIRECTION('center_axis',(0.,1.,0.)); #392637=DIRECTION('ref_axis',(-1.,0.,0.)); #392638=DIRECTION('',(-1.,0.,0.)); #392639=DIRECTION('',(0.,0.,1.)); #392640=DIRECTION('',(-1.,0.,0.)); #392641=DIRECTION('center_axis',(0.,1.,0.)); #392642=DIRECTION('ref_axis',(-1.,0.,0.)); #392643=DIRECTION('',(-1.,0.,0.)); #392644=DIRECTION('',(0.,0.,1.)); #392645=DIRECTION('',(-1.,0.,0.)); #392646=DIRECTION('center_axis',(0.,1.,0.)); #392647=DIRECTION('ref_axis',(-1.,0.,0.)); #392648=DIRECTION('',(-1.,0.,0.)); #392649=DIRECTION('',(-1.,0.,0.)); #392650=DIRECTION('center_axis',(0.,0.,1.)); #392651=DIRECTION('ref_axis',(1.,0.,0.)); #392652=DIRECTION('',(0.,1.,0.)); #392653=DIRECTION('',(0.,-1.,0.)); #392654=DIRECTION('center_axis',(1.,0.,0.)); #392655=DIRECTION('ref_axis',(0.,1.,0.)); #392656=DIRECTION('',(0.,1.,0.)); #392657=DIRECTION('center_axis',(-1.,0.,0.)); #392658=DIRECTION('ref_axis',(0.,-1.,0.)); #392659=DIRECTION('',(0.,-1.,0.)); #392660=DIRECTION('center_axis',(0.,0.,1.)); #392661=DIRECTION('ref_axis',(1.,0.,0.)); #392662=DIRECTION('center_axis',(1.,0.,0.)); #392663=DIRECTION('ref_axis',(0.,1.,0.)); #392664=DIRECTION('',(0.,1.,0.)); #392665=DIRECTION('',(0.,0.,1.)); #392666=DIRECTION('',(0.,1.,0.)); #392667=DIRECTION('',(0.,0.,1.)); #392668=DIRECTION('center_axis',(0.,-1.,0.)); #392669=DIRECTION('ref_axis',(1.,0.,0.)); #392670=DIRECTION('',(1.,0.,0.)); #392671=DIRECTION('',(1.,0.,0.)); #392672=DIRECTION('',(0.,0.,1.)); #392673=DIRECTION('center_axis',(-1.,0.,0.)); #392674=DIRECTION('ref_axis',(0.,-1.,0.)); #392675=DIRECTION('',(0.,-1.,0.)); #392676=DIRECTION('',(0.,-1.,0.)); #392677=DIRECTION('',(0.,0.,1.)); #392678=DIRECTION('center_axis',(0.,1.,0.)); #392679=DIRECTION('ref_axis',(-1.,0.,0.)); #392680=DIRECTION('',(-1.,0.,0.)); #392681=DIRECTION('',(-1.,0.,0.)); #392682=DIRECTION('center_axis',(0.,0.,1.)); #392683=DIRECTION('ref_axis',(1.,0.,0.)); #392684=DIRECTION('center_axis',(0.,0.,1.)); #392685=DIRECTION('ref_axis',(1.,0.,0.)); #392686=DIRECTION('center_axis',(1.,0.,0.)); #392687=DIRECTION('ref_axis',(0.,1.,0.)); #392688=DIRECTION('',(0.,1.,0.)); #392689=DIRECTION('',(0.,0.,1.)); #392690=DIRECTION('',(0.,1.,0.)); #392691=DIRECTION('',(0.,0.,1.)); #392692=DIRECTION('center_axis',(0.,-1.,0.)); #392693=DIRECTION('ref_axis',(1.,0.,0.)); #392694=DIRECTION('',(1.,0.,0.)); #392695=DIRECTION('',(1.,0.,0.)); #392696=DIRECTION('',(0.,0.,1.)); #392697=DIRECTION('center_axis',(-1.,0.,0.)); #392698=DIRECTION('ref_axis',(0.,-1.,0.)); #392699=DIRECTION('',(0.,-1.,0.)); #392700=DIRECTION('',(0.,-1.,0.)); #392701=DIRECTION('',(0.,0.,1.)); #392702=DIRECTION('center_axis',(0.,1.,0.)); #392703=DIRECTION('ref_axis',(-1.,0.,0.)); #392704=DIRECTION('',(-1.,0.,0.)); #392705=DIRECTION('',(-1.,0.,0.)); #392706=DIRECTION('center_axis',(0.,0.,1.)); #392707=DIRECTION('ref_axis',(1.,0.,0.)); #392708=DIRECTION('center_axis',(0.,0.,1.)); #392709=DIRECTION('ref_axis',(1.,0.,0.)); #392710=DIRECTION('center_axis',(1.,0.,0.)); #392711=DIRECTION('ref_axis',(0.,1.,0.)); #392712=DIRECTION('',(0.,1.,0.)); #392713=DIRECTION('',(0.,0.,1.)); #392714=DIRECTION('',(0.,1.,0.)); #392715=DIRECTION('',(0.,0.,1.)); #392716=DIRECTION('center_axis',(0.,-1.,0.)); #392717=DIRECTION('ref_axis',(1.,0.,0.)); #392718=DIRECTION('',(1.,0.,0.)); #392719=DIRECTION('',(1.,0.,0.)); #392720=DIRECTION('',(0.,0.,1.)); #392721=DIRECTION('center_axis',(-1.,0.,0.)); #392722=DIRECTION('ref_axis',(0.,-1.,0.)); #392723=DIRECTION('',(0.,-1.,0.)); #392724=DIRECTION('',(0.,-1.,0.)); #392725=DIRECTION('',(0.,0.,1.)); #392726=DIRECTION('center_axis',(0.,1.,0.)); #392727=DIRECTION('ref_axis',(-1.,0.,0.)); #392728=DIRECTION('',(-1.,0.,0.)); #392729=DIRECTION('',(-1.,0.,0.)); #392730=DIRECTION('center_axis',(0.,0.,1.)); #392731=DIRECTION('ref_axis',(1.,0.,0.)); #392732=DIRECTION('center_axis',(0.,0.,1.)); #392733=DIRECTION('ref_axis',(1.,0.,0.)); #392734=DIRECTION('center_axis',(1.,0.,0.)); #392735=DIRECTION('ref_axis',(0.,1.,0.)); #392736=DIRECTION('',(0.,1.,0.)); #392737=DIRECTION('',(0.,0.,1.)); #392738=DIRECTION('',(0.,1.,0.)); #392739=DIRECTION('',(0.,0.,1.)); #392740=DIRECTION('center_axis',(0.,-1.,0.)); #392741=DIRECTION('ref_axis',(1.,0.,0.)); #392742=DIRECTION('',(1.,0.,0.)); #392743=DIRECTION('',(1.,0.,0.)); #392744=DIRECTION('',(0.,0.,1.)); #392745=DIRECTION('center_axis',(-1.,0.,0.)); #392746=DIRECTION('ref_axis',(0.,-1.,0.)); #392747=DIRECTION('',(0.,-1.,0.)); #392748=DIRECTION('',(0.,-1.,0.)); #392749=DIRECTION('',(0.,0.,1.)); #392750=DIRECTION('center_axis',(0.,1.,0.)); #392751=DIRECTION('ref_axis',(-1.,0.,0.)); #392752=DIRECTION('',(-1.,0.,0.)); #392753=DIRECTION('',(-1.,0.,0.)); #392754=DIRECTION('center_axis',(0.,0.,1.)); #392755=DIRECTION('ref_axis',(1.,0.,0.)); #392756=DIRECTION('center_axis',(0.,0.,1.)); #392757=DIRECTION('ref_axis',(1.,0.,0.)); #392758=DIRECTION('center_axis',(1.,0.,0.)); #392759=DIRECTION('ref_axis',(0.,1.,0.)); #392760=DIRECTION('',(0.,1.,0.)); #392761=DIRECTION('',(0.,0.,1.)); #392762=DIRECTION('',(0.,1.,0.)); #392763=DIRECTION('',(0.,0.,1.)); #392764=DIRECTION('center_axis',(0.,-1.,0.)); #392765=DIRECTION('ref_axis',(1.,0.,0.)); #392766=DIRECTION('',(1.,0.,0.)); #392767=DIRECTION('',(1.,0.,0.)); #392768=DIRECTION('',(0.,0.,1.)); #392769=DIRECTION('center_axis',(-1.,0.,0.)); #392770=DIRECTION('ref_axis',(0.,-1.,0.)); #392771=DIRECTION('',(0.,-1.,0.)); #392772=DIRECTION('',(0.,-1.,0.)); #392773=DIRECTION('',(0.,0.,1.)); #392774=DIRECTION('center_axis',(0.,1.,0.)); #392775=DIRECTION('ref_axis',(-1.,0.,0.)); #392776=DIRECTION('',(-1.,0.,0.)); #392777=DIRECTION('',(-1.,0.,0.)); #392778=DIRECTION('center_axis',(0.,0.,1.)); #392779=DIRECTION('ref_axis',(1.,0.,0.)); #392780=DIRECTION('center_axis',(0.,0.,1.)); #392781=DIRECTION('ref_axis',(1.,0.,0.)); #392782=DIRECTION('center_axis',(1.,0.,0.)); #392783=DIRECTION('ref_axis',(0.,1.,0.)); #392784=DIRECTION('',(0.,1.,0.)); #392785=DIRECTION('',(0.,0.,1.)); #392786=DIRECTION('',(0.,1.,0.)); #392787=DIRECTION('',(0.,0.,1.)); #392788=DIRECTION('center_axis',(0.,-1.,0.)); #392789=DIRECTION('ref_axis',(1.,0.,0.)); #392790=DIRECTION('',(1.,0.,0.)); #392791=DIRECTION('',(1.,0.,0.)); #392792=DIRECTION('',(0.,0.,1.)); #392793=DIRECTION('center_axis',(-1.,0.,0.)); #392794=DIRECTION('ref_axis',(0.,-1.,0.)); #392795=DIRECTION('',(0.,-1.,0.)); #392796=DIRECTION('',(0.,-1.,0.)); #392797=DIRECTION('',(0.,0.,1.)); #392798=DIRECTION('center_axis',(0.,1.,0.)); #392799=DIRECTION('ref_axis',(-1.,0.,0.)); #392800=DIRECTION('',(-1.,0.,0.)); #392801=DIRECTION('',(-1.,0.,0.)); #392802=DIRECTION('center_axis',(0.,0.,1.)); #392803=DIRECTION('ref_axis',(1.,0.,0.)); #392804=DIRECTION('center_axis',(0.,0.,1.)); #392805=DIRECTION('ref_axis',(1.,0.,0.)); #392806=DIRECTION('center_axis',(1.,0.,0.)); #392807=DIRECTION('ref_axis',(0.,1.,0.)); #392808=DIRECTION('',(0.,1.,0.)); #392809=DIRECTION('',(0.,0.,1.)); #392810=DIRECTION('',(0.,1.,0.)); #392811=DIRECTION('',(0.,0.,1.)); #392812=DIRECTION('center_axis',(0.,-1.,0.)); #392813=DIRECTION('ref_axis',(1.,0.,0.)); #392814=DIRECTION('',(1.,0.,0.)); #392815=DIRECTION('',(1.,0.,0.)); #392816=DIRECTION('',(0.,0.,1.)); #392817=DIRECTION('center_axis',(-1.,0.,0.)); #392818=DIRECTION('ref_axis',(0.,-1.,0.)); #392819=DIRECTION('',(0.,-1.,0.)); #392820=DIRECTION('',(0.,-1.,0.)); #392821=DIRECTION('',(0.,0.,1.)); #392822=DIRECTION('center_axis',(0.,1.,0.)); #392823=DIRECTION('ref_axis',(-1.,0.,0.)); #392824=DIRECTION('',(-1.,0.,0.)); #392825=DIRECTION('',(-1.,0.,0.)); #392826=DIRECTION('center_axis',(0.,0.,1.)); #392827=DIRECTION('ref_axis',(1.,0.,0.)); #392828=DIRECTION('center_axis',(0.,0.,1.)); #392829=DIRECTION('ref_axis',(1.,0.,0.)); #392830=DIRECTION('center_axis',(1.,0.,0.)); #392831=DIRECTION('ref_axis',(0.,1.,0.)); #392832=DIRECTION('',(0.,1.,0.)); #392833=DIRECTION('',(0.,0.,1.)); #392834=DIRECTION('',(0.,1.,0.)); #392835=DIRECTION('',(0.,0.,1.)); #392836=DIRECTION('center_axis',(0.,-1.,0.)); #392837=DIRECTION('ref_axis',(1.,0.,0.)); #392838=DIRECTION('',(1.,0.,0.)); #392839=DIRECTION('',(1.,0.,0.)); #392840=DIRECTION('',(0.,0.,1.)); #392841=DIRECTION('center_axis',(-1.,0.,0.)); #392842=DIRECTION('ref_axis',(0.,-1.,0.)); #392843=DIRECTION('',(0.,-1.,0.)); #392844=DIRECTION('',(0.,-1.,0.)); #392845=DIRECTION('',(0.,0.,1.)); #392846=DIRECTION('center_axis',(0.,1.,0.)); #392847=DIRECTION('ref_axis',(-1.,0.,0.)); #392848=DIRECTION('',(-1.,0.,0.)); #392849=DIRECTION('',(-1.,0.,0.)); #392850=DIRECTION('center_axis',(0.,0.,1.)); #392851=DIRECTION('ref_axis',(1.,0.,0.)); #392852=DIRECTION('center_axis',(0.,0.,1.)); #392853=DIRECTION('ref_axis',(1.,0.,0.)); #392854=DIRECTION('center_axis',(1.,0.,0.)); #392855=DIRECTION('ref_axis',(0.,1.,0.)); #392856=DIRECTION('',(0.,1.,0.)); #392857=DIRECTION('',(0.,0.,1.)); #392858=DIRECTION('',(0.,1.,0.)); #392859=DIRECTION('',(0.,0.,1.)); #392860=DIRECTION('center_axis',(0.,-1.,0.)); #392861=DIRECTION('ref_axis',(1.,0.,0.)); #392862=DIRECTION('',(1.,0.,0.)); #392863=DIRECTION('',(1.,0.,0.)); #392864=DIRECTION('',(0.,0.,1.)); #392865=DIRECTION('center_axis',(-1.,0.,0.)); #392866=DIRECTION('ref_axis',(0.,-1.,0.)); #392867=DIRECTION('',(0.,-1.,0.)); #392868=DIRECTION('',(0.,-1.,0.)); #392869=DIRECTION('',(0.,0.,1.)); #392870=DIRECTION('center_axis',(0.,1.,0.)); #392871=DIRECTION('ref_axis',(-1.,0.,0.)); #392872=DIRECTION('',(-1.,0.,0.)); #392873=DIRECTION('',(-1.,0.,0.)); #392874=DIRECTION('center_axis',(0.,0.,1.)); #392875=DIRECTION('ref_axis',(1.,0.,0.)); #392876=DIRECTION('center_axis',(0.,0.,1.)); #392877=DIRECTION('ref_axis',(1.,0.,0.)); #392878=DIRECTION('center_axis',(1.,0.,0.)); #392879=DIRECTION('ref_axis',(0.,1.,0.)); #392880=DIRECTION('',(0.,1.,0.)); #392881=DIRECTION('',(0.,0.,1.)); #392882=DIRECTION('',(0.,1.,0.)); #392883=DIRECTION('',(0.,0.,1.)); #392884=DIRECTION('center_axis',(0.,-1.,0.)); #392885=DIRECTION('ref_axis',(1.,0.,0.)); #392886=DIRECTION('',(1.,0.,0.)); #392887=DIRECTION('',(1.,0.,0.)); #392888=DIRECTION('',(0.,0.,1.)); #392889=DIRECTION('center_axis',(-1.,0.,0.)); #392890=DIRECTION('ref_axis',(0.,-1.,0.)); #392891=DIRECTION('',(0.,-1.,0.)); #392892=DIRECTION('',(0.,-1.,0.)); #392893=DIRECTION('',(0.,0.,1.)); #392894=DIRECTION('center_axis',(0.,1.,0.)); #392895=DIRECTION('ref_axis',(-1.,0.,0.)); #392896=DIRECTION('',(-1.,0.,0.)); #392897=DIRECTION('',(-1.,0.,0.)); #392898=DIRECTION('center_axis',(0.,0.,1.)); #392899=DIRECTION('ref_axis',(1.,0.,0.)); #392900=DIRECTION('center_axis',(0.,0.,1.)); #392901=DIRECTION('ref_axis',(1.,0.,0.)); #392902=DIRECTION('center_axis',(1.,0.,0.)); #392903=DIRECTION('ref_axis',(0.,1.,0.)); #392904=DIRECTION('',(0.,1.,0.)); #392905=DIRECTION('',(0.,0.,1.)); #392906=DIRECTION('',(0.,1.,0.)); #392907=DIRECTION('',(0.,0.,1.)); #392908=DIRECTION('center_axis',(0.,-1.,0.)); #392909=DIRECTION('ref_axis',(1.,0.,0.)); #392910=DIRECTION('',(1.,0.,0.)); #392911=DIRECTION('',(1.,0.,0.)); #392912=DIRECTION('',(0.,0.,1.)); #392913=DIRECTION('center_axis',(-1.,0.,0.)); #392914=DIRECTION('ref_axis',(0.,-1.,0.)); #392915=DIRECTION('',(0.,-1.,0.)); #392916=DIRECTION('',(0.,-1.,0.)); #392917=DIRECTION('',(0.,0.,1.)); #392918=DIRECTION('center_axis',(0.,1.,0.)); #392919=DIRECTION('ref_axis',(-1.,0.,0.)); #392920=DIRECTION('',(-1.,0.,0.)); #392921=DIRECTION('',(-1.,0.,0.)); #392922=DIRECTION('center_axis',(0.,0.,1.)); #392923=DIRECTION('ref_axis',(1.,0.,0.)); #392924=DIRECTION('center_axis',(0.,0.,1.)); #392925=DIRECTION('ref_axis',(1.,0.,0.)); #392926=DIRECTION('center_axis',(1.,0.,0.)); #392927=DIRECTION('ref_axis',(0.,1.,0.)); #392928=DIRECTION('',(0.,1.,0.)); #392929=DIRECTION('',(0.,0.,1.)); #392930=DIRECTION('',(0.,1.,0.)); #392931=DIRECTION('',(0.,0.,1.)); #392932=DIRECTION('center_axis',(0.,-1.,0.)); #392933=DIRECTION('ref_axis',(1.,0.,0.)); #392934=DIRECTION('',(1.,0.,0.)); #392935=DIRECTION('',(1.,0.,0.)); #392936=DIRECTION('',(0.,0.,1.)); #392937=DIRECTION('center_axis',(-1.,0.,0.)); #392938=DIRECTION('ref_axis',(0.,-1.,0.)); #392939=DIRECTION('',(0.,-1.,0.)); #392940=DIRECTION('',(0.,-1.,0.)); #392941=DIRECTION('',(0.,0.,1.)); #392942=DIRECTION('center_axis',(0.,1.,0.)); #392943=DIRECTION('ref_axis',(-1.,0.,0.)); #392944=DIRECTION('',(-1.,0.,0.)); #392945=DIRECTION('',(-1.,0.,0.)); #392946=DIRECTION('center_axis',(0.,0.,1.)); #392947=DIRECTION('ref_axis',(1.,0.,0.)); #392948=DIRECTION('center_axis',(0.,0.,1.)); #392949=DIRECTION('ref_axis',(1.,0.,0.)); #392950=DIRECTION('center_axis',(1.,0.,0.)); #392951=DIRECTION('ref_axis',(0.,1.,0.)); #392952=DIRECTION('',(0.,1.,0.)); #392953=DIRECTION('',(0.,0.,1.)); #392954=DIRECTION('',(0.,1.,0.)); #392955=DIRECTION('',(0.,0.,1.)); #392956=DIRECTION('center_axis',(0.,-1.,0.)); #392957=DIRECTION('ref_axis',(1.,0.,0.)); #392958=DIRECTION('',(1.,0.,0.)); #392959=DIRECTION('',(1.,0.,0.)); #392960=DIRECTION('',(0.,0.,1.)); #392961=DIRECTION('center_axis',(-1.,0.,0.)); #392962=DIRECTION('ref_axis',(0.,-1.,0.)); #392963=DIRECTION('',(0.,-1.,0.)); #392964=DIRECTION('',(0.,-1.,0.)); #392965=DIRECTION('',(0.,0.,1.)); #392966=DIRECTION('center_axis',(0.,1.,0.)); #392967=DIRECTION('ref_axis',(-1.,0.,0.)); #392968=DIRECTION('',(-1.,0.,0.)); #392969=DIRECTION('',(-1.,0.,0.)); #392970=DIRECTION('center_axis',(0.,0.,1.)); #392971=DIRECTION('ref_axis',(1.,0.,0.)); #392972=DIRECTION('center_axis',(0.,0.,1.)); #392973=DIRECTION('ref_axis',(1.,0.,0.)); #392974=DIRECTION('center_axis',(1.,0.,0.)); #392975=DIRECTION('ref_axis',(0.,1.,0.)); #392976=DIRECTION('',(0.,1.,0.)); #392977=DIRECTION('',(0.,0.,1.)); #392978=DIRECTION('',(0.,1.,0.)); #392979=DIRECTION('',(0.,0.,1.)); #392980=DIRECTION('center_axis',(0.,-1.,0.)); #392981=DIRECTION('ref_axis',(1.,0.,0.)); #392982=DIRECTION('',(1.,0.,0.)); #392983=DIRECTION('',(1.,0.,0.)); #392984=DIRECTION('',(0.,0.,1.)); #392985=DIRECTION('center_axis',(-1.,0.,0.)); #392986=DIRECTION('ref_axis',(0.,-1.,0.)); #392987=DIRECTION('',(0.,-1.,0.)); #392988=DIRECTION('',(0.,-1.,0.)); #392989=DIRECTION('',(0.,0.,1.)); #392990=DIRECTION('center_axis',(0.,1.,0.)); #392991=DIRECTION('ref_axis',(-1.,0.,0.)); #392992=DIRECTION('',(-1.,0.,0.)); #392993=DIRECTION('',(-1.,0.,0.)); #392994=DIRECTION('center_axis',(0.,0.,1.)); #392995=DIRECTION('ref_axis',(1.,0.,0.)); #392996=DIRECTION('center_axis',(0.,0.,1.)); #392997=DIRECTION('ref_axis',(1.,0.,0.)); #392998=DIRECTION('center_axis',(1.,0.,0.)); #392999=DIRECTION('ref_axis',(0.,1.,0.)); #393000=DIRECTION('',(0.,1.,0.)); #393001=DIRECTION('',(0.,0.,1.)); #393002=DIRECTION('',(0.,1.,0.)); #393003=DIRECTION('',(0.,0.,1.)); #393004=DIRECTION('center_axis',(0.,-1.,0.)); #393005=DIRECTION('ref_axis',(1.,0.,0.)); #393006=DIRECTION('',(1.,0.,0.)); #393007=DIRECTION('',(1.,0.,0.)); #393008=DIRECTION('',(0.,0.,1.)); #393009=DIRECTION('center_axis',(-1.,0.,0.)); #393010=DIRECTION('ref_axis',(0.,-1.,0.)); #393011=DIRECTION('',(0.,-1.,0.)); #393012=DIRECTION('',(0.,-1.,0.)); #393013=DIRECTION('',(0.,0.,1.)); #393014=DIRECTION('center_axis',(0.,1.,0.)); #393015=DIRECTION('ref_axis',(-1.,0.,0.)); #393016=DIRECTION('',(-1.,0.,0.)); #393017=DIRECTION('',(-1.,0.,0.)); #393018=DIRECTION('center_axis',(0.,0.,1.)); #393019=DIRECTION('ref_axis',(1.,0.,0.)); #393020=DIRECTION('center_axis',(0.,0.,1.)); #393021=DIRECTION('ref_axis',(1.,0.,0.)); #393022=DIRECTION('center_axis',(1.,0.,0.)); #393023=DIRECTION('ref_axis',(0.,1.,0.)); #393024=DIRECTION('',(0.,1.,0.)); #393025=DIRECTION('',(0.,0.,1.)); #393026=DIRECTION('',(0.,1.,0.)); #393027=DIRECTION('',(0.,0.,1.)); #393028=DIRECTION('center_axis',(0.,-1.,0.)); #393029=DIRECTION('ref_axis',(1.,0.,0.)); #393030=DIRECTION('',(1.,0.,0.)); #393031=DIRECTION('',(1.,0.,0.)); #393032=DIRECTION('',(0.,0.,1.)); #393033=DIRECTION('center_axis',(-1.,0.,0.)); #393034=DIRECTION('ref_axis',(0.,-1.,0.)); #393035=DIRECTION('',(0.,-1.,0.)); #393036=DIRECTION('',(0.,-1.,0.)); #393037=DIRECTION('',(0.,0.,1.)); #393038=DIRECTION('center_axis',(0.,1.,0.)); #393039=DIRECTION('ref_axis',(-1.,0.,0.)); #393040=DIRECTION('',(-1.,0.,0.)); #393041=DIRECTION('',(-1.,0.,0.)); #393042=DIRECTION('center_axis',(0.,0.,1.)); #393043=DIRECTION('ref_axis',(1.,0.,0.)); #393044=DIRECTION('center_axis',(0.,0.,1.)); #393045=DIRECTION('ref_axis',(1.,0.,0.)); #393046=DIRECTION('center_axis',(1.,0.,0.)); #393047=DIRECTION('ref_axis',(0.,1.,0.)); #393048=DIRECTION('',(0.,1.,0.)); #393049=DIRECTION('',(0.,0.,1.)); #393050=DIRECTION('',(0.,1.,0.)); #393051=DIRECTION('',(0.,0.,1.)); #393052=DIRECTION('center_axis',(0.,-1.,0.)); #393053=DIRECTION('ref_axis',(1.,0.,0.)); #393054=DIRECTION('',(1.,0.,0.)); #393055=DIRECTION('',(1.,0.,0.)); #393056=DIRECTION('',(0.,0.,1.)); #393057=DIRECTION('center_axis',(-1.,0.,0.)); #393058=DIRECTION('ref_axis',(0.,-1.,0.)); #393059=DIRECTION('',(0.,-1.,0.)); #393060=DIRECTION('',(0.,-1.,0.)); #393061=DIRECTION('',(0.,0.,1.)); #393062=DIRECTION('center_axis',(0.,1.,0.)); #393063=DIRECTION('ref_axis',(-1.,0.,0.)); #393064=DIRECTION('',(-1.,0.,0.)); #393065=DIRECTION('',(-1.,0.,0.)); #393066=DIRECTION('center_axis',(0.,0.,1.)); #393067=DIRECTION('ref_axis',(1.,0.,0.)); #393068=DIRECTION('center_axis',(0.,0.,1.)); #393069=DIRECTION('ref_axis',(1.,0.,0.)); #393070=DIRECTION('center_axis',(1.,0.,0.)); #393071=DIRECTION('ref_axis',(0.,1.,0.)); #393072=DIRECTION('',(0.,1.,0.)); #393073=DIRECTION('',(0.,0.,1.)); #393074=DIRECTION('',(0.,1.,0.)); #393075=DIRECTION('',(0.,0.,1.)); #393076=DIRECTION('center_axis',(0.,-1.,0.)); #393077=DIRECTION('ref_axis',(1.,0.,0.)); #393078=DIRECTION('',(1.,0.,0.)); #393079=DIRECTION('',(1.,0.,0.)); #393080=DIRECTION('',(0.,0.,1.)); #393081=DIRECTION('center_axis',(-1.,0.,0.)); #393082=DIRECTION('ref_axis',(0.,-1.,0.)); #393083=DIRECTION('',(0.,-1.,0.)); #393084=DIRECTION('',(0.,-1.,0.)); #393085=DIRECTION('',(0.,0.,1.)); #393086=DIRECTION('center_axis',(0.,1.,0.)); #393087=DIRECTION('ref_axis',(-1.,0.,0.)); #393088=DIRECTION('',(-1.,0.,0.)); #393089=DIRECTION('',(-1.,0.,0.)); #393090=DIRECTION('center_axis',(0.,0.,1.)); #393091=DIRECTION('ref_axis',(1.,0.,0.)); #393092=DIRECTION('center_axis',(0.,0.,1.)); #393093=DIRECTION('ref_axis',(1.,0.,0.)); #393094=DIRECTION('center_axis',(1.,0.,0.)); #393095=DIRECTION('ref_axis',(0.,1.,0.)); #393096=DIRECTION('',(0.,1.,0.)); #393097=DIRECTION('',(0.,0.,1.)); #393098=DIRECTION('',(0.,1.,0.)); #393099=DIRECTION('',(0.,0.,1.)); #393100=DIRECTION('center_axis',(0.,-1.,0.)); #393101=DIRECTION('ref_axis',(1.,0.,0.)); #393102=DIRECTION('',(1.,0.,0.)); #393103=DIRECTION('',(1.,0.,0.)); #393104=DIRECTION('',(0.,0.,1.)); #393105=DIRECTION('center_axis',(-1.,0.,0.)); #393106=DIRECTION('ref_axis',(0.,-1.,0.)); #393107=DIRECTION('',(0.,-1.,0.)); #393108=DIRECTION('',(0.,-1.,0.)); #393109=DIRECTION('',(0.,0.,1.)); #393110=DIRECTION('center_axis',(0.,1.,0.)); #393111=DIRECTION('ref_axis',(-1.,0.,0.)); #393112=DIRECTION('',(-1.,0.,0.)); #393113=DIRECTION('',(-1.,0.,0.)); #393114=DIRECTION('center_axis',(0.,0.,1.)); #393115=DIRECTION('ref_axis',(1.,0.,0.)); #393116=DIRECTION('center_axis',(0.,0.,1.)); #393117=DIRECTION('ref_axis',(1.,0.,0.)); #393118=DIRECTION('center_axis',(1.,0.,0.)); #393119=DIRECTION('ref_axis',(0.,1.,0.)); #393120=DIRECTION('',(0.,1.,0.)); #393121=DIRECTION('',(0.,0.,1.)); #393122=DIRECTION('',(0.,1.,0.)); #393123=DIRECTION('',(0.,0.,1.)); #393124=DIRECTION('center_axis',(0.,-1.,0.)); #393125=DIRECTION('ref_axis',(1.,0.,0.)); #393126=DIRECTION('',(1.,0.,0.)); #393127=DIRECTION('',(1.,0.,0.)); #393128=DIRECTION('',(0.,0.,1.)); #393129=DIRECTION('center_axis',(-1.,0.,0.)); #393130=DIRECTION('ref_axis',(0.,-1.,0.)); #393131=DIRECTION('',(0.,-1.,0.)); #393132=DIRECTION('',(0.,-1.,0.)); #393133=DIRECTION('',(0.,0.,1.)); #393134=DIRECTION('center_axis',(0.,1.,0.)); #393135=DIRECTION('ref_axis',(-1.,0.,0.)); #393136=DIRECTION('',(-1.,0.,0.)); #393137=DIRECTION('',(-1.,0.,0.)); #393138=DIRECTION('center_axis',(0.,0.,1.)); #393139=DIRECTION('ref_axis',(1.,0.,0.)); #393140=DIRECTION('center_axis',(0.,0.,1.)); #393141=DIRECTION('ref_axis',(1.,0.,0.)); #393142=DIRECTION('center_axis',(1.,0.,0.)); #393143=DIRECTION('ref_axis',(0.,1.,0.)); #393144=DIRECTION('',(0.,1.,0.)); #393145=DIRECTION('',(0.,0.,1.)); #393146=DIRECTION('',(0.,1.,0.)); #393147=DIRECTION('',(0.,0.,1.)); #393148=DIRECTION('center_axis',(0.,-1.,0.)); #393149=DIRECTION('ref_axis',(1.,0.,0.)); #393150=DIRECTION('',(1.,0.,0.)); #393151=DIRECTION('',(1.,0.,0.)); #393152=DIRECTION('',(0.,0.,1.)); #393153=DIRECTION('center_axis',(-1.,0.,0.)); #393154=DIRECTION('ref_axis',(0.,-1.,0.)); #393155=DIRECTION('',(0.,-1.,0.)); #393156=DIRECTION('',(0.,-1.,0.)); #393157=DIRECTION('',(0.,0.,1.)); #393158=DIRECTION('center_axis',(0.,1.,0.)); #393159=DIRECTION('ref_axis',(-1.,0.,0.)); #393160=DIRECTION('',(-1.,0.,0.)); #393161=DIRECTION('',(-1.,0.,0.)); #393162=DIRECTION('center_axis',(0.,0.,1.)); #393163=DIRECTION('ref_axis',(1.,0.,0.)); #393164=DIRECTION('center_axis',(0.,0.,1.)); #393165=DIRECTION('ref_axis',(1.,0.,0.)); #393166=DIRECTION('axis',(0.,0.,1.)); #393167=DIRECTION('refdir',(1.,0.,0.)); #393168=DIRECTION('center_axis',(0.,0.,1.)); #393169=DIRECTION('ref_axis',(1.,0.,0.)); #393170=DIRECTION('center_axis',(0.,0.,1.)); #393171=DIRECTION('ref_axis',(1.,0.,0.)); #393172=DIRECTION('',(0.,0.,1.)); #393173=DIRECTION('center_axis',(0.,0.,-1.)); #393174=DIRECTION('ref_axis',(1.,0.,0.)); #393175=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #393176=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #393177=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #393178=DIRECTION('',(0.,0.,1.)); #393179=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #393180=DIRECTION('',(0.,0.,1.)); #393181=DIRECTION('center_axis',(0.,0.,1.)); #393182=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #393183=DIRECTION('center_axis',(0.,0.,1.)); #393184=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #393185=DIRECTION('center_axis',(0.,0.,1.)); #393186=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #393187=DIRECTION('',(0.,0.,1.)); #393188=DIRECTION('center_axis',(0.,0.,1.)); #393189=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #393190=DIRECTION('center_axis',(0.,0.,1.)); #393191=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #393192=DIRECTION('center_axis',(0.,0.,1.)); #393193=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #393194=DIRECTION('center_axis',(0.,0.,1.)); #393195=DIRECTION('ref_axis',(1.,0.,0.)); #393196=DIRECTION('center_axis',(0.,0.,1.)); #393197=DIRECTION('ref_axis',(1.,0.,0.)); #393198=DIRECTION('center_axis',(1.,0.,0.)); #393199=DIRECTION('ref_axis',(0.,1.,0.)); #393200=DIRECTION('',(0.,1.,0.)); #393201=DIRECTION('',(0.,0.,1.)); #393202=DIRECTION('',(0.,1.,0.)); #393203=DIRECTION('',(0.,0.,1.)); #393204=DIRECTION('center_axis',(0.,-1.,0.)); #393205=DIRECTION('ref_axis',(1.,0.,0.)); #393206=DIRECTION('',(1.,0.,0.)); #393207=DIRECTION('',(1.,0.,0.)); #393208=DIRECTION('',(0.,0.,1.)); #393209=DIRECTION('center_axis',(-1.,0.,0.)); #393210=DIRECTION('ref_axis',(0.,-1.,0.)); #393211=DIRECTION('',(0.,-1.,0.)); #393212=DIRECTION('',(0.,-1.,0.)); #393213=DIRECTION('',(0.,0.,1.)); #393214=DIRECTION('center_axis',(0.,1.,0.)); #393215=DIRECTION('ref_axis',(-1.,0.,0.)); #393216=DIRECTION('',(-1.,0.,0.)); #393217=DIRECTION('',(-1.,0.,0.)); #393218=DIRECTION('center_axis',(0.,0.,1.)); #393219=DIRECTION('ref_axis',(1.,0.,0.)); #393220=DIRECTION('center_axis',(0.,0.,1.)); #393221=DIRECTION('ref_axis',(1.,0.,0.)); #393222=DIRECTION('center_axis',(1.,0.,0.)); #393223=DIRECTION('ref_axis',(0.,1.,0.)); #393224=DIRECTION('',(0.,1.,0.)); #393225=DIRECTION('',(0.,0.,1.)); #393226=DIRECTION('',(0.,1.,0.)); #393227=DIRECTION('',(0.,0.,1.)); #393228=DIRECTION('center_axis',(0.,-1.,0.)); #393229=DIRECTION('ref_axis',(1.,0.,0.)); #393230=DIRECTION('',(1.,0.,0.)); #393231=DIRECTION('',(1.,0.,0.)); #393232=DIRECTION('',(0.,0.,1.)); #393233=DIRECTION('center_axis',(-1.,0.,0.)); #393234=DIRECTION('ref_axis',(0.,-1.,0.)); #393235=DIRECTION('',(0.,-1.,0.)); #393236=DIRECTION('',(0.,-1.,0.)); #393237=DIRECTION('',(0.,0.,1.)); #393238=DIRECTION('center_axis',(0.,1.,0.)); #393239=DIRECTION('ref_axis',(-1.,0.,0.)); #393240=DIRECTION('',(-1.,0.,0.)); #393241=DIRECTION('',(-1.,0.,0.)); #393242=DIRECTION('center_axis',(0.,0.,1.)); #393243=DIRECTION('ref_axis',(1.,0.,0.)); #393244=DIRECTION('center_axis',(0.,0.,1.)); #393245=DIRECTION('ref_axis',(1.,0.,0.)); #393246=DIRECTION('center_axis',(0.,0.,1.)); #393247=DIRECTION('ref_axis',(1.,0.,0.)); #393248=DIRECTION('center_axis',(0.,0.,1.)); #393249=DIRECTION('ref_axis',(1.,0.,0.)); #393250=DIRECTION('',(0.,0.,1.)); #393251=DIRECTION('center_axis',(0.,0.,-1.)); #393252=DIRECTION('ref_axis',(1.,0.,0.)); #393253=DIRECTION('center_axis',(0.,0.,1.)); #393254=DIRECTION('ref_axis',(1.,0.,0.)); #393255=DIRECTION('center_axis',(0.,0.,1.)); #393256=DIRECTION('ref_axis',(1.,0.,0.)); #393257=DIRECTION('',(0.,0.,1.)); #393258=DIRECTION('center_axis',(0.,0.,-1.)); #393259=DIRECTION('ref_axis',(1.,0.,0.)); #393260=DIRECTION('center_axis',(1.,0.,0.)); #393261=DIRECTION('ref_axis',(0.,1.,0.)); #393262=DIRECTION('',(0.,1.,0.)); #393263=DIRECTION('',(0.,0.,1.)); #393264=DIRECTION('',(0.,1.,0.)); #393265=DIRECTION('',(0.,0.,1.)); #393266=DIRECTION('center_axis',(0.,-1.,0.)); #393267=DIRECTION('ref_axis',(1.,0.,0.)); #393268=DIRECTION('',(1.,0.,0.)); #393269=DIRECTION('',(1.,0.,0.)); #393270=DIRECTION('',(0.,0.,1.)); #393271=DIRECTION('center_axis',(-1.,0.,0.)); #393272=DIRECTION('ref_axis',(0.,-1.,0.)); #393273=DIRECTION('',(0.,-1.,0.)); #393274=DIRECTION('',(0.,-1.,0.)); #393275=DIRECTION('',(0.,0.,1.)); #393276=DIRECTION('center_axis',(0.,1.,0.)); #393277=DIRECTION('ref_axis',(-1.,0.,0.)); #393278=DIRECTION('',(-1.,0.,0.)); #393279=DIRECTION('',(-1.,0.,0.)); #393280=DIRECTION('center_axis',(0.,0.,1.)); #393281=DIRECTION('ref_axis',(1.,0.,0.)); #393282=DIRECTION('center_axis',(0.,0.,1.)); #393283=DIRECTION('ref_axis',(1.,0.,0.)); #393284=DIRECTION('center_axis',(1.,0.,0.)); #393285=DIRECTION('ref_axis',(0.,1.,0.)); #393286=DIRECTION('',(0.,1.,0.)); #393287=DIRECTION('',(0.,0.,1.)); #393288=DIRECTION('',(0.,1.,0.)); #393289=DIRECTION('',(0.,0.,1.)); #393290=DIRECTION('center_axis',(0.,-1.,0.)); #393291=DIRECTION('ref_axis',(1.,0.,0.)); #393292=DIRECTION('',(1.,0.,0.)); #393293=DIRECTION('',(1.,0.,0.)); #393294=DIRECTION('',(0.,0.,1.)); #393295=DIRECTION('center_axis',(-1.,0.,0.)); #393296=DIRECTION('ref_axis',(0.,-1.,0.)); #393297=DIRECTION('',(0.,-1.,0.)); #393298=DIRECTION('',(0.,-1.,0.)); #393299=DIRECTION('',(0.,0.,1.)); #393300=DIRECTION('center_axis',(0.,1.,0.)); #393301=DIRECTION('ref_axis',(-1.,0.,0.)); #393302=DIRECTION('',(-1.,0.,0.)); #393303=DIRECTION('',(-1.,0.,0.)); #393304=DIRECTION('center_axis',(0.,0.,1.)); #393305=DIRECTION('ref_axis',(1.,0.,0.)); #393306=DIRECTION('center_axis',(0.,0.,1.)); #393307=DIRECTION('ref_axis',(1.,0.,0.)); #393308=DIRECTION('center_axis',(0.,0.,1.)); #393309=DIRECTION('ref_axis',(1.,0.,0.)); #393310=DIRECTION('center_axis',(0.,0.,1.)); #393311=DIRECTION('ref_axis',(1.,0.,0.)); #393312=DIRECTION('',(0.,0.,1.)); #393313=DIRECTION('center_axis',(0.,0.,-1.)); #393314=DIRECTION('ref_axis',(1.,0.,0.)); #393315=DIRECTION('center_axis',(0.,0.,1.)); #393316=DIRECTION('ref_axis',(1.,0.,0.)); #393317=DIRECTION('center_axis',(0.,0.,1.)); #393318=DIRECTION('ref_axis',(1.,0.,0.)); #393319=DIRECTION('',(0.,0.,1.)); #393320=DIRECTION('center_axis',(0.,0.,-1.)); #393321=DIRECTION('ref_axis',(1.,0.,0.)); #393322=DIRECTION('center_axis',(1.,0.,0.)); #393323=DIRECTION('ref_axis',(0.,1.,0.)); #393324=DIRECTION('',(0.,1.,0.)); #393325=DIRECTION('',(0.,0.,1.)); #393326=DIRECTION('',(0.,1.,0.)); #393327=DIRECTION('',(0.,0.,1.)); #393328=DIRECTION('center_axis',(0.,-1.,0.)); #393329=DIRECTION('ref_axis',(1.,0.,0.)); #393330=DIRECTION('',(1.,0.,0.)); #393331=DIRECTION('',(1.,0.,0.)); #393332=DIRECTION('',(0.,0.,1.)); #393333=DIRECTION('center_axis',(-1.,0.,0.)); #393334=DIRECTION('ref_axis',(0.,-1.,0.)); #393335=DIRECTION('',(0.,-1.,0.)); #393336=DIRECTION('',(0.,-1.,0.)); #393337=DIRECTION('',(0.,0.,1.)); #393338=DIRECTION('center_axis',(0.,1.,0.)); #393339=DIRECTION('ref_axis',(-1.,0.,0.)); #393340=DIRECTION('',(-1.,0.,0.)); #393341=DIRECTION('',(-1.,0.,0.)); #393342=DIRECTION('center_axis',(0.,0.,1.)); #393343=DIRECTION('ref_axis',(1.,0.,0.)); #393344=DIRECTION('center_axis',(0.,0.,1.)); #393345=DIRECTION('ref_axis',(1.,0.,0.)); #393346=DIRECTION('center_axis',(1.,0.,0.)); #393347=DIRECTION('ref_axis',(0.,1.,0.)); #393348=DIRECTION('',(0.,1.,0.)); #393349=DIRECTION('',(0.,0.,1.)); #393350=DIRECTION('',(0.,1.,0.)); #393351=DIRECTION('',(0.,0.,1.)); #393352=DIRECTION('center_axis',(0.,-1.,0.)); #393353=DIRECTION('ref_axis',(1.,0.,0.)); #393354=DIRECTION('',(1.,0.,0.)); #393355=DIRECTION('',(1.,0.,0.)); #393356=DIRECTION('',(0.,0.,1.)); #393357=DIRECTION('center_axis',(-1.,0.,0.)); #393358=DIRECTION('ref_axis',(0.,-1.,0.)); #393359=DIRECTION('',(0.,-1.,0.)); #393360=DIRECTION('',(0.,-1.,0.)); #393361=DIRECTION('',(0.,0.,1.)); #393362=DIRECTION('center_axis',(0.,1.,0.)); #393363=DIRECTION('ref_axis',(-1.,0.,0.)); #393364=DIRECTION('',(-1.,0.,0.)); #393365=DIRECTION('',(-1.,0.,0.)); #393366=DIRECTION('center_axis',(0.,0.,1.)); #393367=DIRECTION('ref_axis',(1.,0.,0.)); #393368=DIRECTION('center_axis',(0.,0.,1.)); #393369=DIRECTION('ref_axis',(1.,0.,0.)); #393370=DIRECTION('center_axis',(0.,0.,1.)); #393371=DIRECTION('ref_axis',(1.,0.,0.)); #393372=DIRECTION('center_axis',(0.,0.,1.)); #393373=DIRECTION('ref_axis',(1.,0.,0.)); #393374=DIRECTION('',(0.,0.,1.)); #393375=DIRECTION('center_axis',(0.,0.,-1.)); #393376=DIRECTION('ref_axis',(1.,0.,0.)); #393377=DIRECTION('center_axis',(0.,0.,1.)); #393378=DIRECTION('ref_axis',(1.,0.,0.)); #393379=DIRECTION('center_axis',(0.,0.,1.)); #393380=DIRECTION('ref_axis',(1.,0.,0.)); #393381=DIRECTION('',(0.,0.,1.)); #393382=DIRECTION('center_axis',(0.,0.,-1.)); #393383=DIRECTION('ref_axis',(1.,0.,0.)); #393384=DIRECTION('center_axis',(1.,0.,0.)); #393385=DIRECTION('ref_axis',(0.,1.,0.)); #393386=DIRECTION('',(0.,1.,0.)); #393387=DIRECTION('',(0.,0.,1.)); #393388=DIRECTION('',(0.,1.,0.)); #393389=DIRECTION('',(0.,0.,1.)); #393390=DIRECTION('center_axis',(0.,-1.,0.)); #393391=DIRECTION('ref_axis',(1.,0.,0.)); #393392=DIRECTION('',(1.,0.,0.)); #393393=DIRECTION('',(1.,0.,0.)); #393394=DIRECTION('',(0.,0.,1.)); #393395=DIRECTION('center_axis',(-1.,0.,0.)); #393396=DIRECTION('ref_axis',(0.,-1.,0.)); #393397=DIRECTION('',(0.,-1.,0.)); #393398=DIRECTION('',(0.,-1.,0.)); #393399=DIRECTION('',(0.,0.,1.)); #393400=DIRECTION('center_axis',(0.,1.,0.)); #393401=DIRECTION('ref_axis',(-1.,0.,0.)); #393402=DIRECTION('',(-1.,0.,0.)); #393403=DIRECTION('',(-1.,0.,0.)); #393404=DIRECTION('center_axis',(0.,0.,1.)); #393405=DIRECTION('ref_axis',(1.,0.,0.)); #393406=DIRECTION('center_axis',(0.,0.,1.)); #393407=DIRECTION('ref_axis',(1.,0.,0.)); #393408=DIRECTION('center_axis',(1.,0.,0.)); #393409=DIRECTION('ref_axis',(0.,1.,0.)); #393410=DIRECTION('',(0.,1.,0.)); #393411=DIRECTION('',(0.,0.,1.)); #393412=DIRECTION('',(0.,1.,0.)); #393413=DIRECTION('',(0.,0.,1.)); #393414=DIRECTION('center_axis',(0.,-1.,0.)); #393415=DIRECTION('ref_axis',(1.,0.,0.)); #393416=DIRECTION('',(1.,0.,0.)); #393417=DIRECTION('',(1.,0.,0.)); #393418=DIRECTION('',(0.,0.,1.)); #393419=DIRECTION('center_axis',(-1.,0.,0.)); #393420=DIRECTION('ref_axis',(0.,-1.,0.)); #393421=DIRECTION('',(0.,-1.,0.)); #393422=DIRECTION('',(0.,-1.,0.)); #393423=DIRECTION('',(0.,0.,1.)); #393424=DIRECTION('center_axis',(0.,1.,0.)); #393425=DIRECTION('ref_axis',(-1.,0.,0.)); #393426=DIRECTION('',(-1.,0.,0.)); #393427=DIRECTION('',(-1.,0.,0.)); #393428=DIRECTION('center_axis',(0.,0.,1.)); #393429=DIRECTION('ref_axis',(1.,0.,0.)); #393430=DIRECTION('center_axis',(0.,0.,1.)); #393431=DIRECTION('ref_axis',(1.,0.,0.)); #393432=DIRECTION('center_axis',(0.,0.,1.)); #393433=DIRECTION('ref_axis',(1.,0.,0.)); #393434=DIRECTION('center_axis',(0.,0.,1.)); #393435=DIRECTION('ref_axis',(1.,0.,0.)); #393436=DIRECTION('',(0.,0.,1.)); #393437=DIRECTION('center_axis',(0.,0.,-1.)); #393438=DIRECTION('ref_axis',(1.,0.,0.)); #393439=DIRECTION('center_axis',(0.,0.,1.)); #393440=DIRECTION('ref_axis',(1.,0.,0.)); #393441=DIRECTION('center_axis',(0.,0.,1.)); #393442=DIRECTION('ref_axis',(1.,0.,0.)); #393443=DIRECTION('',(0.,0.,1.)); #393444=DIRECTION('center_axis',(0.,0.,-1.)); #393445=DIRECTION('ref_axis',(1.,0.,0.)); #393446=DIRECTION('center_axis',(1.,0.,0.)); #393447=DIRECTION('ref_axis',(0.,1.,0.)); #393448=DIRECTION('',(0.,1.,0.)); #393449=DIRECTION('',(0.,0.,1.)); #393450=DIRECTION('',(0.,1.,0.)); #393451=DIRECTION('',(0.,0.,1.)); #393452=DIRECTION('center_axis',(0.,-1.,0.)); #393453=DIRECTION('ref_axis',(1.,0.,0.)); #393454=DIRECTION('',(1.,0.,0.)); #393455=DIRECTION('',(1.,0.,0.)); #393456=DIRECTION('',(0.,0.,1.)); #393457=DIRECTION('center_axis',(-1.,0.,0.)); #393458=DIRECTION('ref_axis',(0.,-1.,0.)); #393459=DIRECTION('',(0.,-1.,0.)); #393460=DIRECTION('',(0.,-1.,0.)); #393461=DIRECTION('',(0.,0.,1.)); #393462=DIRECTION('center_axis',(0.,1.,0.)); #393463=DIRECTION('ref_axis',(-1.,0.,0.)); #393464=DIRECTION('',(-1.,0.,0.)); #393465=DIRECTION('',(-1.,0.,0.)); #393466=DIRECTION('center_axis',(0.,0.,1.)); #393467=DIRECTION('ref_axis',(1.,0.,0.)); #393468=DIRECTION('center_axis',(0.,0.,1.)); #393469=DIRECTION('ref_axis',(1.,0.,0.)); #393470=DIRECTION('center_axis',(1.,0.,0.)); #393471=DIRECTION('ref_axis',(0.,1.,0.)); #393472=DIRECTION('',(0.,1.,0.)); #393473=DIRECTION('',(0.,0.,1.)); #393474=DIRECTION('',(0.,1.,0.)); #393475=DIRECTION('',(0.,0.,1.)); #393476=DIRECTION('center_axis',(0.,-1.,0.)); #393477=DIRECTION('ref_axis',(1.,0.,0.)); #393478=DIRECTION('',(1.,0.,0.)); #393479=DIRECTION('',(1.,0.,0.)); #393480=DIRECTION('',(0.,0.,1.)); #393481=DIRECTION('center_axis',(-1.,0.,0.)); #393482=DIRECTION('ref_axis',(0.,-1.,0.)); #393483=DIRECTION('',(0.,-1.,0.)); #393484=DIRECTION('',(0.,-1.,0.)); #393485=DIRECTION('',(0.,0.,1.)); #393486=DIRECTION('center_axis',(0.,1.,0.)); #393487=DIRECTION('ref_axis',(-1.,0.,0.)); #393488=DIRECTION('',(-1.,0.,0.)); #393489=DIRECTION('',(-1.,0.,0.)); #393490=DIRECTION('center_axis',(0.,0.,1.)); #393491=DIRECTION('ref_axis',(1.,0.,0.)); #393492=DIRECTION('center_axis',(0.,0.,1.)); #393493=DIRECTION('ref_axis',(1.,0.,0.)); #393494=DIRECTION('center_axis',(1.,0.,0.)); #393495=DIRECTION('ref_axis',(0.,1.,0.)); #393496=DIRECTION('',(0.,1.,0.)); #393497=DIRECTION('',(0.,0.,1.)); #393498=DIRECTION('',(0.,1.,0.)); #393499=DIRECTION('',(0.,0.,1.)); #393500=DIRECTION('center_axis',(0.,-1.,0.)); #393501=DIRECTION('ref_axis',(1.,0.,0.)); #393502=DIRECTION('',(1.,0.,0.)); #393503=DIRECTION('',(1.,0.,0.)); #393504=DIRECTION('',(0.,0.,1.)); #393505=DIRECTION('center_axis',(-1.,0.,0.)); #393506=DIRECTION('ref_axis',(0.,-1.,0.)); #393507=DIRECTION('',(0.,-1.,0.)); #393508=DIRECTION('',(0.,-1.,0.)); #393509=DIRECTION('',(0.,0.,1.)); #393510=DIRECTION('center_axis',(0.,1.,0.)); #393511=DIRECTION('ref_axis',(-1.,0.,0.)); #393512=DIRECTION('',(-1.,0.,0.)); #393513=DIRECTION('',(-1.,0.,0.)); #393514=DIRECTION('',(0.,0.,1.)); #393515=DIRECTION('center_axis',(-1.,0.,0.)); #393516=DIRECTION('ref_axis',(0.,-1.,0.)); #393517=DIRECTION('',(0.,-1.,0.)); #393518=DIRECTION('',(0.,-1.,0.)); #393519=DIRECTION('',(0.,0.,1.)); #393520=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #393521=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #393522=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #393523=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #393524=DIRECTION('',(0.,0.,1.)); #393525=DIRECTION('center_axis',(0.,0.,1.)); #393526=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #393527=DIRECTION('center_axis',(0.,0.,1.)); #393528=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #393529=DIRECTION('center_axis',(0.,0.,1.)); #393530=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695794E-6,0.)); #393531=DIRECTION('',(0.,0.,1.)); #393532=DIRECTION('center_axis',(-1.,0.,0.)); #393533=DIRECTION('ref_axis',(0.,-1.,0.)); #393534=DIRECTION('',(0.,-1.,0.)); #393535=DIRECTION('',(0.,-1.,0.)); #393536=DIRECTION('',(0.,0.,1.)); #393537=DIRECTION('center_axis',(0.,-1.,0.)); #393538=DIRECTION('ref_axis',(1.,0.,0.)); #393539=DIRECTION('',(1.,0.,0.)); #393540=DIRECTION('',(1.,0.,0.)); #393541=DIRECTION('',(0.,0.,1.)); #393542=DIRECTION('center_axis',(-1.,0.,0.)); #393543=DIRECTION('ref_axis',(0.,-1.,0.)); #393544=DIRECTION('',(0.,-1.,0.)); #393545=DIRECTION('',(0.,-1.,0.)); #393546=DIRECTION('',(0.,0.,1.)); #393547=DIRECTION('center_axis',(0.,1.,0.)); #393548=DIRECTION('ref_axis',(-1.,0.,0.)); #393549=DIRECTION('',(-1.,0.,0.)); #393550=DIRECTION('',(-1.,0.,0.)); #393551=DIRECTION('',(0.,0.,1.)); #393552=DIRECTION('center_axis',(-0.675122035826655,0.737706063917921,0.)); #393553=DIRECTION('ref_axis',(-0.737706063917921,-0.675122035826655,0.)); #393554=DIRECTION('',(-0.737706063917921,-0.675122035826655,0.)); #393555=DIRECTION('',(-0.737706063917921,-0.675122035826655,0.)); #393556=DIRECTION('',(0.,0.,1.)); #393557=DIRECTION('center_axis',(0.,0.,1.)); #393558=DIRECTION('ref_axis',(-1.33822881660761E-6,0.999999999999105,0.)); #393559=DIRECTION('center_axis',(0.,0.,1.)); #393560=DIRECTION('ref_axis',(-1.33822881660761E-6,0.999999999999105,0.)); #393561=DIRECTION('center_axis',(0.,0.,1.)); #393562=DIRECTION('ref_axis',(-1.33822881660761E-6,0.999999999999105,0.)); #393563=DIRECTION('',(0.,0.,1.)); #393564=DIRECTION('center_axis',(0.,1.,0.)); #393565=DIRECTION('ref_axis',(-1.,0.,0.)); #393566=DIRECTION('',(-1.,0.,0.)); #393567=DIRECTION('',(-1.,0.,0.)); #393568=DIRECTION('',(0.,0.,1.)); #393569=DIRECTION('center_axis',(-0.690650507348324,0.723188686788934,0.)); #393570=DIRECTION('ref_axis',(-0.723188686788934,-0.690650507348324,0.)); #393571=DIRECTION('',(-0.723188686788934,-0.690650507348324,0.)); #393572=DIRECTION('',(-0.723188686788934,-0.690650507348324,0.)); #393573=DIRECTION('',(0.,0.,1.)); #393574=DIRECTION('center_axis',(0.,0.,1.)); #393575=DIRECTION('ref_axis',(-8.91676915213142E-6,0.999999999960246,0.)); #393576=DIRECTION('center_axis',(0.,0.,1.)); #393577=DIRECTION('ref_axis',(-8.91676915213142E-6,0.999999999960246,0.)); #393578=DIRECTION('center_axis',(0.,0.,1.)); #393579=DIRECTION('ref_axis',(-8.91676915213142E-6,0.999999999960246,0.)); #393580=DIRECTION('',(0.,0.,1.)); #393581=DIRECTION('center_axis',(0.,1.,0.)); #393582=DIRECTION('ref_axis',(-1.,0.,0.)); #393583=DIRECTION('',(-1.,0.,0.)); #393584=DIRECTION('',(-1.,0.,0.)); #393585=DIRECTION('',(0.,0.,1.)); #393586=DIRECTION('center_axis',(-1.,0.,0.)); #393587=DIRECTION('ref_axis',(0.,-1.,0.)); #393588=DIRECTION('',(0.,-1.,0.)); #393589=DIRECTION('',(0.,-1.,0.)); #393590=DIRECTION('',(0.,0.,1.)); #393591=DIRECTION('center_axis',(0.,1.,0.)); #393592=DIRECTION('ref_axis',(-1.,0.,0.)); #393593=DIRECTION('',(-1.,0.,0.)); #393594=DIRECTION('',(-1.,0.,0.)); #393595=DIRECTION('',(0.,0.,1.)); #393596=DIRECTION('center_axis',(1.,0.,0.)); #393597=DIRECTION('ref_axis',(0.,1.,0.)); #393598=DIRECTION('',(0.,1.,0.)); #393599=DIRECTION('',(0.,1.,0.)); #393600=DIRECTION('',(0.,0.,1.)); #393601=DIRECTION('center_axis',(0.719516601527449,0.694475240830363,0.)); #393602=DIRECTION('ref_axis',(-0.694475240830363,0.719516601527449,0.)); #393603=DIRECTION('',(-0.694475240830363,0.719516601527449,0.)); #393604=DIRECTION('',(-0.694475240830363,0.719516601527449,0.)); #393605=DIRECTION('',(0.,0.,1.)); #393606=DIRECTION('center_axis',(0.,1.,0.)); #393607=DIRECTION('ref_axis',(-1.,0.,0.)); #393608=DIRECTION('',(-1.,0.,0.)); #393609=DIRECTION('',(-1.,0.,0.)); #393610=DIRECTION('',(0.,0.,1.)); #393611=DIRECTION('center_axis',(-0.713284880872908,0.700874224606756,0.)); #393612=DIRECTION('ref_axis',(-0.700874224606756,-0.713284880872908,0.)); #393613=DIRECTION('',(-0.700874224606756,-0.713284880872908,0.)); #393614=DIRECTION('',(-0.700874224606756,-0.713284880872908,0.)); #393615=DIRECTION('',(0.,0.,1.)); #393616=DIRECTION('center_axis',(0.,0.,1.)); #393617=DIRECTION('ref_axis',(1.45598405102153E-5,0.999999999894005,0.)); #393618=DIRECTION('center_axis',(0.,0.,1.)); #393619=DIRECTION('ref_axis',(1.45598405102153E-5,0.999999999894005,0.)); #393620=DIRECTION('center_axis',(0.,0.,1.)); #393621=DIRECTION('ref_axis',(1.45598405102153E-5,0.999999999894005,0.)); #393622=DIRECTION('',(0.,0.,1.)); #393623=DIRECTION('center_axis',(0.,1.,0.)); #393624=DIRECTION('ref_axis',(-1.,0.,0.)); #393625=DIRECTION('',(-1.,0.,0.)); #393626=DIRECTION('',(-1.,0.,0.)); #393627=DIRECTION('',(0.,0.,1.)); #393628=DIRECTION('center_axis',(0.,0.,1.)); #393629=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #393630=DIRECTION('center_axis',(0.,0.,1.)); #393631=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #393632=DIRECTION('center_axis',(0.,0.,1.)); #393633=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #393634=DIRECTION('',(0.,0.,1.)); #393635=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #393636=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #393637=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #393638=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #393639=DIRECTION('',(0.,0.,1.)); #393640=DIRECTION('center_axis',(0.,1.,0.)); #393641=DIRECTION('ref_axis',(-1.,0.,0.)); #393642=DIRECTION('',(-1.,0.,0.)); #393643=DIRECTION('',(-1.,0.,0.)); #393644=DIRECTION('',(0.,0.,1.)); #393645=DIRECTION('center_axis',(-0.707106781186554,0.707106781186541,0.)); #393646=DIRECTION('ref_axis',(-0.707106781186541,-0.707106781186554,0.)); #393647=DIRECTION('',(-0.707106781186541,-0.707106781186554,0.)); #393648=DIRECTION('',(-0.707106781186541,-0.707106781186554,0.)); #393649=DIRECTION('',(0.,0.,1.)); #393650=DIRECTION('center_axis',(-1.,0.,0.)); #393651=DIRECTION('ref_axis',(0.,-1.,0.)); #393652=DIRECTION('',(0.,-1.,0.)); #393653=DIRECTION('',(0.,-1.,0.)); #393654=DIRECTION('',(0.,0.,1.)); #393655=DIRECTION('center_axis',(0.,1.,0.)); #393656=DIRECTION('ref_axis',(-1.,0.,0.)); #393657=DIRECTION('',(-1.,0.,0.)); #393658=DIRECTION('',(-1.,0.,0.)); #393659=DIRECTION('',(0.,0.,1.)); #393660=DIRECTION('center_axis',(1.,0.,0.)); #393661=DIRECTION('ref_axis',(0.,1.,0.)); #393662=DIRECTION('',(0.,1.,0.)); #393663=DIRECTION('',(0.,1.,0.)); #393664=DIRECTION('',(0.,0.,1.)); #393665=DIRECTION('center_axis',(0.,-1.,0.)); #393666=DIRECTION('ref_axis',(1.,0.,0.)); #393667=DIRECTION('',(1.,0.,0.)); #393668=DIRECTION('',(1.,0.,0.)); #393669=DIRECTION('',(0.,0.,1.)); #393670=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #393671=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #393672=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #393673=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #393674=DIRECTION('',(0.,0.,1.)); #393675=DIRECTION('center_axis',(0.,0.,1.)); #393676=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #393677=DIRECTION('center_axis',(0.,0.,1.)); #393678=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #393679=DIRECTION('center_axis',(0.,0.,1.)); #393680=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #393681=DIRECTION('',(0.,0.,1.)); #393682=DIRECTION('center_axis',(0.,-1.,0.)); #393683=DIRECTION('ref_axis',(1.,0.,0.)); #393684=DIRECTION('',(1.,0.,0.)); #393685=DIRECTION('',(1.,0.,0.)); #393686=DIRECTION('',(0.,0.,1.)); #393687=DIRECTION('center_axis',(0.,0.,1.)); #393688=DIRECTION('ref_axis',(-0.707102614154213,-0.707110948194325,0.)); #393689=DIRECTION('center_axis',(0.,0.,1.)); #393690=DIRECTION('ref_axis',(-0.707102614154213,-0.707110948194325,0.)); #393691=DIRECTION('center_axis',(0.,0.,1.)); #393692=DIRECTION('ref_axis',(-0.707102614154213,-0.707110948194325,0.)); #393693=DIRECTION('',(0.,0.,1.)); #393694=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #393695=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #393696=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #393697=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #393698=DIRECTION('',(0.,0.,1.)); #393699=DIRECTION('center_axis',(0.,-1.,0.)); #393700=DIRECTION('ref_axis',(1.,0.,0.)); #393701=DIRECTION('',(1.,0.,0.)); #393702=DIRECTION('',(1.,0.,0.)); #393703=DIRECTION('',(0.,0.,1.)); #393704=DIRECTION('center_axis',(0.713284641214766,-0.700874468508536,0.)); #393705=DIRECTION('ref_axis',(0.700874468508536,0.713284641214765,0.)); #393706=DIRECTION('',(0.700874468508536,0.713284641214765,0.)); #393707=DIRECTION('',(0.700874468508536,0.713284641214765,0.)); #393708=DIRECTION('',(0.,0.,1.)); #393709=DIRECTION('center_axis',(0.,0.,1.)); #393710=DIRECTION('ref_axis',(-9.1085804943811E-6,-0.999999999958517,0.)); #393711=DIRECTION('center_axis',(0.,0.,1.)); #393712=DIRECTION('ref_axis',(-9.1085804943811E-6,-0.999999999958517,0.)); #393713=DIRECTION('center_axis',(0.,0.,1.)); #393714=DIRECTION('ref_axis',(-9.1085804943811E-6,-0.999999999958517,0.)); #393715=DIRECTION('',(0.,0.,1.)); #393716=DIRECTION('center_axis',(0.,-1.,0.)); #393717=DIRECTION('ref_axis',(1.,0.,0.)); #393718=DIRECTION('',(1.,0.,0.)); #393719=DIRECTION('',(1.,0.,0.)); #393720=DIRECTION('',(0.,0.,1.)); #393721=DIRECTION('center_axis',(0.,0.,1.)); #393722=DIRECTION('ref_axis',(-0.719503526374596,-0.694488787191356,0.)); #393723=DIRECTION('center_axis',(0.,0.,1.)); #393724=DIRECTION('ref_axis',(-0.719503526374596,-0.694488787191356,0.)); #393725=DIRECTION('center_axis',(0.,0.,1.)); #393726=DIRECTION('ref_axis',(-0.719503526374596,-0.694488787191356,0.)); #393727=DIRECTION('',(0.,0.,1.)); #393728=DIRECTION('center_axis',(-0.719514816688641,-0.694477090022062, 0.)); #393729=DIRECTION('ref_axis',(0.694477090022062,-0.719514816688641,0.)); #393730=DIRECTION('',(0.694477090022062,-0.719514816688641,0.)); #393731=DIRECTION('',(0.694477090022062,-0.719514816688641,0.)); #393732=DIRECTION('',(0.,0.,1.)); #393733=DIRECTION('center_axis',(0.,-1.,0.)); #393734=DIRECTION('ref_axis',(1.,0.,0.)); #393735=DIRECTION('',(1.,0.,0.)); #393736=DIRECTION('',(1.,0.,0.)); #393737=DIRECTION('',(0.,0.,1.)); #393738=DIRECTION('center_axis',(-1.,0.,0.)); #393739=DIRECTION('ref_axis',(0.,-1.,0.)); #393740=DIRECTION('',(0.,-1.,0.)); #393741=DIRECTION('',(0.,-1.,0.)); #393742=DIRECTION('',(0.,0.,1.)); #393743=DIRECTION('center_axis',(0.,-1.,0.)); #393744=DIRECTION('ref_axis',(1.,0.,0.)); #393745=DIRECTION('',(1.,0.,0.)); #393746=DIRECTION('',(1.,0.,0.)); #393747=DIRECTION('',(0.,0.,1.)); #393748=DIRECTION('center_axis',(0.690652324419917,-0.723186951467852,0.)); #393749=DIRECTION('ref_axis',(0.723186951467852,0.690652324419917,0.)); #393750=DIRECTION('',(0.723186951467852,0.690652324419917,0.)); #393751=DIRECTION('',(0.723186951467852,0.690652324419917,0.)); #393752=DIRECTION('',(0.,0.,1.)); #393753=DIRECTION('center_axis',(0.,0.,1.)); #393754=DIRECTION('ref_axis',(4.97967440584682E-6,-0.999999999987601,0.)); #393755=DIRECTION('center_axis',(0.,0.,1.)); #393756=DIRECTION('ref_axis',(4.97967440584682E-6,-0.999999999987601,0.)); #393757=DIRECTION('center_axis',(0.,0.,1.)); #393758=DIRECTION('ref_axis',(4.97967440584682E-6,-0.999999999987601,0.)); #393759=DIRECTION('',(0.,0.,1.)); #393760=DIRECTION('center_axis',(0.,-1.,0.)); #393761=DIRECTION('ref_axis',(1.,0.,0.)); #393762=DIRECTION('',(1.,0.,0.)); #393763=DIRECTION('',(1.,0.,0.)); #393764=DIRECTION('',(0.,0.,1.)); #393765=DIRECTION('center_axis',(0.675118559056202,-0.737709245718039,0.)); #393766=DIRECTION('ref_axis',(0.737709245718039,0.675118559056202,0.)); #393767=DIRECTION('',(0.737709245718039,0.675118559056202,0.)); #393768=DIRECTION('',(0.737709245718039,0.675118559056202,0.)); #393769=DIRECTION('',(0.,0.,1.)); #393770=DIRECTION('center_axis',(1.,0.,0.)); #393771=DIRECTION('ref_axis',(0.,1.,0.)); #393772=DIRECTION('',(0.,1.,0.)); #393773=DIRECTION('',(0.,1.,0.)); #393774=DIRECTION('',(0.,0.,1.)); #393775=DIRECTION('center_axis',(0.,-1.,0.)); #393776=DIRECTION('ref_axis',(1.,0.,0.)); #393777=DIRECTION('',(1.,0.,0.)); #393778=DIRECTION('',(1.,0.,0.)); #393779=DIRECTION('',(0.,0.,1.)); #393780=DIRECTION('center_axis',(1.,0.,0.)); #393781=DIRECTION('ref_axis',(0.,1.,0.)); #393782=DIRECTION('',(0.,1.,0.)); #393783=DIRECTION('',(0.,1.,0.)); #393784=DIRECTION('',(0.,0.,1.)); #393785=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #393786=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #393787=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #393788=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #393789=DIRECTION('',(0.,0.,1.)); #393790=DIRECTION('center_axis',(0.,0.,1.)); #393791=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #393792=DIRECTION('center_axis',(0.,0.,1.)); #393793=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #393794=DIRECTION('center_axis',(0.,0.,1.)); #393795=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #393796=DIRECTION('',(0.,0.,1.)); #393797=DIRECTION('center_axis',(1.,0.,0.)); #393798=DIRECTION('ref_axis',(0.,1.,0.)); #393799=DIRECTION('',(0.,1.,0.)); #393800=DIRECTION('',(0.,1.,0.)); #393801=DIRECTION('',(0.,0.,1.)); #393802=DIRECTION('center_axis',(0.,1.,0.)); #393803=DIRECTION('ref_axis',(-1.,0.,0.)); #393804=DIRECTION('',(-1.,0.,0.)); #393805=DIRECTION('',(-1.,0.,0.)); #393806=DIRECTION('center_axis',(0.,0.,1.)); #393807=DIRECTION('ref_axis',(1.,0.,0.)); #393808=DIRECTION('center_axis',(0.,0.,1.)); #393809=DIRECTION('ref_axis',(1.,0.,0.)); #393810=DIRECTION('center_axis',(0.,0.,1.)); #393811=DIRECTION('ref_axis',(1.,0.,0.)); #393812=DIRECTION('center_axis',(0.,0.,1.)); #393813=DIRECTION('ref_axis',(1.,0.,0.)); #393814=DIRECTION('',(0.,0.,1.)); #393815=DIRECTION('center_axis',(0.,0.,-1.)); #393816=DIRECTION('ref_axis',(1.,0.,0.)); #393817=DIRECTION('center_axis',(0.,0.,1.)); #393818=DIRECTION('ref_axis',(1.,0.,0.)); #393819=DIRECTION('center_axis',(0.,0.,1.)); #393820=DIRECTION('ref_axis',(1.,0.,0.)); #393821=DIRECTION('',(0.,0.,1.)); #393822=DIRECTION('center_axis',(0.,0.,-1.)); #393823=DIRECTION('ref_axis',(1.,0.,0.)); #393824=DIRECTION('center_axis',(0.156447762252332,-0.987686234431886,0.)); #393825=DIRECTION('ref_axis',(0.987686234431886,0.156447762252332,0.)); #393826=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #393827=DIRECTION('',(0.,0.,1.)); #393828=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #393829=DIRECTION('',(0.,0.,1.)); #393830=DIRECTION('center_axis',(0.,0.,1.)); #393831=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #393832=DIRECTION('center_axis',(0.,0.,1.)); #393833=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #393834=DIRECTION('center_axis',(0.,0.,1.)); #393835=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #393836=DIRECTION('',(0.,0.,1.)); #393837=DIRECTION('center_axis',(0.,0.,1.)); #393838=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #393839=DIRECTION('center_axis',(0.,0.,1.)); #393840=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #393841=DIRECTION('center_axis',(0.,0.,1.)); #393842=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #393843=DIRECTION('',(0.,0.,1.)); #393844=DIRECTION('center_axis',(0.,1.,0.)); #393845=DIRECTION('ref_axis',(-1.,0.,0.)); #393846=DIRECTION('',(-1.,0.,0.)); #393847=DIRECTION('',(-1.,0.,0.)); #393848=DIRECTION('',(0.,0.,1.)); #393849=DIRECTION('center_axis',(0.,0.,1.)); #393850=DIRECTION('ref_axis',(0.923607696029128,0.383339045540323,0.)); #393851=DIRECTION('center_axis',(0.,0.,1.)); #393852=DIRECTION('ref_axis',(0.923607696029128,0.383339045540323,0.)); #393853=DIRECTION('center_axis',(0.,0.,1.)); #393854=DIRECTION('ref_axis',(0.923607696029128,0.383339045540323,0.)); #393855=DIRECTION('',(0.,0.,1.)); #393856=DIRECTION('center_axis',(0.,0.,1.)); #393857=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676714,0.)); #393858=DIRECTION('center_axis',(0.,0.,1.)); #393859=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676714,0.)); #393860=DIRECTION('center_axis',(0.,0.,1.)); #393861=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676714,0.)); #393862=DIRECTION('',(0.,0.,1.)); #393863=DIRECTION('center_axis',(0.,-1.,0.)); #393864=DIRECTION('ref_axis',(1.,0.,0.)); #393865=DIRECTION('',(1.,0.,0.)); #393866=DIRECTION('',(1.,0.,0.)); #393867=DIRECTION('',(0.,0.,1.)); #393868=DIRECTION('center_axis',(0.,0.,1.)); #393869=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #393870=DIRECTION('center_axis',(0.,0.,1.)); #393871=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #393872=DIRECTION('center_axis',(0.,0.,1.)); #393873=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #393874=DIRECTION('center_axis',(0.,0.,1.)); #393875=DIRECTION('ref_axis',(1.,0.,0.)); #393876=DIRECTION('center_axis',(0.,0.,1.)); #393877=DIRECTION('ref_axis',(1.,0.,0.)); #393878=DIRECTION('center_axis',(0.,0.,1.)); #393879=DIRECTION('ref_axis',(1.,0.,0.)); #393880=DIRECTION('center_axis',(0.,0.,1.)); #393881=DIRECTION('ref_axis',(1.,0.,0.)); #393882=DIRECTION('',(0.,0.,1.)); #393883=DIRECTION('center_axis',(0.,0.,-1.)); #393884=DIRECTION('ref_axis',(1.,0.,0.)); #393885=DIRECTION('center_axis',(0.156445508580651,-0.98768659140688,0.)); #393886=DIRECTION('ref_axis',(0.98768659140688,0.156445508580651,0.)); #393887=DIRECTION('',(0.98768659140688,0.156445508580651,0.)); #393888=DIRECTION('',(0.,0.,1.)); #393889=DIRECTION('',(0.98768659140688,0.156445508580651,0.)); #393890=DIRECTION('',(0.,0.,1.)); #393891=DIRECTION('center_axis',(0.,0.,1.)); #393892=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #393893=DIRECTION('center_axis',(0.,0.,1.)); #393894=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #393895=DIRECTION('center_axis',(0.,0.,1.)); #393896=DIRECTION('ref_axis',(-0.461527820679405,0.887125735585954,0.)); #393897=DIRECTION('',(0.,0.,1.)); #393898=DIRECTION('center_axis',(-1.,0.,0.)); #393899=DIRECTION('ref_axis',(0.,-1.,0.)); #393900=DIRECTION('',(0.,-1.,0.)); #393901=DIRECTION('',(0.,-1.,0.)); #393902=DIRECTION('',(0.,0.,1.)); #393903=DIRECTION('center_axis',(0.,-1.,0.)); #393904=DIRECTION('ref_axis',(1.,0.,0.)); #393905=DIRECTION('',(1.,0.,0.)); #393906=DIRECTION('',(1.,0.,0.)); #393907=DIRECTION('',(0.,0.,1.)); #393908=DIRECTION('center_axis',(-1.,0.,0.)); #393909=DIRECTION('ref_axis',(0.,-1.,0.)); #393910=DIRECTION('',(0.,-1.,0.)); #393911=DIRECTION('',(0.,-1.,0.)); #393912=DIRECTION('',(0.,0.,1.)); #393913=DIRECTION('center_axis',(0.,1.,0.)); #393914=DIRECTION('ref_axis',(-1.,0.,0.)); #393915=DIRECTION('',(-1.,0.,0.)); #393916=DIRECTION('',(-1.,0.,0.)); #393917=DIRECTION('',(0.,0.,1.)); #393918=DIRECTION('center_axis',(1.,0.,0.)); #393919=DIRECTION('ref_axis',(0.,1.,0.)); #393920=DIRECTION('',(0.,1.,0.)); #393921=DIRECTION('',(0.,1.,0.)); #393922=DIRECTION('',(0.,0.,1.)); #393923=DIRECTION('center_axis',(0.,-1.,0.)); #393924=DIRECTION('ref_axis',(1.,0.,0.)); #393925=DIRECTION('',(1.,0.,0.)); #393926=DIRECTION('',(1.,0.,0.)); #393927=DIRECTION('',(0.,0.,1.)); #393928=DIRECTION('center_axis',(1.,0.,0.)); #393929=DIRECTION('ref_axis',(0.,1.,0.)); #393930=DIRECTION('',(0.,1.,0.)); #393931=DIRECTION('',(0.,1.,0.)); #393932=DIRECTION('',(0.,0.,1.)); #393933=DIRECTION('center_axis',(0.,0.,1.)); #393934=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #393935=DIRECTION('center_axis',(0.,0.,1.)); #393936=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #393937=DIRECTION('center_axis',(0.,0.,1.)); #393938=DIRECTION('ref_axis',(0.234004167193524,-0.972235593740563,0.)); #393939=DIRECTION('center_axis',(0.,0.,1.)); #393940=DIRECTION('ref_axis',(1.,0.,0.)); #393941=DIRECTION('center_axis',(0.,0.,1.)); #393942=DIRECTION('ref_axis',(1.,0.,0.)); #393943=DIRECTION('center_axis',(0.,0.,1.)); #393944=DIRECTION('ref_axis',(1.,0.,0.)); #393945=DIRECTION('center_axis',(0.,0.,1.)); #393946=DIRECTION('ref_axis',(1.,0.,0.)); #393947=DIRECTION('',(0.,0.,1.)); #393948=DIRECTION('center_axis',(0.,0.,-1.)); #393949=DIRECTION('ref_axis',(1.,0.,0.)); #393950=DIRECTION('center_axis',(1.,0.,0.)); #393951=DIRECTION('ref_axis',(0.,1.,0.)); #393952=DIRECTION('',(0.,1.,0.)); #393953=DIRECTION('',(0.,0.,1.)); #393954=DIRECTION('',(0.,1.,0.)); #393955=DIRECTION('',(0.,0.,1.)); #393956=DIRECTION('center_axis',(0.,-1.,0.)); #393957=DIRECTION('ref_axis',(1.,0.,0.)); #393958=DIRECTION('',(1.,0.,0.)); #393959=DIRECTION('',(1.,0.,0.)); #393960=DIRECTION('',(0.,0.,1.)); #393961=DIRECTION('center_axis',(-1.,0.,0.)); #393962=DIRECTION('ref_axis',(0.,-1.,0.)); #393963=DIRECTION('',(0.,-1.,0.)); #393964=DIRECTION('',(0.,-1.,0.)); #393965=DIRECTION('',(0.,0.,1.)); #393966=DIRECTION('center_axis',(0.,1.,0.)); #393967=DIRECTION('ref_axis',(-1.,0.,0.)); #393968=DIRECTION('',(-1.,0.,0.)); #393969=DIRECTION('',(-1.,0.,0.)); #393970=DIRECTION('',(0.,0.,1.)); #393971=DIRECTION('center_axis',(-1.,0.,0.)); #393972=DIRECTION('ref_axis',(0.,-1.,0.)); #393973=DIRECTION('',(0.,-1.,0.)); #393974=DIRECTION('',(0.,-1.,0.)); #393975=DIRECTION('',(0.,0.,1.)); #393976=DIRECTION('center_axis',(0.,0.,1.)); #393977=DIRECTION('ref_axis',(-0.707111226576164,0.707102335768984,0.)); #393978=DIRECTION('center_axis',(0.,0.,1.)); #393979=DIRECTION('ref_axis',(-0.707111226576164,0.707102335768984,0.)); #393980=DIRECTION('center_axis',(0.,0.,1.)); #393981=DIRECTION('ref_axis',(-0.707111226576164,0.707102335768984,0.)); #393982=DIRECTION('',(0.,0.,1.)); #393983=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,0.)); #393984=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.)); #393985=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #393986=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #393987=DIRECTION('',(0.,0.,1.)); #393988=DIRECTION('center_axis',(0.,0.,1.)); #393989=DIRECTION('ref_axis',(0.0464738032989275,0.998919509073145,0.)); #393990=DIRECTION('center_axis',(0.,0.,1.)); #393991=DIRECTION('ref_axis',(0.0464738032989275,0.998919509073145,0.)); #393992=DIRECTION('center_axis',(0.,0.,1.)); #393993=DIRECTION('ref_axis',(0.0464738032989275,0.998919509073145,0.)); #393994=DIRECTION('',(0.,0.,1.)); #393995=DIRECTION('center_axis',(0.,0.,1.)); #393996=DIRECTION('ref_axis',(0.852187955454489,0.523235786790523,0.)); #393997=DIRECTION('center_axis',(0.,0.,1.)); #393998=DIRECTION('ref_axis',(0.852187955454489,0.523235786790523,0.)); #393999=DIRECTION('center_axis',(0.,0.,1.)); #394000=DIRECTION('ref_axis',(0.852187955454489,0.523235786790523,0.)); #394001=DIRECTION('',(0.,0.,1.)); #394002=DIRECTION('center_axis',(0.,0.,1.)); #394003=DIRECTION('ref_axis',(-0.823404892668767,-0.567454300123927,0.)); #394004=DIRECTION('center_axis',(0.,0.,1.)); #394005=DIRECTION('ref_axis',(-0.823404892668767,-0.567454300123927,0.)); #394006=DIRECTION('center_axis',(0.,0.,1.)); #394007=DIRECTION('ref_axis',(-0.823404892668767,-0.567454300123927,0.)); #394008=DIRECTION('',(0.,0.,1.)); #394009=DIRECTION('center_axis',(0.707106781186556,-0.707106781186539,0.)); #394010=DIRECTION('ref_axis',(0.707106781186539,0.707106781186556,0.)); #394011=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #394012=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #394013=DIRECTION('',(0.,0.,1.)); #394014=DIRECTION('center_axis',(1.,0.,0.)); #394015=DIRECTION('ref_axis',(0.,1.,0.)); #394016=DIRECTION('',(0.,1.,0.)); #394017=DIRECTION('',(0.,1.,0.)); #394018=DIRECTION('',(0.,0.,1.)); #394019=DIRECTION('center_axis',(0.,1.,0.)); #394020=DIRECTION('ref_axis',(-1.,0.,0.)); #394021=DIRECTION('',(-1.,0.,0.)); #394022=DIRECTION('',(-1.,0.,0.)); #394023=DIRECTION('center_axis',(0.,0.,1.)); #394024=DIRECTION('ref_axis',(1.,0.,0.)); #394025=DIRECTION('center_axis',(0.,0.,1.)); #394026=DIRECTION('ref_axis',(1.,0.,0.)); #394027=DIRECTION('center_axis',(0.,0.,1.)); #394028=DIRECTION('ref_axis',(1.,0.,0.)); #394029=DIRECTION('center_axis',(0.,0.,1.)); #394030=DIRECTION('ref_axis',(1.,0.,0.)); #394031=DIRECTION('',(0.,0.,1.)); #394032=DIRECTION('center_axis',(0.,0.,-1.)); #394033=DIRECTION('ref_axis',(1.,0.,0.)); #394034=DIRECTION('center_axis',(0.,0.,1.)); #394035=DIRECTION('ref_axis',(1.,0.,0.)); #394036=DIRECTION('center_axis',(0.,0.,1.)); #394037=DIRECTION('ref_axis',(1.,0.,0.)); #394038=DIRECTION('',(0.,0.,1.)); #394039=DIRECTION('center_axis',(0.,0.,-1.)); #394040=DIRECTION('ref_axis',(1.,0.,0.)); #394041=DIRECTION('center_axis',(0.156447762252348,-0.987686234431883,0.)); #394042=DIRECTION('ref_axis',(0.987686234431883,0.156447762252348,0.)); #394043=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #394044=DIRECTION('',(0.,0.,1.)); #394045=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #394046=DIRECTION('',(0.,0.,1.)); #394047=DIRECTION('center_axis',(0.,0.,1.)); #394048=DIRECTION('ref_axis',(-0.923881211222879,-0.382679379571654,0.)); #394049=DIRECTION('center_axis',(0.,0.,1.)); #394050=DIRECTION('ref_axis',(-0.923881211222879,-0.382679379571654,0.)); #394051=DIRECTION('center_axis',(0.,0.,1.)); #394052=DIRECTION('ref_axis',(-0.923881211222879,-0.382679379571654,0.)); #394053=DIRECTION('',(0.,0.,1.)); #394054=DIRECTION('center_axis',(0.,0.,1.)); #394055=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #394056=DIRECTION('center_axis',(0.,0.,1.)); #394057=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #394058=DIRECTION('center_axis',(0.,0.,1.)); #394059=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #394060=DIRECTION('',(0.,0.,1.)); #394061=DIRECTION('center_axis',(0.,1.,0.)); #394062=DIRECTION('ref_axis',(-1.,0.,0.)); #394063=DIRECTION('',(-1.,0.,0.)); #394064=DIRECTION('',(-1.,0.,0.)); #394065=DIRECTION('',(0.,0.,1.)); #394066=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #394067=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #394068=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #394069=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #394070=DIRECTION('',(0.,0.,1.)); #394071=DIRECTION('center_axis',(-1.,0.,0.)); #394072=DIRECTION('ref_axis',(0.,-1.,0.)); #394073=DIRECTION('',(0.,-1.,0.)); #394074=DIRECTION('',(0.,-1.,0.)); #394075=DIRECTION('',(0.,0.,1.)); #394076=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #394077=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #394078=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #394079=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #394080=DIRECTION('',(0.,0.,1.)); #394081=DIRECTION('center_axis',(0.,-1.,0.)); #394082=DIRECTION('ref_axis',(1.,0.,0.)); #394083=DIRECTION('',(1.,0.,0.)); #394084=DIRECTION('',(1.,0.,0.)); #394085=DIRECTION('',(0.,0.,1.)); #394086=DIRECTION('center_axis',(0.,0.,1.)); #394087=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #394088=DIRECTION('center_axis',(0.,0.,1.)); #394089=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #394090=DIRECTION('center_axis',(0.,0.,1.)); #394091=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #394092=DIRECTION('',(0.,0.,1.)); #394093=DIRECTION('center_axis',(-0.707106781186552,-0.707106781186543, 0.)); #394094=DIRECTION('ref_axis',(0.707106781186543,-0.707106781186552,0.)); #394095=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #394096=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #394097=DIRECTION('',(0.,0.,1.)); #394098=DIRECTION('center_axis',(0.,-1.,0.)); #394099=DIRECTION('ref_axis',(1.,0.,0.)); #394100=DIRECTION('',(1.,0.,0.)); #394101=DIRECTION('',(1.,0.,0.)); #394102=DIRECTION('',(0.,0.,1.)); #394103=DIRECTION('center_axis',(0.,0.,1.)); #394104=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #394105=DIRECTION('center_axis',(0.,0.,1.)); #394106=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #394107=DIRECTION('center_axis',(0.,0.,1.)); #394108=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #394109=DIRECTION('',(0.,0.,1.)); #394110=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #394111=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #394112=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #394113=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #394114=DIRECTION('',(0.,0.,1.)); #394115=DIRECTION('center_axis',(0.,0.,1.)); #394116=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192551E-6,0.)); #394117=DIRECTION('center_axis',(0.,0.,1.)); #394118=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192551E-6,0.)); #394119=DIRECTION('center_axis',(0.,0.,1.)); #394120=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192551E-6,0.)); #394121=DIRECTION('',(0.,0.,1.)); #394122=DIRECTION('center_axis',(-1.,0.,0.)); #394123=DIRECTION('ref_axis',(0.,-1.,0.)); #394124=DIRECTION('',(0.,-1.,0.)); #394125=DIRECTION('',(0.,-1.,0.)); #394126=DIRECTION('',(0.,0.,1.)); #394127=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #394128=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #394129=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #394130=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #394131=DIRECTION('',(0.,0.,1.)); #394132=DIRECTION('center_axis',(0.,-1.,0.)); #394133=DIRECTION('ref_axis',(1.,0.,0.)); #394134=DIRECTION('',(1.,0.,0.)); #394135=DIRECTION('',(1.,0.,0.)); #394136=DIRECTION('',(0.,0.,1.)); #394137=DIRECTION('center_axis',(0.,0.,1.)); #394138=DIRECTION('ref_axis',(-0.707102614154213,-0.707110948194325,0.)); #394139=DIRECTION('center_axis',(0.,0.,1.)); #394140=DIRECTION('ref_axis',(-0.707102614154213,-0.707110948194325,0.)); #394141=DIRECTION('center_axis',(0.,0.,1.)); #394142=DIRECTION('ref_axis',(-0.707102614154213,-0.707110948194325,0.)); #394143=DIRECTION('',(0.,0.,1.)); #394144=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #394145=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #394146=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #394147=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #394148=DIRECTION('',(0.,0.,1.)); #394149=DIRECTION('center_axis',(0.,0.,1.)); #394150=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #394151=DIRECTION('center_axis',(0.,0.,1.)); #394152=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #394153=DIRECTION('center_axis',(0.,0.,1.)); #394154=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #394155=DIRECTION('',(0.,0.,1.)); #394156=DIRECTION('center_axis',(-1.,0.,0.)); #394157=DIRECTION('ref_axis',(0.,-1.,0.)); #394158=DIRECTION('',(0.,-1.,0.)); #394159=DIRECTION('',(0.,-1.,0.)); #394160=DIRECTION('',(0.,0.,1.)); #394161=DIRECTION('center_axis',(0.,0.,1.)); #394162=DIRECTION('ref_axis',(-0.383337197223962,0.923608463162003,0.)); #394163=DIRECTION('center_axis',(0.,0.,1.)); #394164=DIRECTION('ref_axis',(-0.383337197223962,0.923608463162003,0.)); #394165=DIRECTION('center_axis',(0.,0.,1.)); #394166=DIRECTION('ref_axis',(-0.383337197223962,0.923608463162003,0.)); #394167=DIRECTION('',(0.,0.,1.)); #394168=DIRECTION('center_axis',(0.,0.,1.)); #394169=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #394170=DIRECTION('center_axis',(0.,0.,1.)); #394171=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #394172=DIRECTION('center_axis',(0.,0.,1.)); #394173=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #394174=DIRECTION('',(0.,0.,1.)); #394175=DIRECTION('center_axis',(1.,0.,0.)); #394176=DIRECTION('ref_axis',(0.,1.,0.)); #394177=DIRECTION('',(0.,1.,0.)); #394178=DIRECTION('',(0.,1.,0.)); #394179=DIRECTION('',(0.,0.,1.)); #394180=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #394181=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #394182=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #394183=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #394184=DIRECTION('',(0.,0.,1.)); #394185=DIRECTION('center_axis',(0.,1.,0.)); #394186=DIRECTION('ref_axis',(-1.,0.,0.)); #394187=DIRECTION('',(-1.,0.,0.)); #394188=DIRECTION('',(-1.,0.,0.)); #394189=DIRECTION('',(0.,0.,1.)); #394190=DIRECTION('center_axis',(0.,0.,1.)); #394191=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #394192=DIRECTION('center_axis',(0.,0.,1.)); #394193=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #394194=DIRECTION('center_axis',(0.,0.,1.)); #394195=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #394196=DIRECTION('',(0.,0.,1.)); #394197=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #394198=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #394199=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #394200=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #394201=DIRECTION('',(0.,0.,1.)); #394202=DIRECTION('center_axis',(0.,0.,1.)); #394203=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192551E-6,0.)); #394204=DIRECTION('center_axis',(0.,0.,1.)); #394205=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192551E-6,0.)); #394206=DIRECTION('center_axis',(0.,0.,1.)); #394207=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192551E-6,0.)); #394208=DIRECTION('',(0.,0.,1.)); #394209=DIRECTION('center_axis',(1.,0.,0.)); #394210=DIRECTION('ref_axis',(0.,1.,0.)); #394211=DIRECTION('',(0.,1.,0.)); #394212=DIRECTION('',(0.,1.,0.)); #394213=DIRECTION('',(0.,0.,1.)); #394214=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #394215=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #394216=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #394217=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #394218=DIRECTION('',(0.,0.,1.)); #394219=DIRECTION('center_axis',(0.,1.,0.)); #394220=DIRECTION('ref_axis',(-1.,0.,0.)); #394221=DIRECTION('',(-1.,0.,0.)); #394222=DIRECTION('',(-1.,0.,0.)); #394223=DIRECTION('',(0.,0.,1.)); #394224=DIRECTION('center_axis',(0.,0.,1.)); #394225=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #394226=DIRECTION('center_axis',(0.,0.,1.)); #394227=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #394228=DIRECTION('center_axis',(0.,0.,1.)); #394229=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #394230=DIRECTION('',(0.,0.,1.)); #394231=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #394232=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #394233=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #394234=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #394235=DIRECTION('',(0.,0.,1.)); #394236=DIRECTION('center_axis',(0.,1.,0.)); #394237=DIRECTION('ref_axis',(-1.,0.,0.)); #394238=DIRECTION('',(-1.,0.,0.)); #394239=DIRECTION('',(-1.,0.,0.)); #394240=DIRECTION('',(0.,0.,1.)); #394241=DIRECTION('center_axis',(0.,0.,1.)); #394242=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #394243=DIRECTION('center_axis',(0.,0.,1.)); #394244=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #394245=DIRECTION('center_axis',(0.,0.,1.)); #394246=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #394247=DIRECTION('',(0.,0.,1.)); #394248=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #394249=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #394250=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #394251=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #394252=DIRECTION('',(0.,0.,1.)); #394253=DIRECTION('center_axis',(0.,0.,1.)); #394254=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689267E-6,0.)); #394255=DIRECTION('center_axis',(0.,0.,1.)); #394256=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689267E-6,0.)); #394257=DIRECTION('center_axis',(0.,0.,1.)); #394258=DIRECTION('ref_axis',(0.999999999982576,-5.90313871689267E-6,0.)); #394259=DIRECTION('',(0.,0.,1.)); #394260=DIRECTION('center_axis',(1.,0.,0.)); #394261=DIRECTION('ref_axis',(0.,1.,0.)); #394262=DIRECTION('',(0.,1.,0.)); #394263=DIRECTION('',(0.,1.,0.)); #394264=DIRECTION('',(0.,0.,1.)); #394265=DIRECTION('center_axis',(0.,0.,1.)); #394266=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #394267=DIRECTION('center_axis',(0.,0.,1.)); #394268=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #394269=DIRECTION('center_axis',(0.,0.,1.)); #394270=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #394271=DIRECTION('',(0.,0.,1.)); #394272=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #394273=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #394274=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #394275=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #394276=DIRECTION('',(0.,0.,1.)); #394277=DIRECTION('center_axis',(0.,0.,1.)); #394278=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #394279=DIRECTION('center_axis',(0.,0.,1.)); #394280=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #394281=DIRECTION('center_axis',(0.,0.,1.)); #394282=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #394283=DIRECTION('',(0.,0.,1.)); #394284=DIRECTION('center_axis',(0.,-1.,0.)); #394285=DIRECTION('ref_axis',(1.,0.,0.)); #394286=DIRECTION('',(1.,0.,0.)); #394287=DIRECTION('',(1.,0.,0.)); #394288=DIRECTION('',(0.,0.,1.)); #394289=DIRECTION('center_axis',(0.,0.,1.)); #394290=DIRECTION('ref_axis',(0.23670604622663,-0.971581312953145,0.)); #394291=DIRECTION('center_axis',(0.,0.,1.)); #394292=DIRECTION('ref_axis',(0.23670604622663,-0.971581312953145,0.)); #394293=DIRECTION('center_axis',(0.,0.,1.)); #394294=DIRECTION('ref_axis',(0.23670604622663,-0.971581312953145,0.)); #394295=DIRECTION('center_axis',(0.,0.,1.)); #394296=DIRECTION('ref_axis',(1.,0.,0.)); #394297=DIRECTION('center_axis',(0.,0.,1.)); #394298=DIRECTION('ref_axis',(1.,0.,0.)); #394299=DIRECTION('center_axis',(0.,0.,1.)); #394300=DIRECTION('ref_axis',(1.,0.,0.)); #394301=DIRECTION('center_axis',(0.,0.,1.)); #394302=DIRECTION('ref_axis',(1.,0.,0.)); #394303=DIRECTION('',(0.,0.,1.)); #394304=DIRECTION('center_axis',(0.,0.,-1.)); #394305=DIRECTION('ref_axis',(1.,0.,0.)); #394306=DIRECTION('center_axis',(0.,0.,1.)); #394307=DIRECTION('ref_axis',(1.,0.,0.)); #394308=DIRECTION('center_axis',(0.,0.,1.)); #394309=DIRECTION('ref_axis',(1.,0.,0.)); #394310=DIRECTION('',(0.,0.,1.)); #394311=DIRECTION('center_axis',(0.,0.,-1.)); #394312=DIRECTION('ref_axis',(1.,0.,0.)); #394313=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #394314=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #394315=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #394316=DIRECTION('',(0.,0.,1.)); #394317=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #394318=DIRECTION('',(0.,0.,1.)); #394319=DIRECTION('center_axis',(0.,0.,1.)); #394320=DIRECTION('ref_axis',(-0.923881211222885,-0.382679379571639,0.)); #394321=DIRECTION('center_axis',(0.,0.,1.)); #394322=DIRECTION('ref_axis',(-0.923881211222885,-0.382679379571639,0.)); #394323=DIRECTION('center_axis',(0.,0.,1.)); #394324=DIRECTION('ref_axis',(-0.923881211222885,-0.382679379571639,0.)); #394325=DIRECTION('',(0.,0.,1.)); #394326=DIRECTION('center_axis',(0.,0.,1.)); #394327=DIRECTION('ref_axis',(0.887013571520802,0.461743352889796,0.)); #394328=DIRECTION('center_axis',(0.,0.,1.)); #394329=DIRECTION('ref_axis',(0.887013571520802,0.461743352889796,0.)); #394330=DIRECTION('center_axis',(0.,0.,1.)); #394331=DIRECTION('ref_axis',(0.887013571520802,0.461743352889796,0.)); #394332=DIRECTION('',(0.,0.,1.)); #394333=DIRECTION('center_axis',(0.,1.,0.)); #394334=DIRECTION('ref_axis',(-1.,0.,0.)); #394335=DIRECTION('',(-1.,0.,0.)); #394336=DIRECTION('',(-1.,0.,0.)); #394337=DIRECTION('',(0.,0.,1.)); #394338=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #394339=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #394340=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #394341=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #394342=DIRECTION('',(0.,0.,1.)); #394343=DIRECTION('center_axis',(0.,0.,1.)); #394344=DIRECTION('ref_axis',(0.382023508072098,0.924152606056103,0.)); #394345=DIRECTION('center_axis',(0.,0.,1.)); #394346=DIRECTION('ref_axis',(0.382023508072098,0.924152606056103,0.)); #394347=DIRECTION('center_axis',(0.,0.,1.)); #394348=DIRECTION('ref_axis',(0.382023508072098,0.924152606056103,0.)); #394349=DIRECTION('',(0.,0.,1.)); #394350=DIRECTION('center_axis',(0.,0.,1.)); #394351=DIRECTION('ref_axis',(-0.300711620456769,-0.953715115389425,0.)); #394352=DIRECTION('center_axis',(0.,0.,1.)); #394353=DIRECTION('ref_axis',(-0.300711620456769,-0.953715115389425,0.)); #394354=DIRECTION('center_axis',(0.,0.,1.)); #394355=DIRECTION('ref_axis',(-0.300711620456769,-0.953715115389425,0.)); #394356=DIRECTION('',(0.,0.,1.)); #394357=DIRECTION('center_axis',(0.707106781186546,-0.707106781186549,0.)); #394358=DIRECTION('ref_axis',(0.707106781186549,0.707106781186546,0.)); #394359=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #394360=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #394361=DIRECTION('',(0.,0.,1.)); #394362=DIRECTION('center_axis',(0.,0.,1.)); #394363=DIRECTION('ref_axis',(-5.90313861199006E-6,-0.999999999982576,0.)); #394364=DIRECTION('center_axis',(0.,0.,1.)); #394365=DIRECTION('ref_axis',(-5.90313861199006E-6,-0.999999999982576,0.)); #394366=DIRECTION('center_axis',(0.,0.,1.)); #394367=DIRECTION('ref_axis',(-5.90313861199006E-6,-0.999999999982576,0.)); #394368=DIRECTION('',(0.,0.,1.)); #394369=DIRECTION('center_axis',(0.,-1.,0.)); #394370=DIRECTION('ref_axis',(1.,0.,0.)); #394371=DIRECTION('',(1.,0.,0.)); #394372=DIRECTION('',(1.,0.,0.)); #394373=DIRECTION('',(0.,0.,1.)); #394374=DIRECTION('center_axis',(0.,0.,1.)); #394375=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #394376=DIRECTION('center_axis',(0.,0.,1.)); #394377=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #394378=DIRECTION('center_axis',(0.,0.,1.)); #394379=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #394380=DIRECTION('center_axis',(0.,0.,1.)); #394381=DIRECTION('ref_axis',(1.,0.,0.)); #394382=DIRECTION('center_axis',(0.,0.,1.)); #394383=DIRECTION('ref_axis',(1.,0.,0.)); #394384=DIRECTION('center_axis',(0.,0.,1.)); #394385=DIRECTION('ref_axis',(1.,0.,0.)); #394386=DIRECTION('center_axis',(0.,0.,1.)); #394387=DIRECTION('ref_axis',(1.,0.,0.)); #394388=DIRECTION('',(0.,0.,1.)); #394389=DIRECTION('center_axis',(0.,0.,-1.)); #394390=DIRECTION('ref_axis',(1.,0.,0.)); #394391=DIRECTION('center_axis',(1.,0.,0.)); #394392=DIRECTION('ref_axis',(0.,1.,0.)); #394393=DIRECTION('',(0.,1.,0.)); #394394=DIRECTION('',(0.,0.,1.)); #394395=DIRECTION('',(0.,1.,0.)); #394396=DIRECTION('',(0.,0.,1.)); #394397=DIRECTION('center_axis',(0.,-1.,0.)); #394398=DIRECTION('ref_axis',(1.,0.,0.)); #394399=DIRECTION('',(1.,0.,0.)); #394400=DIRECTION('',(1.,0.,0.)); #394401=DIRECTION('',(0.,0.,1.)); #394402=DIRECTION('center_axis',(-1.,0.,0.)); #394403=DIRECTION('ref_axis',(0.,-1.,0.)); #394404=DIRECTION('',(0.,-1.,0.)); #394405=DIRECTION('',(0.,-1.,0.)); #394406=DIRECTION('',(0.,0.,1.)); #394407=DIRECTION('center_axis',(0.,1.,0.)); #394408=DIRECTION('ref_axis',(-1.,0.,0.)); #394409=DIRECTION('',(-1.,0.,0.)); #394410=DIRECTION('',(-1.,0.,0.)); #394411=DIRECTION('',(0.,0.,1.)); #394412=DIRECTION('center_axis',(-1.,0.,0.)); #394413=DIRECTION('ref_axis',(0.,-1.,0.)); #394414=DIRECTION('',(0.,-1.,0.)); #394415=DIRECTION('',(0.,-1.,0.)); #394416=DIRECTION('',(0.,0.,1.)); #394417=DIRECTION('center_axis',(0.,0.,1.)); #394418=DIRECTION('ref_axis',(-0.707111226576164,0.707102335768984,0.)); #394419=DIRECTION('center_axis',(0.,0.,1.)); #394420=DIRECTION('ref_axis',(-0.707111226576164,0.707102335768984,0.)); #394421=DIRECTION('center_axis',(0.,0.,1.)); #394422=DIRECTION('ref_axis',(-0.707111226576164,0.707102335768984,0.)); #394423=DIRECTION('',(0.,0.,1.)); #394424=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,0.)); #394425=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.)); #394426=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #394427=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #394428=DIRECTION('',(0.,0.,1.)); #394429=DIRECTION('center_axis',(0.,0.,1.)); #394430=DIRECTION('ref_axis',(0.0464738032989275,0.998919509073145,0.)); #394431=DIRECTION('center_axis',(0.,0.,1.)); #394432=DIRECTION('ref_axis',(0.0464738032989275,0.998919509073145,0.)); #394433=DIRECTION('center_axis',(0.,0.,1.)); #394434=DIRECTION('ref_axis',(0.0464738032989275,0.998919509073145,0.)); #394435=DIRECTION('',(0.,0.,1.)); #394436=DIRECTION('center_axis',(0.,0.,1.)); #394437=DIRECTION('ref_axis',(0.88698245137652,0.461803130078282,0.)); #394438=DIRECTION('center_axis',(0.,0.,1.)); #394439=DIRECTION('ref_axis',(0.88698245137652,0.461803130078282,0.)); #394440=DIRECTION('center_axis',(0.,0.,1.)); #394441=DIRECTION('ref_axis',(0.88698245137652,0.461803130078282,0.)); #394442=DIRECTION('',(0.,0.,1.)); #394443=DIRECTION('center_axis',(0.,0.,1.)); #394444=DIRECTION('ref_axis',(0.708013503471206,0.706198894719064,0.)); #394445=DIRECTION('center_axis',(0.,0.,1.)); #394446=DIRECTION('ref_axis',(0.708013503471206,0.706198894719064,0.)); #394447=DIRECTION('center_axis',(0.,0.,1.)); #394448=DIRECTION('ref_axis',(0.708013503471206,0.706198894719064,0.)); #394449=DIRECTION('',(0.,0.,1.)); #394450=DIRECTION('center_axis',(0.707106781186543,0.707106781186552,0.)); #394451=DIRECTION('ref_axis',(-0.707106781186552,0.707106781186543,0.)); #394452=DIRECTION('',(-0.707106781186552,0.707106781186543,0.)); #394453=DIRECTION('',(-0.707106781186552,0.707106781186543,0.)); #394454=DIRECTION('',(0.,0.,1.)); #394455=DIRECTION('center_axis',(0.,0.,1.)); #394456=DIRECTION('ref_axis',(0.999999999980175,-6.29683254236361E-6,0.)); #394457=DIRECTION('center_axis',(0.,0.,1.)); #394458=DIRECTION('ref_axis',(0.999999999980175,-6.29683254236361E-6,0.)); #394459=DIRECTION('center_axis',(0.,0.,1.)); #394460=DIRECTION('ref_axis',(0.999999999980175,-6.29683254236361E-6,0.)); #394461=DIRECTION('',(0.,0.,1.)); #394462=DIRECTION('center_axis',(1.,0.,0.)); #394463=DIRECTION('ref_axis',(0.,1.,0.)); #394464=DIRECTION('',(0.,1.,0.)); #394465=DIRECTION('',(0.,1.,0.)); #394466=DIRECTION('',(0.,0.,1.)); #394467=DIRECTION('center_axis',(0.,1.,0.)); #394468=DIRECTION('ref_axis',(-1.,0.,0.)); #394469=DIRECTION('',(-1.,0.,0.)); #394470=DIRECTION('',(-1.,0.,0.)); #394471=DIRECTION('',(0.,0.,1.)); #394472=DIRECTION('center_axis',(1.,0.,0.)); #394473=DIRECTION('ref_axis',(0.,1.,0.)); #394474=DIRECTION('',(0.,1.,0.)); #394475=DIRECTION('',(0.,1.,0.)); #394476=DIRECTION('',(0.,0.,1.)); #394477=DIRECTION('center_axis',(0.,-1.,0.)); #394478=DIRECTION('ref_axis',(1.,0.,0.)); #394479=DIRECTION('',(1.,0.,0.)); #394480=DIRECTION('',(1.,0.,0.)); #394481=DIRECTION('',(0.,0.,1.)); #394482=DIRECTION('center_axis',(-1.,0.,0.)); #394483=DIRECTION('ref_axis',(0.,-1.,0.)); #394484=DIRECTION('',(0.,-1.,0.)); #394485=DIRECTION('',(0.,-1.,0.)); #394486=DIRECTION('',(0.,0.,1.)); #394487=DIRECTION('center_axis',(0.,1.,0.)); #394488=DIRECTION('ref_axis',(-1.,0.,0.)); #394489=DIRECTION('',(-1.,0.,0.)); #394490=DIRECTION('',(-1.,0.,0.)); #394491=DIRECTION('',(0.,0.,1.)); #394492=DIRECTION('center_axis',(-1.,0.,0.)); #394493=DIRECTION('ref_axis',(0.,-1.,0.)); #394494=DIRECTION('',(0.,-1.,0.)); #394495=DIRECTION('',(0.,-1.,0.)); #394496=DIRECTION('',(0.,0.,1.)); #394497=DIRECTION('center_axis',(-0.707106781186556,-0.707106781186539, 0.)); #394498=DIRECTION('ref_axis',(0.707106781186539,-0.707106781186556,0.)); #394499=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #394500=DIRECTION('',(0.707106781186539,-0.707106781186556,0.)); #394501=DIRECTION('',(0.,0.,1.)); #394502=DIRECTION('center_axis',(0.,0.,1.)); #394503=DIRECTION('ref_axis',(-0.82338374726966,-0.567484981944168,0.)); #394504=DIRECTION('center_axis',(0.,0.,1.)); #394505=DIRECTION('ref_axis',(-0.82338374726966,-0.567484981944168,0.)); #394506=DIRECTION('center_axis',(0.,0.,1.)); #394507=DIRECTION('ref_axis',(-0.82338374726966,-0.567484981944168,0.)); #394508=DIRECTION('',(0.,0.,1.)); #394509=DIRECTION('center_axis',(0.707106781186556,-0.707106781186539,0.)); #394510=DIRECTION('ref_axis',(0.707106781186539,0.707106781186556,0.)); #394511=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #394512=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #394513=DIRECTION('',(0.,0.,1.)); #394514=DIRECTION('center_axis',(1.,0.,0.)); #394515=DIRECTION('ref_axis',(0.,1.,0.)); #394516=DIRECTION('',(0.,1.,0.)); #394517=DIRECTION('',(0.,1.,0.)); #394518=DIRECTION('',(0.,0.,1.)); #394519=DIRECTION('center_axis',(0.,1.,0.)); #394520=DIRECTION('ref_axis',(-1.,0.,0.)); #394521=DIRECTION('',(-1.,0.,0.)); #394522=DIRECTION('',(-1.,0.,0.)); #394523=DIRECTION('center_axis',(0.,0.,1.)); #394524=DIRECTION('ref_axis',(1.,0.,0.)); #394525=DIRECTION('center_axis',(0.,0.,1.)); #394526=DIRECTION('ref_axis',(1.,0.,0.)); #394527=DIRECTION('center_axis',(0.,0.,1.)); #394528=DIRECTION('ref_axis',(1.,0.,0.)); #394529=DIRECTION('center_axis',(0.,0.,1.)); #394530=DIRECTION('ref_axis',(1.,0.,0.)); #394531=DIRECTION('',(0.,0.,1.)); #394532=DIRECTION('center_axis',(0.,0.,-1.)); #394533=DIRECTION('ref_axis',(1.,0.,0.)); #394534=DIRECTION('center_axis',(0.156445508580651,-0.98768659140688,0.)); #394535=DIRECTION('ref_axis',(0.98768659140688,0.156445508580651,0.)); #394536=DIRECTION('',(0.98768659140688,0.156445508580651,0.)); #394537=DIRECTION('',(0.,0.,1.)); #394538=DIRECTION('',(0.98768659140688,0.156445508580651,0.)); #394539=DIRECTION('',(0.,0.,1.)); #394540=DIRECTION('center_axis',(0.,0.,1.)); #394541=DIRECTION('ref_axis',(-0.888392755758034,-0.459084209613711,0.)); #394542=DIRECTION('center_axis',(0.,0.,1.)); #394543=DIRECTION('ref_axis',(-0.888392755758034,-0.459084209613711,0.)); #394544=DIRECTION('center_axis',(0.,0.,1.)); #394545=DIRECTION('ref_axis',(-0.888392755758034,-0.459084209613711,0.)); #394546=DIRECTION('',(0.,0.,1.)); #394547=DIRECTION('center_axis',(0.,0.,1.)); #394548=DIRECTION('ref_axis',(-0.705895522367135,-0.708315968690548,0.)); #394549=DIRECTION('center_axis',(0.,0.,1.)); #394550=DIRECTION('ref_axis',(-0.705895522367135,-0.708315968690548,0.)); #394551=DIRECTION('center_axis',(0.,0.,1.)); #394552=DIRECTION('ref_axis',(-0.705895522367135,-0.708315968690548,0.)); #394553=DIRECTION('',(0.,0.,1.)); #394554=DIRECTION('center_axis',(-0.707106781186543,-0.707106781186552, 0.)); #394555=DIRECTION('ref_axis',(0.707106781186552,-0.707106781186543,0.)); #394556=DIRECTION('',(0.707106781186552,-0.707106781186543,0.)); #394557=DIRECTION('',(0.707106781186552,-0.707106781186543,0.)); #394558=DIRECTION('',(0.,0.,1.)); #394559=DIRECTION('center_axis',(0.,0.,1.)); #394560=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #394561=DIRECTION('center_axis',(0.,0.,1.)); #394562=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #394563=DIRECTION('center_axis',(0.,0.,1.)); #394564=DIRECTION('ref_axis',(-0.999999999980175,6.29683249865397E-6,0.)); #394565=DIRECTION('',(0.,0.,1.)); #394566=DIRECTION('center_axis',(-1.,0.,0.)); #394567=DIRECTION('ref_axis',(0.,-1.,0.)); #394568=DIRECTION('',(0.,-1.,0.)); #394569=DIRECTION('',(0.,-1.,0.)); #394570=DIRECTION('',(0.,0.,1.)); #394571=DIRECTION('center_axis',(0.,-1.,0.)); #394572=DIRECTION('ref_axis',(1.,0.,0.)); #394573=DIRECTION('',(1.,0.,0.)); #394574=DIRECTION('',(1.,0.,0.)); #394575=DIRECTION('',(0.,0.,1.)); #394576=DIRECTION('center_axis',(-1.,0.,0.)); #394577=DIRECTION('ref_axis',(0.,-1.,0.)); #394578=DIRECTION('',(0.,-1.,0.)); #394579=DIRECTION('',(0.,-1.,0.)); #394580=DIRECTION('',(0.,0.,1.)); #394581=DIRECTION('center_axis',(0.,1.,0.)); #394582=DIRECTION('ref_axis',(-1.,0.,0.)); #394583=DIRECTION('',(-1.,0.,0.)); #394584=DIRECTION('',(-1.,0.,0.)); #394585=DIRECTION('',(0.,0.,1.)); #394586=DIRECTION('center_axis',(1.,0.,0.)); #394587=DIRECTION('ref_axis',(0.,1.,0.)); #394588=DIRECTION('',(0.,1.,0.)); #394589=DIRECTION('',(0.,1.,0.)); #394590=DIRECTION('',(0.,0.,1.)); #394591=DIRECTION('center_axis',(0.,-1.,0.)); #394592=DIRECTION('ref_axis',(1.,0.,0.)); #394593=DIRECTION('',(1.,0.,0.)); #394594=DIRECTION('',(1.,0.,0.)); #394595=DIRECTION('',(0.,0.,1.)); #394596=DIRECTION('center_axis',(1.,0.,0.)); #394597=DIRECTION('ref_axis',(0.,1.,0.)); #394598=DIRECTION('',(0.,1.,0.)); #394599=DIRECTION('',(0.,1.,0.)); #394600=DIRECTION('',(0.,0.,1.)); #394601=DIRECTION('center_axis',(0.707107962751215,0.707105599619905,0.)); #394602=DIRECTION('ref_axis',(-0.707105599619905,0.707107962751215,0.)); #394603=DIRECTION('',(-0.707105599619905,0.707107962751215,0.)); #394604=DIRECTION('',(-0.707105599619905,0.707107962751215,0.)); #394605=DIRECTION('',(0.,0.,1.)); #394606=DIRECTION('center_axis',(0.,0.,1.)); #394607=DIRECTION('ref_axis',(0.812301371527751,0.583237928991363,0.)); #394608=DIRECTION('center_axis',(0.,0.,1.)); #394609=DIRECTION('ref_axis',(0.812301371527751,0.583237928991363,0.)); #394610=DIRECTION('center_axis',(0.,0.,1.)); #394611=DIRECTION('ref_axis',(0.812301371527751,0.583237928991363,0.)); #394612=DIRECTION('',(0.,0.,1.)); #394613=DIRECTION('center_axis',(-0.707107962751205,0.707105599619916,0.)); #394614=DIRECTION('ref_axis',(-0.707105599619916,-0.707107962751205,0.)); #394615=DIRECTION('',(-0.707105599619916,-0.707107962751205,0.)); #394616=DIRECTION('',(-0.707105599619916,-0.707107962751205,0.)); #394617=DIRECTION('',(0.,0.,1.)); #394618=DIRECTION('center_axis',(-1.,0.,0.)); #394619=DIRECTION('ref_axis',(0.,-1.,0.)); #394620=DIRECTION('',(0.,-1.,0.)); #394621=DIRECTION('',(0.,-1.,0.)); #394622=DIRECTION('',(0.,0.,1.)); #394623=DIRECTION('center_axis',(0.,-1.,0.)); #394624=DIRECTION('ref_axis',(1.,0.,0.)); #394625=DIRECTION('',(1.,0.,0.)); #394626=DIRECTION('',(1.,0.,0.)); #394627=DIRECTION('',(0.,0.,1.)); #394628=DIRECTION('center_axis',(-1.,0.,0.)); #394629=DIRECTION('ref_axis',(0.,-1.,0.)); #394630=DIRECTION('',(0.,-1.,0.)); #394631=DIRECTION('',(0.,-1.,0.)); #394632=DIRECTION('',(0.,0.,1.)); #394633=DIRECTION('center_axis',(0.,1.,0.)); #394634=DIRECTION('ref_axis',(-1.,0.,0.)); #394635=DIRECTION('',(-1.,0.,0.)); #394636=DIRECTION('',(-1.,0.,0.)); #394637=DIRECTION('',(0.,0.,1.)); #394638=DIRECTION('center_axis',(1.,0.,0.)); #394639=DIRECTION('ref_axis',(0.,1.,0.)); #394640=DIRECTION('',(0.,1.,0.)); #394641=DIRECTION('',(0.,1.,0.)); #394642=DIRECTION('',(0.,0.,1.)); #394643=DIRECTION('center_axis',(0.,-1.,0.)); #394644=DIRECTION('ref_axis',(1.,0.,0.)); #394645=DIRECTION('',(1.,0.,0.)); #394646=DIRECTION('',(1.,0.,0.)); #394647=DIRECTION('',(0.,0.,1.)); #394648=DIRECTION('center_axis',(1.,0.,0.)); #394649=DIRECTION('ref_axis',(0.,1.,0.)); #394650=DIRECTION('',(0.,1.,0.)); #394651=DIRECTION('',(0.,1.,0.)); #394652=DIRECTION('',(0.,0.,1.)); #394653=DIRECTION('center_axis',(0.,0.,1.)); #394654=DIRECTION('ref_axis',(0.707111226576177,-0.70710233576897,0.)); #394655=DIRECTION('center_axis',(0.,0.,1.)); #394656=DIRECTION('ref_axis',(0.707111226576177,-0.70710233576897,0.)); #394657=DIRECTION('center_axis',(0.,0.,1.)); #394658=DIRECTION('ref_axis',(0.707111226576177,-0.70710233576897,0.)); #394659=DIRECTION('',(0.,0.,1.)); #394660=DIRECTION('center_axis',(0.707106781186543,-0.707106781186552,0.)); #394661=DIRECTION('ref_axis',(0.707106781186552,0.707106781186543,0.)); #394662=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #394663=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #394664=DIRECTION('',(0.,0.,1.)); #394665=DIRECTION('center_axis',(0.,0.,1.)); #394666=DIRECTION('ref_axis',(0.00966754748250974,-0.999953268170905,0.)); #394667=DIRECTION('center_axis',(0.,0.,1.)); #394668=DIRECTION('ref_axis',(0.00966754748250974,-0.999953268170905,0.)); #394669=DIRECTION('center_axis',(0.,0.,1.)); #394670=DIRECTION('ref_axis',(0.00966754748250974,-0.999953268170905,0.)); #394671=DIRECTION('',(0.,0.,1.)); #394672=DIRECTION('center_axis',(0.,0.,1.)); #394673=DIRECTION('ref_axis',(0.236704569958279,-0.971581672614745,0.)); #394674=DIRECTION('center_axis',(0.,0.,1.)); #394675=DIRECTION('ref_axis',(0.236704569958279,-0.971581672614745,0.)); #394676=DIRECTION('center_axis',(0.,0.,1.)); #394677=DIRECTION('ref_axis',(0.236704569958279,-0.971581672614745,0.)); #394678=DIRECTION('center_axis',(0.,0.,1.)); #394679=DIRECTION('ref_axis',(1.,0.,0.)); #394680=DIRECTION('center_axis',(0.,0.,1.)); #394681=DIRECTION('ref_axis',(1.,0.,0.)); #394682=DIRECTION('center_axis',(0.,0.,1.)); #394683=DIRECTION('ref_axis',(1.,0.,0.)); #394684=DIRECTION('center_axis',(0.,0.,1.)); #394685=DIRECTION('ref_axis',(1.,0.,0.)); #394686=DIRECTION('',(0.,0.,1.)); #394687=DIRECTION('center_axis',(0.,0.,-1.)); #394688=DIRECTION('ref_axis',(1.,0.,0.)); #394689=DIRECTION('center_axis',(0.,0.,1.)); #394690=DIRECTION('ref_axis',(1.,0.,0.)); #394691=DIRECTION('center_axis',(0.,0.,1.)); #394692=DIRECTION('ref_axis',(1.,0.,0.)); #394693=DIRECTION('',(0.,0.,1.)); #394694=DIRECTION('center_axis',(0.,0.,-1.)); #394695=DIRECTION('ref_axis',(1.,0.,0.)); #394696=DIRECTION('center_axis',(0.11097690011101,-0.993822986070332,0.)); #394697=DIRECTION('ref_axis',(0.993822986070332,0.11097690011101,0.)); #394698=DIRECTION('',(0.993822986070332,0.11097690011101,0.)); #394699=DIRECTION('',(0.,0.,1.)); #394700=DIRECTION('',(0.993822986070332,0.11097690011101,0.)); #394701=DIRECTION('',(0.,0.,1.)); #394702=DIRECTION('center_axis',(0.,-1.,0.)); #394703=DIRECTION('ref_axis',(1.,0.,0.)); #394704=DIRECTION('',(1.,0.,0.)); #394705=DIRECTION('',(1.,0.,0.)); #394706=DIRECTION('',(0.,0.,1.)); #394707=DIRECTION('center_axis',(0.,0.,1.)); #394708=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #394709=DIRECTION('center_axis',(0.,0.,1.)); #394710=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #394711=DIRECTION('center_axis',(0.,0.,1.)); #394712=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #394713=DIRECTION('',(0.,0.,1.)); #394714=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #394715=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #394716=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #394717=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #394718=DIRECTION('',(0.,0.,1.)); #394719=DIRECTION('center_axis',(0.,-1.,0.)); #394720=DIRECTION('ref_axis',(1.,0.,0.)); #394721=DIRECTION('',(1.,0.,0.)); #394722=DIRECTION('',(1.,0.,0.)); #394723=DIRECTION('',(0.,0.,1.)); #394724=DIRECTION('center_axis',(0.,0.,1.)); #394725=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #394726=DIRECTION('center_axis',(0.,0.,1.)); #394727=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #394728=DIRECTION('center_axis',(0.,0.,1.)); #394729=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #394730=DIRECTION('',(0.,0.,1.)); #394731=DIRECTION('center_axis',(0.,0.,1.)); #394732=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #394733=DIRECTION('center_axis',(0.,0.,1.)); #394734=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #394735=DIRECTION('center_axis',(0.,0.,1.)); #394736=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #394737=DIRECTION('',(0.,0.,1.)); #394738=DIRECTION('center_axis',(0.,1.,0.)); #394739=DIRECTION('ref_axis',(-1.,0.,0.)); #394740=DIRECTION('',(-1.,0.,0.)); #394741=DIRECTION('',(-1.,0.,0.)); #394742=DIRECTION('',(0.,0.,1.)); #394743=DIRECTION('center_axis',(0.,0.,1.)); #394744=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #394745=DIRECTION('center_axis',(0.,0.,1.)); #394746=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #394747=DIRECTION('center_axis',(0.,0.,1.)); #394748=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #394749=DIRECTION('',(0.,0.,1.)); #394750=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #394751=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #394752=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #394753=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #394754=DIRECTION('',(0.,0.,1.)); #394755=DIRECTION('center_axis',(0.,1.,0.)); #394756=DIRECTION('ref_axis',(-1.,0.,0.)); #394757=DIRECTION('',(-1.,0.,0.)); #394758=DIRECTION('',(-1.,0.,0.)); #394759=DIRECTION('',(0.,0.,1.)); #394760=DIRECTION('center_axis',(-0.707106781186562,0.707106781186533,0.)); #394761=DIRECTION('ref_axis',(-0.707106781186533,-0.707106781186562,0.)); #394762=DIRECTION('',(-0.707106781186533,-0.707106781186562,0.)); #394763=DIRECTION('',(-0.707106781186533,-0.707106781186562,0.)); #394764=DIRECTION('',(0.,0.,1.)); #394765=DIRECTION('center_axis',(0.,0.,1.)); #394766=DIRECTION('ref_axis',(5.90313868192479E-6,0.999999999982576,0.)); #394767=DIRECTION('center_axis',(0.,0.,1.)); #394768=DIRECTION('ref_axis',(5.90313868192479E-6,0.999999999982576,0.)); #394769=DIRECTION('center_axis',(0.,0.,1.)); #394770=DIRECTION('ref_axis',(5.90313868192479E-6,0.999999999982576,0.)); #394771=DIRECTION('',(0.,0.,1.)); #394772=DIRECTION('center_axis',(0.,1.,0.)); #394773=DIRECTION('ref_axis',(-1.,0.,0.)); #394774=DIRECTION('',(-1.,0.,0.)); #394775=DIRECTION('',(-1.,0.,0.)); #394776=DIRECTION('',(0.,0.,1.)); #394777=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #394778=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #394779=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #394780=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #394781=DIRECTION('',(0.,0.,1.)); #394782=DIRECTION('center_axis',(-1.,0.,0.)); #394783=DIRECTION('ref_axis',(0.,-1.,0.)); #394784=DIRECTION('',(0.,-1.,0.)); #394785=DIRECTION('',(0.,-1.,0.)); #394786=DIRECTION('',(0.,0.,1.)); #394787=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #394788=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #394789=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #394790=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #394791=DIRECTION('',(0.,0.,1.)); #394792=DIRECTION('center_axis',(0.,-1.,0.)); #394793=DIRECTION('ref_axis',(1.,0.,0.)); #394794=DIRECTION('',(1.,0.,0.)); #394795=DIRECTION('',(1.,0.,0.)); #394796=DIRECTION('',(0.,0.,1.)); #394797=DIRECTION('center_axis',(0.,0.,1.)); #394798=DIRECTION('ref_axis',(-0.707102614154249,-0.70711094819429,0.)); #394799=DIRECTION('center_axis',(0.,0.,1.)); #394800=DIRECTION('ref_axis',(-0.707102614154249,-0.70711094819429,0.)); #394801=DIRECTION('center_axis',(0.,0.,1.)); #394802=DIRECTION('ref_axis',(-0.707102614154249,-0.70711094819429,0.)); #394803=DIRECTION('',(0.,0.,1.)); #394804=DIRECTION('center_axis',(-0.70710678118655,-0.707106781186545,0.)); #394805=DIRECTION('ref_axis',(0.707106781186545,-0.70710678118655,0.)); #394806=DIRECTION('',(0.707106781186545,-0.70710678118655,0.)); #394807=DIRECTION('',(0.707106781186545,-0.70710678118655,0.)); #394808=DIRECTION('',(0.,0.,1.)); #394809=DIRECTION('center_axis',(0.,-1.,0.)); #394810=DIRECTION('ref_axis',(1.,0.,0.)); #394811=DIRECTION('',(1.,0.,0.)); #394812=DIRECTION('',(1.,0.,0.)); #394813=DIRECTION('',(0.,0.,1.)); #394814=DIRECTION('center_axis',(0.,0.,1.)); #394815=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #394816=DIRECTION('center_axis',(0.,0.,1.)); #394817=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #394818=DIRECTION('center_axis',(0.,0.,1.)); #394819=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #394820=DIRECTION('',(0.,0.,1.)); #394821=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #394822=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #394823=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #394824=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #394825=DIRECTION('',(0.,0.,1.)); #394826=DIRECTION('center_axis',(0.,0.,1.)); #394827=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #394828=DIRECTION('center_axis',(0.,0.,1.)); #394829=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #394830=DIRECTION('center_axis',(0.,0.,1.)); #394831=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #394832=DIRECTION('',(0.,0.,1.)); #394833=DIRECTION('center_axis',(-1.,0.,0.)); #394834=DIRECTION('ref_axis',(0.,-1.,0.)); #394835=DIRECTION('',(0.,-1.,0.)); #394836=DIRECTION('',(0.,-1.,0.)); #394837=DIRECTION('',(0.,0.,1.)); #394838=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #394839=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #394840=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #394841=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #394842=DIRECTION('',(0.,0.,1.)); #394843=DIRECTION('center_axis',(0.,-1.,0.)); #394844=DIRECTION('ref_axis',(1.,0.,0.)); #394845=DIRECTION('',(1.,0.,0.)); #394846=DIRECTION('',(1.,0.,0.)); #394847=DIRECTION('',(0.,0.,1.)); #394848=DIRECTION('center_axis',(0.,0.,1.)); #394849=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #394850=DIRECTION('center_axis',(0.,0.,1.)); #394851=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #394852=DIRECTION('center_axis',(0.,0.,1.)); #394853=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #394854=DIRECTION('',(0.,0.,1.)); #394855=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #394856=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #394857=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #394858=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #394859=DIRECTION('',(0.,0.,1.)); #394860=DIRECTION('center_axis',(0.,0.,1.)); #394861=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192469E-6,0.)); #394862=DIRECTION('center_axis',(0.,0.,1.)); #394863=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192469E-6,0.)); #394864=DIRECTION('center_axis',(0.,0.,1.)); #394865=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192469E-6,0.)); #394866=DIRECTION('',(0.,0.,1.)); #394867=DIRECTION('center_axis',(-1.,0.,0.)); #394868=DIRECTION('ref_axis',(0.,-1.,0.)); #394869=DIRECTION('',(0.,-1.,0.)); #394870=DIRECTION('',(0.,-1.,0.)); #394871=DIRECTION('',(0.,0.,1.)); #394872=DIRECTION('center_axis',(0.,0.,1.)); #394873=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #394874=DIRECTION('center_axis',(0.,0.,1.)); #394875=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #394876=DIRECTION('center_axis',(0.,0.,1.)); #394877=DIRECTION('ref_axis',(-0.70711094819429,0.707102614154248,0.)); #394878=DIRECTION('',(0.,0.,1.)); #394879=DIRECTION('center_axis',(-0.707106781186538,0.707106781186557,0.)); #394880=DIRECTION('ref_axis',(-0.707106781186557,-0.707106781186538,0.)); #394881=DIRECTION('',(-0.707106781186557,-0.707106781186538,0.)); #394882=DIRECTION('',(-0.707106781186557,-0.707106781186538,0.)); #394883=DIRECTION('',(0.,0.,1.)); #394884=DIRECTION('center_axis',(-1.,0.,0.)); #394885=DIRECTION('ref_axis',(0.,-1.,0.)); #394886=DIRECTION('',(0.,-1.,0.)); #394887=DIRECTION('',(0.,-1.,0.)); #394888=DIRECTION('',(0.,0.,1.)); #394889=DIRECTION('center_axis',(0.,0.,1.)); #394890=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #394891=DIRECTION('center_axis',(0.,0.,1.)); #394892=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #394893=DIRECTION('center_axis',(0.,0.,1.)); #394894=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #394895=DIRECTION('',(0.,0.,1.)); #394896=DIRECTION('center_axis',(0.,0.,1.)); #394897=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #394898=DIRECTION('center_axis',(0.,0.,1.)); #394899=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #394900=DIRECTION('center_axis',(0.,0.,1.)); #394901=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #394902=DIRECTION('',(0.,0.,1.)); #394903=DIRECTION('center_axis',(1.,0.,0.)); #394904=DIRECTION('ref_axis',(0.,1.,0.)); #394905=DIRECTION('',(0.,1.,0.)); #394906=DIRECTION('',(0.,1.,0.)); #394907=DIRECTION('',(0.,0.,1.)); #394908=DIRECTION('center_axis',(0.,0.,1.)); #394909=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #394910=DIRECTION('center_axis',(0.,0.,1.)); #394911=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #394912=DIRECTION('center_axis',(0.,0.,1.)); #394913=DIRECTION('ref_axis',(0.707110948194308,-0.707102614154231,0.)); #394914=DIRECTION('',(0.,0.,1.)); #394915=DIRECTION('center_axis',(0.707106781186538,-0.707106781186557,0.)); #394916=DIRECTION('ref_axis',(0.707106781186557,0.707106781186538,0.)); #394917=DIRECTION('',(0.707106781186557,0.707106781186538,0.)); #394918=DIRECTION('',(0.707106781186557,0.707106781186538,0.)); #394919=DIRECTION('',(0.,0.,1.)); #394920=DIRECTION('center_axis',(1.,0.,0.)); #394921=DIRECTION('ref_axis',(0.,1.,0.)); #394922=DIRECTION('',(0.,1.,0.)); #394923=DIRECTION('',(0.,1.,0.)); #394924=DIRECTION('',(0.,0.,1.)); #394925=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.)); #394926=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #394927=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #394928=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #394929=DIRECTION('',(0.,0.,1.)); #394930=DIRECTION('center_axis',(0.,1.,0.)); #394931=DIRECTION('ref_axis',(-1.,0.,0.)); #394932=DIRECTION('',(-1.,0.,0.)); #394933=DIRECTION('',(-1.,0.,0.)); #394934=DIRECTION('',(0.,0.,1.)); #394935=DIRECTION('center_axis',(0.,0.,1.)); #394936=DIRECTION('ref_axis',(0.707102614154249,0.70711094819429,0.)); #394937=DIRECTION('center_axis',(0.,0.,1.)); #394938=DIRECTION('ref_axis',(0.707102614154249,0.70711094819429,0.)); #394939=DIRECTION('center_axis',(0.,0.,1.)); #394940=DIRECTION('ref_axis',(0.707102614154249,0.70711094819429,0.)); #394941=DIRECTION('',(0.,0.,1.)); #394942=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #394943=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #394944=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #394945=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #394946=DIRECTION('',(0.,0.,1.)); #394947=DIRECTION('center_axis',(0.,0.,1.)); #394948=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192593E-6,0.)); #394949=DIRECTION('center_axis',(0.,0.,1.)); #394950=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192593E-6,0.)); #394951=DIRECTION('center_axis',(0.,0.,1.)); #394952=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192593E-6,0.)); #394953=DIRECTION('',(0.,0.,1.)); #394954=DIRECTION('center_axis',(1.,0.,0.)); #394955=DIRECTION('ref_axis',(0.,1.,0.)); #394956=DIRECTION('',(0.,1.,0.)); #394957=DIRECTION('',(0.,1.,0.)); #394958=DIRECTION('',(0.,0.,1.)); #394959=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #394960=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #394961=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #394962=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #394963=DIRECTION('',(0.,0.,1.)); #394964=DIRECTION('center_axis',(0.,1.,0.)); #394965=DIRECTION('ref_axis',(-1.,0.,0.)); #394966=DIRECTION('',(-1.,0.,0.)); #394967=DIRECTION('',(-1.,0.,0.)); #394968=DIRECTION('',(0.,0.,1.)); #394969=DIRECTION('center_axis',(0.,0.,1.)); #394970=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #394971=DIRECTION('center_axis',(0.,0.,1.)); #394972=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #394973=DIRECTION('center_axis',(0.,0.,1.)); #394974=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #394975=DIRECTION('',(0.,0.,1.)); #394976=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #394977=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #394978=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #394979=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #394980=DIRECTION('',(0.,0.,1.)); #394981=DIRECTION('center_axis',(0.,1.,0.)); #394982=DIRECTION('ref_axis',(-1.,0.,0.)); #394983=DIRECTION('',(-1.,0.,0.)); #394984=DIRECTION('',(-1.,0.,0.)); #394985=DIRECTION('',(0.,0.,1.)); #394986=DIRECTION('center_axis',(0.,0.,1.)); #394987=DIRECTION('ref_axis',(0.707099830320368,0.7071137319844,0.)); #394988=DIRECTION('center_axis',(0.,0.,1.)); #394989=DIRECTION('ref_axis',(0.707099830320368,0.7071137319844,0.)); #394990=DIRECTION('center_axis',(0.,0.,1.)); #394991=DIRECTION('ref_axis',(0.707099830320368,0.7071137319844,0.)); #394992=DIRECTION('',(0.,0.,1.)); #394993=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #394994=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #394995=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #394996=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #394997=DIRECTION('',(0.,0.,1.)); #394998=DIRECTION('center_axis',(0.,0.,1.)); #394999=DIRECTION('ref_axis',(0.999999999951587,-9.84004339899757E-6,0.)); #395000=DIRECTION('center_axis',(0.,0.,1.)); #395001=DIRECTION('ref_axis',(0.999999999951587,-9.84004339899757E-6,0.)); #395002=DIRECTION('center_axis',(0.,0.,1.)); #395003=DIRECTION('ref_axis',(0.999999999951587,-9.84004339899757E-6,0.)); #395004=DIRECTION('',(0.,0.,1.)); #395005=DIRECTION('center_axis',(1.,0.,0.)); #395006=DIRECTION('ref_axis',(0.,1.,0.)); #395007=DIRECTION('',(0.,1.,0.)); #395008=DIRECTION('',(0.,1.,0.)); #395009=DIRECTION('',(0.,0.,1.)); #395010=DIRECTION('center_axis',(0.,0.,1.)); #395011=DIRECTION('ref_axis',(0.707113731984427,-0.707099830320342,0.)); #395012=DIRECTION('center_axis',(0.,0.,1.)); #395013=DIRECTION('ref_axis',(0.707113731984427,-0.707099830320342,0.)); #395014=DIRECTION('center_axis',(0.,0.,1.)); #395015=DIRECTION('ref_axis',(0.707113731984427,-0.707099830320342,0.)); #395016=DIRECTION('',(0.,0.,1.)); #395017=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #395018=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.)); #395019=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #395020=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #395021=DIRECTION('',(0.,0.,1.)); #395022=DIRECTION('center_axis',(0.,0.,1.)); #395023=DIRECTION('ref_axis',(-9.8400434339655E-6,-0.999999999951587,0.)); #395024=DIRECTION('center_axis',(0.,0.,1.)); #395025=DIRECTION('ref_axis',(-9.8400434339655E-6,-0.999999999951587,0.)); #395026=DIRECTION('center_axis',(0.,0.,1.)); #395027=DIRECTION('ref_axis',(-9.8400434339655E-6,-0.999999999951587,0.)); #395028=DIRECTION('',(0.,0.,1.)); #395029=DIRECTION('center_axis',(0.,-1.,0.)); #395030=DIRECTION('ref_axis',(1.,0.,0.)); #395031=DIRECTION('',(1.,0.,0.)); #395032=DIRECTION('',(1.,0.,0.)); #395033=DIRECTION('',(0.,0.,1.)); #395034=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #395035=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #395036=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #395037=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #395038=DIRECTION('',(0.,0.,1.)); #395039=DIRECTION('center_axis',(0.,0.,1.)); #395040=DIRECTION('ref_axis',(0.037741251700504,-0.999287545164093,0.)); #395041=DIRECTION('center_axis',(0.,0.,1.)); #395042=DIRECTION('ref_axis',(0.037741251700504,-0.999287545164093,0.)); #395043=DIRECTION('center_axis',(0.,0.,1.)); #395044=DIRECTION('ref_axis',(0.037741251700504,-0.999287545164093,0.)); #395045=DIRECTION('center_axis',(0.,0.,1.)); #395046=DIRECTION('ref_axis',(1.,0.,0.)); #395047=DIRECTION('center_axis',(0.,0.,1.)); #395048=DIRECTION('ref_axis',(1.,0.,0.)); #395049=DIRECTION('center_axis',(0.,0.,1.)); #395050=DIRECTION('ref_axis',(1.,0.,0.)); #395051=DIRECTION('center_axis',(0.,0.,1.)); #395052=DIRECTION('ref_axis',(1.,0.,0.)); #395053=DIRECTION('',(0.,0.,1.)); #395054=DIRECTION('center_axis',(0.,0.,-1.)); #395055=DIRECTION('ref_axis',(1.,0.,0.)); #395056=DIRECTION('center_axis',(0.,0.,1.)); #395057=DIRECTION('ref_axis',(1.,0.,0.)); #395058=DIRECTION('center_axis',(0.,0.,1.)); #395059=DIRECTION('ref_axis',(1.,0.,0.)); #395060=DIRECTION('',(0.,0.,1.)); #395061=DIRECTION('center_axis',(0.,0.,-1.)); #395062=DIRECTION('ref_axis',(1.,0.,0.)); #395063=DIRECTION('center_axis',(0.156447762252282,-0.987686234431894,0.)); #395064=DIRECTION('ref_axis',(0.987686234431894,0.156447762252282,0.)); #395065=DIRECTION('',(0.987686234431894,0.156447762252282,0.)); #395066=DIRECTION('',(0.,0.,1.)); #395067=DIRECTION('',(0.987686234431894,0.156447762252282,0.)); #395068=DIRECTION('',(0.,0.,1.)); #395069=DIRECTION('center_axis',(0.,0.,1.)); #395070=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #395071=DIRECTION('center_axis',(0.,0.,1.)); #395072=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #395073=DIRECTION('center_axis',(0.,0.,1.)); #395074=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #395075=DIRECTION('',(0.,0.,1.)); #395076=DIRECTION('center_axis',(0.,0.,1.)); #395077=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #395078=DIRECTION('center_axis',(0.,0.,1.)); #395079=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #395080=DIRECTION('center_axis',(0.,0.,1.)); #395081=DIRECTION('ref_axis',(0.887013571520806,0.461743352889789,0.)); #395082=DIRECTION('',(0.,0.,1.)); #395083=DIRECTION('center_axis',(0.,1.,0.)); #395084=DIRECTION('ref_axis',(-1.,0.,0.)); #395085=DIRECTION('',(-1.,0.,0.)); #395086=DIRECTION('',(-1.,0.,0.)); #395087=DIRECTION('',(0.,0.,1.)); #395088=DIRECTION('center_axis',(0.,0.,1.)); #395089=DIRECTION('ref_axis',(0.923607696029128,0.383339045540323,0.)); #395090=DIRECTION('center_axis',(0.,0.,1.)); #395091=DIRECTION('ref_axis',(0.923607696029128,0.383339045540323,0.)); #395092=DIRECTION('center_axis',(0.,0.,1.)); #395093=DIRECTION('ref_axis',(0.923607696029128,0.383339045540323,0.)); #395094=DIRECTION('',(0.,0.,1.)); #395095=DIRECTION('center_axis',(0.,0.,1.)); #395096=DIRECTION('ref_axis',(-0.887012811092744,-0.46174481367672,0.)); #395097=DIRECTION('center_axis',(0.,0.,1.)); #395098=DIRECTION('ref_axis',(-0.887012811092744,-0.46174481367672,0.)); #395099=DIRECTION('center_axis',(0.,0.,1.)); #395100=DIRECTION('ref_axis',(-0.887012811092744,-0.46174481367672,0.)); #395101=DIRECTION('',(0.,0.,1.)); #395102=DIRECTION('center_axis',(0.,-1.,0.)); #395103=DIRECTION('ref_axis',(1.,0.,0.)); #395104=DIRECTION('',(1.,0.,0.)); #395105=DIRECTION('',(1.,0.,0.)); #395106=DIRECTION('',(0.,0.,1.)); #395107=DIRECTION('center_axis',(0.,0.,1.)); #395108=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #395109=DIRECTION('center_axis',(0.,0.,1.)); #395110=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #395111=DIRECTION('center_axis',(0.,0.,1.)); #395112=DIRECTION('ref_axis',(0.236706046226645,-0.971581312953141,0.)); #395113=DIRECTION('center_axis',(0.,0.,1.)); #395114=DIRECTION('ref_axis',(1.,0.,0.)); #395115=DIRECTION('center_axis',(0.,0.,1.)); #395116=DIRECTION('ref_axis',(1.,0.,0.)); #395117=DIRECTION('center_axis',(0.,0.,1.)); #395118=DIRECTION('ref_axis',(1.,0.,0.)); #395119=DIRECTION('center_axis',(0.,0.,1.)); #395120=DIRECTION('ref_axis',(1.,0.,0.)); #395121=DIRECTION('',(0.,0.,1.)); #395122=DIRECTION('center_axis',(0.,0.,-1.)); #395123=DIRECTION('ref_axis',(1.,0.,0.)); #395124=DIRECTION('center_axis',(0.,0.,1.)); #395125=DIRECTION('ref_axis',(1.,0.,0.)); #395126=DIRECTION('center_axis',(0.,0.,1.)); #395127=DIRECTION('ref_axis',(1.,0.,0.)); #395128=DIRECTION('',(0.,0.,1.)); #395129=DIRECTION('center_axis',(0.,0.,-1.)); #395130=DIRECTION('ref_axis',(1.,0.,0.)); #395131=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #395132=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #395133=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #395134=DIRECTION('',(0.,0.,1.)); #395135=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #395136=DIRECTION('',(0.,0.,1.)); #395137=DIRECTION('center_axis',(0.,0.,1.)); #395138=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #395139=DIRECTION('center_axis',(0.,0.,1.)); #395140=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #395141=DIRECTION('center_axis',(0.,0.,1.)); #395142=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #395143=DIRECTION('',(0.,0.,1.)); #395144=DIRECTION('center_axis',(0.,0.,1.)); #395145=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #395146=DIRECTION('center_axis',(0.,0.,1.)); #395147=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #395148=DIRECTION('center_axis',(0.,0.,1.)); #395149=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #395150=DIRECTION('',(0.,0.,1.)); #395151=DIRECTION('center_axis',(0.,1.,0.)); #395152=DIRECTION('ref_axis',(-1.,0.,0.)); #395153=DIRECTION('',(-1.,0.,0.)); #395154=DIRECTION('',(-1.,0.,0.)); #395155=DIRECTION('',(0.,0.,1.)); #395156=DIRECTION('center_axis',(0.,0.,1.)); #395157=DIRECTION('ref_axis',(0.923607696029129,0.383339045540321,0.)); #395158=DIRECTION('center_axis',(0.,0.,1.)); #395159=DIRECTION('ref_axis',(0.923607696029129,0.383339045540321,0.)); #395160=DIRECTION('center_axis',(0.,0.,1.)); #395161=DIRECTION('ref_axis',(0.923607696029129,0.383339045540321,0.)); #395162=DIRECTION('',(0.,0.,1.)); #395163=DIRECTION('center_axis',(0.,0.,1.)); #395164=DIRECTION('ref_axis',(-0.887012811092743,-0.46174481367672,0.)); #395165=DIRECTION('center_axis',(0.,0.,1.)); #395166=DIRECTION('ref_axis',(-0.887012811092743,-0.46174481367672,0.)); #395167=DIRECTION('center_axis',(0.,0.,1.)); #395168=DIRECTION('ref_axis',(-0.887012811092743,-0.46174481367672,0.)); #395169=DIRECTION('',(0.,0.,1.)); #395170=DIRECTION('center_axis',(0.,-1.,0.)); #395171=DIRECTION('ref_axis',(1.,0.,0.)); #395172=DIRECTION('',(1.,0.,0.)); #395173=DIRECTION('',(1.,0.,0.)); #395174=DIRECTION('',(0.,0.,1.)); #395175=DIRECTION('center_axis',(0.,0.,1.)); #395176=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #395177=DIRECTION('center_axis',(0.,0.,1.)); #395178=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #395179=DIRECTION('center_axis',(0.,0.,1.)); #395180=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #395181=DIRECTION('center_axis',(0.,0.,1.)); #395182=DIRECTION('ref_axis',(1.,0.,0.)); #395183=DIRECTION('center_axis',(0.,0.,1.)); #395184=DIRECTION('ref_axis',(1.,0.,0.)); #395185=DIRECTION('center_axis',(0.,0.,1.)); #395186=DIRECTION('ref_axis',(1.,0.,0.)); #395187=DIRECTION('center_axis',(0.,0.,1.)); #395188=DIRECTION('ref_axis',(1.,0.,0.)); #395189=DIRECTION('',(0.,0.,1.)); #395190=DIRECTION('center_axis',(0.,0.,-1.)); #395191=DIRECTION('ref_axis',(1.,0.,0.)); #395192=DIRECTION('center_axis',(0.,0.,1.)); #395193=DIRECTION('ref_axis',(1.,0.,0.)); #395194=DIRECTION('center_axis',(0.,0.,1.)); #395195=DIRECTION('ref_axis',(1.,0.,0.)); #395196=DIRECTION('',(0.,0.,1.)); #395197=DIRECTION('center_axis',(0.,0.,-1.)); #395198=DIRECTION('ref_axis',(1.,0.,0.)); #395199=DIRECTION('center_axis',(0.156447762252232,-0.987686234431902,0.)); #395200=DIRECTION('ref_axis',(0.987686234431902,0.156447762252232,0.)); #395201=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #395202=DIRECTION('',(0.,0.,1.)); #395203=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #395204=DIRECTION('',(0.,0.,1.)); #395205=DIRECTION('center_axis',(0.,0.,1.)); #395206=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #395207=DIRECTION('center_axis',(0.,0.,1.)); #395208=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #395209=DIRECTION('center_axis',(0.,0.,1.)); #395210=DIRECTION('ref_axis',(-0.923881211222882,-0.382679379571646,0.)); #395211=DIRECTION('',(0.,0.,1.)); #395212=DIRECTION('center_axis',(0.,0.,1.)); #395213=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #395214=DIRECTION('center_axis',(0.,0.,1.)); #395215=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #395216=DIRECTION('center_axis',(0.,0.,1.)); #395217=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #395218=DIRECTION('',(0.,0.,1.)); #395219=DIRECTION('center_axis',(0.,1.,0.)); #395220=DIRECTION('ref_axis',(-1.,0.,0.)); #395221=DIRECTION('',(-1.,0.,0.)); #395222=DIRECTION('',(-1.,0.,0.)); #395223=DIRECTION('',(0.,0.,1.)); #395224=DIRECTION('center_axis',(0.,0.,1.)); #395225=DIRECTION('ref_axis',(0.923607696029128,0.383339045540324,0.)); #395226=DIRECTION('center_axis',(0.,0.,1.)); #395227=DIRECTION('ref_axis',(0.923607696029128,0.383339045540324,0.)); #395228=DIRECTION('center_axis',(0.,0.,1.)); #395229=DIRECTION('ref_axis',(0.923607696029128,0.383339045540324,0.)); #395230=DIRECTION('',(0.,0.,1.)); #395231=DIRECTION('center_axis',(0.,0.,1.)); #395232=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #395233=DIRECTION('center_axis',(0.,0.,1.)); #395234=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #395235=DIRECTION('center_axis',(0.,0.,1.)); #395236=DIRECTION('ref_axis',(-0.887012811092747,-0.461744813676713,0.)); #395237=DIRECTION('',(0.,0.,1.)); #395238=DIRECTION('center_axis',(0.,-1.,0.)); #395239=DIRECTION('ref_axis',(1.,0.,0.)); #395240=DIRECTION('',(1.,0.,0.)); #395241=DIRECTION('',(1.,0.,0.)); #395242=DIRECTION('',(0.,0.,1.)); #395243=DIRECTION('center_axis',(0.,0.,1.)); #395244=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #395245=DIRECTION('center_axis',(0.,0.,1.)); #395246=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #395247=DIRECTION('center_axis',(0.,0.,1.)); #395248=DIRECTION('ref_axis',(0.236706046226647,-0.971581312953141,0.)); #395249=DIRECTION('center_axis',(0.,0.,1.)); #395250=DIRECTION('ref_axis',(1.,0.,0.)); #395251=DIRECTION('center_axis',(0.,0.,1.)); #395252=DIRECTION('ref_axis',(1.,0.,0.)); #395253=DIRECTION('center_axis',(0.,0.,1.)); #395254=DIRECTION('ref_axis',(1.,0.,0.)); #395255=DIRECTION('center_axis',(0.,0.,1.)); #395256=DIRECTION('ref_axis',(1.,0.,0.)); #395257=DIRECTION('',(0.,0.,1.)); #395258=DIRECTION('center_axis',(0.,0.,-1.)); #395259=DIRECTION('ref_axis',(1.,0.,0.)); #395260=DIRECTION('center_axis',(0.,0.,1.)); #395261=DIRECTION('ref_axis',(1.,0.,0.)); #395262=DIRECTION('center_axis',(0.,0.,1.)); #395263=DIRECTION('ref_axis',(1.,0.,0.)); #395264=DIRECTION('',(0.,0.,1.)); #395265=DIRECTION('center_axis',(0.,0.,-1.)); #395266=DIRECTION('ref_axis',(1.,0.,0.)); #395267=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #395268=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #395269=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #395270=DIRECTION('',(0.,0.,1.)); #395271=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #395272=DIRECTION('',(0.,0.,1.)); #395273=DIRECTION('center_axis',(0.,0.,1.)); #395274=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #395275=DIRECTION('center_axis',(0.,0.,1.)); #395276=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #395277=DIRECTION('center_axis',(0.,0.,1.)); #395278=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #395279=DIRECTION('',(0.,0.,1.)); #395280=DIRECTION('center_axis',(-1.,0.,0.)); #395281=DIRECTION('ref_axis',(0.,-1.,0.)); #395282=DIRECTION('',(0.,-1.,0.)); #395283=DIRECTION('',(0.,-1.,0.)); #395284=DIRECTION('',(0.,0.,1.)); #395285=DIRECTION('center_axis',(-0.70710678118655,-0.707106781186545,0.)); #395286=DIRECTION('ref_axis',(0.707106781186545,-0.70710678118655,0.)); #395287=DIRECTION('',(0.707106781186545,-0.70710678118655,0.)); #395288=DIRECTION('',(0.707106781186545,-0.70710678118655,0.)); #395289=DIRECTION('',(0.,0.,1.)); #395290=DIRECTION('center_axis',(0.,-1.,0.)); #395291=DIRECTION('ref_axis',(1.,0.,0.)); #395292=DIRECTION('',(1.,0.,0.)); #395293=DIRECTION('',(1.,0.,0.)); #395294=DIRECTION('',(0.,0.,1.)); #395295=DIRECTION('center_axis',(0.,0.,1.)); #395296=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #395297=DIRECTION('center_axis',(0.,0.,1.)); #395298=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #395299=DIRECTION('center_axis',(0.,0.,1.)); #395300=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #395301=DIRECTION('',(0.,0.,1.)); #395302=DIRECTION('center_axis',(0.,0.,1.)); #395303=DIRECTION('ref_axis',(0.887013571520812,0.461743352889777,0.)); #395304=DIRECTION('center_axis',(0.,0.,1.)); #395305=DIRECTION('ref_axis',(0.887013571520812,0.461743352889777,0.)); #395306=DIRECTION('center_axis',(0.,0.,1.)); #395307=DIRECTION('ref_axis',(0.887013571520812,0.461743352889777,0.)); #395308=DIRECTION('',(0.,0.,1.)); #395309=DIRECTION('center_axis',(0.,1.,0.)); #395310=DIRECTION('ref_axis',(-1.,0.,0.)); #395311=DIRECTION('',(-1.,0.,0.)); #395312=DIRECTION('',(-1.,0.,0.)); #395313=DIRECTION('',(0.,0.,1.)); #395314=DIRECTION('center_axis',(0.,0.,1.)); #395315=DIRECTION('ref_axis',(0.70710261415424,0.707110948194299,0.)); #395316=DIRECTION('center_axis',(0.,0.,1.)); #395317=DIRECTION('ref_axis',(0.70710261415424,0.707110948194299,0.)); #395318=DIRECTION('center_axis',(0.,0.,1.)); #395319=DIRECTION('ref_axis',(0.70710261415424,0.707110948194299,0.)); #395320=DIRECTION('',(0.,0.,1.)); #395321=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.)); #395322=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #395323=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #395324=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #395325=DIRECTION('',(0.,0.,1.)); #395326=DIRECTION('center_axis',(0.,0.,1.)); #395327=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #395328=DIRECTION('center_axis',(0.,0.,1.)); #395329=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #395330=DIRECTION('center_axis',(0.,0.,1.)); #395331=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #395332=DIRECTION('',(0.,0.,1.)); #395333=DIRECTION('center_axis',(1.,0.,0.)); #395334=DIRECTION('ref_axis',(0.,1.,0.)); #395335=DIRECTION('',(0.,1.,0.)); #395336=DIRECTION('',(0.,1.,0.)); #395337=DIRECTION('',(0.,0.,1.)); #395338=DIRECTION('center_axis',(0.,0.,1.)); #395339=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #395340=DIRECTION('center_axis',(0.,0.,1.)); #395341=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #395342=DIRECTION('center_axis',(0.,0.,1.)); #395343=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #395344=DIRECTION('center_axis',(0.,0.,1.)); #395345=DIRECTION('ref_axis',(1.,0.,0.)); #395346=DIRECTION('center_axis',(0.,0.,1.)); #395347=DIRECTION('ref_axis',(1.,0.,0.)); #395348=DIRECTION('center_axis',(0.,0.,1.)); #395349=DIRECTION('ref_axis',(1.,0.,0.)); #395350=DIRECTION('center_axis',(0.,0.,1.)); #395351=DIRECTION('ref_axis',(1.,0.,0.)); #395352=DIRECTION('',(0.,0.,1.)); #395353=DIRECTION('center_axis',(0.,0.,-1.)); #395354=DIRECTION('ref_axis',(1.,0.,0.)); #395355=DIRECTION('center_axis',(1.,0.,0.)); #395356=DIRECTION('ref_axis',(0.,1.,0.)); #395357=DIRECTION('',(0.,1.,0.)); #395358=DIRECTION('',(0.,0.,1.)); #395359=DIRECTION('',(0.,1.,0.)); #395360=DIRECTION('',(0.,0.,1.)); #395361=DIRECTION('center_axis',(0.,-1.,0.)); #395362=DIRECTION('ref_axis',(1.,0.,0.)); #395363=DIRECTION('',(1.,0.,0.)); #395364=DIRECTION('',(1.,0.,0.)); #395365=DIRECTION('',(0.,0.,1.)); #395366=DIRECTION('center_axis',(-1.,0.,0.)); #395367=DIRECTION('ref_axis',(0.,-1.,0.)); #395368=DIRECTION('',(0.,-1.,0.)); #395369=DIRECTION('',(0.,-1.,0.)); #395370=DIRECTION('',(0.,0.,1.)); #395371=DIRECTION('center_axis',(0.,1.,0.)); #395372=DIRECTION('ref_axis',(-1.,0.,0.)); #395373=DIRECTION('',(-1.,0.,0.)); #395374=DIRECTION('',(-1.,0.,0.)); #395375=DIRECTION('center_axis',(0.,0.,1.)); #395376=DIRECTION('ref_axis',(1.,0.,0.)); #395377=DIRECTION('center_axis',(0.,0.,1.)); #395378=DIRECTION('ref_axis',(1.,0.,0.)); #395379=DIRECTION('center_axis',(0.,0.,1.)); #395380=DIRECTION('ref_axis',(1.,0.,0.)); #395381=DIRECTION('center_axis',(0.,0.,1.)); #395382=DIRECTION('ref_axis',(1.,0.,0.)); #395383=DIRECTION('',(0.,0.,1.)); #395384=DIRECTION('center_axis',(0.,0.,-1.)); #395385=DIRECTION('ref_axis',(1.,0.,0.)); #395386=DIRECTION('center_axis',(1.,0.,0.)); #395387=DIRECTION('ref_axis',(0.,1.,0.)); #395388=DIRECTION('',(0.,1.,0.)); #395389=DIRECTION('',(0.,0.,1.)); #395390=DIRECTION('',(0.,1.,0.)); #395391=DIRECTION('',(0.,0.,1.)); #395392=DIRECTION('center_axis',(0.,-1.,0.)); #395393=DIRECTION('ref_axis',(1.,0.,0.)); #395394=DIRECTION('',(1.,0.,0.)); #395395=DIRECTION('',(1.,0.,0.)); #395396=DIRECTION('',(0.,0.,1.)); #395397=DIRECTION('center_axis',(-1.,0.,0.)); #395398=DIRECTION('ref_axis',(0.,-1.,0.)); #395399=DIRECTION('',(0.,-1.,0.)); #395400=DIRECTION('',(0.,-1.,0.)); #395401=DIRECTION('',(0.,0.,1.)); #395402=DIRECTION('center_axis',(0.,1.,0.)); #395403=DIRECTION('ref_axis',(-1.,0.,0.)); #395404=DIRECTION('',(-1.,0.,0.)); #395405=DIRECTION('',(-1.,0.,0.)); #395406=DIRECTION('center_axis',(0.,0.,1.)); #395407=DIRECTION('ref_axis',(1.,0.,0.)); #395408=DIRECTION('center_axis',(0.,0.,1.)); #395409=DIRECTION('ref_axis',(1.,0.,0.)); #395410=DIRECTION('center_axis',(0.,0.,1.)); #395411=DIRECTION('ref_axis',(1.,0.,0.)); #395412=DIRECTION('center_axis',(0.,0.,1.)); #395413=DIRECTION('ref_axis',(1.,0.,0.)); #395414=DIRECTION('',(0.,0.,1.)); #395415=DIRECTION('center_axis',(0.,0.,-1.)); #395416=DIRECTION('ref_axis',(1.,0.,0.)); #395417=DIRECTION('center_axis',(1.,0.,0.)); #395418=DIRECTION('ref_axis',(0.,1.,0.)); #395419=DIRECTION('',(0.,1.,0.)); #395420=DIRECTION('',(0.,0.,1.)); #395421=DIRECTION('',(0.,1.,0.)); #395422=DIRECTION('',(0.,0.,1.)); #395423=DIRECTION('center_axis',(0.,-1.,0.)); #395424=DIRECTION('ref_axis',(1.,0.,0.)); #395425=DIRECTION('',(1.,0.,0.)); #395426=DIRECTION('',(1.,0.,0.)); #395427=DIRECTION('',(0.,0.,1.)); #395428=DIRECTION('center_axis',(-1.,0.,0.)); #395429=DIRECTION('ref_axis',(0.,-1.,0.)); #395430=DIRECTION('',(0.,-1.,0.)); #395431=DIRECTION('',(0.,-1.,0.)); #395432=DIRECTION('',(0.,0.,1.)); #395433=DIRECTION('center_axis',(0.,1.,0.)); #395434=DIRECTION('ref_axis',(-1.,0.,0.)); #395435=DIRECTION('',(-1.,0.,0.)); #395436=DIRECTION('',(-1.,0.,0.)); #395437=DIRECTION('center_axis',(0.,0.,1.)); #395438=DIRECTION('ref_axis',(1.,0.,0.)); #395439=DIRECTION('center_axis',(0.,0.,1.)); #395440=DIRECTION('ref_axis',(1.,0.,0.)); #395441=DIRECTION('center_axis',(0.,0.,1.)); #395442=DIRECTION('ref_axis',(1.,0.,0.)); #395443=DIRECTION('center_axis',(0.,0.,1.)); #395444=DIRECTION('ref_axis',(1.,0.,0.)); #395445=DIRECTION('',(0.,0.,1.)); #395446=DIRECTION('center_axis',(0.,0.,-1.)); #395447=DIRECTION('ref_axis',(1.,0.,0.)); #395448=DIRECTION('center_axis',(0.156447762252332,-0.987686234431886,0.)); #395449=DIRECTION('ref_axis',(0.987686234431886,0.156447762252332,0.)); #395450=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #395451=DIRECTION('',(0.,0.,1.)); #395452=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #395453=DIRECTION('',(0.,0.,1.)); #395454=DIRECTION('center_axis',(0.,0.,1.)); #395455=DIRECTION('ref_axis',(-0.461527865934827,0.887125712041785,0.)); #395456=DIRECTION('center_axis',(0.,0.,1.)); #395457=DIRECTION('ref_axis',(-0.461527865934827,0.887125712041785,0.)); #395458=DIRECTION('center_axis',(0.,0.,1.)); #395459=DIRECTION('ref_axis',(-0.461527865934827,0.887125712041785,0.)); #395460=DIRECTION('',(0.,0.,1.)); #395461=DIRECTION('center_axis',(-1.,0.,0.)); #395462=DIRECTION('ref_axis',(0.,-1.,0.)); #395463=DIRECTION('',(0.,-1.,0.)); #395464=DIRECTION('',(0.,-1.,0.)); #395465=DIRECTION('',(0.,0.,1.)); #395466=DIRECTION('center_axis',(0.,-1.,0.)); #395467=DIRECTION('ref_axis',(1.,0.,0.)); #395468=DIRECTION('',(1.,0.,0.)); #395469=DIRECTION('',(1.,0.,0.)); #395470=DIRECTION('',(0.,0.,1.)); #395471=DIRECTION('center_axis',(-1.,0.,0.)); #395472=DIRECTION('ref_axis',(0.,-1.,0.)); #395473=DIRECTION('',(0.,-1.,0.)); #395474=DIRECTION('',(0.,-1.,0.)); #395475=DIRECTION('',(0.,0.,1.)); #395476=DIRECTION('center_axis',(0.,1.,0.)); #395477=DIRECTION('ref_axis',(-1.,0.,0.)); #395478=DIRECTION('',(-1.,0.,0.)); #395479=DIRECTION('',(-1.,0.,0.)); #395480=DIRECTION('',(0.,0.,1.)); #395481=DIRECTION('center_axis',(1.,0.,0.)); #395482=DIRECTION('ref_axis',(0.,1.,0.)); #395483=DIRECTION('',(0.,1.,0.)); #395484=DIRECTION('',(0.,1.,0.)); #395485=DIRECTION('',(0.,0.,1.)); #395486=DIRECTION('center_axis',(0.,-1.,0.)); #395487=DIRECTION('ref_axis',(1.,0.,0.)); #395488=DIRECTION('',(1.,0.,0.)); #395489=DIRECTION('',(1.,0.,0.)); #395490=DIRECTION('',(0.,0.,1.)); #395491=DIRECTION('center_axis',(1.,0.,0.)); #395492=DIRECTION('ref_axis',(0.,1.,0.)); #395493=DIRECTION('',(0.,1.,0.)); #395494=DIRECTION('',(0.,1.,0.)); #395495=DIRECTION('',(0.,0.,1.)); #395496=DIRECTION('center_axis',(0.,0.,1.)); #395497=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #395498=DIRECTION('center_axis',(0.,0.,1.)); #395499=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #395500=DIRECTION('center_axis',(0.,0.,1.)); #395501=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #395502=DIRECTION('center_axis',(0.,0.,1.)); #395503=DIRECTION('ref_axis',(1.,0.,0.)); #395504=DIRECTION('center_axis',(0.,0.,1.)); #395505=DIRECTION('ref_axis',(1.,0.,0.)); #395506=DIRECTION('center_axis',(0.,0.,1.)); #395507=DIRECTION('ref_axis',(1.,0.,0.)); #395508=DIRECTION('center_axis',(0.,0.,1.)); #395509=DIRECTION('ref_axis',(1.,0.,0.)); #395510=DIRECTION('',(0.,0.,1.)); #395511=DIRECTION('center_axis',(0.,0.,-1.)); #395512=DIRECTION('ref_axis',(1.,0.,0.)); #395513=DIRECTION('center_axis',(0.,0.,1.)); #395514=DIRECTION('ref_axis',(1.,0.,0.)); #395515=DIRECTION('center_axis',(0.,0.,1.)); #395516=DIRECTION('ref_axis',(1.,0.,0.)); #395517=DIRECTION('',(0.,0.,1.)); #395518=DIRECTION('center_axis',(0.,0.,-1.)); #395519=DIRECTION('ref_axis',(1.,0.,0.)); #395520=DIRECTION('center_axis',(0.110976900111233,-0.993822986070307,0.)); #395521=DIRECTION('ref_axis',(0.993822986070307,0.110976900111233,0.)); #395522=DIRECTION('',(0.993822986070307,0.110976900111233,0.)); #395523=DIRECTION('',(0.,0.,1.)); #395524=DIRECTION('',(0.993822986070307,0.110976900111233,0.)); #395525=DIRECTION('',(0.,0.,1.)); #395526=DIRECTION('center_axis',(0.,-1.,0.)); #395527=DIRECTION('ref_axis',(1.,0.,0.)); #395528=DIRECTION('',(1.,0.,0.)); #395529=DIRECTION('',(1.,0.,0.)); #395530=DIRECTION('',(0.,0.,1.)); #395531=DIRECTION('center_axis',(0.,0.,1.)); #395532=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #395533=DIRECTION('center_axis',(0.,0.,1.)); #395534=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #395535=DIRECTION('center_axis',(0.,0.,1.)); #395536=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #395537=DIRECTION('',(0.,0.,1.)); #395538=DIRECTION('center_axis',(-0.707106781186562,-0.707106781186533, 0.)); #395539=DIRECTION('ref_axis',(0.707106781186533,-0.707106781186562,0.)); #395540=DIRECTION('',(0.707106781186533,-0.707106781186562,0.)); #395541=DIRECTION('',(0.707106781186533,-0.707106781186562,0.)); #395542=DIRECTION('',(0.,0.,1.)); #395543=DIRECTION('center_axis',(0.,-1.,0.)); #395544=DIRECTION('ref_axis',(1.,0.,0.)); #395545=DIRECTION('',(1.,0.,0.)); #395546=DIRECTION('',(1.,0.,0.)); #395547=DIRECTION('',(0.,0.,1.)); #395548=DIRECTION('center_axis',(0.,0.,1.)); #395549=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #395550=DIRECTION('center_axis',(0.,0.,1.)); #395551=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #395552=DIRECTION('center_axis',(0.,0.,1.)); #395553=DIRECTION('ref_axis',(-0.70710261415424,-0.707110948194299,0.)); #395554=DIRECTION('',(0.,0.,1.)); #395555=DIRECTION('center_axis',(-0.70710678118655,-0.707106781186546,0.)); #395556=DIRECTION('ref_axis',(0.707106781186545,-0.707106781186549,0.)); #395557=DIRECTION('',(0.707106781186545,-0.707106781186549,0.)); #395558=DIRECTION('',(0.707106781186545,-0.707106781186549,0.)); #395559=DIRECTION('',(0.,0.,1.)); #395560=DIRECTION('center_axis',(0.,-1.,0.)); #395561=DIRECTION('ref_axis',(1.,0.,0.)); #395562=DIRECTION('',(1.,0.,0.)); #395563=DIRECTION('',(1.,0.,0.)); #395564=DIRECTION('',(0.,0.,1.)); #395565=DIRECTION('center_axis',(0.,0.,1.)); #395566=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #395567=DIRECTION('center_axis',(0.,0.,1.)); #395568=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #395569=DIRECTION('center_axis',(0.,0.,1.)); #395570=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #395571=DIRECTION('',(0.,0.,1.)); #395572=DIRECTION('center_axis',(0.,0.,1.)); #395573=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #395574=DIRECTION('center_axis',(0.,0.,1.)); #395575=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #395576=DIRECTION('center_axis',(0.,0.,1.)); #395577=DIRECTION('ref_axis',(0.887013571520809,0.461743352889783,0.)); #395578=DIRECTION('',(0.,0.,1.)); #395579=DIRECTION('center_axis',(0.,1.,0.)); #395580=DIRECTION('ref_axis',(-1.,0.,0.)); #395581=DIRECTION('',(-1.,0.,0.)); #395582=DIRECTION('',(-1.,0.,0.)); #395583=DIRECTION('',(0.,0.,1.)); #395584=DIRECTION('center_axis',(0.,0.,1.)); #395585=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #395586=DIRECTION('center_axis',(0.,0.,1.)); #395587=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #395588=DIRECTION('center_axis',(0.,0.,1.)); #395589=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #395590=DIRECTION('',(0.,0.,1.)); #395591=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #395592=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #395593=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #395594=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #395595=DIRECTION('',(0.,0.,1.)); #395596=DIRECTION('center_axis',(0.,1.,0.)); #395597=DIRECTION('ref_axis',(-1.,0.,0.)); #395598=DIRECTION('',(-1.,0.,0.)); #395599=DIRECTION('',(-1.,0.,0.)); #395600=DIRECTION('',(0.,0.,1.)); #395601=DIRECTION('center_axis',(0.,0.,1.)); #395602=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #395603=DIRECTION('center_axis',(0.,0.,1.)); #395604=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #395605=DIRECTION('center_axis',(0.,0.,1.)); #395606=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #395607=DIRECTION('',(0.,0.,1.)); #395608=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #395609=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #395610=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #395611=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #395612=DIRECTION('',(0.,0.,1.)); #395613=DIRECTION('center_axis',(0.,1.,0.)); #395614=DIRECTION('ref_axis',(-1.,0.,0.)); #395615=DIRECTION('',(-1.,0.,0.)); #395616=DIRECTION('',(-1.,0.,0.)); #395617=DIRECTION('',(0.,0.,1.)); #395618=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #395619=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #395620=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #395621=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #395622=DIRECTION('',(0.,0.,1.)); #395623=DIRECTION('center_axis',(-1.,0.,0.)); #395624=DIRECTION('ref_axis',(0.,-1.,0.)); #395625=DIRECTION('',(0.,-1.,0.)); #395626=DIRECTION('',(0.,-1.,0.)); #395627=DIRECTION('',(0.,0.,1.)); #395628=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #395629=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #395630=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #395631=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #395632=DIRECTION('',(0.,0.,1.)); #395633=DIRECTION('center_axis',(0.,-1.,0.)); #395634=DIRECTION('ref_axis',(1.,0.,0.)); #395635=DIRECTION('',(1.,0.,0.)); #395636=DIRECTION('',(1.,0.,0.)); #395637=DIRECTION('',(0.,0.,1.)); #395638=DIRECTION('center_axis',(0.,0.,1.)); #395639=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #395640=DIRECTION('center_axis',(0.,0.,1.)); #395641=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #395642=DIRECTION('center_axis',(0.,0.,1.)); #395643=DIRECTION('ref_axis',(-0.707102614154248,-0.70711094819429,0.)); #395644=DIRECTION('',(0.,0.,1.)); #395645=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #395646=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #395647=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #395648=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #395649=DIRECTION('',(0.,0.,1.)); #395650=DIRECTION('center_axis',(0.,-1.,0.)); #395651=DIRECTION('ref_axis',(1.,0.,0.)); #395652=DIRECTION('',(1.,0.,0.)); #395653=DIRECTION('',(1.,0.,0.)); #395654=DIRECTION('',(0.,0.,1.)); #395655=DIRECTION('center_axis',(0.,0.,1.)); #395656=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #395657=DIRECTION('center_axis',(0.,0.,1.)); #395658=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #395659=DIRECTION('center_axis',(0.,0.,1.)); #395660=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #395661=DIRECTION('',(0.,0.,1.)); #395662=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #395663=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #395664=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #395665=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #395666=DIRECTION('',(0.,0.,1.)); #395667=DIRECTION('center_axis',(0.,0.,1.)); #395668=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #395669=DIRECTION('center_axis',(0.,0.,1.)); #395670=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #395671=DIRECTION('center_axis',(0.,0.,1.)); #395672=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #395673=DIRECTION('',(0.,0.,1.)); #395674=DIRECTION('center_axis',(-1.,0.,0.)); #395675=DIRECTION('ref_axis',(0.,-1.,0.)); #395676=DIRECTION('',(0.,-1.,0.)); #395677=DIRECTION('',(0.,-1.,0.)); #395678=DIRECTION('',(0.,0.,1.)); #395679=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #395680=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #395681=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #395682=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #395683=DIRECTION('',(0.,0.,1.)); #395684=DIRECTION('center_axis',(0.,-1.,0.)); #395685=DIRECTION('ref_axis',(1.,0.,0.)); #395686=DIRECTION('',(1.,0.,0.)); #395687=DIRECTION('',(1.,0.,0.)); #395688=DIRECTION('',(0.,0.,1.)); #395689=DIRECTION('center_axis',(0.,0.,1.)); #395690=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #395691=DIRECTION('center_axis',(0.,0.,1.)); #395692=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #395693=DIRECTION('center_axis',(0.,0.,1.)); #395694=DIRECTION('ref_axis',(-0.707102614154283,-0.707110948194255,0.)); #395695=DIRECTION('',(0.,0.,1.)); #395696=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #395697=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #395698=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #395699=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #395700=DIRECTION('',(0.,0.,1.)); #395701=DIRECTION('center_axis',(0.,0.,1.)); #395702=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #395703=DIRECTION('center_axis',(0.,0.,1.)); #395704=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #395705=DIRECTION('center_axis',(0.,0.,1.)); #395706=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #395707=DIRECTION('',(0.,0.,1.)); #395708=DIRECTION('center_axis',(-1.,0.,0.)); #395709=DIRECTION('ref_axis',(0.,-1.,0.)); #395710=DIRECTION('',(0.,-1.,0.)); #395711=DIRECTION('',(0.,-1.,0.)); #395712=DIRECTION('',(0.,0.,1.)); #395713=DIRECTION('center_axis',(-0.707106781186538,-0.707106781186557, 0.)); #395714=DIRECTION('ref_axis',(0.707106781186557,-0.707106781186538,0.)); #395715=DIRECTION('',(0.707106781186557,-0.707106781186538,0.)); #395716=DIRECTION('',(0.707106781186557,-0.707106781186538,0.)); #395717=DIRECTION('',(0.,0.,1.)); #395718=DIRECTION('center_axis',(0.,0.,1.)); #395719=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #395720=DIRECTION('center_axis',(0.,0.,1.)); #395721=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #395722=DIRECTION('center_axis',(0.,0.,1.)); #395723=DIRECTION('ref_axis',(-0.999999999982576,5.90313868192531E-6,0.)); #395724=DIRECTION('',(0.,0.,1.)); #395725=DIRECTION('center_axis',(-1.,0.,0.)); #395726=DIRECTION('ref_axis',(0.,-1.,0.)); #395727=DIRECTION('',(0.,-1.,0.)); #395728=DIRECTION('',(0.,-1.,0.)); #395729=DIRECTION('',(0.,0.,1.)); #395730=DIRECTION('center_axis',(0.,0.,1.)); #395731=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #395732=DIRECTION('center_axis',(0.,0.,1.)); #395733=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #395734=DIRECTION('center_axis',(0.,0.,1.)); #395735=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #395736=DIRECTION('',(0.,0.,1.)); #395737=DIRECTION('center_axis',(0.,0.,1.)); #395738=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #395739=DIRECTION('center_axis',(0.,0.,1.)); #395740=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #395741=DIRECTION('center_axis',(0.,0.,1.)); #395742=DIRECTION('ref_axis',(0.46174335288979,-0.887013571520806,0.)); #395743=DIRECTION('',(0.,0.,1.)); #395744=DIRECTION('center_axis',(1.,0.,0.)); #395745=DIRECTION('ref_axis',(0.,1.,0.)); #395746=DIRECTION('',(0.,1.,0.)); #395747=DIRECTION('',(0.,1.,0.)); #395748=DIRECTION('',(0.,0.,1.)); #395749=DIRECTION('center_axis',(0.707106781186538,0.707106781186557,0.)); #395750=DIRECTION('ref_axis',(-0.707106781186557,0.707106781186538,0.)); #395751=DIRECTION('',(-0.707106781186557,0.707106781186538,0.)); #395752=DIRECTION('',(-0.707106781186557,0.707106781186538,0.)); #395753=DIRECTION('',(0.,0.,1.)); #395754=DIRECTION('center_axis',(0.,0.,1.)); #395755=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #395756=DIRECTION('center_axis',(0.,0.,1.)); #395757=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #395758=DIRECTION('center_axis',(0.,0.,1.)); #395759=DIRECTION('ref_axis',(0.999999999982576,-5.90313868192531E-6,0.)); #395760=DIRECTION('',(0.,0.,1.)); #395761=DIRECTION('center_axis',(1.,0.,0.)); #395762=DIRECTION('ref_axis',(0.,1.,0.)); #395763=DIRECTION('',(0.,1.,0.)); #395764=DIRECTION('',(0.,1.,0.)); #395765=DIRECTION('',(0.,0.,1.)); #395766=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #395767=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #395768=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #395769=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #395770=DIRECTION('',(0.,0.,1.)); #395771=DIRECTION('center_axis',(0.,1.,0.)); #395772=DIRECTION('ref_axis',(-1.,0.,0.)); #395773=DIRECTION('',(-1.,0.,0.)); #395774=DIRECTION('',(-1.,0.,0.)); #395775=DIRECTION('',(0.,0.,1.)); #395776=DIRECTION('center_axis',(0.,0.,1.)); #395777=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #395778=DIRECTION('center_axis',(0.,0.,1.)); #395779=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #395780=DIRECTION('center_axis',(0.,0.,1.)); #395781=DIRECTION('ref_axis',(0.707102614154283,0.707110948194255,0.)); #395782=DIRECTION('',(0.,0.,1.)); #395783=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #395784=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #395785=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #395786=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #395787=DIRECTION('',(0.,0.,1.)); #395788=DIRECTION('center_axis',(0.,0.,1.)); #395789=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #395790=DIRECTION('center_axis',(0.,0.,1.)); #395791=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #395792=DIRECTION('center_axis',(0.,0.,1.)); #395793=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #395794=DIRECTION('',(0.,0.,1.)); #395795=DIRECTION('center_axis',(1.,0.,0.)); #395796=DIRECTION('ref_axis',(0.,1.,0.)); #395797=DIRECTION('',(0.,1.,0.)); #395798=DIRECTION('',(0.,1.,0.)); #395799=DIRECTION('',(0.,0.,1.)); #395800=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #395801=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #395802=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #395803=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #395804=DIRECTION('',(0.,0.,1.)); #395805=DIRECTION('center_axis',(0.,1.,0.)); #395806=DIRECTION('ref_axis',(-1.,0.,0.)); #395807=DIRECTION('',(-1.,0.,0.)); #395808=DIRECTION('',(-1.,0.,0.)); #395809=DIRECTION('',(0.,0.,1.)); #395810=DIRECTION('center_axis',(0.,0.,1.)); #395811=DIRECTION('ref_axis',(0.707102614154179,0.70711094819436,0.)); #395812=DIRECTION('center_axis',(0.,0.,1.)); #395813=DIRECTION('ref_axis',(0.707102614154179,0.70711094819436,0.)); #395814=DIRECTION('center_axis',(0.,0.,1.)); #395815=DIRECTION('ref_axis',(0.707102614154179,0.70711094819436,0.)); #395816=DIRECTION('',(0.,0.,1.)); #395817=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #395818=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #395819=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #395820=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #395821=DIRECTION('',(0.,0.,1.)); #395822=DIRECTION('center_axis',(0.,1.,0.)); #395823=DIRECTION('ref_axis',(-1.,0.,0.)); #395824=DIRECTION('',(-1.,0.,0.)); #395825=DIRECTION('',(-1.,0.,0.)); #395826=DIRECTION('',(0.,0.,1.)); #395827=DIRECTION('center_axis',(0.,0.,1.)); #395828=DIRECTION('ref_axis',(0.707102614154249,0.70711094819429,0.)); #395829=DIRECTION('center_axis',(0.,0.,1.)); #395830=DIRECTION('ref_axis',(0.707102614154249,0.70711094819429,0.)); #395831=DIRECTION('center_axis',(0.,0.,1.)); #395832=DIRECTION('ref_axis',(0.707102614154249,0.70711094819429,0.)); #395833=DIRECTION('',(0.,0.,1.)); #395834=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #395835=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #395836=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #395837=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #395838=DIRECTION('',(0.,0.,1.)); #395839=DIRECTION('center_axis',(0.,0.,1.)); #395840=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #395841=DIRECTION('center_axis',(0.,0.,1.)); #395842=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #395843=DIRECTION('center_axis',(0.,0.,1.)); #395844=DIRECTION('ref_axis',(0.999999999982576,-5.90313861198996E-6,0.)); #395845=DIRECTION('',(0.,0.,1.)); #395846=DIRECTION('center_axis',(1.,0.,0.)); #395847=DIRECTION('ref_axis',(0.,1.,0.)); #395848=DIRECTION('',(0.,1.,0.)); #395849=DIRECTION('',(0.,1.,0.)); #395850=DIRECTION('',(0.,0.,1.)); #395851=DIRECTION('center_axis',(0.,0.,1.)); #395852=DIRECTION('ref_axis',(0.707110948194229,-0.70710261415431,0.)); #395853=DIRECTION('center_axis',(0.,0.,1.)); #395854=DIRECTION('ref_axis',(0.707110948194229,-0.70710261415431,0.)); #395855=DIRECTION('center_axis',(0.,0.,1.)); #395856=DIRECTION('ref_axis',(0.707110948194229,-0.70710261415431,0.)); #395857=DIRECTION('',(0.,0.,1.)); #395858=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #395859=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #395860=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #395861=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #395862=DIRECTION('',(0.,0.,1.)); #395863=DIRECTION('center_axis',(0.,0.,1.)); #395864=DIRECTION('ref_axis',(0.037741251700504,-0.999287545164093,0.)); #395865=DIRECTION('center_axis',(0.,0.,1.)); #395866=DIRECTION('ref_axis',(0.037741251700504,-0.999287545164093,0.)); #395867=DIRECTION('center_axis',(0.,0.,1.)); #395868=DIRECTION('ref_axis',(0.037741251700504,-0.999287545164093,0.)); #395869=DIRECTION('center_axis',(0.,0.,1.)); #395870=DIRECTION('ref_axis',(1.,0.,0.)); #395871=DIRECTION('center_axis',(0.,0.,1.)); #395872=DIRECTION('ref_axis',(1.,0.,0.)); #395873=DIRECTION('center_axis',(0.,0.,1.)); #395874=DIRECTION('ref_axis',(1.,0.,0.)); #395875=DIRECTION('center_axis',(0.,0.,1.)); #395876=DIRECTION('ref_axis',(1.,0.,0.)); #395877=DIRECTION('',(0.,0.,1.)); #395878=DIRECTION('center_axis',(0.,0.,-1.)); #395879=DIRECTION('ref_axis',(1.,0.,0.)); #395880=DIRECTION('center_axis',(0.,0.,1.)); #395881=DIRECTION('ref_axis',(1.,0.,0.)); #395882=DIRECTION('center_axis',(0.,0.,1.)); #395883=DIRECTION('ref_axis',(1.,0.,0.)); #395884=DIRECTION('',(0.,0.,1.)); #395885=DIRECTION('center_axis',(0.,0.,-1.)); #395886=DIRECTION('ref_axis',(1.,0.,0.)); #395887=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #395888=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #395889=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #395890=DIRECTION('',(0.,0.,1.)); #395891=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #395892=DIRECTION('',(0.,0.,1.)); #395893=DIRECTION('center_axis',(0.,0.,1.)); #395894=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #395895=DIRECTION('center_axis',(0.,0.,1.)); #395896=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #395897=DIRECTION('center_axis',(0.,0.,1.)); #395898=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #395899=DIRECTION('',(0.,0.,1.)); #395900=DIRECTION('center_axis',(-1.,0.,0.)); #395901=DIRECTION('ref_axis',(0.,-1.,0.)); #395902=DIRECTION('',(0.,-1.,0.)); #395903=DIRECTION('',(0.,-1.,0.)); #395904=DIRECTION('',(0.,0.,1.)); #395905=DIRECTION('center_axis',(0.,0.,1.)); #395906=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #395907=DIRECTION('center_axis',(0.,0.,1.)); #395908=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #395909=DIRECTION('center_axis',(0.,0.,1.)); #395910=DIRECTION('ref_axis',(-0.383337197223969,0.923608463162,0.)); #395911=DIRECTION('',(0.,0.,1.)); #395912=DIRECTION('center_axis',(0.,0.,1.)); #395913=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #395914=DIRECTION('center_axis',(0.,0.,1.)); #395915=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #395916=DIRECTION('center_axis',(0.,0.,1.)); #395917=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #395918=DIRECTION('',(0.,0.,1.)); #395919=DIRECTION('center_axis',(1.,0.,0.)); #395920=DIRECTION('ref_axis',(0.,1.,0.)); #395921=DIRECTION('',(0.,1.,0.)); #395922=DIRECTION('',(0.,1.,0.)); #395923=DIRECTION('',(0.,0.,1.)); #395924=DIRECTION('center_axis',(0.,0.,1.)); #395925=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #395926=DIRECTION('center_axis',(0.,0.,1.)); #395927=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #395928=DIRECTION('center_axis',(0.,0.,1.)); #395929=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #395930=DIRECTION('center_axis',(0.,0.,1.)); #395931=DIRECTION('ref_axis',(1.,0.,0.)); #395932=DIRECTION('center_axis',(0.,0.,1.)); #395933=DIRECTION('ref_axis',(1.,0.,0.)); #395934=DIRECTION('center_axis',(0.,0.,1.)); #395935=DIRECTION('ref_axis',(1.,0.,0.)); #395936=DIRECTION('center_axis',(0.,0.,1.)); #395937=DIRECTION('ref_axis',(1.,0.,0.)); #395938=DIRECTION('',(0.,0.,1.)); #395939=DIRECTION('center_axis',(0.,0.,-1.)); #395940=DIRECTION('ref_axis',(1.,0.,0.)); #395941=DIRECTION('center_axis',(0.156447762252348,-0.987686234431883,0.)); #395942=DIRECTION('ref_axis',(0.987686234431883,0.156447762252348,0.)); #395943=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #395944=DIRECTION('',(0.,0.,1.)); #395945=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #395946=DIRECTION('',(0.,0.,1.)); #395947=DIRECTION('center_axis',(0.,0.,1.)); #395948=DIRECTION('ref_axis',(-0.46152786593484,0.887125712041779,0.)); #395949=DIRECTION('center_axis',(0.,0.,1.)); #395950=DIRECTION('ref_axis',(-0.46152786593484,0.887125712041779,0.)); #395951=DIRECTION('center_axis',(0.,0.,1.)); #395952=DIRECTION('ref_axis',(-0.46152786593484,0.887125712041779,0.)); #395953=DIRECTION('',(0.,0.,1.)); #395954=DIRECTION('center_axis',(-1.,0.,0.)); #395955=DIRECTION('ref_axis',(0.,-1.,0.)); #395956=DIRECTION('',(0.,-1.,0.)); #395957=DIRECTION('',(0.,-1.,0.)); #395958=DIRECTION('',(0.,0.,1.)); #395959=DIRECTION('center_axis',(0.,-1.,0.)); #395960=DIRECTION('ref_axis',(1.,0.,0.)); #395961=DIRECTION('',(1.,0.,0.)); #395962=DIRECTION('',(1.,0.,0.)); #395963=DIRECTION('',(0.,0.,1.)); #395964=DIRECTION('center_axis',(-1.,0.,0.)); #395965=DIRECTION('ref_axis',(0.,-1.,0.)); #395966=DIRECTION('',(0.,-1.,0.)); #395967=DIRECTION('',(0.,-1.,0.)); #395968=DIRECTION('',(0.,0.,1.)); #395969=DIRECTION('center_axis',(0.,1.,0.)); #395970=DIRECTION('ref_axis',(-1.,0.,0.)); #395971=DIRECTION('',(-1.,0.,0.)); #395972=DIRECTION('',(-1.,0.,0.)); #395973=DIRECTION('',(0.,0.,1.)); #395974=DIRECTION('center_axis',(1.,0.,0.)); #395975=DIRECTION('ref_axis',(0.,1.,0.)); #395976=DIRECTION('',(0.,1.,0.)); #395977=DIRECTION('',(0.,1.,0.)); #395978=DIRECTION('',(0.,0.,1.)); #395979=DIRECTION('center_axis',(0.,-1.,0.)); #395980=DIRECTION('ref_axis',(1.,0.,0.)); #395981=DIRECTION('',(1.,0.,0.)); #395982=DIRECTION('',(1.,0.,0.)); #395983=DIRECTION('',(0.,0.,1.)); #395984=DIRECTION('center_axis',(1.,0.,0.)); #395985=DIRECTION('ref_axis',(0.,1.,0.)); #395986=DIRECTION('',(0.,1.,0.)); #395987=DIRECTION('',(0.,1.,0.)); #395988=DIRECTION('',(0.,0.,1.)); #395989=DIRECTION('center_axis',(0.,0.,1.)); #395990=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #395991=DIRECTION('center_axis',(0.,0.,1.)); #395992=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #395993=DIRECTION('center_axis',(0.,0.,1.)); #395994=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #395995=DIRECTION('center_axis',(0.,0.,1.)); #395996=DIRECTION('ref_axis',(1.,0.,0.)); #395997=DIRECTION('center_axis',(0.,0.,1.)); #395998=DIRECTION('ref_axis',(1.,0.,0.)); #395999=DIRECTION('center_axis',(0.,0.,1.)); #396000=DIRECTION('ref_axis',(1.,0.,0.)); #396001=DIRECTION('center_axis',(0.,0.,1.)); #396002=DIRECTION('ref_axis',(1.,0.,0.)); #396003=DIRECTION('',(0.,0.,1.)); #396004=DIRECTION('center_axis',(0.,0.,-1.)); #396005=DIRECTION('ref_axis',(1.,0.,0.)); #396006=DIRECTION('center_axis',(0.156447762252348,-0.987686234431883,0.)); #396007=DIRECTION('ref_axis',(0.987686234431883,0.156447762252348,0.)); #396008=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #396009=DIRECTION('',(0.,0.,1.)); #396010=DIRECTION('',(0.987686234431883,0.156447762252348,0.)); #396011=DIRECTION('',(0.,0.,1.)); #396012=DIRECTION('center_axis',(0.,0.,1.)); #396013=DIRECTION('ref_axis',(-0.46152786593484,0.887125712041779,0.)); #396014=DIRECTION('center_axis',(0.,0.,1.)); #396015=DIRECTION('ref_axis',(-0.46152786593484,0.887125712041779,0.)); #396016=DIRECTION('center_axis',(0.,0.,1.)); #396017=DIRECTION('ref_axis',(-0.46152786593484,0.887125712041779,0.)); #396018=DIRECTION('',(0.,0.,1.)); #396019=DIRECTION('center_axis',(-1.,0.,0.)); #396020=DIRECTION('ref_axis',(0.,-1.,0.)); #396021=DIRECTION('',(0.,-1.,0.)); #396022=DIRECTION('',(0.,-1.,0.)); #396023=DIRECTION('',(0.,0.,1.)); #396024=DIRECTION('center_axis',(0.,-1.,0.)); #396025=DIRECTION('ref_axis',(1.,0.,0.)); #396026=DIRECTION('',(1.,0.,0.)); #396027=DIRECTION('',(1.,0.,0.)); #396028=DIRECTION('',(0.,0.,1.)); #396029=DIRECTION('center_axis',(-1.,0.,0.)); #396030=DIRECTION('ref_axis',(0.,-1.,0.)); #396031=DIRECTION('',(0.,-1.,0.)); #396032=DIRECTION('',(0.,-1.,0.)); #396033=DIRECTION('',(0.,0.,1.)); #396034=DIRECTION('center_axis',(0.,1.,0.)); #396035=DIRECTION('ref_axis',(-1.,0.,0.)); #396036=DIRECTION('',(-1.,0.,0.)); #396037=DIRECTION('',(-1.,0.,0.)); #396038=DIRECTION('',(0.,0.,1.)); #396039=DIRECTION('center_axis',(1.,0.,0.)); #396040=DIRECTION('ref_axis',(0.,1.,0.)); #396041=DIRECTION('',(0.,1.,0.)); #396042=DIRECTION('',(0.,1.,0.)); #396043=DIRECTION('',(0.,0.,1.)); #396044=DIRECTION('center_axis',(0.,-1.,0.)); #396045=DIRECTION('ref_axis',(1.,0.,0.)); #396046=DIRECTION('',(1.,0.,0.)); #396047=DIRECTION('',(1.,0.,0.)); #396048=DIRECTION('',(0.,0.,1.)); #396049=DIRECTION('center_axis',(1.,0.,0.)); #396050=DIRECTION('ref_axis',(0.,1.,0.)); #396051=DIRECTION('',(0.,1.,0.)); #396052=DIRECTION('',(0.,1.,0.)); #396053=DIRECTION('',(0.,0.,1.)); #396054=DIRECTION('center_axis',(0.,0.,1.)); #396055=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #396056=DIRECTION('center_axis',(0.,0.,1.)); #396057=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #396058=DIRECTION('center_axis',(0.,0.,1.)); #396059=DIRECTION('ref_axis',(0.234004121468796,-0.972235604745895,0.)); #396060=DIRECTION('center_axis',(0.,0.,1.)); #396061=DIRECTION('ref_axis',(1.,0.,0.)); #396062=DIRECTION('center_axis',(0.,0.,1.)); #396063=DIRECTION('ref_axis',(1.,0.,0.)); #396064=DIRECTION('center_axis',(0.,0.,1.)); #396065=DIRECTION('ref_axis',(1.,0.,0.)); #396066=DIRECTION('center_axis',(0.,0.,1.)); #396067=DIRECTION('ref_axis',(1.,0.,0.)); #396068=DIRECTION('',(0.,0.,1.)); #396069=DIRECTION('center_axis',(0.,0.,-1.)); #396070=DIRECTION('ref_axis',(1.,0.,0.)); #396071=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #396072=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #396073=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #396074=DIRECTION('',(0.,0.,1.)); #396075=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #396076=DIRECTION('',(0.,0.,1.)); #396077=DIRECTION('center_axis',(0.,0.,1.)); #396078=DIRECTION('ref_axis',(-0.888045829238896,-0.459754940344746,0.)); #396079=DIRECTION('center_axis',(0.,0.,1.)); #396080=DIRECTION('ref_axis',(-0.888045829238896,-0.459754940344746,0.)); #396081=DIRECTION('center_axis',(0.,0.,1.)); #396082=DIRECTION('ref_axis',(-0.888045829238896,-0.459754940344746,0.)); #396083=DIRECTION('',(0.,0.,1.)); #396084=DIRECTION('center_axis',(0.,-1.,0.)); #396085=DIRECTION('ref_axis',(1.,0.,0.)); #396086=DIRECTION('',(1.,0.,0.)); #396087=DIRECTION('',(1.,0.,0.)); #396088=DIRECTION('',(0.,0.,1.)); #396089=DIRECTION('center_axis',(0.,0.,1.)); #396090=DIRECTION('ref_axis',(-0.707099830320334,-0.707113731984435,0.)); #396091=DIRECTION('center_axis',(0.,0.,1.)); #396092=DIRECTION('ref_axis',(-0.707099830320334,-0.707113731984435,0.)); #396093=DIRECTION('center_axis',(0.,0.,1.)); #396094=DIRECTION('ref_axis',(-0.707099830320334,-0.707113731984435,0.)); #396095=DIRECTION('',(0.,0.,1.)); #396096=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #396097=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #396098=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #396099=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #396100=DIRECTION('',(0.,0.,1.)); #396101=DIRECTION('center_axis',(0.,0.,1.)); #396102=DIRECTION('ref_axis',(-0.999999999951587,9.84004346893239E-6,0.)); #396103=DIRECTION('center_axis',(0.,0.,1.)); #396104=DIRECTION('ref_axis',(-0.999999999951587,9.84004346893239E-6,0.)); #396105=DIRECTION('center_axis',(0.,0.,1.)); #396106=DIRECTION('ref_axis',(-0.999999999951587,9.84004346893239E-6,0.)); #396107=DIRECTION('',(0.,0.,1.)); #396108=DIRECTION('center_axis',(-1.,0.,0.)); #396109=DIRECTION('ref_axis',(0.,-1.,0.)); #396110=DIRECTION('',(0.,-1.,0.)); #396111=DIRECTION('',(0.,-1.,0.)); #396112=DIRECTION('',(0.,0.,1.)); #396113=DIRECTION('center_axis',(0.,-1.,0.)); #396114=DIRECTION('ref_axis',(1.,0.,0.)); #396115=DIRECTION('',(1.,0.,0.)); #396116=DIRECTION('',(1.,0.,0.)); #396117=DIRECTION('',(0.,0.,1.)); #396118=DIRECTION('center_axis',(-1.,0.,0.)); #396119=DIRECTION('ref_axis',(0.,-1.,0.)); #396120=DIRECTION('',(0.,-1.,0.)); #396121=DIRECTION('',(0.,-1.,0.)); #396122=DIRECTION('',(0.,0.,1.)); #396123=DIRECTION('center_axis',(0.,1.,0.)); #396124=DIRECTION('ref_axis',(-1.,0.,0.)); #396125=DIRECTION('',(-1.,0.,0.)); #396126=DIRECTION('',(-1.,0.,0.)); #396127=DIRECTION('',(0.,0.,1.)); #396128=DIRECTION('center_axis',(1.,0.,0.)); #396129=DIRECTION('ref_axis',(0.,1.,0.)); #396130=DIRECTION('',(0.,1.,0.)); #396131=DIRECTION('',(0.,1.,0.)); #396132=DIRECTION('',(0.,0.,1.)); #396133=DIRECTION('center_axis',(0.,-1.,0.)); #396134=DIRECTION('ref_axis',(1.,0.,0.)); #396135=DIRECTION('',(1.,0.,0.)); #396136=DIRECTION('',(1.,0.,0.)); #396137=DIRECTION('',(0.,0.,1.)); #396138=DIRECTION('center_axis',(1.,0.,0.)); #396139=DIRECTION('ref_axis',(0.,1.,0.)); #396140=DIRECTION('',(0.,1.,0.)); #396141=DIRECTION('',(0.,1.,0.)); #396142=DIRECTION('',(0.,0.,1.)); #396143=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #396144=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #396145=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #396146=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #396147=DIRECTION('',(0.,0.,1.)); #396148=DIRECTION('center_axis',(0.,1.,0.)); #396149=DIRECTION('ref_axis',(-1.,0.,0.)); #396150=DIRECTION('',(-1.,0.,0.)); #396151=DIRECTION('',(-1.,0.,0.)); #396152=DIRECTION('',(0.,0.,1.)); #396153=DIRECTION('center_axis',(0.,0.,1.)); #396154=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #396155=DIRECTION('center_axis',(0.,0.,1.)); #396156=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #396157=DIRECTION('center_axis',(0.,0.,1.)); #396158=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #396159=DIRECTION('',(0.,0.,1.)); #396160=DIRECTION('center_axis',(0.,0.,1.)); #396161=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #396162=DIRECTION('center_axis',(0.,0.,1.)); #396163=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #396164=DIRECTION('center_axis',(0.,0.,1.)); #396165=DIRECTION('ref_axis',(0.233449321392221,-0.972368970268751,0.)); #396166=DIRECTION('center_axis',(0.,0.,1.)); #396167=DIRECTION('ref_axis',(1.,0.,0.)); #396168=DIRECTION('center_axis',(0.,0.,1.)); #396169=DIRECTION('ref_axis',(1.,0.,0.)); #396170=DIRECTION('center_axis',(0.,0.,1.)); #396171=DIRECTION('ref_axis',(1.,0.,0.)); #396172=DIRECTION('center_axis',(0.,0.,1.)); #396173=DIRECTION('ref_axis',(1.,0.,0.)); #396174=DIRECTION('',(0.,0.,1.)); #396175=DIRECTION('center_axis',(0.,0.,-1.)); #396176=DIRECTION('ref_axis',(1.,0.,0.)); #396177=DIRECTION('center_axis',(1.,0.,0.)); #396178=DIRECTION('ref_axis',(0.,1.,0.)); #396179=DIRECTION('',(0.,1.,0.)); #396180=DIRECTION('',(0.,0.,1.)); #396181=DIRECTION('',(0.,1.,0.)); #396182=DIRECTION('',(0.,0.,1.)); #396183=DIRECTION('center_axis',(0.,-1.,0.)); #396184=DIRECTION('ref_axis',(1.,0.,0.)); #396185=DIRECTION('',(1.,0.,0.)); #396186=DIRECTION('',(1.,0.,0.)); #396187=DIRECTION('',(0.,0.,1.)); #396188=DIRECTION('center_axis',(-1.,0.,0.)); #396189=DIRECTION('ref_axis',(0.,-1.,0.)); #396190=DIRECTION('',(0.,-1.,0.)); #396191=DIRECTION('',(0.,-1.,0.)); #396192=DIRECTION('',(0.,0.,1.)); #396193=DIRECTION('center_axis',(0.,1.,0.)); #396194=DIRECTION('ref_axis',(-1.,0.,0.)); #396195=DIRECTION('',(-1.,0.,0.)); #396196=DIRECTION('',(-1.,0.,0.)); #396197=DIRECTION('',(0.,0.,1.)); #396198=DIRECTION('center_axis',(1.,0.,0.)); #396199=DIRECTION('ref_axis',(0.,1.,0.)); #396200=DIRECTION('',(0.,1.,0.)); #396201=DIRECTION('',(0.,1.,0.)); #396202=DIRECTION('',(0.,0.,1.)); #396203=DIRECTION('center_axis',(0.,1.,0.)); #396204=DIRECTION('ref_axis',(-1.,0.,0.)); #396205=DIRECTION('',(-1.,0.,0.)); #396206=DIRECTION('',(-1.,0.,0.)); #396207=DIRECTION('',(0.,0.,1.)); #396208=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #396209=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #396210=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #396211=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #396212=DIRECTION('',(0.,0.,1.)); #396213=DIRECTION('center_axis',(-1.,0.,0.)); #396214=DIRECTION('ref_axis',(0.,-1.,0.)); #396215=DIRECTION('',(0.,-1.,0.)); #396216=DIRECTION('',(0.,-1.,0.)); #396217=DIRECTION('',(0.,0.,1.)); #396218=DIRECTION('center_axis',(0.,0.,1.)); #396219=DIRECTION('ref_axis',(-0.146799236638546,0.989166307615833,0.)); #396220=DIRECTION('center_axis',(0.,0.,1.)); #396221=DIRECTION('ref_axis',(-0.146799236638546,0.989166307615833,0.)); #396222=DIRECTION('center_axis',(0.,0.,1.)); #396223=DIRECTION('ref_axis',(-0.146799236638546,0.989166307615833,0.)); #396224=DIRECTION('',(0.,0.,1.)); #396225=DIRECTION('center_axis',(0.,0.,1.)); #396226=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #396227=DIRECTION('center_axis',(0.,0.,1.)); #396228=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #396229=DIRECTION('center_axis',(0.,0.,1.)); #396230=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #396231=DIRECTION('',(0.,0.,1.)); #396232=DIRECTION('center_axis',(1.,0.,0.)); #396233=DIRECTION('ref_axis',(0.,1.,0.)); #396234=DIRECTION('',(0.,1.,0.)); #396235=DIRECTION('',(0.,1.,0.)); #396236=DIRECTION('',(0.,0.,1.)); #396237=DIRECTION('center_axis',(0.,0.,1.)); #396238=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #396239=DIRECTION('center_axis',(0.,0.,1.)); #396240=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #396241=DIRECTION('center_axis',(0.,0.,1.)); #396242=DIRECTION('ref_axis',(0.707110948194273,-0.707102614154266,0.)); #396243=DIRECTION('',(0.,0.,1.)); #396244=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #396245=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #396246=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #396247=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #396248=DIRECTION('',(0.,0.,1.)); #396249=DIRECTION('center_axis',(0.,0.,1.)); #396250=DIRECTION('ref_axis',(-5.90313864695794E-6,-0.999999999982576,0.)); #396251=DIRECTION('center_axis',(0.,0.,1.)); #396252=DIRECTION('ref_axis',(-5.90313864695794E-6,-0.999999999982576,0.)); #396253=DIRECTION('center_axis',(0.,0.,1.)); #396254=DIRECTION('ref_axis',(-5.90313864695794E-6,-0.999999999982576,0.)); #396255=DIRECTION('',(0.,0.,1.)); #396256=DIRECTION('center_axis',(0.,-1.,0.)); #396257=DIRECTION('ref_axis',(1.,0.,0.)); #396258=DIRECTION('',(1.,0.,0.)); #396259=DIRECTION('',(1.,0.,0.)); #396260=DIRECTION('center_axis',(0.,0.,1.)); #396261=DIRECTION('ref_axis',(1.,0.,0.)); #396262=DIRECTION('center_axis',(0.,0.,1.)); #396263=DIRECTION('ref_axis',(1.,0.,0.)); #396264=DIRECTION('center_axis',(0.,0.,1.)); #396265=DIRECTION('ref_axis',(1.,0.,0.)); #396266=DIRECTION('center_axis',(0.,0.,1.)); #396267=DIRECTION('ref_axis',(1.,0.,0.)); #396268=DIRECTION('',(0.,0.,1.)); #396269=DIRECTION('center_axis',(0.,0.,-1.)); #396270=DIRECTION('ref_axis',(1.,0.,0.)); #396271=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #396272=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #396273=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #396274=DIRECTION('',(0.,0.,1.)); #396275=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #396276=DIRECTION('',(0.,0.,1.)); #396277=DIRECTION('center_axis',(0.,0.,1.)); #396278=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #396279=DIRECTION('center_axis',(0.,0.,1.)); #396280=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #396281=DIRECTION('center_axis',(0.,0.,1.)); #396282=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #396283=DIRECTION('',(0.,0.,1.)); #396284=DIRECTION('center_axis',(0.,0.,1.)); #396285=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #396286=DIRECTION('center_axis',(0.,0.,1.)); #396287=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #396288=DIRECTION('center_axis',(0.,0.,1.)); #396289=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #396290=DIRECTION('center_axis',(0.,0.,1.)); #396291=DIRECTION('ref_axis',(1.,0.,0.)); #396292=DIRECTION('center_axis',(0.,0.,1.)); #396293=DIRECTION('ref_axis',(1.,0.,0.)); #396294=DIRECTION('center_axis',(0.,0.,1.)); #396295=DIRECTION('ref_axis',(1.,0.,0.)); #396296=DIRECTION('center_axis',(0.,0.,1.)); #396297=DIRECTION('ref_axis',(1.,0.,0.)); #396298=DIRECTION('',(0.,0.,1.)); #396299=DIRECTION('center_axis',(0.,0.,-1.)); #396300=DIRECTION('ref_axis',(1.,0.,0.)); #396301=DIRECTION('center_axis',(1.,0.,0.)); #396302=DIRECTION('ref_axis',(0.,1.,0.)); #396303=DIRECTION('',(0.,1.,0.)); #396304=DIRECTION('',(0.,0.,1.)); #396305=DIRECTION('',(0.,1.,0.)); #396306=DIRECTION('',(0.,0.,1.)); #396307=DIRECTION('center_axis',(0.,-1.,0.)); #396308=DIRECTION('ref_axis',(1.,0.,0.)); #396309=DIRECTION('',(1.,0.,0.)); #396310=DIRECTION('',(1.,0.,0.)); #396311=DIRECTION('',(0.,0.,1.)); #396312=DIRECTION('center_axis',(-1.,0.,0.)); #396313=DIRECTION('ref_axis',(0.,-1.,0.)); #396314=DIRECTION('',(0.,-1.,0.)); #396315=DIRECTION('',(0.,-1.,0.)); #396316=DIRECTION('',(0.,0.,1.)); #396317=DIRECTION('center_axis',(0.,1.,0.)); #396318=DIRECTION('ref_axis',(-1.,0.,0.)); #396319=DIRECTION('',(-1.,0.,0.)); #396320=DIRECTION('',(-1.,0.,0.)); #396321=DIRECTION('',(0.,0.,1.)); #396322=DIRECTION('center_axis',(-1.,0.,0.)); #396323=DIRECTION('ref_axis',(0.,-1.,0.)); #396324=DIRECTION('',(0.,-1.,0.)); #396325=DIRECTION('',(0.,-1.,0.)); #396326=DIRECTION('',(0.,0.,1.)); #396327=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #396328=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #396329=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #396330=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #396331=DIRECTION('',(0.,0.,1.)); #396332=DIRECTION('center_axis',(0.,-1.,0.)); #396333=DIRECTION('ref_axis',(1.,0.,0.)); #396334=DIRECTION('',(1.,0.,0.)); #396335=DIRECTION('',(1.,0.,0.)); #396336=DIRECTION('',(0.,0.,1.)); #396337=DIRECTION('center_axis',(0.,0.,1.)); #396338=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #396339=DIRECTION('center_axis',(0.,0.,1.)); #396340=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #396341=DIRECTION('center_axis',(0.,0.,1.)); #396342=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #396343=DIRECTION('',(0.,0.,1.)); #396344=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546, 0.)); #396345=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #396346=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #396347=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #396348=DIRECTION('',(0.,0.,1.)); #396349=DIRECTION('center_axis',(0.,-1.,0.)); #396350=DIRECTION('ref_axis',(1.,0.,0.)); #396351=DIRECTION('',(1.,0.,0.)); #396352=DIRECTION('',(1.,0.,0.)); #396353=DIRECTION('',(0.,0.,1.)); #396354=DIRECTION('center_axis',(0.,0.,1.)); #396355=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #396356=DIRECTION('center_axis',(0.,0.,1.)); #396357=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #396358=DIRECTION('center_axis',(0.,0.,1.)); #396359=DIRECTION('ref_axis',(-0.923608463161998,-0.383337197223975,0.)); #396360=DIRECTION('',(0.,0.,1.)); #396361=DIRECTION('center_axis',(0.,0.,1.)); #396362=DIRECTION('ref_axis',(0.887013571520806,0.46174335288979,0.)); #396363=DIRECTION('center_axis',(0.,0.,1.)); #396364=DIRECTION('ref_axis',(0.887013571520806,0.46174335288979,0.)); #396365=DIRECTION('center_axis',(0.,0.,1.)); #396366=DIRECTION('ref_axis',(0.887013571520806,0.46174335288979,0.)); #396367=DIRECTION('',(0.,0.,1.)); #396368=DIRECTION('center_axis',(0.,1.,0.)); #396369=DIRECTION('ref_axis',(-1.,0.,0.)); #396370=DIRECTION('',(-1.,0.,0.)); #396371=DIRECTION('',(-1.,0.,0.)); #396372=DIRECTION('',(0.,0.,1.)); #396373=DIRECTION('center_axis',(0.,0.,1.)); #396374=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #396375=DIRECTION('center_axis',(0.,0.,1.)); #396376=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #396377=DIRECTION('center_axis',(0.,0.,1.)); #396378=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #396379=DIRECTION('',(0.,0.,1.)); #396380=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #396381=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #396382=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #396383=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #396384=DIRECTION('',(0.,0.,1.)); #396385=DIRECTION('center_axis',(0.,1.,0.)); #396386=DIRECTION('ref_axis',(-1.,0.,0.)); #396387=DIRECTION('',(-1.,0.,0.)); #396388=DIRECTION('',(-1.,0.,0.)); #396389=DIRECTION('',(0.,0.,1.)); #396390=DIRECTION('center_axis',(0.,0.,1.)); #396391=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #396392=DIRECTION('center_axis',(0.,0.,1.)); #396393=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #396394=DIRECTION('center_axis',(0.,0.,1.)); #396395=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #396396=DIRECTION('',(0.,0.,1.)); #396397=DIRECTION('center_axis',(0.707106781186551,0.707106781186544,0.)); #396398=DIRECTION('ref_axis',(-0.707106781186544,0.707106781186551,0.)); #396399=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #396400=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #396401=DIRECTION('',(0.,0.,1.)); #396402=DIRECTION('center_axis',(0.,0.,1.)); #396403=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199038E-6,0.)); #396404=DIRECTION('center_axis',(0.,0.,1.)); #396405=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199038E-6,0.)); #396406=DIRECTION('center_axis',(0.,0.,1.)); #396407=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199038E-6,0.)); #396408=DIRECTION('',(0.,0.,1.)); #396409=DIRECTION('center_axis',(1.,0.,0.)); #396410=DIRECTION('ref_axis',(0.,1.,0.)); #396411=DIRECTION('',(0.,1.,0.)); #396412=DIRECTION('',(0.,1.,0.)); #396413=DIRECTION('',(0.,0.,1.)); #396414=DIRECTION('center_axis',(0.,1.,0.)); #396415=DIRECTION('ref_axis',(-1.,0.,0.)); #396416=DIRECTION('',(-1.,0.,0.)); #396417=DIRECTION('',(-1.,0.,0.)); #396418=DIRECTION('center_axis',(0.,0.,1.)); #396419=DIRECTION('ref_axis',(1.,0.,0.)); #396420=DIRECTION('center_axis',(0.,0.,1.)); #396421=DIRECTION('ref_axis',(1.,0.,0.)); #396422=DIRECTION('center_axis',(0.,0.,1.)); #396423=DIRECTION('ref_axis',(1.,0.,0.)); #396424=DIRECTION('center_axis',(0.,0.,1.)); #396425=DIRECTION('ref_axis',(1.,0.,0.)); #396426=DIRECTION('',(0.,0.,1.)); #396427=DIRECTION('center_axis',(0.,0.,-1.)); #396428=DIRECTION('ref_axis',(1.,0.,0.)); #396429=DIRECTION('center_axis',(1.,0.,0.)); #396430=DIRECTION('ref_axis',(0.,1.,0.)); #396431=DIRECTION('',(0.,1.,0.)); #396432=DIRECTION('',(0.,0.,1.)); #396433=DIRECTION('',(0.,1.,0.)); #396434=DIRECTION('',(0.,0.,1.)); #396435=DIRECTION('center_axis',(0.,-1.,0.)); #396436=DIRECTION('ref_axis',(1.,0.,0.)); #396437=DIRECTION('',(1.,0.,0.)); #396438=DIRECTION('',(1.,0.,0.)); #396439=DIRECTION('',(0.,0.,1.)); #396440=DIRECTION('center_axis',(-1.,0.,0.)); #396441=DIRECTION('ref_axis',(0.,-1.,0.)); #396442=DIRECTION('',(0.,-1.,0.)); #396443=DIRECTION('',(0.,-1.,0.)); #396444=DIRECTION('',(0.,0.,1.)); #396445=DIRECTION('center_axis',(0.,-1.,0.)); #396446=DIRECTION('ref_axis',(1.,0.,0.)); #396447=DIRECTION('',(1.,0.,0.)); #396448=DIRECTION('',(1.,0.,0.)); #396449=DIRECTION('',(0.,0.,1.)); #396450=DIRECTION('center_axis',(0.,0.,1.)); #396451=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #396452=DIRECTION('center_axis',(0.,0.,1.)); #396453=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #396454=DIRECTION('center_axis',(0.,0.,1.)); #396455=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #396456=DIRECTION('',(0.,0.,1.)); #396457=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #396458=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #396459=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #396460=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #396461=DIRECTION('',(0.,0.,1.)); #396462=DIRECTION('center_axis',(0.,0.,1.)); #396463=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #396464=DIRECTION('center_axis',(0.,0.,1.)); #396465=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #396466=DIRECTION('center_axis',(0.,0.,1.)); #396467=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #396468=DIRECTION('',(0.,0.,1.)); #396469=DIRECTION('center_axis',(-1.,0.,0.)); #396470=DIRECTION('ref_axis',(0.,-1.,0.)); #396471=DIRECTION('',(0.,-1.,0.)); #396472=DIRECTION('',(0.,-1.,0.)); #396473=DIRECTION('',(0.,0.,1.)); #396474=DIRECTION('center_axis',(0.,0.,1.)); #396475=DIRECTION('ref_axis',(-0.14679923663854,0.989166307615834,0.)); #396476=DIRECTION('center_axis',(0.,0.,1.)); #396477=DIRECTION('ref_axis',(-0.14679923663854,0.989166307615834,0.)); #396478=DIRECTION('center_axis',(0.,0.,1.)); #396479=DIRECTION('ref_axis',(-0.14679923663854,0.989166307615834,0.)); #396480=DIRECTION('',(0.,0.,1.)); #396481=DIRECTION('center_axis',(0.,0.,1.)); #396482=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #396483=DIRECTION('center_axis',(0.,0.,1.)); #396484=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #396485=DIRECTION('center_axis',(0.,0.,1.)); #396486=DIRECTION('ref_axis',(0.169270053049368,-0.985569707905364,0.)); #396487=DIRECTION('',(0.,0.,1.)); #396488=DIRECTION('center_axis',(1.,0.,0.)); #396489=DIRECTION('ref_axis',(0.,1.,0.)); #396490=DIRECTION('',(0.,1.,0.)); #396491=DIRECTION('',(0.,1.,0.)); #396492=DIRECTION('',(0.,0.,1.)); #396493=DIRECTION('center_axis',(0.707106781186551,0.707106781186544,0.)); #396494=DIRECTION('ref_axis',(-0.707106781186544,0.707106781186551,0.)); #396495=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #396496=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #396497=DIRECTION('',(0.,0.,1.)); #396498=DIRECTION('center_axis',(0.,1.,0.)); #396499=DIRECTION('ref_axis',(-1.,0.,0.)); #396500=DIRECTION('',(-1.,0.,0.)); #396501=DIRECTION('',(-1.,0.,0.)); #396502=DIRECTION('',(0.,0.,1.)); #396503=DIRECTION('center_axis',(-1.,0.,0.)); #396504=DIRECTION('ref_axis',(0.,-1.,0.)); #396505=DIRECTION('',(0.,-1.,0.)); #396506=DIRECTION('',(0.,-1.,0.)); #396507=DIRECTION('',(0.,0.,1.)); #396508=DIRECTION('center_axis',(0.,1.,0.)); #396509=DIRECTION('ref_axis',(-1.,0.,0.)); #396510=DIRECTION('',(-1.,0.,0.)); #396511=DIRECTION('',(-1.,0.,0.)); #396512=DIRECTION('center_axis',(0.,0.,1.)); #396513=DIRECTION('ref_axis',(1.,0.,0.)); #396514=DIRECTION('center_axis',(0.,0.,1.)); #396515=DIRECTION('ref_axis',(1.,0.,0.)); #396516=DIRECTION('center_axis',(1.,0.,0.)); #396517=DIRECTION('ref_axis',(0.,1.,0.)); #396518=DIRECTION('',(0.,1.,0.)); #396519=DIRECTION('',(0.,0.,1.)); #396520=DIRECTION('',(0.,1.,0.)); #396521=DIRECTION('',(0.,0.,1.)); #396522=DIRECTION('center_axis',(0.,-1.,0.)); #396523=DIRECTION('ref_axis',(1.,0.,0.)); #396524=DIRECTION('',(1.,0.,0.)); #396525=DIRECTION('',(1.,0.,0.)); #396526=DIRECTION('',(0.,0.,1.)); #396527=DIRECTION('center_axis',(-1.,0.,0.)); #396528=DIRECTION('ref_axis',(0.,-1.,0.)); #396529=DIRECTION('',(0.,-1.,0.)); #396530=DIRECTION('',(0.,-1.,0.)); #396531=DIRECTION('',(0.,0.,1.)); #396532=DIRECTION('center_axis',(0.,1.,0.)); #396533=DIRECTION('ref_axis',(-1.,0.,0.)); #396534=DIRECTION('',(-1.,0.,0.)); #396535=DIRECTION('',(-1.,0.,0.)); #396536=DIRECTION('',(0.,0.,1.)); #396537=DIRECTION('center_axis',(-1.,0.,0.)); #396538=DIRECTION('ref_axis',(0.,-1.,0.)); #396539=DIRECTION('',(0.,-1.,0.)); #396540=DIRECTION('',(0.,-1.,0.)); #396541=DIRECTION('',(0.,0.,1.)); #396542=DIRECTION('center_axis',(0.,0.,1.)); #396543=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #396544=DIRECTION('center_axis',(0.,0.,1.)); #396545=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #396546=DIRECTION('center_axis',(0.,0.,1.)); #396547=DIRECTION('ref_axis',(-0.707110948194238,0.707102614154301,0.)); #396548=DIRECTION('',(0.,0.,1.)); #396549=DIRECTION('center_axis',(-0.707106781186554,0.707106781186542,0.)); #396550=DIRECTION('ref_axis',(-0.707106781186541,-0.707106781186553,0.)); #396551=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #396552=DIRECTION('',(-0.707106781186542,-0.707106781186553,0.)); #396553=DIRECTION('',(0.,0.,1.)); #396554=DIRECTION('center_axis',(-1.,0.,0.)); #396555=DIRECTION('ref_axis',(0.,-1.,0.)); #396556=DIRECTION('',(0.,-1.,0.)); #396557=DIRECTION('',(0.,-1.,0.)); #396558=DIRECTION('',(0.,0.,1.)); #396559=DIRECTION('center_axis',(0.,1.,0.)); #396560=DIRECTION('ref_axis',(-1.,0.,0.)); #396561=DIRECTION('',(-1.,0.,0.)); #396562=DIRECTION('',(-1.,0.,0.)); #396563=DIRECTION('',(0.,0.,1.)); #396564=DIRECTION('center_axis',(1.,0.,0.)); #396565=DIRECTION('ref_axis',(0.,1.,0.)); #396566=DIRECTION('',(0.,1.,0.)); #396567=DIRECTION('',(0.,1.,0.)); #396568=DIRECTION('',(0.,0.,1.)); #396569=DIRECTION('center_axis',(0.,-1.,0.)); #396570=DIRECTION('ref_axis',(1.,0.,0.)); #396571=DIRECTION('',(1.,0.,0.)); #396572=DIRECTION('',(1.,0.,0.)); #396573=DIRECTION('',(0.,0.,1.)); #396574=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #396575=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #396576=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #396577=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #396578=DIRECTION('',(0.,0.,1.)); #396579=DIRECTION('center_axis',(1.,0.,0.)); #396580=DIRECTION('ref_axis',(0.,1.,0.)); #396581=DIRECTION('',(0.,1.,0.)); #396582=DIRECTION('',(0.,1.,0.)); #396583=DIRECTION('',(0.,0.,1.)); #396584=DIRECTION('center_axis',(0.,1.,0.)); #396585=DIRECTION('ref_axis',(-1.,0.,0.)); #396586=DIRECTION('',(-1.,0.,0.)); #396587=DIRECTION('',(-1.,0.,0.)); #396588=DIRECTION('center_axis',(0.,0.,1.)); #396589=DIRECTION('ref_axis',(1.,0.,0.)); #396590=DIRECTION('center_axis',(0.,0.,1.)); #396591=DIRECTION('ref_axis',(1.,0.,0.)); #396592=DIRECTION('center_axis',(1.,0.,0.)); #396593=DIRECTION('ref_axis',(0.,1.,0.)); #396594=DIRECTION('',(0.,1.,0.)); #396595=DIRECTION('',(0.,0.,1.)); #396596=DIRECTION('',(0.,1.,0.)); #396597=DIRECTION('',(0.,0.,1.)); #396598=DIRECTION('center_axis',(0.,-1.,0.)); #396599=DIRECTION('ref_axis',(1.,0.,0.)); #396600=DIRECTION('',(1.,0.,0.)); #396601=DIRECTION('',(1.,0.,0.)); #396602=DIRECTION('',(0.,0.,1.)); #396603=DIRECTION('center_axis',(-1.,0.,0.)); #396604=DIRECTION('ref_axis',(0.,-1.,0.)); #396605=DIRECTION('',(0.,-1.,0.)); #396606=DIRECTION('',(0.,-1.,0.)); #396607=DIRECTION('',(0.,0.,1.)); #396608=DIRECTION('center_axis',(0.,1.,0.)); #396609=DIRECTION('ref_axis',(-1.,0.,0.)); #396610=DIRECTION('',(-1.,0.,0.)); #396611=DIRECTION('',(-1.,0.,0.)); #396612=DIRECTION('',(0.,0.,1.)); #396613=DIRECTION('center_axis',(-1.,0.,0.)); #396614=DIRECTION('ref_axis',(0.,-1.,0.)); #396615=DIRECTION('',(0.,-1.,0.)); #396616=DIRECTION('',(0.,-1.,0.)); #396617=DIRECTION('',(0.,0.,1.)); #396618=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #396619=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #396620=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #396621=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #396622=DIRECTION('',(0.,0.,1.)); #396623=DIRECTION('center_axis',(0.,-1.,0.)); #396624=DIRECTION('ref_axis',(1.,0.,0.)); #396625=DIRECTION('',(1.,0.,0.)); #396626=DIRECTION('',(1.,0.,0.)); #396627=DIRECTION('',(0.,0.,1.)); #396628=DIRECTION('center_axis',(-1.,0.,0.)); #396629=DIRECTION('ref_axis',(0.,-1.,0.)); #396630=DIRECTION('',(0.,-1.,0.)); #396631=DIRECTION('',(0.,-1.,0.)); #396632=DIRECTION('',(0.,0.,1.)); #396633=DIRECTION('center_axis',(0.,1.,0.)); #396634=DIRECTION('ref_axis',(-1.,0.,0.)); #396635=DIRECTION('',(-1.,0.,0.)); #396636=DIRECTION('',(-1.,0.,0.)); #396637=DIRECTION('',(0.,0.,1.)); #396638=DIRECTION('center_axis',(1.,0.,0.)); #396639=DIRECTION('ref_axis',(0.,1.,0.)); #396640=DIRECTION('',(0.,1.,0.)); #396641=DIRECTION('',(0.,1.,0.)); #396642=DIRECTION('',(0.,0.,1.)); #396643=DIRECTION('center_axis',(0.707106781186554,0.707106781186542,0.)); #396644=DIRECTION('ref_axis',(-0.707106781186541,0.707106781186553,0.)); #396645=DIRECTION('',(-0.707106781186542,0.707106781186553,0.)); #396646=DIRECTION('',(-0.707106781186542,0.707106781186553,0.)); #396647=DIRECTION('',(0.,0.,1.)); #396648=DIRECTION('center_axis',(0.,0.,1.)); #396649=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199038E-6,0.)); #396650=DIRECTION('center_axis',(0.,0.,1.)); #396651=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199038E-6,0.)); #396652=DIRECTION('center_axis',(0.,0.,1.)); #396653=DIRECTION('ref_axis',(0.999999999982576,-5.90313861199038E-6,0.)); #396654=DIRECTION('',(0.,0.,1.)); #396655=DIRECTION('center_axis',(1.,0.,0.)); #396656=DIRECTION('ref_axis',(0.,1.,0.)); #396657=DIRECTION('',(0.,1.,0.)); #396658=DIRECTION('',(0.,1.,0.)); #396659=DIRECTION('',(0.,0.,1.)); #396660=DIRECTION('center_axis',(0.,1.,0.)); #396661=DIRECTION('ref_axis',(-1.,0.,0.)); #396662=DIRECTION('',(-1.,0.,0.)); #396663=DIRECTION('',(-1.,0.,0.)); #396664=DIRECTION('center_axis',(0.,0.,1.)); #396665=DIRECTION('ref_axis',(1.,0.,0.)); #396666=DIRECTION('center_axis',(0.,0.,1.)); #396667=DIRECTION('ref_axis',(1.,0.,0.)); #396668=DIRECTION('center_axis',(0.,0.,1.)); #396669=DIRECTION('ref_axis',(1.,0.,0.)); #396670=DIRECTION('center_axis',(0.,0.,1.)); #396671=DIRECTION('ref_axis',(1.,0.,0.)); #396672=DIRECTION('',(0.,0.,1.)); #396673=DIRECTION('center_axis',(0.,0.,-1.)); #396674=DIRECTION('ref_axis',(1.,0.,0.)); #396675=DIRECTION('center_axis',(0.110976900110203,-0.993822986070422,0.)); #396676=DIRECTION('ref_axis',(0.993822986070422,0.110976900110203,0.)); #396677=DIRECTION('',(0.993822986070422,0.110976900110203,0.)); #396678=DIRECTION('',(0.,0.,1.)); #396679=DIRECTION('',(0.993822986070422,0.110976900110203,0.)); #396680=DIRECTION('',(0.,0.,1.)); #396681=DIRECTION('center_axis',(0.,-1.,0.)); #396682=DIRECTION('ref_axis',(1.,0.,0.)); #396683=DIRECTION('',(1.,0.,0.)); #396684=DIRECTION('',(1.,0.,0.)); #396685=DIRECTION('',(0.,0.,1.)); #396686=DIRECTION('center_axis',(0.,0.,1.)); #396687=DIRECTION('ref_axis',(-0.707102614154214,-0.707110948194325,0.)); #396688=DIRECTION('center_axis',(0.,0.,1.)); #396689=DIRECTION('ref_axis',(-0.707102614154214,-0.707110948194325,0.)); #396690=DIRECTION('center_axis',(0.,0.,1.)); #396691=DIRECTION('ref_axis',(-0.707102614154214,-0.707110948194325,0.)); #396692=DIRECTION('',(0.,0.,1.)); #396693=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #396694=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #396695=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #396696=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #396697=DIRECTION('',(0.,0.,1.)); #396698=DIRECTION('center_axis',(0.,0.,1.)); #396699=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #396700=DIRECTION('center_axis',(0.,0.,1.)); #396701=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #396702=DIRECTION('center_axis',(0.,0.,1.)); #396703=DIRECTION('ref_axis',(-0.999999999982576,5.90313864695774E-6,0.)); #396704=DIRECTION('',(0.,0.,1.)); #396705=DIRECTION('center_axis',(-1.,0.,0.)); #396706=DIRECTION('ref_axis',(0.,-1.,0.)); #396707=DIRECTION('',(0.,-1.,0.)); #396708=DIRECTION('',(0.,-1.,0.)); #396709=DIRECTION('',(0.,0.,1.)); #396710=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #396711=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #396712=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #396713=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #396714=DIRECTION('',(0.,0.,1.)); #396715=DIRECTION('center_axis',(0.,0.,1.)); #396716=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #396717=DIRECTION('center_axis',(0.,0.,1.)); #396718=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #396719=DIRECTION('center_axis',(0.,0.,1.)); #396720=DIRECTION('ref_axis',(-0.999999999982576,5.90313861198996E-6,0.)); #396721=DIRECTION('',(0.,0.,1.)); #396722=DIRECTION('center_axis',(-1.,0.,0.)); #396723=DIRECTION('ref_axis',(0.,-1.,0.)); #396724=DIRECTION('',(0.,-1.,0.)); #396725=DIRECTION('',(0.,-1.,0.)); #396726=DIRECTION('',(0.,0.,1.)); #396727=DIRECTION('center_axis',(0.,-1.,0.)); #396728=DIRECTION('ref_axis',(1.,0.,0.)); #396729=DIRECTION('',(1.,0.,0.)); #396730=DIRECTION('',(1.,0.,0.)); #396731=DIRECTION('',(0.,0.,1.)); #396732=DIRECTION('center_axis',(-1.,0.,0.)); #396733=DIRECTION('ref_axis',(0.,-1.,0.)); #396734=DIRECTION('',(0.,-1.,0.)); #396735=DIRECTION('',(0.,-1.,0.)); #396736=DIRECTION('',(0.,0.,1.)); #396737=DIRECTION('center_axis',(0.,1.,0.)); #396738=DIRECTION('ref_axis',(-1.,0.,0.)); #396739=DIRECTION('',(-1.,0.,0.)); #396740=DIRECTION('',(-1.,0.,0.)); #396741=DIRECTION('',(0.,0.,1.)); #396742=DIRECTION('center_axis',(1.,0.,0.)); #396743=DIRECTION('ref_axis',(0.,1.,0.)); #396744=DIRECTION('',(0.,1.,0.)); #396745=DIRECTION('',(0.,1.,0.)); #396746=DIRECTION('',(0.,0.,1.)); #396747=DIRECTION('center_axis',(0.,-1.,0.)); #396748=DIRECTION('ref_axis',(1.,0.,0.)); #396749=DIRECTION('',(1.,0.,0.)); #396750=DIRECTION('',(1.,0.,0.)); #396751=DIRECTION('',(0.,0.,1.)); #396752=DIRECTION('center_axis',(1.,0.,0.)); #396753=DIRECTION('ref_axis',(0.,1.,0.)); #396754=DIRECTION('',(0.,1.,0.)); #396755=DIRECTION('',(0.,1.,0.)); #396756=DIRECTION('',(0.,0.,1.)); #396757=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #396758=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #396759=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #396760=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #396761=DIRECTION('',(0.,0.,1.)); #396762=DIRECTION('center_axis',(0.,0.,1.)); #396763=DIRECTION('ref_axis',(0.999999999982576,-5.9031386819251E-6,0.)); #396764=DIRECTION('center_axis',(0.,0.,1.)); #396765=DIRECTION('ref_axis',(0.999999999982576,-5.9031386819251E-6,0.)); #396766=DIRECTION('center_axis',(0.,0.,1.)); #396767=DIRECTION('ref_axis',(0.999999999982576,-5.9031386819251E-6,0.)); #396768=DIRECTION('',(0.,0.,1.)); #396769=DIRECTION('center_axis',(1.,0.,0.)); #396770=DIRECTION('ref_axis',(0.,1.,0.)); #396771=DIRECTION('',(0.,1.,0.)); #396772=DIRECTION('',(0.,1.,0.)); #396773=DIRECTION('',(0.,0.,1.)); #396774=DIRECTION('center_axis',(0.707106781186551,0.707106781186544,0.)); #396775=DIRECTION('ref_axis',(-0.707106781186544,0.707106781186551,0.)); #396776=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #396777=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #396778=DIRECTION('',(0.,0.,1.)); #396779=DIRECTION('center_axis',(0.,1.,0.)); #396780=DIRECTION('ref_axis',(-1.,0.,0.)); #396781=DIRECTION('',(-1.,0.,0.)); #396782=DIRECTION('',(-1.,0.,0.)); #396783=DIRECTION('',(0.,0.,1.)); #396784=DIRECTION('center_axis',(-0.707106781186552,0.707106781186543,0.)); #396785=DIRECTION('ref_axis',(-0.707106781186543,-0.707106781186552,0.)); #396786=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #396787=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #396788=DIRECTION('',(0.,0.,1.)); #396789=DIRECTION('center_axis',(0.,0.,1.)); #396790=DIRECTION('ref_axis',(5.90313871689267E-6,0.999999999982576,0.)); #396791=DIRECTION('center_axis',(0.,0.,1.)); #396792=DIRECTION('ref_axis',(5.90313871689267E-6,0.999999999982576,0.)); #396793=DIRECTION('center_axis',(0.,0.,1.)); #396794=DIRECTION('ref_axis',(5.90313871689267E-6,0.999999999982576,0.)); #396795=DIRECTION('',(0.,0.,1.)); #396796=DIRECTION('center_axis',(0.,1.,0.)); #396797=DIRECTION('ref_axis',(-1.,0.,0.)); #396798=DIRECTION('',(-1.,0.,0.)); #396799=DIRECTION('',(-1.,0.,0.)); #396800=DIRECTION('',(0.,0.,1.)); #396801=DIRECTION('center_axis',(-1.,0.,0.)); #396802=DIRECTION('ref_axis',(0.,-1.,0.)); #396803=DIRECTION('',(0.,-1.,0.)); #396804=DIRECTION('',(0.,-1.,0.)); #396805=DIRECTION('',(0.,0.,1.)); #396806=DIRECTION('center_axis',(0.,1.,0.)); #396807=DIRECTION('ref_axis',(-1.,0.,0.)); #396808=DIRECTION('',(-1.,0.,0.)); #396809=DIRECTION('',(-1.,0.,0.)); #396810=DIRECTION('',(0.,0.,1.)); #396811=DIRECTION('center_axis',(1.,0.,0.)); #396812=DIRECTION('ref_axis',(0.,1.,0.)); #396813=DIRECTION('',(0.,1.,0.)); #396814=DIRECTION('',(0.,1.,0.)); #396815=DIRECTION('',(0.,0.,1.)); #396816=DIRECTION('center_axis',(0.,1.,0.)); #396817=DIRECTION('ref_axis',(-1.,0.,0.)); #396818=DIRECTION('',(-1.,0.,0.)); #396819=DIRECTION('',(-1.,0.,0.)); #396820=DIRECTION('',(0.,0.,1.)); #396821=DIRECTION('center_axis',(-1.,0.,0.)); #396822=DIRECTION('ref_axis',(0.,-1.,0.)); #396823=DIRECTION('',(0.,-1.,0.)); #396824=DIRECTION('',(0.,-1.,0.)); #396825=DIRECTION('',(0.,0.,1.)); #396826=DIRECTION('center_axis',(0.,1.,0.)); #396827=DIRECTION('ref_axis',(-1.,0.,0.)); #396828=DIRECTION('',(-1.,0.,0.)); #396829=DIRECTION('',(-1.,0.,0.)); #396830=DIRECTION('',(0.,0.,1.)); #396831=DIRECTION('center_axis',(1.,0.,0.)); #396832=DIRECTION('ref_axis',(0.,1.,0.)); #396833=DIRECTION('',(0.,1.,0.)); #396834=DIRECTION('',(0.,1.,0.)); #396835=DIRECTION('',(0.,0.,1.)); #396836=DIRECTION('center_axis',(0.,-1.,0.)); #396837=DIRECTION('ref_axis',(1.,0.,0.)); #396838=DIRECTION('',(1.,0.,0.)); #396839=DIRECTION('',(1.,0.,0.)); #396840=DIRECTION('',(0.,0.,1.)); #396841=DIRECTION('center_axis',(-1.,0.,0.)); #396842=DIRECTION('ref_axis',(0.,-1.,0.)); #396843=DIRECTION('',(0.,-1.,0.)); #396844=DIRECTION('',(0.,-1.,0.)); #396845=DIRECTION('',(0.,0.,1.)); #396846=DIRECTION('center_axis',(0.,-1.,0.)); #396847=DIRECTION('ref_axis',(1.,0.,0.)); #396848=DIRECTION('',(1.,0.,0.)); #396849=DIRECTION('',(1.,0.,0.)); #396850=DIRECTION('',(0.,0.,1.)); #396851=DIRECTION('center_axis',(1.,0.,0.)); #396852=DIRECTION('ref_axis',(0.,1.,0.)); #396853=DIRECTION('',(0.,1.,0.)); #396854=DIRECTION('',(0.,1.,0.)); #396855=DIRECTION('',(0.,0.,1.)); #396856=DIRECTION('center_axis',(0.,-1.,0.)); #396857=DIRECTION('ref_axis',(1.,0.,0.)); #396858=DIRECTION('',(1.,0.,0.)); #396859=DIRECTION('',(1.,0.,0.)); #396860=DIRECTION('',(0.,0.,1.)); #396861=DIRECTION('center_axis',(-1.,0.,0.)); #396862=DIRECTION('ref_axis',(0.,-1.,0.)); #396863=DIRECTION('',(0.,-1.,0.)); #396864=DIRECTION('',(0.,-1.,0.)); #396865=DIRECTION('',(0.,0.,1.)); #396866=DIRECTION('center_axis',(0.,-1.,0.)); #396867=DIRECTION('ref_axis',(1.,0.,0.)); #396868=DIRECTION('',(1.,0.,0.)); #396869=DIRECTION('',(1.,0.,0.)); #396870=DIRECTION('',(0.,0.,1.)); #396871=DIRECTION('center_axis',(0.707106781186551,-0.707106781186544,0.)); #396872=DIRECTION('ref_axis',(0.707106781186544,0.707106781186551,0.)); #396873=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #396874=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #396875=DIRECTION('',(0.,0.,1.)); #396876=DIRECTION('center_axis',(0.,0.,1.)); #396877=DIRECTION('ref_axis',(0.0377412517004697,-0.999287545164094,0.)); #396878=DIRECTION('center_axis',(0.,0.,1.)); #396879=DIRECTION('ref_axis',(0.0377412517004697,-0.999287545164094,0.)); #396880=DIRECTION('center_axis',(0.,0.,1.)); #396881=DIRECTION('ref_axis',(0.0377412517004697,-0.999287545164094,0.)); #396882=DIRECTION('center_axis',(0.,0.,1.)); #396883=DIRECTION('ref_axis',(1.,0.,0.)); #396884=DIRECTION('center_axis',(0.,0.,1.)); #396885=DIRECTION('ref_axis',(1.,0.,0.)); #396886=DIRECTION('center_axis',(0.,0.,1.)); #396887=DIRECTION('ref_axis',(1.,0.,0.)); #396888=DIRECTION('center_axis',(0.,0.,1.)); #396889=DIRECTION('ref_axis',(1.,0.,0.)); #396890=DIRECTION('',(0.,0.,1.)); #396891=DIRECTION('center_axis',(0.,0.,-1.)); #396892=DIRECTION('ref_axis',(1.,0.,0.)); #396893=DIRECTION('center_axis',(0.,0.,1.)); #396894=DIRECTION('ref_axis',(1.,0.,0.)); #396895=DIRECTION('center_axis',(0.,0.,1.)); #396896=DIRECTION('ref_axis',(1.,0.,0.)); #396897=DIRECTION('',(0.,0.,1.)); #396898=DIRECTION('center_axis',(0.,0.,-1.)); #396899=DIRECTION('ref_axis',(1.,0.,0.)); #396900=DIRECTION('center_axis',(0.156447762252232,-0.987686234431902,0.)); #396901=DIRECTION('ref_axis',(0.987686234431902,0.156447762252232,0.)); #396902=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #396903=DIRECTION('',(0.,0.,1.)); #396904=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #396905=DIRECTION('',(0.,0.,1.)); #396906=DIRECTION('center_axis',(0.,0.,1.)); #396907=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #396908=DIRECTION('center_axis',(0.,0.,1.)); #396909=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #396910=DIRECTION('center_axis',(0.,0.,1.)); #396911=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #396912=DIRECTION('',(0.,0.,1.)); #396913=DIRECTION('center_axis',(-1.,0.,0.)); #396914=DIRECTION('ref_axis',(0.,-1.,0.)); #396915=DIRECTION('',(0.,-1.,0.)); #396916=DIRECTION('',(0.,-1.,0.)); #396917=DIRECTION('',(0.,0.,1.)); #396918=DIRECTION('center_axis',(0.,0.,1.)); #396919=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #396920=DIRECTION('center_axis',(0.,0.,1.)); #396921=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #396922=DIRECTION('center_axis',(0.,0.,1.)); #396923=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #396924=DIRECTION('',(0.,0.,1.)); #396925=DIRECTION('center_axis',(0.,0.,1.)); #396926=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #396927=DIRECTION('center_axis',(0.,0.,1.)); #396928=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #396929=DIRECTION('center_axis',(0.,0.,1.)); #396930=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #396931=DIRECTION('',(0.,0.,1.)); #396932=DIRECTION('center_axis',(1.,0.,0.)); #396933=DIRECTION('ref_axis',(0.,1.,0.)); #396934=DIRECTION('',(0.,1.,0.)); #396935=DIRECTION('',(0.,1.,0.)); #396936=DIRECTION('',(0.,0.,1.)); #396937=DIRECTION('center_axis',(0.,0.,1.)); #396938=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #396939=DIRECTION('center_axis',(0.,0.,1.)); #396940=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #396941=DIRECTION('center_axis',(0.,0.,1.)); #396942=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #396943=DIRECTION('center_axis',(0.,0.,1.)); #396944=DIRECTION('ref_axis',(1.,0.,0.)); #396945=DIRECTION('center_axis',(0.,0.,1.)); #396946=DIRECTION('ref_axis',(1.,0.,0.)); #396947=DIRECTION('center_axis',(0.,0.,1.)); #396948=DIRECTION('ref_axis',(1.,0.,0.)); #396949=DIRECTION('center_axis',(0.,0.,1.)); #396950=DIRECTION('ref_axis',(1.,0.,0.)); #396951=DIRECTION('',(0.,0.,1.)); #396952=DIRECTION('center_axis',(0.,0.,-1.)); #396953=DIRECTION('ref_axis',(1.,0.,0.)); #396954=DIRECTION('center_axis',(1.,0.,0.)); #396955=DIRECTION('ref_axis',(0.,1.,0.)); #396956=DIRECTION('',(0.,1.,0.)); #396957=DIRECTION('',(0.,0.,1.)); #396958=DIRECTION('',(0.,1.,0.)); #396959=DIRECTION('',(0.,0.,1.)); #396960=DIRECTION('center_axis',(0.,-1.,0.)); #396961=DIRECTION('ref_axis',(1.,0.,0.)); #396962=DIRECTION('',(1.,0.,0.)); #396963=DIRECTION('',(1.,0.,0.)); #396964=DIRECTION('',(0.,0.,1.)); #396965=DIRECTION('center_axis',(-1.,0.,0.)); #396966=DIRECTION('ref_axis',(0.,-1.,0.)); #396967=DIRECTION('',(0.,-1.,0.)); #396968=DIRECTION('',(0.,-1.,0.)); #396969=DIRECTION('',(0.,0.,1.)); #396970=DIRECTION('center_axis',(0.,1.,0.)); #396971=DIRECTION('ref_axis',(-1.,0.,0.)); #396972=DIRECTION('',(-1.,0.,0.)); #396973=DIRECTION('',(-1.,0.,0.)); #396974=DIRECTION('center_axis',(0.,0.,1.)); #396975=DIRECTION('ref_axis',(1.,0.,0.)); #396976=DIRECTION('center_axis',(0.,0.,1.)); #396977=DIRECTION('ref_axis',(1.,0.,0.)); #396978=DIRECTION('center_axis',(0.,0.,1.)); #396979=DIRECTION('ref_axis',(1.,0.,0.)); #396980=DIRECTION('center_axis',(0.,0.,1.)); #396981=DIRECTION('ref_axis',(1.,0.,0.)); #396982=DIRECTION('',(0.,0.,1.)); #396983=DIRECTION('center_axis',(0.,0.,-1.)); #396984=DIRECTION('ref_axis',(1.,0.,0.)); #396985=DIRECTION('center_axis',(0.,0.,1.)); #396986=DIRECTION('ref_axis',(1.,0.,0.)); #396987=DIRECTION('center_axis',(0.,0.,1.)); #396988=DIRECTION('ref_axis',(1.,0.,0.)); #396989=DIRECTION('',(0.,0.,1.)); #396990=DIRECTION('center_axis',(0.,0.,-1.)); #396991=DIRECTION('ref_axis',(1.,0.,0.)); #396992=DIRECTION('center_axis',(0.156447762252332,-0.987686234431886,0.)); #396993=DIRECTION('ref_axis',(0.987686234431886,0.156447762252332,0.)); #396994=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #396995=DIRECTION('',(0.,0.,1.)); #396996=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #396997=DIRECTION('',(0.,0.,1.)); #396998=DIRECTION('center_axis',(0.,0.,1.)); #396999=DIRECTION('ref_axis',(-0.888045829238896,-0.459754940344746,0.)); #397000=DIRECTION('center_axis',(0.,0.,1.)); #397001=DIRECTION('ref_axis',(-0.888045829238896,-0.459754940344746,0.)); #397002=DIRECTION('center_axis',(0.,0.,1.)); #397003=DIRECTION('ref_axis',(-0.888045829238896,-0.459754940344746,0.)); #397004=DIRECTION('',(0.,0.,1.)); #397005=DIRECTION('center_axis',(0.,-1.,0.)); #397006=DIRECTION('ref_axis',(1.,0.,0.)); #397007=DIRECTION('',(1.,0.,0.)); #397008=DIRECTION('',(1.,0.,0.)); #397009=DIRECTION('',(0.,0.,1.)); #397010=DIRECTION('center_axis',(0.,0.,1.)); #397011=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #397012=DIRECTION('center_axis',(0.,0.,1.)); #397013=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #397014=DIRECTION('center_axis',(0.,0.,1.)); #397015=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #397016=DIRECTION('',(0.,0.,1.)); #397017=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #397018=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #397019=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #397020=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #397021=DIRECTION('',(0.,0.,1.)); #397022=DIRECTION('center_axis',(0.,0.,1.)); #397023=DIRECTION('ref_axis',(-0.999999999982576,5.9031386994093E-6,0.)); #397024=DIRECTION('center_axis',(0.,0.,1.)); #397025=DIRECTION('ref_axis',(-0.999999999982576,5.9031386994093E-6,0.)); #397026=DIRECTION('center_axis',(0.,0.,1.)); #397027=DIRECTION('ref_axis',(-0.999999999982576,5.9031386994093E-6,0.)); #397028=DIRECTION('',(0.,0.,1.)); #397029=DIRECTION('center_axis',(-1.,0.,0.)); #397030=DIRECTION('ref_axis',(0.,-1.,0.)); #397031=DIRECTION('',(0.,-1.,0.)); #397032=DIRECTION('',(0.,-1.,0.)); #397033=DIRECTION('',(0.,0.,1.)); #397034=DIRECTION('center_axis',(0.,0.,1.)); #397035=DIRECTION('ref_axis',(-0.707110948194325,0.707102614154214,0.)); #397036=DIRECTION('center_axis',(0.,0.,1.)); #397037=DIRECTION('ref_axis',(-0.707110948194325,0.707102614154214,0.)); #397038=DIRECTION('center_axis',(0.,0.,1.)); #397039=DIRECTION('ref_axis',(-0.707110948194325,0.707102614154214,0.)); #397040=DIRECTION('',(0.,0.,1.)); #397041=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #397042=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #397043=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #397044=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #397045=DIRECTION('',(0.,0.,1.)); #397046=DIRECTION('center_axis',(0.,0.,1.)); #397047=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #397048=DIRECTION('center_axis',(0.,0.,1.)); #397049=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #397050=DIRECTION('center_axis',(0.,0.,1.)); #397051=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #397052=DIRECTION('',(0.,0.,1.)); #397053=DIRECTION('center_axis',(0.,1.,0.)); #397054=DIRECTION('ref_axis',(-1.,0.,0.)); #397055=DIRECTION('',(-1.,0.,0.)); #397056=DIRECTION('',(-1.,0.,0.)); #397057=DIRECTION('',(0.,0.,1.)); #397058=DIRECTION('center_axis',(0.,0.,1.)); #397059=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #397060=DIRECTION('center_axis',(0.,0.,1.)); #397061=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #397062=DIRECTION('center_axis',(0.,0.,1.)); #397063=DIRECTION('ref_axis',(0.707102614154248,0.70711094819429,0.)); #397064=DIRECTION('',(0.,0.,1.)); #397065=DIRECTION('center_axis',(0.707106781186551,0.707106781186544,0.)); #397066=DIRECTION('ref_axis',(-0.707106781186544,0.707106781186551,0.)); #397067=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #397068=DIRECTION('',(-0.707106781186544,0.707106781186551,0.)); #397069=DIRECTION('',(0.,0.,1.)); #397070=DIRECTION('center_axis',(0.,0.,1.)); #397071=DIRECTION('ref_axis',(0.924153123406609,-0.382022256547716,0.)); #397072=DIRECTION('center_axis',(0.,0.,1.)); #397073=DIRECTION('ref_axis',(0.924153123406609,-0.382022256547716,0.)); #397074=DIRECTION('center_axis',(0.,0.,1.)); #397075=DIRECTION('ref_axis',(0.924153123406609,-0.382022256547716,0.)); #397076=DIRECTION('',(0.,0.,1.)); #397077=DIRECTION('center_axis',(0.,0.,1.)); #397078=DIRECTION('ref_axis',(-0.953715628843693,0.300709992017691,0.)); #397079=DIRECTION('center_axis',(0.,0.,1.)); #397080=DIRECTION('ref_axis',(-0.953715628843693,0.300709992017691,0.)); #397081=DIRECTION('center_axis',(0.,0.,1.)); #397082=DIRECTION('ref_axis',(-0.953715628843693,0.300709992017691,0.)); #397083=DIRECTION('',(0.,0.,1.)); #397084=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #397085=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #397086=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #397087=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #397088=DIRECTION('',(0.,0.,1.)); #397089=DIRECTION('center_axis',(0.,-1.,0.)); #397090=DIRECTION('ref_axis',(1.,0.,0.)); #397091=DIRECTION('',(1.,0.,0.)); #397092=DIRECTION('',(1.,0.,0.)); #397093=DIRECTION('',(0.,0.,1.)); #397094=DIRECTION('center_axis',(0.707106781186546,-0.707106781186549,0.)); #397095=DIRECTION('ref_axis',(0.707106781186549,0.707106781186546,0.)); #397096=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #397097=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #397098=DIRECTION('',(0.,0.,1.)); #397099=DIRECTION('center_axis',(1.,0.,0.)); #397100=DIRECTION('ref_axis',(0.,1.,0.)); #397101=DIRECTION('',(0.,1.,0.)); #397102=DIRECTION('',(0.,1.,0.)); #397103=DIRECTION('',(0.,0.,1.)); #397104=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #397105=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #397106=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #397107=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #397108=DIRECTION('',(0.,0.,1.)); #397109=DIRECTION('center_axis',(0.,1.,0.)); #397110=DIRECTION('ref_axis',(-1.,0.,0.)); #397111=DIRECTION('',(-1.,0.,0.)); #397112=DIRECTION('',(-1.,0.,0.)); #397113=DIRECTION('',(0.,0.,1.)); #397114=DIRECTION('center_axis',(0.,0.,1.)); #397115=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #397116=DIRECTION('center_axis',(0.,0.,1.)); #397117=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #397118=DIRECTION('center_axis',(0.,0.,1.)); #397119=DIRECTION('ref_axis',(-0.236706046226645,0.971581312953141,0.)); #397120=DIRECTION('',(0.,0.,1.)); #397121=DIRECTION('center_axis',(0.,0.,1.)); #397122=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #397123=DIRECTION('center_axis',(0.,0.,1.)); #397124=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #397125=DIRECTION('center_axis',(0.,0.,1.)); #397126=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #397127=DIRECTION('center_axis',(0.,0.,1.)); #397128=DIRECTION('ref_axis',(1.,0.,0.)); #397129=DIRECTION('center_axis',(0.,0.,1.)); #397130=DIRECTION('ref_axis',(1.,0.,0.)); #397131=DIRECTION('center_axis',(0.,0.,1.)); #397132=DIRECTION('ref_axis',(1.,0.,0.)); #397133=DIRECTION('center_axis',(0.,0.,1.)); #397134=DIRECTION('ref_axis',(1.,0.,0.)); #397135=DIRECTION('',(0.,0.,1.)); #397136=DIRECTION('center_axis',(0.,0.,-1.)); #397137=DIRECTION('ref_axis',(1.,0.,0.)); #397138=DIRECTION('center_axis',(0.,0.,1.)); #397139=DIRECTION('ref_axis',(1.,0.,0.)); #397140=DIRECTION('center_axis',(0.,0.,1.)); #397141=DIRECTION('ref_axis',(1.,0.,0.)); #397142=DIRECTION('',(0.,0.,1.)); #397143=DIRECTION('center_axis',(0.,0.,-1.)); #397144=DIRECTION('ref_axis',(1.,0.,0.)); #397145=DIRECTION('center_axis',(0.156447762252332,-0.987686234431886,0.)); #397146=DIRECTION('ref_axis',(0.987686234431886,0.156447762252332,0.)); #397147=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #397148=DIRECTION('',(0.,0.,1.)); #397149=DIRECTION('',(0.987686234431886,0.156447762252332,0.)); #397150=DIRECTION('',(0.,0.,1.)); #397151=DIRECTION('center_axis',(0.,0.,1.)); #397152=DIRECTION('ref_axis',(-0.953425737718329,0.301627854576232,0.)); #397153=DIRECTION('center_axis',(0.,0.,1.)); #397154=DIRECTION('ref_axis',(-0.953425737718329,0.301627854576232,0.)); #397155=DIRECTION('center_axis',(0.,0.,1.)); #397156=DIRECTION('ref_axis',(-0.953425737718329,0.301627854576232,0.)); #397157=DIRECTION('',(0.,0.,1.)); #397158=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #397159=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #397160=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #397161=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #397162=DIRECTION('',(0.,0.,1.)); #397163=DIRECTION('center_axis',(0.,0.,1.)); #397164=DIRECTION('ref_axis',(-0.924152606056098,0.382023508072112,0.)); #397165=DIRECTION('center_axis',(0.,0.,1.)); #397166=DIRECTION('ref_axis',(-0.924152606056098,0.382023508072112,0.)); #397167=DIRECTION('center_axis',(0.,0.,1.)); #397168=DIRECTION('ref_axis',(-0.924152606056098,0.382023508072112,0.)); #397169=DIRECTION('',(0.,0.,1.)); #397170=DIRECTION('center_axis',(0.,0.,1.)); #397171=DIRECTION('ref_axis',(0.953715302291666,-0.300711027690565,0.)); #397172=DIRECTION('center_axis',(0.,0.,1.)); #397173=DIRECTION('ref_axis',(0.953715302291666,-0.300711027690565,0.)); #397174=DIRECTION('center_axis',(0.,0.,1.)); #397175=DIRECTION('ref_axis',(0.953715302291666,-0.300711027690565,0.)); #397176=DIRECTION('',(0.,0.,1.)); #397177=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #397178=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #397179=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #397180=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #397181=DIRECTION('',(0.,0.,1.)); #397182=DIRECTION('center_axis',(-0.308977861954575,0.951069230299235,0.)); #397183=DIRECTION('ref_axis',(-0.951069230299235,-0.308977861954575,0.)); #397184=DIRECTION('',(-0.951069230299235,-0.308977861954575,0.)); #397185=DIRECTION('',(-0.951069230299235,-0.308977861954575,0.)); #397186=DIRECTION('',(0.,0.,1.)); #397187=DIRECTION('center_axis',(0.,0.,1.)); #397188=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268748,0.)); #397189=DIRECTION('center_axis',(0.,0.,1.)); #397190=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268748,0.)); #397191=DIRECTION('center_axis',(0.,0.,1.)); #397192=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268748,0.)); #397193=DIRECTION('center_axis',(0.,0.,1.)); #397194=DIRECTION('ref_axis',(1.,0.,0.)); #397195=DIRECTION('center_axis',(0.,0.,1.)); #397196=DIRECTION('ref_axis',(1.,0.,0.)); #397197=DIRECTION('center_axis',(0.,0.,1.)); #397198=DIRECTION('ref_axis',(1.,0.,0.)); #397199=DIRECTION('center_axis',(0.,0.,1.)); #397200=DIRECTION('ref_axis',(1.,0.,0.)); #397201=DIRECTION('',(0.,0.,1.)); #397202=DIRECTION('center_axis',(0.,0.,-1.)); #397203=DIRECTION('ref_axis',(1.,0.,0.)); #397204=DIRECTION('center_axis',(1.,0.,0.)); #397205=DIRECTION('ref_axis',(0.,1.,0.)); #397206=DIRECTION('',(0.,1.,0.)); #397207=DIRECTION('',(0.,0.,1.)); #397208=DIRECTION('',(0.,1.,0.)); #397209=DIRECTION('',(0.,0.,1.)); #397210=DIRECTION('center_axis',(0.,-1.,0.)); #397211=DIRECTION('ref_axis',(1.,0.,0.)); #397212=DIRECTION('',(1.,0.,0.)); #397213=DIRECTION('',(1.,0.,0.)); #397214=DIRECTION('',(0.,0.,1.)); #397215=DIRECTION('center_axis',(-1.,0.,0.)); #397216=DIRECTION('ref_axis',(0.,-1.,0.)); #397217=DIRECTION('',(0.,-1.,0.)); #397218=DIRECTION('',(0.,-1.,0.)); #397219=DIRECTION('',(0.,0.,1.)); #397220=DIRECTION('center_axis',(0.,1.,0.)); #397221=DIRECTION('ref_axis',(-1.,0.,0.)); #397222=DIRECTION('',(-1.,0.,0.)); #397223=DIRECTION('',(-1.,0.,0.)); #397224=DIRECTION('center_axis',(0.,0.,1.)); #397225=DIRECTION('ref_axis',(1.,0.,0.)); #397226=DIRECTION('center_axis',(0.,0.,1.)); #397227=DIRECTION('ref_axis',(1.,0.,0.)); #397228=DIRECTION('center_axis',(0.,0.,1.)); #397229=DIRECTION('ref_axis',(1.,0.,0.)); #397230=DIRECTION('center_axis',(0.,0.,1.)); #397231=DIRECTION('ref_axis',(1.,0.,0.)); #397232=DIRECTION('',(0.,0.,1.)); #397233=DIRECTION('center_axis',(0.,0.,-1.)); #397234=DIRECTION('ref_axis',(1.,0.,0.)); #397235=DIRECTION('center_axis',(0.,0.,1.)); #397236=DIRECTION('ref_axis',(1.,0.,0.)); #397237=DIRECTION('center_axis',(0.,0.,1.)); #397238=DIRECTION('ref_axis',(1.,0.,0.)); #397239=DIRECTION('',(0.,0.,1.)); #397240=DIRECTION('center_axis',(0.,0.,-1.)); #397241=DIRECTION('ref_axis',(1.,0.,0.)); #397242=DIRECTION('center_axis',(0.,0.,1.)); #397243=DIRECTION('ref_axis',(1.,0.,0.)); #397244=DIRECTION('center_axis',(0.,0.,1.)); #397245=DIRECTION('ref_axis',(1.,0.,0.)); #397246=DIRECTION('',(0.,0.,1.)); #397247=DIRECTION('center_axis',(0.,0.,-1.)); #397248=DIRECTION('ref_axis',(1.,0.,0.)); #397249=DIRECTION('center_axis',(0.,0.,1.)); #397250=DIRECTION('ref_axis',(1.,0.,0.)); #397251=DIRECTION('center_axis',(0.,0.,1.)); #397252=DIRECTION('ref_axis',(1.,0.,0.)); #397253=DIRECTION('',(0.,0.,1.)); #397254=DIRECTION('center_axis',(0.,0.,-1.)); #397255=DIRECTION('ref_axis',(1.,0.,0.)); #397256=DIRECTION('center_axis',(0.,1.,0.)); #397257=DIRECTION('ref_axis',(-1.,0.,0.)); #397258=DIRECTION('',(-1.,0.,0.)); #397259=DIRECTION('',(0.,0.,1.)); #397260=DIRECTION('',(-1.,0.,0.)); #397261=DIRECTION('',(0.,0.,1.)); #397262=DIRECTION('center_axis',(-0.382688195372234,0.923877559594746,0.)); #397263=DIRECTION('ref_axis',(-0.923877559594745,-0.382688195372234,0.)); #397264=DIRECTION('',(-0.923877559594745,-0.382688195372234,0.)); #397265=DIRECTION('',(-0.923877559594745,-0.382688195372234,0.)); #397266=DIRECTION('',(0.,0.,1.)); #397267=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #397268=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #397269=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #397270=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #397271=DIRECTION('',(0.,0.,1.)); #397272=DIRECTION('center_axis',(-0.923877559594736,0.382688195372258,0.)); #397273=DIRECTION('ref_axis',(-0.382688195372258,-0.923877559594736,0.)); #397274=DIRECTION('',(-0.382688195372258,-0.923877559594736,0.)); #397275=DIRECTION('',(-0.382688195372258,-0.923877559594736,0.)); #397276=DIRECTION('',(0.,0.,1.)); #397277=DIRECTION('center_axis',(-1.,0.,0.)); #397278=DIRECTION('ref_axis',(0.,-1.,0.)); #397279=DIRECTION('',(0.,-1.,0.)); #397280=DIRECTION('',(0.,-1.,0.)); #397281=DIRECTION('',(0.,0.,1.)); #397282=DIRECTION('center_axis',(-0.923877559594733,-0.382688195372265, 0.)); #397283=DIRECTION('ref_axis',(0.382688195372265,-0.923877559594733,0.)); #397284=DIRECTION('',(0.382688195372265,-0.923877559594733,0.)); #397285=DIRECTION('',(0.382688195372265,-0.923877559594733,0.)); #397286=DIRECTION('',(0.,0.,1.)); #397287=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #397288=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #397289=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #397290=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #397291=DIRECTION('',(0.,0.,1.)); #397292=DIRECTION('center_axis',(-0.382688195372252,-0.923877559594738, 0.)); #397293=DIRECTION('ref_axis',(0.923877559594738,-0.382688195372252,0.)); #397294=DIRECTION('',(0.923877559594738,-0.382688195372252,0.)); #397295=DIRECTION('',(0.923877559594738,-0.382688195372252,0.)); #397296=DIRECTION('',(0.,0.,1.)); #397297=DIRECTION('center_axis',(0.,-1.,0.)); #397298=DIRECTION('ref_axis',(1.,0.,0.)); #397299=DIRECTION('',(1.,0.,0.)); #397300=DIRECTION('',(1.,0.,0.)); #397301=DIRECTION('',(0.,0.,1.)); #397302=DIRECTION('center_axis',(0.382688195372245,-0.923877559594741,0.)); #397303=DIRECTION('ref_axis',(0.923877559594741,0.382688195372245,0.)); #397304=DIRECTION('',(0.923877559594741,0.382688195372245,0.)); #397305=DIRECTION('',(0.923877559594741,0.382688195372245,0.)); #397306=DIRECTION('',(0.,0.,1.)); #397307=DIRECTION('center_axis',(0.707106781186553,-0.707106781186542,0.)); #397308=DIRECTION('ref_axis',(0.707106781186542,0.707106781186553,0.)); #397309=DIRECTION('',(0.707106781186542,0.707106781186553,0.)); #397310=DIRECTION('',(0.707106781186542,0.707106781186553,0.)); #397311=DIRECTION('',(0.,0.,1.)); #397312=DIRECTION('center_axis',(0.92387755959474,-0.382688195372247,0.)); #397313=DIRECTION('ref_axis',(0.382688195372247,0.92387755959474,0.)); #397314=DIRECTION('',(0.382688195372247,0.92387755959474,0.)); #397315=DIRECTION('',(0.382688195372247,0.92387755959474,0.)); #397316=DIRECTION('',(0.,0.,1.)); #397317=DIRECTION('center_axis',(1.,0.,0.)); #397318=DIRECTION('ref_axis',(0.,1.,0.)); #397319=DIRECTION('',(0.,1.,0.)); #397320=DIRECTION('',(0.,1.,0.)); #397321=DIRECTION('',(0.,0.,1.)); #397322=DIRECTION('center_axis',(0.923877559594743,0.38268819537224,0.)); #397323=DIRECTION('ref_axis',(-0.38268819537224,0.923877559594743,0.)); #397324=DIRECTION('',(-0.38268819537224,0.923877559594743,0.)); #397325=DIRECTION('',(-0.38268819537224,0.923877559594743,0.)); #397326=DIRECTION('',(0.,0.,1.)); #397327=DIRECTION('center_axis',(0.707106781186553,0.707106781186542,0.)); #397328=DIRECTION('ref_axis',(-0.707106781186542,0.707106781186553,0.)); #397329=DIRECTION('',(-0.707106781186542,0.707106781186553,0.)); #397330=DIRECTION('',(-0.707106781186542,0.707106781186553,0.)); #397331=DIRECTION('',(0.,0.,1.)); #397332=DIRECTION('center_axis',(0.382688195372227,0.923877559594749,0.)); #397333=DIRECTION('ref_axis',(-0.923877559594749,0.382688195372227,0.)); #397334=DIRECTION('',(-0.923877559594749,0.382688195372227,0.)); #397335=DIRECTION('',(-0.923877559594749,0.382688195372227,0.)); #397336=DIRECTION('center_axis',(0.199006783325433,-0.979998112340256,0.)); #397337=DIRECTION('ref_axis',(0.979998112340256,0.199006783325433,0.)); #397338=DIRECTION('',(0.979998112340256,0.199006783325433,0.)); #397339=DIRECTION('',(0.,0.,1.)); #397340=DIRECTION('',(0.979998112340256,0.199006783325433,0.)); #397341=DIRECTION('',(0.,0.,1.)); #397342=DIRECTION('center_axis',(0.,-1.,0.)); #397343=DIRECTION('ref_axis',(1.,0.,0.)); #397344=DIRECTION('',(1.,0.,0.)); #397345=DIRECTION('',(1.,0.,0.)); #397346=DIRECTION('',(0.,0.,1.)); #397347=DIRECTION('center_axis',(-0.191191000932803,-0.981552851945484, 0.)); #397348=DIRECTION('ref_axis',(0.981552851945484,-0.191191000932803,0.)); #397349=DIRECTION('',(0.981552851945484,-0.191191000932803,0.)); #397350=DIRECTION('',(0.981552851945484,-0.191191000932803,0.)); #397351=DIRECTION('',(0.,0.,1.)); #397352=DIRECTION('center_axis',(-0.552227809242888,-0.833693256958937, 0.)); #397353=DIRECTION('ref_axis',(0.833693256958937,-0.552227809242888,0.)); #397354=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #397355=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #397356=DIRECTION('',(0.,0.,1.)); #397357=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #397358=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #397359=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #397360=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #397361=DIRECTION('',(0.,0.,1.)); #397362=DIRECTION('center_axis',(-0.829258128536007,-0.558865776602003, 0.)); #397363=DIRECTION('ref_axis',(0.558865776602003,-0.829258128536007,0.)); #397364=DIRECTION('',(0.558865776602003,-0.829258128536007,0.)); #397365=DIRECTION('',(0.558865776602003,-0.829258128536007,0.)); #397366=DIRECTION('',(0.,0.,1.)); #397367=DIRECTION('center_axis',(-0.979998112340235,-0.199006783325535, 0.)); #397368=DIRECTION('ref_axis',(0.199006783325535,-0.979998112340235,0.)); #397369=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #397370=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #397371=DIRECTION('',(0.,0.,1.)); #397372=DIRECTION('center_axis',(-1.,0.,0.)); #397373=DIRECTION('ref_axis',(0.,-1.,0.)); #397374=DIRECTION('',(0.,-1.,0.)); #397375=DIRECTION('',(0.,-1.,0.)); #397376=DIRECTION('',(0.,0.,1.)); #397377=DIRECTION('center_axis',(-0.707106874897595,-0.707106687475488, 0.)); #397378=DIRECTION('ref_axis',(0.707106687475488,-0.707106874897595,0.)); #397379=DIRECTION('',(0.707106687475488,-0.707106874897595,0.)); #397380=DIRECTION('',(0.707106687475488,-0.707106874897595,0.)); #397381=DIRECTION('',(0.,0.,1.)); #397382=DIRECTION('center_axis',(-0.829258128535978,-0.558865776602045, 0.)); #397383=DIRECTION('ref_axis',(0.558865776602045,-0.829258128535978,0.)); #397384=DIRECTION('',(0.558865776602045,-0.829258128535978,0.)); #397385=DIRECTION('',(0.558865776602045,-0.829258128535978,0.)); #397386=DIRECTION('',(0.,0.,1.)); #397387=DIRECTION('center_axis',(-0.979998112340235,-0.199006783325535, 0.)); #397388=DIRECTION('ref_axis',(0.199006783325535,-0.979998112340235,0.)); #397389=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #397390=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #397391=DIRECTION('',(0.,0.,1.)); #397392=DIRECTION('center_axis',(-1.,0.,0.)); #397393=DIRECTION('ref_axis',(0.,-1.,0.)); #397394=DIRECTION('',(0.,-1.,0.)); #397395=DIRECTION('',(0.,-1.,0.)); #397396=DIRECTION('',(0.,0.,1.)); #397397=DIRECTION('center_axis',(-0.983050395657604,0.183335538282758,0.)); #397398=DIRECTION('ref_axis',(-0.183335538282758,-0.983050395657604,0.)); #397399=DIRECTION('',(-0.183335538282758,-0.983050395657604,0.)); #397400=DIRECTION('',(-0.183335538282758,-0.983050395657604,0.)); #397401=DIRECTION('',(0.,0.,1.)); #397402=DIRECTION('center_axis',(-0.842360495470216,0.538914460439848,0.)); #397403=DIRECTION('ref_axis',(-0.538914460439848,-0.842360495470216,0.)); #397404=DIRECTION('',(-0.538914460439848,-0.842360495470216,0.)); #397405=DIRECTION('',(-0.538914460439848,-0.842360495470216,0.)); #397406=DIRECTION('',(0.,0.,1.)); #397407=DIRECTION('center_axis',(-0.565473758099792,0.82476628744178,0.)); #397408=DIRECTION('ref_axis',(-0.82476628744178,-0.565473758099792,0.)); #397409=DIRECTION('',(-0.82476628744178,-0.565473758099792,0.)); #397410=DIRECTION('',(-0.82476628744178,-0.565473758099792,0.)); #397411=DIRECTION('',(0.,0.,1.)); #397412=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #397413=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #397414=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #397415=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #397416=DIRECTION('',(0.,0.,1.)); #397417=DIRECTION('center_axis',(0.,1.,0.)); #397418=DIRECTION('ref_axis',(-1.,0.,0.)); #397419=DIRECTION('',(-1.,0.,0.)); #397420=DIRECTION('',(-1.,0.,0.)); #397421=DIRECTION('',(0.,0.,1.)); #397422=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #397423=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #397424=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #397425=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #397426=DIRECTION('',(0.,0.,1.)); #397427=DIRECTION('center_axis',(-1.,0.,0.)); #397428=DIRECTION('ref_axis',(0.,-1.,0.)); #397429=DIRECTION('',(0.,-1.,0.)); #397430=DIRECTION('',(0.,-1.,0.)); #397431=DIRECTION('',(0.,0.,1.)); #397432=DIRECTION('center_axis',(-0.981552099144153,0.191194865688666,0.)); #397433=DIRECTION('ref_axis',(-0.191194865688666,-0.981552099144153,0.)); #397434=DIRECTION('',(-0.191194865688666,-0.981552099144153,0.)); #397435=DIRECTION('',(-0.191194865688666,-0.981552099144153,0.)); #397436=DIRECTION('',(0.,0.,1.)); #397437=DIRECTION('center_axis',(-0.833699290017812,0.552218701081189,0.)); #397438=DIRECTION('ref_axis',(-0.552218701081189,-0.833699290017812,0.)); #397439=DIRECTION('',(-0.552218701081189,-0.833699290017812,0.)); #397440=DIRECTION('',(-0.552218701081189,-0.833699290017812,0.)); #397441=DIRECTION('',(0.,0.,1.)); #397442=DIRECTION('center_axis',(-0.70710678118654,0.707106781186555,0.)); #397443=DIRECTION('ref_axis',(-0.707106781186555,-0.70710678118654,0.)); #397444=DIRECTION('',(-0.707106781186555,-0.70710678118654,0.)); #397445=DIRECTION('',(-0.707106781186555,-0.70710678118654,0.)); #397446=DIRECTION('',(0.,0.,1.)); #397447=DIRECTION('center_axis',(-0.558856232804982,0.829264560351534,0.)); #397448=DIRECTION('ref_axis',(-0.829264560351534,-0.558856232804982,0.)); #397449=DIRECTION('',(-0.829264560351534,-0.558856232804982,0.)); #397450=DIRECTION('',(-0.829264560351534,-0.558856232804982,0.)); #397451=DIRECTION('',(0.,0.,1.)); #397452=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #397453=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #397454=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #397455=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #397456=DIRECTION('',(0.,0.,1.)); #397457=DIRECTION('center_axis',(0.,1.,0.)); #397458=DIRECTION('ref_axis',(-1.,0.,0.)); #397459=DIRECTION('',(-1.,0.,0.)); #397460=DIRECTION('',(-1.,0.,0.)); #397461=DIRECTION('',(0.,0.,1.)); #397462=DIRECTION('center_axis',(0.191194865688771,0.981552099144133,0.)); #397463=DIRECTION('ref_axis',(-0.981552099144132,0.191194865688771,0.)); #397464=DIRECTION('',(-0.981552099144132,0.191194865688771,0.)); #397465=DIRECTION('',(-0.981552099144132,0.191194865688771,0.)); #397466=DIRECTION('',(0.,0.,1.)); #397467=DIRECTION('center_axis',(0.55221870108125,0.833699290017772,0.)); #397468=DIRECTION('ref_axis',(-0.833699290017772,0.55221870108125,0.)); #397469=DIRECTION('',(-0.833699290017772,0.55221870108125,0.)); #397470=DIRECTION('',(-0.833699290017772,0.55221870108125,0.)); #397471=DIRECTION('',(0.,0.,1.)); #397472=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #397473=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #397474=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #397475=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #397476=DIRECTION('',(0.,0.,1.)); #397477=DIRECTION('center_axis',(0.829264560351563,0.55885623280494,0.)); #397478=DIRECTION('ref_axis',(-0.55885623280494,0.829264560351563,0.)); #397479=DIRECTION('',(-0.55885623280494,0.829264560351563,0.)); #397480=DIRECTION('',(-0.55885623280494,0.829264560351563,0.)); #397481=DIRECTION('',(0.,0.,1.)); #397482=DIRECTION('center_axis',(0.97999732879972,0.199010641789363,0.)); #397483=DIRECTION('ref_axis',(-0.199010641789363,0.97999732879972,0.)); #397484=DIRECTION('',(-0.199010641789363,0.97999732879972,0.)); #397485=DIRECTION('',(-0.199010641789363,0.97999732879972,0.)); #397486=DIRECTION('',(0.,0.,1.)); #397487=DIRECTION('center_axis',(1.,0.,0.)); #397488=DIRECTION('ref_axis',(0.,1.,0.)); #397489=DIRECTION('',(0.,1.,0.)); #397490=DIRECTION('',(0.,1.,0.)); #397491=DIRECTION('',(0.,0.,1.)); #397492=DIRECTION('center_axis',(0.990017436553505,0.140944937191896,0.)); #397493=DIRECTION('ref_axis',(-0.140944937191896,0.990017436553505,0.)); #397494=DIRECTION('',(-0.140944937191896,0.990017436553505,0.)); #397495=DIRECTION('',(-0.140944937191896,0.990017436553505,0.)); #397496=DIRECTION('',(0.,0.,1.)); #397497=DIRECTION('center_axis',(0.789918699774633,0.613211584810948,0.)); #397498=DIRECTION('ref_axis',(-0.613211584810948,0.789918699774632,0.)); #397499=DIRECTION('',(-0.613211584810948,0.789918699774633,0.)); #397500=DIRECTION('',(-0.613211584810948,0.789918699774633,0.)); #397501=DIRECTION('',(0.,0.,1.)); #397502=DIRECTION('center_axis',(0.707100346147292,0.707113216167241,0.)); #397503=DIRECTION('ref_axis',(-0.707113216167241,0.707100346147292,0.)); #397504=DIRECTION('',(-0.707113216167241,0.707100346147292,0.)); #397505=DIRECTION('',(-0.707113216167241,0.707100346147292,0.)); #397506=DIRECTION('',(0.,0.,1.)); #397507=DIRECTION('center_axis',(0.824754890362578,0.565490380840393,0.)); #397508=DIRECTION('ref_axis',(-0.565490380840393,0.824754890362578,0.)); #397509=DIRECTION('',(-0.565490380840393,0.824754890362578,0.)); #397510=DIRECTION('',(-0.565490380840393,0.824754890362578,0.)); #397511=DIRECTION('',(0.,0.,1.)); #397512=DIRECTION('center_axis',(0.976703298176499,0.214594192212067,0.)); #397513=DIRECTION('ref_axis',(-0.214594192212067,0.976703298176499,0.)); #397514=DIRECTION('',(-0.214594192212067,0.976703298176499,0.)); #397515=DIRECTION('',(-0.214594192212067,0.976703298176499,0.)); #397516=DIRECTION('',(0.,0.,1.)); #397517=DIRECTION('center_axis',(0.983041987252279,-0.183380618657178,0.)); #397518=DIRECTION('ref_axis',(0.183380618657178,0.983041987252279,0.)); #397519=DIRECTION('',(0.183380618657178,0.983041987252279,0.)); #397520=DIRECTION('',(0.183380618657178,0.983041987252279,0.)); #397521=DIRECTION('',(0.,0.,1.)); #397522=DIRECTION('center_axis',(0.833693256958978,-0.552227809242827,0.)); #397523=DIRECTION('ref_axis',(0.552227809242827,0.833693256958978,0.)); #397524=DIRECTION('',(0.552227809242827,0.833693256958978,0.)); #397525=DIRECTION('',(0.552227809242827,0.833693256958978,0.)); #397526=DIRECTION('',(0.,0.,1.)); #397527=DIRECTION('center_axis',(0.707116773721964,-0.707096788509919,0.)); #397528=DIRECTION('ref_axis',(0.707096788509919,0.707116773721964,0.)); #397529=DIRECTION('',(0.707096788509919,0.707116773721964,0.)); #397530=DIRECTION('',(0.707096788509919,0.707116773721964,0.)); #397531=DIRECTION('',(0.,0.,1.)); #397532=DIRECTION('center_axis',(1.,0.,0.)); #397533=DIRECTION('ref_axis',(0.,1.,0.)); #397534=DIRECTION('',(0.,1.,0.)); #397535=DIRECTION('',(0.,1.,0.)); #397536=DIRECTION('',(0.,0.,1.)); #397537=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #397538=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #397539=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #397540=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #397541=DIRECTION('',(0.,0.,1.)); #397542=DIRECTION('center_axis',(0.,1.,0.)); #397543=DIRECTION('ref_axis',(-1.,0.,0.)); #397544=DIRECTION('',(-1.,0.,0.)); #397545=DIRECTION('',(-1.,0.,0.)); #397546=DIRECTION('',(0.,0.,1.)); #397547=DIRECTION('center_axis',(0.183335538282816,0.983050395657593,0.)); #397548=DIRECTION('ref_axis',(-0.983050395657593,0.183335538282816,0.)); #397549=DIRECTION('',(-0.983050395657593,0.183335538282816,0.)); #397550=DIRECTION('',(-0.983050395657593,0.183335538282816,0.)); #397551=DIRECTION('',(0.,0.,1.)); #397552=DIRECTION('center_axis',(0.538914460439888,0.84236049547019,0.)); #397553=DIRECTION('ref_axis',(-0.84236049547019,0.538914460439888,0.)); #397554=DIRECTION('',(-0.84236049547019,0.538914460439888,0.)); #397555=DIRECTION('',(-0.84236049547019,0.538914460439888,0.)); #397556=DIRECTION('',(0.,0.,1.)); #397557=DIRECTION('center_axis',(0.824766287441821,0.565473758099733,0.)); #397558=DIRECTION('ref_axis',(-0.565473758099733,0.824766287441821,0.)); #397559=DIRECTION('',(-0.565473758099733,0.824766287441821,0.)); #397560=DIRECTION('',(-0.565473758099733,0.824766287441821,0.)); #397561=DIRECTION('',(0.,0.,1.)); #397562=DIRECTION('center_axis',(0.97999732879973,0.199010641789311,0.)); #397563=DIRECTION('ref_axis',(-0.199010641789311,0.97999732879973,0.)); #397564=DIRECTION('',(-0.199010641789311,0.97999732879973,0.)); #397565=DIRECTION('',(-0.199010641789311,0.97999732879973,0.)); #397566=DIRECTION('',(0.,0.,1.)); #397567=DIRECTION('center_axis',(1.,0.,0.)); #397568=DIRECTION('ref_axis',(0.,1.,0.)); #397569=DIRECTION('',(0.,1.,0.)); #397570=DIRECTION('',(0.,1.,0.)); #397571=DIRECTION('',(0.,0.,1.)); #397572=DIRECTION('center_axis',(0.990017436553505,0.140944937191896,0.)); #397573=DIRECTION('ref_axis',(-0.140944937191896,0.990017436553505,0.)); #397574=DIRECTION('',(-0.140944937191896,0.990017436553505,0.)); #397575=DIRECTION('',(-0.140944937191896,0.990017436553505,0.)); #397576=DIRECTION('',(0.,0.,1.)); #397577=DIRECTION('center_axis',(0.789918699774633,0.613211584810948,0.)); #397578=DIRECTION('ref_axis',(-0.613211584810948,0.789918699774632,0.)); #397579=DIRECTION('',(-0.613211584810948,0.789918699774633,0.)); #397580=DIRECTION('',(-0.613211584810948,0.789918699774633,0.)); #397581=DIRECTION('',(0.,0.,1.)); #397582=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #397583=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #397584=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #397585=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #397586=DIRECTION('',(0.,0.,1.)); #397587=DIRECTION('center_axis',(0.829264560351577,0.558856232804919,0.)); #397588=DIRECTION('ref_axis',(-0.558856232804919,0.829264560351577,0.)); #397589=DIRECTION('',(-0.558856232804919,0.829264560351577,0.)); #397590=DIRECTION('',(-0.558856232804919,0.829264560351577,0.)); #397591=DIRECTION('',(0.,0.,1.)); #397592=DIRECTION('center_axis',(0.979997328799706,0.19901064178943,0.)); #397593=DIRECTION('ref_axis',(-0.19901064178943,0.979997328799706,0.)); #397594=DIRECTION('',(-0.19901064178943,0.979997328799706,0.)); #397595=DIRECTION('',(-0.19901064178943,0.979997328799706,0.)); #397596=DIRECTION('',(0.,0.,1.)); #397597=DIRECTION('center_axis',(1.,0.,0.)); #397598=DIRECTION('ref_axis',(0.,1.,0.)); #397599=DIRECTION('',(0.,1.,0.)); #397600=DIRECTION('',(0.,1.,0.)); #397601=DIRECTION('',(0.,0.,1.)); #397602=DIRECTION('center_axis',(0.,1.,0.)); #397603=DIRECTION('ref_axis',(-1.,0.,0.)); #397604=DIRECTION('',(-1.,0.,0.)); #397605=DIRECTION('',(-1.,0.,0.)); #397606=DIRECTION('',(0.,0.,1.)); #397607=DIRECTION('center_axis',(0.,0.,1.)); #397608=DIRECTION('ref_axis',(0.886982451376522,0.461803130078279,0.)); #397609=DIRECTION('center_axis',(0.,0.,1.)); #397610=DIRECTION('ref_axis',(0.886982451376522,0.461803130078279,0.)); #397611=DIRECTION('center_axis',(0.,0.,1.)); #397612=DIRECTION('ref_axis',(0.886982451376522,0.461803130078279,0.)); #397613=DIRECTION('',(0.,0.,1.)); #397614=DIRECTION('center_axis',(0.,1.,0.)); #397615=DIRECTION('ref_axis',(-1.,0.,0.)); #397616=DIRECTION('',(-1.,0.,0.)); #397617=DIRECTION('',(-1.,0.,0.)); #397618=DIRECTION('',(0.,0.,1.)); #397619=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #397620=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #397621=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #397622=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #397623=DIRECTION('',(0.,0.,1.)); #397624=DIRECTION('center_axis',(-1.,0.,0.)); #397625=DIRECTION('ref_axis',(0.,-1.,0.)); #397626=DIRECTION('',(0.,-1.,0.)); #397627=DIRECTION('',(0.,-1.,0.)); #397628=DIRECTION('',(0.,0.,1.)); #397629=DIRECTION('center_axis',(0.,0.,1.)); #397630=DIRECTION('ref_axis',(-0.146756282078327,0.989172681421473,0.)); #397631=DIRECTION('center_axis',(0.,0.,1.)); #397632=DIRECTION('ref_axis',(-0.146756282078327,0.989172681421473,0.)); #397633=DIRECTION('center_axis',(0.,0.,1.)); #397634=DIRECTION('ref_axis',(-0.146756282078327,0.989172681421473,0.)); #397635=DIRECTION('',(0.,0.,1.)); #397636=DIRECTION('center_axis',(0.,0.,1.)); #397637=DIRECTION('ref_axis',(0.153803109294645,-0.98810151481075,0.)); #397638=DIRECTION('center_axis',(0.,0.,1.)); #397639=DIRECTION('ref_axis',(0.153803109294645,-0.98810151481075,0.)); #397640=DIRECTION('center_axis',(0.,0.,1.)); #397641=DIRECTION('ref_axis',(0.153803109294645,-0.98810151481075,0.)); #397642=DIRECTION('',(0.,0.,1.)); #397643=DIRECTION('center_axis',(1.,0.,0.)); #397644=DIRECTION('ref_axis',(0.,1.,0.)); #397645=DIRECTION('',(0.,1.,0.)); #397646=DIRECTION('',(0.,1.,0.)); #397647=DIRECTION('',(0.,0.,1.)); #397648=DIRECTION('center_axis',(0.,0.,1.)); #397649=DIRECTION('ref_axis',(0.707110948194238,-0.707102614154301,0.)); #397650=DIRECTION('center_axis',(0.,0.,1.)); #397651=DIRECTION('ref_axis',(0.707110948194238,-0.707102614154301,0.)); #397652=DIRECTION('center_axis',(0.,0.,1.)); #397653=DIRECTION('ref_axis',(0.707110948194238,-0.707102614154301,0.)); #397654=DIRECTION('',(0.,0.,1.)); #397655=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #397656=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #397657=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #397658=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #397659=DIRECTION('',(0.,0.,1.)); #397660=DIRECTION('center_axis',(0.,0.,1.)); #397661=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #397662=DIRECTION('center_axis',(0.,0.,1.)); #397663=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #397664=DIRECTION('center_axis',(0.,0.,1.)); #397665=DIRECTION('ref_axis',(-5.90313868192531E-6,-0.999999999982576,0.)); #397666=DIRECTION('',(0.,0.,1.)); #397667=DIRECTION('center_axis',(0.,-1.,0.)); #397668=DIRECTION('ref_axis',(1.,0.,0.)); #397669=DIRECTION('',(1.,0.,0.)); #397670=DIRECTION('',(1.,0.,0.)); #397671=DIRECTION('',(0.,0.,1.)); #397672=DIRECTION('center_axis',(0.,0.,1.)); #397673=DIRECTION('ref_axis',(-0.886982451376522,-0.461803130078279,0.)); #397674=DIRECTION('center_axis',(0.,0.,1.)); #397675=DIRECTION('ref_axis',(-0.886982451376522,-0.461803130078279,0.)); #397676=DIRECTION('center_axis',(0.,0.,1.)); #397677=DIRECTION('ref_axis',(-0.886982451376522,-0.461803130078279,0.)); #397678=DIRECTION('',(0.,0.,1.)); #397679=DIRECTION('center_axis',(0.,-1.,0.)); #397680=DIRECTION('ref_axis',(1.,0.,0.)); #397681=DIRECTION('',(1.,0.,0.)); #397682=DIRECTION('',(1.,0.,0.)); #397683=DIRECTION('',(0.,0.,1.)); #397684=DIRECTION('center_axis',(1.,0.,0.)); #397685=DIRECTION('ref_axis',(0.,1.,0.)); #397686=DIRECTION('',(0.,1.,0.)); #397687=DIRECTION('',(0.,1.,0.)); #397688=DIRECTION('',(0.,0.,1.)); #397689=DIRECTION('center_axis',(0.983054265471482,-0.183314787014918,0.)); #397690=DIRECTION('ref_axis',(0.183314787014918,0.983054265471482,0.)); #397691=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #397692=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #397693=DIRECTION('',(0.,0.,1.)); #397694=DIRECTION('center_axis',(0.842351514327689,-0.538928498327793,0.)); #397695=DIRECTION('ref_axis',(0.538928498327792,0.842351514327689,0.)); #397696=DIRECTION('',(0.538928498327792,0.842351514327689,0.)); #397697=DIRECTION('',(0.538928498327792,0.842351514327689,0.)); #397698=DIRECTION('',(0.,0.,1.)); #397699=DIRECTION('center_axis',(0.565473758099751,-0.824766287441809,0.)); #397700=DIRECTION('ref_axis',(0.824766287441808,0.565473758099751,0.)); #397701=DIRECTION('',(0.824766287441808,0.565473758099751,0.)); #397702=DIRECTION('',(0.824766287441808,0.565473758099751,0.)); #397703=DIRECTION('',(0.,0.,1.)); #397704=DIRECTION('center_axis',(0.199009197755185,-0.97999762204244,0.)); #397705=DIRECTION('ref_axis',(0.97999762204244,0.199009197755185,0.)); #397706=DIRECTION('',(0.97999762204244,0.199009197755185,0.)); #397707=DIRECTION('',(0.97999762204244,0.199009197755185,0.)); #397708=DIRECTION('',(0.,0.,1.)); #397709=DIRECTION('center_axis',(0.707106443031793,-0.70710711934114,0.)); #397710=DIRECTION('ref_axis',(0.70710711934114,0.707106443031793,0.)); #397711=DIRECTION('',(0.70710711934114,0.707106443031793,0.)); #397712=DIRECTION('',(0.70710711934114,0.707106443031793,0.)); #397713=DIRECTION('',(0.,0.,1.)); #397714=DIRECTION('center_axis',(0.558865776602108,-0.829258128535936,0.)); #397715=DIRECTION('ref_axis',(0.829258128535936,0.558865776602108,0.)); #397716=DIRECTION('',(0.829258128535936,0.558865776602108,0.)); #397717=DIRECTION('',(0.829258128535936,0.558865776602108,0.)); #397718=DIRECTION('center_axis',(0.,0.,1.)); #397719=DIRECTION('ref_axis',(1.,0.,0.)); #397720=DIRECTION('center_axis',(0.,0.,1.)); #397721=DIRECTION('ref_axis',(1.,0.,0.)); #397722=DIRECTION('center_axis',(0.,0.,1.)); #397723=DIRECTION('ref_axis',(1.,0.,0.)); #397724=DIRECTION('center_axis',(0.,0.,1.)); #397725=DIRECTION('ref_axis',(1.,0.,0.)); #397726=DIRECTION('',(0.,0.,1.)); #397727=DIRECTION('center_axis',(0.,0.,-1.)); #397728=DIRECTION('ref_axis',(1.,0.,0.)); #397729=DIRECTION('center_axis',(0.110520982498919,-0.993873790995353,0.)); #397730=DIRECTION('ref_axis',(0.993873790995353,0.110520982498919,0.)); #397731=DIRECTION('',(0.993873790995353,0.110520982498919,0.)); #397732=DIRECTION('',(0.,0.,1.)); #397733=DIRECTION('',(0.993873790995353,0.110520982498919,0.)); #397734=DIRECTION('',(0.,0.,1.)); #397735=DIRECTION('center_axis',(0.,-1.,0.)); #397736=DIRECTION('ref_axis',(1.,0.,0.)); #397737=DIRECTION('',(1.,0.,0.)); #397738=DIRECTION('',(1.,0.,0.)); #397739=DIRECTION('',(0.,0.,1.)); #397740=DIRECTION('center_axis',(0.,0.,1.)); #397741=DIRECTION('ref_axis',(-0.715037560482678,-0.699086036978983,0.)); #397742=DIRECTION('center_axis',(0.,0.,1.)); #397743=DIRECTION('ref_axis',(-0.715037560482678,-0.699086036978983,0.)); #397744=DIRECTION('center_axis',(0.,0.,1.)); #397745=DIRECTION('ref_axis',(-0.715037560482678,-0.699086036978983,0.)); #397746=DIRECTION('',(0.,0.,1.)); #397747=DIRECTION('center_axis',(-0.715039296629332,-0.699084261213074, 0.)); #397748=DIRECTION('ref_axis',(0.699084261213074,-0.715039296629332,0.)); #397749=DIRECTION('',(0.699084261213074,-0.715039296629332,0.)); #397750=DIRECTION('',(0.699084261213074,-0.715039296629332,0.)); #397751=DIRECTION('',(0.,0.,1.)); #397752=DIRECTION('center_axis',(0.,-1.,0.)); #397753=DIRECTION('ref_axis',(1.,0.,0.)); #397754=DIRECTION('',(1.,0.,0.)); #397755=DIRECTION('',(1.,0.,0.)); #397756=DIRECTION('',(0.,0.,1.)); #397757=DIRECTION('center_axis',(-1.,0.,0.)); #397758=DIRECTION('ref_axis',(0.,-1.,0.)); #397759=DIRECTION('',(0.,-1.,0.)); #397760=DIRECTION('',(0.,-1.,0.)); #397761=DIRECTION('',(0.,0.,1.)); #397762=DIRECTION('center_axis',(0.,1.,0.)); #397763=DIRECTION('ref_axis',(-1.,0.,0.)); #397764=DIRECTION('',(-1.,0.,0.)); #397765=DIRECTION('',(-1.,0.,0.)); #397766=DIRECTION('',(0.,0.,1.)); #397767=DIRECTION('center_axis',(1.,0.,0.)); #397768=DIRECTION('ref_axis',(0.,1.,0.)); #397769=DIRECTION('',(0.,1.,0.)); #397770=DIRECTION('',(0.,1.,0.)); #397771=DIRECTION('',(0.,0.,1.)); #397772=DIRECTION('center_axis',(0.7150384910573,0.699085085169538,0.)); #397773=DIRECTION('ref_axis',(-0.699085085169538,0.7150384910573,0.)); #397774=DIRECTION('',(-0.699085085169538,0.7150384910573,0.)); #397775=DIRECTION('',(-0.699085085169538,0.7150384910573,0.)); #397776=DIRECTION('',(0.,0.,1.)); #397777=DIRECTION('center_axis',(0.,1.,0.)); #397778=DIRECTION('ref_axis',(-1.,0.,0.)); #397779=DIRECTION('',(-1.,0.,0.)); #397780=DIRECTION('',(-1.,0.,0.)); #397781=DIRECTION('',(0.,0.,1.)); #397782=DIRECTION('center_axis',(-0.7150384910573,0.699085085169538,0.)); #397783=DIRECTION('ref_axis',(-0.699085085169538,-0.7150384910573,0.)); #397784=DIRECTION('',(-0.699085085169538,-0.7150384910573,0.)); #397785=DIRECTION('',(-0.699085085169538,-0.7150384910573,0.)); #397786=DIRECTION('',(0.,0.,1.)); #397787=DIRECTION('center_axis',(-1.,0.,0.)); #397788=DIRECTION('ref_axis',(0.,-1.,0.)); #397789=DIRECTION('',(0.,-1.,0.)); #397790=DIRECTION('',(0.,-1.,0.)); #397791=DIRECTION('',(0.,0.,1.)); #397792=DIRECTION('center_axis',(0.,1.,0.)); #397793=DIRECTION('ref_axis',(-1.,0.,0.)); #397794=DIRECTION('',(-1.,0.,0.)); #397795=DIRECTION('',(-1.,0.,0.)); #397796=DIRECTION('',(0.,0.,1.)); #397797=DIRECTION('center_axis',(-1.,0.,0.)); #397798=DIRECTION('ref_axis',(0.,-1.,0.)); #397799=DIRECTION('',(0.,-1.,0.)); #397800=DIRECTION('',(0.,-1.,0.)); #397801=DIRECTION('',(0.,0.,1.)); #397802=DIRECTION('center_axis',(0.,-1.,0.)); #397803=DIRECTION('ref_axis',(1.,0.,0.)); #397804=DIRECTION('',(1.,0.,0.)); #397805=DIRECTION('',(1.,0.,0.)); #397806=DIRECTION('',(0.,0.,1.)); #397807=DIRECTION('center_axis',(-1.,0.,0.)); #397808=DIRECTION('ref_axis',(0.,-1.,0.)); #397809=DIRECTION('',(0.,-1.,0.)); #397810=DIRECTION('',(0.,-1.,0.)); #397811=DIRECTION('',(0.,0.,1.)); #397812=DIRECTION('center_axis',(0.,1.,0.)); #397813=DIRECTION('ref_axis',(-1.,0.,0.)); #397814=DIRECTION('',(-1.,0.,0.)); #397815=DIRECTION('',(-1.,0.,0.)); #397816=DIRECTION('',(0.,0.,1.)); #397817=DIRECTION('center_axis',(1.,0.,0.)); #397818=DIRECTION('ref_axis',(0.,1.,0.)); #397819=DIRECTION('',(0.,1.,0.)); #397820=DIRECTION('',(0.,1.,0.)); #397821=DIRECTION('',(0.,0.,1.)); #397822=DIRECTION('center_axis',(0.,-1.,0.)); #397823=DIRECTION('ref_axis',(1.,0.,0.)); #397824=DIRECTION('',(1.,0.,0.)); #397825=DIRECTION('',(1.,0.,0.)); #397826=DIRECTION('',(0.,0.,1.)); #397827=DIRECTION('center_axis',(1.,0.,0.)); #397828=DIRECTION('ref_axis',(0.,1.,0.)); #397829=DIRECTION('',(0.,1.,0.)); #397830=DIRECTION('',(0.,1.,0.)); #397831=DIRECTION('',(0.,0.,1.)); #397832=DIRECTION('center_axis',(0.,1.,0.)); #397833=DIRECTION('ref_axis',(-1.,0.,0.)); #397834=DIRECTION('',(-1.,0.,0.)); #397835=DIRECTION('',(-1.,0.,0.)); #397836=DIRECTION('',(0.,0.,1.)); #397837=DIRECTION('center_axis',(1.,0.,0.)); #397838=DIRECTION('ref_axis',(0.,1.,0.)); #397839=DIRECTION('',(0.,1.,0.)); #397840=DIRECTION('',(0.,1.,0.)); #397841=DIRECTION('',(0.,0.,1.)); #397842=DIRECTION('center_axis',(0.,1.,0.)); #397843=DIRECTION('ref_axis',(-1.,0.,0.)); #397844=DIRECTION('',(-1.,0.,0.)); #397845=DIRECTION('',(-1.,0.,0.)); #397846=DIRECTION('',(0.,0.,1.)); #397847=DIRECTION('center_axis',(0.,0.,1.)); #397848=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #397849=DIRECTION('center_axis',(0.,0.,1.)); #397850=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #397851=DIRECTION('center_axis',(0.,0.,1.)); #397852=DIRECTION('ref_axis',(0.707102614154266,0.707110948194273,0.)); #397853=DIRECTION('',(0.,0.,1.)); #397854=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #397855=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #397856=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #397857=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #397858=DIRECTION('',(0.,0.,1.)); #397859=DIRECTION('center_axis',(0.,1.,0.)); #397860=DIRECTION('ref_axis',(-1.,0.,0.)); #397861=DIRECTION('',(-1.,0.,0.)); #397862=DIRECTION('',(-1.,0.,0.)); #397863=DIRECTION('',(0.,0.,1.)); #397864=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #397865=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #397866=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #397867=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #397868=DIRECTION('',(0.,0.,1.)); #397869=DIRECTION('center_axis',(0.,0.,1.)); #397870=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #397871=DIRECTION('center_axis',(0.,0.,1.)); #397872=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #397873=DIRECTION('center_axis',(0.,0.,1.)); #397874=DIRECTION('ref_axis',(5.90313864695774E-6,0.999999999982576,0.)); #397875=DIRECTION('',(0.,0.,1.)); #397876=DIRECTION('center_axis',(0.,1.,0.)); #397877=DIRECTION('ref_axis',(-1.,0.,0.)); #397878=DIRECTION('',(-1.,0.,0.)); #397879=DIRECTION('',(-1.,0.,0.)); #397880=DIRECTION('',(0.,0.,1.)); #397881=DIRECTION('center_axis',(-0.707106781186552,0.707106781186543,0.)); #397882=DIRECTION('ref_axis',(-0.707106781186543,-0.707106781186552,0.)); #397883=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #397884=DIRECTION('',(-0.707106781186543,-0.707106781186552,0.)); #397885=DIRECTION('',(0.,0.,1.)); #397886=DIRECTION('center_axis',(0.,0.,1.)); #397887=DIRECTION('ref_axis',(5.90313871689267E-6,0.999999999982576,0.)); #397888=DIRECTION('center_axis',(0.,0.,1.)); #397889=DIRECTION('ref_axis',(5.90313871689267E-6,0.999999999982576,0.)); #397890=DIRECTION('center_axis',(0.,0.,1.)); #397891=DIRECTION('ref_axis',(5.90313871689267E-6,0.999999999982576,0.)); #397892=DIRECTION('',(0.,0.,1.)); #397893=DIRECTION('center_axis',(0.,1.,0.)); #397894=DIRECTION('ref_axis',(-1.,0.,0.)); #397895=DIRECTION('',(-1.,0.,0.)); #397896=DIRECTION('',(-1.,0.,0.)); #397897=DIRECTION('',(0.,0.,1.)); #397898=DIRECTION('center_axis',(0.,0.,1.)); #397899=DIRECTION('ref_axis',(0.923607696029123,0.383339045540335,0.)); #397900=DIRECTION('center_axis',(0.,0.,1.)); #397901=DIRECTION('ref_axis',(0.923607696029123,0.383339045540335,0.)); #397902=DIRECTION('center_axis',(0.,0.,1.)); #397903=DIRECTION('ref_axis',(0.923607696029123,0.383339045540335,0.)); #397904=DIRECTION('',(0.,0.,1.)); #397905=DIRECTION('center_axis',(0.,0.,1.)); #397906=DIRECTION('ref_axis',(-0.88701281109274,-0.461744813676727,0.)); #397907=DIRECTION('center_axis',(0.,0.,1.)); #397908=DIRECTION('ref_axis',(-0.88701281109274,-0.461744813676727,0.)); #397909=DIRECTION('center_axis',(0.,0.,1.)); #397910=DIRECTION('ref_axis',(-0.88701281109274,-0.461744813676727,0.)); #397911=DIRECTION('',(0.,0.,1.)); #397912=DIRECTION('center_axis',(0.,-1.,0.)); #397913=DIRECTION('ref_axis',(1.,0.,0.)); #397914=DIRECTION('',(1.,0.,0.)); #397915=DIRECTION('',(1.,0.,0.)); #397916=DIRECTION('',(0.,0.,1.)); #397917=DIRECTION('center_axis',(0.70710678118655,-0.707106781186545,0.)); #397918=DIRECTION('ref_axis',(0.707106781186545,0.70710678118655,0.)); #397919=DIRECTION('',(0.707106781186545,0.70710678118655,0.)); #397920=DIRECTION('',(0.707106781186545,0.70710678118655,0.)); #397921=DIRECTION('',(0.,0.,1.)); #397922=DIRECTION('center_axis',(0.,0.,1.)); #397923=DIRECTION('ref_axis',(-5.90313864695774E-6,-0.999999999982576,0.)); #397924=DIRECTION('center_axis',(0.,0.,1.)); #397925=DIRECTION('ref_axis',(-5.90313864695774E-6,-0.999999999982576,0.)); #397926=DIRECTION('center_axis',(0.,0.,1.)); #397927=DIRECTION('ref_axis',(-5.90313864695774E-6,-0.999999999982576,0.)); #397928=DIRECTION('',(0.,0.,1.)); #397929=DIRECTION('center_axis',(0.,-1.,0.)); #397930=DIRECTION('ref_axis',(1.,0.,0.)); #397931=DIRECTION('',(1.,0.,0.)); #397932=DIRECTION('',(1.,0.,0.)); #397933=DIRECTION('',(0.,0.,1.)); #397934=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #397935=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #397936=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #397937=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #397938=DIRECTION('',(0.,0.,1.)); #397939=DIRECTION('center_axis',(0.,0.,1.)); #397940=DIRECTION('ref_axis',(-5.90313864695774E-6,-0.999999999982576,0.)); #397941=DIRECTION('center_axis',(0.,0.,1.)); #397942=DIRECTION('ref_axis',(-5.90313864695774E-6,-0.999999999982576,0.)); #397943=DIRECTION('center_axis',(0.,0.,1.)); #397944=DIRECTION('ref_axis',(-5.90313864695774E-6,-0.999999999982576,0.)); #397945=DIRECTION('',(0.,0.,1.)); #397946=DIRECTION('center_axis',(0.,-1.,0.)); #397947=DIRECTION('ref_axis',(1.,0.,0.)); #397948=DIRECTION('',(1.,0.,0.)); #397949=DIRECTION('',(1.,0.,0.)); #397950=DIRECTION('',(0.,0.,1.)); #397951=DIRECTION('center_axis',(0.,0.,1.)); #397952=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #397953=DIRECTION('center_axis',(0.,0.,1.)); #397954=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #397955=DIRECTION('center_axis',(0.,0.,1.)); #397956=DIRECTION('ref_axis',(-0.707102614154266,-0.707110948194273,0.)); #397957=DIRECTION('',(0.,0.,1.)); #397958=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #397959=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #397960=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #397961=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #397962=DIRECTION('',(0.,0.,1.)); #397963=DIRECTION('center_axis',(0.,-1.,0.)); #397964=DIRECTION('ref_axis',(1.,0.,0.)); #397965=DIRECTION('',(1.,0.,0.)); #397966=DIRECTION('',(1.,0.,0.)); #397967=DIRECTION('',(0.,0.,1.)); #397968=DIRECTION('center_axis',(1.,0.,0.)); #397969=DIRECTION('ref_axis',(0.,1.,0.)); #397970=DIRECTION('',(0.,1.,0.)); #397971=DIRECTION('',(0.,1.,0.)); #397972=DIRECTION('',(0.,0.,1.)); #397973=DIRECTION('center_axis',(0.,-1.,0.)); #397974=DIRECTION('ref_axis',(1.,0.,0.)); #397975=DIRECTION('',(1.,0.,0.)); #397976=DIRECTION('',(1.,0.,0.)); #397977=DIRECTION('',(0.,0.,1.)); #397978=DIRECTION('center_axis',(0.715039296629332,-0.699084261213074,0.)); #397979=DIRECTION('ref_axis',(0.699084261213074,0.715039296629332,0.)); #397980=DIRECTION('',(0.699084261213074,0.715039296629332,0.)); #397981=DIRECTION('',(0.699084261213074,0.715039296629332,0.)); #397982=DIRECTION('',(0.,0.,1.)); #397983=DIRECTION('center_axis',(0.,0.,1.)); #397984=DIRECTION('ref_axis',(0.0428858100106967,-0.99907998043186,0.)); #397985=DIRECTION('center_axis',(0.,0.,1.)); #397986=DIRECTION('ref_axis',(0.0428858100106967,-0.99907998043186,0.)); #397987=DIRECTION('center_axis',(0.,0.,1.)); #397988=DIRECTION('ref_axis',(0.0428858100106967,-0.99907998043186,0.)); #397989=DIRECTION('center_axis',(0.,0.,1.)); #397990=DIRECTION('ref_axis',(1.,0.,0.)); #397991=DIRECTION('center_axis',(0.,0.,1.)); #397992=DIRECTION('ref_axis',(1.,0.,0.)); #397993=DIRECTION('center_axis',(0.,0.,1.)); #397994=DIRECTION('ref_axis',(1.,0.,0.)); #397995=DIRECTION('center_axis',(0.,0.,1.)); #397996=DIRECTION('ref_axis',(1.,0.,0.)); #397997=DIRECTION('',(0.,0.,1.)); #397998=DIRECTION('center_axis',(0.,0.,-1.)); #397999=DIRECTION('ref_axis',(1.,0.,0.)); #398000=DIRECTION('center_axis',(0.,0.,1.)); #398001=DIRECTION('ref_axis',(1.,0.,0.)); #398002=DIRECTION('center_axis',(0.,0.,1.)); #398003=DIRECTION('ref_axis',(1.,0.,0.)); #398004=DIRECTION('',(0.,0.,1.)); #398005=DIRECTION('center_axis',(0.,0.,-1.)); #398006=DIRECTION('ref_axis',(1.,0.,0.)); #398007=DIRECTION('center_axis',(0.,0.,1.)); #398008=DIRECTION('ref_axis',(1.,0.,0.)); #398009=DIRECTION('center_axis',(0.,0.,1.)); #398010=DIRECTION('ref_axis',(1.,0.,0.)); #398011=DIRECTION('',(0.,0.,1.)); #398012=DIRECTION('center_axis',(0.,0.,-1.)); #398013=DIRECTION('ref_axis',(1.,0.,0.)); #398014=DIRECTION('center_axis',(0.,0.,1.)); #398015=DIRECTION('ref_axis',(1.,0.,0.)); #398016=DIRECTION('center_axis',(0.,0.,1.)); #398017=DIRECTION('ref_axis',(1.,0.,0.)); #398018=DIRECTION('',(0.,0.,1.)); #398019=DIRECTION('center_axis',(0.,0.,-1.)); #398020=DIRECTION('ref_axis',(1.,0.,0.)); #398021=DIRECTION('center_axis',(0.,0.,1.)); #398022=DIRECTION('ref_axis',(1.,0.,0.)); #398023=DIRECTION('center_axis',(0.,0.,1.)); #398024=DIRECTION('ref_axis',(1.,0.,0.)); #398025=DIRECTION('',(0.,0.,1.)); #398026=DIRECTION('center_axis',(0.,0.,-1.)); #398027=DIRECTION('ref_axis',(1.,0.,0.)); #398028=DIRECTION('center_axis',(0.,0.,1.)); #398029=DIRECTION('ref_axis',(1.,0.,0.)); #398030=DIRECTION('center_axis',(0.,0.,1.)); #398031=DIRECTION('ref_axis',(1.,0.,0.)); #398032=DIRECTION('',(0.,0.,1.)); #398033=DIRECTION('center_axis',(0.,0.,-1.)); #398034=DIRECTION('ref_axis',(1.,0.,0.)); #398035=DIRECTION('center_axis',(0.,0.,1.)); #398036=DIRECTION('ref_axis',(1.,0.,0.)); #398037=DIRECTION('center_axis',(0.,0.,1.)); #398038=DIRECTION('ref_axis',(1.,0.,0.)); #398039=DIRECTION('',(0.,0.,1.)); #398040=DIRECTION('center_axis',(0.,0.,-1.)); #398041=DIRECTION('ref_axis',(1.,0.,0.)); #398042=DIRECTION('center_axis',(0.,0.,1.)); #398043=DIRECTION('ref_axis',(1.,0.,0.)); #398044=DIRECTION('center_axis',(0.,0.,1.)); #398045=DIRECTION('ref_axis',(1.,0.,0.)); #398046=DIRECTION('',(0.,0.,1.)); #398047=DIRECTION('center_axis',(0.,0.,-1.)); #398048=DIRECTION('ref_axis',(1.,0.,0.)); #398049=DIRECTION('center_axis',(0.,0.,1.)); #398050=DIRECTION('ref_axis',(1.,0.,0.)); #398051=DIRECTION('center_axis',(0.,0.,1.)); #398052=DIRECTION('ref_axis',(1.,0.,0.)); #398053=DIRECTION('',(0.,0.,1.)); #398054=DIRECTION('center_axis',(0.,0.,-1.)); #398055=DIRECTION('ref_axis',(1.,0.,0.)); #398056=DIRECTION('center_axis',(0.,0.,1.)); #398057=DIRECTION('ref_axis',(1.,0.,0.)); #398058=DIRECTION('center_axis',(0.,0.,1.)); #398059=DIRECTION('ref_axis',(1.,0.,0.)); #398060=DIRECTION('',(0.,0.,1.)); #398061=DIRECTION('center_axis',(0.,0.,-1.)); #398062=DIRECTION('ref_axis',(1.,0.,0.)); #398063=DIRECTION('center_axis',(0.,0.,1.)); #398064=DIRECTION('ref_axis',(1.,0.,0.)); #398065=DIRECTION('center_axis',(0.,0.,1.)); #398066=DIRECTION('ref_axis',(1.,0.,0.)); #398067=DIRECTION('',(0.,0.,1.)); #398068=DIRECTION('center_axis',(0.,0.,-1.)); #398069=DIRECTION('ref_axis',(1.,0.,0.)); #398070=DIRECTION('center_axis',(0.,0.,1.)); #398071=DIRECTION('ref_axis',(1.,0.,0.)); #398072=DIRECTION('center_axis',(0.,0.,1.)); #398073=DIRECTION('ref_axis',(1.,0.,0.)); #398074=DIRECTION('',(0.,0.,1.)); #398075=DIRECTION('center_axis',(0.,0.,-1.)); #398076=DIRECTION('ref_axis',(1.,0.,0.)); #398077=DIRECTION('center_axis',(0.199010641789353,-0.979997328799722,0.)); #398078=DIRECTION('ref_axis',(0.979997328799722,0.199010641789353,0.)); #398079=DIRECTION('',(0.979997328799722,0.199010641789353,0.)); #398080=DIRECTION('',(0.,0.,1.)); #398081=DIRECTION('',(0.979997328799722,0.199010641789353,0.)); #398082=DIRECTION('',(0.,0.,1.)); #398083=DIRECTION('center_axis',(0.,-1.,0.)); #398084=DIRECTION('ref_axis',(1.,0.,0.)); #398085=DIRECTION('',(1.,0.,0.)); #398086=DIRECTION('',(1.,0.,0.)); #398087=DIRECTION('',(0.,0.,1.)); #398088=DIRECTION('center_axis',(-0.191194865688692,-0.981552099144148, 0.)); #398089=DIRECTION('ref_axis',(0.981552099144148,-0.191194865688692,0.)); #398090=DIRECTION('',(0.981552099144148,-0.191194865688692,0.)); #398091=DIRECTION('',(0.981552099144148,-0.191194865688692,0.)); #398092=DIRECTION('',(0.,0.,1.)); #398093=DIRECTION('center_axis',(-0.55221870108126,-0.833699290017765,0.)); #398094=DIRECTION('ref_axis',(0.833699290017765,-0.55221870108126,0.)); #398095=DIRECTION('',(0.833699290017765,-0.55221870108126,0.)); #398096=DIRECTION('',(0.833699290017765,-0.55221870108126,0.)); #398097=DIRECTION('',(0.,0.,1.)); #398098=DIRECTION('center_axis',(-0.707106781186552,-0.707106781186543, 0.)); #398099=DIRECTION('ref_axis',(0.707106781186543,-0.707106781186552,0.)); #398100=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #398101=DIRECTION('',(0.707106781186543,-0.707106781186552,0.)); #398102=DIRECTION('',(0.,0.,1.)); #398103=DIRECTION('center_axis',(-0.829264560351577,-0.558856232804919, 0.)); #398104=DIRECTION('ref_axis',(0.558856232804919,-0.829264560351577,0.)); #398105=DIRECTION('',(0.558856232804919,-0.829264560351577,0.)); #398106=DIRECTION('',(0.558856232804919,-0.829264560351577,0.)); #398107=DIRECTION('',(0.,0.,1.)); #398108=DIRECTION('center_axis',(-0.979997328799711,-0.199010641789404, 0.)); #398109=DIRECTION('ref_axis',(0.199010641789404,-0.979997328799711,0.)); #398110=DIRECTION('',(0.199010641789404,-0.979997328799711,0.)); #398111=DIRECTION('',(0.199010641789404,-0.979997328799711,0.)); #398112=DIRECTION('',(0.,0.,1.)); #398113=DIRECTION('center_axis',(-1.,0.,0.)); #398114=DIRECTION('ref_axis',(0.,-1.,0.)); #398115=DIRECTION('',(0.,-1.,0.)); #398116=DIRECTION('',(0.,-1.,0.)); #398117=DIRECTION('',(0.,0.,1.)); #398118=DIRECTION('center_axis',(-0.981552099144153,0.191194865688666,0.)); #398119=DIRECTION('ref_axis',(-0.191194865688666,-0.981552099144153,0.)); #398120=DIRECTION('',(-0.191194865688666,-0.981552099144153,0.)); #398121=DIRECTION('',(-0.191194865688666,-0.981552099144153,0.)); #398122=DIRECTION('',(0.,0.,1.)); #398123=DIRECTION('center_axis',(-0.833699290017745,0.552218701081291,0.)); #398124=DIRECTION('ref_axis',(-0.552218701081291,-0.833699290017745,0.)); #398125=DIRECTION('',(-0.552218701081291,-0.833699290017745,0.)); #398126=DIRECTION('',(-0.552218701081291,-0.833699290017745,0.)); #398127=DIRECTION('',(0.,0.,1.)); #398128=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #398129=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #398130=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #398131=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #398132=DIRECTION('',(0.,0.,1.)); #398133=DIRECTION('center_axis',(-0.558856232804919,0.829264560351577,0.)); #398134=DIRECTION('ref_axis',(-0.829264560351577,-0.558856232804919,0.)); #398135=DIRECTION('',(-0.829264560351577,-0.558856232804919,0.)); #398136=DIRECTION('',(-0.829264560351577,-0.558856232804919,0.)); #398137=DIRECTION('',(0.,0.,1.)); #398138=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #398139=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #398140=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #398141=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #398142=DIRECTION('',(0.,0.,1.)); #398143=DIRECTION('center_axis',(0.,1.,0.)); #398144=DIRECTION('ref_axis',(-1.,0.,0.)); #398145=DIRECTION('',(-1.,0.,0.)); #398146=DIRECTION('',(-1.,0.,0.)); #398147=DIRECTION('',(0.,0.,1.)); #398148=DIRECTION('center_axis',(0.191194865688736,0.981552099144139,0.)); #398149=DIRECTION('ref_axis',(-0.981552099144139,0.191194865688736,0.)); #398150=DIRECTION('',(-0.981552099144139,0.191194865688736,0.)); #398151=DIRECTION('',(-0.981552099144139,0.191194865688736,0.)); #398152=DIRECTION('',(0.,0.,1.)); #398153=DIRECTION('center_axis',(0.55221870108123,0.833699290017785,0.)); #398154=DIRECTION('ref_axis',(-0.833699290017785,0.55221870108123,0.)); #398155=DIRECTION('',(-0.833699290017785,0.55221870108123,0.)); #398156=DIRECTION('',(-0.833699290017785,0.55221870108123,0.)); #398157=DIRECTION('',(0.,0.,1.)); #398158=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #398159=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #398160=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #398161=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #398162=DIRECTION('',(0.,0.,1.)); #398163=DIRECTION('center_axis',(0.829264560351605,0.558856232804877,0.)); #398164=DIRECTION('ref_axis',(-0.558856232804877,0.829264560351605,0.)); #398165=DIRECTION('',(-0.558856232804877,0.829264560351605,0.)); #398166=DIRECTION('',(-0.558856232804877,0.829264560351605,0.)); #398167=DIRECTION('',(0.,0.,1.)); #398168=DIRECTION('center_axis',(0.979997328799706,0.19901064178943,0.)); #398169=DIRECTION('ref_axis',(-0.19901064178943,0.979997328799706,0.)); #398170=DIRECTION('',(-0.19901064178943,0.979997328799706,0.)); #398171=DIRECTION('',(-0.19901064178943,0.979997328799706,0.)); #398172=DIRECTION('',(0.,0.,1.)); #398173=DIRECTION('center_axis',(1.,0.,0.)); #398174=DIRECTION('ref_axis',(0.,1.,0.)); #398175=DIRECTION('',(0.,1.,0.)); #398176=DIRECTION('',(0.,1.,0.)); #398177=DIRECTION('',(0.,0.,1.)); #398178=DIRECTION('center_axis',(0.990017436553573,0.140944937191418,0.)); #398179=DIRECTION('ref_axis',(-0.140944937191418,0.990017436553573,0.)); #398180=DIRECTION('',(-0.140944937191418,0.990017436553573,0.)); #398181=DIRECTION('',(-0.140944937191418,0.990017436553573,0.)); #398182=DIRECTION('',(0.,0.,1.)); #398183=DIRECTION('center_axis',(0.789918699774633,0.613211584810948,0.)); #398184=DIRECTION('ref_axis',(-0.613211584810948,0.789918699774632,0.)); #398185=DIRECTION('',(-0.613211584810948,0.789918699774633,0.)); #398186=DIRECTION('',(-0.613211584810948,0.789918699774633,0.)); #398187=DIRECTION('',(0.,0.,1.)); #398188=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #398189=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #398190=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #398191=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #398192=DIRECTION('',(0.,0.,1.)); #398193=DIRECTION('center_axis',(0.600315735781271,0.799763100782595,0.)); #398194=DIRECTION('ref_axis',(-0.799763100782595,0.600315735781271,0.)); #398195=DIRECTION('',(-0.799763100782595,0.600315735781271,0.)); #398196=DIRECTION('',(-0.799763100782595,0.600315735781271,0.)); #398197=DIRECTION('',(0.,0.,1.)); #398198=DIRECTION('center_axis',(0.125280629073241,0.992121345390277,0.)); #398199=DIRECTION('ref_axis',(-0.992121345390277,0.125280629073241,0.)); #398200=DIRECTION('',(-0.992121345390277,0.125280629073241,0.)); #398201=DIRECTION('',(-0.992121345390277,0.125280629073241,0.)); #398202=DIRECTION('',(0.,0.,1.)); #398203=DIRECTION('center_axis',(0.,1.,0.)); #398204=DIRECTION('ref_axis',(-1.,0.,0.)); #398205=DIRECTION('',(-1.,0.,0.)); #398206=DIRECTION('',(-1.,0.,0.)); #398207=DIRECTION('',(0.,0.,1.)); #398208=DIRECTION('center_axis',(0.183314787014901,0.983054265471485,0.)); #398209=DIRECTION('ref_axis',(-0.983054265471485,0.183314787014901,0.)); #398210=DIRECTION('',(-0.983054265471485,0.183314787014901,0.)); #398211=DIRECTION('',(-0.983054265471485,0.183314787014901,0.)); #398212=DIRECTION('',(0.,0.,1.)); #398213=DIRECTION('center_axis',(0.538928498327832,0.842351514327663,0.)); #398214=DIRECTION('ref_axis',(-0.842351514327663,0.538928498327832,0.)); #398215=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #398216=DIRECTION('',(-0.842351514327663,0.538928498327832,0.)); #398217=DIRECTION('',(0.,0.,1.)); #398218=DIRECTION('center_axis',(0.82476628744178,0.565473758099792,0.)); #398219=DIRECTION('ref_axis',(-0.565473758099792,0.82476628744178,0.)); #398220=DIRECTION('',(-0.565473758099792,0.82476628744178,0.)); #398221=DIRECTION('',(-0.565473758099792,0.82476628744178,0.)); #398222=DIRECTION('',(0.,0.,1.)); #398223=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #398224=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #398225=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #398226=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #398227=DIRECTION('',(0.,0.,1.)); #398228=DIRECTION('center_axis',(1.,0.,0.)); #398229=DIRECTION('ref_axis',(0.,1.,0.)); #398230=DIRECTION('',(0.,1.,0.)); #398231=DIRECTION('',(0.,1.,0.)); #398232=DIRECTION('',(0.,0.,1.)); #398233=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #398234=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #398235=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #398236=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #398237=DIRECTION('',(0.,0.,1.)); #398238=DIRECTION('center_axis',(0.,1.,0.)); #398239=DIRECTION('ref_axis',(-1.,0.,0.)); #398240=DIRECTION('',(-1.,0.,0.)); #398241=DIRECTION('',(-1.,0.,0.)); #398242=DIRECTION('',(0.,0.,1.)); #398243=DIRECTION('center_axis',(-0.707106781186533,0.707106781186562,0.)); #398244=DIRECTION('ref_axis',(-0.707106781186562,-0.707106781186533,0.)); #398245=DIRECTION('',(-0.707106781186562,-0.707106781186533,0.)); #398246=DIRECTION('',(-0.707106781186562,-0.707106781186533,0.)); #398247=DIRECTION('',(0.,0.,1.)); #398248=DIRECTION('center_axis',(-0.565475774224523,0.824764905148841,0.)); #398249=DIRECTION('ref_axis',(-0.82476490514884,-0.565475774224523,0.)); #398250=DIRECTION('',(-0.824764905148841,-0.565475774224523,0.)); #398251=DIRECTION('',(-0.824764905148841,-0.565475774224523,0.)); #398252=DIRECTION('',(0.,0.,1.)); #398253=DIRECTION('center_axis',(-0.214594192212086,0.976703298176495,0.)); #398254=DIRECTION('ref_axis',(-0.976703298176494,-0.214594192212086,0.)); #398255=DIRECTION('',(-0.976703298176494,-0.214594192212086,0.)); #398256=DIRECTION('',(-0.976703298176494,-0.214594192212086,0.)); #398257=DIRECTION('',(0.,0.,1.)); #398258=DIRECTION('center_axis',(0.183380618657178,0.983041987252279,0.)); #398259=DIRECTION('ref_axis',(-0.983041987252279,0.183380618657178,0.)); #398260=DIRECTION('',(-0.983041987252279,0.183380618657178,0.)); #398261=DIRECTION('',(-0.983041987252279,0.183380618657178,0.)); #398262=DIRECTION('',(0.,0.,1.)); #398263=DIRECTION('center_axis',(0.552214058332503,0.833702365223913,0.)); #398264=DIRECTION('ref_axis',(-0.833702365223913,0.552214058332503,0.)); #398265=DIRECTION('',(-0.833702365223913,0.552214058332503,0.)); #398266=DIRECTION('',(-0.833702365223913,0.552214058332503,0.)); #398267=DIRECTION('',(0.,0.,1.)); #398268=DIRECTION('center_axis',(0.707106781186533,0.707106781186562,0.)); #398269=DIRECTION('ref_axis',(-0.707106781186562,0.707106781186533,0.)); #398270=DIRECTION('',(-0.707106781186562,0.707106781186533,0.)); #398271=DIRECTION('',(-0.707106781186562,0.707106781186533,0.)); #398272=DIRECTION('',(0.,0.,1.)); #398273=DIRECTION('center_axis',(0.,1.,0.)); #398274=DIRECTION('ref_axis',(-1.,0.,0.)); #398275=DIRECTION('',(-1.,0.,0.)); #398276=DIRECTION('',(-1.,0.,0.)); #398277=DIRECTION('',(0.,0.,1.)); #398278=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #398279=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #398280=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #398281=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #398282=DIRECTION('',(0.,0.,1.)); #398283=DIRECTION('center_axis',(-1.,0.,0.)); #398284=DIRECTION('ref_axis',(0.,-1.,0.)); #398285=DIRECTION('',(0.,-1.,0.)); #398286=DIRECTION('',(0.,-1.,0.)); #398287=DIRECTION('',(0.,0.,1.)); #398288=DIRECTION('center_axis',(-0.983050395657587,0.18333553828285,0.)); #398289=DIRECTION('ref_axis',(-0.18333553828285,-0.983050395657587,0.)); #398290=DIRECTION('',(-0.18333553828285,-0.983050395657587,0.)); #398291=DIRECTION('',(-0.18333553828285,-0.983050395657587,0.)); #398292=DIRECTION('',(0.,0.,1.)); #398293=DIRECTION('center_axis',(-0.84236049547023,0.538914460439825,0.)); #398294=DIRECTION('ref_axis',(-0.538914460439825,-0.84236049547023,0.)); #398295=DIRECTION('',(-0.538914460439825,-0.84236049547023,0.)); #398296=DIRECTION('',(-0.538914460439825,-0.84236049547023,0.)); #398297=DIRECTION('',(0.,0.,1.)); #398298=DIRECTION('center_axis',(-0.565473758099792,0.82476628744178,0.)); #398299=DIRECTION('ref_axis',(-0.82476628744178,-0.565473758099792,0.)); #398300=DIRECTION('',(-0.82476628744178,-0.565473758099792,0.)); #398301=DIRECTION('',(-0.82476628744178,-0.565473758099792,0.)); #398302=DIRECTION('',(0.,0.,1.)); #398303=DIRECTION('center_axis',(-0.199010641789311,0.97999732879973,0.)); #398304=DIRECTION('ref_axis',(-0.97999732879973,-0.199010641789311,0.)); #398305=DIRECTION('',(-0.97999732879973,-0.199010641789311,0.)); #398306=DIRECTION('',(-0.97999732879973,-0.199010641789311,0.)); #398307=DIRECTION('',(0.,0.,1.)); #398308=DIRECTION('center_axis',(0.,1.,0.)); #398309=DIRECTION('ref_axis',(-1.,0.,0.)); #398310=DIRECTION('',(-1.,0.,0.)); #398311=DIRECTION('',(-1.,0.,0.)); #398312=DIRECTION('',(0.,0.,1.)); #398313=DIRECTION('center_axis',(0.191194865688648,0.981552099144156,0.)); #398314=DIRECTION('ref_axis',(-0.981552099144156,0.191194865688648,0.)); #398315=DIRECTION('',(-0.981552099144156,0.191194865688648,0.)); #398316=DIRECTION('',(-0.981552099144156,0.191194865688648,0.)); #398317=DIRECTION('',(0.,0.,1.)); #398318=DIRECTION('center_axis',(0.552218701081331,0.833699290017718,0.)); #398319=DIRECTION('ref_axis',(-0.833699290017718,0.552218701081331,0.)); #398320=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #398321=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #398322=DIRECTION('',(0.,0.,1.)); #398323=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #398324=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #398325=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #398326=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #398327=DIRECTION('',(0.,0.,1.)); #398328=DIRECTION('center_axis',(0.829264560351577,0.558856232804919,0.)); #398329=DIRECTION('ref_axis',(-0.558856232804919,0.829264560351577,0.)); #398330=DIRECTION('',(-0.558856232804919,0.829264560351577,0.)); #398331=DIRECTION('',(-0.558856232804919,0.829264560351577,0.)); #398332=DIRECTION('',(0.,0.,1.)); #398333=DIRECTION('center_axis',(0.979997328799727,0.199010641789328,0.)); #398334=DIRECTION('ref_axis',(-0.199010641789328,0.979997328799727,0.)); #398335=DIRECTION('',(-0.199010641789328,0.979997328799727,0.)); #398336=DIRECTION('',(-0.199010641789328,0.979997328799727,0.)); #398337=DIRECTION('',(0.,0.,1.)); #398338=DIRECTION('center_axis',(1.,0.,0.)); #398339=DIRECTION('ref_axis',(0.,1.,0.)); #398340=DIRECTION('',(0.,1.,0.)); #398341=DIRECTION('',(0.,1.,0.)); #398342=DIRECTION('',(0.,0.,1.)); #398343=DIRECTION('center_axis',(0.983054265471499,-0.183314787014826,0.)); #398344=DIRECTION('ref_axis',(0.183314787014826,0.983054265471499,0.)); #398345=DIRECTION('',(0.183314787014826,0.983054265471499,0.)); #398346=DIRECTION('',(0.183314787014826,0.983054265471499,0.)); #398347=DIRECTION('',(0.,0.,1.)); #398348=DIRECTION('center_axis',(0.842351514327649,-0.538928498327855,0.)); #398349=DIRECTION('ref_axis',(0.538928498327855,0.842351514327649,0.)); #398350=DIRECTION('',(0.538928498327855,0.842351514327649,0.)); #398351=DIRECTION('',(0.538928498327855,0.842351514327649,0.)); #398352=DIRECTION('',(0.,0.,1.)); #398353=DIRECTION('center_axis',(0.565473758099733,-0.824766287441821,0.)); #398354=DIRECTION('ref_axis',(0.824766287441821,0.565473758099733,0.)); #398355=DIRECTION('',(0.824766287441821,0.565473758099733,0.)); #398356=DIRECTION('',(0.824766287441821,0.565473758099733,0.)); #398357=DIRECTION('',(0.,0.,1.)); #398358=DIRECTION('center_axis',(0.199010641789396,-0.979997328799713,0.)); #398359=DIRECTION('ref_axis',(0.979997328799713,0.199010641789396,0.)); #398360=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #398361=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #398362=DIRECTION('',(0.,0.,1.)); #398363=DIRECTION('center_axis',(0.,-1.,0.)); #398364=DIRECTION('ref_axis',(1.,0.,0.)); #398365=DIRECTION('',(1.,0.,0.)); #398366=DIRECTION('',(1.,0.,0.)); #398367=DIRECTION('',(0.,0.,1.)); #398368=DIRECTION('center_axis',(0.707106641182218,-0.707106921190849,0.)); #398369=DIRECTION('ref_axis',(0.707106921190849,0.707106641182218,0.)); #398370=DIRECTION('',(0.707106921190849,0.707106641182218,0.)); #398371=DIRECTION('',(0.707106921190849,0.707106641182218,0.)); #398372=DIRECTION('',(0.,0.,1.)); #398373=DIRECTION('center_axis',(0.558865776602003,-0.829258128536007,0.)); #398374=DIRECTION('ref_axis',(0.829258128536007,0.558865776602003,0.)); #398375=DIRECTION('',(0.829258128536007,0.558865776602003,0.)); #398376=DIRECTION('',(0.829258128536007,0.558865776602003,0.)); #398377=DIRECTION('',(0.,0.,1.)); #398378=DIRECTION('center_axis',(0.199006783325535,-0.979998112340235,0.)); #398379=DIRECTION('ref_axis',(0.979998112340235,0.199006783325535,0.)); #398380=DIRECTION('',(0.979998112340235,0.199006783325535,0.)); #398381=DIRECTION('',(0.979998112340235,0.199006783325535,0.)); #398382=DIRECTION('',(0.,0.,1.)); #398383=DIRECTION('center_axis',(0.,-1.,0.)); #398384=DIRECTION('ref_axis',(1.,0.,0.)); #398385=DIRECTION('',(1.,0.,0.)); #398386=DIRECTION('',(1.,0.,0.)); #398387=DIRECTION('',(0.,0.,1.)); #398388=DIRECTION('center_axis',(-0.175526666653581,-0.98447467681677,0.)); #398389=DIRECTION('ref_axis',(0.984474676816769,-0.175526666653581,0.)); #398390=DIRECTION('',(0.984474676816769,-0.175526666653581,0.)); #398391=DIRECTION('',(0.984474676816769,-0.175526666653581,0.)); #398392=DIRECTION('',(0.,0.,1.)); #398393=DIRECTION('center_axis',(-0.525399368451308,-0.850855747839178, 0.)); #398394=DIRECTION('ref_axis',(0.850855747839178,-0.525399368451308,0.)); #398395=DIRECTION('',(0.850855747839178,-0.525399368451308,0.)); #398396=DIRECTION('',(0.850855747839178,-0.525399368451308,0.)); #398397=DIRECTION('',(0.,0.,1.)); #398398=DIRECTION('center_axis',(-0.815623399209,-0.578583157949449,0.)); #398399=DIRECTION('ref_axis',(0.578583157949449,-0.815623399209,0.)); #398400=DIRECTION('',(0.578583157949449,-0.815623399209,0.)); #398401=DIRECTION('',(0.578583157949449,-0.815623399209,0.)); #398402=DIRECTION('',(0.,0.,1.)); #398403=DIRECTION('center_axis',(-0.976703298176472,-0.214594192212188, 0.)); #398404=DIRECTION('ref_axis',(0.214594192212188,-0.976703298176472,0.)); #398405=DIRECTION('',(0.214594192212188,-0.976703298176472,0.)); #398406=DIRECTION('',(0.214594192212188,-0.976703298176472,0.)); #398407=DIRECTION('',(0.,0.,1.)); #398408=DIRECTION('center_axis',(-0.983041987252267,0.183380618657247,0.)); #398409=DIRECTION('ref_axis',(-0.183380618657247,-0.983041987252266,0.)); #398410=DIRECTION('',(-0.183380618657247,-0.983041987252266,0.)); #398411=DIRECTION('',(-0.183380618657247,-0.983041987252266,0.)); #398412=DIRECTION('',(0.,0.,1.)); #398413=DIRECTION('center_axis',(-0.833693256958911,0.552227809242928,0.)); #398414=DIRECTION('ref_axis',(-0.552227809242928,-0.833693256958911,0.)); #398415=DIRECTION('',(-0.552227809242928,-0.833693256958911,0.)); #398416=DIRECTION('',(-0.552227809242928,-0.833693256958911,0.)); #398417=DIRECTION('',(0.,0.,1.)); #398418=DIRECTION('center_axis',(-0.707244739779098,0.70696879567262,0.)); #398419=DIRECTION('ref_axis',(-0.70696879567262,-0.707244739779098,0.)); #398420=DIRECTION('',(-0.70696879567262,-0.707244739779098,0.)); #398421=DIRECTION('',(-0.70696879567262,-0.707244739779098,0.)); #398422=DIRECTION('',(0.,0.,1.)); #398423=DIRECTION('center_axis',(-1.,0.,0.)); #398424=DIRECTION('ref_axis',(0.,-1.,0.)); #398425=DIRECTION('',(0.,-1.,0.)); #398426=DIRECTION('',(0.,-1.,0.)); #398427=DIRECTION('',(0.,0.,1.)); #398428=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #398429=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #398430=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #398431=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #398432=DIRECTION('',(0.,0.,1.)); #398433=DIRECTION('center_axis',(0.,-1.,0.)); #398434=DIRECTION('ref_axis',(1.,0.,0.)); #398435=DIRECTION('',(1.,0.,0.)); #398436=DIRECTION('',(1.,0.,0.)); #398437=DIRECTION('',(0.,0.,1.)); #398438=DIRECTION('center_axis',(-0.191191000932803,-0.981552851945484, 0.)); #398439=DIRECTION('ref_axis',(0.981552851945484,-0.191191000932803,0.)); #398440=DIRECTION('',(0.981552851945484,-0.191191000932803,0.)); #398441=DIRECTION('',(0.981552851945484,-0.191191000932803,0.)); #398442=DIRECTION('',(0.,0.,1.)); #398443=DIRECTION('center_axis',(-0.552227809242888,-0.833693256958937, 0.)); #398444=DIRECTION('ref_axis',(0.833693256958937,-0.552227809242888,0.)); #398445=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #398446=DIRECTION('',(0.833693256958937,-0.552227809242888,0.)); #398447=DIRECTION('',(0.,0.,1.)); #398448=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #398449=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #398450=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #398451=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #398452=DIRECTION('',(0.,0.,1.)); #398453=DIRECTION('center_axis',(-0.829258128536007,-0.558865776602003, 0.)); #398454=DIRECTION('ref_axis',(0.558865776602003,-0.829258128536007,0.)); #398455=DIRECTION('',(0.558865776602003,-0.829258128536007,0.)); #398456=DIRECTION('',(0.558865776602003,-0.829258128536007,0.)); #398457=DIRECTION('',(0.,0.,1.)); #398458=DIRECTION('center_axis',(-0.979998112340235,-0.199006783325535, 0.)); #398459=DIRECTION('ref_axis',(0.199006783325535,-0.979998112340235,0.)); #398460=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #398461=DIRECTION('',(0.199006783325535,-0.979998112340235,0.)); #398462=DIRECTION('',(0.,0.,1.)); #398463=DIRECTION('center_axis',(-1.,0.,0.)); #398464=DIRECTION('ref_axis',(0.,-1.,0.)); #398465=DIRECTION('',(0.,-1.,0.)); #398466=DIRECTION('',(0.,-1.,0.)); #398467=DIRECTION('',(0.,0.,1.)); #398468=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #398469=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #398470=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #398471=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #398472=DIRECTION('',(0.,0.,1.)); #398473=DIRECTION('center_axis',(0.,-1.,0.)); #398474=DIRECTION('ref_axis',(1.,0.,0.)); #398475=DIRECTION('',(1.,0.,0.)); #398476=DIRECTION('',(1.,0.,0.)); #398477=DIRECTION('',(0.,0.,1.)); #398478=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #398479=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #398480=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #398481=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #398482=DIRECTION('',(0.,0.,1.)); #398483=DIRECTION('center_axis',(1.,0.,0.)); #398484=DIRECTION('ref_axis',(0.,1.,0.)); #398485=DIRECTION('',(0.,1.,0.)); #398486=DIRECTION('',(0.,1.,0.)); #398487=DIRECTION('',(0.,0.,1.)); #398488=DIRECTION('center_axis',(0.983054265471482,-0.183314787014918,0.)); #398489=DIRECTION('ref_axis',(0.183314787014918,0.983054265471482,0.)); #398490=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #398491=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #398492=DIRECTION('',(0.,0.,1.)); #398493=DIRECTION('center_axis',(0.842351514327714,-0.538928498327753,0.)); #398494=DIRECTION('ref_axis',(0.538928498327753,0.842351514327714,0.)); #398495=DIRECTION('',(0.538928498327753,0.842351514327714,0.)); #398496=DIRECTION('',(0.538928498327753,0.842351514327714,0.)); #398497=DIRECTION('',(0.,0.,1.)); #398498=DIRECTION('center_axis',(0.565473758099733,-0.824766287441821,0.)); #398499=DIRECTION('ref_axis',(0.824766287441821,0.565473758099733,0.)); #398500=DIRECTION('',(0.824766287441821,0.565473758099733,0.)); #398501=DIRECTION('',(0.824766287441821,0.565473758099733,0.)); #398502=DIRECTION('',(0.,0.,1.)); #398503=DIRECTION('center_axis',(0.199010641789396,-0.979997328799713,0.)); #398504=DIRECTION('ref_axis',(0.979997328799713,0.199010641789396,0.)); #398505=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #398506=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #398507=DIRECTION('',(0.,0.,1.)); #398508=DIRECTION('center_axis',(0.,-1.,0.)); #398509=DIRECTION('ref_axis',(1.,0.,0.)); #398510=DIRECTION('',(1.,0.,0.)); #398511=DIRECTION('',(1.,0.,0.)); #398512=DIRECTION('',(0.,0.,1.)); #398513=DIRECTION('center_axis',(0.140944937191862,-0.990017436553509,0.)); #398514=DIRECTION('ref_axis',(0.990017436553509,0.140944937191862,0.)); #398515=DIRECTION('',(0.990017436553509,0.140944937191862,0.)); #398516=DIRECTION('',(0.990017436553509,0.140944937191862,0.)); #398517=DIRECTION('',(0.,0.,1.)); #398518=DIRECTION('center_axis',(0.613211584811012,-0.789918699774583,0.)); #398519=DIRECTION('ref_axis',(0.789918699774583,0.613211584811012,0.)); #398520=DIRECTION('',(0.789918699774583,0.613211584811012,0.)); #398521=DIRECTION('',(0.789918699774583,0.613211584811012,0.)); #398522=DIRECTION('',(0.,0.,1.)); #398523=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #398524=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #398525=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #398526=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #398527=DIRECTION('',(0.,0.,1.)); #398528=DIRECTION('center_axis',(0.799763100782712,-0.600315735781115,0.)); #398529=DIRECTION('ref_axis',(0.600315735781115,0.799763100782712,0.)); #398530=DIRECTION('',(0.600315735781115,0.799763100782712,0.)); #398531=DIRECTION('',(0.600315735781115,0.799763100782712,0.)); #398532=DIRECTION('',(0.,0.,1.)); #398533=DIRECTION('center_axis',(0.992121345390238,-0.125280629073548,0.)); #398534=DIRECTION('ref_axis',(0.125280629073548,0.992121345390238,0.)); #398535=DIRECTION('',(0.125280629073548,0.992121345390238,0.)); #398536=DIRECTION('',(0.125280629073548,0.992121345390238,0.)); #398537=DIRECTION('',(0.,0.,1.)); #398538=DIRECTION('center_axis',(1.,0.,0.)); #398539=DIRECTION('ref_axis',(0.,1.,0.)); #398540=DIRECTION('',(0.,1.,0.)); #398541=DIRECTION('',(0.,1.,0.)); #398542=DIRECTION('',(0.,0.,1.)); #398543=DIRECTION('center_axis',(0.981552099144148,-0.191194865688692,0.)); #398544=DIRECTION('ref_axis',(0.191194865688692,0.981552099144148,0.)); #398545=DIRECTION('',(0.191194865688692,0.981552099144148,0.)); #398546=DIRECTION('',(0.191194865688692,0.981552099144148,0.)); #398547=DIRECTION('',(0.,0.,1.)); #398548=DIRECTION('center_axis',(0.833699290017772,-0.55221870108125,0.)); #398549=DIRECTION('ref_axis',(0.55221870108125,0.833699290017772,0.)); #398550=DIRECTION('',(0.55221870108125,0.833699290017772,0.)); #398551=DIRECTION('',(0.55221870108125,0.833699290017772,0.)); #398552=DIRECTION('',(0.,0.,1.)); #398553=DIRECTION('center_axis',(0.707106781186543,-0.707106781186552,0.)); #398554=DIRECTION('ref_axis',(0.707106781186552,0.707106781186543,0.)); #398555=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #398556=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #398557=DIRECTION('',(0.,0.,1.)); #398558=DIRECTION('center_axis',(0.558856232804972,-0.829264560351541,0.)); #398559=DIRECTION('ref_axis',(0.829264560351541,0.558856232804972,0.)); #398560=DIRECTION('',(0.829264560351541,0.558856232804972,0.)); #398561=DIRECTION('',(0.829264560351541,0.558856232804972,0.)); #398562=DIRECTION('center_axis',(0.,0.,1.)); #398563=DIRECTION('ref_axis',(1.,0.,0.)); #398564=DIRECTION('center_axis',(0.,0.,1.)); #398565=DIRECTION('ref_axis',(1.,0.,0.)); #398566=DIRECTION('center_axis',(0.214642857802347,-0.976692604453644,0.)); #398567=DIRECTION('ref_axis',(0.976692604453643,0.214642857802347,0.)); #398568=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #398569=DIRECTION('',(0.,0.,1.)); #398570=DIRECTION('',(0.976692604453643,0.214642857802347,0.)); #398571=DIRECTION('',(0.,0.,1.)); #398572=DIRECTION('center_axis',(-0.183302952703531,-0.983056472197893, 0.)); #398573=DIRECTION('ref_axis',(0.983056472197893,-0.183302952703531,0.)); #398574=DIRECTION('',(0.983056472197893,-0.183302952703531,0.)); #398575=DIRECTION('',(0.983056472197893,-0.183302952703531,0.)); #398576=DIRECTION('',(0.,0.,1.)); #398577=DIRECTION('center_axis',(-0.552278225391995,-0.833659859749687, 0.)); #398578=DIRECTION('ref_axis',(0.833659859749687,-0.552278225391995,0.)); #398579=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #398580=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #398581=DIRECTION('',(0.,0.,1.)); #398582=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #398583=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #398584=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #398585=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #398586=DIRECTION('',(0.,0.,1.)); #398587=DIRECTION('center_axis',(-0.829203833807271,-0.558946331949074, 0.)); #398588=DIRECTION('ref_axis',(0.558946331949074,-0.829203833807271,0.)); #398589=DIRECTION('',(0.558946331949074,-0.829203833807271,0.)); #398590=DIRECTION('',(0.558946331949074,-0.829203833807271,0.)); #398591=DIRECTION('',(0.,0.,1.)); #398592=DIRECTION('center_axis',(-0.980008419561341,-0.1989560192326,0.)); #398593=DIRECTION('ref_axis',(0.1989560192326,-0.980008419561341,0.)); #398594=DIRECTION('',(0.198956019232599,-0.980008419561341,0.)); #398595=DIRECTION('',(0.198956019232599,-0.980008419561341,0.)); #398596=DIRECTION('',(0.,0.,1.)); #398597=DIRECTION('center_axis',(-1.,0.,0.)); #398598=DIRECTION('ref_axis',(0.,-1.,0.)); #398599=DIRECTION('',(0.,-1.,0.)); #398600=DIRECTION('',(0.,-1.,0.)); #398601=DIRECTION('',(0.,0.,1.)); #398602=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #398603=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #398604=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #398605=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #398606=DIRECTION('',(0.,0.,1.)); #398607=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #398608=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #398609=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #398610=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #398611=DIRECTION('',(0.,0.,1.)); #398612=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #398613=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #398614=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #398615=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #398616=DIRECTION('',(0.,0.,1.)); #398617=DIRECTION('center_axis',(-0.565439331101256,0.824789890119759,0.)); #398618=DIRECTION('ref_axis',(-0.824789890119759,-0.565439331101256,0.)); #398619=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #398620=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #398621=DIRECTION('',(0.,0.,1.)); #398622=DIRECTION('center_axis',(-0.214674314516719,0.976685690837527,0.)); #398623=DIRECTION('ref_axis',(-0.976685690837527,-0.214674314516719,0.)); #398624=DIRECTION('',(-0.976685690837527,-0.214674314516719,0.)); #398625=DIRECTION('',(-0.976685690837527,-0.214674314516719,0.)); #398626=DIRECTION('',(0.,0.,1.)); #398627=DIRECTION('center_axis',(0.183334818573531,0.983050529880642,0.)); #398628=DIRECTION('ref_axis',(-0.983050529880642,0.183334818573531,0.)); #398629=DIRECTION('',(-0.983050529880642,0.183334818573531,0.)); #398630=DIRECTION('',(-0.983050529880642,0.183334818573531,0.)); #398631=DIRECTION('',(0.,0.,1.)); #398632=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #398633=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #398634=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #398635=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #398636=DIRECTION('',(0.,0.,1.)); #398637=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #398638=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #398639=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #398640=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #398641=DIRECTION('',(0.,0.,1.)); #398642=DIRECTION('center_axis',(0.829203833807437,0.558946331948828,0.)); #398643=DIRECTION('ref_axis',(-0.558946331948828,0.829203833807437,0.)); #398644=DIRECTION('',(-0.558946331948828,0.829203833807437,0.)); #398645=DIRECTION('',(-0.558946331948828,0.829203833807437,0.)); #398646=DIRECTION('',(0.,0.,1.)); #398647=DIRECTION('center_axis',(0.980008419561313,0.19895601923274,0.)); #398648=DIRECTION('ref_axis',(-0.19895601923274,0.980008419561313,0.)); #398649=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #398650=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #398651=DIRECTION('',(0.,0.,1.)); #398652=DIRECTION('center_axis',(1.,0.,0.)); #398653=DIRECTION('ref_axis',(0.,1.,0.)); #398654=DIRECTION('',(0.,1.,0.)); #398655=DIRECTION('',(0.,1.,0.)); #398656=DIRECTION('',(0.,0.,1.)); #398657=DIRECTION('center_axis',(0.984479063846077,-0.17550205938607,0.)); #398658=DIRECTION('ref_axis',(0.17550205938607,0.984479063846077,0.)); #398659=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #398660=DIRECTION('',(0.17550205938607,0.984479063846077,0.)); #398661=DIRECTION('',(0.,0.,1.)); #398662=DIRECTION('center_axis',(0.850871695642174,-0.525373540973479,0.)); #398663=DIRECTION('ref_axis',(0.525373540973479,0.850871695642174,0.)); #398664=DIRECTION('',(0.525373540973479,0.850871695642174,0.)); #398665=DIRECTION('',(0.525373540973479,0.850871695642174,0.)); #398666=DIRECTION('',(0.,0.,1.)); #398667=DIRECTION('center_axis',(0.578483828224723,-0.81569385217891,0.)); #398668=DIRECTION('ref_axis',(0.81569385217891,0.578483828224723,0.)); #398669=DIRECTION('',(0.81569385217891,0.578483828224723,0.)); #398670=DIRECTION('',(0.81569385217891,0.578483828224723,0.)); #398671=DIRECTION('center_axis',(0.,0.,1.)); #398672=DIRECTION('ref_axis',(1.,0.,0.)); #398673=DIRECTION('center_axis',(0.,0.,1.)); #398674=DIRECTION('ref_axis',(1.,0.,0.)); #398675=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #398676=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #398677=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #398678=DIRECTION('',(0.,0.,1.)); #398679=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #398680=DIRECTION('',(0.,0.,1.)); #398681=DIRECTION('center_axis',(0.,-1.,0.)); #398682=DIRECTION('ref_axis',(1.,0.,0.)); #398683=DIRECTION('',(1.,0.,0.)); #398684=DIRECTION('',(1.,0.,0.)); #398685=DIRECTION('',(0.,0.,1.)); #398686=DIRECTION('center_axis',(-0.191149856928888,-0.981560865252922, 0.)); #398687=DIRECTION('ref_axis',(0.981560865252922,-0.191149856928888,0.)); #398688=DIRECTION('',(0.981560865252922,-0.191149856928888,0.)); #398689=DIRECTION('',(0.981560865252922,-0.191149856928888,0.)); #398690=DIRECTION('',(0.,0.,1.)); #398691=DIRECTION('center_axis',(-0.552278225391859,-0.833659859749778, 0.)); #398692=DIRECTION('ref_axis',(0.833659859749778,-0.552278225391859,0.)); #398693=DIRECTION('',(0.833659859749778,-0.552278225391859,0.)); #398694=DIRECTION('',(0.833659859749778,-0.552278225391859,0.)); #398695=DIRECTION('',(0.,0.,1.)); #398696=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #398697=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #398698=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #398699=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #398700=DIRECTION('',(0.,0.,1.)); #398701=DIRECTION('center_axis',(-0.820212632122705,-0.572058771549169, 0.)); #398702=DIRECTION('ref_axis',(0.572058771549169,-0.820212632122705,0.)); #398703=DIRECTION('',(0.572058771549169,-0.820212632122705,0.)); #398704=DIRECTION('',(0.572058771549169,-0.820212632122705,0.)); #398705=DIRECTION('',(0.,0.,1.)); #398706=DIRECTION('center_axis',(-0.973144071552987,-0.230196907019351, 0.)); #398707=DIRECTION('ref_axis',(0.230196907019351,-0.973144071552987,0.)); #398708=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #398709=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #398710=DIRECTION('',(0.,0.,1.)); #398711=DIRECTION('center_axis',(-0.985842168327525,0.167675934908035,0.)); #398712=DIRECTION('ref_axis',(-0.167675934908035,-0.985842168327525,0.)); #398713=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #398714=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #398715=DIRECTION('',(0.,0.,1.)); #398716=DIRECTION('center_axis',(-0.842362410729353,0.538911466745914,0.)); #398717=DIRECTION('ref_axis',(-0.538911466745914,-0.842362410729353,0.)); #398718=DIRECTION('',(-0.538911466745914,-0.842362410729353,0.)); #398719=DIRECTION('',(-0.538911466745914,-0.842362410729353,0.)); #398720=DIRECTION('',(0.,0.,1.)); #398721=DIRECTION('center_axis',(-0.565504004715131,0.824745549033852,0.)); #398722=DIRECTION('ref_axis',(-0.824745549033852,-0.565504004715131,0.)); #398723=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #398724=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #398725=DIRECTION('',(0.,0.,1.)); #398726=DIRECTION('center_axis',(-0.198956019232514,0.980008419561359,0.)); #398727=DIRECTION('ref_axis',(-0.980008419561359,-0.198956019232514,0.)); #398728=DIRECTION('',(-0.980008419561358,-0.198956019232514,0.)); #398729=DIRECTION('',(-0.980008419561358,-0.198956019232514,0.)); #398730=DIRECTION('',(0.,0.,1.)); #398731=DIRECTION('center_axis',(0.,1.,0.)); #398732=DIRECTION('ref_axis',(-1.,0.,0.)); #398733=DIRECTION('',(-1.,0.,0.)); #398734=DIRECTION('',(-1.,0.,0.)); #398735=DIRECTION('',(0.,0.,1.)); #398736=DIRECTION('center_axis',(0.191149856928798,0.98156086525294,0.)); #398737=DIRECTION('ref_axis',(-0.98156086525294,0.191149856928798,0.)); #398738=DIRECTION('',(-0.98156086525294,0.191149856928798,0.)); #398739=DIRECTION('',(-0.98156086525294,0.191149856928798,0.)); #398740=DIRECTION('',(0.,0.,1.)); #398741=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #398742=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #398743=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #398744=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #398745=DIRECTION('',(0.,0.,1.)); #398746=DIRECTION('center_axis',(0.707106781186446,0.707106781186649,0.)); #398747=DIRECTION('ref_axis',(-0.707106781186649,0.707106781186446,0.)); #398748=DIRECTION('',(-0.707106781186649,0.707106781186446,0.)); #398749=DIRECTION('',(-0.707106781186649,0.707106781186446,0.)); #398750=DIRECTION('',(0.,0.,1.)); #398751=DIRECTION('center_axis',(0.820212632122573,0.572058771549358,0.)); #398752=DIRECTION('ref_axis',(-0.572058771549358,0.820212632122573,0.)); #398753=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #398754=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #398755=DIRECTION('',(0.,0.,1.)); #398756=DIRECTION('center_axis',(0.973151456886431,0.230165683714617,0.)); #398757=DIRECTION('ref_axis',(-0.230165683714617,0.973151456886431,0.)); #398758=DIRECTION('',(-0.230165683714617,0.973151456886431,0.)); #398759=DIRECTION('',(-0.230165683714617,0.973151456886431,0.)); #398760=DIRECTION('',(0.,0.,1.)); #398761=DIRECTION('center_axis',(0.985847618607389,-0.167643887112353,0.)); #398762=DIRECTION('ref_axis',(0.167643887112353,0.985847618607389,0.)); #398763=DIRECTION('',(0.167643887112353,0.985847618607389,0.)); #398764=DIRECTION('',(0.167643887112353,0.985847618607389,0.)); #398765=DIRECTION('',(0.,0.,1.)); #398766=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #398767=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #398768=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #398769=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #398770=DIRECTION('',(0.,0.,1.)); #398771=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #398772=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #398773=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #398774=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #398775=DIRECTION('center_axis',(0.,0.,1.)); #398776=DIRECTION('ref_axis',(1.,0.,0.)); #398777=DIRECTION('center_axis',(0.,0.,1.)); #398778=DIRECTION('ref_axis',(1.,0.,0.)); #398779=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #398780=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #398781=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #398782=DIRECTION('',(0.,0.,1.)); #398783=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #398784=DIRECTION('',(0.,0.,1.)); #398785=DIRECTION('center_axis',(0.,-1.,0.)); #398786=DIRECTION('ref_axis',(1.,0.,0.)); #398787=DIRECTION('',(1.,0.,0.)); #398788=DIRECTION('',(1.,0.,0.)); #398789=DIRECTION('',(0.,0.,1.)); #398790=DIRECTION('center_axis',(-0.175502059386041,-0.984479063846082, 0.)); #398791=DIRECTION('ref_axis',(0.984479063846082,-0.175502059386041,0.)); #398792=DIRECTION('',(0.984479063846082,-0.175502059386041,0.)); #398793=DIRECTION('',(0.984479063846082,-0.175502059386041,0.)); #398794=DIRECTION('',(0.,0.,1.)); #398795=DIRECTION('center_axis',(-0.525373540973569,-0.850871695642118, 0.)); #398796=DIRECTION('ref_axis',(0.850871695642118,-0.525373540973569,0.)); #398797=DIRECTION('',(0.850871695642118,-0.525373540973569,0.)); #398798=DIRECTION('',(0.850871695642118,-0.525373540973569,0.)); #398799=DIRECTION('',(0.,0.,1.)); #398800=DIRECTION('center_axis',(-0.81569385217889,-0.578483828224751,0.)); #398801=DIRECTION('ref_axis',(0.578483828224751,-0.81569385217889,0.)); #398802=DIRECTION('',(0.578483828224751,-0.81569385217889,0.)); #398803=DIRECTION('',(0.578483828224751,-0.81569385217889,0.)); #398804=DIRECTION('',(0.,0.,1.)); #398805=DIRECTION('center_axis',(-0.976692604453644,-0.214642857802347, 0.)); #398806=DIRECTION('ref_axis',(0.214642857802347,-0.976692604453643,0.)); #398807=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #398808=DIRECTION('',(0.214642857802347,-0.976692604453643,0.)); #398809=DIRECTION('',(0.,0.,1.)); #398810=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #398811=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #398812=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #398813=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #398814=DIRECTION('',(0.,0.,1.)); #398815=DIRECTION('center_axis',(-0.83365985974971,0.552278225391961,0.)); #398816=DIRECTION('ref_axis',(-0.552278225391961,-0.83365985974971,0.)); #398817=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #398818=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #398819=DIRECTION('',(0.,0.,1.)); #398820=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #398821=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #398822=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #398823=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #398824=DIRECTION('',(0.,0.,1.)); #398825=DIRECTION('center_axis',(-0.558946331948969,0.829203833807341,0.)); #398826=DIRECTION('ref_axis',(-0.829203833807341,-0.558946331948969,0.)); #398827=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #398828=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #398829=DIRECTION('',(0.,0.,1.)); #398830=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #398831=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #398832=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #398833=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #398834=DIRECTION('',(0.,0.,1.)); #398835=DIRECTION('center_axis',(0.,1.,0.)); #398836=DIRECTION('ref_axis',(-1.,0.,0.)); #398837=DIRECTION('',(-1.,0.,0.)); #398838=DIRECTION('',(-1.,0.,0.)); #398839=DIRECTION('',(0.,0.,1.)); #398840=DIRECTION('center_axis',(0.175502059386013,0.984479063846087,0.)); #398841=DIRECTION('ref_axis',(-0.984479063846087,0.175502059386013,0.)); #398842=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #398843=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #398844=DIRECTION('',(0.,0.,1.)); #398845=DIRECTION('center_axis',(0.52535880204888,0.850880796063565,0.)); #398846=DIRECTION('ref_axis',(-0.850880796063565,0.52535880204888,0.)); #398847=DIRECTION('',(-0.850880796063565,0.52535880204888,0.)); #398848=DIRECTION('',(-0.850880796063565,0.52535880204888,0.)); #398849=DIRECTION('',(0.,0.,1.)); #398850=DIRECTION('center_axis',(0.815709411156901,0.578461888589095,0.)); #398851=DIRECTION('ref_axis',(-0.578461888589095,0.815709411156901,0.)); #398852=DIRECTION('',(-0.578461888589095,0.815709411156901,0.)); #398853=DIRECTION('',(-0.578461888589095,0.815709411156901,0.)); #398854=DIRECTION('',(0.,0.,1.)); #398855=DIRECTION('center_axis',(0.97668569083752,0.21467431451675,0.)); #398856=DIRECTION('ref_axis',(-0.21467431451675,0.97668569083752,0.)); #398857=DIRECTION('',(-0.21467431451675,0.97668569083752,0.)); #398858=DIRECTION('',(-0.21467431451675,0.97668569083752,0.)); #398859=DIRECTION('',(0.,0.,1.)); #398860=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #398861=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #398862=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #398863=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #398864=DIRECTION('',(0.,0.,1.)); #398865=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #398866=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #398867=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #398868=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #398869=DIRECTION('',(0.,0.,1.)); #398870=DIRECTION('center_axis',(0.707106781186537,-0.707106781186558,0.)); #398871=DIRECTION('ref_axis',(0.707106781186558,0.707106781186537,0.)); #398872=DIRECTION('',(0.707106781186558,0.707106781186537,0.)); #398873=DIRECTION('',(0.707106781186558,0.707106781186537,0.)); #398874=DIRECTION('',(0.,0.,1.)); #398875=DIRECTION('center_axis',(0.558946331948969,-0.829203833807341,0.)); #398876=DIRECTION('ref_axis',(0.829203833807341,0.558946331948969,0.)); #398877=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #398878=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #398879=DIRECTION('',(0.,0.,1.)); #398880=DIRECTION('center_axis',(0.198956019232542,-0.980008419561353,0.)); #398881=DIRECTION('ref_axis',(0.980008419561353,0.198956019232542,0.)); #398882=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #398883=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #398884=DIRECTION('',(0.,0.,1.)); #398885=DIRECTION('center_axis',(0.,-1.,0.)); #398886=DIRECTION('ref_axis',(1.,0.,0.)); #398887=DIRECTION('',(1.,0.,0.)); #398888=DIRECTION('',(1.,0.,0.)); #398889=DIRECTION('',(0.,0.,1.)); #398890=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #398891=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #398892=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #398893=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #398894=DIRECTION('',(0.,0.,1.)); #398895=DIRECTION('center_axis',(0.558946331948864,-0.829203833807412,0.)); #398896=DIRECTION('ref_axis',(0.829203833807412,0.558946331948864,0.)); #398897=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #398898=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #398899=DIRECTION('center_axis',(0.,0.,1.)); #398900=DIRECTION('ref_axis',(1.,0.,0.)); #398901=DIRECTION('center_axis',(0.,0.,1.)); #398902=DIRECTION('ref_axis',(1.,0.,0.)); #398903=DIRECTION('center_axis',(0.198956019232542,-0.980008419561353,0.)); #398904=DIRECTION('ref_axis',(0.980008419561353,0.198956019232542,0.)); #398905=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #398906=DIRECTION('',(0.,0.,1.)); #398907=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #398908=DIRECTION('',(0.,0.,1.)); #398909=DIRECTION('center_axis',(0.,-1.,0.)); #398910=DIRECTION('ref_axis',(1.,0.,0.)); #398911=DIRECTION('',(1.,0.,0.)); #398912=DIRECTION('',(1.,0.,0.)); #398913=DIRECTION('',(0.,0.,1.)); #398914=DIRECTION('center_axis',(-0.199846156682573,-0.979827287668191, 0.)); #398915=DIRECTION('ref_axis',(0.979827287668191,-0.199846156682573,0.)); #398916=DIRECTION('',(0.979827287668191,-0.199846156682573,0.)); #398917=DIRECTION('',(0.979827287668191,-0.199846156682573,0.)); #398918=DIRECTION('',(0.,0.,1.)); #398919=DIRECTION('center_axis',(-0.566920574659872,-0.82377245767707,0.)); #398920=DIRECTION('ref_axis',(0.82377245767707,-0.566920574659872,0.)); #398921=DIRECTION('',(0.82377245767707,-0.566920574659872,0.)); #398922=DIRECTION('',(0.82377245767707,-0.566920574659872,0.)); #398923=DIRECTION('',(0.,0.,1.)); #398924=DIRECTION('center_axis',(-0.71951429157795,-0.694477634063964,0.)); #398925=DIRECTION('ref_axis',(0.694477634063964,-0.71951429157795,0.)); #398926=DIRECTION('',(0.694477634063964,-0.71951429157795,0.)); #398927=DIRECTION('',(0.694477634063964,-0.71951429157795,0.)); #398928=DIRECTION('',(0.,0.,1.)); #398929=DIRECTION('center_axis',(-0.834146551597487,-0.551542863663398, 0.)); #398930=DIRECTION('ref_axis',(0.551542863663398,-0.834146551597487,0.)); #398931=DIRECTION('',(0.551542863663398,-0.834146551597487,0.)); #398932=DIRECTION('',(0.551542863663398,-0.834146551597487,0.)); #398933=DIRECTION('',(0.,0.,1.)); #398934=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #398935=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #398936=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #398937=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #398938=DIRECTION('',(0.,0.,1.)); #398939=DIRECTION('center_axis',(-1.,0.,0.)); #398940=DIRECTION('ref_axis',(0.,-1.,0.)); #398941=DIRECTION('',(0.,-1.,0.)); #398942=DIRECTION('',(0.,-1.,0.)); #398943=DIRECTION('',(0.,0.,1.)); #398944=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #398945=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #398946=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #398947=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #398948=DIRECTION('',(0.,0.,1.)); #398949=DIRECTION('center_axis',(-0.842362410729287,0.538911466746018,0.)); #398950=DIRECTION('ref_axis',(-0.538911466746018,-0.842362410729287,0.)); #398951=DIRECTION('',(-0.538911466746018,-0.842362410729287,0.)); #398952=DIRECTION('',(-0.538911466746018,-0.842362410729287,0.)); #398953=DIRECTION('',(0.,0.,1.)); #398954=DIRECTION('center_axis',(-0.565504004715131,0.824745549033852,0.)); #398955=DIRECTION('ref_axis',(-0.824745549033852,-0.565504004715131,0.)); #398956=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #398957=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #398958=DIRECTION('',(0.,0.,1.)); #398959=DIRECTION('center_axis',(-0.198956019232542,0.980008419561353,0.)); #398960=DIRECTION('ref_axis',(-0.980008419561353,-0.198956019232542,0.)); #398961=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #398962=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #398963=DIRECTION('',(0.,0.,1.)); #398964=DIRECTION('center_axis',(0.,1.,0.)); #398965=DIRECTION('ref_axis',(-1.,0.,0.)); #398966=DIRECTION('',(-1.,0.,0.)); #398967=DIRECTION('',(-1.,0.,0.)); #398968=DIRECTION('',(0.,0.,1.)); #398969=DIRECTION('center_axis',(0.183305290257262,0.983056036329416,0.)); #398970=DIRECTION('ref_axis',(-0.983056036329416,0.183305290257262,0.)); #398971=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #398972=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #398973=DIRECTION('',(0.,0.,1.)); #398974=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #398975=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #398976=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #398977=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #398978=DIRECTION('',(0.,0.,1.)); #398979=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #398980=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #398981=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #398982=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #398983=DIRECTION('',(0.,0.,1.)); #398984=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #398985=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #398986=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #398987=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #398988=DIRECTION('',(0.,0.,1.)); #398989=DIRECTION('center_axis',(1.,0.,0.)); #398990=DIRECTION('ref_axis',(0.,1.,0.)); #398991=DIRECTION('',(0.,1.,0.)); #398992=DIRECTION('',(0.,1.,0.)); #398993=DIRECTION('',(0.,0.,1.)); #398994=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #398995=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #398996=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #398997=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #398998=DIRECTION('',(0.,0.,1.)); #398999=DIRECTION('center_axis',(0.842362410729287,-0.538911466746018,0.)); #399000=DIRECTION('ref_axis',(0.538911466746018,0.842362410729287,0.)); #399001=DIRECTION('',(0.538911466746018,0.842362410729287,0.)); #399002=DIRECTION('',(0.538911466746018,0.842362410729287,0.)); #399003=DIRECTION('',(0.,0.,1.)); #399004=DIRECTION('center_axis',(0.565504004715131,-0.824745549033852,0.)); #399005=DIRECTION('ref_axis',(0.824745549033852,0.565504004715131,0.)); #399006=DIRECTION('',(0.824745549033852,0.565504004715131,0.)); #399007=DIRECTION('',(0.824745549033852,0.565504004715131,0.)); #399008=DIRECTION('center_axis',(0.,0.,1.)); #399009=DIRECTION('ref_axis',(1.,0.,0.)); #399010=DIRECTION('center_axis',(0.,0.,1.)); #399011=DIRECTION('ref_axis',(1.,0.,0.)); #399012=DIRECTION('center_axis',(0.198956019232613,-0.980008419561338,0.)); #399013=DIRECTION('ref_axis',(0.980008419561339,0.198956019232613,0.)); #399014=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #399015=DIRECTION('',(0.,0.,1.)); #399016=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #399017=DIRECTION('',(0.,0.,1.)); #399018=DIRECTION('center_axis',(0.,-1.,0.)); #399019=DIRECTION('ref_axis',(1.,0.,0.)); #399020=DIRECTION('',(1.,0.,0.)); #399021=DIRECTION('',(1.,0.,0.)); #399022=DIRECTION('',(0.,0.,1.)); #399023=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #399024=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #399025=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #399026=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #399027=DIRECTION('',(0.,0.,1.)); #399028=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #399029=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #399030=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #399031=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #399032=DIRECTION('',(0.,0.,1.)); #399033=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #399034=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #399035=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #399036=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #399037=DIRECTION('',(0.,0.,1.)); #399038=DIRECTION('center_axis',(0.,-1.,0.)); #399039=DIRECTION('ref_axis',(1.,0.,0.)); #399040=DIRECTION('',(1.,0.,0.)); #399041=DIRECTION('',(1.,0.,0.)); #399042=DIRECTION('',(0.,0.,1.)); #399043=DIRECTION('center_axis',(-0.191149856928843,-0.981560865252931, 0.)); #399044=DIRECTION('ref_axis',(0.981560865252931,-0.191149856928843,0.)); #399045=DIRECTION('',(0.981560865252931,-0.191149856928843,0.)); #399046=DIRECTION('',(0.981560865252931,-0.191149856928843,0.)); #399047=DIRECTION('',(0.,0.,1.)); #399048=DIRECTION('center_axis',(-0.552278225391843,-0.833659859749789, 0.)); #399049=DIRECTION('ref_axis',(0.833659859749789,-0.552278225391843,0.)); #399050=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #399051=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #399052=DIRECTION('',(0.,0.,1.)); #399053=DIRECTION('center_axis',(-0.707106781186559,-0.707106781186536, 0.)); #399054=DIRECTION('ref_axis',(0.707106781186536,-0.707106781186559,0.)); #399055=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #399056=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #399057=DIRECTION('',(0.,0.,1.)); #399058=DIRECTION('center_axis',(-0.824789890119736,-0.56543933110129,0.)); #399059=DIRECTION('ref_axis',(0.56543933110129,-0.824789890119736,0.)); #399060=DIRECTION('',(0.56543933110129,-0.824789890119736,0.)); #399061=DIRECTION('',(0.56543933110129,-0.824789890119736,0.)); #399062=DIRECTION('',(0.,0.,1.)); #399063=DIRECTION('center_axis',(-0.976685690837554,-0.214674314516595, 0.)); #399064=DIRECTION('ref_axis',(0.214674314516595,-0.976685690837554,0.)); #399065=DIRECTION('',(0.214674314516595,-0.976685690837554,0.)); #399066=DIRECTION('',(0.214674314516595,-0.976685690837554,0.)); #399067=DIRECTION('',(0.,0.,1.)); #399068=DIRECTION('center_axis',(-0.983050529880647,0.183334818573505,0.)); #399069=DIRECTION('ref_axis',(-0.183334818573505,-0.983050529880647,0.)); #399070=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #399071=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #399072=DIRECTION('',(0.,0.,1.)); #399073=DIRECTION('center_axis',(-0.833659859749665,0.552278225392029,0.)); #399074=DIRECTION('ref_axis',(-0.552278225392029,-0.833659859749665,0.)); #399075=DIRECTION('',(-0.552278225392029,-0.833659859749665,0.)); #399076=DIRECTION('',(-0.552278225392029,-0.833659859749665,0.)); #399077=DIRECTION('',(0.,0.,1.)); #399078=DIRECTION('center_axis',(-0.707106781186559,0.707106781186536,0.)); #399079=DIRECTION('ref_axis',(-0.707106781186536,-0.707106781186559,0.)); #399080=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #399081=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #399082=DIRECTION('',(0.,0.,1.)); #399083=DIRECTION('center_axis',(-0.558946331948846,0.829203833807424,0.)); #399084=DIRECTION('ref_axis',(-0.829203833807424,-0.558946331948846,0.)); #399085=DIRECTION('',(-0.829203833807424,-0.558946331948846,0.)); #399086=DIRECTION('',(-0.829203833807424,-0.558946331948846,0.)); #399087=DIRECTION('',(0.,0.,1.)); #399088=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #399089=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #399090=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #399091=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #399092=DIRECTION('',(0.,0.,1.)); #399093=DIRECTION('center_axis',(0.,1.,0.)); #399094=DIRECTION('ref_axis',(-1.,0.,0.)); #399095=DIRECTION('',(-1.,0.,0.)); #399096=DIRECTION('',(-1.,0.,0.)); #399097=DIRECTION('',(0.,0.,1.)); #399098=DIRECTION('center_axis',(0.191149856928843,0.981560865252931,0.)); #399099=DIRECTION('ref_axis',(-0.981560865252931,0.191149856928843,0.)); #399100=DIRECTION('',(-0.981560865252931,0.191149856928843,0.)); #399101=DIRECTION('',(-0.981560865252931,0.191149856928843,0.)); #399102=DIRECTION('',(0.,0.,1.)); #399103=DIRECTION('center_axis',(0.55227822539191,0.833659859749744,0.)); #399104=DIRECTION('ref_axis',(-0.833659859749744,0.55227822539191,0.)); #399105=DIRECTION('',(-0.833659859749744,0.55227822539191,0.)); #399106=DIRECTION('',(-0.833659859749744,0.55227822539191,0.)); #399107=DIRECTION('',(0.,0.,1.)); #399108=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #399109=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #399110=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #399111=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #399112=DIRECTION('',(0.,0.,1.)); #399113=DIRECTION('center_axis',(0.,1.,0.)); #399114=DIRECTION('ref_axis',(-1.,0.,0.)); #399115=DIRECTION('',(-1.,0.,0.)); #399116=DIRECTION('',(-1.,0.,0.)); #399117=DIRECTION('',(0.,0.,1.)); #399118=DIRECTION('center_axis',(0.175502059386013,0.984479063846087,0.)); #399119=DIRECTION('ref_axis',(-0.984479063846087,0.175502059386013,0.)); #399120=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #399121=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #399122=DIRECTION('',(0.,0.,1.)); #399123=DIRECTION('center_axis',(0.52535880204888,0.850880796063565,0.)); #399124=DIRECTION('ref_axis',(-0.850880796063565,0.52535880204888,0.)); #399125=DIRECTION('',(-0.850880796063565,0.52535880204888,0.)); #399126=DIRECTION('',(-0.850880796063565,0.52535880204888,0.)); #399127=DIRECTION('',(0.,0.,1.)); #399128=DIRECTION('center_axis',(0.815709411156876,0.57846188858913,0.)); #399129=DIRECTION('ref_axis',(-0.57846188858913,0.815709411156876,0.)); #399130=DIRECTION('',(-0.57846188858913,0.815709411156876,0.)); #399131=DIRECTION('',(-0.57846188858913,0.815709411156876,0.)); #399132=DIRECTION('',(0.,0.,1.)); #399133=DIRECTION('center_axis',(0.97668569083753,0.214674314516704,0.)); #399134=DIRECTION('ref_axis',(-0.214674314516704,0.97668569083753,0.)); #399135=DIRECTION('',(-0.214674314516704,0.97668569083753,0.)); #399136=DIRECTION('',(-0.214674314516704,0.97668569083753,0.)); #399137=DIRECTION('',(0.,0.,1.)); #399138=DIRECTION('center_axis',(0.983050529880644,-0.183334818573518,0.)); #399139=DIRECTION('ref_axis',(0.183334818573518,0.983050529880644,0.)); #399140=DIRECTION('',(0.183334818573518,0.983050529880644,0.)); #399141=DIRECTION('',(0.183334818573518,0.983050529880644,0.)); #399142=DIRECTION('',(0.,0.,1.)); #399143=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #399144=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #399145=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #399146=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #399147=DIRECTION('',(0.,0.,1.)); #399148=DIRECTION('center_axis',(0.707110741027308,-0.707102821323611,0.)); #399149=DIRECTION('ref_axis',(0.707102821323611,0.707110741027308,0.)); #399150=DIRECTION('',(0.707102821323611,0.707110741027308,0.)); #399151=DIRECTION('',(0.707102821323611,0.707110741027308,0.)); #399152=DIRECTION('',(0.,0.,1.)); #399153=DIRECTION('center_axis',(1.,0.,0.)); #399154=DIRECTION('ref_axis',(0.,1.,0.)); #399155=DIRECTION('',(0.,1.,0.)); #399156=DIRECTION('',(0.,1.,0.)); #399157=DIRECTION('',(0.,0.,1.)); #399158=DIRECTION('center_axis',(0.707110741027308,0.707102821323611,0.)); #399159=DIRECTION('ref_axis',(-0.707102821323611,0.707110741027308,0.)); #399160=DIRECTION('',(-0.707102821323611,0.707110741027308,0.)); #399161=DIRECTION('',(-0.707102821323611,0.707110741027308,0.)); #399162=DIRECTION('',(0.,0.,1.)); #399163=DIRECTION('center_axis',(0.820212632122678,0.572058771549208,0.)); #399164=DIRECTION('ref_axis',(-0.572058771549208,0.820212632122678,0.)); #399165=DIRECTION('',(-0.572058771549208,0.820212632122678,0.)); #399166=DIRECTION('',(-0.572058771549208,0.820212632122678,0.)); #399167=DIRECTION('',(0.,0.,1.)); #399168=DIRECTION('center_axis',(0.973144071552954,0.23019690701949,0.)); #399169=DIRECTION('ref_axis',(-0.23019690701949,0.973144071552954,0.)); #399170=DIRECTION('',(-0.23019690701949,0.973144071552954,0.)); #399171=DIRECTION('',(-0.23019690701949,0.973144071552954,0.)); #399172=DIRECTION('',(0.,0.,1.)); #399173=DIRECTION('center_axis',(0.985842168327501,-0.167675934908178,0.)); #399174=DIRECTION('ref_axis',(0.167675934908178,0.985842168327501,0.)); #399175=DIRECTION('',(0.167675934908178,0.985842168327501,0.)); #399176=DIRECTION('',(0.167675934908178,0.985842168327501,0.)); #399177=DIRECTION('',(0.,0.,1.)); #399178=DIRECTION('center_axis',(0.842362410729375,-0.538911466745881,0.)); #399179=DIRECTION('ref_axis',(0.538911466745881,0.842362410729375,0.)); #399180=DIRECTION('',(0.538911466745881,0.842362410729375,0.)); #399181=DIRECTION('',(0.538911466745881,0.842362410729375,0.)); #399182=DIRECTION('',(0.,0.,1.)); #399183=DIRECTION('center_axis',(0.565504004715012,-0.824745549033933,0.)); #399184=DIRECTION('ref_axis',(0.824745549033933,0.565504004715012,0.)); #399185=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #399186=DIRECTION('',(0.824745549033933,0.565504004715012,0.)); #399187=DIRECTION('center_axis',(0.,0.,1.)); #399188=DIRECTION('ref_axis',(1.,0.,0.)); #399189=DIRECTION('center_axis',(0.,0.,1.)); #399190=DIRECTION('ref_axis',(1.,0.,0.)); #399191=DIRECTION('center_axis',(0.198956019232584,-0.980008419561344,0.)); #399192=DIRECTION('ref_axis',(0.980008419561344,0.198956019232584,0.)); #399193=DIRECTION('',(0.980008419561344,0.198956019232584,0.)); #399194=DIRECTION('',(0.,0.,1.)); #399195=DIRECTION('',(0.980008419561344,0.198956019232584,0.)); #399196=DIRECTION('',(0.,0.,1.)); #399197=DIRECTION('center_axis',(0.,-1.,0.)); #399198=DIRECTION('ref_axis',(1.,0.,0.)); #399199=DIRECTION('',(1.,0.,0.)); #399200=DIRECTION('',(1.,0.,0.)); #399201=DIRECTION('',(0.,0.,1.)); #399202=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #399203=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #399204=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #399205=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #399206=DIRECTION('',(0.,0.,1.)); #399207=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #399208=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #399209=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #399210=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #399211=DIRECTION('',(0.,0.,1.)); #399212=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #399213=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #399214=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #399215=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #399216=DIRECTION('',(0.,0.,1.)); #399217=DIRECTION('center_axis',(-0.82478989011971,-0.565439331101327,0.)); #399218=DIRECTION('ref_axis',(0.565439331101327,-0.82478989011971,0.)); #399219=DIRECTION('',(0.565439331101327,-0.82478989011971,0.)); #399220=DIRECTION('',(0.565439331101327,-0.82478989011971,0.)); #399221=DIRECTION('',(0.,0.,1.)); #399222=DIRECTION('center_axis',(-0.976685690837561,-0.214674314516564, 0.)); #399223=DIRECTION('ref_axis',(0.214674314516564,-0.976685690837561,0.)); #399224=DIRECTION('',(0.214674314516564,-0.976685690837561,0.)); #399225=DIRECTION('',(0.214674314516564,-0.976685690837561,0.)); #399226=DIRECTION('',(0.,0.,1.)); #399227=DIRECTION('center_axis',(-0.983050529880618,0.18333481857366,0.)); #399228=DIRECTION('ref_axis',(-0.18333481857366,-0.983050529880618,0.)); #399229=DIRECTION('',(-0.18333481857366,-0.983050529880618,0.)); #399230=DIRECTION('',(-0.18333481857366,-0.983050529880618,0.)); #399231=DIRECTION('',(0.,0.,1.)); #399232=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #399233=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #399234=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #399235=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #399236=DIRECTION('',(0.,0.,1.)); #399237=DIRECTION('center_axis',(-0.707106781186559,0.707106781186536,0.)); #399238=DIRECTION('ref_axis',(-0.707106781186536,-0.707106781186559,0.)); #399239=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #399240=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #399241=DIRECTION('',(0.,0.,1.)); #399242=DIRECTION('center_axis',(-0.558946331948864,0.829203833807412,0.)); #399243=DIRECTION('ref_axis',(-0.829203833807412,-0.558946331948864,0.)); #399244=DIRECTION('',(-0.829203833807412,-0.558946331948864,0.)); #399245=DIRECTION('',(-0.829203833807412,-0.558946331948864,0.)); #399246=DIRECTION('',(0.,0.,1.)); #399247=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #399248=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #399249=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #399250=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #399251=DIRECTION('',(0.,0.,1.)); #399252=DIRECTION('center_axis',(0.,1.,0.)); #399253=DIRECTION('ref_axis',(-1.,0.,0.)); #399254=DIRECTION('',(-1.,0.,0.)); #399255=DIRECTION('',(-1.,0.,0.)); #399256=DIRECTION('',(0.,0.,1.)); #399257=DIRECTION('center_axis',(0.191149856928815,0.981560865252937,0.)); #399258=DIRECTION('ref_axis',(-0.981560865252937,0.191149856928815,0.)); #399259=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #399260=DIRECTION('',(-0.981560865252937,0.191149856928815,0.)); #399261=DIRECTION('',(0.,0.,1.)); #399262=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #399263=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #399264=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #399265=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #399266=DIRECTION('',(0.,0.,1.)); #399267=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #399268=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #399269=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #399270=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #399271=DIRECTION('',(0.,0.,1.)); #399272=DIRECTION('center_axis',(0.820212632122756,0.572058771549096,0.)); #399273=DIRECTION('ref_axis',(-0.572058771549096,0.820212632122756,0.)); #399274=DIRECTION('',(-0.572058771549096,0.820212632122756,0.)); #399275=DIRECTION('',(-0.572058771549096,0.820212632122756,0.)); #399276=DIRECTION('',(0.,0.,1.)); #399277=DIRECTION('center_axis',(0.973144071552991,0.230196907019335,0.)); #399278=DIRECTION('ref_axis',(-0.230196907019335,0.97314407155299,0.)); #399279=DIRECTION('',(-0.230196907019335,0.97314407155299,0.)); #399280=DIRECTION('',(-0.230196907019335,0.97314407155299,0.)); #399281=DIRECTION('',(0.,0.,1.)); #399282=DIRECTION('center_axis',(0.985842168327523,-0.167675934908047,0.)); #399283=DIRECTION('ref_axis',(0.167675934908047,0.985842168327523,0.)); #399284=DIRECTION('',(0.167675934908047,0.985842168327523,0.)); #399285=DIRECTION('',(0.167675934908047,0.985842168327523,0.)); #399286=DIRECTION('',(0.,0.,1.)); #399287=DIRECTION('center_axis',(0.842362410729375,-0.538911466745881,0.)); #399288=DIRECTION('ref_axis',(0.538911466745881,0.842362410729375,0.)); #399289=DIRECTION('',(0.538911466745881,0.842362410729375,0.)); #399290=DIRECTION('',(0.538911466745881,0.842362410729375,0.)); #399291=DIRECTION('',(0.,0.,1.)); #399292=DIRECTION('center_axis',(0.565504004715081,-0.824745549033886,0.)); #399293=DIRECTION('ref_axis',(0.824745549033886,0.565504004715081,0.)); #399294=DIRECTION('',(0.824745549033886,0.565504004715081,0.)); #399295=DIRECTION('',(0.824745549033886,0.565504004715081,0.)); #399296=DIRECTION('center_axis',(0.,0.,1.)); #399297=DIRECTION('ref_axis',(1.,0.,0.)); #399298=DIRECTION('center_axis',(0.,0.,1.)); #399299=DIRECTION('ref_axis',(1.,0.,0.)); #399300=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #399301=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #399302=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #399303=DIRECTION('',(0.,0.,1.)); #399304=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #399305=DIRECTION('',(0.,0.,1.)); #399306=DIRECTION('center_axis',(0.,-1.,0.)); #399307=DIRECTION('ref_axis',(1.,0.,0.)); #399308=DIRECTION('',(1.,0.,0.)); #399309=DIRECTION('',(1.,0.,0.)); #399310=DIRECTION('',(0.,0.,1.)); #399311=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #399312=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #399313=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #399314=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #399315=DIRECTION('',(0.,0.,1.)); #399316=DIRECTION('center_axis',(-0.538911466745951,-0.842362410729329, 0.)); #399317=DIRECTION('ref_axis',(0.842362410729329,-0.538911466745951,0.)); #399318=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #399319=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #399320=DIRECTION('',(0.,0.,1.)); #399321=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #399322=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #399323=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #399324=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #399325=DIRECTION('',(0.,0.,1.)); #399326=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #399327=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #399328=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #399329=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #399330=DIRECTION('',(0.,0.,1.)); #399331=DIRECTION('center_axis',(-1.,0.,0.)); #399332=DIRECTION('ref_axis',(0.,-1.,0.)); #399333=DIRECTION('',(0.,-1.,0.)); #399334=DIRECTION('',(0.,-1.,0.)); #399335=DIRECTION('',(0.,0.,1.)); #399336=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #399337=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #399338=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #399339=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #399340=DIRECTION('',(0.,0.,1.)); #399341=DIRECTION('center_axis',(-0.842362410729287,0.538911466746018,0.)); #399342=DIRECTION('ref_axis',(-0.538911466746018,-0.842362410729287,0.)); #399343=DIRECTION('',(-0.538911466746018,-0.842362410729287,0.)); #399344=DIRECTION('',(-0.538911466746018,-0.842362410729287,0.)); #399345=DIRECTION('',(0.,0.,1.)); #399346=DIRECTION('center_axis',(-0.565504004715131,0.824745549033852,0.)); #399347=DIRECTION('ref_axis',(-0.824745549033852,-0.565504004715131,0.)); #399348=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #399349=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #399350=DIRECTION('',(0.,0.,1.)); #399351=DIRECTION('center_axis',(-0.198956019232542,0.980008419561353,0.)); #399352=DIRECTION('ref_axis',(-0.980008419561353,-0.198956019232542,0.)); #399353=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #399354=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #399355=DIRECTION('',(0.,0.,1.)); #399356=DIRECTION('center_axis',(0.,1.,0.)); #399357=DIRECTION('ref_axis',(-1.,0.,0.)); #399358=DIRECTION('',(-1.,0.,0.)); #399359=DIRECTION('',(-1.,0.,0.)); #399360=DIRECTION('',(0.,0.,1.)); #399361=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #399362=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #399363=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #399364=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #399365=DIRECTION('',(0.,0.,1.)); #399366=DIRECTION('center_axis',(-0.572058771549358,0.820212632122573,0.)); #399367=DIRECTION('ref_axis',(-0.820212632122573,-0.572058771549358,0.)); #399368=DIRECTION('',(-0.820212632122573,-0.572058771549358,0.)); #399369=DIRECTION('',(-0.820212632122573,-0.572058771549358,0.)); #399370=DIRECTION('',(0.,0.,1.)); #399371=DIRECTION('center_axis',(-0.230165683714756,0.973151456886398,0.)); #399372=DIRECTION('ref_axis',(-0.973151456886398,-0.230165683714756,0.)); #399373=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #399374=DIRECTION('',(-0.973151456886398,-0.230165683714756,0.)); #399375=DIRECTION('',(0.,0.,1.)); #399376=DIRECTION('center_axis',(0.167643887112495,0.985847618607365,0.)); #399377=DIRECTION('ref_axis',(-0.985847618607365,0.167643887112495,0.)); #399378=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #399379=DIRECTION('',(-0.985847618607365,0.167643887112495,0.)); #399380=DIRECTION('',(0.,0.,1.)); #399381=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #399382=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #399383=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #399384=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #399385=DIRECTION('',(0.,0.,1.)); #399386=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #399387=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #399388=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #399389=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #399390=DIRECTION('',(0.,0.,1.)); #399391=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #399392=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #399393=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #399394=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #399395=DIRECTION('',(0.,0.,1.)); #399396=DIRECTION('center_axis',(1.,0.,0.)); #399397=DIRECTION('ref_axis',(0.,1.,0.)); #399398=DIRECTION('',(0.,1.,0.)); #399399=DIRECTION('',(0.,1.,0.)); #399400=DIRECTION('',(0.,0.,1.)); #399401=DIRECTION('center_axis',(0.923877363903343,0.382688667805841,0.)); #399402=DIRECTION('ref_axis',(-0.382688667805841,0.923877363903343,0.)); #399403=DIRECTION('',(-0.382688667805841,0.923877363903343,0.)); #399404=DIRECTION('',(-0.382688667805841,0.923877363903343,0.)); #399405=DIRECTION('',(0.,0.,1.)); #399406=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #399407=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #399408=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #399409=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #399410=DIRECTION('',(0.,0.,1.)); #399411=DIRECTION('center_axis',(0.38268379423653,0.923879382619145,0.)); #399412=DIRECTION('ref_axis',(-0.923879382619145,0.38268379423653,0.)); #399413=DIRECTION('',(-0.923879382619145,0.38268379423653,0.)); #399414=DIRECTION('',(-0.923879382619145,0.38268379423653,0.)); #399415=DIRECTION('',(0.,0.,1.)); #399416=DIRECTION('center_axis',(0.548897495819296,0.835889669204797,0.)); #399417=DIRECTION('ref_axis',(-0.835889669204797,0.548897495819296,0.)); #399418=DIRECTION('',(-0.835889669204797,0.548897495819296,0.)); #399419=DIRECTION('',(-0.835889669204797,0.548897495819296,0.)); #399420=DIRECTION('',(0.,0.,1.)); #399421=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #399422=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #399423=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #399424=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #399425=DIRECTION('',(0.,0.,1.)); #399426=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #399427=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #399428=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #399429=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #399430=DIRECTION('',(0.,0.,1.)); #399431=DIRECTION('center_axis',(1.,0.,0.)); #399432=DIRECTION('ref_axis',(0.,1.,0.)); #399433=DIRECTION('',(0.,1.,0.)); #399434=DIRECTION('',(0.,1.,0.)); #399435=DIRECTION('',(0.,0.,1.)); #399436=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #399437=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #399438=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #399439=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #399440=DIRECTION('',(0.,0.,1.)); #399441=DIRECTION('center_axis',(0.833659859749778,-0.552278225391859,0.)); #399442=DIRECTION('ref_axis',(0.552278225391859,0.833659859749778,0.)); #399443=DIRECTION('',(0.552278225391859,0.833659859749778,0.)); #399444=DIRECTION('',(0.552278225391859,0.833659859749778,0.)); #399445=DIRECTION('',(0.,0.,1.)); #399446=DIRECTION('center_axis',(0.707106781186549,-0.707106781186546,0.)); #399447=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,0.)); #399448=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #399449=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #399450=DIRECTION('',(0.,0.,1.)); #399451=DIRECTION('center_axis',(0.558946331948864,-0.829203833807412,0.)); #399452=DIRECTION('ref_axis',(0.829203833807412,0.558946331948864,0.)); #399453=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #399454=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #399455=DIRECTION('center_axis',(0.,0.,1.)); #399456=DIRECTION('ref_axis',(1.,0.,0.)); #399457=DIRECTION('center_axis',(0.,0.,1.)); #399458=DIRECTION('ref_axis',(1.,0.,0.)); #399459=DIRECTION('center_axis',(0.21467431451675,-0.97668569083752,0.)); #399460=DIRECTION('ref_axis',(0.97668569083752,0.21467431451675,0.)); #399461=DIRECTION('',(0.97668569083752,0.21467431451675,0.)); #399462=DIRECTION('',(0.,0.,1.)); #399463=DIRECTION('',(0.97668569083752,0.21467431451675,0.)); #399464=DIRECTION('',(0.,0.,1.)); #399465=DIRECTION('center_axis',(-0.183334818573788,-0.983050529880594, 0.)); #399466=DIRECTION('ref_axis',(0.983050529880594,-0.183334818573788,0.)); #399467=DIRECTION('',(0.983050529880594,-0.183334818573788,0.)); #399468=DIRECTION('',(0.983050529880594,-0.183334818573788,0.)); #399469=DIRECTION('',(0.,0.,1.)); #399470=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #399471=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #399472=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #399473=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #399474=DIRECTION('',(0.,0.,1.)); #399475=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #399476=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #399477=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #399478=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #399479=DIRECTION('',(0.,0.,1.)); #399480=DIRECTION('center_axis',(-0.829203833807318,-0.558946331949003, 0.)); #399481=DIRECTION('ref_axis',(0.558946331949003,-0.829203833807318,0.)); #399482=DIRECTION('',(0.558946331949003,-0.829203833807318,0.)); #399483=DIRECTION('',(0.558946331949003,-0.829203833807318,0.)); #399484=DIRECTION('',(0.,0.,1.)); #399485=DIRECTION('center_axis',(-0.980008419561376,-0.19895601923243,0.)); #399486=DIRECTION('ref_axis',(0.19895601923243,-0.980008419561375,0.)); #399487=DIRECTION('',(0.19895601923243,-0.980008419561375,0.)); #399488=DIRECTION('',(0.19895601923243,-0.980008419561375,0.)); #399489=DIRECTION('',(0.,0.,1.)); #399490=DIRECTION('center_axis',(-1.,0.,0.)); #399491=DIRECTION('ref_axis',(0.,-1.,0.)); #399492=DIRECTION('',(0.,-1.,0.)); #399493=DIRECTION('',(0.,-1.,0.)); #399494=DIRECTION('',(0.,0.,1.)); #399495=DIRECTION('center_axis',(-0.981560865252974,0.191149856928623,0.)); #399496=DIRECTION('ref_axis',(-0.191149856928623,-0.981560865252974,0.)); #399497=DIRECTION('',(-0.191149856928623,-0.981560865252974,0.)); #399498=DIRECTION('',(-0.191149856928623,-0.981560865252974,0.)); #399499=DIRECTION('',(0.,0.,1.)); #399500=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #399501=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #399502=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #399503=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #399504=DIRECTION('',(0.,0.,1.)); #399505=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #399506=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #399507=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #399508=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #399509=DIRECTION('',(0.,0.,1.)); #399510=DIRECTION('center_axis',(-0.565439331101364,0.824789890119685,0.)); #399511=DIRECTION('ref_axis',(-0.824789890119685,-0.565439331101364,0.)); #399512=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #399513=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #399514=DIRECTION('',(0.,0.,1.)); #399515=DIRECTION('center_axis',(-0.214674314516549,0.976685690837564,0.)); #399516=DIRECTION('ref_axis',(-0.976685690837564,-0.214674314516549,0.)); #399517=DIRECTION('',(-0.976685690837564,-0.214674314516549,0.)); #399518=DIRECTION('',(-0.976685690837564,-0.214674314516549,0.)); #399519=DIRECTION('',(0.,0.,1.)); #399520=DIRECTION('center_axis',(0.183334818573558,0.983050529880637,0.)); #399521=DIRECTION('ref_axis',(-0.983050529880637,0.183334818573558,0.)); #399522=DIRECTION('',(-0.983050529880637,0.183334818573558,0.)); #399523=DIRECTION('',(-0.983050529880637,0.183334818573558,0.)); #399524=DIRECTION('',(0.,0.,1.)); #399525=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #399526=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #399527=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #399528=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #399529=DIRECTION('',(0.,0.,1.)); #399530=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #399531=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #399532=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #399533=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #399534=DIRECTION('',(0.,0.,1.)); #399535=DIRECTION('center_axis',(0.829203833807318,0.558946331949003,0.)); #399536=DIRECTION('ref_axis',(-0.558946331949003,0.829203833807318,0.)); #399537=DIRECTION('',(-0.558946331949003,0.829203833807318,0.)); #399538=DIRECTION('',(-0.558946331949003,0.829203833807318,0.)); #399539=DIRECTION('',(0.,0.,1.)); #399540=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #399541=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #399542=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #399543=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #399544=DIRECTION('',(0.,0.,1.)); #399545=DIRECTION('center_axis',(1.,0.,0.)); #399546=DIRECTION('ref_axis',(0.,1.,0.)); #399547=DIRECTION('',(0.,1.,0.)); #399548=DIRECTION('',(0.,1.,0.)); #399549=DIRECTION('',(0.,0.,1.)); #399550=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #399551=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #399552=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #399553=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #399554=DIRECTION('',(0.,0.,1.)); #399555=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #399556=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #399557=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #399558=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #399559=DIRECTION('',(0.,0.,1.)); #399560=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #399561=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #399562=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #399563=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #399564=DIRECTION('',(0.,0.,1.)); #399565=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #399566=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #399567=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #399568=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #399569=DIRECTION('center_axis',(0.,0.,1.)); #399570=DIRECTION('ref_axis',(1.,0.,0.)); #399571=DIRECTION('center_axis',(0.,0.,1.)); #399572=DIRECTION('ref_axis',(1.,0.,0.)); #399573=DIRECTION('center_axis',(0.1989560192326,-0.980008419561341,0.)); #399574=DIRECTION('ref_axis',(0.980008419561341,0.1989560192326,0.)); #399575=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #399576=DIRECTION('',(0.,0.,1.)); #399577=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #399578=DIRECTION('',(0.,0.,1.)); #399579=DIRECTION('center_axis',(0.,-1.,0.)); #399580=DIRECTION('ref_axis',(1.,0.,0.)); #399581=DIRECTION('',(1.,0.,0.)); #399582=DIRECTION('',(1.,0.,0.)); #399583=DIRECTION('',(0.,0.,1.)); #399584=DIRECTION('center_axis',(-0.18330529025729,-0.98305603632941,0.)); #399585=DIRECTION('ref_axis',(0.98305603632941,-0.18330529025729,0.)); #399586=DIRECTION('',(0.98305603632941,-0.18330529025729,0.)); #399587=DIRECTION('',(0.98305603632941,-0.18330529025729,0.)); #399588=DIRECTION('',(0.,0.,1.)); #399589=DIRECTION('center_axis',(-0.538911466745989,-0.842362410729306, 0.)); #399590=DIRECTION('ref_axis',(0.842362410729306,-0.538911466745989,0.)); #399591=DIRECTION('',(0.842362410729306,-0.538911466745989,0.)); #399592=DIRECTION('',(0.842362410729306,-0.538911466745989,0.)); #399593=DIRECTION('',(0.,0.,1.)); #399594=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #399595=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #399596=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #399597=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #399598=DIRECTION('',(0.,0.,1.)); #399599=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #399600=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #399601=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #399602=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #399603=DIRECTION('',(0.,0.,1.)); #399604=DIRECTION('center_axis',(-1.,0.,0.)); #399605=DIRECTION('ref_axis',(0.,-1.,0.)); #399606=DIRECTION('',(0.,-1.,0.)); #399607=DIRECTION('',(0.,-1.,0.)); #399608=DIRECTION('',(0.,0.,1.)); #399609=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #399610=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #399611=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #399612=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #399613=DIRECTION('',(0.,0.,1.)); #399614=DIRECTION('center_axis',(-0.84236241072922,0.538911466746122,0.)); #399615=DIRECTION('ref_axis',(-0.538911466746122,-0.84236241072922,0.)); #399616=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #399617=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #399618=DIRECTION('',(0.,0.,1.)); #399619=DIRECTION('center_axis',(-0.565504004715131,0.824745549033852,0.)); #399620=DIRECTION('ref_axis',(-0.824745549033852,-0.565504004715131,0.)); #399621=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #399622=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #399623=DIRECTION('',(0.,0.,1.)); #399624=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #399625=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #399626=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #399627=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #399628=DIRECTION('',(0.,0.,1.)); #399629=DIRECTION('center_axis',(0.,1.,0.)); #399630=DIRECTION('ref_axis',(-1.,0.,0.)); #399631=DIRECTION('',(-1.,0.,0.)); #399632=DIRECTION('',(-1.,0.,0.)); #399633=DIRECTION('',(0.,0.,1.)); #399634=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #399635=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #399636=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #399637=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #399638=DIRECTION('',(0.,0.,1.)); #399639=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #399640=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #399641=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #399642=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #399643=DIRECTION('',(0.,0.,1.)); #399644=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #399645=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #399646=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #399647=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #399648=DIRECTION('',(0.,0.,1.)); #399649=DIRECTION('center_axis',(0.820212632122549,0.572058771549392,0.)); #399650=DIRECTION('ref_axis',(-0.572058771549392,0.820212632122549,0.)); #399651=DIRECTION('',(-0.572058771549392,0.820212632122549,0.)); #399652=DIRECTION('',(-0.572058771549392,0.820212632122549,0.)); #399653=DIRECTION('',(0.,0.,1.)); #399654=DIRECTION('center_axis',(0.973144071553019,0.230196907019212,0.)); #399655=DIRECTION('ref_axis',(-0.230196907019212,0.973144071553019,0.)); #399656=DIRECTION('',(-0.230196907019212,0.973144071553019,0.)); #399657=DIRECTION('',(-0.230196907019212,0.973144071553019,0.)); #399658=DIRECTION('',(0.,0.,1.)); #399659=DIRECTION('center_axis',(0.985842168327549,-0.167675934907893,0.)); #399660=DIRECTION('ref_axis',(0.167675934907893,0.985842168327549,0.)); #399661=DIRECTION('',(0.167675934907893,0.985842168327549,0.)); #399662=DIRECTION('',(0.167675934907893,0.985842168327549,0.)); #399663=DIRECTION('',(0.,0.,1.)); #399664=DIRECTION('center_axis',(0.842377379073405,-0.53888806929215,0.)); #399665=DIRECTION('ref_axis',(0.53888806929215,0.842377379073405,0.)); #399666=DIRECTION('',(0.53888806929215,0.842377379073405,0.)); #399667=DIRECTION('',(0.53888806929215,0.842377379073405,0.)); #399668=DIRECTION('',(0.,0.,1.)); #399669=DIRECTION('center_axis',(0.565488626065924,-0.82475609351497,0.)); #399670=DIRECTION('ref_axis',(0.82475609351497,0.565488626065924,0.)); #399671=DIRECTION('',(0.82475609351497,0.565488626065924,0.)); #399672=DIRECTION('',(0.82475609351497,0.565488626065924,0.)); #399673=DIRECTION('center_axis',(0.,0.,1.)); #399674=DIRECTION('ref_axis',(1.,0.,0.)); #399675=DIRECTION('center_axis',(0.,0.,1.)); #399676=DIRECTION('ref_axis',(1.,0.,0.)); #399677=DIRECTION('center_axis',(0.,0.,1.)); #399678=DIRECTION('ref_axis',(1.,0.,0.)); #399679=DIRECTION('center_axis',(0.,0.,1.)); #399680=DIRECTION('ref_axis',(1.,0.,0.)); #399681=DIRECTION('',(0.,0.,1.)); #399682=DIRECTION('center_axis',(0.,0.,-1.)); #399683=DIRECTION('ref_axis',(1.,0.,0.)); #399684=DIRECTION('center_axis',(0.,0.,1.)); #399685=DIRECTION('ref_axis',(1.,0.,0.)); #399686=DIRECTION('center_axis',(0.,0.,1.)); #399687=DIRECTION('ref_axis',(1.,0.,0.)); #399688=DIRECTION('',(0.,0.,1.)); #399689=DIRECTION('center_axis',(0.,0.,-1.)); #399690=DIRECTION('ref_axis',(1.,0.,0.)); #399691=DIRECTION('center_axis',(0.,0.,1.)); #399692=DIRECTION('ref_axis',(1.,0.,0.)); #399693=DIRECTION('center_axis',(0.,0.,1.)); #399694=DIRECTION('ref_axis',(1.,0.,0.)); #399695=DIRECTION('',(0.,0.,1.)); #399696=DIRECTION('center_axis',(0.,0.,-1.)); #399697=DIRECTION('ref_axis',(1.,0.,0.)); #399698=DIRECTION('center_axis',(0.,0.,1.)); #399699=DIRECTION('ref_axis',(1.,0.,0.)); #399700=DIRECTION('center_axis',(0.,0.,1.)); #399701=DIRECTION('ref_axis',(1.,0.,0.)); #399702=DIRECTION('',(0.,0.,1.)); #399703=DIRECTION('center_axis',(0.,0.,-1.)); #399704=DIRECTION('ref_axis',(1.,0.,0.)); #399705=DIRECTION('center_axis',(0.,0.,1.)); #399706=DIRECTION('ref_axis',(1.,0.,0.)); #399707=DIRECTION('center_axis',(0.,0.,1.)); #399708=DIRECTION('ref_axis',(1.,0.,0.)); #399709=DIRECTION('',(0.,0.,1.)); #399710=DIRECTION('center_axis',(0.,0.,-1.)); #399711=DIRECTION('ref_axis',(1.,0.,0.)); #399712=DIRECTION('center_axis',(0.,0.,1.)); #399713=DIRECTION('ref_axis',(1.,0.,0.)); #399714=DIRECTION('center_axis',(0.,0.,1.)); #399715=DIRECTION('ref_axis',(1.,0.,0.)); #399716=DIRECTION('',(0.,0.,1.)); #399717=DIRECTION('center_axis',(0.,0.,-1.)); #399718=DIRECTION('ref_axis',(1.,0.,0.)); #399719=DIRECTION('center_axis',(0.,0.,1.)); #399720=DIRECTION('ref_axis',(1.,0.,0.)); #399721=DIRECTION('center_axis',(0.,0.,1.)); #399722=DIRECTION('ref_axis',(1.,0.,0.)); #399723=DIRECTION('',(0.,0.,1.)); #399724=DIRECTION('center_axis',(0.,0.,-1.)); #399725=DIRECTION('ref_axis',(1.,0.,0.)); #399726=DIRECTION('center_axis',(0.,0.,1.)); #399727=DIRECTION('ref_axis',(1.,0.,0.)); #399728=DIRECTION('center_axis',(0.,0.,1.)); #399729=DIRECTION('ref_axis',(1.,0.,0.)); #399730=DIRECTION('',(0.,0.,1.)); #399731=DIRECTION('center_axis',(0.,0.,-1.)); #399732=DIRECTION('ref_axis',(1.,0.,0.)); #399733=DIRECTION('center_axis',(0.,0.,1.)); #399734=DIRECTION('ref_axis',(1.,0.,0.)); #399735=DIRECTION('center_axis',(0.,0.,1.)); #399736=DIRECTION('ref_axis',(1.,0.,0.)); #399737=DIRECTION('',(0.,0.,1.)); #399738=DIRECTION('center_axis',(0.,0.,-1.)); #399739=DIRECTION('ref_axis',(1.,0.,0.)); #399740=DIRECTION('center_axis',(0.,0.,1.)); #399741=DIRECTION('ref_axis',(1.,0.,0.)); #399742=DIRECTION('center_axis',(0.,0.,1.)); #399743=DIRECTION('ref_axis',(1.,0.,0.)); #399744=DIRECTION('',(0.,0.,1.)); #399745=DIRECTION('center_axis',(0.,0.,-1.)); #399746=DIRECTION('ref_axis',(1.,0.,0.)); #399747=DIRECTION('center_axis',(0.,0.,1.)); #399748=DIRECTION('ref_axis',(1.,0.,0.)); #399749=DIRECTION('center_axis',(0.,0.,1.)); #399750=DIRECTION('ref_axis',(1.,0.,0.)); #399751=DIRECTION('',(0.,0.,1.)); #399752=DIRECTION('center_axis',(0.,0.,-1.)); #399753=DIRECTION('ref_axis',(1.,0.,0.)); #399754=DIRECTION('center_axis',(0.,0.,1.)); #399755=DIRECTION('ref_axis',(1.,0.,0.)); #399756=DIRECTION('center_axis',(0.,0.,1.)); #399757=DIRECTION('ref_axis',(1.,0.,0.)); #399758=DIRECTION('',(0.,0.,1.)); #399759=DIRECTION('center_axis',(0.,0.,-1.)); #399760=DIRECTION('ref_axis',(1.,0.,0.)); #399761=DIRECTION('center_axis',(0.,0.,1.)); #399762=DIRECTION('ref_axis',(1.,0.,0.)); #399763=DIRECTION('center_axis',(0.,0.,1.)); #399764=DIRECTION('ref_axis',(1.,0.,0.)); #399765=DIRECTION('',(0.,0.,1.)); #399766=DIRECTION('center_axis',(0.,0.,-1.)); #399767=DIRECTION('ref_axis',(1.,0.,0.)); #399768=DIRECTION('center_axis',(0.,0.,1.)); #399769=DIRECTION('ref_axis',(1.,0.,0.)); #399770=DIRECTION('center_axis',(0.,0.,1.)); #399771=DIRECTION('ref_axis',(1.,0.,0.)); #399772=DIRECTION('',(0.,0.,1.)); #399773=DIRECTION('center_axis',(0.,0.,-1.)); #399774=DIRECTION('ref_axis',(1.,0.,0.)); #399775=DIRECTION('center_axis',(0.,0.,1.)); #399776=DIRECTION('ref_axis',(1.,0.,0.)); #399777=DIRECTION('center_axis',(0.,0.,1.)); #399778=DIRECTION('ref_axis',(1.,0.,0.)); #399779=DIRECTION('',(0.,0.,1.)); #399780=DIRECTION('center_axis',(0.,0.,-1.)); #399781=DIRECTION('ref_axis',(1.,0.,0.)); #399782=DIRECTION('center_axis',(0.,0.,1.)); #399783=DIRECTION('ref_axis',(1.,0.,0.)); #399784=DIRECTION('center_axis',(0.,0.,1.)); #399785=DIRECTION('ref_axis',(1.,0.,0.)); #399786=DIRECTION('',(0.,0.,1.)); #399787=DIRECTION('center_axis',(0.,0.,-1.)); #399788=DIRECTION('ref_axis',(1.,0.,0.)); #399789=DIRECTION('center_axis',(0.,0.,1.)); #399790=DIRECTION('ref_axis',(1.,0.,0.)); #399791=DIRECTION('center_axis',(0.,0.,1.)); #399792=DIRECTION('ref_axis',(1.,0.,0.)); #399793=DIRECTION('',(0.,0.,1.)); #399794=DIRECTION('center_axis',(0.,0.,-1.)); #399795=DIRECTION('ref_axis',(1.,0.,0.)); #399796=DIRECTION('center_axis',(0.,0.,1.)); #399797=DIRECTION('ref_axis',(1.,0.,0.)); #399798=DIRECTION('center_axis',(0.,0.,1.)); #399799=DIRECTION('ref_axis',(1.,0.,0.)); #399800=DIRECTION('',(0.,0.,1.)); #399801=DIRECTION('center_axis',(0.,0.,-1.)); #399802=DIRECTION('ref_axis',(1.,0.,0.)); #399803=DIRECTION('center_axis',(0.,0.,1.)); #399804=DIRECTION('ref_axis',(1.,0.,0.)); #399805=DIRECTION('center_axis',(0.,0.,1.)); #399806=DIRECTION('ref_axis',(1.,0.,0.)); #399807=DIRECTION('',(0.,0.,1.)); #399808=DIRECTION('center_axis',(0.,0.,-1.)); #399809=DIRECTION('ref_axis',(1.,0.,0.)); #399810=DIRECTION('center_axis',(0.,0.,1.)); #399811=DIRECTION('ref_axis',(1.,0.,0.)); #399812=DIRECTION('center_axis',(0.,0.,1.)); #399813=DIRECTION('ref_axis',(1.,0.,0.)); #399814=DIRECTION('',(0.,0.,1.)); #399815=DIRECTION('center_axis',(0.,0.,-1.)); #399816=DIRECTION('ref_axis',(1.,0.,0.)); #399817=DIRECTION('center_axis',(0.,0.,1.)); #399818=DIRECTION('ref_axis',(1.,0.,0.)); #399819=DIRECTION('center_axis',(0.,0.,1.)); #399820=DIRECTION('ref_axis',(1.,0.,0.)); #399821=DIRECTION('',(0.,0.,1.)); #399822=DIRECTION('center_axis',(0.,0.,-1.)); #399823=DIRECTION('ref_axis',(1.,0.,0.)); #399824=DIRECTION('center_axis',(0.,0.,1.)); #399825=DIRECTION('ref_axis',(1.,0.,0.)); #399826=DIRECTION('center_axis',(0.,0.,1.)); #399827=DIRECTION('ref_axis',(1.,0.,0.)); #399828=DIRECTION('',(0.,0.,1.)); #399829=DIRECTION('center_axis',(0.,0.,-1.)); #399830=DIRECTION('ref_axis',(1.,0.,0.)); #399831=DIRECTION('center_axis',(0.,0.,1.)); #399832=DIRECTION('ref_axis',(1.,0.,0.)); #399833=DIRECTION('center_axis',(0.,0.,1.)); #399834=DIRECTION('ref_axis',(1.,0.,0.)); #399835=DIRECTION('',(0.,0.,1.)); #399836=DIRECTION('center_axis',(0.,0.,-1.)); #399837=DIRECTION('ref_axis',(1.,0.,0.)); #399838=DIRECTION('center_axis',(0.,0.,1.)); #399839=DIRECTION('ref_axis',(1.,0.,0.)); #399840=DIRECTION('center_axis',(0.,0.,1.)); #399841=DIRECTION('ref_axis',(1.,0.,0.)); #399842=DIRECTION('',(0.,0.,1.)); #399843=DIRECTION('center_axis',(0.,0.,-1.)); #399844=DIRECTION('ref_axis',(1.,0.,0.)); #399845=DIRECTION('center_axis',(0.,0.,1.)); #399846=DIRECTION('ref_axis',(1.,0.,0.)); #399847=DIRECTION('center_axis',(0.,0.,1.)); #399848=DIRECTION('ref_axis',(1.,0.,0.)); #399849=DIRECTION('',(0.,0.,1.)); #399850=DIRECTION('center_axis',(0.,0.,-1.)); #399851=DIRECTION('ref_axis',(1.,0.,0.)); #399852=DIRECTION('center_axis',(0.,0.,1.)); #399853=DIRECTION('ref_axis',(1.,0.,0.)); #399854=DIRECTION('center_axis',(0.,0.,1.)); #399855=DIRECTION('ref_axis',(1.,0.,0.)); #399856=DIRECTION('',(0.,0.,1.)); #399857=DIRECTION('center_axis',(0.,0.,-1.)); #399858=DIRECTION('ref_axis',(1.,0.,0.)); #399859=DIRECTION('center_axis',(0.,0.,1.)); #399860=DIRECTION('ref_axis',(1.,0.,0.)); #399861=DIRECTION('center_axis',(0.,0.,1.)); #399862=DIRECTION('ref_axis',(1.,0.,0.)); #399863=DIRECTION('',(0.,0.,1.)); #399864=DIRECTION('center_axis',(0.,0.,-1.)); #399865=DIRECTION('ref_axis',(1.,0.,0.)); #399866=DIRECTION('center_axis',(0.,0.,1.)); #399867=DIRECTION('ref_axis',(1.,0.,0.)); #399868=DIRECTION('center_axis',(0.,0.,1.)); #399869=DIRECTION('ref_axis',(1.,0.,0.)); #399870=DIRECTION('',(0.,0.,1.)); #399871=DIRECTION('center_axis',(0.,0.,-1.)); #399872=DIRECTION('ref_axis',(1.,0.,0.)); #399873=DIRECTION('center_axis',(0.,0.,1.)); #399874=DIRECTION('ref_axis',(1.,0.,0.)); #399875=DIRECTION('center_axis',(0.,0.,1.)); #399876=DIRECTION('ref_axis',(1.,0.,0.)); #399877=DIRECTION('',(0.,0.,1.)); #399878=DIRECTION('center_axis',(0.,0.,-1.)); #399879=DIRECTION('ref_axis',(1.,0.,0.)); #399880=DIRECTION('center_axis',(0.,0.,1.)); #399881=DIRECTION('ref_axis',(1.,0.,0.)); #399882=DIRECTION('center_axis',(0.,0.,1.)); #399883=DIRECTION('ref_axis',(1.,0.,0.)); #399884=DIRECTION('',(0.,0.,1.)); #399885=DIRECTION('center_axis',(0.,0.,-1.)); #399886=DIRECTION('ref_axis',(1.,0.,0.)); #399887=DIRECTION('center_axis',(0.,0.,1.)); #399888=DIRECTION('ref_axis',(1.,0.,0.)); #399889=DIRECTION('center_axis',(0.,0.,1.)); #399890=DIRECTION('ref_axis',(1.,0.,0.)); #399891=DIRECTION('',(0.,0.,1.)); #399892=DIRECTION('center_axis',(0.,0.,-1.)); #399893=DIRECTION('ref_axis',(1.,0.,0.)); #399894=DIRECTION('center_axis',(0.,0.,1.)); #399895=DIRECTION('ref_axis',(1.,0.,0.)); #399896=DIRECTION('center_axis',(0.,0.,1.)); #399897=DIRECTION('ref_axis',(1.,0.,0.)); #399898=DIRECTION('',(0.,0.,1.)); #399899=DIRECTION('center_axis',(0.,0.,-1.)); #399900=DIRECTION('ref_axis',(1.,0.,0.)); #399901=DIRECTION('center_axis',(0.,0.,1.)); #399902=DIRECTION('ref_axis',(1.,0.,0.)); #399903=DIRECTION('center_axis',(0.,0.,1.)); #399904=DIRECTION('ref_axis',(1.,0.,0.)); #399905=DIRECTION('',(0.,0.,1.)); #399906=DIRECTION('center_axis',(0.,0.,-1.)); #399907=DIRECTION('ref_axis',(1.,0.,0.)); #399908=DIRECTION('center_axis',(0.,0.,1.)); #399909=DIRECTION('ref_axis',(1.,0.,0.)); #399910=DIRECTION('center_axis',(0.,0.,1.)); #399911=DIRECTION('ref_axis',(1.,0.,0.)); #399912=DIRECTION('',(0.,0.,1.)); #399913=DIRECTION('center_axis',(0.,0.,-1.)); #399914=DIRECTION('ref_axis',(1.,0.,0.)); #399915=DIRECTION('center_axis',(0.,0.,1.)); #399916=DIRECTION('ref_axis',(1.,0.,0.)); #399917=DIRECTION('center_axis',(0.,0.,1.)); #399918=DIRECTION('ref_axis',(1.,0.,0.)); #399919=DIRECTION('',(0.,0.,1.)); #399920=DIRECTION('center_axis',(0.,0.,-1.)); #399921=DIRECTION('ref_axis',(1.,0.,0.)); #399922=DIRECTION('center_axis',(0.,0.,1.)); #399923=DIRECTION('ref_axis',(1.,0.,0.)); #399924=DIRECTION('center_axis',(0.,0.,1.)); #399925=DIRECTION('ref_axis',(1.,0.,0.)); #399926=DIRECTION('',(0.,0.,1.)); #399927=DIRECTION('center_axis',(0.,0.,-1.)); #399928=DIRECTION('ref_axis',(1.,0.,0.)); #399929=DIRECTION('center_axis',(0.,0.,1.)); #399930=DIRECTION('ref_axis',(1.,0.,0.)); #399931=DIRECTION('center_axis',(0.,0.,1.)); #399932=DIRECTION('ref_axis',(1.,0.,0.)); #399933=DIRECTION('',(0.,0.,1.)); #399934=DIRECTION('center_axis',(0.,0.,-1.)); #399935=DIRECTION('ref_axis',(1.,0.,0.)); #399936=DIRECTION('center_axis',(0.,0.,1.)); #399937=DIRECTION('ref_axis',(1.,0.,0.)); #399938=DIRECTION('center_axis',(0.,0.,1.)); #399939=DIRECTION('ref_axis',(1.,0.,0.)); #399940=DIRECTION('',(0.,0.,1.)); #399941=DIRECTION('center_axis',(0.,0.,-1.)); #399942=DIRECTION('ref_axis',(1.,0.,0.)); #399943=DIRECTION('center_axis',(0.,0.,1.)); #399944=DIRECTION('ref_axis',(1.,0.,0.)); #399945=DIRECTION('center_axis',(0.,0.,1.)); #399946=DIRECTION('ref_axis',(1.,0.,0.)); #399947=DIRECTION('',(0.,0.,1.)); #399948=DIRECTION('center_axis',(0.,0.,-1.)); #399949=DIRECTION('ref_axis',(1.,0.,0.)); #399950=DIRECTION('center_axis',(0.,0.,1.)); #399951=DIRECTION('ref_axis',(1.,0.,0.)); #399952=DIRECTION('center_axis',(0.,0.,1.)); #399953=DIRECTION('ref_axis',(1.,0.,0.)); #399954=DIRECTION('',(0.,0.,1.)); #399955=DIRECTION('center_axis',(0.,0.,-1.)); #399956=DIRECTION('ref_axis',(1.,0.,0.)); #399957=DIRECTION('center_axis',(0.,0.,1.)); #399958=DIRECTION('ref_axis',(1.,0.,0.)); #399959=DIRECTION('center_axis',(0.,0.,1.)); #399960=DIRECTION('ref_axis',(1.,0.,0.)); #399961=DIRECTION('',(0.,0.,1.)); #399962=DIRECTION('center_axis',(0.,0.,-1.)); #399963=DIRECTION('ref_axis',(1.,0.,0.)); #399964=DIRECTION('center_axis',(0.,0.,1.)); #399965=DIRECTION('ref_axis',(1.,0.,0.)); #399966=DIRECTION('center_axis',(0.,0.,1.)); #399967=DIRECTION('ref_axis',(1.,0.,0.)); #399968=DIRECTION('',(0.,0.,1.)); #399969=DIRECTION('center_axis',(0.,0.,-1.)); #399970=DIRECTION('ref_axis',(1.,0.,0.)); #399971=DIRECTION('center_axis',(0.,0.,1.)); #399972=DIRECTION('ref_axis',(1.,0.,0.)); #399973=DIRECTION('center_axis',(0.,0.,1.)); #399974=DIRECTION('ref_axis',(1.,0.,0.)); #399975=DIRECTION('',(0.,0.,1.)); #399976=DIRECTION('center_axis',(0.,0.,-1.)); #399977=DIRECTION('ref_axis',(1.,0.,0.)); #399978=DIRECTION('center_axis',(0.,0.,1.)); #399979=DIRECTION('ref_axis',(1.,0.,0.)); #399980=DIRECTION('center_axis',(0.,0.,1.)); #399981=DIRECTION('ref_axis',(1.,0.,0.)); #399982=DIRECTION('',(0.,0.,1.)); #399983=DIRECTION('center_axis',(0.,0.,-1.)); #399984=DIRECTION('ref_axis',(1.,0.,0.)); #399985=DIRECTION('center_axis',(0.,0.,1.)); #399986=DIRECTION('ref_axis',(1.,0.,0.)); #399987=DIRECTION('center_axis',(0.,0.,1.)); #399988=DIRECTION('ref_axis',(1.,0.,0.)); #399989=DIRECTION('',(0.,0.,1.)); #399990=DIRECTION('center_axis',(0.,0.,-1.)); #399991=DIRECTION('ref_axis',(1.,0.,0.)); #399992=DIRECTION('center_axis',(0.,0.,1.)); #399993=DIRECTION('ref_axis',(1.,0.,0.)); #399994=DIRECTION('center_axis',(0.,0.,1.)); #399995=DIRECTION('ref_axis',(1.,0.,0.)); #399996=DIRECTION('',(0.,0.,1.)); #399997=DIRECTION('center_axis',(0.,0.,-1.)); #399998=DIRECTION('ref_axis',(1.,0.,0.)); #399999=DIRECTION('center_axis',(0.,0.,1.)); #400000=DIRECTION('ref_axis',(1.,0.,0.)); #400001=DIRECTION('center_axis',(0.,0.,1.)); #400002=DIRECTION('ref_axis',(1.,0.,0.)); #400003=DIRECTION('',(0.,0.,1.)); #400004=DIRECTION('center_axis',(0.,0.,-1.)); #400005=DIRECTION('ref_axis',(1.,0.,0.)); #400006=DIRECTION('center_axis',(0.,0.,1.)); #400007=DIRECTION('ref_axis',(1.,0.,0.)); #400008=DIRECTION('center_axis',(0.,0.,1.)); #400009=DIRECTION('ref_axis',(1.,0.,0.)); #400010=DIRECTION('',(0.,0.,1.)); #400011=DIRECTION('center_axis',(0.,0.,-1.)); #400012=DIRECTION('ref_axis',(1.,0.,0.)); #400013=DIRECTION('center_axis',(0.,0.,1.)); #400014=DIRECTION('ref_axis',(1.,0.,0.)); #400015=DIRECTION('center_axis',(0.,0.,1.)); #400016=DIRECTION('ref_axis',(1.,0.,0.)); #400017=DIRECTION('',(0.,0.,1.)); #400018=DIRECTION('center_axis',(0.,0.,-1.)); #400019=DIRECTION('ref_axis',(1.,0.,0.)); #400020=DIRECTION('center_axis',(0.,0.,1.)); #400021=DIRECTION('ref_axis',(1.,0.,0.)); #400022=DIRECTION('center_axis',(0.,0.,1.)); #400023=DIRECTION('ref_axis',(1.,0.,0.)); #400024=DIRECTION('',(0.,0.,1.)); #400025=DIRECTION('center_axis',(0.,0.,-1.)); #400026=DIRECTION('ref_axis',(1.,0.,0.)); #400027=DIRECTION('center_axis',(0.,0.,1.)); #400028=DIRECTION('ref_axis',(1.,0.,0.)); #400029=DIRECTION('center_axis',(0.,0.,1.)); #400030=DIRECTION('ref_axis',(1.,0.,0.)); #400031=DIRECTION('',(0.,0.,1.)); #400032=DIRECTION('center_axis',(0.,0.,-1.)); #400033=DIRECTION('ref_axis',(1.,0.,0.)); #400034=DIRECTION('center_axis',(0.,0.,1.)); #400035=DIRECTION('ref_axis',(1.,0.,0.)); #400036=DIRECTION('center_axis',(0.,0.,1.)); #400037=DIRECTION('ref_axis',(1.,0.,0.)); #400038=DIRECTION('',(0.,0.,1.)); #400039=DIRECTION('center_axis',(0.,0.,-1.)); #400040=DIRECTION('ref_axis',(1.,0.,0.)); #400041=DIRECTION('center_axis',(0.,0.,1.)); #400042=DIRECTION('ref_axis',(1.,0.,0.)); #400043=DIRECTION('center_axis',(0.,0.,1.)); #400044=DIRECTION('ref_axis',(1.,0.,0.)); #400045=DIRECTION('',(0.,0.,1.)); #400046=DIRECTION('center_axis',(0.,0.,-1.)); #400047=DIRECTION('ref_axis',(1.,0.,0.)); #400048=DIRECTION('center_axis',(0.,0.,1.)); #400049=DIRECTION('ref_axis',(1.,0.,0.)); #400050=DIRECTION('center_axis',(0.,0.,1.)); #400051=DIRECTION('ref_axis',(1.,0.,0.)); #400052=DIRECTION('',(0.,0.,1.)); #400053=DIRECTION('center_axis',(0.,0.,-1.)); #400054=DIRECTION('ref_axis',(1.,0.,0.)); #400055=DIRECTION('center_axis',(0.,0.,1.)); #400056=DIRECTION('ref_axis',(1.,0.,0.)); #400057=DIRECTION('center_axis',(0.,0.,1.)); #400058=DIRECTION('ref_axis',(1.,0.,0.)); #400059=DIRECTION('',(0.,0.,1.)); #400060=DIRECTION('center_axis',(0.,0.,-1.)); #400061=DIRECTION('ref_axis',(1.,0.,0.)); #400062=DIRECTION('center_axis',(0.,0.,1.)); #400063=DIRECTION('ref_axis',(1.,0.,0.)); #400064=DIRECTION('center_axis',(0.,0.,1.)); #400065=DIRECTION('ref_axis',(1.,0.,0.)); #400066=DIRECTION('',(0.,0.,1.)); #400067=DIRECTION('center_axis',(0.,0.,-1.)); #400068=DIRECTION('ref_axis',(1.,0.,0.)); #400069=DIRECTION('center_axis',(0.,0.,1.)); #400070=DIRECTION('ref_axis',(1.,0.,0.)); #400071=DIRECTION('center_axis',(0.,0.,1.)); #400072=DIRECTION('ref_axis',(1.,0.,0.)); #400073=DIRECTION('',(0.,0.,1.)); #400074=DIRECTION('center_axis',(0.,0.,-1.)); #400075=DIRECTION('ref_axis',(1.,0.,0.)); #400076=DIRECTION('center_axis',(0.,0.,1.)); #400077=DIRECTION('ref_axis',(1.,0.,0.)); #400078=DIRECTION('center_axis',(0.,0.,1.)); #400079=DIRECTION('ref_axis',(1.,0.,0.)); #400080=DIRECTION('',(0.,0.,1.)); #400081=DIRECTION('center_axis',(0.,0.,-1.)); #400082=DIRECTION('ref_axis',(1.,0.,0.)); #400083=DIRECTION('center_axis',(0.,0.,1.)); #400084=DIRECTION('ref_axis',(1.,0.,0.)); #400085=DIRECTION('center_axis',(0.,0.,1.)); #400086=DIRECTION('ref_axis',(1.,0.,0.)); #400087=DIRECTION('',(0.,0.,1.)); #400088=DIRECTION('center_axis',(0.,0.,-1.)); #400089=DIRECTION('ref_axis',(1.,0.,0.)); #400090=DIRECTION('center_axis',(0.,0.,1.)); #400091=DIRECTION('ref_axis',(1.,0.,0.)); #400092=DIRECTION('center_axis',(0.,0.,1.)); #400093=DIRECTION('ref_axis',(1.,0.,0.)); #400094=DIRECTION('',(0.,0.,1.)); #400095=DIRECTION('center_axis',(0.,0.,-1.)); #400096=DIRECTION('ref_axis',(1.,0.,0.)); #400097=DIRECTION('center_axis',(0.,0.,1.)); #400098=DIRECTION('ref_axis',(1.,0.,0.)); #400099=DIRECTION('center_axis',(0.,0.,1.)); #400100=DIRECTION('ref_axis',(1.,0.,0.)); #400101=DIRECTION('',(0.,0.,1.)); #400102=DIRECTION('center_axis',(0.,0.,-1.)); #400103=DIRECTION('ref_axis',(1.,0.,0.)); #400104=DIRECTION('center_axis',(0.,0.,1.)); #400105=DIRECTION('ref_axis',(1.,0.,0.)); #400106=DIRECTION('center_axis',(0.,0.,1.)); #400107=DIRECTION('ref_axis',(1.,0.,0.)); #400108=DIRECTION('',(0.,0.,1.)); #400109=DIRECTION('center_axis',(0.,0.,-1.)); #400110=DIRECTION('ref_axis',(1.,0.,0.)); #400111=DIRECTION('center_axis',(0.,0.,1.)); #400112=DIRECTION('ref_axis',(1.,0.,0.)); #400113=DIRECTION('center_axis',(0.,0.,1.)); #400114=DIRECTION('ref_axis',(1.,0.,0.)); #400115=DIRECTION('',(0.,0.,1.)); #400116=DIRECTION('center_axis',(0.,0.,-1.)); #400117=DIRECTION('ref_axis',(1.,0.,0.)); #400118=DIRECTION('center_axis',(0.,0.,1.)); #400119=DIRECTION('ref_axis',(1.,0.,0.)); #400120=DIRECTION('center_axis',(0.,0.,1.)); #400121=DIRECTION('ref_axis',(1.,0.,0.)); #400122=DIRECTION('',(0.,0.,1.)); #400123=DIRECTION('center_axis',(0.,0.,-1.)); #400124=DIRECTION('ref_axis',(1.,0.,0.)); #400125=DIRECTION('center_axis',(1.,0.,0.)); #400126=DIRECTION('ref_axis',(0.,1.,0.)); #400127=DIRECTION('',(0.,-1.,0.)); #400128=DIRECTION('',(0.,0.,1.)); #400129=DIRECTION('',(0.,1.,0.)); #400130=DIRECTION('',(0.,0.,1.)); #400131=DIRECTION('center_axis',(0.,0.,1.)); #400132=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #400133=DIRECTION('center_axis',(0.,0.,-1.)); #400134=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #400135=DIRECTION('center_axis',(0.,0.,1.)); #400136=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #400137=DIRECTION('',(0.,0.,1.)); #400138=DIRECTION('center_axis',(-1.,0.,0.)); #400139=DIRECTION('ref_axis',(0.,-1.,0.)); #400140=DIRECTION('',(0.,1.,0.)); #400141=DIRECTION('',(0.,-1.,0.)); #400142=DIRECTION('',(0.,0.,1.)); #400143=DIRECTION('center_axis',(0.,0.,1.)); #400144=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #400145=DIRECTION('center_axis',(0.,0.,-1.)); #400146=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #400147=DIRECTION('center_axis',(0.,0.,1.)); #400148=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #400149=DIRECTION('center_axis',(0.,1.,0.)); #400150=DIRECTION('ref_axis',(-1.,0.,0.)); #400151=DIRECTION('',(1.,0.,0.)); #400152=DIRECTION('',(0.,0.,1.)); #400153=DIRECTION('',(-1.,0.,0.)); #400154=DIRECTION('',(0.,0.,1.)); #400155=DIRECTION('center_axis',(0.,0.,1.)); #400156=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #400157=DIRECTION('center_axis',(0.,0.,-1.)); #400158=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #400159=DIRECTION('center_axis',(0.,0.,1.)); #400160=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #400161=DIRECTION('',(0.,0.,1.)); #400162=DIRECTION('center_axis',(0.,-1.,0.)); #400163=DIRECTION('ref_axis',(1.,0.,0.)); #400164=DIRECTION('',(-1.,0.,0.)); #400165=DIRECTION('',(1.,0.,0.)); #400166=DIRECTION('',(0.,0.,1.)); #400167=DIRECTION('center_axis',(0.,0.,1.)); #400168=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #400169=DIRECTION('center_axis',(0.,0.,-1.)); #400170=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #400171=DIRECTION('center_axis',(0.,0.,1.)); #400172=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #400173=DIRECTION('center_axis',(0.,0.,1.)); #400174=DIRECTION('ref_axis',(1.,0.,0.)); #400175=DIRECTION('center_axis',(0.,0.,1.)); #400176=DIRECTION('ref_axis',(1.,0.,0.)); #400177=DIRECTION('',(0.,0.,1.)); #400178=DIRECTION('center_axis',(0.,0.,-1.)); #400179=DIRECTION('ref_axis',(1.,0.,0.)); #400180=DIRECTION('center_axis',(0.,0.,1.)); #400181=DIRECTION('ref_axis',(1.,0.,0.)); #400182=DIRECTION('center_axis',(0.,0.,1.)); #400183=DIRECTION('ref_axis',(1.,0.,0.)); #400184=DIRECTION('',(0.,0.,1.)); #400185=DIRECTION('center_axis',(0.,0.,-1.)); #400186=DIRECTION('ref_axis',(1.,0.,0.)); #400187=DIRECTION('center_axis',(0.,1.,0.)); #400188=DIRECTION('ref_axis',(-1.,0.,0.)); #400189=DIRECTION('',(1.,0.,0.)); #400190=DIRECTION('',(0.,0.,1.)); #400191=DIRECTION('',(-1.,0.,0.)); #400192=DIRECTION('',(0.,0.,1.)); #400193=DIRECTION('center_axis',(0.,0.,1.)); #400194=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #400195=DIRECTION('center_axis',(0.,0.,-1.)); #400196=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #400197=DIRECTION('center_axis',(0.,0.,1.)); #400198=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #400199=DIRECTION('',(0.,0.,1.)); #400200=DIRECTION('center_axis',(0.,-1.,0.)); #400201=DIRECTION('ref_axis',(1.,0.,0.)); #400202=DIRECTION('',(-1.,0.,0.)); #400203=DIRECTION('',(1.,0.,0.)); #400204=DIRECTION('',(0.,0.,1.)); #400205=DIRECTION('center_axis',(0.,0.,1.)); #400206=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #400207=DIRECTION('center_axis',(0.,0.,-1.)); #400208=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #400209=DIRECTION('center_axis',(0.,0.,1.)); #400210=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #400211=DIRECTION('center_axis',(0.,0.,1.)); #400212=DIRECTION('ref_axis',(1.,0.,0.)); #400213=DIRECTION('center_axis',(0.,0.,1.)); #400214=DIRECTION('ref_axis',(1.,0.,0.)); #400215=DIRECTION('',(0.,0.,1.)); #400216=DIRECTION('center_axis',(0.,0.,-1.)); #400217=DIRECTION('ref_axis',(1.,0.,0.)); #400218=DIRECTION('center_axis',(0.,0.,1.)); #400219=DIRECTION('ref_axis',(1.,0.,0.)); #400220=DIRECTION('center_axis',(0.,0.,1.)); #400221=DIRECTION('ref_axis',(1.,0.,0.)); #400222=DIRECTION('',(0.,0.,1.)); #400223=DIRECTION('center_axis',(0.,0.,-1.)); #400224=DIRECTION('ref_axis',(1.,0.,0.)); #400225=DIRECTION('center_axis',(0.,0.,1.)); #400226=DIRECTION('ref_axis',(1.,0.,0.)); #400227=DIRECTION('center_axis',(0.,0.,1.)); #400228=DIRECTION('ref_axis',(1.,0.,0.)); #400229=DIRECTION('',(0.,0.,1.)); #400230=DIRECTION('center_axis',(0.,0.,-1.)); #400231=DIRECTION('ref_axis',(1.,0.,0.)); #400232=DIRECTION('center_axis',(0.,0.,1.)); #400233=DIRECTION('ref_axis',(1.,0.,0.)); #400234=DIRECTION('center_axis',(0.,0.,1.)); #400235=DIRECTION('ref_axis',(1.,0.,0.)); #400236=DIRECTION('',(0.,0.,1.)); #400237=DIRECTION('center_axis',(0.,0.,-1.)); #400238=DIRECTION('ref_axis',(1.,0.,0.)); #400239=DIRECTION('center_axis',(0.,0.,1.)); #400240=DIRECTION('ref_axis',(1.,0.,0.)); #400241=DIRECTION('center_axis',(0.,0.,1.)); #400242=DIRECTION('ref_axis',(1.,0.,0.)); #400243=DIRECTION('',(0.,0.,1.)); #400244=DIRECTION('center_axis',(0.,0.,-1.)); #400245=DIRECTION('ref_axis',(1.,0.,0.)); #400246=DIRECTION('center_axis',(1.,0.,0.)); #400247=DIRECTION('ref_axis',(0.,1.,0.)); #400248=DIRECTION('',(0.,-1.,0.)); #400249=DIRECTION('',(0.,0.,1.)); #400250=DIRECTION('',(0.,1.,0.)); #400251=DIRECTION('',(0.,0.,1.)); #400252=DIRECTION('center_axis',(0.,0.,1.)); #400253=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #400254=DIRECTION('center_axis',(0.,0.,-1.)); #400255=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #400256=DIRECTION('center_axis',(0.,0.,1.)); #400257=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #400258=DIRECTION('',(0.,0.,1.)); #400259=DIRECTION('center_axis',(-1.,0.,0.)); #400260=DIRECTION('ref_axis',(0.,-1.,0.)); #400261=DIRECTION('',(0.,1.,0.)); #400262=DIRECTION('',(0.,-1.,0.)); #400263=DIRECTION('',(0.,0.,1.)); #400264=DIRECTION('center_axis',(0.,0.,1.)); #400265=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #400266=DIRECTION('center_axis',(0.,0.,-1.)); #400267=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #400268=DIRECTION('center_axis',(0.,0.,1.)); #400269=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #400270=DIRECTION('center_axis',(0.,0.,1.)); #400271=DIRECTION('ref_axis',(1.,0.,0.)); #400272=DIRECTION('center_axis',(0.,0.,1.)); #400273=DIRECTION('ref_axis',(1.,0.,0.)); #400274=DIRECTION('',(0.,0.,1.)); #400275=DIRECTION('center_axis',(0.,0.,-1.)); #400276=DIRECTION('ref_axis',(1.,0.,0.)); #400277=DIRECTION('center_axis',(1.,0.,0.)); #400278=DIRECTION('ref_axis',(0.,1.,0.)); #400279=DIRECTION('',(0.,-1.,0.)); #400280=DIRECTION('',(0.,0.,1.)); #400281=DIRECTION('',(0.,1.,0.)); #400282=DIRECTION('',(0.,0.,1.)); #400283=DIRECTION('center_axis',(0.,0.,1.)); #400284=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #400285=DIRECTION('center_axis',(0.,0.,-1.)); #400286=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #400287=DIRECTION('center_axis',(0.,0.,1.)); #400288=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #400289=DIRECTION('',(0.,0.,1.)); #400290=DIRECTION('center_axis',(-1.,0.,0.)); #400291=DIRECTION('ref_axis',(0.,-1.,0.)); #400292=DIRECTION('',(0.,1.,0.)); #400293=DIRECTION('',(0.,-1.,0.)); #400294=DIRECTION('',(0.,0.,1.)); #400295=DIRECTION('center_axis',(0.,0.,1.)); #400296=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #400297=DIRECTION('center_axis',(0.,0.,-1.)); #400298=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #400299=DIRECTION('center_axis',(0.,0.,1.)); #400300=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #400301=DIRECTION('center_axis',(1.,0.,0.)); #400302=DIRECTION('ref_axis',(0.,1.,0.)); #400303=DIRECTION('',(0.,-1.,0.)); #400304=DIRECTION('',(0.,0.,1.)); #400305=DIRECTION('',(0.,1.,0.)); #400306=DIRECTION('',(0.,0.,1.)); #400307=DIRECTION('center_axis',(0.,0.,1.)); #400308=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #400309=DIRECTION('center_axis',(0.,0.,-1.)); #400310=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #400311=DIRECTION('center_axis',(0.,0.,1.)); #400312=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #400313=DIRECTION('',(0.,0.,1.)); #400314=DIRECTION('center_axis',(-1.,0.,0.)); #400315=DIRECTION('ref_axis',(0.,-1.,0.)); #400316=DIRECTION('',(0.,1.,0.)); #400317=DIRECTION('',(0.,-1.,0.)); #400318=DIRECTION('',(0.,0.,1.)); #400319=DIRECTION('center_axis',(0.,0.,1.)); #400320=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #400321=DIRECTION('center_axis',(0.,0.,-1.)); #400322=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #400323=DIRECTION('center_axis',(0.,0.,1.)); #400324=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #400325=DIRECTION('center_axis',(0.,0.,1.)); #400326=DIRECTION('ref_axis',(1.,0.,0.)); #400327=DIRECTION('center_axis',(0.,0.,1.)); #400328=DIRECTION('ref_axis',(1.,0.,0.)); #400329=DIRECTION('',(0.,0.,1.)); #400330=DIRECTION('center_axis',(0.,0.,-1.)); #400331=DIRECTION('ref_axis',(1.,0.,0.)); #400332=DIRECTION('center_axis',(0.,0.,1.)); #400333=DIRECTION('ref_axis',(1.,0.,0.)); #400334=DIRECTION('center_axis',(0.,0.,1.)); #400335=DIRECTION('ref_axis',(1.,0.,0.)); #400336=DIRECTION('',(0.,0.,1.)); #400337=DIRECTION('center_axis',(0.,0.,-1.)); #400338=DIRECTION('ref_axis',(1.,0.,0.)); #400339=DIRECTION('center_axis',(0.,0.,1.)); #400340=DIRECTION('ref_axis',(1.,0.,0.)); #400341=DIRECTION('center_axis',(0.,0.,1.)); #400342=DIRECTION('ref_axis',(1.,0.,0.)); #400343=DIRECTION('',(0.,0.,1.)); #400344=DIRECTION('center_axis',(0.,0.,-1.)); #400345=DIRECTION('ref_axis',(1.,0.,0.)); #400346=DIRECTION('center_axis',(0.,0.,1.)); #400347=DIRECTION('ref_axis',(1.,0.,0.)); #400348=DIRECTION('center_axis',(0.,0.,1.)); #400349=DIRECTION('ref_axis',(1.,0.,0.)); #400350=DIRECTION('',(0.,0.,1.)); #400351=DIRECTION('center_axis',(0.,0.,-1.)); #400352=DIRECTION('ref_axis',(1.,0.,0.)); #400353=DIRECTION('center_axis',(0.,0.,1.)); #400354=DIRECTION('ref_axis',(1.,0.,0.)); #400355=DIRECTION('center_axis',(0.,0.,1.)); #400356=DIRECTION('ref_axis',(1.,0.,0.)); #400357=DIRECTION('',(0.,0.,1.)); #400358=DIRECTION('center_axis',(0.,0.,-1.)); #400359=DIRECTION('ref_axis',(1.,0.,0.)); #400360=DIRECTION('center_axis',(0.,0.,1.)); #400361=DIRECTION('ref_axis',(1.,0.,0.)); #400362=DIRECTION('center_axis',(0.,0.,1.)); #400363=DIRECTION('ref_axis',(1.,0.,0.)); #400364=DIRECTION('',(0.,0.,1.)); #400365=DIRECTION('center_axis',(0.,0.,-1.)); #400366=DIRECTION('ref_axis',(1.,0.,0.)); #400367=DIRECTION('center_axis',(0.,0.,1.)); #400368=DIRECTION('ref_axis',(1.,0.,0.)); #400369=DIRECTION('center_axis',(0.,0.,1.)); #400370=DIRECTION('ref_axis',(1.,0.,0.)); #400371=DIRECTION('',(0.,0.,1.)); #400372=DIRECTION('center_axis',(0.,0.,-1.)); #400373=DIRECTION('ref_axis',(1.,0.,0.)); #400374=DIRECTION('center_axis',(0.,0.,1.)); #400375=DIRECTION('ref_axis',(1.,0.,0.)); #400376=DIRECTION('center_axis',(0.,0.,1.)); #400377=DIRECTION('ref_axis',(1.,0.,0.)); #400378=DIRECTION('',(0.,0.,1.)); #400379=DIRECTION('center_axis',(0.,0.,-1.)); #400380=DIRECTION('ref_axis',(1.,0.,0.)); #400381=DIRECTION('center_axis',(0.,0.,1.)); #400382=DIRECTION('ref_axis',(1.,0.,0.)); #400383=DIRECTION('center_axis',(0.,0.,1.)); #400384=DIRECTION('ref_axis',(1.,0.,0.)); #400385=DIRECTION('',(0.,0.,1.)); #400386=DIRECTION('center_axis',(0.,0.,-1.)); #400387=DIRECTION('ref_axis',(1.,0.,0.)); #400388=DIRECTION('center_axis',(0.,0.,1.)); #400389=DIRECTION('ref_axis',(1.,0.,0.)); #400390=DIRECTION('center_axis',(0.,0.,1.)); #400391=DIRECTION('ref_axis',(1.,0.,0.)); #400392=DIRECTION('',(0.,0.,1.)); #400393=DIRECTION('center_axis',(0.,0.,-1.)); #400394=DIRECTION('ref_axis',(1.,0.,0.)); #400395=DIRECTION('center_axis',(0.,0.,1.)); #400396=DIRECTION('ref_axis',(1.,0.,0.)); #400397=DIRECTION('center_axis',(0.,0.,1.)); #400398=DIRECTION('ref_axis',(1.,0.,0.)); #400399=DIRECTION('',(0.,0.,1.)); #400400=DIRECTION('center_axis',(0.,0.,-1.)); #400401=DIRECTION('ref_axis',(1.,0.,0.)); #400402=DIRECTION('center_axis',(0.,0.,1.)); #400403=DIRECTION('ref_axis',(1.,0.,0.)); #400404=DIRECTION('center_axis',(0.,0.,1.)); #400405=DIRECTION('ref_axis',(1.,0.,0.)); #400406=DIRECTION('',(0.,0.,1.)); #400407=DIRECTION('center_axis',(0.,0.,-1.)); #400408=DIRECTION('ref_axis',(1.,0.,0.)); #400409=DIRECTION('center_axis',(0.,0.,1.)); #400410=DIRECTION('ref_axis',(1.,0.,0.)); #400411=DIRECTION('center_axis',(0.,0.,1.)); #400412=DIRECTION('ref_axis',(1.,0.,0.)); #400413=DIRECTION('',(0.,0.,1.)); #400414=DIRECTION('center_axis',(0.,0.,-1.)); #400415=DIRECTION('ref_axis',(1.,0.,0.)); #400416=DIRECTION('center_axis',(0.,0.,1.)); #400417=DIRECTION('ref_axis',(1.,0.,0.)); #400418=DIRECTION('center_axis',(0.,0.,1.)); #400419=DIRECTION('ref_axis',(1.,0.,0.)); #400420=DIRECTION('',(0.,0.,1.)); #400421=DIRECTION('center_axis',(0.,0.,-1.)); #400422=DIRECTION('ref_axis',(1.,0.,0.)); #400423=DIRECTION('center_axis',(0.,0.,1.)); #400424=DIRECTION('ref_axis',(1.,0.,0.)); #400425=DIRECTION('center_axis',(0.,0.,1.)); #400426=DIRECTION('ref_axis',(1.,0.,0.)); #400427=DIRECTION('',(0.,0.,1.)); #400428=DIRECTION('center_axis',(0.,0.,-1.)); #400429=DIRECTION('ref_axis',(1.,0.,0.)); #400430=DIRECTION('center_axis',(0.,0.,1.)); #400431=DIRECTION('ref_axis',(1.,0.,0.)); #400432=DIRECTION('center_axis',(0.,0.,1.)); #400433=DIRECTION('ref_axis',(1.,0.,0.)); #400434=DIRECTION('',(0.,0.,1.)); #400435=DIRECTION('center_axis',(0.,0.,-1.)); #400436=DIRECTION('ref_axis',(1.,0.,0.)); #400437=DIRECTION('center_axis',(0.,0.,1.)); #400438=DIRECTION('ref_axis',(1.,0.,0.)); #400439=DIRECTION('center_axis',(0.,0.,1.)); #400440=DIRECTION('ref_axis',(1.,0.,0.)); #400441=DIRECTION('',(0.,0.,1.)); #400442=DIRECTION('center_axis',(0.,0.,-1.)); #400443=DIRECTION('ref_axis',(1.,0.,0.)); #400444=DIRECTION('center_axis',(0.,0.,1.)); #400445=DIRECTION('ref_axis',(1.,0.,0.)); #400446=DIRECTION('center_axis',(0.,0.,1.)); #400447=DIRECTION('ref_axis',(1.,0.,0.)); #400448=DIRECTION('',(0.,0.,1.)); #400449=DIRECTION('center_axis',(0.,0.,-1.)); #400450=DIRECTION('ref_axis',(1.,0.,0.)); #400451=DIRECTION('center_axis',(0.,0.,1.)); #400452=DIRECTION('ref_axis',(1.,0.,0.)); #400453=DIRECTION('center_axis',(0.,0.,1.)); #400454=DIRECTION('ref_axis',(1.,0.,0.)); #400455=DIRECTION('',(0.,0.,1.)); #400456=DIRECTION('center_axis',(0.,0.,-1.)); #400457=DIRECTION('ref_axis',(1.,0.,0.)); #400458=DIRECTION('center_axis',(0.,0.,1.)); #400459=DIRECTION('ref_axis',(1.,0.,0.)); #400460=DIRECTION('center_axis',(0.,0.,1.)); #400461=DIRECTION('ref_axis',(1.,0.,0.)); #400462=DIRECTION('',(0.,0.,1.)); #400463=DIRECTION('center_axis',(0.,0.,-1.)); #400464=DIRECTION('ref_axis',(1.,0.,0.)); #400465=DIRECTION('center_axis',(0.,0.,1.)); #400466=DIRECTION('ref_axis',(1.,0.,0.)); #400467=DIRECTION('center_axis',(0.,0.,1.)); #400468=DIRECTION('ref_axis',(1.,0.,0.)); #400469=DIRECTION('',(0.,0.,1.)); #400470=DIRECTION('center_axis',(0.,0.,-1.)); #400471=DIRECTION('ref_axis',(1.,0.,0.)); #400472=DIRECTION('center_axis',(0.,0.,1.)); #400473=DIRECTION('ref_axis',(1.,0.,0.)); #400474=DIRECTION('center_axis',(0.,0.,1.)); #400475=DIRECTION('ref_axis',(1.,0.,0.)); #400476=DIRECTION('',(0.,0.,1.)); #400477=DIRECTION('center_axis',(0.,0.,-1.)); #400478=DIRECTION('ref_axis',(1.,0.,0.)); #400479=DIRECTION('center_axis',(0.,0.,1.)); #400480=DIRECTION('ref_axis',(1.,0.,0.)); #400481=DIRECTION('center_axis',(0.,0.,1.)); #400482=DIRECTION('ref_axis',(1.,0.,0.)); #400483=DIRECTION('',(0.,0.,1.)); #400484=DIRECTION('center_axis',(0.,0.,-1.)); #400485=DIRECTION('ref_axis',(1.,0.,0.)); #400486=DIRECTION('center_axis',(0.,0.,1.)); #400487=DIRECTION('ref_axis',(1.,0.,0.)); #400488=DIRECTION('center_axis',(0.,0.,1.)); #400489=DIRECTION('ref_axis',(1.,0.,0.)); #400490=DIRECTION('',(0.,0.,1.)); #400491=DIRECTION('center_axis',(0.,0.,-1.)); #400492=DIRECTION('ref_axis',(1.,0.,0.)); #400493=DIRECTION('center_axis',(0.,0.,1.)); #400494=DIRECTION('ref_axis',(1.,0.,0.)); #400495=DIRECTION('center_axis',(0.,0.,1.)); #400496=DIRECTION('ref_axis',(1.,0.,0.)); #400497=DIRECTION('',(0.,0.,1.)); #400498=DIRECTION('center_axis',(0.,0.,-1.)); #400499=DIRECTION('ref_axis',(1.,0.,0.)); #400500=DIRECTION('center_axis',(0.,0.,1.)); #400501=DIRECTION('ref_axis',(1.,0.,0.)); #400502=DIRECTION('center_axis',(0.,0.,1.)); #400503=DIRECTION('ref_axis',(1.,0.,0.)); #400504=DIRECTION('',(0.,0.,1.)); #400505=DIRECTION('center_axis',(0.,0.,-1.)); #400506=DIRECTION('ref_axis',(1.,0.,0.)); #400507=DIRECTION('center_axis',(0.,0.,1.)); #400508=DIRECTION('ref_axis',(1.,0.,0.)); #400509=DIRECTION('center_axis',(0.,0.,1.)); #400510=DIRECTION('ref_axis',(1.,0.,0.)); #400511=DIRECTION('',(0.,0.,1.)); #400512=DIRECTION('center_axis',(0.,0.,-1.)); #400513=DIRECTION('ref_axis',(1.,0.,0.)); #400514=DIRECTION('center_axis',(0.,0.,1.)); #400515=DIRECTION('ref_axis',(1.,0.,0.)); #400516=DIRECTION('center_axis',(0.,0.,1.)); #400517=DIRECTION('ref_axis',(1.,0.,0.)); #400518=DIRECTION('',(0.,0.,1.)); #400519=DIRECTION('center_axis',(0.,0.,-1.)); #400520=DIRECTION('ref_axis',(1.,0.,0.)); #400521=DIRECTION('center_axis',(0.,0.,1.)); #400522=DIRECTION('ref_axis',(1.,0.,0.)); #400523=DIRECTION('center_axis',(0.,0.,1.)); #400524=DIRECTION('ref_axis',(1.,0.,0.)); #400525=DIRECTION('',(0.,0.,1.)); #400526=DIRECTION('center_axis',(0.,0.,-1.)); #400527=DIRECTION('ref_axis',(1.,0.,0.)); #400528=DIRECTION('center_axis',(0.,0.,1.)); #400529=DIRECTION('ref_axis',(1.,0.,0.)); #400530=DIRECTION('center_axis',(0.,0.,1.)); #400531=DIRECTION('ref_axis',(1.,0.,0.)); #400532=DIRECTION('',(0.,0.,1.)); #400533=DIRECTION('center_axis',(0.,0.,-1.)); #400534=DIRECTION('ref_axis',(1.,0.,0.)); #400535=DIRECTION('center_axis',(0.,0.,1.)); #400536=DIRECTION('ref_axis',(1.,0.,0.)); #400537=DIRECTION('center_axis',(0.,0.,1.)); #400538=DIRECTION('ref_axis',(1.,0.,0.)); #400539=DIRECTION('',(0.,0.,1.)); #400540=DIRECTION('center_axis',(0.,0.,-1.)); #400541=DIRECTION('ref_axis',(1.,0.,0.)); #400542=DIRECTION('center_axis',(0.,0.,1.)); #400543=DIRECTION('ref_axis',(1.,0.,0.)); #400544=DIRECTION('center_axis',(0.,0.,1.)); #400545=DIRECTION('ref_axis',(1.,0.,0.)); #400546=DIRECTION('',(0.,0.,1.)); #400547=DIRECTION('center_axis',(0.,0.,-1.)); #400548=DIRECTION('ref_axis',(1.,0.,0.)); #400549=DIRECTION('center_axis',(0.,0.,1.)); #400550=DIRECTION('ref_axis',(1.,0.,0.)); #400551=DIRECTION('center_axis',(0.,0.,1.)); #400552=DIRECTION('ref_axis',(1.,0.,0.)); #400553=DIRECTION('',(0.,0.,1.)); #400554=DIRECTION('center_axis',(0.,0.,-1.)); #400555=DIRECTION('ref_axis',(1.,0.,0.)); #400556=DIRECTION('center_axis',(0.,0.,1.)); #400557=DIRECTION('ref_axis',(1.,0.,0.)); #400558=DIRECTION('center_axis',(0.,0.,1.)); #400559=DIRECTION('ref_axis',(1.,0.,0.)); #400560=DIRECTION('',(0.,0.,1.)); #400561=DIRECTION('center_axis',(0.,0.,-1.)); #400562=DIRECTION('ref_axis',(1.,0.,0.)); #400563=DIRECTION('center_axis',(0.,0.,1.)); #400564=DIRECTION('ref_axis',(1.,0.,0.)); #400565=DIRECTION('center_axis',(0.,0.,1.)); #400566=DIRECTION('ref_axis',(1.,0.,0.)); #400567=DIRECTION('',(0.,0.,1.)); #400568=DIRECTION('center_axis',(0.,0.,-1.)); #400569=DIRECTION('ref_axis',(1.,0.,0.)); #400570=DIRECTION('center_axis',(0.,0.,1.)); #400571=DIRECTION('ref_axis',(1.,0.,0.)); #400572=DIRECTION('center_axis',(0.,0.,1.)); #400573=DIRECTION('ref_axis',(1.,0.,0.)); #400574=DIRECTION('',(0.,0.,1.)); #400575=DIRECTION('center_axis',(0.,0.,-1.)); #400576=DIRECTION('ref_axis',(1.,0.,0.)); #400577=DIRECTION('center_axis',(0.,0.,1.)); #400578=DIRECTION('ref_axis',(1.,0.,0.)); #400579=DIRECTION('center_axis',(0.,0.,1.)); #400580=DIRECTION('ref_axis',(1.,0.,0.)); #400581=DIRECTION('',(0.,0.,1.)); #400582=DIRECTION('center_axis',(0.,0.,-1.)); #400583=DIRECTION('ref_axis',(1.,0.,0.)); #400584=DIRECTION('center_axis',(0.,0.,1.)); #400585=DIRECTION('ref_axis',(1.,0.,0.)); #400586=DIRECTION('center_axis',(0.,0.,1.)); #400587=DIRECTION('ref_axis',(1.,0.,0.)); #400588=DIRECTION('',(0.,0.,1.)); #400589=DIRECTION('center_axis',(0.,0.,-1.)); #400590=DIRECTION('ref_axis',(1.,0.,0.)); #400591=DIRECTION('center_axis',(0.,0.,1.)); #400592=DIRECTION('ref_axis',(1.,0.,0.)); #400593=DIRECTION('center_axis',(0.,0.,1.)); #400594=DIRECTION('ref_axis',(1.,0.,0.)); #400595=DIRECTION('',(0.,0.,1.)); #400596=DIRECTION('center_axis',(0.,0.,-1.)); #400597=DIRECTION('ref_axis',(1.,0.,0.)); #400598=DIRECTION('center_axis',(0.,0.,1.)); #400599=DIRECTION('ref_axis',(1.,0.,0.)); #400600=DIRECTION('center_axis',(0.,0.,1.)); #400601=DIRECTION('ref_axis',(1.,0.,0.)); #400602=DIRECTION('',(0.,0.,1.)); #400603=DIRECTION('center_axis',(0.,0.,-1.)); #400604=DIRECTION('ref_axis',(1.,0.,0.)); #400605=DIRECTION('center_axis',(0.,0.,1.)); #400606=DIRECTION('ref_axis',(1.,0.,0.)); #400607=DIRECTION('center_axis',(0.,0.,1.)); #400608=DIRECTION('ref_axis',(1.,0.,0.)); #400609=DIRECTION('',(0.,0.,1.)); #400610=DIRECTION('center_axis',(0.,0.,-1.)); #400611=DIRECTION('ref_axis',(1.,0.,0.)); #400612=DIRECTION('center_axis',(0.,0.,1.)); #400613=DIRECTION('ref_axis',(1.,0.,0.)); #400614=DIRECTION('center_axis',(0.,0.,1.)); #400615=DIRECTION('ref_axis',(1.,0.,0.)); #400616=DIRECTION('',(0.,0.,1.)); #400617=DIRECTION('center_axis',(0.,0.,-1.)); #400618=DIRECTION('ref_axis',(1.,0.,0.)); #400619=DIRECTION('center_axis',(0.,0.,1.)); #400620=DIRECTION('ref_axis',(1.,0.,0.)); #400621=DIRECTION('center_axis',(0.,0.,1.)); #400622=DIRECTION('ref_axis',(1.,0.,0.)); #400623=DIRECTION('',(0.,0.,1.)); #400624=DIRECTION('center_axis',(0.,0.,-1.)); #400625=DIRECTION('ref_axis',(1.,0.,0.)); #400626=DIRECTION('center_axis',(0.,0.,1.)); #400627=DIRECTION('ref_axis',(1.,0.,0.)); #400628=DIRECTION('center_axis',(0.,0.,1.)); #400629=DIRECTION('ref_axis',(1.,0.,0.)); #400630=DIRECTION('',(0.,0.,1.)); #400631=DIRECTION('center_axis',(0.,0.,-1.)); #400632=DIRECTION('ref_axis',(1.,0.,0.)); #400633=DIRECTION('center_axis',(0.,0.,1.)); #400634=DIRECTION('ref_axis',(1.,0.,0.)); #400635=DIRECTION('center_axis',(0.,0.,1.)); #400636=DIRECTION('ref_axis',(1.,0.,0.)); #400637=DIRECTION('',(0.,0.,1.)); #400638=DIRECTION('center_axis',(0.,0.,-1.)); #400639=DIRECTION('ref_axis',(1.,0.,0.)); #400640=DIRECTION('center_axis',(0.,0.,1.)); #400641=DIRECTION('ref_axis',(1.,0.,0.)); #400642=DIRECTION('center_axis',(0.,0.,1.)); #400643=DIRECTION('ref_axis',(1.,0.,0.)); #400644=DIRECTION('',(0.,0.,1.)); #400645=DIRECTION('center_axis',(0.,0.,-1.)); #400646=DIRECTION('ref_axis',(1.,0.,0.)); #400647=DIRECTION('center_axis',(0.,0.,1.)); #400648=DIRECTION('ref_axis',(1.,0.,0.)); #400649=DIRECTION('center_axis',(0.,0.,1.)); #400650=DIRECTION('ref_axis',(1.,0.,0.)); #400651=DIRECTION('',(0.,0.,1.)); #400652=DIRECTION('center_axis',(0.,0.,-1.)); #400653=DIRECTION('ref_axis',(1.,0.,0.)); #400654=DIRECTION('center_axis',(0.,0.,1.)); #400655=DIRECTION('ref_axis',(1.,0.,0.)); #400656=DIRECTION('center_axis',(0.,0.,1.)); #400657=DIRECTION('ref_axis',(1.,0.,0.)); #400658=DIRECTION('',(0.,0.,1.)); #400659=DIRECTION('center_axis',(0.,0.,-1.)); #400660=DIRECTION('ref_axis',(1.,0.,0.)); #400661=DIRECTION('center_axis',(0.,0.,1.)); #400662=DIRECTION('ref_axis',(1.,0.,0.)); #400663=DIRECTION('center_axis',(0.,0.,1.)); #400664=DIRECTION('ref_axis',(1.,0.,0.)); #400665=DIRECTION('',(0.,0.,1.)); #400666=DIRECTION('center_axis',(0.,0.,-1.)); #400667=DIRECTION('ref_axis',(1.,0.,0.)); #400668=DIRECTION('center_axis',(0.,0.,1.)); #400669=DIRECTION('ref_axis',(1.,0.,0.)); #400670=DIRECTION('center_axis',(0.,0.,1.)); #400671=DIRECTION('ref_axis',(1.,0.,0.)); #400672=DIRECTION('',(0.,0.,1.)); #400673=DIRECTION('center_axis',(0.,0.,-1.)); #400674=DIRECTION('ref_axis',(1.,0.,0.)); #400675=DIRECTION('center_axis',(0.,0.,1.)); #400676=DIRECTION('ref_axis',(1.,0.,0.)); #400677=DIRECTION('center_axis',(0.,0.,1.)); #400678=DIRECTION('ref_axis',(1.,0.,0.)); #400679=DIRECTION('',(0.,0.,1.)); #400680=DIRECTION('center_axis',(0.,0.,-1.)); #400681=DIRECTION('ref_axis',(1.,0.,0.)); #400682=DIRECTION('center_axis',(0.,0.,1.)); #400683=DIRECTION('ref_axis',(1.,0.,0.)); #400684=DIRECTION('center_axis',(0.,0.,1.)); #400685=DIRECTION('ref_axis',(1.,0.,0.)); #400686=DIRECTION('',(0.,0.,1.)); #400687=DIRECTION('center_axis',(0.,0.,-1.)); #400688=DIRECTION('ref_axis',(1.,0.,0.)); #400689=DIRECTION('center_axis',(1.,0.,0.)); #400690=DIRECTION('ref_axis',(0.,1.,0.)); #400691=DIRECTION('',(0.,1.,0.)); #400692=DIRECTION('',(0.,0.,1.)); #400693=DIRECTION('',(0.,1.,0.)); #400694=DIRECTION('',(0.,0.,1.)); #400695=DIRECTION('center_axis',(0.,-1.,0.)); #400696=DIRECTION('ref_axis',(1.,0.,0.)); #400697=DIRECTION('',(1.,0.,0.)); #400698=DIRECTION('',(1.,0.,0.)); #400699=DIRECTION('',(0.,0.,1.)); #400700=DIRECTION('center_axis',(0.707105741061306,-0.707107821310259,0.)); #400701=DIRECTION('ref_axis',(0.707107821310259,0.707105741061306,0.)); #400702=DIRECTION('',(0.707107821310259,0.707105741061306,0.)); #400703=DIRECTION('',(0.707107821310259,0.707105741061306,0.)); #400704=DIRECTION('',(0.,0.,1.)); #400705=DIRECTION('center_axis',(0.923879579359894,-0.382683319262528,0.)); #400706=DIRECTION('ref_axis',(0.382683319262528,0.923879579359894,0.)); #400707=DIRECTION('',(0.382683319262528,0.923879579359894,0.)); #400708=DIRECTION('',(0.382683319262528,0.923879579359894,0.)); #400709=DIRECTION('',(0.,0.,1.)); #400710=DIRECTION('center_axis',(1.,0.,0.)); #400711=DIRECTION('ref_axis',(0.,1.,0.)); #400712=DIRECTION('',(0.,1.,0.)); #400713=DIRECTION('',(0.,1.,0.)); #400714=DIRECTION('',(0.,0.,1.)); #400715=DIRECTION('center_axis',(0.923879579359894,0.382683319262528,0.)); #400716=DIRECTION('ref_axis',(-0.382683319262528,0.923879579359894,0.)); #400717=DIRECTION('',(-0.382683319262528,0.923879579359894,0.)); #400718=DIRECTION('',(-0.382683319262528,0.923879579359894,0.)); #400719=DIRECTION('',(0.,0.,1.)); #400720=DIRECTION('center_axis',(0.707105976813804,0.707107585558376,0.)); #400721=DIRECTION('ref_axis',(-0.707107585558376,0.707105976813804,0.)); #400722=DIRECTION('',(-0.707107585558376,0.707105976813804,0.)); #400723=DIRECTION('',(-0.707107585558376,0.707105976813804,0.)); #400724=DIRECTION('',(0.,0.,1.)); #400725=DIRECTION('center_axis',(0.382684030240894,0.923879284862793,0.)); #400726=DIRECTION('ref_axis',(-0.923879284862793,0.382684030240894,0.)); #400727=DIRECTION('',(-0.923879284862793,0.382684030240894,0.)); #400728=DIRECTION('',(-0.923879284862793,0.382684030240894,0.)); #400729=DIRECTION('',(0.,0.,1.)); #400730=DIRECTION('center_axis',(0.,1.,0.)); #400731=DIRECTION('ref_axis',(-1.,0.,0.)); #400732=DIRECTION('',(-1.,0.,0.)); #400733=DIRECTION('',(-1.,0.,0.)); #400734=DIRECTION('',(0.,0.,1.)); #400735=DIRECTION('center_axis',(-0.382676531743422,0.923882390812178,0.)); #400736=DIRECTION('ref_axis',(-0.923882390812178,-0.382676531743422,0.)); #400737=DIRECTION('',(-0.923882390812178,-0.382676531743422,0.)); #400738=DIRECTION('',(-0.923882390812178,-0.382676531743422,0.)); #400739=DIRECTION('',(0.,0.,1.)); #400740=DIRECTION('center_axis',(-0.202910802099752,0.97919722548179,0.)); #400741=DIRECTION('ref_axis',(-0.97919722548179,-0.202910802099752,0.)); #400742=DIRECTION('',(-0.97919722548179,-0.202910802099752,0.)); #400743=DIRECTION('',(-0.97919722548179,-0.202910802099752,0.)); #400744=DIRECTION('',(0.,0.,1.)); #400745=DIRECTION('center_axis',(0.183315699120884,0.983054095386323,0.)); #400746=DIRECTION('ref_axis',(-0.983054095386323,0.183315699120884,0.)); #400747=DIRECTION('',(-0.983054095386323,0.183315699120884,0.)); #400748=DIRECTION('',(-0.983054095386323,0.183315699120884,0.)); #400749=DIRECTION('',(0.,0.,1.)); #400750=DIRECTION('center_axis',(0.552278225391868,0.833659859749772,0.)); #400751=DIRECTION('ref_axis',(-0.833659859749772,0.552278225391868,0.)); #400752=DIRECTION('',(-0.833659859749772,0.552278225391868,0.)); #400753=DIRECTION('',(-0.833659859749772,0.552278225391868,0.)); #400754=DIRECTION('',(0.,0.,1.)); #400755=DIRECTION('center_axis',(0.707106625394695,0.707106936978365,0.)); #400756=DIRECTION('ref_axis',(-0.707106936978365,0.707106625394695,0.)); #400757=DIRECTION('',(-0.707106936978365,0.707106625394695,0.)); #400758=DIRECTION('',(-0.707106936978365,0.707106625394695,0.)); #400759=DIRECTION('',(0.,0.,1.)); #400760=DIRECTION('center_axis',(0.628199078069939,0.778052644948964,0.)); #400761=DIRECTION('ref_axis',(-0.778052644948964,0.628199078069939,0.)); #400762=DIRECTION('',(-0.778052644948964,0.628199078069939,0.)); #400763=DIRECTION('',(-0.778052644948964,0.628199078069939,0.)); #400764=DIRECTION('',(0.,0.,1.)); #400765=DIRECTION('center_axis',(0.450178111979881,0.892938781493015,0.)); #400766=DIRECTION('ref_axis',(-0.892938781493015,0.450178111979881,0.)); #400767=DIRECTION('',(-0.892938781493015,0.450178111979881,0.)); #400768=DIRECTION('',(-0.892938781493015,0.450178111979881,0.)); #400769=DIRECTION('',(0.,0.,1.)); #400770=DIRECTION('center_axis',(0.252269987846769,0.967656888174621,0.)); #400771=DIRECTION('ref_axis',(-0.967656888174621,0.252269987846769,0.)); #400772=DIRECTION('',(-0.967656888174621,0.252269987846769,0.)); #400773=DIRECTION('',(-0.967656888174621,0.252269987846769,0.)); #400774=DIRECTION('',(0.,0.,1.)); #400775=DIRECTION('center_axis',(0.0742468828476173,0.997239891093117,0.)); #400776=DIRECTION('ref_axis',(-0.997239891093117,0.0742468828476173,0.)); #400777=DIRECTION('',(-0.997239891093117,0.0742468828476173,0.)); #400778=DIRECTION('',(-0.997239891093117,0.0742468828476173,0.)); #400779=DIRECTION('',(0.,0.,1.)); #400780=DIRECTION('center_axis',(0.,1.,0.)); #400781=DIRECTION('ref_axis',(-1.,0.,0.)); #400782=DIRECTION('',(-1.,0.,0.)); #400783=DIRECTION('',(-1.,0.,0.)); #400784=DIRECTION('',(0.,0.,1.)); #400785=DIRECTION('center_axis',(-0.105885061288526,0.994378375567332,0.)); #400786=DIRECTION('ref_axis',(-0.994378375567332,-0.105885061288526,0.)); #400787=DIRECTION('',(-0.994378375567332,-0.105885061288526,0.)); #400788=DIRECTION('',(-0.994378375567332,-0.105885061288526,0.)); #400789=DIRECTION('',(0.,0.,1.)); #400790=DIRECTION('center_axis',(-0.312934735821318,0.949774631750313,0.)); #400791=DIRECTION('ref_axis',(-0.949774631750313,-0.312934735821318,0.)); #400792=DIRECTION('',(-0.949774631750313,-0.312934735821318,0.)); #400793=DIRECTION('',(-0.949774631750313,-0.312934735821318,0.)); #400794=DIRECTION('',(0.,0.,1.)); #400795=DIRECTION('center_axis',(-0.506098478960508,0.862475697972911,0.)); #400796=DIRECTION('ref_axis',(-0.862475697972911,-0.506098478960508,0.)); #400797=DIRECTION('',(-0.862475697972911,-0.506098478960508,0.)); #400798=DIRECTION('',(-0.862475697972911,-0.506098478960508,0.)); #400799=DIRECTION('',(0.,0.,1.)); #400800=DIRECTION('center_axis',(-0.652519128376063,0.757772252793241,0.)); #400801=DIRECTION('ref_axis',(-0.757772252793241,-0.652519128376063,0.)); #400802=DIRECTION('',(-0.757772252793241,-0.652519128376063,0.)); #400803=DIRECTION('',(-0.757772252793241,-0.652519128376063,0.)); #400804=DIRECTION('',(0.,0.,1.)); #400805=DIRECTION('center_axis',(-0.707107040518299,0.707106521854701,0.)); #400806=DIRECTION('ref_axis',(-0.707106521854701,-0.707107040518299,0.)); #400807=DIRECTION('',(-0.707106521854701,-0.707107040518299,0.)); #400808=DIRECTION('',(-0.707106521854701,-0.707107040518299,0.)); #400809=DIRECTION('',(0.,0.,1.)); #400810=DIRECTION('center_axis',(-0.562160501783157,0.827028155648228,0.)); #400811=DIRECTION('ref_axis',(-0.827028155648228,-0.562160501783157,0.)); #400812=DIRECTION('',(-0.827028155648228,-0.562160501783157,0.)); #400813=DIRECTION('',(-0.827028155648228,-0.562160501783157,0.)); #400814=DIRECTION('',(0.,0.,1.)); #400815=DIRECTION('center_axis',(-0.206824927726423,0.97837796851266,0.)); #400816=DIRECTION('ref_axis',(-0.97837796851266,-0.206824927726423,0.)); #400817=DIRECTION('',(-0.97837796851266,-0.206824927726423,0.)); #400818=DIRECTION('',(-0.97837796851266,-0.206824927726423,0.)); #400819=DIRECTION('',(0.,0.,1.)); #400820=DIRECTION('center_axis',(0.191139179453654,0.981562944531722,0.)); #400821=DIRECTION('ref_axis',(-0.981562944531722,0.191139179453654,0.)); #400822=DIRECTION('',(-0.981562944531722,0.191139179453654,0.)); #400823=DIRECTION('',(-0.981562944531722,0.191139179453654,0.)); #400824=DIRECTION('',(0.,0.,1.)); #400825=DIRECTION('center_axis',(0.382676531743422,0.923882390812178,0.)); #400826=DIRECTION('ref_axis',(-0.923882390812178,0.382676531743422,0.)); #400827=DIRECTION('',(-0.923882390812178,0.382676531743422,0.)); #400828=DIRECTION('',(-0.923882390812178,0.382676531743422,0.)); #400829=DIRECTION('',(0.,0.,1.)); #400830=DIRECTION('center_axis',(0.,1.,0.)); #400831=DIRECTION('ref_axis',(-1.,0.,0.)); #400832=DIRECTION('',(-1.,0.,0.)); #400833=DIRECTION('',(-1.,0.,0.)); #400834=DIRECTION('',(0.,0.,1.)); #400835=DIRECTION('center_axis',(-0.382684030240894,0.923879284862793,0.)); #400836=DIRECTION('ref_axis',(-0.923879284862793,-0.382684030240894,0.)); #400837=DIRECTION('',(-0.923879284862793,-0.382684030240894,0.)); #400838=DIRECTION('',(-0.923879284862793,-0.382684030240894,0.)); #400839=DIRECTION('',(0.,0.,1.)); #400840=DIRECTION('center_axis',(-0.707105976813813,0.707107585558367,0.)); #400841=DIRECTION('ref_axis',(-0.707107585558367,-0.707105976813813,0.)); #400842=DIRECTION('',(-0.707107585558367,-0.707105976813813,0.)); #400843=DIRECTION('',(-0.707107585558367,-0.707105976813813,0.)); #400844=DIRECTION('',(0.,0.,1.)); #400845=DIRECTION('center_axis',(-0.923879579359888,0.382683319262543,0.)); #400846=DIRECTION('ref_axis',(-0.382683319262543,-0.923879579359888,0.)); #400847=DIRECTION('',(-0.382683319262543,-0.923879579359888,0.)); #400848=DIRECTION('',(-0.382683319262543,-0.923879579359888,0.)); #400849=DIRECTION('',(0.,0.,1.)); #400850=DIRECTION('center_axis',(-1.,0.,0.)); #400851=DIRECTION('ref_axis',(0.,-1.,0.)); #400852=DIRECTION('',(0.,-1.,0.)); #400853=DIRECTION('',(0.,-1.,0.)); #400854=DIRECTION('',(0.,0.,1.)); #400855=DIRECTION('center_axis',(-0.923879579359888,-0.382683319262543, 0.)); #400856=DIRECTION('ref_axis',(0.382683319262543,-0.923879579359888,0.)); #400857=DIRECTION('',(0.382683319262543,-0.923879579359888,0.)); #400858=DIRECTION('',(0.382683319262543,-0.923879579359888,0.)); #400859=DIRECTION('',(0.,0.,1.)); #400860=DIRECTION('center_axis',(-0.707105741061318,-0.707107821310248, 0.)); #400861=DIRECTION('ref_axis',(0.707107821310248,-0.707105741061318,0.)); #400862=DIRECTION('',(0.707107821310248,-0.707105741061318,0.)); #400863=DIRECTION('',(0.707107821310248,-0.707105741061318,0.)); #400864=DIRECTION('',(0.,0.,1.)); #400865=DIRECTION('center_axis',(0.,-1.,0.)); #400866=DIRECTION('ref_axis',(1.,0.,0.)); #400867=DIRECTION('',(1.,0.,0.)); #400868=DIRECTION('',(1.,0.,0.)); #400869=DIRECTION('',(0.,0.,1.)); #400870=DIRECTION('center_axis',(-1.,0.,0.)); #400871=DIRECTION('ref_axis',(0.,-1.,0.)); #400872=DIRECTION('',(0.,-1.,0.)); #400873=DIRECTION('',(0.,-1.,0.)); #400874=DIRECTION('',(0.,0.,1.)); #400875=DIRECTION('center_axis',(-0.538917034664692,-0.842358848560526, 0.)); #400876=DIRECTION('ref_axis',(0.842358848560526,-0.538917034664692,0.)); #400877=DIRECTION('',(0.842358848560526,-0.538917034664692,0.)); #400878=DIRECTION('',(0.842358848560526,-0.538917034664692,0.)); #400879=DIRECTION('',(0.,0.,1.)); #400880=DIRECTION('center_axis',(-0.824750821382048,-0.565496315310398, 0.)); #400881=DIRECTION('ref_axis',(0.565496315310398,-0.824750821382048,0.)); #400882=DIRECTION('',(0.565496315310398,-0.824750821382048,0.)); #400883=DIRECTION('',(0.565496315310398,-0.824750821382048,0.)); #400884=DIRECTION('',(0.,0.,1.)); #400885=DIRECTION('center_axis',(-0.980008289044031,-0.19895666212769,0.)); #400886=DIRECTION('ref_axis',(0.19895666212769,-0.980008289044031,0.)); #400887=DIRECTION('',(0.19895666212769,-0.980008289044031,0.)); #400888=DIRECTION('',(0.19895666212769,-0.980008289044031,0.)); #400889=DIRECTION('',(0.,0.,1.)); #400890=DIRECTION('center_axis',(-1.,0.,0.)); #400891=DIRECTION('ref_axis',(0.,-1.,0.)); #400892=DIRECTION('',(0.,-1.,0.)); #400893=DIRECTION('',(0.,-1.,0.)); #400894=DIRECTION('',(0.,0.,1.)); #400895=DIRECTION('center_axis',(-0.983055795826661,0.183306580055407,0.)); #400896=DIRECTION('ref_axis',(-0.183306580055407,-0.983055795826661,0.)); #400897=DIRECTION('',(-0.183306580055407,-0.983055795826661,0.)); #400898=DIRECTION('',(-0.183306580055407,-0.983055795826661,0.)); #400899=DIRECTION('',(0.,0.,1.)); #400900=DIRECTION('center_axis',(-0.842360495470155,0.538914460439944,0.)); #400901=DIRECTION('ref_axis',(-0.538914460439944,-0.842360495470155,0.)); #400902=DIRECTION('',(-0.538914460439943,-0.842360495470155,0.)); #400903=DIRECTION('',(-0.538914460439943,-0.842360495470155,0.)); #400904=DIRECTION('',(0.,0.,1.)); #400905=DIRECTION('center_axis',(-0.565504004715099,0.824745549033873,0.)); #400906=DIRECTION('ref_axis',(-0.824745549033873,-0.565504004715099,0.)); #400907=DIRECTION('',(-0.824745549033873,-0.565504004715099,0.)); #400908=DIRECTION('',(-0.824745549033873,-0.565504004715099,0.)); #400909=DIRECTION('',(0.,0.,1.)); #400910=DIRECTION('center_axis',(-0.198968686113119,0.980005847914398,0.)); #400911=DIRECTION('ref_axis',(-0.980005847914398,-0.198968686113119,0.)); #400912=DIRECTION('',(-0.980005847914398,-0.198968686113119,0.)); #400913=DIRECTION('',(-0.980005847914398,-0.198968686113119,0.)); #400914=DIRECTION('',(0.,0.,1.)); #400915=DIRECTION('center_axis',(0.,1.,0.)); #400916=DIRECTION('ref_axis',(-1.,0.,0.)); #400917=DIRECTION('',(-1.,0.,0.)); #400918=DIRECTION('',(-1.,0.,0.)); #400919=DIRECTION('',(0.,0.,1.)); #400920=DIRECTION('center_axis',(-0.105885061288508,0.994378375567334,0.)); #400921=DIRECTION('ref_axis',(-0.994378375567334,-0.105885061288508,0.)); #400922=DIRECTION('',(-0.994378375567334,-0.105885061288508,0.)); #400923=DIRECTION('',(-0.994378375567334,-0.105885061288508,0.)); #400924=DIRECTION('',(0.,0.,1.)); #400925=DIRECTION('center_axis',(-0.31293473582138,0.949774631750292,0.)); #400926=DIRECTION('ref_axis',(-0.949774631750292,-0.31293473582138,0.)); #400927=DIRECTION('',(-0.949774631750292,-0.31293473582138,0.)); #400928=DIRECTION('',(-0.949774631750292,-0.31293473582138,0.)); #400929=DIRECTION('',(0.,0.,1.)); #400930=DIRECTION('center_axis',(-0.506098478960527,0.8624756979729,0.)); #400931=DIRECTION('ref_axis',(-0.8624756979729,-0.506098478960527,0.)); #400932=DIRECTION('',(-0.8624756979729,-0.506098478960527,0.)); #400933=DIRECTION('',(-0.8624756979729,-0.506098478960527,0.)); #400934=DIRECTION('',(0.,0.,1.)); #400935=DIRECTION('center_axis',(-0.652519128376063,0.757772252793241,0.)); #400936=DIRECTION('ref_axis',(-0.757772252793241,-0.652519128376063,0.)); #400937=DIRECTION('',(-0.757772252793241,-0.652519128376063,0.)); #400938=DIRECTION('',(-0.757772252793241,-0.652519128376063,0.)); #400939=DIRECTION('',(0.,0.,1.)); #400940=DIRECTION('center_axis',(-0.707107138230129,0.707106424142786,0.)); #400941=DIRECTION('ref_axis',(-0.707106424142786,-0.707107138230129,0.)); #400942=DIRECTION('',(-0.707106424142786,-0.707107138230129,0.)); #400943=DIRECTION('',(-0.707106424142786,-0.707107138230129,0.)); #400944=DIRECTION('',(0.,0.,1.)); #400945=DIRECTION('center_axis',(-0.778059644424422,0.628190408807825,0.)); #400946=DIRECTION('ref_axis',(-0.628190408807825,-0.778059644424422,0.)); #400947=DIRECTION('',(-0.628190408807825,-0.778059644424422,0.)); #400948=DIRECTION('',(-0.628190408807825,-0.778059644424422,0.)); #400949=DIRECTION('',(0.,0.,1.)); #400950=DIRECTION('center_axis',(-0.892934999709465,0.450185613157348,0.)); #400951=DIRECTION('ref_axis',(-0.450185613157348,-0.892934999709465,0.)); #400952=DIRECTION('',(-0.450185613157348,-0.892934999709465,0.)); #400953=DIRECTION('',(-0.450185613157348,-0.892934999709465,0.)); #400954=DIRECTION('',(0.,0.,1.)); #400955=DIRECTION('center_axis',(-0.967663683018303,0.252243922756234,0.)); #400956=DIRECTION('ref_axis',(-0.252243922756234,-0.967663683018303,0.)); #400957=DIRECTION('',(-0.252243922756234,-0.967663683018303,0.)); #400958=DIRECTION('',(-0.252243922756234,-0.967663683018303,0.)); #400959=DIRECTION('',(0.,0.,1.)); #400960=DIRECTION('center_axis',(-0.997237953249289,0.0742729062254092, 0.)); #400961=DIRECTION('ref_axis',(-0.0742729062254092,-0.997237953249289,0.)); #400962=DIRECTION('',(-0.0742729062254092,-0.997237953249289,0.)); #400963=DIRECTION('',(-0.0742729062254092,-0.997237953249289,0.)); #400964=DIRECTION('',(0.,0.,1.)); #400965=DIRECTION('center_axis',(-1.,0.,0.)); #400966=DIRECTION('ref_axis',(0.,-1.,0.)); #400967=DIRECTION('',(0.,-1.,0.)); #400968=DIRECTION('',(0.,-1.,0.)); #400969=DIRECTION('',(0.,0.,1.)); #400970=DIRECTION('center_axis',(-0.994378331598729,-0.105885474201746, 0.)); #400971=DIRECTION('ref_axis',(0.105885474201746,-0.994378331598729,0.)); #400972=DIRECTION('',(0.105885474201746,-0.994378331598729,0.)); #400973=DIRECTION('',(0.105885474201746,-0.994378331598729,0.)); #400974=DIRECTION('',(0.,0.,1.)); #400975=DIRECTION('center_axis',(-0.949778792384408,-0.312922107778943, 0.)); #400976=DIRECTION('ref_axis',(0.312922107778943,-0.949778792384408,0.)); #400977=DIRECTION('',(0.312922107778943,-0.949778792384408,0.)); #400978=DIRECTION('',(0.312922107778943,-0.949778792384408,0.)); #400979=DIRECTION('',(0.,0.,1.)); #400980=DIRECTION('center_axis',(-0.862466061684739,-0.50611490043469,0.)); #400981=DIRECTION('ref_axis',(0.50611490043469,-0.862466061684739,0.)); #400982=DIRECTION('',(0.50611490043469,-0.862466061684739,0.)); #400983=DIRECTION('',(0.50611490043469,-0.862466061684739,0.)); #400984=DIRECTION('',(0.,0.,1.)); #400985=DIRECTION('center_axis',(-0.757781125399723,-0.652508824452152, 0.)); #400986=DIRECTION('ref_axis',(0.652508824452152,-0.757781125399723,0.)); #400987=DIRECTION('',(0.652508824452152,-0.757781125399723,0.)); #400988=DIRECTION('',(0.652508824452152,-0.757781125399723,0.)); #400989=DIRECTION('',(0.,0.,1.)); #400990=DIRECTION('center_axis',(-0.707106067099204,-0.70710749527317,0.)); #400991=DIRECTION('ref_axis',(0.70710749527317,-0.707106067099204,0.)); #400992=DIRECTION('',(0.70710749527317,-0.707106067099204,0.)); #400993=DIRECTION('',(0.70710749527317,-0.707106067099204,0.)); #400994=DIRECTION('',(0.,0.,1.)); #400995=DIRECTION('center_axis',(-0.628203826084784,-0.778048811381676, 0.)); #400996=DIRECTION('ref_axis',(0.778048811381676,-0.628203826084784,0.)); #400997=DIRECTION('',(0.778048811381676,-0.628203826084784,0.)); #400998=DIRECTION('',(0.778048811381676,-0.628203826084784,0.)); #400999=DIRECTION('',(0.,0.,1.)); #401000=DIRECTION('center_axis',(-0.450178111980026,-0.892938781492941, 0.)); #401001=DIRECTION('ref_axis',(0.892938781492941,-0.450178111980026,0.)); #401002=DIRECTION('',(0.892938781492941,-0.450178111980026,0.)); #401003=DIRECTION('',(0.892938781492941,-0.450178111980026,0.)); #401004=DIRECTION('',(0.,0.,1.)); #401005=DIRECTION('center_axis',(-0.252249312357542,-0.967662278078022, 0.)); #401006=DIRECTION('ref_axis',(0.967662278078022,-0.252249312357542,0.)); #401007=DIRECTION('',(0.967662278078022,-0.252249312357542,0.)); #401008=DIRECTION('',(0.967662278078022,-0.252249312357542,0.)); #401009=DIRECTION('',(0.,0.,1.)); #401010=DIRECTION('center_axis',(-0.0742706898419095,-0.997238118319996, 0.)); #401011=DIRECTION('ref_axis',(0.997238118319996,-0.0742706898419095,0.)); #401012=DIRECTION('',(0.997238118319996,-0.0742706898419095,0.)); #401013=DIRECTION('',(0.997238118319996,-0.0742706898419095,0.)); #401014=DIRECTION('',(0.,0.,1.)); #401015=DIRECTION('center_axis',(0.,-1.,0.)); #401016=DIRECTION('ref_axis',(1.,0.,0.)); #401017=DIRECTION('',(1.,0.,0.)); #401018=DIRECTION('',(1.,0.,0.)); #401019=DIRECTION('',(0.,0.,1.)); #401020=DIRECTION('center_axis',(-0.183305290257367,-0.983056036329396, 0.)); #401021=DIRECTION('ref_axis',(0.983056036329396,-0.183305290257367,0.)); #401022=DIRECTION('',(0.983056036329396,-0.183305290257367,0.)); #401023=DIRECTION('',(0.983056036329396,-0.183305290257367,0.)); #401024=DIRECTION('',(0.,0.,1.)); #401025=DIRECTION('center_axis',(-0.538911466746003,-0.842362410729296, 0.)); #401026=DIRECTION('ref_axis',(0.842362410729296,-0.538911466746003,0.)); #401027=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #401028=DIRECTION('',(0.842362410729296,-0.538911466746003,0.)); #401029=DIRECTION('',(0.,0.,1.)); #401030=DIRECTION('center_axis',(-0.824745549033875,-0.565504004715097, 0.)); #401031=DIRECTION('ref_axis',(0.565504004715097,-0.824745549033875,0.)); #401032=DIRECTION('',(0.565504004715097,-0.824745549033875,0.)); #401033=DIRECTION('',(0.565504004715097,-0.824745549033875,0.)); #401034=DIRECTION('',(0.,0.,1.)); #401035=DIRECTION('center_axis',(-0.980003828794037,-0.198978630885398, 0.)); #401036=DIRECTION('ref_axis',(0.198978630885398,-0.980003828794037,0.)); #401037=DIRECTION('',(0.198978630885398,-0.980003828794037,0.)); #401038=DIRECTION('',(0.198978630885398,-0.980003828794037,0.)); #401039=DIRECTION('',(0.,0.,1.)); #401040=DIRECTION('center_axis',(-0.707105370129525,-0.707108192240754, 0.)); #401041=DIRECTION('ref_axis',(0.707108192240754,-0.707105370129525,0.)); #401042=DIRECTION('',(0.707108192240754,-0.707105370129525,0.)); #401043=DIRECTION('',(0.707108192240754,-0.707105370129525,0.)); #401044=DIRECTION('',(0.,0.,1.)); #401045=DIRECTION('center_axis',(0.,-1.,0.)); #401046=DIRECTION('ref_axis',(1.,0.,0.)); #401047=DIRECTION('',(1.,0.,0.)); #401048=DIRECTION('',(1.,0.,0.)); #401049=DIRECTION('',(0.,0.,1.)); #401050=DIRECTION('center_axis',(0.707104777838369,-0.707108784529051,0.)); #401051=DIRECTION('ref_axis',(0.707108784529051,0.707104777838369,0.)); #401052=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #401053=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #401054=DIRECTION('',(0.,0.,1.)); #401055=DIRECTION('center_axis',(0.558946331948846,-0.829203833807424,0.)); #401056=DIRECTION('ref_axis',(0.829203833807424,0.558946331948846,0.)); #401057=DIRECTION('',(0.829203833807424,0.558946331948846,0.)); #401058=DIRECTION('',(0.829203833807424,0.558946331948846,0.)); #401059=DIRECTION('',(0.,0.,1.)); #401060=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #401061=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #401062=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #401063=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #401064=DIRECTION('',(0.,0.,1.)); #401065=DIRECTION('center_axis',(0.,-1.,0.)); #401066=DIRECTION('ref_axis',(1.,0.,0.)); #401067=DIRECTION('',(1.,0.,0.)); #401068=DIRECTION('',(1.,0.,0.)); #401069=DIRECTION('',(0.,0.,1.)); #401070=DIRECTION('center_axis',(-0.175502059386013,-0.984479063846087, 0.)); #401071=DIRECTION('ref_axis',(0.984479063846087,-0.175502059386013,0.)); #401072=DIRECTION('',(0.984479063846087,-0.175502059386013,0.)); #401073=DIRECTION('',(0.984479063846087,-0.175502059386013,0.)); #401074=DIRECTION('',(0.,0.,1.)); #401075=DIRECTION('center_axis',(-0.52535880204888,-0.850880796063565,0.)); #401076=DIRECTION('ref_axis',(0.850880796063565,-0.52535880204888,0.)); #401077=DIRECTION('',(0.850880796063565,-0.52535880204888,0.)); #401078=DIRECTION('',(0.850880796063565,-0.52535880204888,0.)); #401079=DIRECTION('',(0.,0.,1.)); #401080=DIRECTION('center_axis',(-0.815709411156782,-0.578461888589262, 0.)); #401081=DIRECTION('ref_axis',(0.578461888589262,-0.815709411156782,0.)); #401082=DIRECTION('',(0.578461888589262,-0.815709411156782,0.)); #401083=DIRECTION('',(0.578461888589262,-0.815709411156782,0.)); #401084=DIRECTION('',(0.,0.,1.)); #401085=DIRECTION('center_axis',(-0.976685690837561,-0.214674314516564, 0.)); #401086=DIRECTION('ref_axis',(0.214674314516564,-0.976685690837561,0.)); #401087=DIRECTION('',(0.214674314516564,-0.976685690837561,0.)); #401088=DIRECTION('',(0.214674314516564,-0.976685690837561,0.)); #401089=DIRECTION('',(0.,0.,1.)); #401090=DIRECTION('center_axis',(-0.983050529880644,0.183334818573518,0.)); #401091=DIRECTION('ref_axis',(-0.183334818573518,-0.983050529880644,0.)); #401092=DIRECTION('',(-0.183334818573518,-0.983050529880644,0.)); #401093=DIRECTION('',(-0.183334818573518,-0.983050529880644,0.)); #401094=DIRECTION('',(0.,0.,1.)); #401095=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #401096=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #401097=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #401098=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #401099=DIRECTION('',(0.,0.,1.)); #401100=DIRECTION('center_axis',(-0.707108431109792,0.707105131259453,0.)); #401101=DIRECTION('ref_axis',(-0.707105131259453,-0.707108431109792,0.)); #401102=DIRECTION('',(-0.707105131259453,-0.707108431109792,0.)); #401103=DIRECTION('',(-0.707105131259453,-0.707108431109792,0.)); #401104=DIRECTION('',(0.,0.,1.)); #401105=DIRECTION('center_axis',(-1.,0.,0.)); #401106=DIRECTION('ref_axis',(0.,-1.,0.)); #401107=DIRECTION('',(0.,-1.,0.)); #401108=DIRECTION('',(0.,-1.,0.)); #401109=DIRECTION('',(0.,0.,1.)); #401110=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #401111=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #401112=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #401113=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #401114=DIRECTION('',(0.,0.,1.)); #401115=DIRECTION('center_axis',(0.,-1.,0.)); #401116=DIRECTION('ref_axis',(1.,0.,0.)); #401117=DIRECTION('',(1.,0.,0.)); #401118=DIRECTION('',(1.,0.,0.)); #401119=DIRECTION('',(0.,0.,1.)); #401120=DIRECTION('center_axis',(0.707104777838369,-0.707108784529051,0.)); #401121=DIRECTION('ref_axis',(0.707108784529051,0.707104777838369,0.)); #401122=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #401123=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #401124=DIRECTION('',(0.,0.,1.)); #401125=DIRECTION('center_axis',(0.558946331948846,-0.829203833807424,0.)); #401126=DIRECTION('ref_axis',(0.829203833807424,0.558946331948846,0.)); #401127=DIRECTION('',(0.829203833807424,0.558946331948846,0.)); #401128=DIRECTION('',(0.829203833807424,0.558946331948846,0.)); #401129=DIRECTION('',(0.,0.,1.)); #401130=DIRECTION('center_axis',(0.198956019232613,-0.980008419561338,0.)); #401131=DIRECTION('ref_axis',(0.980008419561339,0.198956019232613,0.)); #401132=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #401133=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #401134=DIRECTION('',(0.,0.,1.)); #401135=DIRECTION('center_axis',(0.,-1.,0.)); #401136=DIRECTION('ref_axis',(1.,0.,0.)); #401137=DIRECTION('',(1.,0.,0.)); #401138=DIRECTION('',(1.,0.,0.)); #401139=DIRECTION('',(0.,0.,1.)); #401140=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #401141=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #401142=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #401143=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #401144=DIRECTION('',(0.,0.,1.)); #401145=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #401146=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #401147=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #401148=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #401149=DIRECTION('',(0.,0.,1.)); #401150=DIRECTION('center_axis',(-0.707104777838369,-0.707108784529051, 0.)); #401151=DIRECTION('ref_axis',(0.707108784529051,-0.707104777838369,0.)); #401152=DIRECTION('',(0.707108784529051,-0.707104777838369,0.)); #401153=DIRECTION('',(0.707108784529051,-0.707104777838369,0.)); #401154=DIRECTION('',(0.,0.,1.)); #401155=DIRECTION('center_axis',(0.,-1.,0.)); #401156=DIRECTION('ref_axis',(1.,0.,0.)); #401157=DIRECTION('',(1.,0.,0.)); #401158=DIRECTION('',(1.,0.,0.)); #401159=DIRECTION('',(0.,0.,1.)); #401160=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #401161=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #401162=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #401163=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #401164=DIRECTION('',(0.,0.,1.)); #401165=DIRECTION('center_axis',(1.,0.,0.)); #401166=DIRECTION('ref_axis',(0.,1.,0.)); #401167=DIRECTION('',(0.,1.,0.)); #401168=DIRECTION('',(0.,1.,0.)); #401169=DIRECTION('',(0.,0.,1.)); #401170=DIRECTION('center_axis',(0.707109234974272,0.707104327390308,0.)); #401171=DIRECTION('ref_axis',(-0.707104327390308,0.707109234974272,0.)); #401172=DIRECTION('',(-0.707104327390308,0.707109234974272,0.)); #401173=DIRECTION('',(-0.707104327390308,0.707109234974272,0.)); #401174=DIRECTION('',(0.,0.,1.)); #401175=DIRECTION('center_axis',(0.824789890119785,0.565439331101219,0.)); #401176=DIRECTION('ref_axis',(-0.565439331101219,0.824789890119785,0.)); #401177=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #401178=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #401179=DIRECTION('',(0.,0.,1.)); #401180=DIRECTION('center_axis',(0.976685690837527,0.214674314516719,0.)); #401181=DIRECTION('ref_axis',(-0.214674314516719,0.976685690837527,0.)); #401182=DIRECTION('',(-0.214674314516719,0.976685690837527,0.)); #401183=DIRECTION('',(-0.214674314516719,0.976685690837527,0.)); #401184=DIRECTION('',(0.,0.,1.)); #401185=DIRECTION('center_axis',(0.983050529880594,-0.183334818573788,0.)); #401186=DIRECTION('ref_axis',(0.183334818573788,0.983050529880594,0.)); #401187=DIRECTION('',(0.183334818573788,0.983050529880594,0.)); #401188=DIRECTION('',(0.183334818573788,0.983050529880594,0.)); #401189=DIRECTION('',(0.,0.,1.)); #401190=DIRECTION('center_axis',(0.833659859749822,-0.552278225391792,0.)); #401191=DIRECTION('ref_axis',(0.552278225391792,0.833659859749822,0.)); #401192=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #401193=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #401194=DIRECTION('',(0.,0.,1.)); #401195=DIRECTION('center_axis',(0.707109234974272,-0.707104327390308,0.)); #401196=DIRECTION('ref_axis',(0.707104327390308,0.707109234974272,0.)); #401197=DIRECTION('',(0.707104327390308,0.707109234974272,0.)); #401198=DIRECTION('',(0.707104327390308,0.707109234974272,0.)); #401199=DIRECTION('',(0.,0.,1.)); #401200=DIRECTION('center_axis',(1.,0.,0.)); #401201=DIRECTION('ref_axis',(0.,1.,0.)); #401202=DIRECTION('',(0.,1.,0.)); #401203=DIRECTION('',(0.,1.,0.)); #401204=DIRECTION('',(0.,0.,1.)); #401205=DIRECTION('center_axis',(0.707101099674552,0.707112462652893,0.)); #401206=DIRECTION('ref_axis',(-0.707112462652893,0.707101099674552,0.)); #401207=DIRECTION('',(-0.707112462652893,0.707101099674552,0.)); #401208=DIRECTION('',(-0.707112462652893,0.707101099674552,0.)); #401209=DIRECTION('',(0.,0.,1.)); #401210=DIRECTION('center_axis',(0.824778447639048,0.565456021552625,0.)); #401211=DIRECTION('ref_axis',(-0.565456021552625,0.824778447639048,0.)); #401212=DIRECTION('',(-0.565456021552625,0.824778447639048,0.)); #401213=DIRECTION('',(-0.565456021552625,0.824778447639048,0.)); #401214=DIRECTION('',(0.,0.,1.)); #401215=DIRECTION('center_axis',(0.976687210469078,0.214667400660024,0.)); #401216=DIRECTION('ref_axis',(-0.214667400660024,0.976687210469078,0.)); #401217=DIRECTION('',(-0.214667400660024,0.976687210469078,0.)); #401218=DIRECTION('',(-0.214667400660024,0.976687210469078,0.)); #401219=DIRECTION('',(0.,0.,1.)); #401220=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #401221=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #401222=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #401223=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #401224=DIRECTION('',(0.,0.,1.)); #401225=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #401226=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #401227=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #401228=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #401229=DIRECTION('',(0.,0.,1.)); #401230=DIRECTION('center_axis',(0.70712882626081,-0.707084735424976,0.)); #401231=DIRECTION('ref_axis',(0.707084735424976,0.70712882626081,0.)); #401232=DIRECTION('',(0.707084735424976,0.70712882626081,0.)); #401233=DIRECTION('',(0.707084735424976,0.70712882626081,0.)); #401234=DIRECTION('',(0.,0.,1.)); #401235=DIRECTION('center_axis',(0.778048811381718,-0.628203826084731,0.)); #401236=DIRECTION('ref_axis',(0.628203826084731,0.778048811381718,0.)); #401237=DIRECTION('',(0.628203826084731,0.778048811381718,0.)); #401238=DIRECTION('',(0.628203826084731,0.778048811381718,0.)); #401239=DIRECTION('',(0.,0.,1.)); #401240=DIRECTION('center_axis',(0.892938781493004,-0.450178111979902,0.)); #401241=DIRECTION('ref_axis',(0.450178111979902,0.892938781493004,0.)); #401242=DIRECTION('',(0.450178111979902,0.892938781493004,0.)); #401243=DIRECTION('',(0.450178111979902,0.892938781493004,0.)); #401244=DIRECTION('',(0.,0.,1.)); #401245=DIRECTION('center_axis',(0.967662278077992,-0.252249312357658,0.)); #401246=DIRECTION('ref_axis',(0.252249312357658,0.967662278077992,0.)); #401247=DIRECTION('',(0.252249312357658,0.967662278077992,0.)); #401248=DIRECTION('',(0.252249312357658,0.967662278077992,0.)); #401249=DIRECTION('',(0.,0.,1.)); #401250=DIRECTION('center_axis',(0.997238085307025,-0.0742711331082209, 0.)); #401251=DIRECTION('ref_axis',(0.0742711331082209,0.997238085307025,0.)); #401252=DIRECTION('',(0.0742711331082209,0.997238085307025,0.)); #401253=DIRECTION('',(0.0742711331082209,0.997238085307025,0.)); #401254=DIRECTION('',(0.,0.,1.)); #401255=DIRECTION('center_axis',(1.,0.,0.)); #401256=DIRECTION('ref_axis',(0.,1.,0.)); #401257=DIRECTION('',(0.,1.,0.)); #401258=DIRECTION('',(0.,1.,0.)); #401259=DIRECTION('',(0.,0.,1.)); #401260=DIRECTION('center_axis',(0.994378507470112,0.105883822567536,0.)); #401261=DIRECTION('ref_axis',(-0.105883822567536,0.994378507470111,0.)); #401262=DIRECTION('',(-0.105883822567536,0.994378507470111,0.)); #401263=DIRECTION('',(-0.105883822567536,0.994378507470111,0.)); #401264=DIRECTION('',(0.,0.,1.)); #401265=DIRECTION('center_axis',(0.949774679810776,0.312934589955054,0.)); #401266=DIRECTION('ref_axis',(-0.312934589955054,0.949774679810776,0.)); #401267=DIRECTION('',(-0.312934589955054,0.949774679810776,0.)); #401268=DIRECTION('',(-0.312934589955054,0.949774679810776,0.)); #401269=DIRECTION('',(0.,0.,1.)); #401270=DIRECTION('center_axis',(0.86247058544051,0.506107191462346,0.)); #401271=DIRECTION('ref_axis',(-0.506107191462346,0.86247058544051,0.)); #401272=DIRECTION('',(-0.506107191462346,0.86247058544051,0.)); #401273=DIRECTION('',(-0.506107191462346,0.86247058544051,0.)); #401274=DIRECTION('',(0.,0.,1.)); #401275=DIRECTION('center_axis',(0.75777348520638,0.652517697168572,0.)); #401276=DIRECTION('ref_axis',(-0.652517697168572,0.75777348520638,0.)); #401277=DIRECTION('',(-0.652517697168572,0.75777348520638,0.)); #401278=DIRECTION('',(-0.652517697168572,0.75777348520638,0.)); #401279=DIRECTION('',(0.,0.,1.)); #401280=DIRECTION('center_axis',(0.707106424143148,0.707107138229766,0.)); #401281=DIRECTION('ref_axis',(-0.707107138229766,0.707106424143148,0.)); #401282=DIRECTION('',(-0.707107138229766,0.707106424143148,0.)); #401283=DIRECTION('',(-0.707107138229766,0.707106424143148,0.)); #401284=DIRECTION('',(0.,0.,1.)); #401285=DIRECTION('center_axis',(0.628199078070024,0.778052644948895,0.)); #401286=DIRECTION('ref_axis',(-0.778052644948895,0.628199078070024,0.)); #401287=DIRECTION('',(-0.778052644948895,0.628199078070024,0.)); #401288=DIRECTION('',(-0.778052644948895,0.628199078070024,0.)); #401289=DIRECTION('',(0.,0.,1.)); #401290=DIRECTION('center_axis',(0.450178111979777,0.892938781493067,0.)); #401291=DIRECTION('ref_axis',(-0.892938781493067,0.450178111979777,0.)); #401292=DIRECTION('',(-0.892938781493067,0.450178111979777,0.)); #401293=DIRECTION('',(-0.892938781493067,0.450178111979777,0.)); #401294=DIRECTION('',(0.,0.,1.)); #401295=DIRECTION('center_axis',(0.252269987846792,0.967656888174615,0.)); #401296=DIRECTION('ref_axis',(-0.967656888174615,0.252269987846792,0.)); #401297=DIRECTION('',(-0.967656888174615,0.252269987846792,0.)); #401298=DIRECTION('',(-0.967656888174615,0.252269987846792,0.)); #401299=DIRECTION('',(0.,0.,1.)); #401300=DIRECTION('center_axis',(0.0742468828476369,0.997239891093116,0.)); #401301=DIRECTION('ref_axis',(-0.997239891093116,0.0742468828476369,0.)); #401302=DIRECTION('',(-0.997239891093116,0.0742468828476369,0.)); #401303=DIRECTION('',(-0.997239891093116,0.0742468828476369,0.)); #401304=DIRECTION('',(0.,0.,1.)); #401305=DIRECTION('center_axis',(0.,1.,0.)); #401306=DIRECTION('ref_axis',(-1.,0.,0.)); #401307=DIRECTION('',(-1.,0.,0.)); #401308=DIRECTION('',(-1.,0.,0.)); #401309=DIRECTION('',(0.,0.,1.)); #401310=DIRECTION('center_axis',(0.183301831720523,0.983056681218281,0.)); #401311=DIRECTION('ref_axis',(-0.983056681218281,0.183301831720523,0.)); #401312=DIRECTION('',(-0.983056681218281,0.183301831720523,0.)); #401313=DIRECTION('',(-0.983056681218281,0.183301831720523,0.)); #401314=DIRECTION('',(0.,0.,1.)); #401315=DIRECTION('center_axis',(0.53890444764079,0.842366901244924,0.)); #401316=DIRECTION('ref_axis',(-0.842366901244924,0.53890444764079,0.)); #401317=DIRECTION('',(-0.842366901244924,0.538904447640789,0.)); #401318=DIRECTION('',(-0.842366901244924,0.538904447640789,0.)); #401319=DIRECTION('',(0.,0.,1.)); #401320=DIRECTION('center_axis',(0.824750821382036,0.565496315310415,0.)); #401321=DIRECTION('ref_axis',(-0.565496315310415,0.824750821382036,0.)); #401322=DIRECTION('',(-0.565496315310415,0.824750821382036,0.)); #401323=DIRECTION('',(-0.565496315310415,0.824750821382036,0.)); #401324=DIRECTION('',(0.,0.,1.)); #401325=DIRECTION('center_axis',(0.980008289044031,0.19895666212769,0.)); #401326=DIRECTION('ref_axis',(-0.19895666212769,0.980008289044031,0.)); #401327=DIRECTION('',(-0.19895666212769,0.980008289044031,0.)); #401328=DIRECTION('',(-0.19895666212769,0.980008289044031,0.)); #401329=DIRECTION('',(0.,0.,1.)); #401330=DIRECTION('center_axis',(1.,0.,0.)); #401331=DIRECTION('ref_axis',(0.,1.,0.)); #401332=DIRECTION('',(0.,1.,0.)); #401333=DIRECTION('',(0.,1.,0.)); #401334=DIRECTION('',(0.,0.,1.)); #401335=DIRECTION('center_axis',(0.983055675573424,-0.183307224960934,0.)); #401336=DIRECTION('ref_axis',(0.183307224960934,0.983055675573424,0.)); #401337=DIRECTION('',(0.183307224960934,0.983055675573424,0.)); #401338=DIRECTION('',(0.183307224960934,0.983055675573424,0.)); #401339=DIRECTION('',(0.,0.,1.)); #401340=DIRECTION('center_axis',(0.842361453103688,-0.538912963589709,0.)); #401341=DIRECTION('ref_axis',(0.538912963589709,0.842361453103688,0.)); #401342=DIRECTION('',(0.538912963589709,0.842361453103688,0.)); #401343=DIRECTION('',(0.538912963589709,0.842361453103688,0.)); #401344=DIRECTION('',(0.,0.,1.)); #401345=DIRECTION('center_axis',(0.565494227239089,-0.82475225307923,0.)); #401346=DIRECTION('ref_axis',(0.824752253079229,0.565494227239089,0.)); #401347=DIRECTION('',(0.824752253079229,0.565494227239089,0.)); #401348=DIRECTION('',(0.824752253079229,0.565494227239089,0.)); #401349=DIRECTION('center_axis',(0.,1.,0.)); #401350=DIRECTION('ref_axis',(-1.,0.,0.)); #401351=DIRECTION('',(-1.,0.,0.)); #401352=DIRECTION('',(0.,0.,1.)); #401353=DIRECTION('',(-1.,0.,0.)); #401354=DIRECTION('',(0.,0.,1.)); #401355=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #401356=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #401357=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #401358=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #401359=DIRECTION('',(0.,0.,1.)); #401360=DIRECTION('center_axis',(-1.,0.,0.)); #401361=DIRECTION('ref_axis',(0.,-1.,0.)); #401362=DIRECTION('',(0.,-1.,0.)); #401363=DIRECTION('',(0.,-1.,0.)); #401364=DIRECTION('',(0.,0.,1.)); #401365=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #401366=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #401367=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #401368=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #401369=DIRECTION('',(0.,0.,1.)); #401370=DIRECTION('center_axis',(0.,-1.,0.)); #401371=DIRECTION('ref_axis',(1.,0.,0.)); #401372=DIRECTION('',(1.,0.,0.)); #401373=DIRECTION('',(1.,0.,0.)); #401374=DIRECTION('',(0.,0.,1.)); #401375=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #401376=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #401377=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #401378=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #401379=DIRECTION('',(0.,0.,1.)); #401380=DIRECTION('center_axis',(1.,0.,0.)); #401381=DIRECTION('ref_axis',(0.,1.,0.)); #401382=DIRECTION('',(0.,1.,0.)); #401383=DIRECTION('',(0.,1.,0.)); #401384=DIRECTION('',(0.,0.,1.)); #401385=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #401386=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #401387=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #401388=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #401389=DIRECTION('center_axis',(0.,1.,0.)); #401390=DIRECTION('ref_axis',(-1.,0.,0.)); #401391=DIRECTION('',(-1.,0.,0.)); #401392=DIRECTION('',(0.,0.,1.)); #401393=DIRECTION('',(-1.,0.,0.)); #401394=DIRECTION('',(0.,0.,1.)); #401395=DIRECTION('center_axis',(-0.70710678118653,0.707106781186565,0.)); #401396=DIRECTION('ref_axis',(-0.707106781186565,-0.70710678118653,0.)); #401397=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #401398=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #401399=DIRECTION('',(0.,0.,1.)); #401400=DIRECTION('center_axis',(-1.,0.,0.)); #401401=DIRECTION('ref_axis',(0.,-1.,0.)); #401402=DIRECTION('',(0.,-1.,0.)); #401403=DIRECTION('',(0.,-1.,0.)); #401404=DIRECTION('',(0.,0.,1.)); #401405=DIRECTION('center_axis',(-0.707106781186512,-0.707106781186583, 0.)); #401406=DIRECTION('ref_axis',(0.707106781186583,-0.707106781186512,0.)); #401407=DIRECTION('',(0.707106781186583,-0.707106781186512,0.)); #401408=DIRECTION('',(0.707106781186583,-0.707106781186512,0.)); #401409=DIRECTION('',(0.,0.,1.)); #401410=DIRECTION('center_axis',(0.,-1.,0.)); #401411=DIRECTION('ref_axis',(1.,0.,0.)); #401412=DIRECTION('',(1.,0.,0.)); #401413=DIRECTION('',(1.,0.,0.)); #401414=DIRECTION('',(0.,0.,1.)); #401415=DIRECTION('center_axis',(0.707106781186512,-0.707106781186583,0.)); #401416=DIRECTION('ref_axis',(0.707106781186583,0.707106781186512,0.)); #401417=DIRECTION('',(0.707106781186583,0.707106781186512,0.)); #401418=DIRECTION('',(0.707106781186583,0.707106781186512,0.)); #401419=DIRECTION('',(0.,0.,1.)); #401420=DIRECTION('center_axis',(1.,0.,0.)); #401421=DIRECTION('ref_axis',(0.,1.,0.)); #401422=DIRECTION('',(0.,1.,0.)); #401423=DIRECTION('',(0.,1.,0.)); #401424=DIRECTION('',(0.,0.,1.)); #401425=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #401426=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #401427=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #401428=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #401429=DIRECTION('center_axis',(0.,1.,0.)); #401430=DIRECTION('ref_axis',(-1.,0.,0.)); #401431=DIRECTION('',(-1.,0.,0.)); #401432=DIRECTION('',(0.,0.,1.)); #401433=DIRECTION('',(-1.,0.,0.)); #401434=DIRECTION('',(0.,0.,1.)); #401435=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #401436=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #401437=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #401438=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #401439=DIRECTION('',(0.,0.,1.)); #401440=DIRECTION('center_axis',(-1.,0.,0.)); #401441=DIRECTION('ref_axis',(0.,-1.,0.)); #401442=DIRECTION('',(0.,-1.,0.)); #401443=DIRECTION('',(0.,-1.,0.)); #401444=DIRECTION('',(0.,0.,1.)); #401445=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #401446=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #401447=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #401448=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #401449=DIRECTION('',(0.,0.,1.)); #401450=DIRECTION('center_axis',(0.,-1.,0.)); #401451=DIRECTION('ref_axis',(1.,0.,0.)); #401452=DIRECTION('',(1.,0.,0.)); #401453=DIRECTION('',(1.,0.,0.)); #401454=DIRECTION('',(0.,0.,1.)); #401455=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #401456=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #401457=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #401458=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #401459=DIRECTION('',(0.,0.,1.)); #401460=DIRECTION('center_axis',(1.,0.,0.)); #401461=DIRECTION('ref_axis',(0.,1.,0.)); #401462=DIRECTION('',(0.,1.,0.)); #401463=DIRECTION('',(0.,1.,0.)); #401464=DIRECTION('',(0.,0.,1.)); #401465=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #401466=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #401467=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #401468=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #401469=DIRECTION('center_axis',(0.,1.,0.)); #401470=DIRECTION('ref_axis',(-1.,0.,0.)); #401471=DIRECTION('',(-1.,0.,0.)); #401472=DIRECTION('',(0.,0.,1.)); #401473=DIRECTION('',(-1.,0.,0.)); #401474=DIRECTION('',(0.,0.,1.)); #401475=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #401476=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #401477=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #401478=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #401479=DIRECTION('',(0.,0.,1.)); #401480=DIRECTION('center_axis',(-1.,0.,0.)); #401481=DIRECTION('ref_axis',(0.,-1.,0.)); #401482=DIRECTION('',(0.,-1.,0.)); #401483=DIRECTION('',(0.,-1.,0.)); #401484=DIRECTION('',(0.,0.,1.)); #401485=DIRECTION('center_axis',(-0.70710678118653,-0.707106781186565,0.)); #401486=DIRECTION('ref_axis',(0.707106781186565,-0.70710678118653,0.)); #401487=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #401488=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #401489=DIRECTION('',(0.,0.,1.)); #401490=DIRECTION('center_axis',(0.,-1.,0.)); #401491=DIRECTION('ref_axis',(1.,0.,0.)); #401492=DIRECTION('',(1.,0.,0.)); #401493=DIRECTION('',(1.,0.,0.)); #401494=DIRECTION('',(0.,0.,1.)); #401495=DIRECTION('center_axis',(-0.183305290257319,-0.983056036329405, 0.)); #401496=DIRECTION('ref_axis',(0.983056036329405,-0.183305290257319,0.)); #401497=DIRECTION('',(0.983056036329405,-0.183305290257319,0.)); #401498=DIRECTION('',(0.983056036329405,-0.183305290257319,0.)); #401499=DIRECTION('',(0.,0.,1.)); #401500=DIRECTION('center_axis',(-0.538911466745922,-0.842362410729348, 0.)); #401501=DIRECTION('ref_axis',(0.842362410729348,-0.538911466745922,0.)); #401502=DIRECTION('',(0.842362410729348,-0.538911466745922,0.)); #401503=DIRECTION('',(0.842362410729348,-0.538911466745922,0.)); #401504=DIRECTION('',(0.,0.,1.)); #401505=DIRECTION('center_axis',(-0.824745549033873,-0.565504004715099, 0.)); #401506=DIRECTION('ref_axis',(0.565504004715099,-0.824745549033873,0.)); #401507=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #401508=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #401509=DIRECTION('',(0.,0.,1.)); #401510=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #401511=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #401512=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #401513=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #401514=DIRECTION('',(0.,0.,1.)); #401515=DIRECTION('center_axis',(-1.,0.,0.)); #401516=DIRECTION('ref_axis',(0.,-1.,0.)); #401517=DIRECTION('',(0.,-1.,0.)); #401518=DIRECTION('',(0.,-1.,0.)); #401519=DIRECTION('',(0.,0.,1.)); #401520=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #401521=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #401522=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #401523=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #401524=DIRECTION('',(0.,0.,1.)); #401525=DIRECTION('center_axis',(-0.833659859749643,0.552278225392063,0.)); #401526=DIRECTION('ref_axis',(-0.552278225392063,-0.833659859749643,0.)); #401527=DIRECTION('',(-0.552278225392063,-0.833659859749643,0.)); #401528=DIRECTION('',(-0.552278225392063,-0.833659859749643,0.)); #401529=DIRECTION('',(0.,0.,1.)); #401530=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #401531=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #401532=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #401533=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #401534=DIRECTION('',(0.,0.,1.)); #401535=DIRECTION('center_axis',(-0.558946331949005,0.829203833807317,0.)); #401536=DIRECTION('ref_axis',(-0.829203833807317,-0.558946331949005,0.)); #401537=DIRECTION('',(-0.829203833807317,-0.558946331949005,0.)); #401538=DIRECTION('',(-0.829203833807317,-0.558946331949005,0.)); #401539=DIRECTION('',(0.,0.,1.)); #401540=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #401541=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #401542=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #401543=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #401544=DIRECTION('',(0.,0.,1.)); #401545=DIRECTION('center_axis',(0.,1.,0.)); #401546=DIRECTION('ref_axis',(-1.,0.,0.)); #401547=DIRECTION('',(-1.,0.,0.)); #401548=DIRECTION('',(-1.,0.,0.)); #401549=DIRECTION('',(0.,0.,1.)); #401550=DIRECTION('center_axis',(-0.70710678118653,0.707106781186565,0.)); #401551=DIRECTION('ref_axis',(-0.707106781186565,-0.70710678118653,0.)); #401552=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #401553=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #401554=DIRECTION('',(0.,0.,1.)); #401555=DIRECTION('center_axis',(-1.,0.,0.)); #401556=DIRECTION('ref_axis',(0.,-1.,0.)); #401557=DIRECTION('',(0.,-1.,0.)); #401558=DIRECTION('',(0.,-1.,0.)); #401559=DIRECTION('',(0.,0.,1.)); #401560=DIRECTION('center_axis',(-0.70710678118653,-0.707106781186565,0.)); #401561=DIRECTION('ref_axis',(0.707106781186565,-0.70710678118653,0.)); #401562=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #401563=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #401564=DIRECTION('',(0.,0.,1.)); #401565=DIRECTION('center_axis',(0.,-1.,0.)); #401566=DIRECTION('ref_axis',(1.,0.,0.)); #401567=DIRECTION('',(1.,0.,0.)); #401568=DIRECTION('',(1.,0.,0.)); #401569=DIRECTION('',(0.,0.,1.)); #401570=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #401571=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #401572=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #401573=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #401574=DIRECTION('',(0.,0.,1.)); #401575=DIRECTION('center_axis',(1.,0.,0.)); #401576=DIRECTION('ref_axis',(0.,1.,0.)); #401577=DIRECTION('',(0.,1.,0.)); #401578=DIRECTION('',(0.,1.,0.)); #401579=DIRECTION('',(0.,0.,1.)); #401580=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #401581=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #401582=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #401583=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #401584=DIRECTION('',(0.,0.,1.)); #401585=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #401586=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #401587=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #401588=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #401589=DIRECTION('',(0.,0.,1.)); #401590=DIRECTION('center_axis',(0.707106781186555,-0.707106781186541,0.)); #401591=DIRECTION('ref_axis',(0.70710678118654,0.707106781186554,0.)); #401592=DIRECTION('',(0.70710678118654,0.707106781186554,0.)); #401593=DIRECTION('',(0.70710678118654,0.707106781186554,0.)); #401594=DIRECTION('',(0.,0.,1.)); #401595=DIRECTION('center_axis',(1.,0.,0.)); #401596=DIRECTION('ref_axis',(0.,1.,0.)); #401597=DIRECTION('',(0.,1.,0.)); #401598=DIRECTION('',(0.,1.,0.)); #401599=DIRECTION('',(0.,0.,1.)); #401600=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #401601=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #401602=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #401603=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #401604=DIRECTION('',(0.,0.,1.)); #401605=DIRECTION('center_axis',(0.842362410729396,-0.538911466745847,0.)); #401606=DIRECTION('ref_axis',(0.538911466745847,0.842362410729396,0.)); #401607=DIRECTION('',(0.538911466745847,0.842362410729396,0.)); #401608=DIRECTION('',(0.538911466745847,0.842362410729396,0.)); #401609=DIRECTION('',(0.,0.,1.)); #401610=DIRECTION('center_axis',(0.565504004714826,-0.82474554903406,0.)); #401611=DIRECTION('ref_axis',(0.82474554903406,0.565504004714826,0.)); #401612=DIRECTION('',(0.82474554903406,0.565504004714826,0.)); #401613=DIRECTION('',(0.82474554903406,0.565504004714826,0.)); #401614=DIRECTION('',(0.,0.,1.)); #401615=DIRECTION('center_axis',(0.1989560192326,-0.980008419561341,0.)); #401616=DIRECTION('ref_axis',(0.980008419561341,0.1989560192326,0.)); #401617=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #401618=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #401619=DIRECTION('',(0.,0.,1.)); #401620=DIRECTION('center_axis',(0.,-1.,0.)); #401621=DIRECTION('ref_axis',(1.,0.,0.)); #401622=DIRECTION('',(1.,0.,0.)); #401623=DIRECTION('',(1.,0.,0.)); #401624=DIRECTION('',(0.,0.,1.)); #401625=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #401626=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #401627=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #401628=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #401629=DIRECTION('',(0.,0.,1.)); #401630=DIRECTION('center_axis',(1.,0.,0.)); #401631=DIRECTION('ref_axis',(0.,1.,0.)); #401632=DIRECTION('',(0.,1.,0.)); #401633=DIRECTION('',(0.,1.,0.)); #401634=DIRECTION('',(0.,0.,1.)); #401635=DIRECTION('center_axis',(0.707106781186512,0.707106781186583,0.)); #401636=DIRECTION('ref_axis',(-0.707106781186583,0.707106781186512,0.)); #401637=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #401638=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #401639=DIRECTION('center_axis',(0.,1.,0.)); #401640=DIRECTION('ref_axis',(-1.,0.,0.)); #401641=DIRECTION('',(-1.,0.,0.)); #401642=DIRECTION('',(0.,0.,1.)); #401643=DIRECTION('',(-1.,0.,0.)); #401644=DIRECTION('',(0.,0.,1.)); #401645=DIRECTION('center_axis',(-0.707106781186512,0.707106781186583,0.)); #401646=DIRECTION('ref_axis',(-0.707106781186583,-0.707106781186512,0.)); #401647=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #401648=DIRECTION('',(-0.707106781186583,-0.707106781186512,0.)); #401649=DIRECTION('',(0.,0.,1.)); #401650=DIRECTION('center_axis',(-1.,0.,0.)); #401651=DIRECTION('ref_axis',(0.,-1.,0.)); #401652=DIRECTION('',(0.,-1.,0.)); #401653=DIRECTION('',(0.,-1.,0.)); #401654=DIRECTION('',(0.,0.,1.)); #401655=DIRECTION('center_axis',(-0.70710678118653,-0.707106781186565,0.)); #401656=DIRECTION('ref_axis',(0.707106781186565,-0.70710678118653,0.)); #401657=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #401658=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #401659=DIRECTION('',(0.,0.,1.)); #401660=DIRECTION('center_axis',(0.,-1.,0.)); #401661=DIRECTION('ref_axis',(1.,0.,0.)); #401662=DIRECTION('',(1.,0.,0.)); #401663=DIRECTION('',(1.,0.,0.)); #401664=DIRECTION('',(0.,0.,1.)); #401665=DIRECTION('center_axis',(-0.183305290257262,-0.983056036329416, 0.)); #401666=DIRECTION('ref_axis',(0.983056036329416,-0.183305290257262,0.)); #401667=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #401668=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #401669=DIRECTION('',(0.,0.,1.)); #401670=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #401671=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #401672=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #401673=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #401674=DIRECTION('',(0.,0.,1.)); #401675=DIRECTION('center_axis',(-0.824745549033873,-0.565504004715099, 0.)); #401676=DIRECTION('ref_axis',(0.565504004715099,-0.824745549033873,0.)); #401677=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #401678=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #401679=DIRECTION('',(0.,0.,1.)); #401680=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #401681=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #401682=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #401683=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #401684=DIRECTION('',(0.,0.,1.)); #401685=DIRECTION('center_axis',(-1.,0.,0.)); #401686=DIRECTION('ref_axis',(0.,-1.,0.)); #401687=DIRECTION('',(0.,-1.,0.)); #401688=DIRECTION('',(0.,-1.,0.)); #401689=DIRECTION('',(0.,0.,1.)); #401690=DIRECTION('center_axis',(-0.707106781186555,-0.707106781186541, 0.)); #401691=DIRECTION('ref_axis',(0.70710678118654,-0.707106781186554,0.)); #401692=DIRECTION('',(0.70710678118654,-0.707106781186554,0.)); #401693=DIRECTION('',(0.70710678118654,-0.707106781186554,0.)); #401694=DIRECTION('',(0.,0.,1.)); #401695=DIRECTION('center_axis',(-0.829203833807318,-0.558946331949003, 0.)); #401696=DIRECTION('ref_axis',(0.558946331949003,-0.829203833807318,0.)); #401697=DIRECTION('',(0.558946331949003,-0.829203833807318,0.)); #401698=DIRECTION('',(0.558946331949003,-0.829203833807318,0.)); #401699=DIRECTION('',(0.,0.,1.)); #401700=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #401701=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #401702=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #401703=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #401704=DIRECTION('',(0.,0.,1.)); #401705=DIRECTION('center_axis',(-1.,0.,0.)); #401706=DIRECTION('ref_axis',(0.,-1.,0.)); #401707=DIRECTION('',(0.,-1.,0.)); #401708=DIRECTION('',(0.,-1.,0.)); #401709=DIRECTION('',(0.,0.,1.)); #401710=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #401711=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #401712=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #401713=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #401714=DIRECTION('',(0.,0.,1.)); #401715=DIRECTION('center_axis',(0.,-1.,0.)); #401716=DIRECTION('ref_axis',(1.,0.,0.)); #401717=DIRECTION('',(1.,0.,0.)); #401718=DIRECTION('',(1.,0.,0.)); #401719=DIRECTION('',(0.,0.,1.)); #401720=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #401721=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #401722=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #401723=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #401724=DIRECTION('',(0.,0.,1.)); #401725=DIRECTION('center_axis',(1.,0.,0.)); #401726=DIRECTION('ref_axis',(0.,1.,0.)); #401727=DIRECTION('',(0.,1.,0.)); #401728=DIRECTION('',(0.,1.,0.)); #401729=DIRECTION('',(0.,0.,1.)); #401730=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #401731=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #401732=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #401733=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #401734=DIRECTION('',(0.,0.,1.)); #401735=DIRECTION('center_axis',(0.,1.,0.)); #401736=DIRECTION('ref_axis',(-1.,0.,0.)); #401737=DIRECTION('',(-1.,0.,0.)); #401738=DIRECTION('',(-1.,0.,0.)); #401739=DIRECTION('',(0.,0.,1.)); #401740=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #401741=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #401742=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #401743=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #401744=DIRECTION('',(0.,0.,1.)); #401745=DIRECTION('center_axis',(0.552278225392028,0.833659859749666,0.)); #401746=DIRECTION('ref_axis',(-0.833659859749665,0.552278225392028,0.)); #401747=DIRECTION('',(-0.833659859749665,0.552278225392028,0.)); #401748=DIRECTION('',(-0.833659859749665,0.552278225392028,0.)); #401749=DIRECTION('',(0.,0.,1.)); #401750=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #401751=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #401752=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #401753=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #401754=DIRECTION('',(0.,0.,1.)); #401755=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #401756=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #401757=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #401758=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #401759=DIRECTION('',(0.,0.,1.)); #401760=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #401761=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #401762=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #401763=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #401764=DIRECTION('',(0.,0.,1.)); #401765=DIRECTION('center_axis',(1.,0.,0.)); #401766=DIRECTION('ref_axis',(0.,1.,0.)); #401767=DIRECTION('',(0.,1.,0.)); #401768=DIRECTION('',(0.,1.,0.)); #401769=DIRECTION('',(0.,0.,1.)); #401770=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #401771=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #401772=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #401773=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #401774=DIRECTION('',(0.,0.,1.)); #401775=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #401776=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #401777=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #401778=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #401779=DIRECTION('',(0.,0.,1.)); #401780=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #401781=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #401782=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #401783=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #401784=DIRECTION('',(0.,0.,1.)); #401785=DIRECTION('center_axis',(0.1989560192326,-0.980008419561341,0.)); #401786=DIRECTION('ref_axis',(0.980008419561341,0.1989560192326,0.)); #401787=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #401788=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #401789=DIRECTION('',(0.,0.,1.)); #401790=DIRECTION('center_axis',(0.,-1.,0.)); #401791=DIRECTION('ref_axis',(1.,0.,0.)); #401792=DIRECTION('',(1.,0.,0.)); #401793=DIRECTION('',(1.,0.,0.)); #401794=DIRECTION('',(0.,0.,1.)); #401795=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #401796=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #401797=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #401798=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #401799=DIRECTION('',(0.,0.,1.)); #401800=DIRECTION('center_axis',(1.,0.,0.)); #401801=DIRECTION('ref_axis',(0.,1.,0.)); #401802=DIRECTION('',(0.,1.,0.)); #401803=DIRECTION('',(0.,1.,0.)); #401804=DIRECTION('',(0.,0.,1.)); #401805=DIRECTION('center_axis',(0.707106781186512,0.707106781186583,0.)); #401806=DIRECTION('ref_axis',(-0.707106781186583,0.707106781186512,0.)); #401807=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #401808=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #401809=DIRECTION('center_axis',(0.,1.,0.)); #401810=DIRECTION('ref_axis',(-1.,0.,0.)); #401811=DIRECTION('',(-1.,0.,0.)); #401812=DIRECTION('',(0.,0.,1.)); #401813=DIRECTION('',(-1.,0.,0.)); #401814=DIRECTION('',(0.,0.,1.)); #401815=DIRECTION('center_axis',(-0.105885061288576,0.994378375567326,0.)); #401816=DIRECTION('ref_axis',(-0.994378375567326,-0.105885061288576,0.)); #401817=DIRECTION('',(-0.994378375567326,-0.105885061288576,0.)); #401818=DIRECTION('',(-0.994378375567326,-0.105885061288576,0.)); #401819=DIRECTION('',(0.,0.,1.)); #401820=DIRECTION('center_axis',(-0.312922107778911,0.949778792384418,0.)); #401821=DIRECTION('ref_axis',(-0.949778792384418,-0.312922107778911,0.)); #401822=DIRECTION('',(-0.949778792384418,-0.312922107778911,0.)); #401823=DIRECTION('',(-0.949778792384418,-0.312922107778911,0.)); #401824=DIRECTION('',(0.,0.,1.)); #401825=DIRECTION('center_axis',(-0.506114900434732,0.862466061684714,0.)); #401826=DIRECTION('ref_axis',(-0.862466061684714,-0.506114900434732,0.)); #401827=DIRECTION('',(-0.862466061684714,-0.506114900434732,0.)); #401828=DIRECTION('',(-0.862466061684714,-0.506114900434732,0.)); #401829=DIRECTION('',(0.,0.,1.)); #401830=DIRECTION('center_axis',(-0.652508824452305,0.757781125399591,0.)); #401831=DIRECTION('ref_axis',(-0.757781125399591,-0.652508824452305,0.)); #401832=DIRECTION('',(-0.757781125399591,-0.652508824452305,0.)); #401833=DIRECTION('',(-0.757781125399591,-0.652508824452305,0.)); #401834=DIRECTION('',(0.,0.,1.)); #401835=DIRECTION('center_axis',(-0.707108223597805,0.707105338772347,0.)); #401836=DIRECTION('ref_axis',(-0.707105338772347,-0.707108223597805,0.)); #401837=DIRECTION('',(-0.707105338772347,-0.707108223597805,0.)); #401838=DIRECTION('',(-0.707105338772347,-0.707108223597805,0.)); #401839=DIRECTION('',(0.,0.,1.)); #401840=DIRECTION('center_axis',(-0.77804881138165,0.628203826084816,0.)); #401841=DIRECTION('ref_axis',(-0.628203826084816,-0.77804881138165,0.)); #401842=DIRECTION('',(-0.628203826084816,-0.77804881138165,0.)); #401843=DIRECTION('',(-0.628203826084816,-0.77804881138165,0.)); #401844=DIRECTION('',(0.,0.,1.)); #401845=DIRECTION('center_axis',(-0.892938781492879,0.450178111980149,0.)); #401846=DIRECTION('ref_axis',(-0.450178111980149,-0.89293878149288,0.)); #401847=DIRECTION('',(-0.450178111980149,-0.89293878149288,0.)); #401848=DIRECTION('',(-0.450178111980149,-0.89293878149288,0.)); #401849=DIRECTION('',(0.,0.,1.)); #401850=DIRECTION('center_axis',(-0.967662278078034,0.252249312357498,0.)); #401851=DIRECTION('ref_axis',(-0.252249312357498,-0.967662278078034,0.)); #401852=DIRECTION('',(-0.252249312357498,-0.967662278078034,0.)); #401853=DIRECTION('',(-0.252249312357498,-0.967662278078034,0.)); #401854=DIRECTION('',(0.,0.,1.)); #401855=DIRECTION('center_axis',(-0.997238118320005,0.0742706898417872, 0.)); #401856=DIRECTION('ref_axis',(-0.0742706898417872,-0.997238118320005,0.)); #401857=DIRECTION('',(-0.0742706898417872,-0.997238118320005,0.)); #401858=DIRECTION('',(-0.0742706898417872,-0.997238118320005,0.)); #401859=DIRECTION('',(0.,0.,1.)); #401860=DIRECTION('center_axis',(-1.,0.,0.)); #401861=DIRECTION('ref_axis',(0.,-1.,0.)); #401862=DIRECTION('',(0.,-1.,0.)); #401863=DIRECTION('',(0.,-1.,0.)); #401864=DIRECTION('',(0.,0.,1.)); #401865=DIRECTION('center_axis',(-0.994378375567352,-0.105885061288336, 0.)); #401866=DIRECTION('ref_axis',(0.105885061288336,-0.994378375567352,0.)); #401867=DIRECTION('',(0.105885061288336,-0.994378375567352,0.)); #401868=DIRECTION('',(0.105885061288336,-0.994378375567352,0.)); #401869=DIRECTION('',(0.,0.,1.)); #401870=DIRECTION('center_axis',(-0.949778792384408,-0.312922107778943, 0.)); #401871=DIRECTION('ref_axis',(0.312922107778943,-0.949778792384408,0.)); #401872=DIRECTION('',(0.312922107778943,-0.949778792384408,0.)); #401873=DIRECTION('',(0.312922107778943,-0.949778792384408,0.)); #401874=DIRECTION('',(0.,0.,1.)); #401875=DIRECTION('center_axis',(-0.862466061684628,-0.506114900434878, 0.)); #401876=DIRECTION('ref_axis',(0.506114900434878,-0.862466061684628,0.)); #401877=DIRECTION('',(0.506114900434878,-0.862466061684628,0.)); #401878=DIRECTION('',(0.506114900434878,-0.862466061684628,0.)); #401879=DIRECTION('',(0.,0.,1.)); #401880=DIRECTION('center_axis',(-0.757781125399967,-0.652508824451868, 0.)); #401881=DIRECTION('ref_axis',(0.652508824451868,-0.757781125399967,0.)); #401882=DIRECTION('',(0.652508824451868,-0.757781125399967,0.)); #401883=DIRECTION('',(0.652508824451868,-0.757781125399967,0.)); #401884=DIRECTION('',(0.,0.,1.)); #401885=DIRECTION('center_axis',(-0.707105338772335,-0.707108223597818, 0.)); #401886=DIRECTION('ref_axis',(0.707108223597818,-0.707105338772335,0.)); #401887=DIRECTION('',(0.707108223597818,-0.707105338772335,0.)); #401888=DIRECTION('',(0.707108223597818,-0.707105338772335,0.)); #401889=DIRECTION('',(0.,0.,1.)); #401890=DIRECTION('center_axis',(-0.628203826084836,-0.778048811381633, 0.)); #401891=DIRECTION('ref_axis',(0.778048811381633,-0.628203826084836,0.)); #401892=DIRECTION('',(0.778048811381633,-0.628203826084836,0.)); #401893=DIRECTION('',(0.778048811381633,-0.628203826084836,0.)); #401894=DIRECTION('',(0.,0.,1.)); #401895=DIRECTION('center_axis',(-0.450178111979944,-0.892938781492983, 0.)); #401896=DIRECTION('ref_axis',(0.892938781492983,-0.450178111979944,0.)); #401897=DIRECTION('',(0.892938781492983,-0.450178111979944,0.)); #401898=DIRECTION('',(0.892938781492983,-0.450178111979944,0.)); #401899=DIRECTION('',(0.,0.,1.)); #401900=DIRECTION('center_axis',(-0.25224931235745,-0.967662278078046,0.)); #401901=DIRECTION('ref_axis',(0.967662278078046,-0.25224931235745,0.)); #401902=DIRECTION('',(0.967662278078046,-0.25224931235745,0.)); #401903=DIRECTION('',(0.967662278078046,-0.25224931235745,0.)); #401904=DIRECTION('',(0.,0.,1.)); #401905=DIRECTION('center_axis',(-0.0742706898420416,-0.997238118319986, 0.)); #401906=DIRECTION('ref_axis',(0.997238118319986,-0.0742706898420416,0.)); #401907=DIRECTION('',(0.997238118319986,-0.0742706898420416,0.)); #401908=DIRECTION('',(0.997238118319986,-0.0742706898420416,0.)); #401909=DIRECTION('',(0.,0.,1.)); #401910=DIRECTION('center_axis',(0.,-1.,0.)); #401911=DIRECTION('ref_axis',(1.,0.,0.)); #401912=DIRECTION('',(1.,0.,0.)); #401913=DIRECTION('',(1.,0.,0.)); #401914=DIRECTION('',(0.,0.,1.)); #401915=DIRECTION('center_axis',(0.105885061288526,-0.994378375567332,0.)); #401916=DIRECTION('ref_axis',(0.994378375567332,0.105885061288526,0.)); #401917=DIRECTION('',(0.994378375567332,0.105885061288526,0.)); #401918=DIRECTION('',(0.994378375567332,0.105885061288526,0.)); #401919=DIRECTION('',(0.,0.,1.)); #401920=DIRECTION('center_axis',(0.312922107778818,-0.949778792384449,0.)); #401921=DIRECTION('ref_axis',(0.949778792384449,0.312922107778818,0.)); #401922=DIRECTION('',(0.949778792384449,0.312922107778818,0.)); #401923=DIRECTION('',(0.949778792384449,0.312922107778818,0.)); #401924=DIRECTION('',(0.,0.,1.)); #401925=DIRECTION('center_axis',(0.506114900434707,-0.862466061684729,0.)); #401926=DIRECTION('ref_axis',(0.862466061684729,0.506114900434707,0.)); #401927=DIRECTION('',(0.862466061684729,0.506114900434707,0.)); #401928=DIRECTION('',(0.862466061684729,0.506114900434707,0.)); #401929=DIRECTION('',(0.,0.,1.)); #401930=DIRECTION('center_axis',(0.652508824452,-0.757781125399854,0.)); #401931=DIRECTION('ref_axis',(0.757781125399854,0.652508824452,0.)); #401932=DIRECTION('',(0.757781125399854,0.652508824452,0.)); #401933=DIRECTION('',(0.757781125399854,0.652508824452,0.)); #401934=DIRECTION('',(0.,0.,1.)); #401935=DIRECTION('center_axis',(0.707108223597812,-0.707105338772341,0.)); #401936=DIRECTION('ref_axis',(0.707105338772341,0.707108223597812,0.)); #401937=DIRECTION('',(0.707105338772341,0.707108223597812,0.)); #401938=DIRECTION('',(0.707105338772341,0.707108223597812,0.)); #401939=DIRECTION('',(0.,0.,1.)); #401940=DIRECTION('center_axis',(0.778048811381718,-0.628203826084731,0.)); #401941=DIRECTION('ref_axis',(0.628203826084731,0.778048811381718,0.)); #401942=DIRECTION('',(0.628203826084731,0.778048811381718,0.)); #401943=DIRECTION('',(0.628203826084731,0.778048811381718,0.)); #401944=DIRECTION('',(0.,0.,1.)); #401945=DIRECTION('center_axis',(0.892938781493025,-0.450178111979861,0.)); #401946=DIRECTION('ref_axis',(0.45017811197986,0.892938781493025,0.)); #401947=DIRECTION('',(0.45017811197986,0.892938781493025,0.)); #401948=DIRECTION('',(0.45017811197986,0.892938781493025,0.)); #401949=DIRECTION('',(0.,0.,1.)); #401950=DIRECTION('center_axis',(0.967662278078034,-0.252249312357498,0.)); #401951=DIRECTION('ref_axis',(0.252249312357498,0.967662278078034,0.)); #401952=DIRECTION('',(0.252249312357498,0.967662278078034,0.)); #401953=DIRECTION('',(0.252249312357498,0.967662278078034,0.)); #401954=DIRECTION('',(0.,0.,1.)); #401955=DIRECTION('center_axis',(0.997238118320006,-0.0742706898417773, 0.)); #401956=DIRECTION('ref_axis',(0.0742706898417773,0.997238118320006,0.)); #401957=DIRECTION('',(0.0742706898417773,0.997238118320006,0.)); #401958=DIRECTION('',(0.0742706898417773,0.997238118320006,0.)); #401959=DIRECTION('',(0.,0.,1.)); #401960=DIRECTION('center_axis',(1.,0.,0.)); #401961=DIRECTION('ref_axis',(0.,1.,0.)); #401962=DIRECTION('',(0.,1.,0.)); #401963=DIRECTION('',(0.,1.,0.)); #401964=DIRECTION('',(0.,0.,1.)); #401965=DIRECTION('center_axis',(0.99437837556735,0.105885061288354,0.)); #401966=DIRECTION('ref_axis',(-0.105885061288354,0.99437837556735,0.)); #401967=DIRECTION('',(-0.105885061288354,0.99437837556735,0.)); #401968=DIRECTION('',(-0.105885061288354,0.99437837556735,0.)); #401969=DIRECTION('',(0.,0.,1.)); #401970=DIRECTION('center_axis',(0.949774222827774,0.312935976921634,0.)); #401971=DIRECTION('ref_axis',(-0.312935976921634,0.949774222827774,0.)); #401972=DIRECTION('',(-0.312935976921634,0.949774222827774,0.)); #401973=DIRECTION('',(-0.312935976921634,0.949774222827774,0.)); #401974=DIRECTION('',(0.,0.,1.)); #401975=DIRECTION('center_axis',(0.86247737068662,0.50609562836829,0.)); #401976=DIRECTION('ref_axis',(-0.50609562836829,0.86247737068662,0.)); #401977=DIRECTION('',(-0.50609562836829,0.86247737068662,0.)); #401978=DIRECTION('',(-0.50609562836829,0.86247737068662,0.)); #401979=DIRECTION('',(0.,0.,1.)); #401980=DIRECTION('center_axis',(0.757781125399535,0.65250882445237,0.)); #401981=DIRECTION('ref_axis',(-0.65250882445237,0.757781125399535,0.)); #401982=DIRECTION('',(-0.65250882445237,0.757781125399535,0.)); #401983=DIRECTION('',(-0.65250882445237,0.757781125399535,0.)); #401984=DIRECTION('',(0.,0.,1.)); #401985=DIRECTION('center_axis',(0.707105338772344,0.707108223597809,0.)); #401986=DIRECTION('ref_axis',(-0.707108223597808,0.707105338772344,0.)); #401987=DIRECTION('',(-0.707108223597808,0.707105338772344,0.)); #401988=DIRECTION('',(-0.707108223597808,0.707105338772344,0.)); #401989=DIRECTION('',(0.,0.,1.)); #401990=DIRECTION('center_axis',(0.628203826084731,0.778048811381718,0.)); #401991=DIRECTION('ref_axis',(-0.778048811381718,0.628203826084731,0.)); #401992=DIRECTION('',(-0.778048811381718,0.628203826084731,0.)); #401993=DIRECTION('',(-0.778048811381718,0.628203826084731,0.)); #401994=DIRECTION('',(0.,0.,1.)); #401995=DIRECTION('center_axis',(0.450178111979944,0.892938781492983,0.)); #401996=DIRECTION('ref_axis',(-0.892938781492983,0.450178111979944,0.)); #401997=DIRECTION('',(-0.892938781492983,0.450178111979944,0.)); #401998=DIRECTION('',(-0.892938781492983,0.450178111979944,0.)); #401999=DIRECTION('',(0.,0.,1.)); #402000=DIRECTION('center_axis',(0.252249312357822,0.967662278077949,0.)); #402001=DIRECTION('ref_axis',(-0.967662278077949,0.252249312357821,0.)); #402002=DIRECTION('',(-0.967662278077949,0.252249312357821,0.)); #402003=DIRECTION('',(-0.967662278077949,0.252249312357821,0.)); #402004=DIRECTION('',(0.,0.,1.)); #402005=DIRECTION('center_axis',(0.0742706898419095,0.997238118319996,0.)); #402006=DIRECTION('ref_axis',(-0.997238118319996,0.0742706898419095,0.)); #402007=DIRECTION('',(-0.997238118319996,0.0742706898419095,0.)); #402008=DIRECTION('',(-0.997238118319996,0.0742706898419095,0.)); #402009=DIRECTION('center_axis',(0.,1.,0.)); #402010=DIRECTION('ref_axis',(-1.,0.,0.)); #402011=DIRECTION('',(-1.,0.,0.)); #402012=DIRECTION('',(0.,0.,1.)); #402013=DIRECTION('',(-1.,0.,0.)); #402014=DIRECTION('',(0.,0.,1.)); #402015=DIRECTION('center_axis',(-0.707104327390303,0.707109234974277,0.)); #402016=DIRECTION('ref_axis',(-0.707109234974277,-0.707104327390303,0.)); #402017=DIRECTION('',(-0.707109234974277,-0.707104327390303,0.)); #402018=DIRECTION('',(-0.707109234974277,-0.707104327390303,0.)); #402019=DIRECTION('',(0.,0.,1.)); #402020=DIRECTION('center_axis',(-0.565439331101364,0.824789890119685,0.)); #402021=DIRECTION('ref_axis',(-0.824789890119685,-0.565439331101364,0.)); #402022=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #402023=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #402024=DIRECTION('',(0.,0.,1.)); #402025=DIRECTION('center_axis',(-0.214674314516549,0.976685690837564,0.)); #402026=DIRECTION('ref_axis',(-0.976685690837564,-0.214674314516549,0.)); #402027=DIRECTION('',(-0.976685690837564,-0.214674314516549,0.)); #402028=DIRECTION('',(-0.976685690837564,-0.214674314516549,0.)); #402029=DIRECTION('',(0.,0.,1.)); #402030=DIRECTION('center_axis',(0.183334818573699,0.98305052988061,0.)); #402031=DIRECTION('ref_axis',(-0.98305052988061,0.183334818573699,0.)); #402032=DIRECTION('',(-0.98305052988061,0.183334818573699,0.)); #402033=DIRECTION('',(-0.98305052988061,0.183334818573699,0.)); #402034=DIRECTION('',(0.,0.,1.)); #402035=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #402036=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #402037=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #402038=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #402039=DIRECTION('',(0.,0.,1.)); #402040=DIRECTION('center_axis',(0.707104327390303,0.707109234974277,0.)); #402041=DIRECTION('ref_axis',(-0.707109234974277,0.707104327390303,0.)); #402042=DIRECTION('',(-0.707109234974277,0.707104327390303,0.)); #402043=DIRECTION('',(-0.707109234974277,0.707104327390303,0.)); #402044=DIRECTION('',(0.,0.,1.)); #402045=DIRECTION('center_axis',(0.,1.,0.)); #402046=DIRECTION('ref_axis',(-1.,0.,0.)); #402047=DIRECTION('',(-1.,0.,0.)); #402048=DIRECTION('',(-1.,0.,0.)); #402049=DIRECTION('',(0.,0.,1.)); #402050=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #402051=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #402052=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #402053=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #402054=DIRECTION('',(0.,0.,1.)); #402055=DIRECTION('center_axis',(-1.,0.,0.)); #402056=DIRECTION('ref_axis',(0.,-1.,0.)); #402057=DIRECTION('',(0.,-1.,0.)); #402058=DIRECTION('',(0.,-1.,0.)); #402059=DIRECTION('',(0.,0.,1.)); #402060=DIRECTION('center_axis',(-0.707108784529055,-0.707104777838364, 0.)); #402061=DIRECTION('ref_axis',(0.707104777838364,-0.707108784529055,0.)); #402062=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #402063=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #402064=DIRECTION('',(0.,0.,1.)); #402065=DIRECTION('center_axis',(-0.829203833807318,-0.558946331949003, 0.)); #402066=DIRECTION('ref_axis',(0.558946331949003,-0.829203833807318,0.)); #402067=DIRECTION('',(0.558946331949003,-0.829203833807318,0.)); #402068=DIRECTION('',(0.558946331949003,-0.829203833807318,0.)); #402069=DIRECTION('',(0.,0.,1.)); #402070=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #402071=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #402072=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #402073=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #402074=DIRECTION('',(0.,0.,1.)); #402075=DIRECTION('center_axis',(-1.,0.,0.)); #402076=DIRECTION('ref_axis',(0.,-1.,0.)); #402077=DIRECTION('',(0.,-1.,0.)); #402078=DIRECTION('',(0.,-1.,0.)); #402079=DIRECTION('',(0.,0.,1.)); #402080=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #402081=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #402082=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #402083=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #402084=DIRECTION('',(0.,0.,1.)); #402085=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #402086=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #402087=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #402088=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #402089=DIRECTION('',(0.,0.,1.)); #402090=DIRECTION('center_axis',(-0.707108784529055,0.707104777838364,0.)); #402091=DIRECTION('ref_axis',(-0.707104777838364,-0.707108784529055,0.)); #402092=DIRECTION('',(-0.707104777838364,-0.707108784529055,0.)); #402093=DIRECTION('',(-0.707104777838364,-0.707108784529055,0.)); #402094=DIRECTION('',(0.,0.,1.)); #402095=DIRECTION('center_axis',(-1.,0.,0.)); #402096=DIRECTION('ref_axis',(0.,-1.,0.)); #402097=DIRECTION('',(0.,-1.,0.)); #402098=DIRECTION('',(0.,-1.,0.)); #402099=DIRECTION('',(0.,0.,1.)); #402100=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #402101=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #402102=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #402103=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #402104=DIRECTION('',(0.,0.,1.)); #402105=DIRECTION('center_axis',(0.,-1.,0.)); #402106=DIRECTION('ref_axis',(1.,0.,0.)); #402107=DIRECTION('',(1.,0.,0.)); #402108=DIRECTION('',(1.,0.,0.)); #402109=DIRECTION('',(0.,0.,1.)); #402110=DIRECTION('center_axis',(0.707104327390303,-0.707109234974277,0.)); #402111=DIRECTION('ref_axis',(0.707109234974277,0.707104327390303,0.)); #402112=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #402113=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #402114=DIRECTION('',(0.,0.,1.)); #402115=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #402116=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #402117=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #402118=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #402119=DIRECTION('',(0.,0.,1.)); #402120=DIRECTION('center_axis',(0.21467431451661,-0.976685690837551,0.)); #402121=DIRECTION('ref_axis',(0.976685690837551,0.21467431451661,0.)); #402122=DIRECTION('',(0.976685690837551,0.21467431451661,0.)); #402123=DIRECTION('',(0.976685690837551,0.21467431451661,0.)); #402124=DIRECTION('',(0.,0.,1.)); #402125=DIRECTION('center_axis',(-0.183334818573505,-0.983050529880647, 0.)); #402126=DIRECTION('ref_axis',(0.983050529880647,-0.183334818573505,0.)); #402127=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #402128=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #402129=DIRECTION('',(0.,0.,1.)); #402130=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #402131=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #402132=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #402133=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #402134=DIRECTION('',(0.,0.,1.)); #402135=DIRECTION('center_axis',(-0.707104327390303,-0.707109234974277, 0.)); #402136=DIRECTION('ref_axis',(0.707109234974277,-0.707104327390303,0.)); #402137=DIRECTION('',(0.707109234974277,-0.707104327390303,0.)); #402138=DIRECTION('',(0.707109234974277,-0.707104327390303,0.)); #402139=DIRECTION('',(0.,0.,1.)); #402140=DIRECTION('center_axis',(0.,-1.,0.)); #402141=DIRECTION('ref_axis',(1.,0.,0.)); #402142=DIRECTION('',(1.,0.,0.)); #402143=DIRECTION('',(1.,0.,0.)); #402144=DIRECTION('',(0.,0.,1.)); #402145=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #402146=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #402147=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #402148=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #402149=DIRECTION('',(0.,0.,1.)); #402150=DIRECTION('center_axis',(1.,0.,0.)); #402151=DIRECTION('ref_axis',(0.,1.,0.)); #402152=DIRECTION('',(0.,1.,0.)); #402153=DIRECTION('',(0.,1.,0.)); #402154=DIRECTION('',(0.,0.,1.)); #402155=DIRECTION('center_axis',(0.707108784529073,0.707104777838346,0.)); #402156=DIRECTION('ref_axis',(-0.707104777838346,0.707108784529073,0.)); #402157=DIRECTION('',(-0.707104777838346,0.707108784529073,0.)); #402158=DIRECTION('',(-0.707104777838346,0.707108784529073,0.)); #402159=DIRECTION('',(0.,0.,1.)); #402160=DIRECTION('center_axis',(0.829203833807318,0.558946331949003,0.)); #402161=DIRECTION('ref_axis',(-0.558946331949003,0.829203833807318,0.)); #402162=DIRECTION('',(-0.558946331949003,0.829203833807318,0.)); #402163=DIRECTION('',(-0.558946331949003,0.829203833807318,0.)); #402164=DIRECTION('',(0.,0.,1.)); #402165=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #402166=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #402167=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #402168=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #402169=DIRECTION('',(0.,0.,1.)); #402170=DIRECTION('center_axis',(1.,0.,0.)); #402171=DIRECTION('ref_axis',(0.,1.,0.)); #402172=DIRECTION('',(0.,1.,0.)); #402173=DIRECTION('',(0.,1.,0.)); #402174=DIRECTION('',(0.,0.,1.)); #402175=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #402176=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #402177=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #402178=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #402179=DIRECTION('',(0.,0.,1.)); #402180=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #402181=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #402182=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #402183=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #402184=DIRECTION('',(0.,0.,1.)); #402185=DIRECTION('center_axis',(0.707108784529073,-0.707104777838346,0.)); #402186=DIRECTION('ref_axis',(0.707104777838346,0.707108784529073,0.)); #402187=DIRECTION('',(0.707104777838346,0.707108784529073,0.)); #402188=DIRECTION('',(0.707104777838346,0.707108784529073,0.)); #402189=DIRECTION('',(0.,0.,1.)); #402190=DIRECTION('center_axis',(1.,0.,0.)); #402191=DIRECTION('ref_axis',(0.,1.,0.)); #402192=DIRECTION('',(0.,1.,0.)); #402193=DIRECTION('',(0.,1.,0.)); #402194=DIRECTION('',(0.,0.,1.)); #402195=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #402196=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #402197=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #402198=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #402199=DIRECTION('center_axis',(0.,1.,0.)); #402200=DIRECTION('ref_axis',(-1.,0.,0.)); #402201=DIRECTION('',(-1.,0.,0.)); #402202=DIRECTION('',(0.,0.,1.)); #402203=DIRECTION('',(-1.,0.,0.)); #402204=DIRECTION('',(0.,0.,1.)); #402205=DIRECTION('center_axis',(-0.707104777838355,0.707108784529064,0.)); #402206=DIRECTION('ref_axis',(-0.707108784529064,-0.707104777838355,0.)); #402207=DIRECTION('',(-0.707108784529064,-0.707104777838355,0.)); #402208=DIRECTION('',(-0.707108784529064,-0.707104777838355,0.)); #402209=DIRECTION('',(0.,0.,1.)); #402210=DIRECTION('center_axis',(-0.558946331948969,0.829203833807341,0.)); #402211=DIRECTION('ref_axis',(-0.829203833807341,-0.558946331948969,0.)); #402212=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #402213=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #402214=DIRECTION('',(0.,0.,1.)); #402215=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #402216=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #402217=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #402218=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #402219=DIRECTION('',(0.,0.,1.)); #402220=DIRECTION('center_axis',(0.,1.,0.)); #402221=DIRECTION('ref_axis',(-1.,0.,0.)); #402222=DIRECTION('',(-1.,0.,0.)); #402223=DIRECTION('',(-1.,0.,0.)); #402224=DIRECTION('',(0.,0.,1.)); #402225=DIRECTION('center_axis',(0.191149856928917,0.981560865252917,0.)); #402226=DIRECTION('ref_axis',(-0.981560865252917,0.191149856928917,0.)); #402227=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #402228=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #402229=DIRECTION('',(0.,0.,1.)); #402230=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #402231=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #402232=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #402233=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #402234=DIRECTION('',(0.,0.,1.)); #402235=DIRECTION('center_axis',(0.707104777838355,0.707108784529064,0.)); #402236=DIRECTION('ref_axis',(-0.707108784529064,0.707104777838355,0.)); #402237=DIRECTION('',(-0.707108784529064,0.707104777838355,0.)); #402238=DIRECTION('',(-0.707108784529064,0.707104777838355,0.)); #402239=DIRECTION('',(0.,0.,1.)); #402240=DIRECTION('center_axis',(0.,1.,0.)); #402241=DIRECTION('ref_axis',(-1.,0.,0.)); #402242=DIRECTION('',(-1.,0.,0.)); #402243=DIRECTION('',(-1.,0.,0.)); #402244=DIRECTION('',(0.,0.,1.)); #402245=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #402246=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #402247=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #402248=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #402249=DIRECTION('',(0.,0.,1.)); #402250=DIRECTION('center_axis',(-1.,0.,0.)); #402251=DIRECTION('ref_axis',(0.,-1.,0.)); #402252=DIRECTION('',(0.,-1.,0.)); #402253=DIRECTION('',(0.,-1.,0.)); #402254=DIRECTION('',(0.,0.,1.)); #402255=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #402256=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #402257=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #402258=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #402259=DIRECTION('',(0.,0.,1.)); #402260=DIRECTION('center_axis',(0.,-1.,0.)); #402261=DIRECTION('ref_axis',(1.,0.,0.)); #402262=DIRECTION('',(1.,0.,0.)); #402263=DIRECTION('',(1.,0.,0.)); #402264=DIRECTION('',(0.,0.,1.)); #402265=DIRECTION('center_axis',(0.707104777838364,-0.707108784529055,0.)); #402266=DIRECTION('ref_axis',(0.707108784529055,0.707104777838364,0.)); #402267=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #402268=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #402269=DIRECTION('',(0.,0.,1.)); #402270=DIRECTION('center_axis',(0.558946331948898,-0.829203833807389,0.)); #402271=DIRECTION('ref_axis',(0.829203833807389,0.558946331948898,0.)); #402272=DIRECTION('',(0.829203833807389,0.558946331948898,0.)); #402273=DIRECTION('',(0.829203833807389,0.558946331948898,0.)); #402274=DIRECTION('',(0.,0.,1.)); #402275=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #402276=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #402277=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #402278=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #402279=DIRECTION('',(0.,0.,1.)); #402280=DIRECTION('center_axis',(0.,-1.,0.)); #402281=DIRECTION('ref_axis',(1.,0.,0.)); #402282=DIRECTION('',(1.,0.,0.)); #402283=DIRECTION('',(1.,0.,0.)); #402284=DIRECTION('',(0.,0.,1.)); #402285=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #402286=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #402287=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #402288=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #402289=DIRECTION('',(0.,0.,1.)); #402290=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #402291=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #402292=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #402293=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #402294=DIRECTION('',(0.,0.,1.)); #402295=DIRECTION('center_axis',(-0.707104777838364,-0.707108784529055, 0.)); #402296=DIRECTION('ref_axis',(0.707108784529055,-0.707104777838364,0.)); #402297=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #402298=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #402299=DIRECTION('',(0.,0.,1.)); #402300=DIRECTION('center_axis',(0.,-1.,0.)); #402301=DIRECTION('ref_axis',(1.,0.,0.)); #402302=DIRECTION('',(1.,0.,0.)); #402303=DIRECTION('',(1.,0.,0.)); #402304=DIRECTION('',(0.,0.,1.)); #402305=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #402306=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #402307=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #402308=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #402309=DIRECTION('',(0.,0.,1.)); #402310=DIRECTION('center_axis',(1.,0.,0.)); #402311=DIRECTION('ref_axis',(0.,1.,0.)); #402312=DIRECTION('',(0.,1.,0.)); #402313=DIRECTION('',(0.,1.,0.)); #402314=DIRECTION('',(0.,0.,1.)); #402315=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #402316=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #402317=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #402318=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #402319=DIRECTION('center_axis',(0.,1.,0.)); #402320=DIRECTION('ref_axis',(-1.,0.,0.)); #402321=DIRECTION('',(-1.,0.,0.)); #402322=DIRECTION('',(0.,0.,1.)); #402323=DIRECTION('',(-1.,0.,0.)); #402324=DIRECTION('',(0.,0.,1.)); #402325=DIRECTION('center_axis',(-0.707105069761834,0.707108492607118,0.)); #402326=DIRECTION('ref_axis',(-0.707108492607118,-0.707105069761834,0.)); #402327=DIRECTION('',(-0.707108492607118,-0.707105069761834,0.)); #402328=DIRECTION('',(-0.707108492607118,-0.707105069761834,0.)); #402329=DIRECTION('',(0.,0.,1.)); #402330=DIRECTION('center_axis',(-0.56543933110131,0.824789890119722,0.)); #402331=DIRECTION('ref_axis',(-0.824789890119722,-0.56543933110131,0.)); #402332=DIRECTION('',(-0.824789890119722,-0.56543933110131,0.)); #402333=DIRECTION('',(-0.824789890119722,-0.56543933110131,0.)); #402334=DIRECTION('',(0.,0.,1.)); #402335=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #402336=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #402337=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #402338=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #402339=DIRECTION('',(0.,0.,1.)); #402340=DIRECTION('center_axis',(0.183334818573602,0.983050529880628,0.)); #402341=DIRECTION('ref_axis',(-0.983050529880628,0.183334818573602,0.)); #402342=DIRECTION('',(-0.983050529880628,0.183334818573602,0.)); #402343=DIRECTION('',(-0.983050529880628,0.183334818573602,0.)); #402344=DIRECTION('',(0.,0.,1.)); #402345=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #402346=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #402347=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #402348=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #402349=DIRECTION('',(0.,0.,1.)); #402350=DIRECTION('center_axis',(0.707105069761834,0.707108492607118,0.)); #402351=DIRECTION('ref_axis',(-0.707108492607118,0.707105069761834,0.)); #402352=DIRECTION('',(-0.707108492607118,0.707105069761834,0.)); #402353=DIRECTION('',(-0.707108492607118,0.707105069761834,0.)); #402354=DIRECTION('',(0.,0.,1.)); #402355=DIRECTION('center_axis',(0.,1.,0.)); #402356=DIRECTION('ref_axis',(-1.,0.,0.)); #402357=DIRECTION('',(-1.,0.,0.)); #402358=DIRECTION('',(-1.,0.,0.)); #402359=DIRECTION('',(0.,0.,1.)); #402360=DIRECTION('center_axis',(-0.707104777838369,0.707108784529051,0.)); #402361=DIRECTION('ref_axis',(-0.707108784529051,-0.707104777838369,0.)); #402362=DIRECTION('',(-0.707108784529051,-0.707104777838369,0.)); #402363=DIRECTION('',(-0.707108784529051,-0.707104777838369,0.)); #402364=DIRECTION('',(0.,0.,1.)); #402365=DIRECTION('center_axis',(-0.558946331948846,0.829203833807424,0.)); #402366=DIRECTION('ref_axis',(-0.829203833807424,-0.558946331948846,0.)); #402367=DIRECTION('',(-0.829203833807424,-0.558946331948846,0.)); #402368=DIRECTION('',(-0.829203833807424,-0.558946331948846,0.)); #402369=DIRECTION('',(0.,0.,1.)); #402370=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #402371=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #402372=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #402373=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #402374=DIRECTION('',(0.,0.,1.)); #402375=DIRECTION('center_axis',(0.,1.,0.)); #402376=DIRECTION('ref_axis',(-1.,0.,0.)); #402377=DIRECTION('',(-1.,0.,0.)); #402378=DIRECTION('',(-1.,0.,0.)); #402379=DIRECTION('',(0.,0.,1.)); #402380=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #402381=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #402382=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #402383=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #402384=DIRECTION('',(0.,0.,1.)); #402385=DIRECTION('center_axis',(-0.558946331949005,0.829203833807317,0.)); #402386=DIRECTION('ref_axis',(-0.829203833807317,-0.558946331949005,0.)); #402387=DIRECTION('',(-0.829203833807317,-0.558946331949005,0.)); #402388=DIRECTION('',(-0.829203833807317,-0.558946331949005,0.)); #402389=DIRECTION('',(0.,0.,1.)); #402390=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #402391=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #402392=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #402393=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #402394=DIRECTION('',(0.,0.,1.)); #402395=DIRECTION('center_axis',(0.,1.,0.)); #402396=DIRECTION('ref_axis',(-1.,0.,0.)); #402397=DIRECTION('',(-1.,0.,0.)); #402398=DIRECTION('',(-1.,0.,0.)); #402399=DIRECTION('',(0.,0.,1.)); #402400=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #402401=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #402402=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #402403=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #402404=DIRECTION('',(0.,0.,1.)); #402405=DIRECTION('center_axis',(-1.,0.,0.)); #402406=DIRECTION('ref_axis',(0.,-1.,0.)); #402407=DIRECTION('',(0.,-1.,0.)); #402408=DIRECTION('',(0.,-1.,0.)); #402409=DIRECTION('',(0.,0.,1.)); #402410=DIRECTION('center_axis',(-0.707106781186551,-0.707106781186544, 0.)); #402411=DIRECTION('ref_axis',(0.707106781186544,-0.707106781186551,0.)); #402412=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #402413=DIRECTION('',(0.707106781186544,-0.707106781186551,0.)); #402414=DIRECTION('',(0.,0.,1.)); #402415=DIRECTION('center_axis',(0.,-1.,0.)); #402416=DIRECTION('ref_axis',(1.,0.,0.)); #402417=DIRECTION('',(1.,0.,0.)); #402418=DIRECTION('',(1.,0.,0.)); #402419=DIRECTION('',(0.,0.,1.)); #402420=DIRECTION('center_axis',(0.707106781186551,-0.707106781186544,0.)); #402421=DIRECTION('ref_axis',(0.707106781186544,0.707106781186551,0.)); #402422=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #402423=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #402424=DIRECTION('',(0.,0.,1.)); #402425=DIRECTION('center_axis',(1.,0.,0.)); #402426=DIRECTION('ref_axis',(0.,1.,0.)); #402427=DIRECTION('',(0.,1.,0.)); #402428=DIRECTION('',(0.,1.,0.)); #402429=DIRECTION('',(0.,0.,1.)); #402430=DIRECTION('center_axis',(0.981560865252914,-0.191149856928931,0.)); #402431=DIRECTION('ref_axis',(0.191149856928931,0.981560865252914,0.)); #402432=DIRECTION('',(0.191149856928931,0.981560865252914,0.)); #402433=DIRECTION('',(0.191149856928931,0.981560865252914,0.)); #402434=DIRECTION('',(0.,0.,1.)); #402435=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #402436=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #402437=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #402438=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #402439=DIRECTION('',(0.,0.,1.)); #402440=DIRECTION('center_axis',(0.707106781186554,-0.707106781186541,0.)); #402441=DIRECTION('ref_axis',(0.707106781186541,0.707106781186554,0.)); #402442=DIRECTION('',(0.707106781186541,0.707106781186554,0.)); #402443=DIRECTION('',(0.707106781186541,0.707106781186554,0.)); #402444=DIRECTION('',(0.,0.,1.)); #402445=DIRECTION('center_axis',(0.558946331948775,-0.829203833807472,0.)); #402446=DIRECTION('ref_axis',(0.829203833807472,0.558946331948775,0.)); #402447=DIRECTION('',(0.829203833807472,0.558946331948775,0.)); #402448=DIRECTION('',(0.829203833807472,0.558946331948775,0.)); #402449=DIRECTION('',(0.,0.,1.)); #402450=DIRECTION('center_axis',(0.19895601923267,-0.980008419561327,0.)); #402451=DIRECTION('ref_axis',(0.980008419561327,0.19895601923267,0.)); #402452=DIRECTION('',(0.980008419561327,0.19895601923267,0.)); #402453=DIRECTION('',(0.980008419561327,0.19895601923267,0.)); #402454=DIRECTION('',(0.,0.,1.)); #402455=DIRECTION('center_axis',(0.,-1.,0.)); #402456=DIRECTION('ref_axis',(1.,0.,0.)); #402457=DIRECTION('',(1.,0.,0.)); #402458=DIRECTION('',(1.,0.,0.)); #402459=DIRECTION('',(0.,0.,1.)); #402460=DIRECTION('center_axis',(-0.191149856928741,-0.981560865252951, 0.)); #402461=DIRECTION('ref_axis',(0.981560865252951,-0.191149856928741,0.)); #402462=DIRECTION('',(0.981560865252951,-0.191149856928741,0.)); #402463=DIRECTION('',(0.981560865252951,-0.191149856928741,0.)); #402464=DIRECTION('',(0.,0.,1.)); #402465=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #402466=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #402467=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #402468=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #402469=DIRECTION('',(0.,0.,1.)); #402470=DIRECTION('center_axis',(-0.707104777838369,-0.707108784529051, 0.)); #402471=DIRECTION('ref_axis',(0.707108784529051,-0.707104777838369,0.)); #402472=DIRECTION('',(0.707108784529051,-0.707104777838369,0.)); #402473=DIRECTION('',(0.707108784529051,-0.707104777838369,0.)); #402474=DIRECTION('',(0.,0.,1.)); #402475=DIRECTION('center_axis',(0.,-1.,0.)); #402476=DIRECTION('ref_axis',(1.,0.,0.)); #402477=DIRECTION('',(1.,0.,0.)); #402478=DIRECTION('',(1.,0.,0.)); #402479=DIRECTION('',(0.,0.,1.)); #402480=DIRECTION('center_axis',(0.707105069761834,-0.707108492607118,0.)); #402481=DIRECTION('ref_axis',(0.707108492607118,0.707105069761834,0.)); #402482=DIRECTION('',(0.707108492607118,0.707105069761834,0.)); #402483=DIRECTION('',(0.707108492607118,0.707105069761834,0.)); #402484=DIRECTION('',(0.,0.,1.)); #402485=DIRECTION('center_axis',(0.56543933110131,-0.824789890119722,0.)); #402486=DIRECTION('ref_axis',(0.824789890119722,0.56543933110131,0.)); #402487=DIRECTION('',(0.824789890119722,0.56543933110131,0.)); #402488=DIRECTION('',(0.824789890119722,0.56543933110131,0.)); #402489=DIRECTION('',(0.,0.,1.)); #402490=DIRECTION('center_axis',(0.214674314516579,-0.976685690837557,0.)); #402491=DIRECTION('ref_axis',(0.976685690837557,0.214674314516579,0.)); #402492=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #402493=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #402494=DIRECTION('',(0.,0.,1.)); #402495=DIRECTION('center_axis',(-0.183334818573602,-0.983050529880628, 0.)); #402496=DIRECTION('ref_axis',(0.983050529880628,-0.183334818573602,0.)); #402497=DIRECTION('',(0.983050529880628,-0.183334818573602,0.)); #402498=DIRECTION('',(0.983050529880628,-0.183334818573602,0.)); #402499=DIRECTION('',(0.,0.,1.)); #402500=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #402501=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #402502=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #402503=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #402504=DIRECTION('',(0.,0.,1.)); #402505=DIRECTION('center_axis',(-0.707105069761834,-0.707108492607118, 0.)); #402506=DIRECTION('ref_axis',(0.707108492607118,-0.707105069761834,0.)); #402507=DIRECTION('',(0.707108492607118,-0.707105069761834,0.)); #402508=DIRECTION('',(0.707108492607118,-0.707105069761834,0.)); #402509=DIRECTION('',(0.,0.,1.)); #402510=DIRECTION('center_axis',(0.,-1.,0.)); #402511=DIRECTION('ref_axis',(1.,0.,0.)); #402512=DIRECTION('',(1.,0.,0.)); #402513=DIRECTION('',(1.,0.,0.)); #402514=DIRECTION('',(0.,0.,1.)); #402515=DIRECTION('center_axis',(0.707104777838369,-0.707108784529051,0.)); #402516=DIRECTION('ref_axis',(0.707108784529051,0.707104777838369,0.)); #402517=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #402518=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #402519=DIRECTION('',(0.,0.,1.)); #402520=DIRECTION('center_axis',(0.558946331948846,-0.829203833807424,0.)); #402521=DIRECTION('ref_axis',(0.829203833807424,0.558946331948846,0.)); #402522=DIRECTION('',(0.829203833807424,0.558946331948846,0.)); #402523=DIRECTION('',(0.829203833807424,0.558946331948846,0.)); #402524=DIRECTION('',(0.,0.,1.)); #402525=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #402526=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #402527=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #402528=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #402529=DIRECTION('',(0.,0.,1.)); #402530=DIRECTION('center_axis',(0.,-1.,0.)); #402531=DIRECTION('ref_axis',(1.,0.,0.)); #402532=DIRECTION('',(1.,0.,0.)); #402533=DIRECTION('',(1.,0.,0.)); #402534=DIRECTION('',(0.,0.,1.)); #402535=DIRECTION('center_axis',(-0.17550205938607,-0.984479063846077,0.)); #402536=DIRECTION('ref_axis',(0.984479063846077,-0.17550205938607,0.)); #402537=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #402538=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #402539=DIRECTION('',(0.,0.,1.)); #402540=DIRECTION('center_axis',(-0.52535880204888,-0.850880796063565,0.)); #402541=DIRECTION('ref_axis',(0.850880796063565,-0.52535880204888,0.)); #402542=DIRECTION('',(0.850880796063565,-0.52535880204888,0.)); #402543=DIRECTION('',(0.850880796063565,-0.52535880204888,0.)); #402544=DIRECTION('',(0.,0.,1.)); #402545=DIRECTION('center_axis',(-0.815709411156713,-0.578461888589359, 0.)); #402546=DIRECTION('ref_axis',(0.578461888589359,-0.815709411156713,0.)); #402547=DIRECTION('',(0.578461888589359,-0.815709411156713,0.)); #402548=DIRECTION('',(0.578461888589359,-0.815709411156713,0.)); #402549=DIRECTION('',(0.,0.,1.)); #402550=DIRECTION('center_axis',(-0.976685690837561,-0.214674314516564, 0.)); #402551=DIRECTION('ref_axis',(0.214674314516564,-0.976685690837561,0.)); #402552=DIRECTION('',(0.214674314516564,-0.976685690837561,0.)); #402553=DIRECTION('',(0.214674314516564,-0.976685690837561,0.)); #402554=DIRECTION('',(0.,0.,1.)); #402555=DIRECTION('center_axis',(-0.983050529880618,0.18333481857366,0.)); #402556=DIRECTION('ref_axis',(-0.18333481857366,-0.983050529880618,0.)); #402557=DIRECTION('',(-0.18333481857366,-0.983050529880618,0.)); #402558=DIRECTION('',(-0.18333481857366,-0.983050529880618,0.)); #402559=DIRECTION('',(0.,0.,1.)); #402560=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #402561=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #402562=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #402563=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #402564=DIRECTION('',(0.,0.,1.)); #402565=DIRECTION('center_axis',(-0.7071084311098,0.707105131259446,0.)); #402566=DIRECTION('ref_axis',(-0.707105131259446,-0.7071084311098,0.)); #402567=DIRECTION('',(-0.707105131259446,-0.7071084311098,0.)); #402568=DIRECTION('',(-0.707105131259446,-0.7071084311098,0.)); #402569=DIRECTION('',(0.,0.,1.)); #402570=DIRECTION('center_axis',(-1.,0.,0.)); #402571=DIRECTION('ref_axis',(0.,-1.,0.)); #402572=DIRECTION('',(0.,-1.,0.)); #402573=DIRECTION('',(0.,-1.,0.)); #402574=DIRECTION('',(0.,0.,1.)); #402575=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #402576=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #402577=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #402578=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #402579=DIRECTION('',(0.,0.,1.)); #402580=DIRECTION('center_axis',(0.,-1.,0.)); #402581=DIRECTION('ref_axis',(1.,0.,0.)); #402582=DIRECTION('',(1.,0.,0.)); #402583=DIRECTION('',(1.,0.,0.)); #402584=DIRECTION('',(0.,0.,1.)); #402585=DIRECTION('center_axis',(0.707104777838369,-0.707108784529051,0.)); #402586=DIRECTION('ref_axis',(0.707108784529051,0.707104777838369,0.)); #402587=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #402588=DIRECTION('',(0.707108784529051,0.707104777838369,0.)); #402589=DIRECTION('',(0.,0.,1.)); #402590=DIRECTION('center_axis',(0.558946331948917,-0.829203833807377,0.)); #402591=DIRECTION('ref_axis',(0.829203833807377,0.558946331948917,0.)); #402592=DIRECTION('',(0.829203833807377,0.558946331948917,0.)); #402593=DIRECTION('',(0.829203833807377,0.558946331948917,0.)); #402594=DIRECTION('',(0.,0.,1.)); #402595=DIRECTION('center_axis',(0.198956019232613,-0.980008419561338,0.)); #402596=DIRECTION('ref_axis',(0.980008419561339,0.198956019232613,0.)); #402597=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #402598=DIRECTION('',(0.980008419561338,0.198956019232613,0.)); #402599=DIRECTION('',(0.,0.,1.)); #402600=DIRECTION('center_axis',(0.,-1.,0.)); #402601=DIRECTION('ref_axis',(1.,0.,0.)); #402602=DIRECTION('',(1.,0.,0.)); #402603=DIRECTION('',(1.,0.,0.)); #402604=DIRECTION('',(0.,0.,1.)); #402605=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #402606=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #402607=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #402608=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #402609=DIRECTION('',(0.,0.,1.)); #402610=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #402611=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #402612=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #402613=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #402614=DIRECTION('',(0.,0.,1.)); #402615=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #402616=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #402617=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #402618=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #402619=DIRECTION('',(0.,0.,1.)); #402620=DIRECTION('center_axis',(-0.829203833807318,-0.558946331949004, 0.)); #402621=DIRECTION('ref_axis',(0.558946331949004,-0.829203833807318,0.)); #402622=DIRECTION('',(0.558946331949004,-0.829203833807318,0.)); #402623=DIRECTION('',(0.558946331949004,-0.829203833807318,0.)); #402624=DIRECTION('',(0.,0.,1.)); #402625=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #402626=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #402627=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #402628=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #402629=DIRECTION('',(0.,0.,1.)); #402630=DIRECTION('center_axis',(-1.,0.,0.)); #402631=DIRECTION('ref_axis',(0.,-1.,0.)); #402632=DIRECTION('',(0.,-1.,0.)); #402633=DIRECTION('',(0.,-1.,0.)); #402634=DIRECTION('',(0.,0.,1.)); #402635=DIRECTION('center_axis',(-0.983056036329416,0.183305290257262,0.)); #402636=DIRECTION('ref_axis',(-0.183305290257262,-0.983056036329416,0.)); #402637=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #402638=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #402639=DIRECTION('',(0.,0.,1.)); #402640=DIRECTION('center_axis',(-0.842362410729284,0.538911466746022,0.)); #402641=DIRECTION('ref_axis',(-0.538911466746022,-0.842362410729284,0.)); #402642=DIRECTION('',(-0.538911466746022,-0.842362410729284,0.)); #402643=DIRECTION('',(-0.538911466746022,-0.842362410729284,0.)); #402644=DIRECTION('',(0.,0.,1.)); #402645=DIRECTION('center_axis',(-0.565504004714981,0.824745549033954,0.)); #402646=DIRECTION('ref_axis',(-0.824745549033954,-0.565504004714981,0.)); #402647=DIRECTION('',(-0.824745549033954,-0.565504004714981,0.)); #402648=DIRECTION('',(-0.824745549033954,-0.565504004714981,0.)); #402649=DIRECTION('',(0.,0.,1.)); #402650=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #402651=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #402652=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #402653=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #402654=DIRECTION('',(0.,0.,1.)); #402655=DIRECTION('center_axis',(0.,1.,0.)); #402656=DIRECTION('ref_axis',(-1.,0.,0.)); #402657=DIRECTION('',(-1.,0.,0.)); #402658=DIRECTION('',(-1.,0.,0.)); #402659=DIRECTION('',(0.,0.,1.)); #402660=DIRECTION('center_axis',(-0.707106781186556,0.707106781186539,0.)); #402661=DIRECTION('ref_axis',(-0.707106781186539,-0.707106781186556,0.)); #402662=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #402663=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #402664=DIRECTION('',(0.,0.,1.)); #402665=DIRECTION('center_axis',(-1.,0.,0.)); #402666=DIRECTION('ref_axis',(0.,-1.,0.)); #402667=DIRECTION('',(0.,-1.,0.)); #402668=DIRECTION('',(0.,-1.,0.)); #402669=DIRECTION('',(0.,0.,1.)); #402670=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #402671=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #402672=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #402673=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #402674=DIRECTION('',(0.,0.,1.)); #402675=DIRECTION('center_axis',(0.,-1.,0.)); #402676=DIRECTION('ref_axis',(1.,0.,0.)); #402677=DIRECTION('',(1.,0.,0.)); #402678=DIRECTION('',(1.,0.,0.)); #402679=DIRECTION('',(0.,0.,1.)); #402680=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #402681=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #402682=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #402683=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #402684=DIRECTION('',(0.,0.,1.)); #402685=DIRECTION('center_axis',(-0.538911466746018,-0.842362410729287, 0.)); #402686=DIRECTION('ref_axis',(0.842362410729287,-0.538911466746018,0.)); #402687=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #402688=DIRECTION('',(0.842362410729287,-0.538911466746018,0.)); #402689=DIRECTION('',(0.,0.,1.)); #402690=DIRECTION('center_axis',(-0.824745549033852,-0.565504004715131, 0.)); #402691=DIRECTION('ref_axis',(0.565504004715131,-0.824745549033852,0.)); #402692=DIRECTION('',(0.565504004715131,-0.824745549033852,0.)); #402693=DIRECTION('',(0.565504004715131,-0.824745549033852,0.)); #402694=DIRECTION('',(0.,0.,1.)); #402695=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #402696=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #402697=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #402698=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #402699=DIRECTION('',(0.,0.,1.)); #402700=DIRECTION('center_axis',(-1.,0.,0.)); #402701=DIRECTION('ref_axis',(0.,-1.,0.)); #402702=DIRECTION('',(0.,-1.,0.)); #402703=DIRECTION('',(0.,-1.,0.)); #402704=DIRECTION('',(0.,0.,1.)); #402705=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #402706=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #402707=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #402708=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #402709=DIRECTION('',(0.,0.,1.)); #402710=DIRECTION('center_axis',(-0.83365985974971,0.552278225391961,0.)); #402711=DIRECTION('ref_axis',(-0.552278225391961,-0.83365985974971,0.)); #402712=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #402713=DIRECTION('',(-0.552278225391961,-0.83365985974971,0.)); #402714=DIRECTION('',(0.,0.,1.)); #402715=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #402716=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #402717=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #402718=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #402719=DIRECTION('',(0.,0.,1.)); #402720=DIRECTION('center_axis',(-0.558946331948969,0.829203833807341,0.)); #402721=DIRECTION('ref_axis',(-0.829203833807341,-0.558946331948969,0.)); #402722=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #402723=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #402724=DIRECTION('',(0.,0.,1.)); #402725=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #402726=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #402727=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #402728=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #402729=DIRECTION('',(0.,0.,1.)); #402730=DIRECTION('center_axis',(0.,1.,0.)); #402731=DIRECTION('ref_axis',(-1.,0.,0.)); #402732=DIRECTION('',(-1.,0.,0.)); #402733=DIRECTION('',(-1.,0.,0.)); #402734=DIRECTION('',(0.,0.,1.)); #402735=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #402736=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #402737=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #402738=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #402739=DIRECTION('',(0.,0.,1.)); #402740=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #402741=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #402742=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #402743=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #402744=DIRECTION('',(0.,0.,1.)); #402745=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #402746=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #402747=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #402748=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #402749=DIRECTION('',(0.,0.,1.)); #402750=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #402751=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #402752=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #402753=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #402754=DIRECTION('',(0.,0.,1.)); #402755=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #402756=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #402757=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #402758=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #402759=DIRECTION('',(0.,0.,1.)); #402760=DIRECTION('center_axis',(1.,0.,0.)); #402761=DIRECTION('ref_axis',(0.,1.,0.)); #402762=DIRECTION('',(0.,1.,0.)); #402763=DIRECTION('',(0.,1.,0.)); #402764=DIRECTION('',(0.,0.,1.)); #402765=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #402766=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #402767=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #402768=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #402769=DIRECTION('',(0.,0.,1.)); #402770=DIRECTION('center_axis',(0.,1.,0.)); #402771=DIRECTION('ref_axis',(-1.,0.,0.)); #402772=DIRECTION('',(-1.,0.,0.)); #402773=DIRECTION('',(-1.,0.,0.)); #402774=DIRECTION('',(0.,0.,1.)); #402775=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #402776=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #402777=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #402778=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #402779=DIRECTION('',(0.,0.,1.)); #402780=DIRECTION('center_axis',(-1.,0.,0.)); #402781=DIRECTION('ref_axis',(0.,-1.,0.)); #402782=DIRECTION('',(0.,-1.,0.)); #402783=DIRECTION('',(0.,-1.,0.)); #402784=DIRECTION('',(0.,0.,1.)); #402785=DIRECTION('center_axis',(-0.707110741027282,-0.707102821323638, 0.)); #402786=DIRECTION('ref_axis',(0.707102821323638,-0.707110741027282,0.)); #402787=DIRECTION('',(0.707102821323638,-0.707110741027282,0.)); #402788=DIRECTION('',(0.707102821323638,-0.707110741027282,0.)); #402789=DIRECTION('',(0.,0.,1.)); #402790=DIRECTION('center_axis',(-0.820212632122783,-0.572058771549057, 0.)); #402791=DIRECTION('ref_axis',(0.572058771549057,-0.820212632122783,0.)); #402792=DIRECTION('',(0.572058771549057,-0.820212632122783,0.)); #402793=DIRECTION('',(0.572058771549057,-0.820212632122783,0.)); #402794=DIRECTION('',(0.,0.,1.)); #402795=DIRECTION('center_axis',(-0.973144071552987,-0.230196907019351, 0.)); #402796=DIRECTION('ref_axis',(0.230196907019351,-0.973144071552987,0.)); #402797=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #402798=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #402799=DIRECTION('',(0.,0.,1.)); #402800=DIRECTION('center_axis',(-0.985842168327525,0.167675934908035,0.)); #402801=DIRECTION('ref_axis',(-0.167675934908035,-0.985842168327525,0.)); #402802=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #402803=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #402804=DIRECTION('',(0.,0.,1.)); #402805=DIRECTION('center_axis',(-0.842362410729353,0.538911466745914,0.)); #402806=DIRECTION('ref_axis',(-0.538911466745914,-0.842362410729353,0.)); #402807=DIRECTION('',(-0.538911466745914,-0.842362410729353,0.)); #402808=DIRECTION('',(-0.538911466745914,-0.842362410729353,0.)); #402809=DIRECTION('',(0.,0.,1.)); #402810=DIRECTION('center_axis',(-0.565504004715131,0.824745549033852,0.)); #402811=DIRECTION('ref_axis',(-0.824745549033852,-0.565504004715131,0.)); #402812=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #402813=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #402814=DIRECTION('',(0.,0.,1.)); #402815=DIRECTION('center_axis',(-0.198956019232514,0.980008419561359,0.)); #402816=DIRECTION('ref_axis',(-0.980008419561359,-0.198956019232514,0.)); #402817=DIRECTION('',(-0.980008419561358,-0.198956019232514,0.)); #402818=DIRECTION('',(-0.980008419561358,-0.198956019232514,0.)); #402819=DIRECTION('',(0.,0.,1.)); #402820=DIRECTION('center_axis',(0.,1.,0.)); #402821=DIRECTION('ref_axis',(-1.,0.,0.)); #402822=DIRECTION('',(-1.,0.,0.)); #402823=DIRECTION('',(-1.,0.,0.)); #402824=DIRECTION('',(0.,0.,1.)); #402825=DIRECTION('center_axis',(0.191149856928827,0.981560865252934,0.)); #402826=DIRECTION('ref_axis',(-0.981560865252934,0.191149856928827,0.)); #402827=DIRECTION('',(-0.981560865252934,0.191149856928827,0.)); #402828=DIRECTION('',(-0.981560865252934,0.191149856928827,0.)); #402829=DIRECTION('',(0.,0.,1.)); #402830=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #402831=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #402832=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #402833=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #402834=DIRECTION('',(0.,0.,1.)); #402835=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #402836=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #402837=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #402838=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #402839=DIRECTION('',(0.,0.,1.)); #402840=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #402841=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #402842=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #402843=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #402844=DIRECTION('',(0.,0.,1.)); #402845=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #402846=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #402847=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #402848=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #402849=DIRECTION('',(0.,0.,1.)); #402850=DIRECTION('center_axis',(1.,0.,0.)); #402851=DIRECTION('ref_axis',(0.,1.,0.)); #402852=DIRECTION('',(0.,1.,0.)); #402853=DIRECTION('',(0.,1.,0.)); #402854=DIRECTION('',(0.,0.,1.)); #402855=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #402856=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #402857=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #402858=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #402859=DIRECTION('',(0.,0.,1.)); #402860=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #402861=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #402862=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #402863=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #402864=DIRECTION('',(0.,0.,1.)); #402865=DIRECTION('center_axis',(0.565504004714913,-0.824745549034001,0.)); #402866=DIRECTION('ref_axis',(0.824745549034001,0.565504004714913,0.)); #402867=DIRECTION('',(0.824745549034001,0.565504004714913,0.)); #402868=DIRECTION('',(0.824745549034001,0.565504004714913,0.)); #402869=DIRECTION('',(0.,0.,1.)); #402870=DIRECTION('center_axis',(0.19895601923281,-0.980008419561298,0.)); #402871=DIRECTION('ref_axis',(0.980008419561298,0.19895601923281,0.)); #402872=DIRECTION('',(0.980008419561298,0.19895601923281,0.)); #402873=DIRECTION('',(0.980008419561298,0.19895601923281,0.)); #402874=DIRECTION('',(0.,0.,1.)); #402875=DIRECTION('center_axis',(0.,-1.,0.)); #402876=DIRECTION('ref_axis',(1.,0.,0.)); #402877=DIRECTION('',(1.,0.,0.)); #402878=DIRECTION('',(1.,0.,0.)); #402879=DIRECTION('',(0.,0.,1.)); #402880=DIRECTION('center_axis',(0.707106781186512,-0.707106781186583,0.)); #402881=DIRECTION('ref_axis',(0.707106781186583,0.707106781186512,0.)); #402882=DIRECTION('',(0.707106781186583,0.707106781186512,0.)); #402883=DIRECTION('',(0.707106781186583,0.707106781186512,0.)); #402884=DIRECTION('',(0.,0.,1.)); #402885=DIRECTION('center_axis',(1.,0.,0.)); #402886=DIRECTION('ref_axis',(0.,1.,0.)); #402887=DIRECTION('',(0.,1.,0.)); #402888=DIRECTION('',(0.,1.,0.)); #402889=DIRECTION('',(0.,0.,1.)); #402890=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #402891=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #402892=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #402893=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #402894=DIRECTION('',(0.,0.,1.)); #402895=DIRECTION('center_axis',(0.,1.,0.)); #402896=DIRECTION('ref_axis',(-1.,0.,0.)); #402897=DIRECTION('',(-1.,0.,0.)); #402898=DIRECTION('',(-1.,0.,0.)); #402899=DIRECTION('',(0.,0.,1.)); #402900=DIRECTION('center_axis',(-0.70710678118653,0.707106781186565,0.)); #402901=DIRECTION('ref_axis',(-0.707106781186565,-0.70710678118653,0.)); #402902=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #402903=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #402904=DIRECTION('',(0.,0.,1.)); #402905=DIRECTION('center_axis',(-1.,0.,0.)); #402906=DIRECTION('ref_axis',(0.,-1.,0.)); #402907=DIRECTION('',(0.,-1.,0.)); #402908=DIRECTION('',(0.,-1.,0.)); #402909=DIRECTION('',(0.,0.,1.)); #402910=DIRECTION('center_axis',(-0.707106781186512,-0.707106781186583, 0.)); #402911=DIRECTION('ref_axis',(0.707106781186583,-0.707106781186512,0.)); #402912=DIRECTION('',(0.707106781186583,-0.707106781186512,0.)); #402913=DIRECTION('',(0.707106781186583,-0.707106781186512,0.)); #402914=DIRECTION('',(0.,0.,1.)); #402915=DIRECTION('center_axis',(0.,-1.,0.)); #402916=DIRECTION('ref_axis',(1.,0.,0.)); #402917=DIRECTION('',(1.,0.,0.)); #402918=DIRECTION('',(1.,0.,0.)); #402919=DIRECTION('',(0.,0.,1.)); #402920=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #402921=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #402922=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #402923=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #402924=DIRECTION('',(0.,0.,1.)); #402925=DIRECTION('center_axis',(-0.538911466745951,-0.842362410729329, 0.)); #402926=DIRECTION('ref_axis',(0.842362410729329,-0.538911466745951,0.)); #402927=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #402928=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #402929=DIRECTION('',(0.,0.,1.)); #402930=DIRECTION('center_axis',(-0.824745549033943,-0.565504004714997, 0.)); #402931=DIRECTION('ref_axis',(0.565504004714997,-0.824745549033943,0.)); #402932=DIRECTION('',(0.565504004714997,-0.824745549033943,0.)); #402933=DIRECTION('',(0.565504004714997,-0.824745549033943,0.)); #402934=DIRECTION('',(0.,0.,1.)); #402935=DIRECTION('center_axis',(-0.980008419561321,-0.198956019232697, 0.)); #402936=DIRECTION('ref_axis',(0.198956019232697,-0.980008419561321,0.)); #402937=DIRECTION('',(0.198956019232697,-0.980008419561321,0.)); #402938=DIRECTION('',(0.198956019232697,-0.980008419561321,0.)); #402939=DIRECTION('',(0.,0.,1.)); #402940=DIRECTION('center_axis',(-1.,0.,0.)); #402941=DIRECTION('ref_axis',(0.,-1.,0.)); #402942=DIRECTION('',(0.,-1.,0.)); #402943=DIRECTION('',(0.,-1.,0.)); #402944=DIRECTION('',(0.,0.,1.)); #402945=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #402946=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #402947=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #402948=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #402949=DIRECTION('',(0.,0.,1.)); #402950=DIRECTION('center_axis',(-0.820212632122705,-0.572058771549169, 0.)); #402951=DIRECTION('ref_axis',(0.572058771549169,-0.820212632122705,0.)); #402952=DIRECTION('',(0.572058771549169,-0.820212632122705,0.)); #402953=DIRECTION('',(0.572058771549169,-0.820212632122705,0.)); #402954=DIRECTION('',(0.,0.,1.)); #402955=DIRECTION('center_axis',(-0.97315145688639,-0.230165683714788,0.)); #402956=DIRECTION('ref_axis',(0.230165683714788,-0.97315145688639,0.)); #402957=DIRECTION('',(0.230165683714788,-0.97315145688639,0.)); #402958=DIRECTION('',(0.230165683714788,-0.97315145688639,0.)); #402959=DIRECTION('',(0.,0.,1.)); #402960=DIRECTION('center_axis',(-0.985847618607369,0.167643887112471,0.)); #402961=DIRECTION('ref_axis',(-0.167643887112471,-0.985847618607369,0.)); #402962=DIRECTION('',(-0.167643887112471,-0.985847618607369,0.)); #402963=DIRECTION('',(-0.167643887112471,-0.985847618607369,0.)); #402964=DIRECTION('',(0.,0.,1.)); #402965=DIRECTION('center_axis',(-0.84236241072922,0.538911466746122,0.)); #402966=DIRECTION('ref_axis',(-0.538911466746122,-0.84236241072922,0.)); #402967=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #402968=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #402969=DIRECTION('',(0.,0.,1.)); #402970=DIRECTION('center_axis',(-0.565504004715199,0.824745549033805,0.)); #402971=DIRECTION('ref_axis',(-0.824745549033805,-0.565504004715199,0.)); #402972=DIRECTION('',(-0.824745549033805,-0.565504004715199,0.)); #402973=DIRECTION('',(-0.824745549033805,-0.565504004715199,0.)); #402974=DIRECTION('',(0.,0.,1.)); #402975=DIRECTION('center_axis',(-0.198956019232542,0.980008419561353,0.)); #402976=DIRECTION('ref_axis',(-0.980008419561353,-0.198956019232542,0.)); #402977=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #402978=DIRECTION('',(-0.980008419561353,-0.198956019232542,0.)); #402979=DIRECTION('',(0.,0.,1.)); #402980=DIRECTION('center_axis',(0.,1.,0.)); #402981=DIRECTION('ref_axis',(-1.,0.,0.)); #402982=DIRECTION('',(-1.,0.,0.)); #402983=DIRECTION('',(-1.,0.,0.)); #402984=DIRECTION('',(0.,0.,1.)); #402985=DIRECTION('center_axis',(-0.70710678118654,0.707106781186555,0.)); #402986=DIRECTION('ref_axis',(-0.707106781186555,-0.70710678118654,0.)); #402987=DIRECTION('',(-0.707106781186555,-0.70710678118654,0.)); #402988=DIRECTION('',(-0.707106781186555,-0.70710678118654,0.)); #402989=DIRECTION('',(0.,0.,1.)); #402990=DIRECTION('center_axis',(-0.558946331949005,0.829203833807317,0.)); #402991=DIRECTION('ref_axis',(-0.829203833807317,-0.558946331949005,0.)); #402992=DIRECTION('',(-0.829203833807317,-0.558946331949005,0.)); #402993=DIRECTION('',(-0.829203833807317,-0.558946331949005,0.)); #402994=DIRECTION('',(0.,0.,1.)); #402995=DIRECTION('center_axis',(-0.198956019232824,0.980008419561296,0.)); #402996=DIRECTION('ref_axis',(-0.980008419561295,-0.198956019232824,0.)); #402997=DIRECTION('',(-0.980008419561295,-0.198956019232824,0.)); #402998=DIRECTION('',(-0.980008419561295,-0.198956019232824,0.)); #402999=DIRECTION('',(0.,0.,1.)); #403000=DIRECTION('center_axis',(0.,1.,0.)); #403001=DIRECTION('ref_axis',(-1.,0.,0.)); #403002=DIRECTION('',(-1.,0.,0.)); #403003=DIRECTION('',(-1.,0.,0.)); #403004=DIRECTION('',(0.,0.,1.)); #403005=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #403006=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #403007=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #403008=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #403009=DIRECTION('',(0.,0.,1.)); #403010=DIRECTION('center_axis',(-1.,0.,0.)); #403011=DIRECTION('ref_axis',(0.,-1.,0.)); #403012=DIRECTION('',(0.,-1.,0.)); #403013=DIRECTION('',(0.,-1.,0.)); #403014=DIRECTION('',(0.,0.,1.)); #403015=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #403016=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #403017=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #403018=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #403019=DIRECTION('',(0.,0.,1.)); #403020=DIRECTION('center_axis',(0.,-1.,0.)); #403021=DIRECTION('ref_axis',(1.,0.,0.)); #403022=DIRECTION('',(1.,0.,0.)); #403023=DIRECTION('',(1.,0.,0.)); #403024=DIRECTION('',(0.,0.,1.)); #403025=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #403026=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #403027=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #403028=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #403029=DIRECTION('',(0.,0.,1.)); #403030=DIRECTION('center_axis',(1.,0.,0.)); #403031=DIRECTION('ref_axis',(0.,1.,0.)); #403032=DIRECTION('',(0.,1.,0.)); #403033=DIRECTION('',(0.,1.,0.)); #403034=DIRECTION('',(0.,0.,1.)); #403035=DIRECTION('center_axis',(0.981560865252911,-0.191149856928945,0.)); #403036=DIRECTION('ref_axis',(0.191149856928945,0.981560865252911,0.)); #403037=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #403038=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #403039=DIRECTION('',(0.,0.,1.)); #403040=DIRECTION('center_axis',(0.833659859749732,-0.552278225391928,0.)); #403041=DIRECTION('ref_axis',(0.552278225391928,0.833659859749732,0.)); #403042=DIRECTION('',(0.552278225391928,0.833659859749732,0.)); #403043=DIRECTION('',(0.552278225391928,0.833659859749732,0.)); #403044=DIRECTION('',(0.,0.,1.)); #403045=DIRECTION('center_axis',(0.707106781186553,-0.707106781186542,0.)); #403046=DIRECTION('ref_axis',(0.707106781186542,0.707106781186553,0.)); #403047=DIRECTION('',(0.707106781186542,0.707106781186553,0.)); #403048=DIRECTION('',(0.707106781186542,0.707106781186553,0.)); #403049=DIRECTION('',(0.,0.,1.)); #403050=DIRECTION('center_axis',(0.558946331948969,-0.829203833807341,0.)); #403051=DIRECTION('ref_axis',(0.829203833807341,0.558946331948969,0.)); #403052=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #403053=DIRECTION('',(0.829203833807341,0.558946331948969,0.)); #403054=DIRECTION('',(0.,0.,1.)); #403055=DIRECTION('center_axis',(0.198956019232542,-0.980008419561353,0.)); #403056=DIRECTION('ref_axis',(0.980008419561353,0.198956019232542,0.)); #403057=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #403058=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #403059=DIRECTION('',(0.,0.,1.)); #403060=DIRECTION('center_axis',(0.,-1.,0.)); #403061=DIRECTION('ref_axis',(1.,0.,0.)); #403062=DIRECTION('',(1.,0.,0.)); #403063=DIRECTION('',(1.,0.,0.)); #403064=DIRECTION('',(0.,0.,1.)); #403065=DIRECTION('center_axis',(-0.183305290257319,-0.983056036329405, 0.)); #403066=DIRECTION('ref_axis',(0.983056036329405,-0.183305290257319,0.)); #403067=DIRECTION('',(0.983056036329405,-0.183305290257319,0.)); #403068=DIRECTION('',(0.983056036329405,-0.183305290257319,0.)); #403069=DIRECTION('',(0.,0.,1.)); #403070=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #403071=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #403072=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #403073=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #403074=DIRECTION('',(0.,0.,1.)); #403075=DIRECTION('center_axis',(-0.824745549033783,-0.56550400471523,0.)); #403076=DIRECTION('ref_axis',(0.56550400471523,-0.824745549033783,0.)); #403077=DIRECTION('',(0.56550400471523,-0.824745549033783,0.)); #403078=DIRECTION('',(0.56550400471523,-0.824745549033783,0.)); #403079=DIRECTION('',(0.,0.,1.)); #403080=DIRECTION('center_axis',(-0.980008419561376,-0.19895601923243,0.)); #403081=DIRECTION('ref_axis',(0.19895601923243,-0.980008419561375,0.)); #403082=DIRECTION('',(0.19895601923243,-0.980008419561375,0.)); #403083=DIRECTION('',(0.19895601923243,-0.980008419561375,0.)); #403084=DIRECTION('',(0.,0.,1.)); #403085=DIRECTION('center_axis',(-1.,0.,0.)); #403086=DIRECTION('ref_axis',(0.,-1.,0.)); #403087=DIRECTION('',(0.,-1.,0.)); #403088=DIRECTION('',(0.,-1.,0.)); #403089=DIRECTION('',(0.,0.,1.)); #403090=DIRECTION('center_axis',(-0.70710678118653,-0.707106781186565,0.)); #403091=DIRECTION('ref_axis',(0.707106781186565,-0.70710678118653,0.)); #403092=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #403093=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #403094=DIRECTION('',(0.,0.,1.)); #403095=DIRECTION('center_axis',(0.,-1.,0.)); #403096=DIRECTION('ref_axis',(1.,0.,0.)); #403097=DIRECTION('',(1.,0.,0.)); #403098=DIRECTION('',(1.,0.,0.)); #403099=DIRECTION('',(0.,0.,1.)); #403100=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #403101=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #403102=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #403103=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #403104=DIRECTION('',(0.,0.,1.)); #403105=DIRECTION('center_axis',(1.,0.,0.)); #403106=DIRECTION('ref_axis',(0.,1.,0.)); #403107=DIRECTION('',(0.,1.,0.)); #403108=DIRECTION('',(0.,1.,0.)); #403109=DIRECTION('',(0.,0.,1.)); #403110=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #403111=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #403112=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #403113=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #403114=DIRECTION('',(0.,0.,1.)); #403115=DIRECTION('center_axis',(0.842362410729329,-0.538911466745951,0.)); #403116=DIRECTION('ref_axis',(0.538911466745951,0.842362410729329,0.)); #403117=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #403118=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #403119=DIRECTION('',(0.,0.,1.)); #403120=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #403121=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #403122=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #403123=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #403124=DIRECTION('',(0.,0.,1.)); #403125=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #403126=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #403127=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #403128=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #403129=DIRECTION('',(0.,0.,1.)); #403130=DIRECTION('center_axis',(0.,-1.,0.)); #403131=DIRECTION('ref_axis',(1.,0.,0.)); #403132=DIRECTION('',(1.,0.,0.)); #403133=DIRECTION('',(1.,0.,0.)); #403134=DIRECTION('',(0.,0.,1.)); #403135=DIRECTION('center_axis',(-0.191149856928945,-0.981560865252911, 0.)); #403136=DIRECTION('ref_axis',(0.981560865252911,-0.191149856928945,0.)); #403137=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #403138=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #403139=DIRECTION('',(0.,0.,1.)); #403140=DIRECTION('center_axis',(-0.552278225391859,-0.833659859749778, 0.)); #403141=DIRECTION('ref_axis',(0.833659859749778,-0.552278225391859,0.)); #403142=DIRECTION('',(0.833659859749778,-0.552278225391859,0.)); #403143=DIRECTION('',(0.833659859749778,-0.552278225391859,0.)); #403144=DIRECTION('',(0.,0.,1.)); #403145=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546, 0.)); #403146=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #403147=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #403148=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #403149=DIRECTION('',(0.,0.,1.)); #403150=DIRECTION('center_axis',(-0.829203833807294,-0.55894633194904,0.)); #403151=DIRECTION('ref_axis',(0.55894633194904,-0.829203833807294,0.)); #403152=DIRECTION('',(0.55894633194904,-0.829203833807294,0.)); #403153=DIRECTION('',(0.55894633194904,-0.829203833807294,0.)); #403154=DIRECTION('',(0.,0.,1.)); #403155=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #403156=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #403157=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #403158=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #403159=DIRECTION('',(0.,0.,1.)); #403160=DIRECTION('center_axis',(-1.,0.,0.)); #403161=DIRECTION('ref_axis',(0.,-1.,0.)); #403162=DIRECTION('',(0.,-1.,0.)); #403163=DIRECTION('',(0.,-1.,0.)); #403164=DIRECTION('',(0.,0.,1.)); #403165=DIRECTION('center_axis',(-0.982311566963627,0.18725379945268,0.)); #403166=DIRECTION('ref_axis',(-0.18725379945268,-0.982311566963627,0.)); #403167=DIRECTION('',(-0.18725379945268,-0.982311566963627,0.)); #403168=DIRECTION('',(-0.18725379945268,-0.982311566963627,0.)); #403169=DIRECTION('',(0.,0.,1.)); #403170=DIRECTION('center_axis',(-0.838042613670296,0.54560478157056,0.)); #403171=DIRECTION('ref_axis',(-0.54560478157056,-0.838042613670296,0.)); #403172=DIRECTION('',(-0.54560478157056,-0.838042613670296,0.)); #403173=DIRECTION('',(-0.54560478157056,-0.838042613670296,0.)); #403174=DIRECTION('',(0.,0.,1.)); #403175=DIRECTION('center_axis',(-0.558878107898662,0.829249817914729,0.)); #403176=DIRECTION('ref_axis',(-0.829249817914729,-0.558878107898662,0.)); #403177=DIRECTION('',(-0.829249817914729,-0.558878107898662,0.)); #403178=DIRECTION('',(-0.829249817914729,-0.558878107898662,0.)); #403179=DIRECTION('',(0.,0.,1.)); #403180=DIRECTION('center_axis',(-0.382683794236524,0.923879382619148,0.)); #403181=DIRECTION('ref_axis',(-0.923879382619148,-0.382683794236524,0.)); #403182=DIRECTION('',(-0.923879382619148,-0.382683794236524,0.)); #403183=DIRECTION('',(-0.923879382619148,-0.382683794236524,0.)); #403184=DIRECTION('',(0.,0.,1.)); #403185=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #403186=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #403187=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #403188=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #403189=DIRECTION('',(0.,0.,1.)); #403190=DIRECTION('center_axis',(-0.923877363903348,0.382688667805831,0.)); #403191=DIRECTION('ref_axis',(-0.382688667805831,-0.923877363903348,0.)); #403192=DIRECTION('',(-0.382688667805831,-0.923877363903348,0.)); #403193=DIRECTION('',(-0.382688667805831,-0.923877363903348,0.)); #403194=DIRECTION('',(0.,0.,1.)); #403195=DIRECTION('center_axis',(-1.,0.,0.)); #403196=DIRECTION('ref_axis',(0.,-1.,0.)); #403197=DIRECTION('',(0.,-1.,0.)); #403198=DIRECTION('',(0.,-1.,0.)); #403199=DIRECTION('',(0.,0.,1.)); #403200=DIRECTION('center_axis',(-0.923877363903348,-0.382688667805831, 0.)); #403201=DIRECTION('ref_axis',(0.382688667805831,-0.923877363903348,0.)); #403202=DIRECTION('',(0.382688667805831,-0.923877363903348,0.)); #403203=DIRECTION('',(0.382688667805831,-0.923877363903348,0.)); #403204=DIRECTION('',(0.,0.,1.)); #403205=DIRECTION('center_axis',(-0.707106781186539,-0.707106781186556, 0.)); #403206=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #403207=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #403208=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #403209=DIRECTION('',(0.,0.,1.)); #403210=DIRECTION('center_axis',(-0.820212632122627,-0.572058771549281, 0.)); #403211=DIRECTION('ref_axis',(0.572058771549281,-0.820212632122627,0.)); #403212=DIRECTION('',(0.572058771549281,-0.820212632122627,0.)); #403213=DIRECTION('',(0.572058771549281,-0.820212632122627,0.)); #403214=DIRECTION('',(0.,0.,1.)); #403215=DIRECTION('center_axis',(-0.973144071552987,-0.230196907019351, 0.)); #403216=DIRECTION('ref_axis',(0.230196907019351,-0.973144071552987,0.)); #403217=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #403218=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #403219=DIRECTION('',(0.,0.,1.)); #403220=DIRECTION('center_axis',(-0.985842168327525,0.167675934908035,0.)); #403221=DIRECTION('ref_axis',(-0.167675934908035,-0.985842168327525,0.)); #403222=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #403223=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #403224=DIRECTION('',(0.,0.,1.)); #403225=DIRECTION('center_axis',(-0.84236241072922,0.538911466746122,0.)); #403226=DIRECTION('ref_axis',(-0.538911466746122,-0.84236241072922,0.)); #403227=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #403228=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #403229=DIRECTION('',(0.,0.,1.)); #403230=DIRECTION('center_axis',(-0.565504004715131,0.824745549033852,0.)); #403231=DIRECTION('ref_axis',(-0.824745549033852,-0.565504004715131,0.)); #403232=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #403233=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #403234=DIRECTION('',(0.,0.,1.)); #403235=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #403236=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #403237=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #403238=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #403239=DIRECTION('',(0.,0.,1.)); #403240=DIRECTION('center_axis',(0.,1.,0.)); #403241=DIRECTION('ref_axis',(-1.,0.,0.)); #403242=DIRECTION('',(-1.,0.,0.)); #403243=DIRECTION('',(-1.,0.,0.)); #403244=DIRECTION('',(0.,0.,1.)); #403245=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #403246=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #403247=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #403248=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #403249=DIRECTION('',(0.,0.,1.)); #403250=DIRECTION('center_axis',(-0.558946331948969,0.829203833807341,0.)); #403251=DIRECTION('ref_axis',(-0.829203833807341,-0.558946331948969,0.)); #403252=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #403253=DIRECTION('',(-0.829203833807341,-0.558946331948969,0.)); #403254=DIRECTION('',(0.,0.,1.)); #403255=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #403256=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #403257=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #403258=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #403259=DIRECTION('',(0.,0.,1.)); #403260=DIRECTION('center_axis',(0.,1.,0.)); #403261=DIRECTION('ref_axis',(-1.,0.,0.)); #403262=DIRECTION('',(-1.,0.,0.)); #403263=DIRECTION('',(-1.,0.,0.)); #403264=DIRECTION('',(0.,0.,1.)); #403265=DIRECTION('center_axis',(0.191149856928713,0.981560865252957,0.)); #403266=DIRECTION('ref_axis',(-0.981560865252957,0.191149856928713,0.)); #403267=DIRECTION('',(-0.981560865252957,0.191149856928713,0.)); #403268=DIRECTION('',(-0.981560865252957,0.191149856928713,0.)); #403269=DIRECTION('',(0.,0.,1.)); #403270=DIRECTION('center_axis',(0.552278225392028,0.833659859749666,0.)); #403271=DIRECTION('ref_axis',(-0.833659859749665,0.552278225392028,0.)); #403272=DIRECTION('',(-0.833659859749665,0.552278225392028,0.)); #403273=DIRECTION('',(-0.833659859749665,0.552278225392028,0.)); #403274=DIRECTION('',(0.,0.,1.)); #403275=DIRECTION('center_axis',(0.707104777838364,0.707108784529055,0.)); #403276=DIRECTION('ref_axis',(-0.707108784529055,0.707104777838364,0.)); #403277=DIRECTION('',(-0.707108784529055,0.707104777838364,0.)); #403278=DIRECTION('',(-0.707108784529055,0.707104777838364,0.)); #403279=DIRECTION('',(0.,0.,1.)); #403280=DIRECTION('center_axis',(0.,1.,0.)); #403281=DIRECTION('ref_axis',(-1.,0.,0.)); #403282=DIRECTION('',(-1.,0.,0.)); #403283=DIRECTION('',(-1.,0.,0.)); #403284=DIRECTION('',(0.,0.,1.)); #403285=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #403286=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #403287=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #403288=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #403289=DIRECTION('',(0.,0.,1.)); #403290=DIRECTION('center_axis',(-1.,0.,0.)); #403291=DIRECTION('ref_axis',(0.,-1.,0.)); #403292=DIRECTION('',(0.,-1.,0.)); #403293=DIRECTION('',(0.,-1.,0.)); #403294=DIRECTION('',(0.,0.,1.)); #403295=DIRECTION('center_axis',(-0.70710834361349,-0.707105218756153,0.)); #403296=DIRECTION('ref_axis',(0.707105218756153,-0.70710834361349,0.)); #403297=DIRECTION('',(0.707105218756153,-0.70710834361349,0.)); #403298=DIRECTION('',(0.707105218756153,-0.70710834361349,0.)); #403299=DIRECTION('',(0.,0.,1.)); #403300=DIRECTION('center_axis',(-0.820212632122705,-0.572058771549169, 0.)); #403301=DIRECTION('ref_axis',(0.572058771549169,-0.820212632122705,0.)); #403302=DIRECTION('',(0.572058771549169,-0.820212632122705,0.)); #403303=DIRECTION('',(0.572058771549169,-0.820212632122705,0.)); #403304=DIRECTION('',(0.,0.,1.)); #403305=DIRECTION('center_axis',(-0.973144071553012,-0.230196907019245, 0.)); #403306=DIRECTION('ref_axis',(0.230196907019245,-0.973144071553012,0.)); #403307=DIRECTION('',(0.230196907019245,-0.973144071553012,0.)); #403308=DIRECTION('',(0.230196907019245,-0.973144071553012,0.)); #403309=DIRECTION('',(0.,0.,1.)); #403310=DIRECTION('center_axis',(-0.985842168327553,0.167675934907869,0.)); #403311=DIRECTION('ref_axis',(-0.167675934907869,-0.985842168327553,0.)); #403312=DIRECTION('',(-0.167675934907869,-0.985842168327553,0.)); #403313=DIRECTION('',(-0.167675934907869,-0.985842168327553,0.)); #403314=DIRECTION('',(0.,0.,1.)); #403315=DIRECTION('center_axis',(-0.842362410729353,0.538911466745914,0.)); #403316=DIRECTION('ref_axis',(-0.538911466745914,-0.842362410729353,0.)); #403317=DIRECTION('',(-0.538911466745914,-0.842362410729353,0.)); #403318=DIRECTION('',(-0.538911466745914,-0.842362410729353,0.)); #403319=DIRECTION('',(0.,0.,1.)); #403320=DIRECTION('center_axis',(-0.565504004714926,0.824745549033992,0.)); #403321=DIRECTION('ref_axis',(-0.824745549033992,-0.565504004714926,0.)); #403322=DIRECTION('',(-0.824745549033992,-0.565504004714926,0.)); #403323=DIRECTION('',(-0.824745549033992,-0.565504004714926,0.)); #403324=DIRECTION('',(0.,0.,1.)); #403325=DIRECTION('center_axis',(-0.1989560192326,0.980008419561341,0.)); #403326=DIRECTION('ref_axis',(-0.980008419561341,-0.1989560192326,0.)); #403327=DIRECTION('',(-0.980008419561341,-0.198956019232599,0.)); #403328=DIRECTION('',(-0.980008419561341,-0.198956019232599,0.)); #403329=DIRECTION('',(0.,0.,1.)); #403330=DIRECTION('center_axis',(0.,1.,0.)); #403331=DIRECTION('ref_axis',(-1.,0.,0.)); #403332=DIRECTION('',(-1.,0.,0.)); #403333=DIRECTION('',(-1.,0.,0.)); #403334=DIRECTION('',(0.,0.,1.)); #403335=DIRECTION('center_axis',(0.183305290257319,0.983056036329405,0.)); #403336=DIRECTION('ref_axis',(-0.983056036329405,0.183305290257319,0.)); #403337=DIRECTION('',(-0.983056036329405,0.183305290257319,0.)); #403338=DIRECTION('',(-0.983056036329405,0.183305290257319,0.)); #403339=DIRECTION('',(0.,0.,1.)); #403340=DIRECTION('center_axis',(0.538911466746055,0.842362410729263,0.)); #403341=DIRECTION('ref_axis',(-0.842362410729263,0.538911466746055,0.)); #403342=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #403343=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #403344=DIRECTION('',(0.,0.,1.)); #403345=DIRECTION('center_axis',(0.824745549033783,0.56550400471523,0.)); #403346=DIRECTION('ref_axis',(-0.56550400471523,0.824745549033783,0.)); #403347=DIRECTION('',(-0.56550400471523,0.824745549033783,0.)); #403348=DIRECTION('',(-0.56550400471523,0.824745549033783,0.)); #403349=DIRECTION('',(0.,0.,1.)); #403350=DIRECTION('center_axis',(0.980008419561376,0.19895601923243,0.)); #403351=DIRECTION('ref_axis',(-0.19895601923243,0.980008419561375,0.)); #403352=DIRECTION('',(-0.19895601923243,0.980008419561375,0.)); #403353=DIRECTION('',(-0.19895601923243,0.980008419561375,0.)); #403354=DIRECTION('',(0.,0.,1.)); #403355=DIRECTION('center_axis',(1.,0.,0.)); #403356=DIRECTION('ref_axis',(0.,1.,0.)); #403357=DIRECTION('',(0.,1.,0.)); #403358=DIRECTION('',(0.,1.,0.)); #403359=DIRECTION('',(0.,0.,1.)); #403360=DIRECTION('center_axis',(0.70710678118653,0.707106781186565,0.)); #403361=DIRECTION('ref_axis',(-0.707106781186565,0.70710678118653,0.)); #403362=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #403363=DIRECTION('',(-0.707106781186565,0.70710678118653,0.)); #403364=DIRECTION('',(0.,0.,1.)); #403365=DIRECTION('center_axis',(0.,1.,0.)); #403366=DIRECTION('ref_axis',(-1.,0.,0.)); #403367=DIRECTION('',(-1.,0.,0.)); #403368=DIRECTION('',(-1.,0.,0.)); #403369=DIRECTION('',(0.,0.,1.)); #403370=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #403371=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #403372=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #403373=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #403374=DIRECTION('',(0.,0.,1.)); #403375=DIRECTION('center_axis',(-1.,0.,0.)); #403376=DIRECTION('ref_axis',(0.,-1.,0.)); #403377=DIRECTION('',(0.,-1.,0.)); #403378=DIRECTION('',(0.,-1.,0.)); #403379=DIRECTION('',(0.,0.,1.)); #403380=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #403381=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #403382=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #403383=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #403384=DIRECTION('',(0.,0.,1.)); #403385=DIRECTION('center_axis',(-0.84236241072922,0.538911466746122,0.)); #403386=DIRECTION('ref_axis',(-0.538911466746122,-0.84236241072922,0.)); #403387=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #403388=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #403389=DIRECTION('',(0.,0.,1.)); #403390=DIRECTION('center_axis',(-0.565504004715062,0.824745549033899,0.)); #403391=DIRECTION('ref_axis',(-0.824745549033899,-0.565504004715062,0.)); #403392=DIRECTION('',(-0.824745549033899,-0.565504004715062,0.)); #403393=DIRECTION('',(-0.824745549033899,-0.565504004715062,0.)); #403394=DIRECTION('',(0.,0.,1.)); #403395=DIRECTION('center_axis',(-0.1989560192326,0.980008419561341,0.)); #403396=DIRECTION('ref_axis',(-0.980008419561341,-0.1989560192326,0.)); #403397=DIRECTION('',(-0.980008419561341,-0.198956019232599,0.)); #403398=DIRECTION('',(-0.980008419561341,-0.198956019232599,0.)); #403399=DIRECTION('',(0.,0.,1.)); #403400=DIRECTION('center_axis',(0.,1.,0.)); #403401=DIRECTION('ref_axis',(-1.,0.,0.)); #403402=DIRECTION('',(-1.,0.,0.)); #403403=DIRECTION('',(-1.,0.,0.)); #403404=DIRECTION('',(0.,0.,1.)); #403405=DIRECTION('center_axis',(0.183305290257319,0.983056036329405,0.)); #403406=DIRECTION('ref_axis',(-0.983056036329405,0.183305290257319,0.)); #403407=DIRECTION('',(-0.983056036329405,0.183305290257319,0.)); #403408=DIRECTION('',(-0.983056036329405,0.183305290257319,0.)); #403409=DIRECTION('',(0.,0.,1.)); #403410=DIRECTION('center_axis',(0.538911466745922,0.842362410729348,0.)); #403411=DIRECTION('ref_axis',(-0.842362410729348,0.538911466745922,0.)); #403412=DIRECTION('',(-0.842362410729348,0.538911466745922,0.)); #403413=DIRECTION('',(-0.842362410729348,0.538911466745922,0.)); #403414=DIRECTION('',(0.,0.,1.)); #403415=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #403416=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #403417=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #403418=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #403419=DIRECTION('',(0.,0.,1.)); #403420=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #403421=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #403422=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #403423=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #403424=DIRECTION('',(0.,0.,1.)); #403425=DIRECTION('center_axis',(1.,0.,0.)); #403426=DIRECTION('ref_axis',(0.,1.,0.)); #403427=DIRECTION('',(0.,1.,0.)); #403428=DIRECTION('',(0.,1.,0.)); #403429=DIRECTION('',(0.,0.,1.)); #403430=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #403431=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #403432=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #403433=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #403434=DIRECTION('',(0.,0.,1.)); #403435=DIRECTION('center_axis',(0.,1.,0.)); #403436=DIRECTION('ref_axis',(-1.,0.,0.)); #403437=DIRECTION('',(-1.,0.,0.)); #403438=DIRECTION('',(-1.,0.,0.)); #403439=DIRECTION('',(0.,0.,1.)); #403440=DIRECTION('center_axis',(-0.70710678118653,0.707106781186565,0.)); #403441=DIRECTION('ref_axis',(-0.707106781186565,-0.70710678118653,0.)); #403442=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #403443=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #403444=DIRECTION('',(0.,0.,1.)); #403445=DIRECTION('center_axis',(-1.,0.,0.)); #403446=DIRECTION('ref_axis',(0.,-1.,0.)); #403447=DIRECTION('',(0.,-1.,0.)); #403448=DIRECTION('',(0.,-1.,0.)); #403449=DIRECTION('',(0.,0.,1.)); #403450=DIRECTION('center_axis',(-0.70710678118653,-0.707106781186565,0.)); #403451=DIRECTION('ref_axis',(0.707106781186565,-0.70710678118653,0.)); #403452=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #403453=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #403454=DIRECTION('',(0.,0.,1.)); #403455=DIRECTION('center_axis',(0.,-1.,0.)); #403456=DIRECTION('ref_axis',(1.,0.,0.)); #403457=DIRECTION('',(1.,0.,0.)); #403458=DIRECTION('',(1.,0.,0.)); #403459=DIRECTION('',(0.,0.,1.)); #403460=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #403461=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #403462=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #403463=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #403464=DIRECTION('',(0.,0.,1.)); #403465=DIRECTION('center_axis',(1.,0.,0.)); #403466=DIRECTION('ref_axis',(0.,1.,0.)); #403467=DIRECTION('',(0.,1.,0.)); #403468=DIRECTION('',(0.,1.,0.)); #403469=DIRECTION('',(0.,0.,1.)); #403470=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #403471=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #403472=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #403473=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #403474=DIRECTION('',(0.,0.,1.)); #403475=DIRECTION('center_axis',(0.84236241072922,-0.538911466746122,0.)); #403476=DIRECTION('ref_axis',(0.538911466746122,0.84236241072922,0.)); #403477=DIRECTION('',(0.538911466746122,0.84236241072922,0.)); #403478=DIRECTION('',(0.538911466746122,0.84236241072922,0.)); #403479=DIRECTION('',(0.,0.,1.)); #403480=DIRECTION('center_axis',(0.565504004715062,-0.824745549033899,0.)); #403481=DIRECTION('ref_axis',(0.824745549033899,0.565504004715062,0.)); #403482=DIRECTION('',(0.824745549033899,0.565504004715062,0.)); #403483=DIRECTION('',(0.824745549033899,0.565504004715062,0.)); #403484=DIRECTION('',(0.,0.,1.)); #403485=DIRECTION('center_axis',(0.1989560192326,-0.980008419561341,0.)); #403486=DIRECTION('ref_axis',(0.980008419561341,0.1989560192326,0.)); #403487=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #403488=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #403489=DIRECTION('',(0.,0.,1.)); #403490=DIRECTION('center_axis',(0.,-1.,0.)); #403491=DIRECTION('ref_axis',(1.,0.,0.)); #403492=DIRECTION('',(1.,0.,0.)); #403493=DIRECTION('',(1.,0.,0.)); #403494=DIRECTION('',(0.,0.,1.)); #403495=DIRECTION('center_axis',(-0.183305290257319,-0.983056036329405, 0.)); #403496=DIRECTION('ref_axis',(0.983056036329405,-0.183305290257319,0.)); #403497=DIRECTION('',(0.983056036329405,-0.183305290257319,0.)); #403498=DIRECTION('',(0.983056036329405,-0.183305290257319,0.)); #403499=DIRECTION('',(0.,0.,1.)); #403500=DIRECTION('center_axis',(-0.538911466745922,-0.842362410729348, 0.)); #403501=DIRECTION('ref_axis',(0.842362410729348,-0.538911466745922,0.)); #403502=DIRECTION('',(0.842362410729348,-0.538911466745922,0.)); #403503=DIRECTION('',(0.842362410729348,-0.538911466745922,0.)); #403504=DIRECTION('',(0.,0.,1.)); #403505=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #403506=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #403507=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #403508=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #403509=DIRECTION('',(0.,0.,1.)); #403510=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #403511=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #403512=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #403513=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #403514=DIRECTION('',(0.,0.,1.)); #403515=DIRECTION('center_axis',(-1.,0.,0.)); #403516=DIRECTION('ref_axis',(0.,-1.,0.)); #403517=DIRECTION('',(0.,-1.,0.)); #403518=DIRECTION('',(0.,-1.,0.)); #403519=DIRECTION('',(0.,0.,1.)); #403520=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #403521=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #403522=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #403523=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #403524=DIRECTION('',(0.,0.,1.)); #403525=DIRECTION('center_axis',(0.,-1.,0.)); #403526=DIRECTION('ref_axis',(1.,0.,0.)); #403527=DIRECTION('',(1.,0.,0.)); #403528=DIRECTION('',(1.,0.,0.)); #403529=DIRECTION('',(0.,0.,1.)); #403530=DIRECTION('center_axis',(0.70710678118653,-0.707106781186565,0.)); #403531=DIRECTION('ref_axis',(0.707106781186565,0.70710678118653,0.)); #403532=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #403533=DIRECTION('',(0.707106781186565,0.70710678118653,0.)); #403534=DIRECTION('',(0.,0.,1.)); #403535=DIRECTION('center_axis',(1.,0.,0.)); #403536=DIRECTION('ref_axis',(0.,1.,0.)); #403537=DIRECTION('',(0.,1.,0.)); #403538=DIRECTION('',(0.,1.,0.)); #403539=DIRECTION('',(0.,0.,1.)); #403540=DIRECTION('center_axis',(0.983056036329444,-0.183305290257108,0.)); #403541=DIRECTION('ref_axis',(0.183305290257108,0.983056036329444,0.)); #403542=DIRECTION('',(0.183305290257108,0.983056036329444,0.)); #403543=DIRECTION('',(0.183305290257108,0.983056036329444,0.)); #403544=DIRECTION('',(0.,0.,1.)); #403545=DIRECTION('center_axis',(0.84236241072922,-0.538911466746122,0.)); #403546=DIRECTION('ref_axis',(0.538911466746122,0.84236241072922,0.)); #403547=DIRECTION('',(0.538911466746122,0.84236241072922,0.)); #403548=DIRECTION('',(0.538911466746122,0.84236241072922,0.)); #403549=DIRECTION('',(0.,0.,1.)); #403550=DIRECTION('center_axis',(0.565504004715062,-0.824745549033899,0.)); #403551=DIRECTION('ref_axis',(0.824745549033899,0.565504004715062,0.)); #403552=DIRECTION('',(0.824745549033899,0.565504004715062,0.)); #403553=DIRECTION('',(0.824745549033899,0.565504004715062,0.)); #403554=DIRECTION('',(0.,0.,1.)); #403555=DIRECTION('center_axis',(0.1989560192326,-0.980008419561341,0.)); #403556=DIRECTION('ref_axis',(0.980008419561341,0.1989560192326,0.)); #403557=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #403558=DIRECTION('',(0.980008419561341,0.198956019232599,0.)); #403559=DIRECTION('',(0.,0.,1.)); #403560=DIRECTION('center_axis',(0.,-1.,0.)); #403561=DIRECTION('ref_axis',(1.,0.,0.)); #403562=DIRECTION('',(1.,0.,0.)); #403563=DIRECTION('',(1.,0.,0.)); #403564=DIRECTION('',(0.,0.,1.)); #403565=DIRECTION('center_axis',(-0.17550205938607,-0.984479063846077,0.)); #403566=DIRECTION('ref_axis',(0.984479063846077,-0.17550205938607,0.)); #403567=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #403568=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #403569=DIRECTION('',(0.,0.,1.)); #403570=DIRECTION('center_axis',(-0.525358802048774,-0.85088079606363,0.)); #403571=DIRECTION('ref_axis',(0.85088079606363,-0.525358802048774,0.)); #403572=DIRECTION('',(0.85088079606363,-0.525358802048774,0.)); #403573=DIRECTION('',(0.85088079606363,-0.525358802048774,0.)); #403574=DIRECTION('',(0.,0.,1.)); #403575=DIRECTION('center_axis',(-0.815709411156901,-0.578461888589095, 0.)); #403576=DIRECTION('ref_axis',(0.578461888589095,-0.815709411156901,0.)); #403577=DIRECTION('',(0.578461888589095,-0.815709411156901,0.)); #403578=DIRECTION('',(0.578461888589095,-0.815709411156901,0.)); #403579=DIRECTION('',(0.,0.,1.)); #403580=DIRECTION('center_axis',(-0.976685690837527,-0.214674314516719, 0.)); #403581=DIRECTION('ref_axis',(0.214674314516719,-0.976685690837527,0.)); #403582=DIRECTION('',(0.214674314516719,-0.976685690837527,0.)); #403583=DIRECTION('',(0.214674314516719,-0.976685690837527,0.)); #403584=DIRECTION('',(0.,0.,1.)); #403585=DIRECTION('center_axis',(-0.983050529880647,0.183334818573505,0.)); #403586=DIRECTION('ref_axis',(-0.183334818573505,-0.983050529880647,0.)); #403587=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #403588=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #403589=DIRECTION('',(0.,0.,1.)); #403590=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #403591=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #403592=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #403593=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #403594=DIRECTION('',(0.,0.,1.)); #403595=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #403596=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #403597=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #403598=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #403599=DIRECTION('',(0.,0.,1.)); #403600=DIRECTION('center_axis',(-0.558946331948828,0.829203833807437,0.)); #403601=DIRECTION('ref_axis',(-0.829203833807437,-0.558946331948828,0.)); #403602=DIRECTION('',(-0.829203833807437,-0.558946331948828,0.)); #403603=DIRECTION('',(-0.829203833807437,-0.558946331948828,0.)); #403604=DIRECTION('',(0.,0.,1.)); #403605=DIRECTION('center_axis',(-0.1989560192326,0.980008419561341,0.)); #403606=DIRECTION('ref_axis',(-0.980008419561341,-0.1989560192326,0.)); #403607=DIRECTION('',(-0.980008419561341,-0.198956019232599,0.)); #403608=DIRECTION('',(-0.980008419561341,-0.198956019232599,0.)); #403609=DIRECTION('',(0.,0.,1.)); #403610=DIRECTION('center_axis',(0.,1.,0.)); #403611=DIRECTION('ref_axis',(-1.,0.,0.)); #403612=DIRECTION('',(-1.,0.,0.)); #403613=DIRECTION('',(-1.,0.,0.)); #403614=DIRECTION('',(0.,0.,1.)); #403615=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #403616=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #403617=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #403618=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #403619=DIRECTION('',(0.,0.,1.)); #403620=DIRECTION('center_axis',(-1.,0.,0.)); #403621=DIRECTION('ref_axis',(0.,-1.,0.)); #403622=DIRECTION('',(0.,-1.,0.)); #403623=DIRECTION('',(0.,-1.,0.)); #403624=DIRECTION('',(0.,0.,1.)); #403625=DIRECTION('center_axis',(-0.982311566963684,0.18725379945238,0.)); #403626=DIRECTION('ref_axis',(-0.18725379945238,-0.982311566963684,0.)); #403627=DIRECTION('',(-0.18725379945238,-0.982311566963684,0.)); #403628=DIRECTION('',(-0.18725379945238,-0.982311566963684,0.)); #403629=DIRECTION('',(0.,0.,1.)); #403630=DIRECTION('center_axis',(-0.838042613670253,0.545604781570627,0.)); #403631=DIRECTION('ref_axis',(-0.545604781570627,-0.838042613670253,0.)); #403632=DIRECTION('',(-0.545604781570627,-0.838042613670253,0.)); #403633=DIRECTION('',(-0.545604781570627,-0.838042613670253,0.)); #403634=DIRECTION('',(0.,0.,1.)); #403635=DIRECTION('center_axis',(-0.558878107898695,0.829249817914707,0.)); #403636=DIRECTION('ref_axis',(-0.829249817914707,-0.558878107898695,0.)); #403637=DIRECTION('',(-0.829249817914707,-0.558878107898695,0.)); #403638=DIRECTION('',(-0.829249817914707,-0.558878107898695,0.)); #403639=DIRECTION('',(0.,0.,1.)); #403640=DIRECTION('center_axis',(-0.382682465395262,0.923879933042711,0.)); #403641=DIRECTION('ref_axis',(-0.923879933042711,-0.382682465395262,0.)); #403642=DIRECTION('',(-0.923879933042711,-0.382682465395262,0.)); #403643=DIRECTION('',(-0.923879933042711,-0.382682465395262,0.)); #403644=DIRECTION('',(0.,0.,1.)); #403645=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #403646=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #403647=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #403648=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #403649=DIRECTION('',(0.,0.,1.)); #403650=DIRECTION('center_axis',(-0.923879164134337,0.382684321704506,0.)); #403651=DIRECTION('ref_axis',(-0.382684321704506,-0.923879164134337,0.)); #403652=DIRECTION('',(-0.382684321704506,-0.923879164134337,0.)); #403653=DIRECTION('',(-0.382684321704506,-0.923879164134337,0.)); #403654=DIRECTION('',(0.,0.,1.)); #403655=DIRECTION('center_axis',(-1.,0.,0.)); #403656=DIRECTION('ref_axis',(0.,-1.,0.)); #403657=DIRECTION('',(0.,-1.,0.)); #403658=DIRECTION('',(0.,-1.,0.)); #403659=DIRECTION('',(0.,0.,1.)); #403660=DIRECTION('center_axis',(-0.923879164134336,-0.38268432170451,0.)); #403661=DIRECTION('ref_axis',(0.38268432170451,-0.923879164134336,0.)); #403662=DIRECTION('',(0.38268432170451,-0.923879164134336,0.)); #403663=DIRECTION('',(0.38268432170451,-0.923879164134336,0.)); #403664=DIRECTION('',(0.,0.,1.)); #403665=DIRECTION('center_axis',(-0.707106781186553,-0.707106781186542, 0.)); #403666=DIRECTION('ref_axis',(0.707106781186542,-0.707106781186553,0.)); #403667=DIRECTION('',(0.707106781186542,-0.707106781186553,0.)); #403668=DIRECTION('',(0.707106781186542,-0.707106781186553,0.)); #403669=DIRECTION('',(0.,0.,1.)); #403670=DIRECTION('center_axis',(-0.382684321704487,-0.923879164134345, 0.)); #403671=DIRECTION('ref_axis',(0.923879164134345,-0.382684321704487,0.)); #403672=DIRECTION('',(0.923879164134345,-0.382684321704487,0.)); #403673=DIRECTION('',(0.923879164134345,-0.382684321704487,0.)); #403674=DIRECTION('',(0.,0.,1.)); #403675=DIRECTION('center_axis',(0.,-1.,0.)); #403676=DIRECTION('ref_axis',(1.,0.,0.)); #403677=DIRECTION('',(1.,0.,0.)); #403678=DIRECTION('',(1.,0.,0.)); #403679=DIRECTION('',(0.,0.,1.)); #403680=DIRECTION('center_axis',(0.382684321704495,-0.923879164134342,0.)); #403681=DIRECTION('ref_axis',(0.923879164134342,0.382684321704495,0.)); #403682=DIRECTION('',(0.923879164134342,0.382684321704495,0.)); #403683=DIRECTION('',(0.923879164134342,0.382684321704495,0.)); #403684=DIRECTION('',(0.,0.,1.)); #403685=DIRECTION('center_axis',(0.707106781186542,-0.707106781186553,0.)); #403686=DIRECTION('ref_axis',(0.707106781186553,0.707106781186542,0.)); #403687=DIRECTION('',(0.707106781186553,0.707106781186542,0.)); #403688=DIRECTION('',(0.707106781186553,0.707106781186542,0.)); #403689=DIRECTION('',(0.,0.,1.)); #403690=DIRECTION('center_axis',(0.923879164134344,-0.382684321704491,0.)); #403691=DIRECTION('ref_axis',(0.382684321704491,0.923879164134344,0.)); #403692=DIRECTION('',(0.382684321704491,0.923879164134344,0.)); #403693=DIRECTION('',(0.382684321704491,0.923879164134344,0.)); #403694=DIRECTION('',(0.,0.,1.)); #403695=DIRECTION('center_axis',(1.,0.,0.)); #403696=DIRECTION('ref_axis',(0.,1.,0.)); #403697=DIRECTION('',(0.,1.,0.)); #403698=DIRECTION('',(0.,1.,0.)); #403699=DIRECTION('',(0.,0.,1.)); #403700=DIRECTION('center_axis',(0.923879164134345,0.382684321704487,0.)); #403701=DIRECTION('ref_axis',(-0.382684321704487,0.923879164134345,0.)); #403702=DIRECTION('',(-0.382684321704487,0.923879164134345,0.)); #403703=DIRECTION('',(-0.382684321704487,0.923879164134345,0.)); #403704=DIRECTION('',(0.,0.,1.)); #403705=DIRECTION('center_axis',(0.707106781186536,0.707106781186559,0.)); #403706=DIRECTION('ref_axis',(-0.707106781186559,0.707106781186536,0.)); #403707=DIRECTION('',(-0.707106781186559,0.707106781186536,0.)); #403708=DIRECTION('',(-0.707106781186559,0.707106781186536,0.)); #403709=DIRECTION('',(0.,0.,1.)); #403710=DIRECTION('center_axis',(0.382682465395273,0.923879933042707,0.)); #403711=DIRECTION('ref_axis',(-0.923879933042707,0.382682465395273,0.)); #403712=DIRECTION('',(-0.923879933042707,0.382682465395273,0.)); #403713=DIRECTION('',(-0.923879933042707,0.382682465395273,0.)); #403714=DIRECTION('',(0.,0.,1.)); #403715=DIRECTION('center_axis',(0.548897495819334,0.835889669204773,0.)); #403716=DIRECTION('ref_axis',(-0.835889669204773,0.548897495819334,0.)); #403717=DIRECTION('',(-0.835889669204773,0.548897495819334,0.)); #403718=DIRECTION('',(-0.835889669204773,0.548897495819334,0.)); #403719=DIRECTION('',(0.,0.,1.)); #403720=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #403721=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #403722=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #403723=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #403724=DIRECTION('',(0.,0.,1.)); #403725=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #403726=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #403727=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #403728=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #403729=DIRECTION('',(0.,0.,1.)); #403730=DIRECTION('center_axis',(1.,0.,0.)); #403731=DIRECTION('ref_axis',(0.,1.,0.)); #403732=DIRECTION('',(0.,1.,0.)); #403733=DIRECTION('',(0.,1.,0.)); #403734=DIRECTION('',(0.,0.,1.)); #403735=DIRECTION('center_axis',(0.981560865252911,-0.191149856928945,0.)); #403736=DIRECTION('ref_axis',(0.191149856928945,0.981560865252911,0.)); #403737=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #403738=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #403739=DIRECTION('',(0.,0.,1.)); #403740=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #403741=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #403742=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #403743=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #403744=DIRECTION('',(0.,0.,1.)); #403745=DIRECTION('center_axis',(0.70710678118655,-0.707106781186545,0.)); #403746=DIRECTION('ref_axis',(0.707106781186545,0.70710678118655,0.)); #403747=DIRECTION('',(0.707106781186545,0.70710678118655,0.)); #403748=DIRECTION('',(0.707106781186545,0.70710678118655,0.)); #403749=DIRECTION('',(0.,0.,1.)); #403750=DIRECTION('center_axis',(0.558946331948864,-0.829203833807412,0.)); #403751=DIRECTION('ref_axis',(0.829203833807412,0.558946331948864,0.)); #403752=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #403753=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #403754=DIRECTION('',(0.,0.,1.)); #403755=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #403756=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #403757=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #403758=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #403759=DIRECTION('',(0.,0.,1.)); #403760=DIRECTION('center_axis',(0.,-1.,0.)); #403761=DIRECTION('ref_axis',(1.,0.,0.)); #403762=DIRECTION('',(1.,0.,0.)); #403763=DIRECTION('',(1.,0.,0.)); #403764=DIRECTION('',(0.,0.,1.)); #403765=DIRECTION('center_axis',(-0.191149856928917,-0.981560865252917, 0.)); #403766=DIRECTION('ref_axis',(0.981560865252917,-0.191149856928917,0.)); #403767=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #403768=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #403769=DIRECTION('',(0.,0.,1.)); #403770=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #403771=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #403772=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #403773=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #403774=DIRECTION('',(0.,0.,1.)); #403775=DIRECTION('center_axis',(-0.707104777838364,-0.707108784529055, 0.)); #403776=DIRECTION('ref_axis',(0.707108784529055,-0.707104777838364,0.)); #403777=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #403778=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #403779=DIRECTION('',(0.,0.,1.)); #403780=DIRECTION('center_axis',(0.,-1.,0.)); #403781=DIRECTION('ref_axis',(1.,0.,0.)); #403782=DIRECTION('',(1.,0.,0.)); #403783=DIRECTION('',(1.,0.,0.)); #403784=DIRECTION('',(0.,0.,1.)); #403785=DIRECTION('center_axis',(0.707104777838364,-0.707108784529055,0.)); #403786=DIRECTION('ref_axis',(0.707108784529055,0.707104777838364,0.)); #403787=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #403788=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #403789=DIRECTION('',(0.,0.,1.)); #403790=DIRECTION('center_axis',(0.558946331948723,-0.829203833807507,0.)); #403791=DIRECTION('ref_axis',(0.829203833807507,0.558946331948723,0.)); #403792=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #403793=DIRECTION('',(0.829203833807507,0.558946331948723,0.)); #403794=DIRECTION('',(0.,0.,1.)); #403795=DIRECTION('center_axis',(0.19895601923274,-0.980008419561313,0.)); #403796=DIRECTION('ref_axis',(0.980008419561313,0.19895601923274,0.)); #403797=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #403798=DIRECTION('',(0.980008419561313,0.19895601923274,0.)); #403799=DIRECTION('',(0.,0.,1.)); #403800=DIRECTION('center_axis',(0.,-1.,0.)); #403801=DIRECTION('ref_axis',(1.,0.,0.)); #403802=DIRECTION('',(1.,0.,0.)); #403803=DIRECTION('',(1.,0.,0.)); #403804=DIRECTION('',(0.,0.,1.)); #403805=DIRECTION('center_axis',(-0.183305290257444,-0.983056036329382, 0.)); #403806=DIRECTION('ref_axis',(0.983056036329381,-0.183305290257444,0.)); #403807=DIRECTION('',(0.983056036329381,-0.183305290257444,0.)); #403808=DIRECTION('',(0.983056036329381,-0.183305290257444,0.)); #403809=DIRECTION('',(0.,0.,1.)); #403810=DIRECTION('center_axis',(-0.538911466745885,-0.842362410729372, 0.)); #403811=DIRECTION('ref_axis',(0.842362410729372,-0.538911466745885,0.)); #403812=DIRECTION('',(0.842362410729372,-0.538911466745885,0.)); #403813=DIRECTION('',(0.842362410729372,-0.538911466745885,0.)); #403814=DIRECTION('',(0.,0.,1.)); #403815=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #403816=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #403817=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #403818=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #403819=DIRECTION('',(0.,0.,1.)); #403820=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #403821=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #403822=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #403823=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #403824=DIRECTION('',(0.,0.,1.)); #403825=DIRECTION('center_axis',(-1.,0.,0.)); #403826=DIRECTION('ref_axis',(0.,-1.,0.)); #403827=DIRECTION('',(0.,-1.,0.)); #403828=DIRECTION('',(0.,-1.,0.)); #403829=DIRECTION('',(0.,0.,1.)); #403830=DIRECTION('center_axis',(-0.994378375567352,-0.105885061288336, 0.)); #403831=DIRECTION('ref_axis',(0.105885061288336,-0.994378375567352,0.)); #403832=DIRECTION('',(0.105885061288336,-0.994378375567352,0.)); #403833=DIRECTION('',(0.105885061288336,-0.994378375567352,0.)); #403834=DIRECTION('',(0.,0.,1.)); #403835=DIRECTION('center_axis',(-0.949778792384387,-0.312922107779005, 0.)); #403836=DIRECTION('ref_axis',(0.312922107779005,-0.949778792384387,0.)); #403837=DIRECTION('',(0.312922107779005,-0.949778792384387,0.)); #403838=DIRECTION('',(0.312922107779005,-0.949778792384387,0.)); #403839=DIRECTION('',(0.,0.,1.)); #403840=DIRECTION('center_axis',(-0.862466061684764,-0.506114900434647, 0.)); #403841=DIRECTION('ref_axis',(0.506114900434647,-0.862466061684764,0.)); #403842=DIRECTION('',(0.506114900434647,-0.862466061684764,0.)); #403843=DIRECTION('',(0.506114900434647,-0.862466061684764,0.)); #403844=DIRECTION('',(0.,0.,1.)); #403845=DIRECTION('center_axis',(-0.757781125399836,-0.652508824452021, 0.)); #403846=DIRECTION('ref_axis',(0.652508824452021,-0.757781125399836,0.)); #403847=DIRECTION('',(0.652508824452021,-0.757781125399836,0.)); #403848=DIRECTION('',(0.652508824452021,-0.757781125399836,0.)); #403849=DIRECTION('',(0.,0.,1.)); #403850=DIRECTION('center_axis',(-0.707106781186545,-0.70710678118655,0.)); #403851=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,0.)); #403852=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #403853=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #403854=DIRECTION('',(0.,0.,1.)); #403855=DIRECTION('center_axis',(-0.829203833807389,-0.558946331948898, 0.)); #403856=DIRECTION('ref_axis',(0.558946331948898,-0.829203833807389,0.)); #403857=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #403858=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #403859=DIRECTION('',(0.,0.,1.)); #403860=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #403861=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #403862=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #403863=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #403864=DIRECTION('',(0.,0.,1.)); #403865=DIRECTION('center_axis',(-1.,0.,0.)); #403866=DIRECTION('ref_axis',(0.,-1.,0.)); #403867=DIRECTION('',(0.,-1.,0.)); #403868=DIRECTION('',(0.,-1.,0.)); #403869=DIRECTION('',(0.,0.,1.)); #403870=DIRECTION('center_axis',(-0.983056036329382,0.183305290257444,0.)); #403871=DIRECTION('ref_axis',(-0.183305290257444,-0.983056036329381,0.)); #403872=DIRECTION('',(-0.183305290257444,-0.983056036329381,0.)); #403873=DIRECTION('',(-0.183305290257444,-0.983056036329381,0.)); #403874=DIRECTION('',(0.,0.,1.)); #403875=DIRECTION('center_axis',(-0.842362410729306,0.538911466745989,0.)); #403876=DIRECTION('ref_axis',(-0.538911466745989,-0.842362410729306,0.)); #403877=DIRECTION('',(-0.538911466745989,-0.842362410729306,0.)); #403878=DIRECTION('',(-0.538911466745989,-0.842362410729306,0.)); #403879=DIRECTION('',(0.,0.,1.)); #403880=DIRECTION('center_axis',(-0.565504004715099,0.824745549033873,0.)); #403881=DIRECTION('ref_axis',(-0.824745549033873,-0.565504004715099,0.)); #403882=DIRECTION('',(-0.824745549033873,-0.565504004715099,0.)); #403883=DIRECTION('',(-0.824745549033873,-0.565504004715099,0.)); #403884=DIRECTION('',(0.,0.,1.)); #403885=DIRECTION('center_axis',(-0.198978630885354,0.980003828794046,0.)); #403886=DIRECTION('ref_axis',(-0.980003828794046,-0.198978630885354,0.)); #403887=DIRECTION('',(-0.980003828794046,-0.198978630885354,0.)); #403888=DIRECTION('',(-0.980003828794046,-0.198978630885354,0.)); #403889=DIRECTION('',(0.,0.,1.)); #403890=DIRECTION('center_axis',(-0.707106781186539,0.707106781186556,0.)); #403891=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #403892=DIRECTION('',(-0.707106781186556,-0.707106781186539,0.)); #403893=DIRECTION('',(-0.707106781186556,-0.707106781186539,0.)); #403894=DIRECTION('',(0.,0.,1.)); #403895=DIRECTION('center_axis',(-0.558946331948898,0.829203833807389,0.)); #403896=DIRECTION('ref_axis',(-0.829203833807389,-0.558946331948898,0.)); #403897=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #403898=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #403899=DIRECTION('',(0.,0.,1.)); #403900=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #403901=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #403902=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #403903=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #403904=DIRECTION('',(0.,0.,1.)); #403905=DIRECTION('center_axis',(0.,1.,0.)); #403906=DIRECTION('ref_axis',(-1.,0.,0.)); #403907=DIRECTION('',(-1.,0.,0.)); #403908=DIRECTION('',(-1.,0.,0.)); #403909=DIRECTION('',(0.,0.,1.)); #403910=DIRECTION('center_axis',(0.191149856928888,0.981560865252922,0.)); #403911=DIRECTION('ref_axis',(-0.981560865252922,0.191149856928888,0.)); #403912=DIRECTION('',(-0.981560865252922,0.191149856928888,0.)); #403913=DIRECTION('',(-0.981560865252922,0.191149856928888,0.)); #403914=DIRECTION('',(0.,0.,1.)); #403915=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #403916=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #403917=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #403918=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #403919=DIRECTION('',(0.,0.,1.)); #403920=DIRECTION('center_axis',(0.707104777838355,0.707108784529064,0.)); #403921=DIRECTION('ref_axis',(-0.707108784529064,0.707104777838355,0.)); #403922=DIRECTION('',(-0.707108784529064,0.707104777838355,0.)); #403923=DIRECTION('',(-0.707108784529064,0.707104777838355,0.)); #403924=DIRECTION('',(0.,0.,1.)); #403925=DIRECTION('center_axis',(0.,1.,0.)); #403926=DIRECTION('ref_axis',(-1.,0.,0.)); #403927=DIRECTION('',(-1.,0.,0.)); #403928=DIRECTION('',(-1.,0.,0.)); #403929=DIRECTION('',(0.,0.,1.)); #403930=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #403931=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #403932=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #403933=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #403934=DIRECTION('',(0.,0.,1.)); #403935=DIRECTION('center_axis',(-1.,0.,0.)); #403936=DIRECTION('ref_axis',(0.,-1.,0.)); #403937=DIRECTION('',(0.,-1.,0.)); #403938=DIRECTION('',(0.,-1.,0.)); #403939=DIRECTION('',(0.,0.,1.)); #403940=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #403941=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #403942=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #403943=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #403944=DIRECTION('',(0.,0.,1.)); #403945=DIRECTION('center_axis',(0.,-1.,0.)); #403946=DIRECTION('ref_axis',(1.,0.,0.)); #403947=DIRECTION('',(1.,0.,0.)); #403948=DIRECTION('',(1.,0.,0.)); #403949=DIRECTION('',(0.,0.,1.)); #403950=DIRECTION('center_axis',(0.707104777838364,-0.707108784529055,0.)); #403951=DIRECTION('ref_axis',(0.707108784529055,0.707104777838364,0.)); #403952=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #403953=DIRECTION('',(0.707108784529055,0.707104777838364,0.)); #403954=DIRECTION('',(0.,0.,1.)); #403955=DIRECTION('center_axis',(0.558946331948898,-0.829203833807389,0.)); #403956=DIRECTION('ref_axis',(0.829203833807389,0.558946331948898,0.)); #403957=DIRECTION('',(0.829203833807389,0.558946331948898,0.)); #403958=DIRECTION('',(0.829203833807389,0.558946331948898,0.)); #403959=DIRECTION('',(0.,0.,1.)); #403960=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #403961=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #403962=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #403963=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #403964=DIRECTION('',(0.,0.,1.)); #403965=DIRECTION('center_axis',(0.,-1.,0.)); #403966=DIRECTION('ref_axis',(1.,0.,0.)); #403967=DIRECTION('',(1.,0.,0.)); #403968=DIRECTION('',(1.,0.,0.)); #403969=DIRECTION('',(0.,0.,1.)); #403970=DIRECTION('center_axis',(-0.191149856928741,-0.981560865252951, 0.)); #403971=DIRECTION('ref_axis',(0.981560865252951,-0.191149856928741,0.)); #403972=DIRECTION('',(0.981560865252951,-0.191149856928741,0.)); #403973=DIRECTION('',(0.981560865252951,-0.191149856928741,0.)); #403974=DIRECTION('',(0.,0.,1.)); #403975=DIRECTION('center_axis',(-0.552278225391961,-0.83365985974971,0.)); #403976=DIRECTION('ref_axis',(0.83365985974971,-0.552278225391961,0.)); #403977=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #403978=DIRECTION('',(0.83365985974971,-0.552278225391961,0.)); #403979=DIRECTION('',(0.,0.,1.)); #403980=DIRECTION('center_axis',(-0.707104777838364,-0.707108784529055, 0.)); #403981=DIRECTION('ref_axis',(0.707108784529055,-0.707104777838364,0.)); #403982=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #403983=DIRECTION('',(0.707108784529055,-0.707104777838364,0.)); #403984=DIRECTION('',(0.,0.,1.)); #403985=DIRECTION('center_axis',(0.,-1.,0.)); #403986=DIRECTION('ref_axis',(1.,0.,0.)); #403987=DIRECTION('',(1.,0.,0.)); #403988=DIRECTION('',(1.,0.,0.)); #403989=DIRECTION('',(0.,0.,1.)); #403990=DIRECTION('center_axis',(0.565499541050556,-0.824748609620902,0.)); #403991=DIRECTION('ref_axis',(0.824748609620901,0.565499541050556,0.)); #403992=DIRECTION('',(0.824748609620901,0.565499541050556,0.)); #403993=DIRECTION('',(0.824748609620901,0.565499541050556,0.)); #403994=DIRECTION('',(0.,0.,1.)); #403995=DIRECTION('center_axis',(0.21464666169811,-0.976691768482697,0.)); #403996=DIRECTION('ref_axis',(0.976691768482697,0.21464666169811,0.)); #403997=DIRECTION('',(0.976691768482697,0.21464666169811,0.)); #403998=DIRECTION('',(0.976691768482697,0.21464666169811,0.)); #403999=DIRECTION('',(0.,0.,1.)); #404000=DIRECTION('center_axis',(-0.183334818573673,-0.983050529880615, 0.)); #404001=DIRECTION('ref_axis',(0.983050529880615,-0.183334818573673,0.)); #404002=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #404003=DIRECTION('',(0.983050529880615,-0.183334818573673,0.)); #404004=DIRECTION('',(0.,0.,1.)); #404005=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #404006=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #404007=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #404008=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #404009=DIRECTION('',(0.,0.,1.)); #404010=DIRECTION('center_axis',(-0.707099908320743,-0.707113653985551, 0.)); #404011=DIRECTION('ref_axis',(0.707113653985551,-0.707099908320743,0.)); #404012=DIRECTION('',(0.707113653985551,-0.707099908320743,0.)); #404013=DIRECTION('',(0.707113653985551,-0.707099908320743,0.)); #404014=DIRECTION('',(0.,0.,1.)); #404015=DIRECTION('center_axis',(-0.829203833807318,-0.558946331949003, 0.)); #404016=DIRECTION('ref_axis',(0.558946331949003,-0.829203833807318,0.)); #404017=DIRECTION('',(0.558946331949003,-0.829203833807318,0.)); #404018=DIRECTION('',(0.558946331949003,-0.829203833807318,0.)); #404019=DIRECTION('',(0.,0.,1.)); #404020=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #404021=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #404022=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #404023=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #404024=DIRECTION('',(0.,0.,1.)); #404025=DIRECTION('center_axis',(-1.,0.,0.)); #404026=DIRECTION('ref_axis',(0.,-1.,0.)); #404027=DIRECTION('',(0.,-1.,0.)); #404028=DIRECTION('',(0.,-1.,0.)); #404029=DIRECTION('',(0.,0.,1.)); #404030=DIRECTION('center_axis',(-0.994378375567336,-0.105885061288489, 0.)); #404031=DIRECTION('ref_axis',(0.105885061288489,-0.994378375567335,0.)); #404032=DIRECTION('',(0.105885061288489,-0.994378375567335,0.)); #404033=DIRECTION('',(0.105885061288489,-0.994378375567335,0.)); #404034=DIRECTION('',(0.,0.,1.)); #404035=DIRECTION('center_axis',(-0.949778792384449,-0.312922107778818, 0.)); #404036=DIRECTION('ref_axis',(0.312922107778818,-0.949778792384449,0.)); #404037=DIRECTION('',(0.312922107778818,-0.949778792384449,0.)); #404038=DIRECTION('',(0.312922107778818,-0.949778792384449,0.)); #404039=DIRECTION('',(0.,0.,1.)); #404040=DIRECTION('center_axis',(-0.862466061684714,-0.506114900434732, 0.)); #404041=DIRECTION('ref_axis',(0.506114900434732,-0.862466061684714,0.)); #404042=DIRECTION('',(0.506114900434732,-0.862466061684714,0.)); #404043=DIRECTION('',(0.506114900434732,-0.862466061684714,0.)); #404044=DIRECTION('',(0.,0.,1.)); #404045=DIRECTION('center_axis',(-0.757781125399949,-0.65250882445189,0.)); #404046=DIRECTION('ref_axis',(0.65250882445189,-0.757781125399949,0.)); #404047=DIRECTION('',(0.65250882445189,-0.757781125399949,0.)); #404048=DIRECTION('',(0.65250882445189,-0.757781125399949,0.)); #404049=DIRECTION('',(0.,0.,1.)); #404050=DIRECTION('center_axis',(-0.7071060670992,-0.707107495273174,0.)); #404051=DIRECTION('ref_axis',(0.707107495273174,-0.7071060670992,0.)); #404052=DIRECTION('',(0.707107495273174,-0.7071060670992,0.)); #404053=DIRECTION('',(0.707107495273174,-0.7071060670992,0.)); #404054=DIRECTION('',(0.,0.,1.)); #404055=DIRECTION('center_axis',(-0.628203826084731,-0.778048811381718, 0.)); #404056=DIRECTION('ref_axis',(0.778048811381718,-0.628203826084731,0.)); #404057=DIRECTION('',(0.778048811381718,-0.628203826084731,0.)); #404058=DIRECTION('',(0.778048811381718,-0.628203826084731,0.)); #404059=DIRECTION('',(0.,0.,1.)); #404060=DIRECTION('center_axis',(-0.450178111979861,-0.892938781493025, 0.)); #404061=DIRECTION('ref_axis',(0.892938781493025,-0.45017811197986,0.)); #404062=DIRECTION('',(0.892938781493025,-0.45017811197986,0.)); #404063=DIRECTION('',(0.892938781493025,-0.45017811197986,0.)); #404064=DIRECTION('',(0.,0.,1.)); #404065=DIRECTION('center_axis',(-0.252249312357498,-0.967662278078034, 0.)); #404066=DIRECTION('ref_axis',(0.967662278078034,-0.252249312357498,0.)); #404067=DIRECTION('',(0.967662278078034,-0.252249312357498,0.)); #404068=DIRECTION('',(0.967662278078034,-0.252249312357498,0.)); #404069=DIRECTION('',(0.,0.,1.)); #404070=DIRECTION('center_axis',(-0.0742706898423059,-0.997238118319967, 0.)); #404071=DIRECTION('ref_axis',(0.997238118319967,-0.0742706898423059,0.)); #404072=DIRECTION('',(0.997238118319967,-0.0742706898423059,0.)); #404073=DIRECTION('',(0.997238118319967,-0.0742706898423059,0.)); #404074=DIRECTION('',(0.,0.,1.)); #404075=DIRECTION('center_axis',(0.,-1.,0.)); #404076=DIRECTION('ref_axis',(1.,0.,0.)); #404077=DIRECTION('',(1.,0.,0.)); #404078=DIRECTION('',(1.,0.,0.)); #404079=DIRECTION('',(0.,0.,1.)); #404080=DIRECTION('center_axis',(0.105885061288698,-0.994378375567313,0.)); #404081=DIRECTION('ref_axis',(0.994378375567313,0.105885061288698,0.)); #404082=DIRECTION('',(0.994378375567313,0.105885061288698,0.)); #404083=DIRECTION('',(0.994378375567313,0.105885061288698,0.)); #404084=DIRECTION('',(0.,0.,1.)); #404085=DIRECTION('center_axis',(0.312935976921291,-0.949774222827887,0.)); #404086=DIRECTION('ref_axis',(0.949774222827887,0.312935976921291,0.)); #404087=DIRECTION('',(0.949774222827887,0.312935976921291,0.)); #404088=DIRECTION('',(0.949774222827887,0.312935976921291,0.)); #404089=DIRECTION('',(0.,0.,1.)); #404090=DIRECTION('center_axis',(0.506095628368539,-0.862477370686474,0.)); #404091=DIRECTION('ref_axis',(0.862477370686474,0.506095628368539,0.)); #404092=DIRECTION('',(0.862477370686474,0.506095628368539,0.)); #404093=DIRECTION('',(0.862477370686474,0.506095628368539,0.)); #404094=DIRECTION('',(0.,0.,1.)); #404095=DIRECTION('center_axis',(0.652508824452131,-0.757781125399741,0.)); #404096=DIRECTION('ref_axis',(0.757781125399741,0.652508824452131,0.)); #404097=DIRECTION('',(0.757781125399741,0.652508824452131,0.)); #404098=DIRECTION('',(0.757781125399741,0.652508824452131,0.)); #404099=DIRECTION('',(0.,0.,1.)); #404100=DIRECTION('center_axis',(0.707106781186551,-0.707106781186544,0.)); #404101=DIRECTION('ref_axis',(0.707106781186544,0.707106781186551,0.)); #404102=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #404103=DIRECTION('',(0.707106781186544,0.707106781186551,0.)); #404104=DIRECTION('',(0.,0.,1.)); #404105=DIRECTION('center_axis',(0.558946331948794,-0.82920383380746,0.)); #404106=DIRECTION('ref_axis',(0.82920383380746,0.558946331948794,0.)); #404107=DIRECTION('',(0.82920383380746,0.558946331948794,0.)); #404108=DIRECTION('',(0.82920383380746,0.558946331948794,0.)); #404109=DIRECTION('',(0.,0.,1.)); #404110=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #404111=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #404112=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #404113=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #404114=DIRECTION('',(0.,0.,1.)); #404115=DIRECTION('center_axis',(0.,-1.,0.)); #404116=DIRECTION('ref_axis',(1.,0.,0.)); #404117=DIRECTION('',(1.,0.,0.)); #404118=DIRECTION('',(1.,0.,0.)); #404119=DIRECTION('',(0.,0.,1.)); #404120=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #404121=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #404122=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #404123=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #404124=DIRECTION('',(0.,0.,1.)); #404125=DIRECTION('center_axis',(1.,0.,0.)); #404126=DIRECTION('ref_axis',(0.,1.,0.)); #404127=DIRECTION('',(0.,1.,0.)); #404128=DIRECTION('',(0.,1.,0.)); #404129=DIRECTION('',(0.,0.,1.)); #404130=DIRECTION('center_axis',(0.707106781186512,0.707106781186583,0.)); #404131=DIRECTION('ref_axis',(-0.707106781186583,0.707106781186512,0.)); #404132=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #404133=DIRECTION('',(-0.707106781186583,0.707106781186512,0.)); #404134=DIRECTION('',(0.,0.,1.)); #404135=DIRECTION('center_axis',(0.,1.,0.)); #404136=DIRECTION('ref_axis',(-1.,0.,0.)); #404137=DIRECTION('',(-1.,0.,0.)); #404138=DIRECTION('',(-1.,0.,0.)); #404139=DIRECTION('',(0.,0.,1.)); #404140=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #404141=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #404142=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #404143=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #404144=DIRECTION('',(0.,0.,1.)); #404145=DIRECTION('center_axis',(0.538911466746055,0.842362410729263,0.)); #404146=DIRECTION('ref_axis',(-0.842362410729263,0.538911466746055,0.)); #404147=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #404148=DIRECTION('',(-0.842362410729263,0.538911466746055,0.)); #404149=DIRECTION('',(0.,0.,1.)); #404150=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #404151=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #404152=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #404153=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #404154=DIRECTION('',(0.,0.,1.)); #404155=DIRECTION('center_axis',(0.980008419561313,0.19895601923274,0.)); #404156=DIRECTION('ref_axis',(-0.19895601923274,0.980008419561313,0.)); #404157=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #404158=DIRECTION('',(-0.19895601923274,0.980008419561313,0.)); #404159=DIRECTION('',(0.,0.,1.)); #404160=DIRECTION('center_axis',(1.,0.,0.)); #404161=DIRECTION('ref_axis',(0.,1.,0.)); #404162=DIRECTION('',(0.,1.,0.)); #404163=DIRECTION('',(0.,1.,0.)); #404164=DIRECTION('',(0.,0.,1.)); #404165=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #404166=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #404167=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #404168=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #404169=DIRECTION('',(0.,0.,1.)); #404170=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #404171=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #404172=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #404173=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #404174=DIRECTION('',(0.,0.,1.)); #404175=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #404176=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #404177=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #404178=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #404179=DIRECTION('',(0.,0.,1.)); #404180=DIRECTION('center_axis',(1.,0.,0.)); #404181=DIRECTION('ref_axis',(0.,1.,0.)); #404182=DIRECTION('',(0.,1.,0.)); #404183=DIRECTION('',(0.,1.,0.)); #404184=DIRECTION('',(0.,0.,1.)); #404185=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #404186=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #404187=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #404188=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #404189=DIRECTION('',(0.,0.,1.)); #404190=DIRECTION('center_axis',(0.820212632122573,0.572058771549358,0.)); #404191=DIRECTION('ref_axis',(-0.572058771549358,0.820212632122573,0.)); #404192=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #404193=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #404194=DIRECTION('',(0.,0.,1.)); #404195=DIRECTION('center_axis',(0.973151456886431,0.230165683714617,0.)); #404196=DIRECTION('ref_axis',(-0.230165683714617,0.973151456886431,0.)); #404197=DIRECTION('',(-0.230165683714617,0.973151456886431,0.)); #404198=DIRECTION('',(-0.230165683714617,0.973151456886431,0.)); #404199=DIRECTION('',(0.,0.,1.)); #404200=DIRECTION('center_axis',(0.985847618607389,-0.167643887112353,0.)); #404201=DIRECTION('ref_axis',(0.167643887112353,0.985847618607389,0.)); #404202=DIRECTION('',(0.167643887112353,0.985847618607389,0.)); #404203=DIRECTION('',(0.167643887112353,0.985847618607389,0.)); #404204=DIRECTION('',(0.,0.,1.)); #404205=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #404206=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #404207=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #404208=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #404209=DIRECTION('',(0.,0.,1.)); #404210=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #404211=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #404212=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #404213=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #404214=DIRECTION('',(0.,0.,1.)); #404215=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #404216=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #404217=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #404218=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #404219=DIRECTION('',(0.,0.,1.)); #404220=DIRECTION('center_axis',(0.,-1.,0.)); #404221=DIRECTION('ref_axis',(1.,0.,0.)); #404222=DIRECTION('',(1.,0.,0.)); #404223=DIRECTION('',(1.,0.,0.)); #404224=DIRECTION('',(0.,0.,1.)); #404225=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #404226=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #404227=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #404228=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #404229=DIRECTION('',(0.,0.,1.)); #404230=DIRECTION('center_axis',(-0.538911466745951,-0.842362410729329, 0.)); #404231=DIRECTION('ref_axis',(0.842362410729329,-0.538911466745951,0.)); #404232=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #404233=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #404234=DIRECTION('',(0.,0.,1.)); #404235=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #404236=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #404237=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #404238=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #404239=DIRECTION('',(0.,0.,1.)); #404240=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #404241=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #404242=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #404243=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #404244=DIRECTION('',(0.,0.,1.)); #404245=DIRECTION('center_axis',(-1.,0.,0.)); #404246=DIRECTION('ref_axis',(0.,-1.,0.)); #404247=DIRECTION('',(0.,-1.,0.)); #404248=DIRECTION('',(0.,-1.,0.)); #404249=DIRECTION('',(0.,0.,1.)); #404250=DIRECTION('center_axis',(-0.99437837556735,-0.105885061288354,0.)); #404251=DIRECTION('ref_axis',(0.105885061288354,-0.99437837556735,0.)); #404252=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #404253=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #404254=DIRECTION('',(0.,0.,1.)); #404255=DIRECTION('center_axis',(-0.949778792384408,-0.312922107778943, 0.)); #404256=DIRECTION('ref_axis',(0.312922107778943,-0.949778792384408,0.)); #404257=DIRECTION('',(0.312922107778943,-0.949778792384408,0.)); #404258=DIRECTION('',(0.312922107778943,-0.949778792384408,0.)); #404259=DIRECTION('',(0.,0.,1.)); #404260=DIRECTION('center_axis',(-0.862466061684764,-0.506114900434647, 0.)); #404261=DIRECTION('ref_axis',(0.506114900434647,-0.862466061684764,0.)); #404262=DIRECTION('',(0.506114900434647,-0.862466061684764,0.)); #404263=DIRECTION('',(0.506114900434647,-0.862466061684764,0.)); #404264=DIRECTION('',(0.,0.,1.)); #404265=DIRECTION('center_axis',(-0.757781125399723,-0.652508824452152, 0.)); #404266=DIRECTION('ref_axis',(0.652508824452152,-0.757781125399723,0.)); #404267=DIRECTION('',(0.652508824452152,-0.757781125399723,0.)); #404268=DIRECTION('',(0.652508824452152,-0.757781125399723,0.)); #404269=DIRECTION('',(0.,0.,1.)); #404270=DIRECTION('center_axis',(-0.707106623709614,-0.707106938663446, 0.)); #404271=DIRECTION('ref_axis',(0.707106938663446,-0.707106623709614,0.)); #404272=DIRECTION('',(0.707106938663446,-0.707106623709614,0.)); #404273=DIRECTION('',(0.707106938663446,-0.707106623709614,0.)); #404274=DIRECTION('',(0.,0.,1.)); #404275=DIRECTION('center_axis',(-0.628203826084816,-0.77804881138165,0.)); #404276=DIRECTION('ref_axis',(0.77804881138165,-0.628203826084816,0.)); #404277=DIRECTION('',(0.77804881138165,-0.628203826084816,0.)); #404278=DIRECTION('',(0.77804881138165,-0.628203826084816,0.)); #404279=DIRECTION('',(0.,0.,1.)); #404280=DIRECTION('center_axis',(-0.450178111979861,-0.892938781493025, 0.)); #404281=DIRECTION('ref_axis',(0.892938781493025,-0.45017811197986,0.)); #404282=DIRECTION('',(0.892938781493025,-0.45017811197986,0.)); #404283=DIRECTION('',(0.892938781493025,-0.45017811197986,0.)); #404284=DIRECTION('',(0.,0.,1.)); #404285=DIRECTION('center_axis',(-0.252249312357682,-0.967662278077986, 0.)); #404286=DIRECTION('ref_axis',(0.967662278077986,-0.252249312357682,0.)); #404287=DIRECTION('',(0.967662278077986,-0.252249312357682,0.)); #404288=DIRECTION('',(0.967662278077986,-0.252249312357682,0.)); #404289=DIRECTION('',(0.,0.,1.)); #404290=DIRECTION('center_axis',(-0.0742706898420613,-0.997238118319985, 0.)); #404291=DIRECTION('ref_axis',(0.997238118319985,-0.0742706898420613,0.)); #404292=DIRECTION('',(0.997238118319985,-0.0742706898420613,0.)); #404293=DIRECTION('',(0.997238118319985,-0.0742706898420613,0.)); #404294=DIRECTION('',(0.,0.,1.)); #404295=DIRECTION('center_axis',(0.,-1.,0.)); #404296=DIRECTION('ref_axis',(1.,0.,0.)); #404297=DIRECTION('',(1.,0.,0.)); #404298=DIRECTION('',(1.,0.,0.)); #404299=DIRECTION('',(0.,0.,1.)); #404300=DIRECTION('center_axis',(-0.183305290257262,-0.983056036329416, 0.)); #404301=DIRECTION('ref_axis',(0.983056036329416,-0.183305290257262,0.)); #404302=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #404303=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #404304=DIRECTION('',(0.,0.,1.)); #404305=DIRECTION('center_axis',(-0.538911466745951,-0.842362410729329, 0.)); #404306=DIRECTION('ref_axis',(0.842362410729329,-0.538911466745951,0.)); #404307=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #404308=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #404309=DIRECTION('',(0.,0.,1.)); #404310=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #404311=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #404312=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #404313=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #404314=DIRECTION('',(0.,0.,1.)); #404315=DIRECTION('center_axis',(-0.980003828794034,-0.198978630885413, 0.)); #404316=DIRECTION('ref_axis',(0.198978630885413,-0.980003828794034,0.)); #404317=DIRECTION('',(0.198978630885413,-0.980003828794034,0.)); #404318=DIRECTION('',(0.198978630885413,-0.980003828794034,0.)); #404319=DIRECTION('',(0.,0.,1.)); #404320=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #404321=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #404322=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #404323=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #404324=DIRECTION('',(0.,0.,1.)); #404325=DIRECTION('center_axis',(-0.829203833807389,-0.558946331948898, 0.)); #404326=DIRECTION('ref_axis',(0.558946331948898,-0.829203833807389,0.)); #404327=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #404328=DIRECTION('',(0.558946331948898,-0.829203833807389,0.)); #404329=DIRECTION('',(0.,0.,1.)); #404330=DIRECTION('center_axis',(-0.980008419561347,-0.198956019232571, 0.)); #404331=DIRECTION('ref_axis',(0.198956019232571,-0.980008419561347,0.)); #404332=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #404333=DIRECTION('',(0.198956019232571,-0.980008419561347,0.)); #404334=DIRECTION('',(0.,0.,1.)); #404335=DIRECTION('center_axis',(-1.,0.,0.)); #404336=DIRECTION('ref_axis',(0.,-1.,0.)); #404337=DIRECTION('',(0.,-1.,0.)); #404338=DIRECTION('',(0.,-1.,0.)); #404339=DIRECTION('',(0.,0.,1.)); #404340=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #404341=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #404342=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #404343=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #404344=DIRECTION('',(0.,0.,1.)); #404345=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #404346=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #404347=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #404348=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #404349=DIRECTION('',(0.,0.,1.)); #404350=DIRECTION('center_axis',(-0.707108784529055,0.707104777838364,0.)); #404351=DIRECTION('ref_axis',(-0.707104777838364,-0.707108784529055,0.)); #404352=DIRECTION('',(-0.707104777838364,-0.707108784529055,0.)); #404353=DIRECTION('',(-0.707104777838364,-0.707108784529055,0.)); #404354=DIRECTION('',(0.,0.,1.)); #404355=DIRECTION('center_axis',(-1.,0.,0.)); #404356=DIRECTION('ref_axis',(0.,-1.,0.)); #404357=DIRECTION('',(0.,-1.,0.)); #404358=DIRECTION('',(0.,-1.,0.)); #404359=DIRECTION('',(0.,0.,1.)); #404360=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #404361=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #404362=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #404363=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #404364=DIRECTION('',(0.,0.,1.)); #404365=DIRECTION('center_axis',(0.,-1.,0.)); #404366=DIRECTION('ref_axis',(1.,0.,0.)); #404367=DIRECTION('',(1.,0.,0.)); #404368=DIRECTION('',(1.,0.,0.)); #404369=DIRECTION('',(0.,0.,1.)); #404370=DIRECTION('center_axis',(0.707104327390303,-0.707109234974277,0.)); #404371=DIRECTION('ref_axis',(0.707109234974277,0.707104327390303,0.)); #404372=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #404373=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #404374=DIRECTION('',(0.,0.,1.)); #404375=DIRECTION('center_axis',(0.565439331101182,-0.82478989011981,0.)); #404376=DIRECTION('ref_axis',(0.82478989011981,0.565439331101182,0.)); #404377=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #404378=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #404379=DIRECTION('',(0.,0.,1.)); #404380=DIRECTION('center_axis',(0.214674314516579,-0.976685690837557,0.)); #404381=DIRECTION('ref_axis',(0.976685690837557,0.214674314516579,0.)); #404382=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #404383=DIRECTION('',(0.976685690837557,0.214674314516579,0.)); #404384=DIRECTION('',(0.,0.,1.)); #404385=DIRECTION('center_axis',(-0.183334818573505,-0.983050529880647, 0.)); #404386=DIRECTION('ref_axis',(0.983050529880647,-0.183334818573505,0.)); #404387=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #404388=DIRECTION('',(0.983050529880647,-0.183334818573505,0.)); #404389=DIRECTION('',(0.,0.,1.)); #404390=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #404391=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #404392=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #404393=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #404394=DIRECTION('',(0.,0.,1.)); #404395=DIRECTION('center_axis',(-0.707104327390303,-0.707109234974277, 0.)); #404396=DIRECTION('ref_axis',(0.707109234974277,-0.707104327390303,0.)); #404397=DIRECTION('',(0.707109234974277,-0.707104327390303,0.)); #404398=DIRECTION('',(0.707109234974277,-0.707104327390303,0.)); #404399=DIRECTION('',(0.,0.,1.)); #404400=DIRECTION('center_axis',(0.,-1.,0.)); #404401=DIRECTION('ref_axis',(1.,0.,0.)); #404402=DIRECTION('',(1.,0.,0.)); #404403=DIRECTION('',(1.,0.,0.)); #404404=DIRECTION('',(0.,0.,1.)); #404405=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #404406=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #404407=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #404408=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #404409=DIRECTION('',(0.,0.,1.)); #404410=DIRECTION('center_axis',(1.,0.,0.)); #404411=DIRECTION('ref_axis',(0.,1.,0.)); #404412=DIRECTION('',(0.,1.,0.)); #404413=DIRECTION('',(0.,1.,0.)); #404414=DIRECTION('',(0.,0.,1.)); #404415=DIRECTION('center_axis',(0.707108784529055,0.707104777838364,0.)); #404416=DIRECTION('ref_axis',(-0.707104777838364,0.707108784529055,0.)); #404417=DIRECTION('',(-0.707104777838364,0.707108784529055,0.)); #404418=DIRECTION('',(-0.707104777838364,0.707108784529055,0.)); #404419=DIRECTION('',(0.,0.,1.)); #404420=DIRECTION('center_axis',(0.829203833807389,0.558946331948898,0.)); #404421=DIRECTION('ref_axis',(-0.558946331948898,0.829203833807389,0.)); #404422=DIRECTION('',(-0.558946331948898,0.829203833807389,0.)); #404423=DIRECTION('',(-0.558946331948898,0.829203833807389,0.)); #404424=DIRECTION('',(0.,0.,1.)); #404425=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #404426=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #404427=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #404428=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #404429=DIRECTION('',(0.,0.,1.)); #404430=DIRECTION('center_axis',(1.,0.,0.)); #404431=DIRECTION('ref_axis',(0.,1.,0.)); #404432=DIRECTION('',(0.,1.,0.)); #404433=DIRECTION('',(0.,1.,0.)); #404434=DIRECTION('',(0.,0.,1.)); #404435=DIRECTION('center_axis',(0.981560865252946,-0.19114985692877,0.)); #404436=DIRECTION('ref_axis',(0.19114985692877,0.981560865252945,0.)); #404437=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #404438=DIRECTION('',(0.19114985692877,0.981560865252945,0.)); #404439=DIRECTION('',(0.,0.,1.)); #404440=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #404441=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #404442=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #404443=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #404444=DIRECTION('',(0.,0.,1.)); #404445=DIRECTION('center_axis',(0.707108782544492,-0.707104779822939,0.)); #404446=DIRECTION('ref_axis',(0.707104779822939,0.707108782544492,0.)); #404447=DIRECTION('',(0.707104779822939,0.707108782544492,0.)); #404448=DIRECTION('',(0.707104779822939,0.707108782544492,0.)); #404449=DIRECTION('',(0.,0.,1.)); #404450=DIRECTION('center_axis',(0.983052942270892,-0.183321882743286,0.)); #404451=DIRECTION('ref_axis',(0.183321882743286,0.983052942270892,0.)); #404452=DIRECTION('',(0.183321882743286,0.983052942270892,0.)); #404453=DIRECTION('',(0.183321882743286,0.983052942270892,0.)); #404454=DIRECTION('',(0.,0.,1.)); #404455=DIRECTION('center_axis',(0.842362410729329,-0.538911466745951,0.)); #404456=DIRECTION('ref_axis',(0.538911466745951,0.842362410729329,0.)); #404457=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #404458=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #404459=DIRECTION('',(0.,0.,1.)); #404460=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #404461=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #404462=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #404463=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #404464=DIRECTION('',(0.,0.,1.)); #404465=DIRECTION('center_axis',(0.198956019232542,-0.980008419561353,0.)); #404466=DIRECTION('ref_axis',(0.980008419561353,0.198956019232542,0.)); #404467=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #404468=DIRECTION('',(0.980008419561353,0.198956019232542,0.)); #404469=DIRECTION('',(0.,0.,1.)); #404470=DIRECTION('center_axis',(0.,-1.,0.)); #404471=DIRECTION('ref_axis',(1.,0.,0.)); #404472=DIRECTION('',(1.,0.,0.)); #404473=DIRECTION('',(1.,0.,0.)); #404474=DIRECTION('',(0.,0.,1.)); #404475=DIRECTION('center_axis',(-0.191149856928623,-0.981560865252974, 0.)); #404476=DIRECTION('ref_axis',(0.981560865252974,-0.191149856928623,0.)); #404477=DIRECTION('',(0.981560865252974,-0.191149856928623,0.)); #404478=DIRECTION('',(0.981560865252974,-0.191149856928623,0.)); #404479=DIRECTION('',(0.,0.,1.)); #404480=DIRECTION('center_axis',(-0.552278225391995,-0.833659859749687, 0.)); #404481=DIRECTION('ref_axis',(0.833659859749687,-0.552278225391995,0.)); #404482=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #404483=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #404484=DIRECTION('',(0.,0.,1.)); #404485=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #404486=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #404487=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #404488=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #404489=DIRECTION('',(0.,0.,1.)); #404490=DIRECTION('center_axis',(-0.82920383380746,-0.558946331948794,0.)); #404491=DIRECTION('ref_axis',(0.558946331948794,-0.82920383380746,0.)); #404492=DIRECTION('',(0.558946331948794,-0.82920383380746,0.)); #404493=DIRECTION('',(0.558946331948794,-0.82920383380746,0.)); #404494=DIRECTION('',(0.,0.,1.)); #404495=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #404496=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #404497=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #404498=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #404499=DIRECTION('',(0.,0.,1.)); #404500=DIRECTION('center_axis',(-1.,0.,0.)); #404501=DIRECTION('ref_axis',(0.,-1.,0.)); #404502=DIRECTION('',(0.,-1.,0.)); #404503=DIRECTION('',(0.,-1.,0.)); #404504=DIRECTION('',(0.,0.,1.)); #404505=DIRECTION('center_axis',(-0.994378375567334,-0.105885061288508, 0.)); #404506=DIRECTION('ref_axis',(0.105885061288508,-0.994378375567334,0.)); #404507=DIRECTION('',(0.105885061288508,-0.994378375567334,0.)); #404508=DIRECTION('',(0.105885061288508,-0.994378375567334,0.)); #404509=DIRECTION('',(0.,0.,1.)); #404510=DIRECTION('center_axis',(-0.949778792384387,-0.312922107779005, 0.)); #404511=DIRECTION('ref_axis',(0.312922107779005,-0.949778792384387,0.)); #404512=DIRECTION('',(0.312922107779005,-0.949778792384387,0.)); #404513=DIRECTION('',(0.312922107779005,-0.949778792384387,0.)); #404514=DIRECTION('',(0.,0.,1.)); #404515=DIRECTION('center_axis',(-0.862466061684764,-0.506114900434647, 0.)); #404516=DIRECTION('ref_axis',(0.506114900434647,-0.862466061684764,0.)); #404517=DIRECTION('',(0.506114900434647,-0.862466061684764,0.)); #404518=DIRECTION('',(0.506114900434647,-0.862466061684764,0.)); #404519=DIRECTION('',(0.,0.,1.)); #404520=DIRECTION('center_axis',(-0.757781125399591,-0.652508824452305, 0.)); #404521=DIRECTION('ref_axis',(0.652508824452305,-0.757781125399591,0.)); #404522=DIRECTION('',(0.652508824452305,-0.757781125399591,0.)); #404523=DIRECTION('',(0.652508824452305,-0.757781125399591,0.)); #404524=DIRECTION('',(0.,0.,1.)); #404525=DIRECTION('center_axis',(-0.707106425896589,-0.707107136476328, 0.)); #404526=DIRECTION('ref_axis',(0.707107136476328,-0.707106425896589,0.)); #404527=DIRECTION('',(0.707107136476328,-0.707106425896589,0.)); #404528=DIRECTION('',(0.707107136476328,-0.707106425896589,0.)); #404529=DIRECTION('',(0.,0.,1.)); #404530=DIRECTION('center_axis',(-0.628203826084836,-0.778048811381633, 0.)); #404531=DIRECTION('ref_axis',(0.778048811381633,-0.628203826084836,0.)); #404532=DIRECTION('',(0.778048811381633,-0.628203826084836,0.)); #404533=DIRECTION('',(0.778048811381633,-0.628203826084836,0.)); #404534=DIRECTION('',(0.,0.,1.)); #404535=DIRECTION('center_axis',(-0.450178111979861,-0.892938781493025, 0.)); #404536=DIRECTION('ref_axis',(0.892938781493025,-0.45017811197986,0.)); #404537=DIRECTION('',(0.892938781493025,-0.45017811197986,0.)); #404538=DIRECTION('',(0.892938781493025,-0.45017811197986,0.)); #404539=DIRECTION('',(0.,0.,1.)); #404540=DIRECTION('center_axis',(-0.252249312357498,-0.967662278078034, 0.)); #404541=DIRECTION('ref_axis',(0.967662278078034,-0.252249312357498,0.)); #404542=DIRECTION('',(0.967662278078034,-0.252249312357498,0.)); #404543=DIRECTION('',(0.967662278078034,-0.252249312357498,0.)); #404544=DIRECTION('',(0.,0.,1.)); #404545=DIRECTION('center_axis',(-0.0742706898420613,-0.997238118319985, 0.)); #404546=DIRECTION('ref_axis',(0.997238118319985,-0.0742706898420613,0.)); #404547=DIRECTION('',(0.997238118319985,-0.0742706898420613,0.)); #404548=DIRECTION('',(0.997238118319985,-0.0742706898420613,0.)); #404549=DIRECTION('',(0.,0.,1.)); #404550=DIRECTION('center_axis',(0.,-1.,0.)); #404551=DIRECTION('ref_axis',(1.,0.,0.)); #404552=DIRECTION('',(1.,0.,0.)); #404553=DIRECTION('',(1.,0.,0.)); #404554=DIRECTION('',(0.,0.,1.)); #404555=DIRECTION('center_axis',(0.105885061288517,-0.994378375567333,0.)); #404556=DIRECTION('ref_axis',(0.994378375567332,0.105885061288517,0.)); #404557=DIRECTION('',(0.994378375567332,0.105885061288517,0.)); #404558=DIRECTION('',(0.994378375567332,0.105885061288517,0.)); #404559=DIRECTION('',(0.,0.,1.)); #404560=DIRECTION('center_axis',(0.312935976921447,-0.949774222827836,0.)); #404561=DIRECTION('ref_axis',(0.949774222827836,0.312935976921447,0.)); #404562=DIRECTION('',(0.949774222827836,0.312935976921447,0.)); #404563=DIRECTION('',(0.949774222827836,0.312935976921447,0.)); #404564=DIRECTION('',(0.,0.,1.)); #404565=DIRECTION('center_axis',(0.506095628368624,-0.862477370686424,0.)); #404566=DIRECTION('ref_axis',(0.862477370686424,0.506095628368624,0.)); #404567=DIRECTION('',(0.862477370686424,0.506095628368624,0.)); #404568=DIRECTION('',(0.862477370686424,0.506095628368624,0.)); #404569=DIRECTION('',(0.,0.,1.)); #404570=DIRECTION('center_axis',(0.652508824452,-0.757781125399854,0.)); #404571=DIRECTION('ref_axis',(0.757781125399854,0.652508824452,0.)); #404572=DIRECTION('',(0.757781125399854,0.652508824452,0.)); #404573=DIRECTION('',(0.757781125399854,0.652508824452,0.)); #404574=DIRECTION('',(0.,0.,1.)); #404575=DIRECTION('center_axis',(0.707107136476331,-0.707106425896586,0.)); #404576=DIRECTION('ref_axis',(0.707106425896586,0.707107136476331,0.)); #404577=DIRECTION('',(0.707106425896586,0.707107136476331,0.)); #404578=DIRECTION('',(0.707106425896586,0.707107136476331,0.)); #404579=DIRECTION('',(0.,0.,1.)); #404580=DIRECTION('center_axis',(0.778048811381676,-0.628203826084784,0.)); #404581=DIRECTION('ref_axis',(0.628203826084784,0.778048811381676,0.)); #404582=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #404583=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #404584=DIRECTION('',(0.,0.,1.)); #404585=DIRECTION('center_axis',(0.892938781492941,-0.450178111980026,0.)); #404586=DIRECTION('ref_axis',(0.450178111980026,0.892938781492941,0.)); #404587=DIRECTION('',(0.450178111980026,0.892938781492941,0.)); #404588=DIRECTION('',(0.450178111980026,0.892938781492941,0.)); #404589=DIRECTION('',(0.,0.,1.)); #404590=DIRECTION('center_axis',(0.967662278078022,-0.252249312357542,0.)); #404591=DIRECTION('ref_axis',(0.252249312357542,0.967662278078022,0.)); #404592=DIRECTION('',(0.252249312357542,0.967662278078022,0.)); #404593=DIRECTION('',(0.252249312357542,0.967662278078022,0.)); #404594=DIRECTION('',(0.,0.,1.)); #404595=DIRECTION('center_axis',(0.997238118319996,-0.0742706898419095, 0.)); #404596=DIRECTION('ref_axis',(0.0742706898419095,0.997238118319996,0.)); #404597=DIRECTION('',(0.0742706898419095,0.997238118319996,0.)); #404598=DIRECTION('',(0.0742706898419095,0.997238118319996,0.)); #404599=DIRECTION('',(0.,0.,1.)); #404600=DIRECTION('center_axis',(1.,0.,0.)); #404601=DIRECTION('ref_axis',(0.,1.,0.)); #404602=DIRECTION('',(0.,1.,0.)); #404603=DIRECTION('',(0.,1.,0.)); #404604=DIRECTION('',(0.,0.,1.)); #404605=DIRECTION('center_axis',(0.994378375567341,0.10588506128844,0.)); #404606=DIRECTION('ref_axis',(-0.10588506128844,0.994378375567341,0.)); #404607=DIRECTION('',(-0.10588506128844,0.994378375567341,0.)); #404608=DIRECTION('',(-0.10588506128844,0.994378375567341,0.)); #404609=DIRECTION('',(0.,0.,1.)); #404610=DIRECTION('center_axis',(0.949774222827774,0.312935976921634,0.)); #404611=DIRECTION('ref_axis',(-0.312935976921634,0.949774222827774,0.)); #404612=DIRECTION('',(-0.312935976921634,0.949774222827774,0.)); #404613=DIRECTION('',(-0.312935976921634,0.949774222827774,0.)); #404614=DIRECTION('',(0.,0.,1.)); #404615=DIRECTION('center_axis',(0.862477370686535,0.506095628368436,0.)); #404616=DIRECTION('ref_axis',(-0.506095628368436,0.862477370686535,0.)); #404617=DIRECTION('',(-0.506095628368436,0.862477370686535,0.)); #404618=DIRECTION('',(-0.506095628368436,0.862477370686535,0.)); #404619=DIRECTION('',(0.,0.,1.)); #404620=DIRECTION('center_axis',(0.757781125399666,0.652508824452218,0.)); #404621=DIRECTION('ref_axis',(-0.652508824452218,0.757781125399666,0.)); #404622=DIRECTION('',(-0.652508824452218,0.757781125399666,0.)); #404623=DIRECTION('',(-0.652508824452218,0.757781125399666,0.)); #404624=DIRECTION('',(0.,0.,1.)); #404625=DIRECTION('center_axis',(0.707105338772347,0.707108223597805,0.)); #404626=DIRECTION('ref_axis',(-0.707108223597805,0.707105338772347,0.)); #404627=DIRECTION('',(-0.707108223597805,0.707105338772347,0.)); #404628=DIRECTION('',(-0.707108223597805,0.707105338772347,0.)); #404629=DIRECTION('',(0.,0.,1.)); #404630=DIRECTION('center_axis',(0.628203826084774,0.778048811381684,0.)); #404631=DIRECTION('ref_axis',(-0.778048811381684,0.628203826084774,0.)); #404632=DIRECTION('',(-0.778048811381684,0.628203826084774,0.)); #404633=DIRECTION('',(-0.778048811381684,0.628203826084774,0.)); #404634=DIRECTION('',(0.,0.,1.)); #404635=DIRECTION('center_axis',(0.450178111979861,0.892938781493025,0.)); #404636=DIRECTION('ref_axis',(-0.892938781493025,0.45017811197986,0.)); #404637=DIRECTION('',(-0.892938781493025,0.45017811197986,0.)); #404638=DIRECTION('',(-0.892938781493025,0.45017811197986,0.)); #404639=DIRECTION('',(0.,0.,1.)); #404640=DIRECTION('center_axis',(0.252249312357774,0.967662278077962,0.)); #404641=DIRECTION('ref_axis',(-0.967662278077962,0.252249312357774,0.)); #404642=DIRECTION('',(-0.967662278077962,0.252249312357774,0.)); #404643=DIRECTION('',(-0.967662278077962,0.252249312357774,0.)); #404644=DIRECTION('',(0.,0.,1.)); #404645=DIRECTION('center_axis',(0.0742706898419193,0.997238118319996,0.)); #404646=DIRECTION('ref_axis',(-0.997238118319996,0.0742706898419193,0.)); #404647=DIRECTION('',(-0.997238118319996,0.0742706898419193,0.)); #404648=DIRECTION('',(-0.997238118319996,0.0742706898419193,0.)); #404649=DIRECTION('',(0.,0.,1.)); #404650=DIRECTION('center_axis',(0.,1.,0.)); #404651=DIRECTION('ref_axis',(-1.,0.,0.)); #404652=DIRECTION('',(-1.,0.,0.)); #404653=DIRECTION('',(-1.,0.,0.)); #404654=DIRECTION('',(0.,0.,1.)); #404655=DIRECTION('center_axis',(-0.105885061288612,0.994378375567322,0.)); #404656=DIRECTION('ref_axis',(-0.994378375567322,-0.105885061288612,0.)); #404657=DIRECTION('',(-0.994378375567322,-0.105885061288612,0.)); #404658=DIRECTION('',(-0.994378375567322,-0.105885061288612,0.)); #404659=DIRECTION('',(0.,0.,1.)); #404660=DIRECTION('center_axis',(-0.31292210777885,0.949778792384438,0.)); #404661=DIRECTION('ref_axis',(-0.949778792384438,-0.31292210777885,0.)); #404662=DIRECTION('',(-0.949778792384438,-0.31292210777885,0.)); #404663=DIRECTION('',(-0.949778792384438,-0.31292210777885,0.)); #404664=DIRECTION('',(0.,0.,1.)); #404665=DIRECTION('center_axis',(-0.506114900434647,0.862466061684764,0.)); #404666=DIRECTION('ref_axis',(-0.862466061684764,-0.506114900434647,0.)); #404667=DIRECTION('',(-0.862466061684764,-0.506114900434647,0.)); #404668=DIRECTION('',(-0.862466061684764,-0.506114900434647,0.)); #404669=DIRECTION('',(0.,0.,1.)); #404670=DIRECTION('center_axis',(-0.65250882445237,0.757781125399535,0.)); #404671=DIRECTION('ref_axis',(-0.757781125399535,-0.65250882445237,0.)); #404672=DIRECTION('',(-0.757781125399535,-0.65250882445237,0.)); #404673=DIRECTION('',(-0.757781125399535,-0.65250882445237,0.)); #404674=DIRECTION('',(0.,0.,1.)); #404675=DIRECTION('center_axis',(-0.707108223597802,0.707105338772351,0.)); #404676=DIRECTION('ref_axis',(-0.707105338772351,-0.707108223597802,0.)); #404677=DIRECTION('',(-0.707105338772351,-0.707108223597802,0.)); #404678=DIRECTION('',(-0.707105338772351,-0.707108223597802,0.)); #404679=DIRECTION('',(0.,0.,1.)); #404680=DIRECTION('center_axis',(-0.778048811381607,0.628203826084869,0.)); #404681=DIRECTION('ref_axis',(-0.628203826084869,-0.778048811381607,0.)); #404682=DIRECTION('',(-0.628203826084869,-0.778048811381607,0.)); #404683=DIRECTION('',(-0.628203826084869,-0.778048811381607,0.)); #404684=DIRECTION('',(0.,0.,1.)); #404685=DIRECTION('center_axis',(-0.892938781493088,0.450178111979736,0.)); #404686=DIRECTION('ref_axis',(-0.450178111979736,-0.892938781493088,0.)); #404687=DIRECTION('',(-0.450178111979736,-0.892938781493088,0.)); #404688=DIRECTION('',(-0.450178111979736,-0.892938781493088,0.)); #404689=DIRECTION('',(0.,0.,1.)); #404690=DIRECTION('center_axis',(-0.967662278077962,0.252249312357774,0.)); #404691=DIRECTION('ref_axis',(-0.252249312357774,-0.967662278077962,0.)); #404692=DIRECTION('',(-0.252249312357774,-0.967662278077962,0.)); #404693=DIRECTION('',(-0.252249312357774,-0.967662278077962,0.)); #404694=DIRECTION('',(0.,0.,1.)); #404695=DIRECTION('center_axis',(-0.997238118319996,0.0742706898419193, 0.)); #404696=DIRECTION('ref_axis',(-0.0742706898419193,-0.997238118319996,0.)); #404697=DIRECTION('',(-0.0742706898419193,-0.997238118319996,0.)); #404698=DIRECTION('',(-0.0742706898419193,-0.997238118319996,0.)); #404699=DIRECTION('',(0.,0.,1.)); #404700=DIRECTION('center_axis',(-1.,0.,0.)); #404701=DIRECTION('ref_axis',(0.,-1.,0.)); #404702=DIRECTION('',(0.,-1.,0.)); #404703=DIRECTION('',(0.,-1.,0.)); #404704=DIRECTION('',(0.,0.,1.)); #404705=DIRECTION('center_axis',(-0.981560865252946,0.19114985692877,0.)); #404706=DIRECTION('ref_axis',(-0.19114985692877,-0.981560865252945,0.)); #404707=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #404708=DIRECTION('',(-0.19114985692877,-0.981560865252945,0.)); #404709=DIRECTION('',(0.,0.,1.)); #404710=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #404711=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #404712=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #404713=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #404714=DIRECTION('',(0.,0.,1.)); #404715=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #404716=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #404717=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #404718=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #404719=DIRECTION('',(0.,0.,1.)); #404720=DIRECTION('center_axis',(-0.558946331948829,0.829203833807436,0.)); #404721=DIRECTION('ref_axis',(-0.829203833807436,-0.558946331948829,0.)); #404722=DIRECTION('',(-0.829203833807436,-0.558946331948829,0.)); #404723=DIRECTION('',(-0.829203833807436,-0.558946331948829,0.)); #404724=DIRECTION('',(0.,0.,1.)); #404725=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #404726=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #404727=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #404728=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #404729=DIRECTION('',(0.,0.,1.)); #404730=DIRECTION('center_axis',(0.,1.,0.)); #404731=DIRECTION('ref_axis',(-1.,0.,0.)); #404732=DIRECTION('',(-1.,0.,0.)); #404733=DIRECTION('',(-1.,0.,0.)); #404734=DIRECTION('',(0.,0.,1.)); #404735=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #404736=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #404737=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #404738=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #404739=DIRECTION('',(0.,0.,1.)); #404740=DIRECTION('center_axis',(0.538911466746018,0.842362410729287,0.)); #404741=DIRECTION('ref_axis',(-0.842362410729287,0.538911466746018,0.)); #404742=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #404743=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #404744=DIRECTION('',(0.,0.,1.)); #404745=DIRECTION('center_axis',(0.824745549033899,0.565504004715062,0.)); #404746=DIRECTION('ref_axis',(-0.565504004715062,0.824745549033899,0.)); #404747=DIRECTION('',(-0.565504004715062,0.824745549033899,0.)); #404748=DIRECTION('',(-0.565504004715062,0.824745549033899,0.)); #404749=DIRECTION('',(0.,0.,1.)); #404750=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #404751=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #404752=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #404753=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #404754=DIRECTION('',(0.,0.,1.)); #404755=DIRECTION('center_axis',(1.,0.,0.)); #404756=DIRECTION('ref_axis',(0.,1.,0.)); #404757=DIRECTION('',(0.,1.,0.)); #404758=DIRECTION('',(0.,1.,0.)); #404759=DIRECTION('',(0.,0.,1.)); #404760=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #404761=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #404762=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #404763=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #404764=DIRECTION('',(0.,0.,1.)); #404765=DIRECTION('center_axis',(0.,1.,0.)); #404766=DIRECTION('ref_axis',(-1.,0.,0.)); #404767=DIRECTION('',(-1.,0.,0.)); #404768=DIRECTION('',(-1.,0.,0.)); #404769=DIRECTION('',(0.,0.,1.)); #404770=DIRECTION('center_axis',(0.183305290257262,0.983056036329416,0.)); #404771=DIRECTION('ref_axis',(-0.983056036329416,0.183305290257262,0.)); #404772=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #404773=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #404774=DIRECTION('',(0.,0.,1.)); #404775=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #404776=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #404777=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #404778=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #404779=DIRECTION('',(0.,0.,1.)); #404780=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #404781=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #404782=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #404783=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #404784=DIRECTION('',(0.,0.,1.)); #404785=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #404786=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #404787=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #404788=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #404789=DIRECTION('',(0.,0.,1.)); #404790=DIRECTION('center_axis',(1.,0.,0.)); #404791=DIRECTION('ref_axis',(0.,1.,0.)); #404792=DIRECTION('',(0.,1.,0.)); #404793=DIRECTION('',(0.,1.,0.)); #404794=DIRECTION('',(0.,0.,1.)); #404795=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #404796=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #404797=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #404798=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #404799=DIRECTION('',(0.,0.,1.)); #404800=DIRECTION('center_axis',(0.842362410729287,-0.538911466746018,0.)); #404801=DIRECTION('ref_axis',(0.538911466746018,0.842362410729287,0.)); #404802=DIRECTION('',(0.538911466746018,0.842362410729287,0.)); #404803=DIRECTION('',(0.538911466746018,0.842362410729287,0.)); #404804=DIRECTION('',(0.,0.,1.)); #404805=DIRECTION('center_axis',(0.565504004715062,-0.824745549033899,0.)); #404806=DIRECTION('ref_axis',(0.824745549033899,0.565504004715062,0.)); #404807=DIRECTION('',(0.824745549033899,0.565504004715062,0.)); #404808=DIRECTION('',(0.824745549033899,0.565504004715062,0.)); #404809=DIRECTION('',(0.,0.,1.)); #404810=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #404811=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #404812=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #404813=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #404814=DIRECTION('',(0.,0.,1.)); #404815=DIRECTION('center_axis',(0.,-1.,0.)); #404816=DIRECTION('ref_axis',(1.,0.,0.)); #404817=DIRECTION('',(1.,0.,0.)); #404818=DIRECTION('',(1.,0.,0.)); #404819=DIRECTION('',(0.,0.,1.)); #404820=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #404821=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #404822=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #404823=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #404824=DIRECTION('',(0.,0.,1.)); #404825=DIRECTION('center_axis',(1.,0.,0.)); #404826=DIRECTION('ref_axis',(0.,1.,0.)); #404827=DIRECTION('',(0.,1.,0.)); #404828=DIRECTION('',(0.,1.,0.)); #404829=DIRECTION('',(0.,0.,1.)); #404830=DIRECTION('center_axis',(0.982611922978298,-0.18567123853977,0.)); #404831=DIRECTION('ref_axis',(0.18567123853977,0.982611922978298,0.)); #404832=DIRECTION('',(0.18567123853977,0.982611922978298,0.)); #404833=DIRECTION('',(0.18567123853977,0.982611922978298,0.)); #404834=DIRECTION('',(0.,0.,1.)); #404835=DIRECTION('center_axis',(0.839854000787644,-0.54281235925593,0.)); #404836=DIRECTION('ref_axis',(0.54281235925593,0.839854000787644,0.)); #404837=DIRECTION('',(0.54281235925593,0.839854000787644,0.)); #404838=DIRECTION('',(0.54281235925593,0.839854000787644,0.)); #404839=DIRECTION('',(0.,0.,1.)); #404840=DIRECTION('center_axis',(0.715039514836094,-0.699084038026161,0.)); #404841=DIRECTION('ref_axis',(0.699084038026161,0.715039514836094,0.)); #404842=DIRECTION('',(0.699084038026161,0.715039514836094,0.)); #404843=DIRECTION('',(0.699084038026161,0.715039514836094,0.)); #404844=DIRECTION('',(0.,0.,1.)); #404845=DIRECTION('center_axis',(0.563583164549436,-0.826059329973606,0.)); #404846=DIRECTION('ref_axis',(0.826059329973606,0.563583164549436,0.)); #404847=DIRECTION('',(0.826059329973606,0.563583164549436,0.)); #404848=DIRECTION('',(0.826059329973606,0.563583164549436,0.)); #404849=DIRECTION('',(0.,0.,1.)); #404850=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #404851=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #404852=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #404853=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #404854=DIRECTION('',(0.,0.,1.)); #404855=DIRECTION('center_axis',(0.,-1.,0.)); #404856=DIRECTION('ref_axis',(1.,0.,0.)); #404857=DIRECTION('',(1.,0.,0.)); #404858=DIRECTION('',(1.,0.,0.)); #404859=DIRECTION('',(0.,0.,1.)); #404860=DIRECTION('center_axis',(-0.196699828896315,-0.980463756246074, 0.)); #404861=DIRECTION('ref_axis',(0.980463756246074,-0.196699828896315,0.)); #404862=DIRECTION('',(0.980463756246074,-0.196699828896315,0.)); #404863=DIRECTION('',(0.980463756246074,-0.196699828896315,0.)); #404864=DIRECTION('',(0.,0.,1.)); #404865=DIRECTION('center_axis',(-0.56162882618623,-0.827389304739116,0.)); #404866=DIRECTION('ref_axis',(0.827389304739116,-0.56162882618623,0.)); #404867=DIRECTION('',(0.827389304739116,-0.56162882618623,0.)); #404868=DIRECTION('',(0.827389304739116,-0.56162882618623,0.)); #404869=DIRECTION('',(0.,0.,1.)); #404870=DIRECTION('center_axis',(-0.715039514836111,-0.699084038026144, 0.)); #404871=DIRECTION('ref_axis',(0.699084038026144,-0.715039514836111,0.)); #404872=DIRECTION('',(0.699084038026144,-0.715039514836111,0.)); #404873=DIRECTION('',(0.699084038026144,-0.715039514836111,0.)); #404874=DIRECTION('',(0.,0.,1.)); #404875=DIRECTION('center_axis',(-0.832343802234883,-0.554259681810952, 0.)); #404876=DIRECTION('ref_axis',(0.554259681810952,-0.832343802234883,0.)); #404877=DIRECTION('',(0.554259681810952,-0.832343802234883,0.)); #404878=DIRECTION('',(0.554259681810952,-0.832343802234883,0.)); #404879=DIRECTION('',(0.,0.,1.)); #404880=DIRECTION('center_axis',(-0.980008419561333,-0.198956019232641, 0.)); #404881=DIRECTION('ref_axis',(0.198956019232641,-0.980008419561333,0.)); #404882=DIRECTION('',(0.198956019232641,-0.980008419561333,0.)); #404883=DIRECTION('',(0.198956019232641,-0.980008419561333,0.)); #404884=DIRECTION('',(0.,0.,1.)); #404885=DIRECTION('center_axis',(-1.,0.,0.)); #404886=DIRECTION('ref_axis',(0.,-1.,0.)); #404887=DIRECTION('',(0.,-1.,0.)); #404888=DIRECTION('',(0.,-1.,0.)); #404889=DIRECTION('',(0.,0.,1.)); #404890=DIRECTION('center_axis',(-0.707106781186539,-0.707106781186556, 0.)); #404891=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #404892=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #404893=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #404894=DIRECTION('',(0.,0.,1.)); #404895=DIRECTION('center_axis',(0.,-1.,0.)); #404896=DIRECTION('ref_axis',(1.,0.,0.)); #404897=DIRECTION('',(1.,0.,0.)); #404898=DIRECTION('',(1.,0.,0.)); #404899=DIRECTION('',(0.,0.,1.)); #404900=DIRECTION('center_axis',(0.707106781186556,-0.707106781186539,0.)); #404901=DIRECTION('ref_axis',(0.707106781186539,0.707106781186556,0.)); #404902=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #404903=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #404904=DIRECTION('',(0.,0.,1.)); #404905=DIRECTION('center_axis',(1.,0.,0.)); #404906=DIRECTION('ref_axis',(0.,1.,0.)); #404907=DIRECTION('',(0.,1.,0.)); #404908=DIRECTION('',(0.,1.,0.)); #404909=DIRECTION('',(0.,0.,1.)); #404910=DIRECTION('center_axis',(0.707106781186556,0.707106781186539,0.)); #404911=DIRECTION('ref_axis',(-0.707106781186539,0.707106781186556,0.)); #404912=DIRECTION('',(-0.707106781186539,0.707106781186556,0.)); #404913=DIRECTION('',(-0.707106781186539,0.707106781186556,0.)); #404914=DIRECTION('',(0.,0.,1.)); #404915=DIRECTION('center_axis',(0.,1.,0.)); #404916=DIRECTION('ref_axis',(-1.,0.,0.)); #404917=DIRECTION('',(-1.,0.,0.)); #404918=DIRECTION('',(-1.,0.,0.)); #404919=DIRECTION('',(0.,0.,1.)); #404920=DIRECTION('center_axis',(0.196699828896585,0.98046375624602,0.)); #404921=DIRECTION('ref_axis',(-0.98046375624602,0.196699828896585,0.)); #404922=DIRECTION('',(-0.98046375624602,0.196699828896585,0.)); #404923=DIRECTION('',(-0.98046375624602,0.196699828896585,0.)); #404924=DIRECTION('',(0.,0.,1.)); #404925=DIRECTION('center_axis',(0.561635254820536,0.82738494096924,0.)); #404926=DIRECTION('ref_axis',(-0.827384940969239,0.561635254820536,0.)); #404927=DIRECTION('',(-0.827384940969239,0.561635254820536,0.)); #404928=DIRECTION('',(-0.827384940969239,0.561635254820536,0.)); #404929=DIRECTION('',(0.,0.,1.)); #404930=DIRECTION('center_axis',(0.715042436930051,0.69908104922758,0.)); #404931=DIRECTION('ref_axis',(-0.69908104922758,0.715042436930051,0.)); #404932=DIRECTION('',(-0.69908104922758,0.715042436930051,0.)); #404933=DIRECTION('',(-0.69908104922758,0.715042436930051,0.)); #404934=DIRECTION('',(0.,0.,1.)); #404935=DIRECTION('center_axis',(0.832434679563789,0.554123185094734,0.)); #404936=DIRECTION('ref_axis',(-0.554123185094734,0.832434679563789,0.)); #404937=DIRECTION('',(-0.554123185094734,0.832434679563789,0.)); #404938=DIRECTION('',(-0.554123185094734,0.832434679563789,0.)); #404939=DIRECTION('',(0.,0.,1.)); #404940=DIRECTION('center_axis',(0.707102543829842,0.707111018517861,0.)); #404941=DIRECTION('ref_axis',(-0.707111018517861,0.707102543829842,0.)); #404942=DIRECTION('',(-0.707111018517861,0.707102543829842,0.)); #404943=DIRECTION('',(-0.707111018517861,0.707102543829842,0.)); #404944=DIRECTION('',(0.,0.,1.)); #404945=DIRECTION('center_axis',(0.71113578107923,0.703054692658283,0.)); #404946=DIRECTION('ref_axis',(-0.703054692658283,0.71113578107923,0.)); #404947=DIRECTION('',(-0.703054692658283,0.71113578107923,0.)); #404948=DIRECTION('',(-0.703054692658283,0.71113578107923,0.)); #404949=DIRECTION('',(0.,0.,1.)); #404950=DIRECTION('center_axis',(0.715080716772187,0.699041893237147,0.)); #404951=DIRECTION('ref_axis',(-0.699041893237147,0.715080716772187,0.)); #404952=DIRECTION('',(-0.699041893237147,0.715080716772187,0.)); #404953=DIRECTION('',(-0.699041893237147,0.715080716772187,0.)); #404954=DIRECTION('',(0.,0.,1.)); #404955=DIRECTION('center_axis',(0.828019304303592,0.56069959131481,0.)); #404956=DIRECTION('ref_axis',(-0.56069959131481,0.828019304303592,0.)); #404957=DIRECTION('',(-0.56069959131481,0.828019304303592,0.)); #404958=DIRECTION('',(-0.56069959131481,0.828019304303592,0.)); #404959=DIRECTION('',(0.,0.,1.)); #404960=DIRECTION('center_axis',(0.976685690837549,0.214674314516619,0.)); #404961=DIRECTION('ref_axis',(-0.214674314516619,0.976685690837549,0.)); #404962=DIRECTION('',(-0.214674314516619,0.976685690837549,0.)); #404963=DIRECTION('',(-0.214674314516619,0.976685690837549,0.)); #404964=DIRECTION('',(0.,0.,1.)); #404965=DIRECTION('center_axis',(0.983050529880602,-0.183334818573744,0.)); #404966=DIRECTION('ref_axis',(0.183334818573744,0.983050529880602,0.)); #404967=DIRECTION('',(0.183334818573744,0.983050529880602,0.)); #404968=DIRECTION('',(0.183334818573744,0.983050529880602,0.)); #404969=DIRECTION('',(0.,0.,1.)); #404970=DIRECTION('center_axis',(0.833659859749789,-0.552278225391843,0.)); #404971=DIRECTION('ref_axis',(0.552278225391843,0.833659859749789,0.)); #404972=DIRECTION('',(0.552278225391843,0.833659859749789,0.)); #404973=DIRECTION('',(0.552278225391843,0.833659859749789,0.)); #404974=DIRECTION('',(0.,0.,1.)); #404975=DIRECTION('center_axis',(0.707183795484354,-0.707029758499841,0.)); #404976=DIRECTION('ref_axis',(0.707029758499841,0.707183795484354,0.)); #404977=DIRECTION('',(0.707029758499841,0.707183795484354,0.)); #404978=DIRECTION('',(0.707029758499841,0.707183795484354,0.)); #404979=DIRECTION('',(0.,0.,1.)); #404980=DIRECTION('center_axis',(0.691067810975528,-0.722789928425605,0.)); #404981=DIRECTION('ref_axis',(0.722789928425605,0.691067810975528,0.)); #404982=DIRECTION('',(0.722789928425605,0.691067810975528,0.)); #404983=DIRECTION('',(0.722789928425605,0.691067810975528,0.)); #404984=DIRECTION('',(0.,0.,1.)); #404985=DIRECTION('center_axis',(0.675121408869211,-0.737706637686317,0.)); #404986=DIRECTION('ref_axis',(0.737706637686317,0.675121408869211,0.)); #404987=DIRECTION('',(0.737706637686317,0.675121408869211,0.)); #404988=DIRECTION('',(0.737706637686317,0.675121408869211,0.)); #404989=DIRECTION('',(0.,0.,1.)); #404990=DIRECTION('center_axis',(0.540479579461297,-0.841357132367308,0.)); #404991=DIRECTION('ref_axis',(0.841357132367308,0.540479579461297,0.)); #404992=DIRECTION('',(0.841357132367308,0.540479579461297,0.)); #404993=DIRECTION('',(0.841357132367308,0.540479579461297,0.)); #404994=DIRECTION('',(0.,0.,1.)); #404995=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #404996=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #404997=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #404998=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #404999=DIRECTION('',(0.,0.,1.)); #405000=DIRECTION('center_axis',(0.,-1.,0.)); #405001=DIRECTION('ref_axis',(1.,0.,0.)); #405002=DIRECTION('',(1.,0.,0.)); #405003=DIRECTION('',(1.,0.,0.)); #405004=DIRECTION('',(0.,0.,1.)); #405005=DIRECTION('center_axis',(0.707106781186556,-0.707106781186539,0.)); #405006=DIRECTION('ref_axis',(0.707106781186539,0.707106781186556,0.)); #405007=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #405008=DIRECTION('',(0.707106781186539,0.707106781186556,0.)); #405009=DIRECTION('',(0.,0.,1.)); #405010=DIRECTION('center_axis',(1.,0.,0.)); #405011=DIRECTION('ref_axis',(0.,1.,0.)); #405012=DIRECTION('',(0.,1.,0.)); #405013=DIRECTION('',(0.,1.,0.)); #405014=DIRECTION('',(0.,0.,1.)); #405015=DIRECTION('center_axis',(0.707106781186556,0.707106781186539,0.)); #405016=DIRECTION('ref_axis',(-0.707106781186539,0.707106781186556,0.)); #405017=DIRECTION('',(-0.707106781186539,0.707106781186556,0.)); #405018=DIRECTION('',(-0.707106781186539,0.707106781186556,0.)); #405019=DIRECTION('',(0.,0.,1.)); #405020=DIRECTION('center_axis',(0.,1.,0.)); #405021=DIRECTION('ref_axis',(-1.,0.,0.)); #405022=DIRECTION('',(-1.,0.,0.)); #405023=DIRECTION('',(-1.,0.,0.)); #405024=DIRECTION('',(0.,0.,1.)); #405025=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #405026=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #405027=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #405028=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #405029=DIRECTION('',(0.,0.,1.)); #405030=DIRECTION('center_axis',(0.538911466745984,0.842362410729308,0.)); #405031=DIRECTION('ref_axis',(-0.842362410729308,0.538911466745984,0.)); #405032=DIRECTION('',(-0.842362410729308,0.538911466745984,0.)); #405033=DIRECTION('',(-0.842362410729308,0.538911466745984,0.)); #405034=DIRECTION('',(0.,0.,1.)); #405035=DIRECTION('center_axis',(0.824745549033933,0.565504004715012,0.)); #405036=DIRECTION('ref_axis',(-0.565504004715012,0.824745549033933,0.)); #405037=DIRECTION('',(-0.565504004715012,0.824745549033933,0.)); #405038=DIRECTION('',(-0.565504004715012,0.824745549033933,0.)); #405039=DIRECTION('',(0.,0.,1.)); #405040=DIRECTION('center_axis',(0.980008419561333,0.198956019232641,0.)); #405041=DIRECTION('ref_axis',(-0.198956019232641,0.980008419561333,0.)); #405042=DIRECTION('',(-0.198956019232641,0.980008419561333,0.)); #405043=DIRECTION('',(-0.198956019232641,0.980008419561333,0.)); #405044=DIRECTION('',(0.,0.,1.)); #405045=DIRECTION('center_axis',(1.,0.,0.)); #405046=DIRECTION('ref_axis',(0.,1.,0.)); #405047=DIRECTION('',(0.,1.,0.)); #405048=DIRECTION('',(0.,1.,0.)); #405049=DIRECTION('',(0.,0.,1.)); #405050=DIRECTION('center_axis',(0.707106781186554,0.707106781186541,0.)); #405051=DIRECTION('ref_axis',(-0.707106781186541,0.707106781186554,0.)); #405052=DIRECTION('',(-0.707106781186541,0.707106781186554,0.)); #405053=DIRECTION('',(-0.707106781186541,0.707106781186554,0.)); #405054=DIRECTION('',(0.,0.,1.)); #405055=DIRECTION('center_axis',(0.820212632122557,0.572058771549381,0.)); #405056=DIRECTION('ref_axis',(-0.572058771549381,0.820212632122557,0.)); #405057=DIRECTION('',(-0.572058771549381,0.820212632122557,0.)); #405058=DIRECTION('',(-0.572058771549381,0.820212632122557,0.)); #405059=DIRECTION('',(0.,0.,1.)); #405060=DIRECTION('center_axis',(0.973151456886414,0.230165683714686,0.)); #405061=DIRECTION('ref_axis',(-0.230165683714686,0.973151456886414,0.)); #405062=DIRECTION('',(-0.230165683714686,0.973151456886414,0.)); #405063=DIRECTION('',(-0.230165683714686,0.973151456886414,0.)); #405064=DIRECTION('',(0.,0.,1.)); #405065=DIRECTION('center_axis',(0.985847618607377,-0.167643887112424,0.)); #405066=DIRECTION('ref_axis',(0.167643887112424,0.985847618607377,0.)); #405067=DIRECTION('',(0.167643887112424,0.985847618607377,0.)); #405068=DIRECTION('',(0.167643887112424,0.985847618607377,0.)); #405069=DIRECTION('',(0.,0.,1.)); #405070=DIRECTION('center_axis',(0.842362410729372,-0.538911466745885,0.)); #405071=DIRECTION('ref_axis',(0.538911466745885,0.842362410729372,0.)); #405072=DIRECTION('',(0.538911466745885,0.842362410729372,0.)); #405073=DIRECTION('',(0.538911466745885,0.842362410729372,0.)); #405074=DIRECTION('',(0.,0.,1.)); #405075=DIRECTION('center_axis',(0.565504004714897,-0.824745549034012,0.)); #405076=DIRECTION('ref_axis',(0.824745549034012,0.565504004714897,0.)); #405077=DIRECTION('',(0.824745549034012,0.565504004714897,0.)); #405078=DIRECTION('',(0.824745549034012,0.565504004714897,0.)); #405079=DIRECTION('',(0.,0.,1.)); #405080=DIRECTION('center_axis',(0.198956019232697,-0.980008419561321,0.)); #405081=DIRECTION('ref_axis',(0.980008419561321,0.198956019232697,0.)); #405082=DIRECTION('',(0.980008419561321,0.198956019232697,0.)); #405083=DIRECTION('',(0.980008419561321,0.198956019232697,0.)); #405084=DIRECTION('',(0.,0.,1.)); #405085=DIRECTION('center_axis',(0.,-1.,0.)); #405086=DIRECTION('ref_axis',(1.,0.,0.)); #405087=DIRECTION('',(1.,0.,0.)); #405088=DIRECTION('',(1.,0.,0.)); #405089=DIRECTION('',(0.,0.,1.)); #405090=DIRECTION('center_axis',(0.707106781186539,-0.707106781186556,0.)); #405091=DIRECTION('ref_axis',(0.707106781186556,0.707106781186539,0.)); #405092=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #405093=DIRECTION('',(0.707106781186556,0.707106781186539,0.)); #405094=DIRECTION('',(0.,0.,1.)); #405095=DIRECTION('center_axis',(1.,0.,0.)); #405096=DIRECTION('ref_axis',(0.,1.,0.)); #405097=DIRECTION('',(0.,1.,0.)); #405098=DIRECTION('',(0.,1.,0.)); #405099=DIRECTION('',(0.,0.,1.)); #405100=DIRECTION('center_axis',(0.707106781186539,0.707106781186556,0.)); #405101=DIRECTION('ref_axis',(-0.707106781186556,0.707106781186539,0.)); #405102=DIRECTION('',(-0.707106781186556,0.707106781186539,0.)); #405103=DIRECTION('',(-0.707106781186556,0.707106781186539,0.)); #405104=DIRECTION('',(0.,0.,1.)); #405105=DIRECTION('center_axis',(0.,1.,0.)); #405106=DIRECTION('ref_axis',(-1.,0.,0.)); #405107=DIRECTION('',(-1.,0.,0.)); #405108=DIRECTION('',(-1.,0.,0.)); #405109=DIRECTION('',(0.,0.,1.)); #405110=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #405111=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #405112=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #405113=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #405114=DIRECTION('',(0.,0.,1.)); #405115=DIRECTION('center_axis',(-1.,0.,0.)); #405116=DIRECTION('ref_axis',(0.,-1.,0.)); #405117=DIRECTION('',(0.,-1.,0.)); #405118=DIRECTION('',(0.,-1.,0.)); #405119=DIRECTION('',(0.,0.,1.)); #405120=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #405121=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #405122=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #405123=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #405124=DIRECTION('',(0.,0.,1.)); #405125=DIRECTION('center_axis',(0.,-1.,0.)); #405126=DIRECTION('ref_axis',(1.,0.,0.)); #405127=DIRECTION('',(1.,0.,0.)); #405128=DIRECTION('',(1.,0.,0.)); #405129=DIRECTION('',(0.,0.,1.)); #405130=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #405131=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #405132=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #405133=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #405134=DIRECTION('',(0.,0.,1.)); #405135=DIRECTION('center_axis',(-0.538911466745984,-0.842362410729308, 0.)); #405136=DIRECTION('ref_axis',(0.842362410729308,-0.538911466745984,0.)); #405137=DIRECTION('',(0.842362410729308,-0.538911466745984,0.)); #405138=DIRECTION('',(0.842362410729308,-0.538911466745984,0.)); #405139=DIRECTION('',(0.,0.,1.)); #405140=DIRECTION('center_axis',(-0.824745549033886,-0.565504004715081, 0.)); #405141=DIRECTION('ref_axis',(0.565504004715081,-0.824745549033886,0.)); #405142=DIRECTION('',(0.565504004715081,-0.824745549033886,0.)); #405143=DIRECTION('',(0.565504004715081,-0.824745549033886,0.)); #405144=DIRECTION('',(0.,0.,1.)); #405145=DIRECTION('center_axis',(-0.980008419561338,-0.198956019232613, 0.)); #405146=DIRECTION('ref_axis',(0.198956019232613,-0.980008419561339,0.)); #405147=DIRECTION('',(0.198956019232613,-0.980008419561338,0.)); #405148=DIRECTION('',(0.198956019232613,-0.980008419561338,0.)); #405149=DIRECTION('',(0.,0.,1.)); #405150=DIRECTION('center_axis',(-1.,0.,0.)); #405151=DIRECTION('ref_axis',(0.,-1.,0.)); #405152=DIRECTION('',(0.,-1.,0.)); #405153=DIRECTION('',(0.,-1.,0.)); #405154=DIRECTION('',(0.,0.,1.)); #405155=DIRECTION('center_axis',(-0.70710678118653,-0.707106781186565,0.)); #405156=DIRECTION('ref_axis',(0.707106781186565,-0.70710678118653,0.)); #405157=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #405158=DIRECTION('',(0.707106781186565,-0.70710678118653,0.)); #405159=DIRECTION('',(0.,0.,1.)); #405160=DIRECTION('center_axis',(-0.820212632122666,-0.572058771549225, 0.)); #405161=DIRECTION('ref_axis',(0.572058771549225,-0.820212632122666,0.)); #405162=DIRECTION('',(0.572058771549225,-0.820212632122666,0.)); #405163=DIRECTION('',(0.572058771549225,-0.820212632122666,0.)); #405164=DIRECTION('',(0.,0.,1.)); #405165=DIRECTION('center_axis',(-0.973151456886414,-0.230165683714686, 0.)); #405166=DIRECTION('ref_axis',(0.230165683714686,-0.973151456886414,0.)); #405167=DIRECTION('',(0.230165683714686,-0.973151456886414,0.)); #405168=DIRECTION('',(0.230165683714686,-0.973151456886414,0.)); #405169=DIRECTION('',(0.,0.,1.)); #405170=DIRECTION('center_axis',(-0.985847618607377,0.167643887112424,0.)); #405171=DIRECTION('ref_axis',(-0.167643887112424,-0.985847618607377,0.)); #405172=DIRECTION('',(-0.167643887112424,-0.985847618607377,0.)); #405173=DIRECTION('',(-0.167643887112424,-0.985847618607377,0.)); #405174=DIRECTION('',(0.,0.,1.)); #405175=DIRECTION('center_axis',(-0.842362410729329,0.538911466745951,0.)); #405176=DIRECTION('ref_axis',(-0.538911466745951,-0.842362410729329,0.)); #405177=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #405178=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #405179=DIRECTION('',(0.,0.,1.)); #405180=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #405181=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #405182=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #405183=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #405184=DIRECTION('',(0.,0.,1.)); #405185=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #405186=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #405187=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #405188=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #405189=DIRECTION('',(0.,0.,1.)); #405190=DIRECTION('center_axis',(0.,1.,0.)); #405191=DIRECTION('ref_axis',(-1.,0.,0.)); #405192=DIRECTION('',(-1.,0.,0.)); #405193=DIRECTION('',(-1.,0.,0.)); #405194=DIRECTION('',(0.,0.,1.)); #405195=DIRECTION('center_axis',(-0.707106781186539,0.707106781186556,0.)); #405196=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #405197=DIRECTION('',(-0.707106781186556,-0.707106781186539,0.)); #405198=DIRECTION('',(-0.707106781186556,-0.707106781186539,0.)); #405199=DIRECTION('',(0.,0.,1.)); #405200=DIRECTION('center_axis',(-1.,0.,0.)); #405201=DIRECTION('ref_axis',(0.,-1.,0.)); #405202=DIRECTION('',(0.,-1.,0.)); #405203=DIRECTION('',(0.,-1.,0.)); #405204=DIRECTION('',(0.,0.,1.)); #405205=DIRECTION('center_axis',(-0.977078051684027,0.212881377572923,0.)); #405206=DIRECTION('ref_axis',(-0.212881377572923,-0.977078051684027,0.)); #405207=DIRECTION('',(-0.212881377572923,-0.977078051684027,0.)); #405208=DIRECTION('',(-0.212881377572923,-0.977078051684027,0.)); #405209=DIRECTION('',(0.,0.,1.)); #405210=DIRECTION('center_axis',(-0.80840798051023,0.588622576059882,0.)); #405211=DIRECTION('ref_axis',(-0.588622576059882,-0.80840798051023,0.)); #405212=DIRECTION('',(-0.588622576059882,-0.80840798051023,0.)); #405213=DIRECTION('',(-0.588622576059882,-0.80840798051023,0.)); #405214=DIRECTION('',(0.,0.,1.)); #405215=DIRECTION('center_axis',(-0.675123051628175,0.737705134291649,0.)); #405216=DIRECTION('ref_axis',(-0.737705134291649,-0.675123051628175,0.)); #405217=DIRECTION('',(-0.737705134291649,-0.675123051628175,0.)); #405218=DIRECTION('',(-0.737705134291649,-0.675123051628175,0.)); #405219=DIRECTION('',(0.,0.,1.)); #405220=DIRECTION('center_axis',(-0.540452160249097,0.841374745569466,0.)); #405221=DIRECTION('ref_axis',(-0.841374745569466,-0.540452160249097,0.)); #405222=DIRECTION('',(-0.841374745569466,-0.540452160249097,0.)); #405223=DIRECTION('',(-0.841374745569466,-0.540452160249097,0.)); #405224=DIRECTION('',(0.,0.,1.)); #405225=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #405226=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #405227=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #405228=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #405229=DIRECTION('',(0.,0.,1.)); #405230=DIRECTION('center_axis',(0.,1.,0.)); #405231=DIRECTION('ref_axis',(-1.,0.,0.)); #405232=DIRECTION('',(-1.,0.,0.)); #405233=DIRECTION('',(-1.,0.,0.)); #405234=DIRECTION('',(0.,0.,1.)); #405235=DIRECTION('center_axis',(0.183305290257262,0.983056036329416,0.)); #405236=DIRECTION('ref_axis',(-0.983056036329416,0.183305290257262,0.)); #405237=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #405238=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #405239=DIRECTION('',(0.,0.,1.)); #405240=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #405241=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #405242=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #405243=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #405244=DIRECTION('',(0.,0.,1.)); #405245=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #405246=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #405247=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #405248=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #405249=DIRECTION('',(0.,0.,1.)); #405250=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #405251=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #405252=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #405253=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #405254=DIRECTION('',(0.,0.,1.)); #405255=DIRECTION('center_axis',(1.,0.,0.)); #405256=DIRECTION('ref_axis',(0.,1.,0.)); #405257=DIRECTION('',(0.,1.,0.)); #405258=DIRECTION('',(0.,1.,0.)); #405259=DIRECTION('',(0.,0.,1.)); #405260=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #405261=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #405262=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #405263=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #405264=DIRECTION('',(0.,0.,1.)); #405265=DIRECTION('center_axis',(0.,1.,0.)); #405266=DIRECTION('ref_axis',(-1.,0.,0.)); #405267=DIRECTION('',(-1.,0.,0.)); #405268=DIRECTION('',(-1.,0.,0.)); #405269=DIRECTION('',(0.,0.,1.)); #405270=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #405271=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #405272=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #405273=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #405274=DIRECTION('',(0.,0.,1.)); #405275=DIRECTION('center_axis',(-1.,0.,0.)); #405276=DIRECTION('ref_axis',(0.,-1.,0.)); #405277=DIRECTION('',(0.,-1.,0.)); #405278=DIRECTION('',(0.,-1.,0.)); #405279=DIRECTION('',(0.,0.,1.)); #405280=DIRECTION('center_axis',(-0.707106781186581,-0.707106781186514, 0.)); #405281=DIRECTION('ref_axis',(0.707106781186514,-0.707106781186581,0.)); #405282=DIRECTION('',(0.707106781186514,-0.707106781186581,0.)); #405283=DIRECTION('',(0.707106781186514,-0.707106781186581,0.)); #405284=DIRECTION('',(0.,0.,1.)); #405285=DIRECTION('center_axis',(-0.820212632122573,-0.572058771549358, 0.)); #405286=DIRECTION('ref_axis',(0.572058771549358,-0.820212632122573,0.)); #405287=DIRECTION('',(0.572058771549358,-0.820212632122573,0.)); #405288=DIRECTION('',(0.572058771549358,-0.820212632122573,0.)); #405289=DIRECTION('',(0.,0.,1.)); #405290=DIRECTION('center_axis',(-0.973151456886431,-0.230165683714617, 0.)); #405291=DIRECTION('ref_axis',(0.230165683714617,-0.973151456886431,0.)); #405292=DIRECTION('',(0.230165683714617,-0.973151456886431,0.)); #405293=DIRECTION('',(0.230165683714617,-0.973151456886431,0.)); #405294=DIRECTION('',(0.,0.,1.)); #405295=DIRECTION('center_axis',(-0.985842168327545,0.167675934907917,0.)); #405296=DIRECTION('ref_axis',(-0.167675934907917,-0.985842168327545,0.)); #405297=DIRECTION('',(-0.167675934907917,-0.985842168327545,0.)); #405298=DIRECTION('',(-0.167675934907917,-0.985842168327545,0.)); #405299=DIRECTION('',(0.,0.,1.)); #405300=DIRECTION('center_axis',(-0.84237737907358,0.538888069291876,0.)); #405301=DIRECTION('ref_axis',(-0.538888069291876,-0.84237737907358,0.)); #405302=DIRECTION('',(-0.538888069291876,-0.84237737907358,0.)); #405303=DIRECTION('',(-0.538888069291876,-0.84237737907358,0.)); #405304=DIRECTION('',(0.,0.,1.)); #405305=DIRECTION('center_axis',(-0.565488626065756,0.824756093515085,0.)); #405306=DIRECTION('ref_axis',(-0.824756093515085,-0.565488626065756,0.)); #405307=DIRECTION('',(-0.824756093515085,-0.565488626065756,0.)); #405308=DIRECTION('',(-0.824756093515085,-0.565488626065756,0.)); #405309=DIRECTION('',(0.,0.,1.)); #405310=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #405311=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #405312=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #405313=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #405314=DIRECTION('',(0.,0.,1.)); #405315=DIRECTION('center_axis',(0.,1.,0.)); #405316=DIRECTION('ref_axis',(-1.,0.,0.)); #405317=DIRECTION('',(-1.,0.,0.)); #405318=DIRECTION('',(-1.,0.,0.)); #405319=DIRECTION('',(0.,0.,1.)); #405320=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #405321=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #405322=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #405323=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #405324=DIRECTION('',(0.,0.,1.)); #405325=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #405326=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #405327=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #405328=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #405329=DIRECTION('',(0.,0.,1.)); #405330=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #405331=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #405332=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #405333=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #405334=DIRECTION('',(0.,0.,1.)); #405335=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #405336=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #405337=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #405338=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #405339=DIRECTION('',(0.,0.,1.)); #405340=DIRECTION('center_axis',(1.,0.,0.)); #405341=DIRECTION('ref_axis',(0.,1.,0.)); #405342=DIRECTION('',(0.,1.,0.)); #405343=DIRECTION('',(0.,1.,0.)); #405344=DIRECTION('',(0.,0.,1.)); #405345=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #405346=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #405347=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #405348=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #405349=DIRECTION('',(0.,0.,1.)); #405350=DIRECTION('center_axis',(0.,1.,0.)); #405351=DIRECTION('ref_axis',(-1.,0.,0.)); #405352=DIRECTION('',(-1.,0.,0.)); #405353=DIRECTION('',(-1.,0.,0.)); #405354=DIRECTION('',(0.,0.,1.)); #405355=DIRECTION('center_axis',(0.199846156682573,0.979827287668191,0.)); #405356=DIRECTION('ref_axis',(-0.979827287668191,0.199846156682573,0.)); #405357=DIRECTION('',(-0.979827287668191,0.199846156682573,0.)); #405358=DIRECTION('',(-0.979827287668191,0.199846156682573,0.)); #405359=DIRECTION('',(0.,0.,1.)); #405360=DIRECTION('center_axis',(0.566905176607873,0.823783054411291,0.)); #405361=DIRECTION('ref_axis',(-0.823783054411291,0.566905176607873,0.)); #405362=DIRECTION('',(-0.823783054411291,0.566905176607873,0.)); #405363=DIRECTION('',(-0.823783054411291,0.566905176607873,0.)); #405364=DIRECTION('',(0.,0.,1.)); #405365=DIRECTION('center_axis',(0.719515510730693,0.694476370957249,0.)); #405366=DIRECTION('ref_axis',(-0.694476370957248,0.719515510730693,0.)); #405367=DIRECTION('',(-0.694476370957248,0.719515510730693,0.)); #405368=DIRECTION('',(-0.694476370957248,0.719515510730693,0.)); #405369=DIRECTION('',(0.,0.,1.)); #405370=DIRECTION('center_axis',(0.834178434634006,0.551494641126783,0.)); #405371=DIRECTION('ref_axis',(-0.551494641126783,0.834178434634006,0.)); #405372=DIRECTION('',(-0.551494641126783,0.834178434634006,0.)); #405373=DIRECTION('',(-0.551494641126783,0.834178434634006,0.)); #405374=DIRECTION('',(0.,0.,1.)); #405375=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #405376=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #405377=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #405378=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #405379=DIRECTION('',(0.,0.,1.)); #405380=DIRECTION('center_axis',(0.712640885669669,0.701529021546472,0.)); #405381=DIRECTION('ref_axis',(-0.701529021546472,0.712640885669669,0.)); #405382=DIRECTION('',(-0.701529021546472,0.712640885669669,0.)); #405383=DIRECTION('',(-0.701529021546472,0.712640885669669,0.)); #405384=DIRECTION('',(0.,0.,1.)); #405385=DIRECTION('center_axis',(0.71951552769576,0.694476353380511,0.)); #405386=DIRECTION('ref_axis',(-0.694476353380511,0.71951552769576,0.)); #405387=DIRECTION('',(-0.694476353380511,0.71951552769576,0.)); #405388=DIRECTION('',(-0.694476353380511,0.71951552769576,0.)); #405389=DIRECTION('',(0.,0.,1.)); #405390=DIRECTION('center_axis',(0.,1.,0.)); #405391=DIRECTION('ref_axis',(-1.,0.,0.)); #405392=DIRECTION('',(-1.,0.,0.)); #405393=DIRECTION('',(-1.,0.,0.)); #405394=DIRECTION('',(0.,0.,1.)); #405395=DIRECTION('center_axis',(0.00962918318140685,0.999953638340928, 0.)); #405396=DIRECTION('ref_axis',(-0.999953638340928,0.00962918318140685,0.)); #405397=DIRECTION('',(-0.999953638340928,0.00962918318140685,0.)); #405398=DIRECTION('',(-0.999953638340928,0.00962918318140685,0.)); #405399=DIRECTION('',(0.,0.,1.)); #405400=DIRECTION('center_axis',(0.0177027626757272,0.99984329381841,0.)); #405401=DIRECTION('ref_axis',(-0.99984329381841,0.0177027626757272,0.)); #405402=DIRECTION('',(-0.99984329381841,0.0177027626757272,0.)); #405403=DIRECTION('',(-0.99984329381841,0.0177027626757272,0.)); #405404=DIRECTION('',(0.,0.,1.)); #405405=DIRECTION('center_axis',(-0.19891199786221,0.980017355512883,0.)); #405406=DIRECTION('ref_axis',(-0.980017355512883,-0.19891199786221,0.)); #405407=DIRECTION('',(-0.980017355512883,-0.19891199786221,0.)); #405408=DIRECTION('',(-0.980017355512883,-0.19891199786221,0.)); #405409=DIRECTION('',(0.,0.,1.)); #405410=DIRECTION('center_axis',(0.,1.,0.)); #405411=DIRECTION('ref_axis',(-1.,0.,0.)); #405412=DIRECTION('',(-1.,0.,0.)); #405413=DIRECTION('',(-1.,0.,0.)); #405414=DIRECTION('',(0.,0.,1.)); #405415=DIRECTION('center_axis',(0.17550205938607,0.984479063846077,0.)); #405416=DIRECTION('ref_axis',(-0.984479063846077,0.17550205938607,0.)); #405417=DIRECTION('',(-0.984479063846077,0.17550205938607,0.)); #405418=DIRECTION('',(-0.984479063846077,0.17550205938607,0.)); #405419=DIRECTION('',(0.,0.,1.)); #405420=DIRECTION('center_axis',(0.525373540973398,0.850871695642224,0.)); #405421=DIRECTION('ref_axis',(-0.850871695642224,0.525373540973398,0.)); #405422=DIRECTION('',(-0.850871695642224,0.525373540973397,0.)); #405423=DIRECTION('',(-0.850871695642224,0.525373540973397,0.)); #405424=DIRECTION('',(0.,0.,1.)); #405425=DIRECTION('center_axis',(0.81569385217887,0.578483828224779,0.)); #405426=DIRECTION('ref_axis',(-0.578483828224779,0.81569385217887,0.)); #405427=DIRECTION('',(-0.578483828224779,0.81569385217887,0.)); #405428=DIRECTION('',(-0.578483828224779,0.81569385217887,0.)); #405429=DIRECTION('',(0.,0.,1.)); #405430=DIRECTION('center_axis',(0.976685690837551,0.21467431451661,0.)); #405431=DIRECTION('ref_axis',(-0.21467431451661,0.976685690837551,0.)); #405432=DIRECTION('',(-0.21467431451661,0.976685690837551,0.)); #405433=DIRECTION('',(-0.21467431451661,0.976685690837551,0.)); #405434=DIRECTION('',(0.,0.,1.)); #405435=DIRECTION('center_axis',(0.98305052988062,-0.183334818573646,0.)); #405436=DIRECTION('ref_axis',(0.183334818573646,0.98305052988062,0.)); #405437=DIRECTION('',(0.183334818573646,0.98305052988062,0.)); #405438=DIRECTION('',(0.183334818573646,0.98305052988062,0.)); #405439=DIRECTION('',(0.,0.,1.)); #405440=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #405441=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #405442=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #405443=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #405444=DIRECTION('',(0.,0.,1.)); #405445=DIRECTION('center_axis',(0.707106781186546,-0.707106781186549,0.)); #405446=DIRECTION('ref_axis',(0.707106781186549,0.707106781186546,0.)); #405447=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #405448=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #405449=DIRECTION('',(0.,0.,1.)); #405450=DIRECTION('center_axis',(1.,0.,0.)); #405451=DIRECTION('ref_axis',(0.,1.,0.)); #405452=DIRECTION('',(0.,1.,0.)); #405453=DIRECTION('',(0.,1.,0.)); #405454=DIRECTION('',(0.,0.,1.)); #405455=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #405456=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #405457=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #405458=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #405459=DIRECTION('',(0.,0.,1.)); #405460=DIRECTION('center_axis',(0.842362410729284,-0.538911466746022,0.)); #405461=DIRECTION('ref_axis',(0.538911466746022,0.842362410729284,0.)); #405462=DIRECTION('',(0.538911466746022,0.842362410729284,0.)); #405463=DIRECTION('',(0.538911466746022,0.842362410729284,0.)); #405464=DIRECTION('',(0.,0.,1.)); #405465=DIRECTION('center_axis',(0.565504004714981,-0.824745549033954,0.)); #405466=DIRECTION('ref_axis',(0.824745549033954,0.565504004714981,0.)); #405467=DIRECTION('',(0.824745549033954,0.565504004714981,0.)); #405468=DIRECTION('',(0.824745549033954,0.565504004714981,0.)); #405469=DIRECTION('',(0.,0.,1.)); #405470=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #405471=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #405472=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #405473=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #405474=DIRECTION('',(0.,0.,1.)); #405475=DIRECTION('center_axis',(0.,-1.,0.)); #405476=DIRECTION('ref_axis',(1.,0.,0.)); #405477=DIRECTION('',(1.,0.,0.)); #405478=DIRECTION('',(1.,0.,0.)); #405479=DIRECTION('',(0.,0.,1.)); #405480=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #405481=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #405482=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #405483=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #405484=DIRECTION('',(0.,0.,1.)); #405485=DIRECTION('center_axis',(1.,0.,0.)); #405486=DIRECTION('ref_axis',(0.,1.,0.)); #405487=DIRECTION('',(0.,1.,0.)); #405488=DIRECTION('',(0.,1.,0.)); #405489=DIRECTION('',(0.,0.,1.)); #405490=DIRECTION('center_axis',(0.707106781186556,0.707106781186539,0.)); #405491=DIRECTION('ref_axis',(-0.707106781186539,0.707106781186556,0.)); #405492=DIRECTION('',(-0.707106781186539,0.707106781186556,0.)); #405493=DIRECTION('',(-0.707106781186539,0.707106781186556,0.)); #405494=DIRECTION('',(0.,0.,1.)); #405495=DIRECTION('center_axis',(0.,1.,0.)); #405496=DIRECTION('ref_axis',(-1.,0.,0.)); #405497=DIRECTION('',(-1.,0.,0.)); #405498=DIRECTION('',(-1.,0.,0.)); #405499=DIRECTION('',(0.,0.,1.)); #405500=DIRECTION('center_axis',(0.183305290257339,0.983056036329401,0.)); #405501=DIRECTION('ref_axis',(-0.983056036329401,0.183305290257339,0.)); #405502=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #405503=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #405504=DIRECTION('',(0.,0.,1.)); #405505=DIRECTION('center_axis',(0.538911466746018,0.842362410729287,0.)); #405506=DIRECTION('ref_axis',(-0.842362410729287,0.538911466746018,0.)); #405507=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #405508=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #405509=DIRECTION('',(0.,0.,1.)); #405510=DIRECTION('center_axis',(0.824745549033852,0.565504004715131,0.)); #405511=DIRECTION('ref_axis',(-0.565504004715131,0.824745549033852,0.)); #405512=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #405513=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #405514=DIRECTION('',(0.,0.,1.)); #405515=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #405516=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #405517=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #405518=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #405519=DIRECTION('',(0.,0.,1.)); #405520=DIRECTION('center_axis',(1.,0.,0.)); #405521=DIRECTION('ref_axis',(0.,1.,0.)); #405522=DIRECTION('',(0.,1.,0.)); #405523=DIRECTION('',(0.,1.,0.)); #405524=DIRECTION('',(0.,0.,1.)); #405525=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #405526=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #405527=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #405528=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #405529=DIRECTION('',(0.,0.,1.)); #405530=DIRECTION('center_axis',(0.,1.,0.)); #405531=DIRECTION('ref_axis',(-1.,0.,0.)); #405532=DIRECTION('',(-1.,0.,0.)); #405533=DIRECTION('',(-1.,0.,0.)); #405534=DIRECTION('',(0.,0.,1.)); #405535=DIRECTION('center_axis',(0.191149856928741,0.981560865252951,0.)); #405536=DIRECTION('ref_axis',(-0.981560865252951,0.191149856928741,0.)); #405537=DIRECTION('',(-0.981560865252951,0.191149856928741,0.)); #405538=DIRECTION('',(-0.981560865252951,0.191149856928741,0.)); #405539=DIRECTION('',(0.,0.,1.)); #405540=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #405541=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #405542=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #405543=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #405544=DIRECTION('',(0.,0.,1.)); #405545=DIRECTION('center_axis',(0.707104777838369,0.707108784529051,0.)); #405546=DIRECTION('ref_axis',(-0.707108784529051,0.707104777838369,0.)); #405547=DIRECTION('',(-0.707108784529051,0.707104777838369,0.)); #405548=DIRECTION('',(-0.707108784529051,0.707104777838369,0.)); #405549=DIRECTION('center_axis',(0.,1.,0.)); #405550=DIRECTION('ref_axis',(-1.,0.,0.)); #405551=DIRECTION('',(-1.,0.,0.)); #405552=DIRECTION('',(0.,0.,1.)); #405553=DIRECTION('',(-1.,0.,0.)); #405554=DIRECTION('',(0.,0.,1.)); #405555=DIRECTION('center_axis',(-0.105885061288354,0.99437837556735,0.)); #405556=DIRECTION('ref_axis',(-0.99437837556735,-0.105885061288354,0.)); #405557=DIRECTION('',(-0.99437837556735,-0.105885061288354,0.)); #405558=DIRECTION('',(-0.99437837556735,-0.105885061288354,0.)); #405559=DIRECTION('',(0.,0.,1.)); #405560=DIRECTION('center_axis',(-0.312922107778943,0.949778792384408,0.)); #405561=DIRECTION('ref_axis',(-0.949778792384408,-0.312922107778943,0.)); #405562=DIRECTION('',(-0.949778792384408,-0.312922107778943,0.)); #405563=DIRECTION('',(-0.949778792384408,-0.312922107778943,0.)); #405564=DIRECTION('',(0.,0.,1.)); #405565=DIRECTION('center_axis',(-0.506114900434793,0.862466061684679,0.)); #405566=DIRECTION('ref_axis',(-0.862466061684679,-0.506114900434793,0.)); #405567=DIRECTION('',(-0.862466061684679,-0.506114900434793,0.)); #405568=DIRECTION('',(-0.862466061684679,-0.506114900434793,0.)); #405569=DIRECTION('',(0.,0.,1.)); #405570=DIRECTION('center_axis',(-0.652508824452065,0.757781125399798,0.)); #405571=DIRECTION('ref_axis',(-0.757781125399798,-0.652508824452065,0.)); #405572=DIRECTION('',(-0.757781125399798,-0.652508824452065,0.)); #405573=DIRECTION('',(-0.757781125399798,-0.652508824452065,0.)); #405574=DIRECTION('',(0.,0.,1.)); #405575=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #405576=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.)); #405577=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #405578=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #405579=DIRECTION('',(0.,0.,1.)); #405580=DIRECTION('center_axis',(-0.558946331949003,0.829203833807318,0.)); #405581=DIRECTION('ref_axis',(-0.829203833807318,-0.558946331949003,0.)); #405582=DIRECTION('',(-0.829203833807318,-0.558946331949003,0.)); #405583=DIRECTION('',(-0.829203833807318,-0.558946331949003,0.)); #405584=DIRECTION('',(0.,0.,1.)); #405585=DIRECTION('center_axis',(-0.19895601923243,0.980008419561376,0.)); #405586=DIRECTION('ref_axis',(-0.980008419561375,-0.19895601923243,0.)); #405587=DIRECTION('',(-0.980008419561375,-0.19895601923243,0.)); #405588=DIRECTION('',(-0.980008419561375,-0.19895601923243,0.)); #405589=DIRECTION('',(0.,0.,1.)); #405590=DIRECTION('center_axis',(0.,1.,0.)); #405591=DIRECTION('ref_axis',(-1.,0.,0.)); #405592=DIRECTION('',(-1.,0.,0.)); #405593=DIRECTION('',(-1.,0.,0.)); #405594=DIRECTION('',(0.,0.,1.)); #405595=DIRECTION('center_axis',(-0.105885061288698,0.994378375567313,0.)); #405596=DIRECTION('ref_axis',(-0.994378375567313,-0.105885061288698,0.)); #405597=DIRECTION('',(-0.994378375567313,-0.105885061288698,0.)); #405598=DIRECTION('',(-0.994378375567313,-0.105885061288698,0.)); #405599=DIRECTION('',(0.,0.,1.)); #405600=DIRECTION('center_axis',(-0.312922107778508,0.949778792384551,0.)); #405601=DIRECTION('ref_axis',(-0.949778792384551,-0.312922107778508,0.)); #405602=DIRECTION('',(-0.949778792384551,-0.312922107778508,0.)); #405603=DIRECTION('',(-0.949778792384551,-0.312922107778508,0.)); #405604=DIRECTION('',(0.,0.,1.)); #405605=DIRECTION('center_axis',(-0.506114900434878,0.862466061684628,0.)); #405606=DIRECTION('ref_axis',(-0.862466061684628,-0.506114900434878,0.)); #405607=DIRECTION('',(-0.862466061684628,-0.506114900434878,0.)); #405608=DIRECTION('',(-0.862466061684628,-0.506114900434878,0.)); #405609=DIRECTION('',(0.,0.,1.)); #405610=DIRECTION('center_axis',(-0.652508824452,0.757781125399854,0.)); #405611=DIRECTION('ref_axis',(-0.757781125399854,-0.652508824452,0.)); #405612=DIRECTION('',(-0.757781125399854,-0.652508824452,0.)); #405613=DIRECTION('',(-0.757781125399854,-0.652508824452,0.)); #405614=DIRECTION('',(0.,0.,1.)); #405615=DIRECTION('center_axis',(-0.707107255683049,0.707106306689728,0.)); #405616=DIRECTION('ref_axis',(-0.707106306689728,-0.707107255683049,0.)); #405617=DIRECTION('',(-0.707106306689728,-0.707107255683049,0.)); #405618=DIRECTION('',(-0.707106306689728,-0.707107255683049,0.)); #405619=DIRECTION('',(0.,0.,1.)); #405620=DIRECTION('center_axis',(-0.778048811381718,0.628203826084731,0.)); #405621=DIRECTION('ref_axis',(-0.628203826084731,-0.778048811381718,0.)); #405622=DIRECTION('',(-0.628203826084731,-0.778048811381718,0.)); #405623=DIRECTION('',(-0.628203826084731,-0.778048811381718,0.)); #405624=DIRECTION('',(0.,0.,1.)); #405625=DIRECTION('center_axis',(-0.892938781492983,0.450178111979944,0.)); #405626=DIRECTION('ref_axis',(-0.450178111979944,-0.892938781492983,0.)); #405627=DIRECTION('',(-0.450178111979944,-0.892938781492983,0.)); #405628=DIRECTION('',(-0.450178111979944,-0.892938781492983,0.)); #405629=DIRECTION('',(0.,0.,1.)); #405630=DIRECTION('center_axis',(-0.967662278077973,0.25224931235773,0.)); #405631=DIRECTION('ref_axis',(-0.25224931235773,-0.967662278077973,0.)); #405632=DIRECTION('',(-0.25224931235773,-0.967662278077973,0.)); #405633=DIRECTION('',(-0.25224931235773,-0.967662278077973,0.)); #405634=DIRECTION('',(0.,0.,1.)); #405635=DIRECTION('center_axis',(-0.997238118319986,0.0742706898420416, 0.)); #405636=DIRECTION('ref_axis',(-0.0742706898420416,-0.997238118319986,0.)); #405637=DIRECTION('',(-0.0742706898420416,-0.997238118319986,0.)); #405638=DIRECTION('',(-0.0742706898420416,-0.997238118319986,0.)); #405639=DIRECTION('',(0.,0.,1.)); #405640=DIRECTION('center_axis',(-1.,0.,0.)); #405641=DIRECTION('ref_axis',(0.,-1.,0.)); #405642=DIRECTION('',(0.,-1.,0.)); #405643=DIRECTION('',(0.,-1.,0.)); #405644=DIRECTION('',(0.,0.,1.)); #405645=DIRECTION('center_axis',(-0.981560865252934,0.191149856928827,0.)); #405646=DIRECTION('ref_axis',(-0.191149856928827,-0.981560865252934,0.)); #405647=DIRECTION('',(-0.191149856928827,-0.981560865252934,0.)); #405648=DIRECTION('',(-0.191149856928827,-0.981560865252934,0.)); #405649=DIRECTION('',(0.,0.,1.)); #405650=DIRECTION('center_axis',(-0.833659859749844,0.552278225391759,0.)); #405651=DIRECTION('ref_axis',(-0.552278225391759,-0.833659859749844,0.)); #405652=DIRECTION('',(-0.552278225391759,-0.833659859749844,0.)); #405653=DIRECTION('',(-0.552278225391759,-0.833659859749844,0.)); #405654=DIRECTION('',(0.,0.,1.)); #405655=DIRECTION('center_axis',(-0.707106781186546,0.707106781186549,0.)); #405656=DIRECTION('ref_axis',(-0.707106781186549,-0.707106781186546,0.)); #405657=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #405658=DIRECTION('',(-0.707106781186549,-0.707106781186546,0.)); #405659=DIRECTION('',(0.,0.,1.)); #405660=DIRECTION('center_axis',(-0.558946331949003,0.829203833807318,0.)); #405661=DIRECTION('ref_axis',(-0.829203833807318,-0.558946331949003,0.)); #405662=DIRECTION('',(-0.829203833807318,-0.558946331949003,0.)); #405663=DIRECTION('',(-0.829203833807318,-0.558946331949003,0.)); #405664=DIRECTION('',(0.,0.,1.)); #405665=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #405666=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #405667=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #405668=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #405669=DIRECTION('',(0.,0.,1.)); #405670=DIRECTION('center_axis',(0.,1.,0.)); #405671=DIRECTION('ref_axis',(-1.,0.,0.)); #405672=DIRECTION('',(-1.,0.,0.)); #405673=DIRECTION('',(-1.,0.,0.)); #405674=DIRECTION('',(0.,0.,1.)); #405675=DIRECTION('center_axis',(-0.105885061288354,0.99437837556735,0.)); #405676=DIRECTION('ref_axis',(-0.99437837556735,-0.105885061288354,0.)); #405677=DIRECTION('',(-0.99437837556735,-0.105885061288354,0.)); #405678=DIRECTION('',(-0.99437837556735,-0.105885061288354,0.)); #405679=DIRECTION('',(0.,0.,1.)); #405680=DIRECTION('center_axis',(-0.312922107778943,0.949778792384408,0.)); #405681=DIRECTION('ref_axis',(-0.949778792384408,-0.312922107778943,0.)); #405682=DIRECTION('',(-0.949778792384408,-0.312922107778943,0.)); #405683=DIRECTION('',(-0.949778792384408,-0.312922107778943,0.)); #405684=DIRECTION('',(0.,0.,1.)); #405685=DIRECTION('center_axis',(-0.506114900434501,0.86246606168485,0.)); #405686=DIRECTION('ref_axis',(-0.86246606168485,-0.506114900434501,0.)); #405687=DIRECTION('',(-0.86246606168485,-0.506114900434501,0.)); #405688=DIRECTION('',(-0.86246606168485,-0.506114900434501,0.)); #405689=DIRECTION('',(0.,0.,1.)); #405690=DIRECTION('center_axis',(-0.652508824452305,0.757781125399591,0.)); #405691=DIRECTION('ref_axis',(-0.757781125399591,-0.652508824452305,0.)); #405692=DIRECTION('',(-0.757781125399591,-0.652508824452305,0.)); #405693=DIRECTION('',(-0.757781125399591,-0.652508824452305,0.)); #405694=DIRECTION('',(0.,0.,1.)); #405695=DIRECTION('center_axis',(-0.707107255683045,0.707106306689732,0.)); #405696=DIRECTION('ref_axis',(-0.707106306689732,-0.707107255683045,0.)); #405697=DIRECTION('',(-0.707106306689732,-0.707107255683045,0.)); #405698=DIRECTION('',(-0.707106306689732,-0.707107255683045,0.)); #405699=DIRECTION('',(0.,0.,1.)); #405700=DIRECTION('center_axis',(-0.778048811381718,0.628203826084731,0.)); #405701=DIRECTION('ref_axis',(-0.628203826084731,-0.778048811381718,0.)); #405702=DIRECTION('',(-0.628203826084731,-0.778048811381718,0.)); #405703=DIRECTION('',(-0.628203826084731,-0.778048811381718,0.)); #405704=DIRECTION('',(0.,0.,1.)); #405705=DIRECTION('center_axis',(-0.892938781492983,0.450178111979944,0.)); #405706=DIRECTION('ref_axis',(-0.450178111979944,-0.892938781492983,0.)); #405707=DIRECTION('',(-0.450178111979944,-0.892938781492983,0.)); #405708=DIRECTION('',(-0.450178111979944,-0.892938781492983,0.)); #405709=DIRECTION('',(0.,0.,1.)); #405710=DIRECTION('center_axis',(-0.967662278077998,0.252249312357634,0.)); #405711=DIRECTION('ref_axis',(-0.252249312357634,-0.967662278077998,0.)); #405712=DIRECTION('',(-0.252249312357634,-0.967662278077998,0.)); #405713=DIRECTION('',(-0.252249312357634,-0.967662278077998,0.)); #405714=DIRECTION('',(0.,0.,1.)); #405715=DIRECTION('center_axis',(-0.997238118319986,0.0742706898420416, 0.)); #405716=DIRECTION('ref_axis',(-0.0742706898420416,-0.997238118319986,0.)); #405717=DIRECTION('',(-0.0742706898420416,-0.997238118319986,0.)); #405718=DIRECTION('',(-0.0742706898420416,-0.997238118319986,0.)); #405719=DIRECTION('',(0.,0.,1.)); #405720=DIRECTION('center_axis',(-1.,0.,0.)); #405721=DIRECTION('ref_axis',(0.,-1.,0.)); #405722=DIRECTION('',(0.,-1.,0.)); #405723=DIRECTION('',(0.,-1.,0.)); #405724=DIRECTION('',(0.,0.,1.)); #405725=DIRECTION('center_axis',(-0.994378375567317,-0.105885061288662, 0.)); #405726=DIRECTION('ref_axis',(0.105885061288662,-0.994378375567317,0.)); #405727=DIRECTION('',(0.105885061288662,-0.994378375567317,0.)); #405728=DIRECTION('',(0.105885061288662,-0.994378375567317,0.)); #405729=DIRECTION('',(0.,0.,1.)); #405730=DIRECTION('center_axis',(-0.949778792384449,-0.312922107778818, 0.)); #405731=DIRECTION('ref_axis',(0.312922107778818,-0.949778792384449,0.)); #405732=DIRECTION('',(0.312922107778818,-0.949778792384449,0.)); #405733=DIRECTION('',(0.312922107778818,-0.949778792384449,0.)); #405734=DIRECTION('',(0.,0.,1.)); #405735=DIRECTION('center_axis',(-0.862466061684714,-0.506114900434732, 0.)); #405736=DIRECTION('ref_axis',(0.506114900434732,-0.862466061684714,0.)); #405737=DIRECTION('',(0.506114900434732,-0.862466061684714,0.)); #405738=DIRECTION('',(0.506114900434732,-0.862466061684714,0.)); #405739=DIRECTION('',(0.,0.,1.)); #405740=DIRECTION('center_axis',(-0.757781125399818,-0.652508824452042, 0.)); #405741=DIRECTION('ref_axis',(0.652508824452042,-0.757781125399818,0.)); #405742=DIRECTION('',(0.652508824452042,-0.757781125399818,0.)); #405743=DIRECTION('',(0.652508824452042,-0.757781125399818,0.)); #405744=DIRECTION('',(0.,0.,1.)); #405745=DIRECTION('center_axis',(-0.70710630668973,-0.707107255683047,0.)); #405746=DIRECTION('ref_axis',(0.707107255683047,-0.70710630668973,0.)); #405747=DIRECTION('',(0.707107255683047,-0.70710630668973,0.)); #405748=DIRECTION('',(0.707107255683047,-0.70710630668973,0.)); #405749=DIRECTION('',(0.,0.,1.)); #405750=DIRECTION('center_axis',(-0.628203826084816,-0.77804881138165,0.)); #405751=DIRECTION('ref_axis',(0.77804881138165,-0.628203826084816,0.)); #405752=DIRECTION('',(0.77804881138165,-0.628203826084816,0.)); #405753=DIRECTION('',(0.77804881138165,-0.628203826084816,0.)); #405754=DIRECTION('',(0.,0.,1.)); #405755=DIRECTION('center_axis',(-0.450178111979861,-0.892938781493025, 0.)); #405756=DIRECTION('ref_axis',(0.892938781493025,-0.45017811197986,0.)); #405757=DIRECTION('',(0.892938781493025,-0.45017811197986,0.)); #405758=DIRECTION('',(0.892938781493025,-0.45017811197986,0.)); #405759=DIRECTION('',(0.,0.,1.)); #405760=DIRECTION('center_axis',(-0.252249312357498,-0.967662278078034, 0.)); #405761=DIRECTION('ref_axis',(0.967662278078034,-0.252249312357498,0.)); #405762=DIRECTION('',(0.967662278078034,-0.252249312357498,0.)); #405763=DIRECTION('',(0.967662278078034,-0.252249312357498,0.)); #405764=DIRECTION('',(0.,0.,1.)); #405765=DIRECTION('center_axis',(-0.074270689841797,-0.997238118320005, 0.)); #405766=DIRECTION('ref_axis',(0.997238118320005,-0.074270689841797,0.)); #405767=DIRECTION('',(0.997238118320005,-0.074270689841797,0.)); #405768=DIRECTION('',(0.997238118320005,-0.074270689841797,0.)); #405769=DIRECTION('',(0.,0.,1.)); #405770=DIRECTION('center_axis',(0.,-1.,0.)); #405771=DIRECTION('ref_axis',(1.,0.,0.)); #405772=DIRECTION('',(1.,0.,0.)); #405773=DIRECTION('',(1.,0.,0.)); #405774=DIRECTION('',(0.,0.,1.)); #405775=DIRECTION('center_axis',(0.105885061288354,-0.99437837556735,0.)); #405776=DIRECTION('ref_axis',(0.99437837556735,0.105885061288354,0.)); #405777=DIRECTION('',(0.99437837556735,0.105885061288354,0.)); #405778=DIRECTION('',(0.99437837556735,0.105885061288354,0.)); #405779=DIRECTION('',(0.,0.,1.)); #405780=DIRECTION('center_axis',(0.312935976921603,-0.949774222827785,0.)); #405781=DIRECTION('ref_axis',(0.949774222827785,0.312935976921603,0.)); #405782=DIRECTION('',(0.949774222827785,0.312935976921603,0.)); #405783=DIRECTION('',(0.949774222827785,0.312935976921603,0.)); #405784=DIRECTION('',(0.,0.,1.)); #405785=DIRECTION('center_axis',(0.506095628368333,-0.862477370686595,0.)); #405786=DIRECTION('ref_axis',(0.862477370686595,0.506095628368333,0.)); #405787=DIRECTION('',(0.862477370686595,0.506095628368333,0.)); #405788=DIRECTION('',(0.862477370686595,0.506095628368333,0.)); #405789=DIRECTION('',(0.,0.,1.)); #405790=DIRECTION('center_axis',(0.652508824452305,-0.757781125399591,0.)); #405791=DIRECTION('ref_axis',(0.757781125399591,0.652508824452305,0.)); #405792=DIRECTION('',(0.757781125399591,0.652508824452305,0.)); #405793=DIRECTION('',(0.757781125399591,0.652508824452305,0.)); #405794=DIRECTION('',(0.,0.,1.)); #405795=DIRECTION('center_axis',(0.707106781186545,-0.70710678118655,0.)); #405796=DIRECTION('ref_axis',(0.70710678118655,0.707106781186545,0.)); #405797=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #405798=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #405799=DIRECTION('',(0.,0.,1.)); #405800=DIRECTION('center_axis',(0.572058771549247,-0.820212632122651,0.)); #405801=DIRECTION('ref_axis',(0.820212632122651,0.572058771549247,0.)); #405802=DIRECTION('',(0.820212632122651,0.572058771549247,0.)); #405803=DIRECTION('',(0.820212632122651,0.572058771549247,0.)); #405804=DIRECTION('',(0.,0.,1.)); #405805=DIRECTION('center_axis',(0.230165683714788,-0.97315145688639,0.)); #405806=DIRECTION('ref_axis',(0.97315145688639,0.230165683714788,0.)); #405807=DIRECTION('',(0.97315145688639,0.230165683714788,0.)); #405808=DIRECTION('',(0.97315145688639,0.230165683714788,0.)); #405809=DIRECTION('',(0.,0.,1.)); #405810=DIRECTION('center_axis',(-0.167643887112186,-0.985847618607418, 0.)); #405811=DIRECTION('ref_axis',(0.985847618607418,-0.167643887112186,0.)); #405812=DIRECTION('',(0.985847618607418,-0.167643887112186,0.)); #405813=DIRECTION('',(0.985847618607418,-0.167643887112186,0.)); #405814=DIRECTION('',(0.,0.,1.)); #405815=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #405816=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #405817=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #405818=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #405819=DIRECTION('',(0.,0.,1.)); #405820=DIRECTION('center_axis',(-0.824745549033873,-0.565504004715099, 0.)); #405821=DIRECTION('ref_axis',(0.565504004715099,-0.824745549033873,0.)); #405822=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #405823=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #405824=DIRECTION('',(0.,0.,1.)); #405825=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #405826=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #405827=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #405828=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #405829=DIRECTION('',(0.,0.,1.)); #405830=DIRECTION('center_axis',(-1.,0.,0.)); #405831=DIRECTION('ref_axis',(0.,-1.,0.)); #405832=DIRECTION('',(0.,-1.,0.)); #405833=DIRECTION('',(0.,-1.,0.)); #405834=DIRECTION('',(0.,0.,1.)); #405835=DIRECTION('center_axis',(-0.707106781186583,-0.707106781186512, 0.)); #405836=DIRECTION('ref_axis',(0.707106781186512,-0.707106781186583,0.)); #405837=DIRECTION('',(0.707106781186512,-0.707106781186583,0.)); #405838=DIRECTION('',(0.707106781186512,-0.707106781186583,0.)); #405839=DIRECTION('',(0.,0.,1.)); #405840=DIRECTION('center_axis',(0.,-1.,0.)); #405841=DIRECTION('ref_axis',(1.,0.,0.)); #405842=DIRECTION('',(1.,0.,0.)); #405843=DIRECTION('',(1.,0.,0.)); #405844=DIRECTION('',(0.,0.,1.)); #405845=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #405846=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #405847=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #405848=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #405849=DIRECTION('',(0.,0.,1.)); #405850=DIRECTION('center_axis',(1.,0.,0.)); #405851=DIRECTION('ref_axis',(0.,1.,0.)); #405852=DIRECTION('',(0.,1.,0.)); #405853=DIRECTION('',(0.,1.,0.)); #405854=DIRECTION('',(0.,0.,1.)); #405855=DIRECTION('center_axis',(0.983056036329376,-0.183305290257473,0.)); #405856=DIRECTION('ref_axis',(0.183305290257473,0.983056036329376,0.)); #405857=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #405858=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #405859=DIRECTION('',(0.,0.,1.)); #405860=DIRECTION('center_axis',(0.842362410729415,-0.538911466745818,0.)); #405861=DIRECTION('ref_axis',(0.538911466745818,0.842362410729414,0.)); #405862=DIRECTION('',(0.538911466745818,0.842362410729415,0.)); #405863=DIRECTION('',(0.538911466745818,0.842362410729415,0.)); #405864=DIRECTION('',(0.,0.,1.)); #405865=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #405866=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #405867=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #405868=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #405869=DIRECTION('',(0.,0.,1.)); #405870=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #405871=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #405872=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #405873=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #405874=DIRECTION('',(0.,0.,1.)); #405875=DIRECTION('center_axis',(0.,-1.,0.)); #405876=DIRECTION('ref_axis',(1.,0.,0.)); #405877=DIRECTION('',(1.,0.,0.)); #405878=DIRECTION('',(1.,0.,0.)); #405879=DIRECTION('',(0.,0.,1.)); #405880=DIRECTION('center_axis',(0.105885061288354,-0.99437837556735,0.)); #405881=DIRECTION('ref_axis',(0.99437837556735,0.105885061288354,0.)); #405882=DIRECTION('',(0.99437837556735,0.105885061288354,0.)); #405883=DIRECTION('',(0.99437837556735,0.105885061288354,0.)); #405884=DIRECTION('',(0.,0.,1.)); #405885=DIRECTION('center_axis',(0.312935976921634,-0.949774222827774,0.)); #405886=DIRECTION('ref_axis',(0.949774222827774,0.312935976921634,0.)); #405887=DIRECTION('',(0.949774222827774,0.312935976921634,0.)); #405888=DIRECTION('',(0.949774222827774,0.312935976921634,0.)); #405889=DIRECTION('',(0.,0.,1.)); #405890=DIRECTION('center_axis',(0.50609562836829,-0.86247737068662,0.)); #405891=DIRECTION('ref_axis',(0.86247737068662,0.50609562836829,0.)); #405892=DIRECTION('',(0.86247737068662,0.50609562836829,0.)); #405893=DIRECTION('',(0.86247737068662,0.50609562836829,0.)); #405894=DIRECTION('',(0.,0.,1.)); #405895=DIRECTION('center_axis',(0.65250882445237,-0.757781125399535,0.)); #405896=DIRECTION('ref_axis',(0.757781125399535,0.65250882445237,0.)); #405897=DIRECTION('',(0.757781125399535,0.65250882445237,0.)); #405898=DIRECTION('',(0.757781125399535,0.65250882445237,0.)); #405899=DIRECTION('',(0.,0.,1.)); #405900=DIRECTION('center_axis',(0.707107136476326,-0.707106425896591,0.)); #405901=DIRECTION('ref_axis',(0.707106425896591,0.707107136476326,0.)); #405902=DIRECTION('',(0.707106425896591,0.707107136476326,0.)); #405903=DIRECTION('',(0.707106425896591,0.707107136476326,0.)); #405904=DIRECTION('',(0.,0.,1.)); #405905=DIRECTION('center_axis',(0.778048811381676,-0.628203826084784,0.)); #405906=DIRECTION('ref_axis',(0.628203826084784,0.778048811381676,0.)); #405907=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #405908=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #405909=DIRECTION('',(0.,0.,1.)); #405910=DIRECTION('center_axis',(0.892938781493109,-0.450178111979695,0.)); #405911=DIRECTION('ref_axis',(0.450178111979695,0.892938781493109,0.)); #405912=DIRECTION('',(0.450178111979694,0.892938781493109,0.)); #405913=DIRECTION('',(0.450178111979694,0.892938781493109,0.)); #405914=DIRECTION('',(0.,0.,1.)); #405915=DIRECTION('center_axis',(0.967662278077949,-0.252249312357822,0.)); #405916=DIRECTION('ref_axis',(0.252249312357821,0.967662278077949,0.)); #405917=DIRECTION('',(0.252249312357821,0.967662278077949,0.)); #405918=DIRECTION('',(0.252249312357821,0.967662278077949,0.)); #405919=DIRECTION('',(0.,0.,1.)); #405920=DIRECTION('center_axis',(0.997238118319996,-0.0742706898419095, 0.)); #405921=DIRECTION('ref_axis',(0.0742706898419095,0.997238118319996,0.)); #405922=DIRECTION('',(0.0742706898419095,0.997238118319996,0.)); #405923=DIRECTION('',(0.0742706898419095,0.997238118319996,0.)); #405924=DIRECTION('',(0.,0.,1.)); #405925=DIRECTION('center_axis',(1.,0.,0.)); #405926=DIRECTION('ref_axis',(0.,1.,0.)); #405927=DIRECTION('',(0.,1.,0.)); #405928=DIRECTION('',(0.,1.,0.)); #405929=DIRECTION('',(0.,0.,1.)); #405930=DIRECTION('center_axis',(0.983056036329401,-0.183305290257339,0.)); #405931=DIRECTION('ref_axis',(0.183305290257339,0.983056036329401,0.)); #405932=DIRECTION('',(0.183305290257339,0.983056036329401,0.)); #405933=DIRECTION('',(0.183305290257339,0.983056036329401,0.)); #405934=DIRECTION('',(0.,0.,1.)); #405935=DIRECTION('center_axis',(0.842362410729296,-0.538911466746003,0.)); #405936=DIRECTION('ref_axis',(0.538911466746003,0.842362410729296,0.)); #405937=DIRECTION('',(0.538911466746003,0.842362410729296,0.)); #405938=DIRECTION('',(0.538911466746003,0.842362410729296,0.)); #405939=DIRECTION('',(0.,0.,1.)); #405940=DIRECTION('center_axis',(0.565504004714997,-0.824745549033943,0.)); #405941=DIRECTION('ref_axis',(0.824745549033943,0.565504004714997,0.)); #405942=DIRECTION('',(0.824745549033943,0.565504004714997,0.)); #405943=DIRECTION('',(0.824745549033943,0.565504004714997,0.)); #405944=DIRECTION('',(0.,0.,1.)); #405945=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #405946=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #405947=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #405948=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #405949=DIRECTION('',(0.,0.,1.)); #405950=DIRECTION('center_axis',(0.,-1.,0.)); #405951=DIRECTION('ref_axis',(1.,0.,0.)); #405952=DIRECTION('',(1.,0.,0.)); #405953=DIRECTION('',(1.,0.,0.)); #405954=DIRECTION('',(0.,0.,1.)); #405955=DIRECTION('center_axis',(0.105885061288345,-0.994378375567351,0.)); #405956=DIRECTION('ref_axis',(0.994378375567351,0.105885061288345,0.)); #405957=DIRECTION('',(0.994378375567351,0.105885061288345,0.)); #405958=DIRECTION('',(0.994378375567351,0.105885061288345,0.)); #405959=DIRECTION('',(0.,0.,1.)); #405960=DIRECTION('center_axis',(0.312935976921634,-0.949774222827774,0.)); #405961=DIRECTION('ref_axis',(0.949774222827774,0.312935976921634,0.)); #405962=DIRECTION('',(0.949774222827774,0.312935976921634,0.)); #405963=DIRECTION('',(0.949774222827774,0.312935976921634,0.)); #405964=DIRECTION('',(0.,0.,1.)); #405965=DIRECTION('center_axis',(0.506095628368624,-0.862477370686424,0.)); #405966=DIRECTION('ref_axis',(0.862477370686424,0.506095628368624,0.)); #405967=DIRECTION('',(0.862477370686424,0.506095628368624,0.)); #405968=DIRECTION('',(0.862477370686424,0.506095628368624,0.)); #405969=DIRECTION('',(0.,0.,1.)); #405970=DIRECTION('center_axis',(0.652508824452,-0.757781125399854,0.)); #405971=DIRECTION('ref_axis',(0.757781125399854,0.652508824452,0.)); #405972=DIRECTION('',(0.757781125399854,0.652508824452,0.)); #405973=DIRECTION('',(0.757781125399854,0.652508824452,0.)); #405974=DIRECTION('',(0.,0.,1.)); #405975=DIRECTION('center_axis',(0.707107255683047,-0.70710630668973,0.)); #405976=DIRECTION('ref_axis',(0.70710630668973,0.707107255683047,0.)); #405977=DIRECTION('',(0.70710630668973,0.707107255683047,0.)); #405978=DIRECTION('',(0.70710630668973,0.707107255683047,0.)); #405979=DIRECTION('',(0.,0.,1.)); #405980=DIRECTION('center_axis',(0.778048811381676,-0.628203826084784,0.)); #405981=DIRECTION('ref_axis',(0.628203826084784,0.778048811381676,0.)); #405982=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #405983=DIRECTION('',(0.628203826084784,0.778048811381676,0.)); #405984=DIRECTION('',(0.,0.,1.)); #405985=DIRECTION('center_axis',(0.892938781493025,-0.45017811197986,0.)); #405986=DIRECTION('ref_axis',(0.45017811197986,0.892938781493025,0.)); #405987=DIRECTION('',(0.45017811197986,0.892938781493025,0.)); #405988=DIRECTION('',(0.45017811197986,0.892938781493025,0.)); #405989=DIRECTION('',(0.,0.,1.)); #405990=DIRECTION('center_axis',(0.967662278077997,-0.252249312357638,0.)); #405991=DIRECTION('ref_axis',(0.252249312357638,0.967662278077997,0.)); #405992=DIRECTION('',(0.252249312357638,0.967662278077997,0.)); #405993=DIRECTION('',(0.252249312357638,0.967662278077997,0.)); #405994=DIRECTION('',(0.,0.,1.)); #405995=DIRECTION('center_axis',(0.997238118319996,-0.0742706898419095, 0.)); #405996=DIRECTION('ref_axis',(0.0742706898419095,0.997238118319996,0.)); #405997=DIRECTION('',(0.0742706898419095,0.997238118319996,0.)); #405998=DIRECTION('',(0.0742706898419095,0.997238118319996,0.)); #405999=DIRECTION('',(0.,0.,1.)); #406000=DIRECTION('center_axis',(1.,0.,0.)); #406001=DIRECTION('ref_axis',(0.,1.,0.)); #406002=DIRECTION('',(0.,1.,0.)); #406003=DIRECTION('',(0.,1.,0.)); #406004=DIRECTION('',(0.,0.,1.)); #406005=DIRECTION('center_axis',(0.994378375567341,0.10588506128844,0.)); #406006=DIRECTION('ref_axis',(-0.10588506128844,0.994378375567341,0.)); #406007=DIRECTION('',(-0.10588506128844,0.994378375567341,0.)); #406008=DIRECTION('',(-0.10588506128844,0.994378375567341,0.)); #406009=DIRECTION('',(0.,0.,1.)); #406010=DIRECTION('center_axis',(0.949778792384428,0.312922107778882,0.)); #406011=DIRECTION('ref_axis',(-0.312922107778882,0.949778792384428,0.)); #406012=DIRECTION('',(-0.312922107778882,0.949778792384428,0.)); #406013=DIRECTION('',(-0.312922107778882,0.949778792384428,0.)); #406014=DIRECTION('',(0.,0.,1.)); #406015=DIRECTION('center_axis',(0.862466061684714,0.506114900434732,0.)); #406016=DIRECTION('ref_axis',(-0.506114900434732,0.862466061684714,0.)); #406017=DIRECTION('',(-0.506114900434732,0.862466061684714,0.)); #406018=DIRECTION('',(-0.506114900434732,0.862466061684714,0.)); #406019=DIRECTION('',(0.,0.,1.)); #406020=DIRECTION('center_axis',(0.757781125399723,0.652508824452152,0.)); #406021=DIRECTION('ref_axis',(-0.652508824452152,0.757781125399723,0.)); #406022=DIRECTION('',(-0.652508824452152,0.757781125399723,0.)); #406023=DIRECTION('',(-0.652508824452152,0.757781125399723,0.)); #406024=DIRECTION('',(0.,0.,1.)); #406025=DIRECTION('center_axis',(0.70710630668973,0.707107255683047,0.)); #406026=DIRECTION('ref_axis',(-0.707107255683047,0.70710630668973,0.)); #406027=DIRECTION('',(-0.707107255683047,0.70710630668973,0.)); #406028=DIRECTION('',(-0.707107255683047,0.70710630668973,0.)); #406029=DIRECTION('',(0.,0.,1.)); #406030=DIRECTION('center_axis',(0.628203826084774,0.778048811381684,0.)); #406031=DIRECTION('ref_axis',(-0.778048811381684,0.628203826084774,0.)); #406032=DIRECTION('',(-0.778048811381684,0.628203826084774,0.)); #406033=DIRECTION('',(-0.778048811381684,0.628203826084774,0.)); #406034=DIRECTION('',(0.,0.,1.)); #406035=DIRECTION('center_axis',(0.450178111980232,0.892938781492837,0.)); #406036=DIRECTION('ref_axis',(-0.892938781492837,0.450178111980232,0.)); #406037=DIRECTION('',(-0.892938781492837,0.450178111980232,0.)); #406038=DIRECTION('',(-0.892938781492837,0.450178111980232,0.)); #406039=DIRECTION('',(0.,0.,1.)); #406040=DIRECTION('center_axis',(0.252249312357474,0.96766227807804,0.)); #406041=DIRECTION('ref_axis',(-0.96766227807804,0.252249312357474,0.)); #406042=DIRECTION('',(-0.96766227807804,0.252249312357474,0.)); #406043=DIRECTION('',(-0.96766227807804,0.252249312357474,0.)); #406044=DIRECTION('',(0.,0.,1.)); #406045=DIRECTION('center_axis',(0.0742706898417872,0.997238118320005,0.)); #406046=DIRECTION('ref_axis',(-0.997238118320005,0.0742706898417872,0.)); #406047=DIRECTION('',(-0.997238118320005,0.0742706898417872,0.)); #406048=DIRECTION('',(-0.997238118320005,0.0742706898417872,0.)); #406049=DIRECTION('center_axis',(0.,1.,0.)); #406050=DIRECTION('ref_axis',(-1.,0.,0.)); #406051=DIRECTION('',(-1.,0.,0.)); #406052=DIRECTION('',(0.,0.,1.)); #406053=DIRECTION('',(-1.,0.,0.)); #406054=DIRECTION('',(0.,0.,1.)); #406055=DIRECTION('center_axis',(-0.707106781186541,0.707106781186554,0.)); #406056=DIRECTION('ref_axis',(-0.707106781186554,-0.707106781186541,0.)); #406057=DIRECTION('',(-0.707106781186554,-0.707106781186541,0.)); #406058=DIRECTION('',(-0.707106781186554,-0.707106781186541,0.)); #406059=DIRECTION('',(0.,0.,1.)); #406060=DIRECTION('center_axis',(-0.558946331948917,0.829203833807377,0.)); #406061=DIRECTION('ref_axis',(-0.829203833807377,-0.558946331948917,0.)); #406062=DIRECTION('',(-0.829203833807377,-0.558946331948917,0.)); #406063=DIRECTION('',(-0.829203833807377,-0.558946331948917,0.)); #406064=DIRECTION('',(0.,0.,1.)); #406065=DIRECTION('center_axis',(-0.198956019232613,0.980008419561338,0.)); #406066=DIRECTION('ref_axis',(-0.980008419561339,-0.198956019232613,0.)); #406067=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #406068=DIRECTION('',(-0.980008419561338,-0.198956019232613,0.)); #406069=DIRECTION('',(0.,0.,1.)); #406070=DIRECTION('center_axis',(0.,1.,0.)); #406071=DIRECTION('ref_axis',(-1.,0.,0.)); #406072=DIRECTION('',(-1.,0.,0.)); #406073=DIRECTION('',(-1.,0.,0.)); #406074=DIRECTION('',(0.,0.,1.)); #406075=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #406076=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #406077=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #406078=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #406079=DIRECTION('',(0.,0.,1.)); #406080=DIRECTION('center_axis',(-1.,0.,0.)); #406081=DIRECTION('ref_axis',(0.,-1.,0.)); #406082=DIRECTION('',(0.,-1.,0.)); #406083=DIRECTION('',(0.,-1.,0.)); #406084=DIRECTION('',(0.,0.,1.)); #406085=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #406086=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #406087=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #406088=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #406089=DIRECTION('',(0.,0.,1.)); #406090=DIRECTION('center_axis',(0.,-1.,0.)); #406091=DIRECTION('ref_axis',(1.,0.,0.)); #406092=DIRECTION('',(1.,0.,0.)); #406093=DIRECTION('',(1.,0.,0.)); #406094=DIRECTION('',(0.,0.,1.)); #406095=DIRECTION('center_axis',(-0.17550205938607,-0.984479063846077,0.)); #406096=DIRECTION('ref_axis',(0.984479063846077,-0.17550205938607,0.)); #406097=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #406098=DIRECTION('',(0.984479063846077,-0.17550205938607,0.)); #406099=DIRECTION('',(0.,0.,1.)); #406100=DIRECTION('center_axis',(-0.525373540973715,-0.850871695642028, 0.)); #406101=DIRECTION('ref_axis',(0.850871695642028,-0.525373540973715,0.)); #406102=DIRECTION('',(0.850871695642028,-0.525373540973715,0.)); #406103=DIRECTION('',(0.850871695642028,-0.525373540973715,0.)); #406104=DIRECTION('',(0.,0.,1.)); #406105=DIRECTION('center_axis',(-0.81569385217891,-0.578483828224723,0.)); #406106=DIRECTION('ref_axis',(0.578483828224723,-0.81569385217891,0.)); #406107=DIRECTION('',(0.578483828224723,-0.81569385217891,0.)); #406108=DIRECTION('',(0.578483828224723,-0.81569385217891,0.)); #406109=DIRECTION('',(0.,0.,1.)); #406110=DIRECTION('center_axis',(-0.97668569083752,-0.21467431451675,0.)); #406111=DIRECTION('ref_axis',(0.21467431451675,-0.97668569083752,0.)); #406112=DIRECTION('',(0.21467431451675,-0.97668569083752,0.)); #406113=DIRECTION('',(0.21467431451675,-0.97668569083752,0.)); #406114=DIRECTION('',(0.,0.,1.)); #406115=DIRECTION('center_axis',(-0.983050529880647,0.183334818573505,0.)); #406116=DIRECTION('ref_axis',(-0.183334818573505,-0.983050529880647,0.)); #406117=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #406118=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #406119=DIRECTION('',(0.,0.,1.)); #406120=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #406121=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #406122=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #406123=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #406124=DIRECTION('',(0.,0.,1.)); #406125=DIRECTION('center_axis',(-0.707107405166971,0.707106157205574,0.)); #406126=DIRECTION('ref_axis',(-0.707106157205574,-0.707107405166971,0.)); #406127=DIRECTION('',(-0.707106157205574,-0.707107405166971,0.)); #406128=DIRECTION('',(-0.707106157205574,-0.707107405166971,0.)); #406129=DIRECTION('',(0.,0.,1.)); #406130=DIRECTION('center_axis',(-0.778048811381718,0.628203826084731,0.)); #406131=DIRECTION('ref_axis',(-0.628203826084731,-0.778048811381718,0.)); #406132=DIRECTION('',(-0.628203826084731,-0.778048811381718,0.)); #406133=DIRECTION('',(-0.628203826084731,-0.778048811381718,0.)); #406134=DIRECTION('',(0.,0.,1.)); #406135=DIRECTION('center_axis',(-0.892938781492816,0.450178111980274,0.)); #406136=DIRECTION('ref_axis',(-0.450178111980274,-0.892938781492816,0.)); #406137=DIRECTION('',(-0.450178111980274,-0.892938781492816,0.)); #406138=DIRECTION('',(-0.450178111980274,-0.892938781492816,0.)); #406139=DIRECTION('',(0.,0.,1.)); #406140=DIRECTION('center_axis',(-0.967662278078046,0.25224931235745,0.)); #406141=DIRECTION('ref_axis',(-0.25224931235745,-0.967662278078046,0.)); #406142=DIRECTION('',(-0.25224931235745,-0.967662278078046,0.)); #406143=DIRECTION('',(-0.25224931235745,-0.967662278078046,0.)); #406144=DIRECTION('',(0.,0.,1.)); #406145=DIRECTION('center_axis',(-0.997238118320006,0.0742706898417773, 0.)); #406146=DIRECTION('ref_axis',(-0.0742706898417773,-0.997238118320006,0.)); #406147=DIRECTION('',(-0.0742706898417773,-0.997238118320006,0.)); #406148=DIRECTION('',(-0.0742706898417773,-0.997238118320006,0.)); #406149=DIRECTION('',(0.,0.,1.)); #406150=DIRECTION('center_axis',(-1.,0.,0.)); #406151=DIRECTION('ref_axis',(0.,-1.,0.)); #406152=DIRECTION('',(0.,-1.,0.)); #406153=DIRECTION('',(0.,-1.,0.)); #406154=DIRECTION('',(0.,0.,1.)); #406155=DIRECTION('center_axis',(-0.99437837556735,-0.105885061288354,0.)); #406156=DIRECTION('ref_axis',(0.105885061288354,-0.99437837556735,0.)); #406157=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #406158=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #406159=DIRECTION('',(0.,0.,1.)); #406160=DIRECTION('center_axis',(-0.949778792384428,-0.312922107778882, 0.)); #406161=DIRECTION('ref_axis',(0.312922107778882,-0.949778792384428,0.)); #406162=DIRECTION('',(0.312922107778882,-0.949778792384428,0.)); #406163=DIRECTION('',(0.312922107778882,-0.949778792384428,0.)); #406164=DIRECTION('',(0.,0.,1.)); #406165=DIRECTION('center_axis',(-0.862466061684628,-0.506114900434878, 0.)); #406166=DIRECTION('ref_axis',(0.506114900434878,-0.862466061684628,0.)); #406167=DIRECTION('',(0.506114900434878,-0.862466061684628,0.)); #406168=DIRECTION('',(0.506114900434878,-0.862466061684628,0.)); #406169=DIRECTION('',(0.,0.,1.)); #406170=DIRECTION('center_axis',(-0.757781125399854,-0.652508824452,0.)); #406171=DIRECTION('ref_axis',(0.652508824452,-0.757781125399854,0.)); #406172=DIRECTION('',(0.652508824452,-0.757781125399854,0.)); #406173=DIRECTION('',(0.652508824452,-0.757781125399854,0.)); #406174=DIRECTION('',(0.,0.,1.)); #406175=DIRECTION('center_axis',(-0.70710630668973,-0.707107255683047,0.)); #406176=DIRECTION('ref_axis',(0.707107255683047,-0.70710630668973,0.)); #406177=DIRECTION('',(0.707107255683047,-0.70710630668973,0.)); #406178=DIRECTION('',(0.707107255683047,-0.70710630668973,0.)); #406179=DIRECTION('',(0.,0.,1.)); #406180=DIRECTION('center_axis',(-0.628203826084731,-0.778048811381718, 0.)); #406181=DIRECTION('ref_axis',(0.778048811381718,-0.628203826084731,0.)); #406182=DIRECTION('',(0.778048811381718,-0.628203826084731,0.)); #406183=DIRECTION('',(0.778048811381718,-0.628203826084731,0.)); #406184=DIRECTION('',(0.,0.,1.)); #406185=DIRECTION('center_axis',(-0.450178111980108,-0.8929387814929,0.)); #406186=DIRECTION('ref_axis',(0.8929387814929,-0.450178111980107,0.)); #406187=DIRECTION('',(0.8929387814929,-0.450178111980107,0.)); #406188=DIRECTION('',(0.8929387814929,-0.450178111980107,0.)); #406189=DIRECTION('',(0.,0.,1.)); #406190=DIRECTION('center_axis',(-0.252249312357546,-0.967662278078021, 0.)); #406191=DIRECTION('ref_axis',(0.967662278078021,-0.252249312357546,0.)); #406192=DIRECTION('',(0.967662278078021,-0.252249312357546,0.)); #406193=DIRECTION('',(0.967662278078021,-0.252249312357546,0.)); #406194=DIRECTION('',(0.,0.,1.)); #406195=DIRECTION('center_axis',(-0.0742706898417773,-0.997238118320006, 0.)); #406196=DIRECTION('ref_axis',(0.997238118320006,-0.0742706898417773,0.)); #406197=DIRECTION('',(0.997238118320006,-0.0742706898417773,0.)); #406198=DIRECTION('',(0.997238118320006,-0.0742706898417773,0.)); #406199=DIRECTION('',(0.,0.,1.)); #406200=DIRECTION('center_axis',(0.,-1.,0.)); #406201=DIRECTION('ref_axis',(1.,0.,0.)); #406202=DIRECTION('',(1.,0.,0.)); #406203=DIRECTION('',(1.,0.,0.)); #406204=DIRECTION('',(0.,0.,1.)); #406205=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #406206=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #406207=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #406208=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #406209=DIRECTION('',(0.,0.,1.)); #406210=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #406211=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #406212=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #406213=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #406214=DIRECTION('',(0.,0.,1.)); #406215=DIRECTION('center_axis',(-0.824745549033873,-0.565504004715099, 0.)); #406216=DIRECTION('ref_axis',(0.565504004715099,-0.824745549033873,0.)); #406217=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #406218=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #406219=DIRECTION('',(0.,0.,1.)); #406220=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #406221=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #406222=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #406223=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #406224=DIRECTION('',(0.,0.,1.)); #406225=DIRECTION('center_axis',(-1.,0.,0.)); #406226=DIRECTION('ref_axis',(0.,-1.,0.)); #406227=DIRECTION('',(0.,-1.,0.)); #406228=DIRECTION('',(0.,-1.,0.)); #406229=DIRECTION('',(0.,0.,1.)); #406230=DIRECTION('center_axis',(-0.99437837556735,-0.105885061288354,0.)); #406231=DIRECTION('ref_axis',(0.105885061288354,-0.99437837556735,0.)); #406232=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #406233=DIRECTION('',(0.105885061288354,-0.99437837556735,0.)); #406234=DIRECTION('',(0.,0.,1.)); #406235=DIRECTION('center_axis',(-0.949778792384428,-0.312922107778882, 0.)); #406236=DIRECTION('ref_axis',(0.312922107778882,-0.949778792384428,0.)); #406237=DIRECTION('',(0.312922107778882,-0.949778792384428,0.)); #406238=DIRECTION('',(0.312922107778882,-0.949778792384428,0.)); #406239=DIRECTION('',(0.,0.,1.)); #406240=DIRECTION('center_axis',(-0.862466061684628,-0.506114900434878, 0.)); #406241=DIRECTION('ref_axis',(0.506114900434878,-0.862466061684628,0.)); #406242=DIRECTION('',(0.506114900434878,-0.862466061684628,0.)); #406243=DIRECTION('',(0.506114900434878,-0.862466061684628,0.)); #406244=DIRECTION('',(0.,0.,1.)); #406245=DIRECTION('center_axis',(-0.757781125399854,-0.652508824452,0.)); #406246=DIRECTION('ref_axis',(0.652508824452,-0.757781125399854,0.)); #406247=DIRECTION('',(0.652508824452,-0.757781125399854,0.)); #406248=DIRECTION('',(0.652508824452,-0.757781125399854,0.)); #406249=DIRECTION('',(0.,0.,1.)); #406250=DIRECTION('center_axis',(-0.707106425896587,-0.707107136476329, 0.)); #406251=DIRECTION('ref_axis',(0.707107136476329,-0.707106425896587,0.)); #406252=DIRECTION('',(0.707107136476329,-0.707106425896587,0.)); #406253=DIRECTION('',(0.707107136476329,-0.707106425896587,0.)); #406254=DIRECTION('',(0.,0.,1.)); #406255=DIRECTION('center_axis',(-0.628203826084731,-0.778048811381718, 0.)); #406256=DIRECTION('ref_axis',(0.778048811381718,-0.628203826084731,0.)); #406257=DIRECTION('',(0.778048811381718,-0.628203826084731,0.)); #406258=DIRECTION('',(0.778048811381718,-0.628203826084731,0.)); #406259=DIRECTION('',(0.,0.,1.)); #406260=DIRECTION('center_axis',(-0.450178111979944,-0.892938781492983, 0.)); #406261=DIRECTION('ref_axis',(0.892938781492983,-0.450178111979944,0.)); #406262=DIRECTION('',(0.892938781492983,-0.450178111979944,0.)); #406263=DIRECTION('',(0.892938781492983,-0.450178111979944,0.)); #406264=DIRECTION('',(0.,0.,1.)); #406265=DIRECTION('center_axis',(-0.25224931235745,-0.967662278078046,0.)); #406266=DIRECTION('ref_axis',(0.967662278078046,-0.25224931235745,0.)); #406267=DIRECTION('',(0.967662278078046,-0.25224931235745,0.)); #406268=DIRECTION('',(0.967662278078046,-0.25224931235745,0.)); #406269=DIRECTION('',(0.,0.,1.)); #406270=DIRECTION('center_axis',(-0.0742706898417773,-0.997238118320006, 0.)); #406271=DIRECTION('ref_axis',(0.997238118320006,-0.0742706898417773,0.)); #406272=DIRECTION('',(0.997238118320006,-0.0742706898417773,0.)); #406273=DIRECTION('',(0.997238118320006,-0.0742706898417773,0.)); #406274=DIRECTION('',(0.,0.,1.)); #406275=DIRECTION('center_axis',(0.,-1.,0.)); #406276=DIRECTION('ref_axis',(1.,0.,0.)); #406277=DIRECTION('',(1.,0.,0.)); #406278=DIRECTION('',(1.,0.,0.)); #406279=DIRECTION('',(0.,0.,1.)); #406280=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #406281=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #406282=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #406283=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #406284=DIRECTION('',(0.,0.,1.)); #406285=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #406286=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #406287=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #406288=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #406289=DIRECTION('',(0.,0.,1.)); #406290=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #406291=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #406292=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #406293=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #406294=DIRECTION('',(0.,0.,1.)); #406295=DIRECTION('center_axis',(-0.980008419561313,-0.19895601923274,0.)); #406296=DIRECTION('ref_axis',(0.19895601923274,-0.980008419561313,0.)); #406297=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #406298=DIRECTION('',(0.19895601923274,-0.980008419561313,0.)); #406299=DIRECTION('',(0.,0.,1.)); #406300=DIRECTION('center_axis',(-1.,0.,0.)); #406301=DIRECTION('ref_axis',(0.,-1.,0.)); #406302=DIRECTION('',(0.,-1.,0.)); #406303=DIRECTION('',(0.,-1.,0.)); #406304=DIRECTION('',(0.,0.,1.)); #406305=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #406306=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #406307=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #406308=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #406309=DIRECTION('',(0.,0.,1.)); #406310=DIRECTION('center_axis',(0.,-1.,0.)); #406311=DIRECTION('ref_axis',(1.,0.,0.)); #406312=DIRECTION('',(1.,0.,0.)); #406313=DIRECTION('',(1.,0.,0.)); #406314=DIRECTION('',(0.,0.,1.)); #406315=DIRECTION('center_axis',(0.707106781186583,-0.707106781186512,0.)); #406316=DIRECTION('ref_axis',(0.707106781186512,0.707106781186583,0.)); #406317=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #406318=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #406319=DIRECTION('',(0.,0.,1.)); #406320=DIRECTION('center_axis',(1.,0.,0.)); #406321=DIRECTION('ref_axis',(0.,1.,0.)); #406322=DIRECTION('',(0.,1.,0.)); #406323=DIRECTION('',(0.,1.,0.)); #406324=DIRECTION('',(0.,0.,1.)); #406325=DIRECTION('center_axis',(0.983056036329376,-0.183305290257473,0.)); #406326=DIRECTION('ref_axis',(0.183305290257473,0.983056036329376,0.)); #406327=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #406328=DIRECTION('',(0.183305290257473,0.983056036329376,0.)); #406329=DIRECTION('',(0.,0.,1.)); #406330=DIRECTION('center_axis',(0.842362410729481,-0.538911466745714,0.)); #406331=DIRECTION('ref_axis',(0.538911466745714,0.842362410729481,0.)); #406332=DIRECTION('',(0.538911466745714,0.842362410729481,0.)); #406333=DIRECTION('',(0.538911466745714,0.842362410729481,0.)); #406334=DIRECTION('',(0.,0.,1.)); #406335=DIRECTION('center_axis',(0.565504004714963,-0.824745549033967,0.)); #406336=DIRECTION('ref_axis',(0.824745549033967,0.565504004714963,0.)); #406337=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #406338=DIRECTION('',(0.824745549033967,0.565504004714963,0.)); #406339=DIRECTION('',(0.,0.,1.)); #406340=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #406341=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #406342=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #406343=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #406344=DIRECTION('',(0.,0.,1.)); #406345=DIRECTION('center_axis',(0.,-1.,0.)); #406346=DIRECTION('ref_axis',(1.,0.,0.)); #406347=DIRECTION('',(1.,0.,0.)); #406348=DIRECTION('',(1.,0.,0.)); #406349=DIRECTION('',(0.,0.,1.)); #406350=DIRECTION('center_axis',(-0.191149856928917,-0.981560865252917, 0.)); #406351=DIRECTION('ref_axis',(0.981560865252917,-0.191149856928917,0.)); #406352=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #406353=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #406354=DIRECTION('',(0.,0.,1.)); #406355=DIRECTION('center_axis',(-0.55227822539186,-0.833659859749777,0.)); #406356=DIRECTION('ref_axis',(0.833659859749777,-0.55227822539186,0.)); #406357=DIRECTION('',(0.833659859749777,-0.55227822539186,0.)); #406358=DIRECTION('',(0.833659859749777,-0.55227822539186,0.)); #406359=DIRECTION('',(0.,0.,1.)); #406360=DIRECTION('center_axis',(-0.707106272520001,-0.707107289852728, 0.)); #406361=DIRECTION('ref_axis',(0.707107289852728,-0.707106272520001,0.)); #406362=DIRECTION('',(0.707107289852728,-0.707106272520001,0.)); #406363=DIRECTION('',(0.707107289852728,-0.707106272520001,0.)); #406364=DIRECTION('',(0.,0.,1.)); #406365=DIRECTION('center_axis',(-0.628203826084731,-0.778048811381718, 0.)); #406366=DIRECTION('ref_axis',(0.778048811381718,-0.628203826084731,0.)); #406367=DIRECTION('',(0.778048811381718,-0.628203826084731,0.)); #406368=DIRECTION('',(0.778048811381718,-0.628203826084731,0.)); #406369=DIRECTION('',(0.,0.,1.)); #406370=DIRECTION('center_axis',(-0.450178111979944,-0.892938781492983, 0.)); #406371=DIRECTION('ref_axis',(0.892938781492983,-0.450178111979944,0.)); #406372=DIRECTION('',(0.892938781492983,-0.450178111979944,0.)); #406373=DIRECTION('',(0.892938781492983,-0.450178111979944,0.)); #406374=DIRECTION('',(0.,0.,1.)); #406375=DIRECTION('center_axis',(-0.25224931235745,-0.967662278078046,0.)); #406376=DIRECTION('ref_axis',(0.967662278078046,-0.25224931235745,0.)); #406377=DIRECTION('',(0.967662278078046,-0.25224931235745,0.)); #406378=DIRECTION('',(0.967662278078046,-0.25224931235745,0.)); #406379=DIRECTION('',(0.,0.,1.)); #406380=DIRECTION('center_axis',(-0.0742706898417773,-0.997238118320006, 0.)); #406381=DIRECTION('ref_axis',(0.997238118320006,-0.0742706898417773,0.)); #406382=DIRECTION('',(0.997238118320006,-0.0742706898417773,0.)); #406383=DIRECTION('',(0.997238118320006,-0.0742706898417773,0.)); #406384=DIRECTION('',(0.,0.,1.)); #406385=DIRECTION('center_axis',(0.,-1.,0.)); #406386=DIRECTION('ref_axis',(1.,0.,0.)); #406387=DIRECTION('',(1.,0.,0.)); #406388=DIRECTION('',(1.,0.,0.)); #406389=DIRECTION('',(0.,0.,1.)); #406390=DIRECTION('center_axis',(0.105885061288336,-0.994378375567352,0.)); #406391=DIRECTION('ref_axis',(0.994378375567352,0.105885061288336,0.)); #406392=DIRECTION('',(0.994378375567352,0.105885061288336,0.)); #406393=DIRECTION('',(0.994378375567352,0.105885061288336,0.)); #406394=DIRECTION('',(0.,0.,1.)); #406395=DIRECTION('center_axis',(0.312922107779005,-0.949778792384387,0.)); #406396=DIRECTION('ref_axis',(0.949778792384387,0.312922107779005,0.)); #406397=DIRECTION('',(0.949778792384387,0.312922107779005,0.)); #406398=DIRECTION('',(0.949778792384387,0.312922107779005,0.)); #406399=DIRECTION('',(0.,0.,1.)); #406400=DIRECTION('center_axis',(0.506114900434501,-0.86246606168485,0.)); #406401=DIRECTION('ref_axis',(0.86246606168485,0.506114900434501,0.)); #406402=DIRECTION('',(0.86246606168485,0.506114900434501,0.)); #406403=DIRECTION('',(0.86246606168485,0.506114900434501,0.)); #406404=DIRECTION('',(0.,0.,1.)); #406405=DIRECTION('center_axis',(0.652508824452305,-0.757781125399591,0.)); #406406=DIRECTION('ref_axis',(0.757781125399591,0.652508824452305,0.)); #406407=DIRECTION('',(0.757781125399591,0.652508824452305,0.)); #406408=DIRECTION('',(0.757781125399591,0.652508824452305,0.)); #406409=DIRECTION('',(0.,0.,1.)); #406410=DIRECTION('center_axis',(0.707107255683047,-0.70710630668973,0.)); #406411=DIRECTION('ref_axis',(0.70710630668973,0.707107255683047,0.)); #406412=DIRECTION('',(0.70710630668973,0.707107255683047,0.)); #406413=DIRECTION('',(0.70710630668973,0.707107255683047,0.)); #406414=DIRECTION('',(0.,0.,1.)); #406415=DIRECTION('center_axis',(0.778048811381633,-0.628203826084836,0.)); #406416=DIRECTION('ref_axis',(0.628203826084836,0.778048811381633,0.)); #406417=DIRECTION('',(0.628203826084836,0.778048811381633,0.)); #406418=DIRECTION('',(0.628203826084836,0.778048811381633,0.)); #406419=DIRECTION('',(0.,0.,1.)); #406420=DIRECTION('center_axis',(0.892938781492983,-0.450178111979944,0.)); #406421=DIRECTION('ref_axis',(0.450178111979944,0.892938781492983,0.)); #406422=DIRECTION('',(0.450178111979944,0.892938781492983,0.)); #406423=DIRECTION('',(0.450178111979944,0.892938781492983,0.)); #406424=DIRECTION('',(0.,0.,1.)); #406425=DIRECTION('center_axis',(0.967662278078046,-0.25224931235745,0.)); #406426=DIRECTION('ref_axis',(0.25224931235745,0.967662278078046,0.)); #406427=DIRECTION('',(0.25224931235745,0.967662278078046,0.)); #406428=DIRECTION('',(0.25224931235745,0.967662278078046,0.)); #406429=DIRECTION('',(0.,0.,1.)); #406430=DIRECTION('center_axis',(0.997238118319986,-0.0742706898420416, 0.)); #406431=DIRECTION('ref_axis',(0.0742706898420416,0.997238118319986,0.)); #406432=DIRECTION('',(0.0742706898420416,0.997238118319986,0.)); #406433=DIRECTION('',(0.0742706898420416,0.997238118319986,0.)); #406434=DIRECTION('',(0.,0.,1.)); #406435=DIRECTION('center_axis',(1.,0.,0.)); #406436=DIRECTION('ref_axis',(0.,1.,0.)); #406437=DIRECTION('',(0.,1.,0.)); #406438=DIRECTION('',(0.,1.,0.)); #406439=DIRECTION('',(0.,0.,1.)); #406440=DIRECTION('center_axis',(0.994378375567332,0.105885061288526,0.)); #406441=DIRECTION('ref_axis',(-0.105885061288526,0.994378375567332,0.)); #406442=DIRECTION('',(-0.105885061288526,0.994378375567332,0.)); #406443=DIRECTION('',(-0.105885061288526,0.994378375567332,0.)); #406444=DIRECTION('',(0.,0.,1.)); #406445=DIRECTION('center_axis',(0.949778792384449,0.312922107778818,0.)); #406446=DIRECTION('ref_axis',(-0.312922107778818,0.949778792384449,0.)); #406447=DIRECTION('',(-0.312922107778818,0.949778792384449,0.)); #406448=DIRECTION('',(-0.312922107778818,0.949778792384449,0.)); #406449=DIRECTION('',(0.,0.,1.)); #406450=DIRECTION('center_axis',(0.862466061684729,0.506114900434707,0.)); #406451=DIRECTION('ref_axis',(-0.506114900434707,0.862466061684729,0.)); #406452=DIRECTION('',(-0.506114900434707,0.862466061684729,0.)); #406453=DIRECTION('',(-0.506114900434707,0.862466061684729,0.)); #406454=DIRECTION('',(0.,0.,1.)); #406455=DIRECTION('center_axis',(0.757781125399854,0.652508824452,0.)); #406456=DIRECTION('ref_axis',(-0.652508824452,0.757781125399854,0.)); #406457=DIRECTION('',(-0.652508824452,0.757781125399854,0.)); #406458=DIRECTION('',(-0.652508824452,0.757781125399854,0.)); #406459=DIRECTION('',(0.,0.,1.)); #406460=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #406461=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #406462=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #406463=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #406464=DIRECTION('',(0.,0.,1.)); #406465=DIRECTION('center_axis',(0.820212632122518,0.572058771549436,0.)); #406466=DIRECTION('ref_axis',(-0.572058771549436,0.820212632122518,0.)); #406467=DIRECTION('',(-0.572058771549436,0.820212632122518,0.)); #406468=DIRECTION('',(-0.572058771549436,0.820212632122518,0.)); #406469=DIRECTION('',(0.,0.,1.)); #406470=DIRECTION('center_axis',(0.973144071552979,0.230196907019384,0.)); #406471=DIRECTION('ref_axis',(-0.230196907019384,0.973144071552979,0.)); #406472=DIRECTION('',(-0.230196907019384,0.973144071552979,0.)); #406473=DIRECTION('',(-0.230196907019384,0.973144071552979,0.)); #406474=DIRECTION('',(0.,0.,1.)); #406475=DIRECTION('center_axis',(0.985842168327529,-0.167675934908011,0.)); #406476=DIRECTION('ref_axis',(0.167675934908011,0.985842168327529,0.)); #406477=DIRECTION('',(0.167675934908011,0.985842168327529,0.)); #406478=DIRECTION('',(0.167675934908011,0.985842168327529,0.)); #406479=DIRECTION('',(0.,0.,1.)); #406480=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #406481=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #406482=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #406483=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #406484=DIRECTION('',(0.,0.,1.)); #406485=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #406486=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #406487=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #406488=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #406489=DIRECTION('',(0.,0.,1.)); #406490=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #406491=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #406492=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #406493=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #406494=DIRECTION('',(0.,0.,1.)); #406495=DIRECTION('center_axis',(0.,-1.,0.)); #406496=DIRECTION('ref_axis',(1.,0.,0.)); #406497=DIRECTION('',(1.,0.,0.)); #406498=DIRECTION('',(1.,0.,0.)); #406499=DIRECTION('',(0.,0.,1.)); #406500=DIRECTION('center_axis',(-0.191149856928945,-0.981560865252911, 0.)); #406501=DIRECTION('ref_axis',(0.981560865252911,-0.191149856928945,0.)); #406502=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #406503=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #406504=DIRECTION('',(0.,0.,1.)); #406505=DIRECTION('center_axis',(-0.552278225391995,-0.833659859749687, 0.)); #406506=DIRECTION('ref_axis',(0.833659859749687,-0.552278225391995,0.)); #406507=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #406508=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #406509=DIRECTION('',(0.,0.,1.)); #406510=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #406511=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.)); #406512=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #406513=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #406514=DIRECTION('',(0.,0.,1.)); #406515=DIRECTION('center_axis',(-0.829203833807341,-0.558946331948969, 0.)); #406516=DIRECTION('ref_axis',(0.558946331948969,-0.829203833807341,0.)); #406517=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #406518=DIRECTION('',(0.558946331948969,-0.829203833807341,0.)); #406519=DIRECTION('',(0.,0.,1.)); #406520=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #406521=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #406522=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #406523=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #406524=DIRECTION('',(0.,0.,1.)); #406525=DIRECTION('center_axis',(-1.,0.,0.)); #406526=DIRECTION('ref_axis',(0.,-1.,0.)); #406527=DIRECTION('',(0.,-1.,0.)); #406528=DIRECTION('',(0.,-1.,0.)); #406529=DIRECTION('',(0.,0.,1.)); #406530=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #406531=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #406532=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #406533=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #406534=DIRECTION('',(0.,0.,1.)); #406535=DIRECTION('center_axis',(-0.833659859749666,0.552278225392028,0.)); #406536=DIRECTION('ref_axis',(-0.552278225392028,-0.833659859749665,0.)); #406537=DIRECTION('',(-0.552278225392028,-0.833659859749665,0.)); #406538=DIRECTION('',(-0.552278225392028,-0.833659859749665,0.)); #406539=DIRECTION('',(0.,0.,1.)); #406540=DIRECTION('center_axis',(-0.707106781186556,0.707106781186539,0.)); #406541=DIRECTION('ref_axis',(-0.707106781186539,-0.707106781186556,0.)); #406542=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #406543=DIRECTION('',(-0.707106781186539,-0.707106781186556,0.)); #406544=DIRECTION('',(0.,0.,1.)); #406545=DIRECTION('center_axis',(-1.,0.,0.)); #406546=DIRECTION('ref_axis',(0.,-1.,0.)); #406547=DIRECTION('',(0.,-1.,0.)); #406548=DIRECTION('',(0.,-1.,0.)); #406549=DIRECTION('',(0.,0.,1.)); #406550=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #406551=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #406552=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #406553=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #406554=DIRECTION('',(0.,0.,1.)); #406555=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #406556=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #406557=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #406558=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #406559=DIRECTION('',(0.,0.,1.)); #406560=DIRECTION('center_axis',(-0.707108784529064,0.707104777838355,0.)); #406561=DIRECTION('ref_axis',(-0.707104777838355,-0.707108784529064,0.)); #406562=DIRECTION('',(-0.707104777838355,-0.707108784529064,0.)); #406563=DIRECTION('',(-0.707104777838355,-0.707108784529064,0.)); #406564=DIRECTION('',(0.,0.,1.)); #406565=DIRECTION('center_axis',(-1.,0.,0.)); #406566=DIRECTION('ref_axis',(0.,-1.,0.)); #406567=DIRECTION('',(0.,-1.,0.)); #406568=DIRECTION('',(0.,-1.,0.)); #406569=DIRECTION('',(0.,0.,1.)); #406570=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #406571=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #406572=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #406573=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #406574=DIRECTION('',(0.,0.,1.)); #406575=DIRECTION('center_axis',(0.,-1.,0.)); #406576=DIRECTION('ref_axis',(1.,0.,0.)); #406577=DIRECTION('',(1.,0.,0.)); #406578=DIRECTION('',(1.,0.,0.)); #406579=DIRECTION('',(0.,0.,1.)); #406580=DIRECTION('center_axis',(0.707104327390292,-0.707109234974288,0.)); #406581=DIRECTION('ref_axis',(0.707109234974288,0.707104327390292,0.)); #406582=DIRECTION('',(0.707109234974288,0.707104327390292,0.)); #406583=DIRECTION('',(0.707109234974288,0.707104327390292,0.)); #406584=DIRECTION('',(0.,0.,1.)); #406585=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #406586=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #406587=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #406588=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #406589=DIRECTION('',(0.,0.,1.)); #406590=DIRECTION('center_axis',(0.214674314516719,-0.976685690837527,0.)); #406591=DIRECTION('ref_axis',(0.976685690837527,0.214674314516719,0.)); #406592=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #406593=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #406594=DIRECTION('',(0.,0.,1.)); #406595=DIRECTION('center_axis',(-0.183334818573531,-0.983050529880642, 0.)); #406596=DIRECTION('ref_axis',(0.983050529880642,-0.183334818573531,0.)); #406597=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #406598=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #406599=DIRECTION('',(0.,0.,1.)); #406600=DIRECTION('center_axis',(-0.552278225392063,-0.833659859749643, 0.)); #406601=DIRECTION('ref_axis',(0.833659859749643,-0.552278225392063,0.)); #406602=DIRECTION('',(0.833659859749643,-0.552278225392063,0.)); #406603=DIRECTION('',(0.833659859749643,-0.552278225392063,0.)); #406604=DIRECTION('',(0.,0.,1.)); #406605=DIRECTION('center_axis',(-0.707104327390292,-0.707109234974288, 0.)); #406606=DIRECTION('ref_axis',(0.707109234974288,-0.707104327390292,0.)); #406607=DIRECTION('',(0.707109234974288,-0.707104327390292,0.)); #406608=DIRECTION('',(0.707109234974288,-0.707104327390292,0.)); #406609=DIRECTION('',(0.,0.,1.)); #406610=DIRECTION('center_axis',(0.,-1.,0.)); #406611=DIRECTION('ref_axis',(1.,0.,0.)); #406612=DIRECTION('',(1.,0.,0.)); #406613=DIRECTION('',(1.,0.,0.)); #406614=DIRECTION('',(0.,0.,1.)); #406615=DIRECTION('center_axis',(0.707104327390303,-0.707109234974277,0.)); #406616=DIRECTION('ref_axis',(0.707109234974277,0.707104327390303,0.)); #406617=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #406618=DIRECTION('',(0.707109234974277,0.707104327390303,0.)); #406619=DIRECTION('',(0.,0.,1.)); #406620=DIRECTION('center_axis',(0.565439331101256,-0.824789890119759,0.)); #406621=DIRECTION('ref_axis',(0.824789890119759,0.565439331101256,0.)); #406622=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #406623=DIRECTION('',(0.824789890119759,0.565439331101256,0.)); #406624=DIRECTION('',(0.,0.,1.)); #406625=DIRECTION('center_axis',(0.214674314516719,-0.976685690837527,0.)); #406626=DIRECTION('ref_axis',(0.976685690837527,0.214674314516719,0.)); #406627=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #406628=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #406629=DIRECTION('',(0.,0.,1.)); #406630=DIRECTION('center_axis',(-0.183334818573531,-0.983050529880642, 0.)); #406631=DIRECTION('ref_axis',(0.983050529880642,-0.183334818573531,0.)); #406632=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #406633=DIRECTION('',(0.983050529880642,-0.183334818573531,0.)); #406634=DIRECTION('',(0.,0.,1.)); #406635=DIRECTION('center_axis',(-0.552278225391995,-0.833659859749687, 0.)); #406636=DIRECTION('ref_axis',(0.833659859749687,-0.552278225391995,0.)); #406637=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #406638=DIRECTION('',(0.833659859749687,-0.552278225391995,0.)); #406639=DIRECTION('',(0.,0.,1.)); #406640=DIRECTION('center_axis',(-0.707104327390292,-0.707109234974288, 0.)); #406641=DIRECTION('ref_axis',(0.707109234974288,-0.707104327390292,0.)); #406642=DIRECTION('',(0.707109234974288,-0.707104327390292,0.)); #406643=DIRECTION('',(0.707109234974288,-0.707104327390292,0.)); #406644=DIRECTION('',(0.,0.,1.)); #406645=DIRECTION('center_axis',(0.,-1.,0.)); #406646=DIRECTION('ref_axis',(1.,0.,0.)); #406647=DIRECTION('',(1.,0.,0.)); #406648=DIRECTION('',(1.,0.,0.)); #406649=DIRECTION('',(0.,0.,1.)); #406650=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #406651=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #406652=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #406653=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #406654=DIRECTION('',(0.,0.,1.)); #406655=DIRECTION('center_axis',(1.,0.,0.)); #406656=DIRECTION('ref_axis',(0.,1.,0.)); #406657=DIRECTION('',(0.,1.,0.)); #406658=DIRECTION('',(0.,1.,0.)); #406659=DIRECTION('',(0.,0.,1.)); #406660=DIRECTION('center_axis',(0.707108784529064,0.707104777838355,0.)); #406661=DIRECTION('ref_axis',(-0.707104777838355,0.707108784529064,0.)); #406662=DIRECTION('',(-0.707104777838355,0.707108784529064,0.)); #406663=DIRECTION('',(-0.707104777838355,0.707108784529064,0.)); #406664=DIRECTION('',(0.,0.,1.)); #406665=DIRECTION('center_axis',(0.829203833807366,0.558946331948933,0.)); #406666=DIRECTION('ref_axis',(-0.558946331948932,0.829203833807366,0.)); #406667=DIRECTION('',(-0.558946331948932,0.829203833807366,0.)); #406668=DIRECTION('',(-0.558946331948932,0.829203833807366,0.)); #406669=DIRECTION('',(0.,0.,1.)); #406670=DIRECTION('center_axis',(0.980008419561341,0.1989560192326,0.)); #406671=DIRECTION('ref_axis',(-0.1989560192326,0.980008419561341,0.)); #406672=DIRECTION('',(-0.198956019232599,0.980008419561341,0.)); #406673=DIRECTION('',(-0.198956019232599,0.980008419561341,0.)); #406674=DIRECTION('',(0.,0.,1.)); #406675=DIRECTION('center_axis',(1.,0.,0.)); #406676=DIRECTION('ref_axis',(0.,1.,0.)); #406677=DIRECTION('',(0.,1.,0.)); #406678=DIRECTION('',(0.,1.,0.)); #406679=DIRECTION('',(0.,0.,1.)); #406680=DIRECTION('center_axis',(0.707106781186556,0.707106781186539,0.)); #406681=DIRECTION('ref_axis',(-0.707106781186539,0.707106781186556,0.)); #406682=DIRECTION('',(-0.707106781186539,0.707106781186556,0.)); #406683=DIRECTION('',(-0.707106781186539,0.707106781186556,0.)); #406684=DIRECTION('',(0.,0.,1.)); #406685=DIRECTION('center_axis',(0.829203833807246,0.55894633194911,0.)); #406686=DIRECTION('ref_axis',(-0.55894633194911,0.829203833807246,0.)); #406687=DIRECTION('',(-0.55894633194911,0.829203833807246,0.)); #406688=DIRECTION('',(-0.55894633194911,0.829203833807246,0.)); #406689=DIRECTION('',(0.,0.,1.)); #406690=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #406691=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #406692=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #406693=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #406694=DIRECTION('',(0.,0.,1.)); #406695=DIRECTION('center_axis',(1.,0.,0.)); #406696=DIRECTION('ref_axis',(0.,1.,0.)); #406697=DIRECTION('',(0.,1.,0.)); #406698=DIRECTION('',(0.,1.,0.)); #406699=DIRECTION('',(0.,0.,1.)); #406700=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #406701=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.)); #406702=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #406703=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #406704=DIRECTION('',(0.,0.,1.)); #406705=DIRECTION('center_axis',(0.,1.,0.)); #406706=DIRECTION('ref_axis',(-1.,0.,0.)); #406707=DIRECTION('',(-1.,0.,0.)); #406708=DIRECTION('',(-1.,0.,0.)); #406709=DIRECTION('',(0.,0.,1.)); #406710=DIRECTION('center_axis',(0.191149856928917,0.981560865252917,0.)); #406711=DIRECTION('ref_axis',(-0.981560865252917,0.191149856928917,0.)); #406712=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #406713=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #406714=DIRECTION('',(0.,0.,1.)); #406715=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #406716=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #406717=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #406718=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #406719=DIRECTION('',(0.,0.,1.)); #406720=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #406721=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #406722=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #406723=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #406724=DIRECTION('',(0.,0.,1.)); #406725=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #406726=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #406727=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #406728=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #406729=DIRECTION('',(0.,0.,1.)); #406730=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #406731=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #406732=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #406733=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #406734=DIRECTION('',(0.,0.,1.)); #406735=DIRECTION('center_axis',(1.,0.,0.)); #406736=DIRECTION('ref_axis',(0.,1.,0.)); #406737=DIRECTION('',(0.,1.,0.)); #406738=DIRECTION('',(0.,1.,0.)); #406739=DIRECTION('',(0.,0.,1.)); #406740=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.)); #406741=DIRECTION('ref_axis',(-0.707106781186545,0.70710678118655,0.)); #406742=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #406743=DIRECTION('',(-0.707106781186545,0.70710678118655,0.)); #406744=DIRECTION('',(0.,0.,1.)); #406745=DIRECTION('center_axis',(0.,1.,0.)); #406746=DIRECTION('ref_axis',(-1.,0.,0.)); #406747=DIRECTION('',(-1.,0.,0.)); #406748=DIRECTION('',(-1.,0.,0.)); #406749=DIRECTION('',(0.,0.,1.)); #406750=DIRECTION('center_axis',(0.191149856928623,0.981560865252974,0.)); #406751=DIRECTION('ref_axis',(-0.981560865252974,0.191149856928623,0.)); #406752=DIRECTION('',(-0.981560865252974,0.191149856928623,0.)); #406753=DIRECTION('',(-0.981560865252974,0.191149856928623,0.)); #406754=DIRECTION('',(0.,0.,1.)); #406755=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #406756=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #406757=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #406758=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #406759=DIRECTION('',(0.,0.,1.)); #406760=DIRECTION('center_axis',(0.707106781186545,0.70710678118655,0.)); #406761=DIRECTION('ref_axis',(-0.70710678118655,0.707106781186545,0.)); #406762=DIRECTION('',(-0.70710678118655,0.707106781186545,0.)); #406763=DIRECTION('',(-0.70710678118655,0.707106781186545,0.)); #406764=DIRECTION('',(0.,0.,1.)); #406765=DIRECTION('center_axis',(0.,1.,0.)); #406766=DIRECTION('ref_axis',(-1.,0.,0.)); #406767=DIRECTION('',(-1.,0.,0.)); #406768=DIRECTION('',(-1.,0.,0.)); #406769=DIRECTION('',(0.,0.,1.)); #406770=DIRECTION('center_axis',(0.191149856928917,0.981560865252917,0.)); #406771=DIRECTION('ref_axis',(-0.981560865252917,0.191149856928917,0.)); #406772=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #406773=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #406774=DIRECTION('',(0.,0.,1.)); #406775=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #406776=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #406777=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #406778=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #406779=DIRECTION('',(0.,0.,1.)); #406780=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #406781=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #406782=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #406783=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #406784=DIRECTION('',(0.,0.,1.)); #406785=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #406786=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #406787=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #406788=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #406789=DIRECTION('',(0.,0.,1.)); #406790=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #406791=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #406792=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #406793=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #406794=DIRECTION('',(0.,0.,1.)); #406795=DIRECTION('center_axis',(1.,0.,0.)); #406796=DIRECTION('ref_axis',(0.,1.,0.)); #406797=DIRECTION('',(0.,1.,0.)); #406798=DIRECTION('',(0.,1.,0.)); #406799=DIRECTION('',(0.,0.,1.)); #406800=DIRECTION('center_axis',(0.981560865252911,-0.191149856928945,0.)); #406801=DIRECTION('ref_axis',(0.191149856928945,0.981560865252911,0.)); #406802=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #406803=DIRECTION('',(0.191149856928945,0.981560865252911,0.)); #406804=DIRECTION('',(0.,0.,1.)); #406805=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #406806=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #406807=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #406808=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #406809=DIRECTION('',(0.,0.,1.)); #406810=DIRECTION('center_axis',(0.707106781186548,-0.707106781186547,0.)); #406811=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.)); #406812=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #406813=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #406814=DIRECTION('',(0.,0.,1.)); #406815=DIRECTION('center_axis',(0.558946331948864,-0.829203833807412,0.)); #406816=DIRECTION('ref_axis',(0.829203833807412,0.558946331948864,0.)); #406817=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #406818=DIRECTION('',(0.829203833807412,0.558946331948864,0.)); #406819=DIRECTION('',(0.,0.,1.)); #406820=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #406821=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #406822=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #406823=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #406824=DIRECTION('',(0.,0.,1.)); #406825=DIRECTION('center_axis',(0.,-1.,0.)); #406826=DIRECTION('ref_axis',(1.,0.,0.)); #406827=DIRECTION('',(1.,0.,0.)); #406828=DIRECTION('',(1.,0.,0.)); #406829=DIRECTION('',(0.,0.,1.)); #406830=DIRECTION('center_axis',(-0.191149856928843,-0.981560865252931, 0.)); #406831=DIRECTION('ref_axis',(0.981560865252931,-0.191149856928843,0.)); #406832=DIRECTION('',(0.981560865252931,-0.191149856928843,0.)); #406833=DIRECTION('',(0.981560865252931,-0.191149856928843,0.)); #406834=DIRECTION('',(0.,0.,1.)); #406835=DIRECTION('center_axis',(-0.552278225391843,-0.833659859749789, 0.)); #406836=DIRECTION('ref_axis',(0.833659859749789,-0.552278225391843,0.)); #406837=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #406838=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #406839=DIRECTION('',(0.,0.,1.)); #406840=DIRECTION('center_axis',(-0.707106781186544,-0.707106781186551, 0.)); #406841=DIRECTION('ref_axis',(0.707106781186551,-0.707106781186544,0.)); #406842=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #406843=DIRECTION('',(0.707106781186551,-0.707106781186544,0.)); #406844=DIRECTION('',(0.,0.,1.)); #406845=DIRECTION('center_axis',(0.,-1.,0.)); #406846=DIRECTION('ref_axis',(1.,0.,0.)); #406847=DIRECTION('',(1.,0.,0.)); #406848=DIRECTION('',(1.,0.,0.)); #406849=DIRECTION('',(0.,0.,1.)); #406850=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #406851=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #406852=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #406853=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #406854=DIRECTION('',(0.,0.,1.)); #406855=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #406856=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #406857=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #406858=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #406859=DIRECTION('',(0.,0.,1.)); #406860=DIRECTION('center_axis',(-0.707106781186546,-0.707106781186549, 0.)); #406861=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #406862=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #406863=DIRECTION('',(0.707106781186549,-0.707106781186546,0.)); #406864=DIRECTION('',(0.,0.,1.)); #406865=DIRECTION('center_axis',(0.,-1.,0.)); #406866=DIRECTION('ref_axis',(1.,0.,0.)); #406867=DIRECTION('',(1.,0.,0.)); #406868=DIRECTION('',(1.,0.,0.)); #406869=DIRECTION('',(0.,0.,1.)); #406870=DIRECTION('center_axis',(-0.191149856928917,-0.981560865252917, 0.)); #406871=DIRECTION('ref_axis',(0.981560865252917,-0.191149856928917,0.)); #406872=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #406873=DIRECTION('',(0.981560865252917,-0.191149856928917,0.)); #406874=DIRECTION('',(0.,0.,1.)); #406875=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #406876=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #406877=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #406878=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #406879=DIRECTION('',(0.,0.,1.)); #406880=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #406881=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #406882=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #406883=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #406884=DIRECTION('',(0.,0.,1.)); #406885=DIRECTION('center_axis',(-0.82478989011981,-0.565439331101182,0.)); #406886=DIRECTION('ref_axis',(0.565439331101182,-0.82478989011981,0.)); #406887=DIRECTION('',(0.565439331101182,-0.82478989011981,0.)); #406888=DIRECTION('',(0.565439331101182,-0.82478989011981,0.)); #406889=DIRECTION('',(0.,0.,1.)); #406890=DIRECTION('center_axis',(-0.976685690837557,-0.214674314516579, 0.)); #406891=DIRECTION('ref_axis',(0.214674314516579,-0.976685690837557,0.)); #406892=DIRECTION('',(0.214674314516579,-0.976685690837557,0.)); #406893=DIRECTION('',(0.214674314516579,-0.976685690837557,0.)); #406894=DIRECTION('',(0.,0.,1.)); #406895=DIRECTION('center_axis',(-0.983050529880647,0.183334818573505,0.)); #406896=DIRECTION('ref_axis',(-0.183334818573505,-0.983050529880647,0.)); #406897=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #406898=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #406899=DIRECTION('',(0.,0.,1.)); #406900=DIRECTION('center_axis',(-0.833659859749733,0.552278225391927,0.)); #406901=DIRECTION('ref_axis',(-0.552278225391927,-0.833659859749732,0.)); #406902=DIRECTION('',(-0.552278225391927,-0.833659859749732,0.)); #406903=DIRECTION('',(-0.552278225391927,-0.833659859749732,0.)); #406904=DIRECTION('',(0.,0.,1.)); #406905=DIRECTION('center_axis',(-0.707108095097465,0.707105467273188,0.)); #406906=DIRECTION('ref_axis',(-0.707105467273188,-0.707108095097465,0.)); #406907=DIRECTION('',(-0.707105467273188,-0.707108095097465,0.)); #406908=DIRECTION('',(-0.707105467273188,-0.707108095097465,0.)); #406909=DIRECTION('',(0.,0.,1.)); #406910=DIRECTION('center_axis',(-1.,0.,0.)); #406911=DIRECTION('ref_axis',(0.,-1.,0.)); #406912=DIRECTION('',(0.,-1.,0.)); #406913=DIRECTION('',(0.,-1.,0.)); #406914=DIRECTION('',(0.,0.,1.)); #406915=DIRECTION('center_axis',(-0.707109234974277,-0.707104327390303, 0.)); #406916=DIRECTION('ref_axis',(0.707104327390303,-0.707109234974277,0.)); #406917=DIRECTION('',(0.707104327390303,-0.707109234974277,0.)); #406918=DIRECTION('',(0.707104327390303,-0.707109234974277,0.)); #406919=DIRECTION('',(0.,0.,1.)); #406920=DIRECTION('center_axis',(-0.82478989011971,-0.565439331101327,0.)); #406921=DIRECTION('ref_axis',(0.565439331101327,-0.82478989011971,0.)); #406922=DIRECTION('',(0.565439331101327,-0.82478989011971,0.)); #406923=DIRECTION('',(0.565439331101327,-0.82478989011971,0.)); #406924=DIRECTION('',(0.,0.,1.)); #406925=DIRECTION('center_axis',(-0.976685690837557,-0.214674314516579, 0.)); #406926=DIRECTION('ref_axis',(0.214674314516579,-0.976685690837557,0.)); #406927=DIRECTION('',(0.214674314516579,-0.976685690837557,0.)); #406928=DIRECTION('',(0.214674314516579,-0.976685690837557,0.)); #406929=DIRECTION('',(0.,0.,1.)); #406930=DIRECTION('center_axis',(-0.983050529880647,0.183334818573505,0.)); #406931=DIRECTION('ref_axis',(-0.183334818573505,-0.983050529880647,0.)); #406932=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #406933=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #406934=DIRECTION('',(0.,0.,1.)); #406935=DIRECTION('center_axis',(-0.833659859749665,0.552278225392029,0.)); #406936=DIRECTION('ref_axis',(-0.552278225392029,-0.833659859749665,0.)); #406937=DIRECTION('',(-0.552278225392029,-0.833659859749665,0.)); #406938=DIRECTION('',(-0.552278225392029,-0.833659859749665,0.)); #406939=DIRECTION('',(0.,0.,1.)); #406940=DIRECTION('center_axis',(-0.707106781186559,0.707106781186536,0.)); #406941=DIRECTION('ref_axis',(-0.707106781186536,-0.707106781186559,0.)); #406942=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #406943=DIRECTION('',(-0.707106781186536,-0.707106781186559,0.)); #406944=DIRECTION('',(0.,0.,1.)); #406945=DIRECTION('center_axis',(-0.558946331948846,0.829203833807424,0.)); #406946=DIRECTION('ref_axis',(-0.829203833807424,-0.558946331948846,0.)); #406947=DIRECTION('',(-0.829203833807424,-0.558946331948846,0.)); #406948=DIRECTION('',(-0.829203833807424,-0.558946331948846,0.)); #406949=DIRECTION('',(0.,0.,1.)); #406950=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #406951=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #406952=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #406953=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #406954=DIRECTION('',(0.,0.,1.)); #406955=DIRECTION('center_axis',(0.,1.,0.)); #406956=DIRECTION('ref_axis',(-1.,0.,0.)); #406957=DIRECTION('',(-1.,0.,0.)); #406958=DIRECTION('',(-1.,0.,0.)); #406959=DIRECTION('',(0.,0.,1.)); #406960=DIRECTION('center_axis',(0.1911498569289,0.98156086525292,0.)); #406961=DIRECTION('ref_axis',(-0.98156086525292,0.1911498569289,0.)); #406962=DIRECTION('',(-0.98156086525292,0.1911498569289,0.)); #406963=DIRECTION('',(-0.98156086525292,0.1911498569289,0.)); #406964=DIRECTION('',(0.,0.,1.)); #406965=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #406966=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #406967=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #406968=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #406969=DIRECTION('',(0.,0.,1.)); #406970=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.)); #406971=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #406972=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #406973=DIRECTION('',(-0.707106781186546,0.707106781186549,0.)); #406974=DIRECTION('',(0.,0.,1.)); #406975=DIRECTION('center_axis',(0.829203833807271,0.558946331949074,0.)); #406976=DIRECTION('ref_axis',(-0.558946331949074,0.829203833807271,0.)); #406977=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #406978=DIRECTION('',(-0.558946331949074,0.829203833807271,0.)); #406979=DIRECTION('',(0.,0.,1.)); #406980=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #406981=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #406982=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #406983=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #406984=DIRECTION('',(0.,0.,1.)); #406985=DIRECTION('center_axis',(1.,0.,0.)); #406986=DIRECTION('ref_axis',(0.,1.,0.)); #406987=DIRECTION('',(0.,1.,0.)); #406988=DIRECTION('',(0.,1.,0.)); #406989=DIRECTION('',(0.,0.,1.)); #406990=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #406991=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #406992=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #406993=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #406994=DIRECTION('',(0.,0.,1.)); #406995=DIRECTION('center_axis',(0.833659859749687,-0.552278225391995,0.)); #406996=DIRECTION('ref_axis',(0.552278225391995,0.833659859749687,0.)); #406997=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #406998=DIRECTION('',(0.552278225391995,0.833659859749687,0.)); #406999=DIRECTION('',(0.,0.,1.)); #407000=DIRECTION('center_axis',(0.707108784529073,-0.707104777838346,0.)); #407001=DIRECTION('ref_axis',(0.707104777838346,0.707108784529073,0.)); #407002=DIRECTION('',(0.707104777838346,0.707108784529073,0.)); #407003=DIRECTION('',(0.707104777838346,0.707108784529073,0.)); #407004=DIRECTION('',(0.,0.,1.)); #407005=DIRECTION('center_axis',(1.,0.,0.)); #407006=DIRECTION('ref_axis',(0.,1.,0.)); #407007=DIRECTION('',(0.,1.,0.)); #407008=DIRECTION('',(0.,1.,0.)); #407009=DIRECTION('',(0.,0.,1.)); #407010=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #407011=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #407012=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #407013=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #407014=DIRECTION('',(0.,0.,1.)); #407015=DIRECTION('center_axis',(0.,1.,0.)); #407016=DIRECTION('ref_axis',(-1.,0.,0.)); #407017=DIRECTION('',(-1.,0.,0.)); #407018=DIRECTION('',(-1.,0.,0.)); #407019=DIRECTION('',(0.,0.,1.)); #407020=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #407021=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #407022=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #407023=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #407024=DIRECTION('',(0.,0.,1.)); #407025=DIRECTION('center_axis',(-1.,0.,0.)); #407026=DIRECTION('ref_axis',(0.,-1.,0.)); #407027=DIRECTION('',(0.,-1.,0.)); #407028=DIRECTION('',(0.,-1.,0.)); #407029=DIRECTION('',(0.,0.,1.)); #407030=DIRECTION('center_axis',(-0.707108784529055,-0.707104777838364, 0.)); #407031=DIRECTION('ref_axis',(0.707104777838364,-0.707108784529055,0.)); #407032=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #407033=DIRECTION('',(0.707104777838364,-0.707108784529055,0.)); #407034=DIRECTION('',(0.,0.,1.)); #407035=DIRECTION('center_axis',(-0.829203833807295,-0.558946331949038, 0.)); #407036=DIRECTION('ref_axis',(0.558946331949038,-0.829203833807295,0.)); #407037=DIRECTION('',(0.558946331949038,-0.829203833807295,0.)); #407038=DIRECTION('',(0.558946331949038,-0.829203833807295,0.)); #407039=DIRECTION('',(0.,0.,1.)); #407040=DIRECTION('center_axis',(-0.980008419561378,-0.198956019232416, 0.)); #407041=DIRECTION('ref_axis',(0.198956019232416,-0.980008419561378,0.)); #407042=DIRECTION('',(0.198956019232416,-0.980008419561378,0.)); #407043=DIRECTION('',(0.198956019232416,-0.980008419561378,0.)); #407044=DIRECTION('',(0.,0.,1.)); #407045=DIRECTION('center_axis',(-1.,0.,0.)); #407046=DIRECTION('ref_axis',(0.,-1.,0.)); #407047=DIRECTION('',(0.,-1.,0.)); #407048=DIRECTION('',(0.,-1.,0.)); #407049=DIRECTION('',(0.,0.,1.)); #407050=DIRECTION('center_axis',(-0.707106781186545,-0.70710678118655,0.)); #407051=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,0.)); #407052=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #407053=DIRECTION('',(0.70710678118655,-0.707106781186545,0.)); #407054=DIRECTION('',(0.,0.,1.)); #407055=DIRECTION('center_axis',(0.,-1.,0.)); #407056=DIRECTION('ref_axis',(1.,0.,0.)); #407057=DIRECTION('',(1.,0.,0.)); #407058=DIRECTION('',(1.,0.,0.)); #407059=DIRECTION('',(0.,0.,1.)); #407060=DIRECTION('center_axis',(-0.191149856928843,-0.981560865252931, 0.)); #407061=DIRECTION('ref_axis',(0.981560865252931,-0.191149856928843,0.)); #407062=DIRECTION('',(0.981560865252931,-0.191149856928843,0.)); #407063=DIRECTION('',(0.981560865252931,-0.191149856928843,0.)); #407064=DIRECTION('',(0.,0.,1.)); #407065=DIRECTION('center_axis',(-0.552278225391843,-0.833659859749789, 0.)); #407066=DIRECTION('ref_axis',(0.833659859749789,-0.552278225391843,0.)); #407067=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #407068=DIRECTION('',(0.833659859749789,-0.552278225391843,0.)); #407069=DIRECTION('',(0.,0.,1.)); #407070=DIRECTION('center_axis',(-0.707106781186559,-0.707106781186536, 0.)); #407071=DIRECTION('ref_axis',(0.707106781186536,-0.707106781186559,0.)); #407072=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #407073=DIRECTION('',(0.707106781186536,-0.707106781186559,0.)); #407074=DIRECTION('',(0.,0.,1.)); #407075=DIRECTION('center_axis',(-0.824789890119736,-0.56543933110129,0.)); #407076=DIRECTION('ref_axis',(0.56543933110129,-0.824789890119736,0.)); #407077=DIRECTION('',(0.56543933110129,-0.824789890119736,0.)); #407078=DIRECTION('',(0.56543933110129,-0.824789890119736,0.)); #407079=DIRECTION('',(0.,0.,1.)); #407080=DIRECTION('center_axis',(-0.976685690837554,-0.214674314516595, 0.)); #407081=DIRECTION('ref_axis',(0.214674314516595,-0.976685690837554,0.)); #407082=DIRECTION('',(0.214674314516595,-0.976685690837554,0.)); #407083=DIRECTION('',(0.214674314516595,-0.976685690837554,0.)); #407084=DIRECTION('',(0.,0.,1.)); #407085=DIRECTION('center_axis',(-0.983050529880647,0.183334818573505,0.)); #407086=DIRECTION('ref_axis',(-0.183334818573505,-0.983050529880647,0.)); #407087=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #407088=DIRECTION('',(-0.183334818573505,-0.983050529880647,0.)); #407089=DIRECTION('',(0.,0.,1.)); #407090=DIRECTION('center_axis',(-0.833659859749665,0.552278225392029,0.)); #407091=DIRECTION('ref_axis',(-0.552278225392029,-0.833659859749665,0.)); #407092=DIRECTION('',(-0.552278225392029,-0.833659859749665,0.)); #407093=DIRECTION('',(-0.552278225392029,-0.833659859749665,0.)); #407094=DIRECTION('',(0.,0.,1.)); #407095=DIRECTION('center_axis',(-0.707109234974277,0.707104327390303,0.)); #407096=DIRECTION('ref_axis',(-0.707104327390303,-0.707109234974277,0.)); #407097=DIRECTION('',(-0.707104327390303,-0.707109234974277,0.)); #407098=DIRECTION('',(-0.707104327390303,-0.707109234974277,0.)); #407099=DIRECTION('',(0.,0.,1.)); #407100=DIRECTION('center_axis',(-1.,0.,0.)); #407101=DIRECTION('ref_axis',(0.,-1.,0.)); #407102=DIRECTION('',(0.,-1.,0.)); #407103=DIRECTION('',(0.,-1.,0.)); #407104=DIRECTION('',(0.,0.,1.)); #407105=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #407106=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #407107=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #407108=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #407109=DIRECTION('',(0.,0.,1.)); #407110=DIRECTION('center_axis',(0.,-1.,0.)); #407111=DIRECTION('ref_axis',(1.,0.,0.)); #407112=DIRECTION('',(1.,0.,0.)); #407113=DIRECTION('',(1.,0.,0.)); #407114=DIRECTION('',(0.,0.,1.)); #407115=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #407116=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #407117=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #407118=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #407119=DIRECTION('',(0.,0.,1.)); #407120=DIRECTION('center_axis',(1.,0.,0.)); #407121=DIRECTION('ref_axis',(0.,1.,0.)); #407122=DIRECTION('',(0.,1.,0.)); #407123=DIRECTION('',(0.,1.,0.)); #407124=DIRECTION('',(0.,0.,1.)); #407125=DIRECTION('center_axis',(0.707109234974277,0.707104327390303,0.)); #407126=DIRECTION('ref_axis',(-0.707104327390303,0.707109234974277,0.)); #407127=DIRECTION('',(-0.707104327390303,0.707109234974277,0.)); #407128=DIRECTION('',(-0.707104327390303,0.707109234974277,0.)); #407129=DIRECTION('',(0.,0.,1.)); #407130=DIRECTION('center_axis',(0.824789890119785,0.565439331101219,0.)); #407131=DIRECTION('ref_axis',(-0.565439331101219,0.824789890119785,0.)); #407132=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #407133=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #407134=DIRECTION('',(0.,0.,1.)); #407135=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #407136=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #407137=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #407138=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #407139=DIRECTION('',(0.,0.,1.)); #407140=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #407141=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #407142=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #407143=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #407144=DIRECTION('',(0.,0.,1.)); #407145=DIRECTION('center_axis',(0.833659859749733,-0.552278225391927,0.)); #407146=DIRECTION('ref_axis',(0.552278225391927,0.833659859749732,0.)); #407147=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #407148=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #407149=DIRECTION('',(0.,0.,1.)); #407150=DIRECTION('center_axis',(0.707109234974277,-0.707104327390303,0.)); #407151=DIRECTION('ref_axis',(0.707104327390303,0.707109234974277,0.)); #407152=DIRECTION('',(0.707104327390303,0.707109234974277,0.)); #407153=DIRECTION('',(0.707104327390303,0.707109234974277,0.)); #407154=DIRECTION('',(0.,0.,1.)); #407155=DIRECTION('center_axis',(1.,0.,0.)); #407156=DIRECTION('ref_axis',(0.,1.,0.)); #407157=DIRECTION('',(0.,1.,0.)); #407158=DIRECTION('',(0.,1.,0.)); #407159=DIRECTION('',(0.,0.,1.)); #407160=DIRECTION('center_axis',(0.707109234974277,0.707104327390303,0.)); #407161=DIRECTION('ref_axis',(-0.707104327390303,0.707109234974277,0.)); #407162=DIRECTION('',(-0.707104327390303,0.707109234974277,0.)); #407163=DIRECTION('',(-0.707104327390303,0.707109234974277,0.)); #407164=DIRECTION('',(0.,0.,1.)); #407165=DIRECTION('center_axis',(0.824789890119785,0.565439331101219,0.)); #407166=DIRECTION('ref_axis',(-0.565439331101219,0.824789890119785,0.)); #407167=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #407168=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #407169=DIRECTION('',(0.,0.,1.)); #407170=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #407171=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #407172=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #407173=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #407174=DIRECTION('',(0.,0.,1.)); #407175=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #407176=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #407177=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #407178=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #407179=DIRECTION('',(0.,0.,1.)); #407180=DIRECTION('center_axis',(0.833659859749733,-0.552278225391927,0.)); #407181=DIRECTION('ref_axis',(0.552278225391927,0.833659859749732,0.)); #407182=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #407183=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #407184=DIRECTION('',(0.,0.,1.)); #407185=DIRECTION('center_axis',(0.707109234974277,-0.707104327390303,0.)); #407186=DIRECTION('ref_axis',(0.707104327390303,0.707109234974277,0.)); #407187=DIRECTION('',(0.707104327390303,0.707109234974277,0.)); #407188=DIRECTION('',(0.707104327390303,0.707109234974277,0.)); #407189=DIRECTION('',(0.,0.,1.)); #407190=DIRECTION('center_axis',(1.,0.,0.)); #407191=DIRECTION('ref_axis',(0.,1.,0.)); #407192=DIRECTION('',(0.,1.,0.)); #407193=DIRECTION('',(0.,1.,0.)); #407194=DIRECTION('',(0.,0.,1.)); #407195=DIRECTION('center_axis',(0.707108095097465,0.707105467273188,0.)); #407196=DIRECTION('ref_axis',(-0.707105467273188,0.707108095097465,0.)); #407197=DIRECTION('',(-0.707105467273188,0.707108095097465,0.)); #407198=DIRECTION('',(-0.707105467273188,0.707108095097465,0.)); #407199=DIRECTION('',(0.,0.,1.)); #407200=DIRECTION('center_axis',(0.82478989011981,0.565439331101182,0.)); #407201=DIRECTION('ref_axis',(-0.565439331101182,0.82478989011981,0.)); #407202=DIRECTION('',(-0.565439331101182,0.82478989011981,0.)); #407203=DIRECTION('',(-0.565439331101182,0.82478989011981,0.)); #407204=DIRECTION('',(0.,0.,1.)); #407205=DIRECTION('center_axis',(0.976685690837554,0.214674314516595,0.)); #407206=DIRECTION('ref_axis',(-0.214674314516595,0.976685690837554,0.)); #407207=DIRECTION('',(-0.214674314516595,0.976685690837554,0.)); #407208=DIRECTION('',(-0.214674314516595,0.976685690837554,0.)); #407209=DIRECTION('',(0.,0.,1.)); #407210=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #407211=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #407212=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #407213=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #407214=DIRECTION('',(0.,0.,1.)); #407215=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #407216=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #407217=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #407218=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #407219=DIRECTION('',(0.,0.,1.)); #407220=DIRECTION('center_axis',(0.707108095097465,-0.707105467273188,0.)); #407221=DIRECTION('ref_axis',(0.707105467273188,0.707108095097465,0.)); #407222=DIRECTION('',(0.707105467273188,0.707108095097465,0.)); #407223=DIRECTION('',(0.707105467273188,0.707108095097465,0.)); #407224=DIRECTION('',(0.,0.,1.)); #407225=DIRECTION('center_axis',(1.,0.,0.)); #407226=DIRECTION('ref_axis',(0.,1.,0.)); #407227=DIRECTION('',(0.,1.,0.)); #407228=DIRECTION('',(0.,1.,0.)); #407229=DIRECTION('',(0.,0.,1.)); #407230=DIRECTION('center_axis',(0.707109234974277,0.707104327390303,0.)); #407231=DIRECTION('ref_axis',(-0.707104327390303,0.707109234974277,0.)); #407232=DIRECTION('',(-0.707104327390303,0.707109234974277,0.)); #407233=DIRECTION('',(-0.707104327390303,0.707109234974277,0.)); #407234=DIRECTION('',(0.,0.,1.)); #407235=DIRECTION('center_axis',(0.824789890119785,0.565439331101219,0.)); #407236=DIRECTION('ref_axis',(-0.565439331101219,0.824789890119785,0.)); #407237=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #407238=DIRECTION('',(-0.565439331101219,0.824789890119785,0.)); #407239=DIRECTION('',(0.,0.,1.)); #407240=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #407241=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #407242=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #407243=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #407244=DIRECTION('',(0.,0.,1.)); #407245=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #407246=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #407247=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #407248=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #407249=DIRECTION('',(0.,0.,1.)); #407250=DIRECTION('center_axis',(0.833659859749733,-0.552278225391927,0.)); #407251=DIRECTION('ref_axis',(0.552278225391927,0.833659859749732,0.)); #407252=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #407253=DIRECTION('',(0.552278225391927,0.833659859749732,0.)); #407254=DIRECTION('',(0.,0.,1.)); #407255=DIRECTION('center_axis',(0.707109234974272,-0.707104327390308,0.)); #407256=DIRECTION('ref_axis',(0.707104327390308,0.707109234974272,0.)); #407257=DIRECTION('',(0.707104327390308,0.707109234974272,0.)); #407258=DIRECTION('',(0.707104327390308,0.707109234974272,0.)); #407259=DIRECTION('',(0.,0.,1.)); #407260=DIRECTION('center_axis',(1.,0.,0.)); #407261=DIRECTION('ref_axis',(0.,1.,0.)); #407262=DIRECTION('',(0.,1.,0.)); #407263=DIRECTION('',(0.,1.,0.)); #407264=DIRECTION('',(0.,0.,1.)); #407265=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #407266=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #407267=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #407268=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #407269=DIRECTION('',(0.,0.,1.)); #407270=DIRECTION('center_axis',(0.,1.,0.)); #407271=DIRECTION('ref_axis',(-1.,0.,0.)); #407272=DIRECTION('',(-1.,0.,0.)); #407273=DIRECTION('',(-1.,0.,0.)); #407274=DIRECTION('',(0.,0.,1.)); #407275=DIRECTION('center_axis',(-0.707104546424068,0.707109015941965,0.)); #407276=DIRECTION('ref_axis',(-0.707109015941965,-0.707104546424068,0.)); #407277=DIRECTION('',(-0.707109015941965,-0.707104546424068,0.)); #407278=DIRECTION('',(-0.707109015941965,-0.707104546424068,0.)); #407279=DIRECTION('',(0.,0.,1.)); #407280=DIRECTION('center_axis',(-0.565439331101364,0.824789890119685,0.)); #407281=DIRECTION('ref_axis',(-0.824789890119685,-0.565439331101364,0.)); #407282=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #407283=DIRECTION('',(-0.824789890119685,-0.565439331101364,0.)); #407284=DIRECTION('',(0.,0.,1.)); #407285=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #407286=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #407287=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #407288=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #407289=DIRECTION('',(0.,0.,1.)); #407290=DIRECTION('center_axis',(0.183334818573673,0.983050529880615,0.)); #407291=DIRECTION('ref_axis',(-0.983050529880615,0.183334818573673,0.)); #407292=DIRECTION('',(-0.983050529880615,0.183334818573673,0.)); #407293=DIRECTION('',(-0.983050529880615,0.183334818573673,0.)); #407294=DIRECTION('',(0.,0.,1.)); #407295=DIRECTION('center_axis',(0.552278225391893,0.833659859749755,0.)); #407296=DIRECTION('ref_axis',(-0.833659859749755,0.552278225391893,0.)); #407297=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #407298=DIRECTION('',(-0.833659859749755,0.552278225391893,0.)); #407299=DIRECTION('',(0.,0.,1.)); #407300=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #407301=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #407302=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #407303=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #407304=DIRECTION('center_axis',(0.,1.,0.)); #407305=DIRECTION('ref_axis',(-1.,0.,0.)); #407306=DIRECTION('',(-1.,0.,0.)); #407307=DIRECTION('',(0.,0.,1.)); #407308=DIRECTION('',(-1.,0.,0.)); #407309=DIRECTION('',(0.,0.,1.)); #407310=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #407311=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #407312=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #407313=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #407314=DIRECTION('',(0.,0.,1.)); #407315=DIRECTION('center_axis',(-1.,0.,0.)); #407316=DIRECTION('ref_axis',(0.,-1.,0.)); #407317=DIRECTION('',(0.,-1.,0.)); #407318=DIRECTION('',(0.,-1.,0.)); #407319=DIRECTION('',(0.,0.,1.)); #407320=DIRECTION('center_axis',(-0.707108784529046,-0.707104777838373, 0.)); #407321=DIRECTION('ref_axis',(0.707104777838373,-0.707108784529046,0.)); #407322=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #407323=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #407324=DIRECTION('',(0.,0.,1.)); #407325=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #407326=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #407327=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #407328=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #407329=DIRECTION('',(0.,0.,1.)); #407330=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #407331=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #407332=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #407333=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #407334=DIRECTION('',(0.,0.,1.)); #407335=DIRECTION('center_axis',(-1.,0.,0.)); #407336=DIRECTION('ref_axis',(0.,-1.,0.)); #407337=DIRECTION('',(0.,-1.,0.)); #407338=DIRECTION('',(0.,-1.,0.)); #407339=DIRECTION('',(0.,0.,1.)); #407340=DIRECTION('center_axis',(-0.983056036329398,0.183305290257358,0.)); #407341=DIRECTION('ref_axis',(-0.183305290257358,-0.983056036329397,0.)); #407342=DIRECTION('',(-0.183305290257358,-0.983056036329397,0.)); #407343=DIRECTION('',(-0.183305290257358,-0.983056036329397,0.)); #407344=DIRECTION('',(0.,0.,1.)); #407345=DIRECTION('center_axis',(-0.842362410729244,0.538911466746084,0.)); #407346=DIRECTION('ref_axis',(-0.538911466746084,-0.842362410729244,0.)); #407347=DIRECTION('',(-0.538911466746084,-0.842362410729244,0.)); #407348=DIRECTION('',(-0.538911466746084,-0.842362410729244,0.)); #407349=DIRECTION('',(0.,0.,1.)); #407350=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #407351=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #407352=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #407353=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #407354=DIRECTION('',(0.,0.,1.)); #407355=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #407356=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #407357=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #407358=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #407359=DIRECTION('',(0.,0.,1.)); #407360=DIRECTION('center_axis',(0.,1.,0.)); #407361=DIRECTION('ref_axis',(-1.,0.,0.)); #407362=DIRECTION('',(-1.,0.,0.)); #407363=DIRECTION('',(-1.,0.,0.)); #407364=DIRECTION('',(0.,0.,1.)); #407365=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #407366=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #407367=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #407368=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #407369=DIRECTION('',(0.,0.,1.)); #407370=DIRECTION('center_axis',(-1.,0.,0.)); #407371=DIRECTION('ref_axis',(0.,-1.,0.)); #407372=DIRECTION('',(0.,-1.,0.)); #407373=DIRECTION('',(0.,-1.,0.)); #407374=DIRECTION('',(0.,0.,1.)); #407375=DIRECTION('center_axis',(-0.7071067811866,-0.707106781186495,0.)); #407376=DIRECTION('ref_axis',(0.707106781186495,-0.7071067811866,0.)); #407377=DIRECTION('',(0.707106781186495,-0.7071067811866,0.)); #407378=DIRECTION('',(0.707106781186495,-0.7071067811866,0.)); #407379=DIRECTION('',(0.,0.,1.)); #407380=DIRECTION('center_axis',(0.,-1.,0.)); #407381=DIRECTION('ref_axis',(1.,0.,0.)); #407382=DIRECTION('',(1.,0.,0.)); #407383=DIRECTION('',(1.,0.,0.)); #407384=DIRECTION('',(0.,0.,1.)); #407385=DIRECTION('center_axis',(0.707106781186583,-0.707106781186512,0.)); #407386=DIRECTION('ref_axis',(0.707106781186512,0.707106781186583,0.)); #407387=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #407388=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #407389=DIRECTION('',(0.,0.,1.)); #407390=DIRECTION('center_axis',(1.,0.,0.)); #407391=DIRECTION('ref_axis',(0.,1.,0.)); #407392=DIRECTION('',(0.,1.,0.)); #407393=DIRECTION('',(0.,1.,0.)); #407394=DIRECTION('',(0.,0.,1.)); #407395=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #407396=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #407397=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #407398=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #407399=DIRECTION('',(0.,0.,1.)); #407400=DIRECTION('center_axis',(0.,1.,0.)); #407401=DIRECTION('ref_axis',(-1.,0.,0.)); #407402=DIRECTION('',(-1.,0.,0.)); #407403=DIRECTION('',(-1.,0.,0.)); #407404=DIRECTION('',(0.,0.,1.)); #407405=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #407406=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #407407=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #407408=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #407409=DIRECTION('',(0.,0.,1.)); #407410=DIRECTION('center_axis',(0.53891146674564,0.842362410729529,0.)); #407411=DIRECTION('ref_axis',(-0.842362410729529,0.53891146674564,0.)); #407412=DIRECTION('',(-0.842362410729529,0.53891146674564,0.)); #407413=DIRECTION('',(-0.842362410729529,0.53891146674564,0.)); #407414=DIRECTION('',(0.,0.,1.)); #407415=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #407416=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #407417=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #407418=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #407419=DIRECTION('',(0.,0.,1.)); #407420=DIRECTION('center_axis',(0.980008419561336,0.198956019232626,0.)); #407421=DIRECTION('ref_axis',(-0.198956019232626,0.980008419561336,0.)); #407422=DIRECTION('',(-0.198956019232626,0.980008419561336,0.)); #407423=DIRECTION('',(-0.198956019232626,0.980008419561336,0.)); #407424=DIRECTION('',(0.,0.,1.)); #407425=DIRECTION('center_axis',(1.,0.,0.)); #407426=DIRECTION('ref_axis',(0.,1.,0.)); #407427=DIRECTION('',(0.,1.,0.)); #407428=DIRECTION('',(0.,1.,0.)); #407429=DIRECTION('',(0.,0.,1.)); #407430=DIRECTION('center_axis',(0.981560865252928,-0.191149856928859,0.)); #407431=DIRECTION('ref_axis',(0.191149856928859,0.981560865252928,0.)); #407432=DIRECTION('',(0.191149856928859,0.981560865252928,0.)); #407433=DIRECTION('',(0.191149856928859,0.981560865252928,0.)); #407434=DIRECTION('',(0.,0.,1.)); #407435=DIRECTION('center_axis',(0.833659859749733,-0.552278225391927,0.)); #407436=DIRECTION('ref_axis',(0.552278225391927,0.833659859749733,0.)); #407437=DIRECTION('',(0.552278225391927,0.833659859749733,0.)); #407438=DIRECTION('',(0.552278225391927,0.833659859749733,0.)); #407439=DIRECTION('',(0.,0.,1.)); #407440=DIRECTION('center_axis',(0.707108784529037,-0.707104777838382,0.)); #407441=DIRECTION('ref_axis',(0.707104777838382,0.707108784529037,0.)); #407442=DIRECTION('',(0.707104777838382,0.707108784529037,0.)); #407443=DIRECTION('',(0.707104777838382,0.707108784529037,0.)); #407444=DIRECTION('',(0.,0.,1.)); #407445=DIRECTION('center_axis',(1.,0.,0.)); #407446=DIRECTION('ref_axis',(0.,1.,0.)); #407447=DIRECTION('',(0.,1.,0.)); #407448=DIRECTION('',(0.,1.,0.)); #407449=DIRECTION('',(0.,0.,1.)); #407450=DIRECTION('center_axis',(0.707106781186541,0.707106781186554,0.)); #407451=DIRECTION('ref_axis',(-0.707106781186554,0.707106781186541,0.)); #407452=DIRECTION('',(-0.707106781186554,0.707106781186541,0.)); #407453=DIRECTION('',(-0.707106781186554,0.707106781186541,0.)); #407454=DIRECTION('center_axis',(0.,1.,0.)); #407455=DIRECTION('ref_axis',(-1.,0.,0.)); #407456=DIRECTION('',(-1.,0.,0.)); #407457=DIRECTION('',(0.,0.,1.)); #407458=DIRECTION('',(-1.,0.,0.)); #407459=DIRECTION('',(0.,0.,1.)); #407460=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #407461=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #407462=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #407463=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #407464=DIRECTION('',(0.,0.,1.)); #407465=DIRECTION('center_axis',(-1.,0.,0.)); #407466=DIRECTION('ref_axis',(0.,-1.,0.)); #407467=DIRECTION('',(0.,-1.,0.)); #407468=DIRECTION('',(0.,-1.,0.)); #407469=DIRECTION('',(0.,0.,1.)); #407470=DIRECTION('center_axis',(-0.707108784529046,-0.707104777838373, 0.)); #407471=DIRECTION('ref_axis',(0.707104777838373,-0.707108784529046,0.)); #407472=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #407473=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #407474=DIRECTION('',(0.,0.,1.)); #407475=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #407476=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #407477=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #407478=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #407479=DIRECTION('',(0.,0.,1.)); #407480=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #407481=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #407482=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #407483=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #407484=DIRECTION('',(0.,0.,1.)); #407485=DIRECTION('center_axis',(-1.,0.,0.)); #407486=DIRECTION('ref_axis',(0.,-1.,0.)); #407487=DIRECTION('',(0.,-1.,0.)); #407488=DIRECTION('',(0.,-1.,0.)); #407489=DIRECTION('',(0.,0.,1.)); #407490=DIRECTION('center_axis',(-0.983056036329398,0.183305290257358,0.)); #407491=DIRECTION('ref_axis',(-0.183305290257358,-0.983056036329397,0.)); #407492=DIRECTION('',(-0.183305290257358,-0.983056036329397,0.)); #407493=DIRECTION('',(-0.183305290257358,-0.983056036329397,0.)); #407494=DIRECTION('',(0.,0.,1.)); #407495=DIRECTION('center_axis',(-0.842362410729311,0.53891146674598,0.)); #407496=DIRECTION('ref_axis',(-0.53891146674598,-0.842362410729311,0.)); #407497=DIRECTION('',(-0.53891146674598,-0.842362410729311,0.)); #407498=DIRECTION('',(-0.53891146674598,-0.842362410729311,0.)); #407499=DIRECTION('',(0.,0.,1.)); #407500=DIRECTION('center_axis',(-0.565504004714894,0.824745549034014,0.)); #407501=DIRECTION('ref_axis',(-0.824745549034014,-0.565504004714894,0.)); #407502=DIRECTION('',(-0.824745549034014,-0.565504004714894,0.)); #407503=DIRECTION('',(-0.824745549034014,-0.565504004714894,0.)); #407504=DIRECTION('',(0.,0.,1.)); #407505=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #407506=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #407507=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #407508=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #407509=DIRECTION('',(0.,0.,1.)); #407510=DIRECTION('center_axis',(0.,1.,0.)); #407511=DIRECTION('ref_axis',(-1.,0.,0.)); #407512=DIRECTION('',(-1.,0.,0.)); #407513=DIRECTION('',(-1.,0.,0.)); #407514=DIRECTION('',(0.,0.,1.)); #407515=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #407516=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #407517=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #407518=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #407519=DIRECTION('',(0.,0.,1.)); #407520=DIRECTION('center_axis',(-1.,0.,0.)); #407521=DIRECTION('ref_axis',(0.,-1.,0.)); #407522=DIRECTION('',(0.,-1.,0.)); #407523=DIRECTION('',(0.,-1.,0.)); #407524=DIRECTION('',(0.,0.,1.)); #407525=DIRECTION('center_axis',(-0.707106781186583,-0.707106781186512, 0.)); #407526=DIRECTION('ref_axis',(0.707106781186512,-0.707106781186583,0.)); #407527=DIRECTION('',(0.707106781186512,-0.707106781186583,0.)); #407528=DIRECTION('',(0.707106781186512,-0.707106781186583,0.)); #407529=DIRECTION('',(0.,0.,1.)); #407530=DIRECTION('center_axis',(0.,-1.,0.)); #407531=DIRECTION('ref_axis',(1.,0.,0.)); #407532=DIRECTION('',(1.,0.,0.)); #407533=DIRECTION('',(1.,0.,0.)); #407534=DIRECTION('',(0.,0.,1.)); #407535=DIRECTION('center_axis',(0.7071067811866,-0.707106781186495,0.)); #407536=DIRECTION('ref_axis',(0.707106781186495,0.7071067811866,0.)); #407537=DIRECTION('',(0.707106781186495,0.7071067811866,0.)); #407538=DIRECTION('',(0.707106781186495,0.7071067811866,0.)); #407539=DIRECTION('',(0.,0.,1.)); #407540=DIRECTION('center_axis',(1.,0.,0.)); #407541=DIRECTION('ref_axis',(0.,1.,0.)); #407542=DIRECTION('',(0.,1.,0.)); #407543=DIRECTION('',(0.,1.,0.)); #407544=DIRECTION('',(0.,0.,1.)); #407545=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #407546=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #407547=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #407548=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #407549=DIRECTION('',(0.,0.,1.)); #407550=DIRECTION('center_axis',(0.,1.,0.)); #407551=DIRECTION('ref_axis',(-1.,0.,0.)); #407552=DIRECTION('',(-1.,0.,0.)); #407553=DIRECTION('',(-1.,0.,0.)); #407554=DIRECTION('',(0.,0.,1.)); #407555=DIRECTION('center_axis',(0.183305290257444,0.983056036329382,0.)); #407556=DIRECTION('ref_axis',(-0.983056036329381,0.183305290257444,0.)); #407557=DIRECTION('',(-0.983056036329381,0.183305290257444,0.)); #407558=DIRECTION('',(-0.983056036329381,0.183305290257444,0.)); #407559=DIRECTION('',(0.,0.,1.)); #407560=DIRECTION('center_axis',(0.538911466745573,0.842362410729571,0.)); #407561=DIRECTION('ref_axis',(-0.842362410729571,0.538911466745573,0.)); #407562=DIRECTION('',(-0.842362410729571,0.538911466745573,0.)); #407563=DIRECTION('',(-0.842362410729571,0.538911466745573,0.)); #407564=DIRECTION('',(0.,0.,1.)); #407565=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #407566=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #407567=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #407568=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #407569=DIRECTION('',(0.,0.,1.)); #407570=DIRECTION('center_axis',(0.980008419561336,0.198956019232626,0.)); #407571=DIRECTION('ref_axis',(-0.198956019232626,0.980008419561336,0.)); #407572=DIRECTION('',(-0.198956019232626,0.980008419561336,0.)); #407573=DIRECTION('',(-0.198956019232626,0.980008419561336,0.)); #407574=DIRECTION('',(0.,0.,1.)); #407575=DIRECTION('center_axis',(1.,0.,0.)); #407576=DIRECTION('ref_axis',(0.,1.,0.)); #407577=DIRECTION('',(0.,1.,0.)); #407578=DIRECTION('',(0.,1.,0.)); #407579=DIRECTION('',(0.,0.,1.)); #407580=DIRECTION('center_axis',(0.981560865252928,-0.191149856928859,0.)); #407581=DIRECTION('ref_axis',(0.191149856928859,0.981560865252928,0.)); #407582=DIRECTION('',(0.191149856928859,0.981560865252928,0.)); #407583=DIRECTION('',(0.191149856928859,0.981560865252928,0.)); #407584=DIRECTION('',(0.,0.,1.)); #407585=DIRECTION('center_axis',(0.833659859749666,-0.552278225392028,0.)); #407586=DIRECTION('ref_axis',(0.552278225392028,0.833659859749665,0.)); #407587=DIRECTION('',(0.552278225392028,0.833659859749665,0.)); #407588=DIRECTION('',(0.552278225392028,0.833659859749665,0.)); #407589=DIRECTION('',(0.,0.,1.)); #407590=DIRECTION('center_axis',(0.707108784529055,-0.707104777838364,0.)); #407591=DIRECTION('ref_axis',(0.707104777838364,0.707108784529055,0.)); #407592=DIRECTION('',(0.707104777838364,0.707108784529055,0.)); #407593=DIRECTION('',(0.707104777838364,0.707108784529055,0.)); #407594=DIRECTION('',(0.,0.,1.)); #407595=DIRECTION('center_axis',(1.,0.,0.)); #407596=DIRECTION('ref_axis',(0.,1.,0.)); #407597=DIRECTION('',(0.,1.,0.)); #407598=DIRECTION('',(0.,1.,0.)); #407599=DIRECTION('',(0.,0.,1.)); #407600=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #407601=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #407602=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #407603=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #407604=DIRECTION('center_axis',(0.,1.,0.)); #407605=DIRECTION('ref_axis',(-1.,0.,0.)); #407606=DIRECTION('',(-1.,0.,0.)); #407607=DIRECTION('',(0.,0.,1.)); #407608=DIRECTION('',(-1.,0.,0.)); #407609=DIRECTION('',(0.,0.,1.)); #407610=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #407611=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #407612=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #407613=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #407614=DIRECTION('',(0.,0.,1.)); #407615=DIRECTION('center_axis',(-1.,0.,0.)); #407616=DIRECTION('ref_axis',(0.,-1.,0.)); #407617=DIRECTION('',(0.,-1.,0.)); #407618=DIRECTION('',(0.,-1.,0.)); #407619=DIRECTION('',(0.,0.,1.)); #407620=DIRECTION('center_axis',(-0.707108784529046,-0.707104777838373, 0.)); #407621=DIRECTION('ref_axis',(0.707104777838373,-0.707108784529046,0.)); #407622=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #407623=DIRECTION('',(0.707104777838373,-0.707108784529046,0.)); #407624=DIRECTION('',(0.,0.,1.)); #407625=DIRECTION('center_axis',(-0.829203833807412,-0.558946331948864, 0.)); #407626=DIRECTION('ref_axis',(0.558946331948864,-0.829203833807412,0.)); #407627=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #407628=DIRECTION('',(0.558946331948864,-0.829203833807412,0.)); #407629=DIRECTION('',(0.,0.,1.)); #407630=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #407631=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #407632=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #407633=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #407634=DIRECTION('',(0.,0.,1.)); #407635=DIRECTION('center_axis',(-1.,0.,0.)); #407636=DIRECTION('ref_axis',(0.,-1.,0.)); #407637=DIRECTION('',(0.,-1.,0.)); #407638=DIRECTION('',(0.,-1.,0.)); #407639=DIRECTION('',(0.,0.,1.)); #407640=DIRECTION('center_axis',(-0.983056036329426,0.183305290257204,0.)); #407641=DIRECTION('ref_axis',(-0.183305290257204,-0.983056036329426,0.)); #407642=DIRECTION('',(-0.183305290257204,-0.983056036329426,0.)); #407643=DIRECTION('',(-0.183305290257204,-0.983056036329426,0.)); #407644=DIRECTION('',(0.,0.,1.)); #407645=DIRECTION('center_axis',(-0.842362410729244,0.538911466746084,0.)); #407646=DIRECTION('ref_axis',(-0.538911466746084,-0.842362410729244,0.)); #407647=DIRECTION('',(-0.538911466746084,-0.842362410729244,0.)); #407648=DIRECTION('',(-0.538911466746084,-0.842362410729244,0.)); #407649=DIRECTION('',(0.,0.,1.)); #407650=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #407651=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #407652=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #407653=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #407654=DIRECTION('',(0.,0.,1.)); #407655=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #407656=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #407657=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #407658=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #407659=DIRECTION('',(0.,0.,1.)); #407660=DIRECTION('center_axis',(0.,1.,0.)); #407661=DIRECTION('ref_axis',(-1.,0.,0.)); #407662=DIRECTION('',(-1.,0.,0.)); #407663=DIRECTION('',(-1.,0.,0.)); #407664=DIRECTION('',(0.,0.,1.)); #407665=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #407666=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #407667=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #407668=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #407669=DIRECTION('',(0.,0.,1.)); #407670=DIRECTION('center_axis',(-1.,0.,0.)); #407671=DIRECTION('ref_axis',(0.,-1.,0.)); #407672=DIRECTION('',(0.,-1.,0.)); #407673=DIRECTION('',(0.,-1.,0.)); #407674=DIRECTION('',(0.,0.,1.)); #407675=DIRECTION('center_axis',(-0.7071067811866,-0.707106781186495,0.)); #407676=DIRECTION('ref_axis',(0.707106781186495,-0.7071067811866,0.)); #407677=DIRECTION('',(0.707106781186495,-0.7071067811866,0.)); #407678=DIRECTION('',(0.707106781186495,-0.7071067811866,0.)); #407679=DIRECTION('',(0.,0.,1.)); #407680=DIRECTION('center_axis',(0.,-1.,0.)); #407681=DIRECTION('ref_axis',(1.,0.,0.)); #407682=DIRECTION('',(1.,0.,0.)); #407683=DIRECTION('',(1.,0.,0.)); #407684=DIRECTION('',(0.,0.,1.)); #407685=DIRECTION('center_axis',(0.7071067811866,-0.707106781186495,0.)); #407686=DIRECTION('ref_axis',(0.707106781186495,0.7071067811866,0.)); #407687=DIRECTION('',(0.707106781186495,0.7071067811866,0.)); #407688=DIRECTION('',(0.707106781186495,0.7071067811866,0.)); #407689=DIRECTION('',(0.,0.,1.)); #407690=DIRECTION('center_axis',(1.,0.,0.)); #407691=DIRECTION('ref_axis',(0.,1.,0.)); #407692=DIRECTION('',(0.,1.,0.)); #407693=DIRECTION('',(0.,1.,0.)); #407694=DIRECTION('',(0.,0.,1.)); #407695=DIRECTION('center_axis',(0.707106781186565,0.70710678118653,0.)); #407696=DIRECTION('ref_axis',(-0.70710678118653,0.707106781186565,0.)); #407697=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #407698=DIRECTION('',(-0.70710678118653,0.707106781186565,0.)); #407699=DIRECTION('',(0.,0.,1.)); #407700=DIRECTION('center_axis',(0.,1.,0.)); #407701=DIRECTION('ref_axis',(-1.,0.,0.)); #407702=DIRECTION('',(-1.,0.,0.)); #407703=DIRECTION('',(-1.,0.,0.)); #407704=DIRECTION('',(0.,0.,1.)); #407705=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #407706=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #407707=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #407708=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #407709=DIRECTION('',(0.,0.,1.)); #407710=DIRECTION('center_axis',(0.53891146674564,0.842362410729529,0.)); #407711=DIRECTION('ref_axis',(-0.842362410729529,0.53891146674564,0.)); #407712=DIRECTION('',(-0.842362410729529,0.53891146674564,0.)); #407713=DIRECTION('',(-0.842362410729529,0.53891146674564,0.)); #407714=DIRECTION('',(0.,0.,1.)); #407715=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #407716=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #407717=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #407718=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #407719=DIRECTION('',(0.,0.,1.)); #407720=DIRECTION('center_axis',(0.980008419561336,0.198956019232626,0.)); #407721=DIRECTION('ref_axis',(-0.198956019232626,0.980008419561336,0.)); #407722=DIRECTION('',(-0.198956019232626,0.980008419561336,0.)); #407723=DIRECTION('',(-0.198956019232626,0.980008419561336,0.)); #407724=DIRECTION('',(0.,0.,1.)); #407725=DIRECTION('center_axis',(1.,0.,0.)); #407726=DIRECTION('ref_axis',(0.,1.,0.)); #407727=DIRECTION('',(0.,1.,0.)); #407728=DIRECTION('',(0.,1.,0.)); #407729=DIRECTION('',(0.,0.,1.)); #407730=DIRECTION('center_axis',(0.981560865252928,-0.191149856928859,0.)); #407731=DIRECTION('ref_axis',(0.191149856928859,0.981560865252928,0.)); #407732=DIRECTION('',(0.191149856928859,0.981560865252928,0.)); #407733=DIRECTION('',(0.191149856928859,0.981560865252928,0.)); #407734=DIRECTION('',(0.,0.,1.)); #407735=DIRECTION('center_axis',(0.833659859749666,-0.552278225392028,0.)); #407736=DIRECTION('ref_axis',(0.552278225392028,0.833659859749665,0.)); #407737=DIRECTION('',(0.552278225392028,0.833659859749665,0.)); #407738=DIRECTION('',(0.552278225392028,0.833659859749665,0.)); #407739=DIRECTION('',(0.,0.,1.)); #407740=DIRECTION('center_axis',(0.707108784529064,-0.707104777838355,0.)); #407741=DIRECTION('ref_axis',(0.707104777838355,0.707108784529064,0.)); #407742=DIRECTION('',(0.707104777838355,0.707108784529064,0.)); #407743=DIRECTION('',(0.707104777838355,0.707108784529064,0.)); #407744=DIRECTION('',(0.,0.,1.)); #407745=DIRECTION('center_axis',(1.,0.,0.)); #407746=DIRECTION('ref_axis',(0.,1.,0.)); #407747=DIRECTION('',(0.,1.,0.)); #407748=DIRECTION('',(0.,1.,0.)); #407749=DIRECTION('',(0.,0.,1.)); #407750=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #407751=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #407752=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #407753=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #407754=DIRECTION('center_axis',(0.0523362156363776,-0.998629521160306, 0.)); #407755=DIRECTION('ref_axis',(0.998629521160306,0.0523362156363776,0.)); #407756=DIRECTION('',(0.998629521160306,0.0523362156363776,0.)); #407757=DIRECTION('',(0.,0.,1.)); #407758=DIRECTION('',(0.998629521160306,0.0523362156363776,0.)); #407759=DIRECTION('',(0.,0.,1.)); #407760=DIRECTION('center_axis',(0.,-1.,0.)); #407761=DIRECTION('ref_axis',(1.,0.,0.)); #407762=DIRECTION('',(1.,0.,0.)); #407763=DIRECTION('',(1.,0.,0.)); #407764=DIRECTION('',(0.,0.,1.)); #407765=DIRECTION('center_axis',(-0.0523362156363776,-0.998629521160306, 0.)); #407766=DIRECTION('ref_axis',(0.998629521160306,-0.0523362156363776,0.)); #407767=DIRECTION('',(0.998629521160306,-0.0523362156363776,0.)); #407768=DIRECTION('',(0.998629521160306,-0.0523362156363776,0.)); #407769=DIRECTION('',(0.,0.,1.)); #407770=DIRECTION('center_axis',(-0.104588344609304,-0.994515599762963, 0.)); #407771=DIRECTION('ref_axis',(0.994515599762963,-0.104588344609304,0.)); #407772=DIRECTION('',(0.994515599762963,-0.104588344609304,0.)); #407773=DIRECTION('',(0.994515599762963,-0.104588344609304,0.)); #407774=DIRECTION('',(0.,0.,1.)); #407775=DIRECTION('center_axis',(-0.156434606552449,-0.987688318181794, 0.)); #407776=DIRECTION('ref_axis',(0.987688318181794,-0.156434606552449,0.)); #407777=DIRECTION('',(0.987688318181794,-0.156434606552449,0.)); #407778=DIRECTION('',(0.987688318181794,-0.156434606552449,0.)); #407779=DIRECTION('',(0.,0.,1.)); #407780=DIRECTION('center_axis',(-0.208086713847857,-0.978110382073516, 0.)); #407781=DIRECTION('ref_axis',(0.978110382073516,-0.208086713847857,0.)); #407782=DIRECTION('',(0.978110382073516,-0.208086713847857,0.)); #407783=DIRECTION('',(0.978110382073516,-0.208086713847857,0.)); #407784=DIRECTION('',(0.,0.,1.)); #407785=DIRECTION('center_axis',(-0.258818923728286,-0.965925858811188, 0.)); #407786=DIRECTION('ref_axis',(0.965925858811188,-0.258818923728286,0.)); #407787=DIRECTION('',(0.965925858811188,-0.258818923728286,0.)); #407788=DIRECTION('',(0.965925858811188,-0.258818923728286,0.)); #407789=DIRECTION('',(0.,0.,1.)); #407790=DIRECTION('center_axis',(-0.309176804171019,-0.951004576099713, 0.)); #407791=DIRECTION('ref_axis',(0.951004576099713,-0.309176804171019,0.)); #407792=DIRECTION('',(0.951004576099713,-0.309176804171019,0.)); #407793=DIRECTION('',(0.951004576099713,-0.309176804171019,0.)); #407794=DIRECTION('',(0.,0.,1.)); #407795=DIRECTION('center_axis',(-0.358367996333272,-0.933580408536981, 0.)); #407796=DIRECTION('ref_axis',(0.933580408536981,-0.358367996333272,0.)); #407797=DIRECTION('',(0.933580408536981,-0.358367996333272,0.)); #407798=DIRECTION('',(0.933580408536981,-0.358367996333272,0.)); #407799=DIRECTION('',(0.,0.,1.)); #407800=DIRECTION('center_axis',(-0.4066653437369,-0.913577198819754,0.)); #407801=DIRECTION('ref_axis',(0.913577198819754,-0.4066653437369,0.)); #407802=DIRECTION('',(0.913577198819754,-0.4066653437369,0.)); #407803=DIRECTION('',(0.913577198819754,-0.4066653437369,0.)); #407804=DIRECTION('',(0.,0.,1.)); #407805=DIRECTION('center_axis',(-0.453989804771014,-0.891006878292181, 0.)); #407806=DIRECTION('ref_axis',(0.891006878292181,-0.453989804771014,0.)); #407807=DIRECTION('',(0.891006878292181,-0.453989804771014,0.)); #407808=DIRECTION('',(0.891006878292181,-0.453989804771014,0.)); #407809=DIRECTION('',(0.,0.,1.)); #407810=DIRECTION('center_axis',(-0.500030005353914,-0.866008079492198, 0.)); #407811=DIRECTION('ref_axis',(0.866008079492198,-0.500030005353914,0.)); #407812=DIRECTION('',(0.866008079492198,-0.500030005353914,0.)); #407813=DIRECTION('',(0.866008079492198,-0.500030005353914,0.)); #407814=DIRECTION('',(0.,0.,1.)); #407815=DIRECTION('center_axis',(-0.544639401283104,-0.83867033008804,0.)); #407816=DIRECTION('ref_axis',(0.83867033008804,-0.544639401283104,0.)); #407817=DIRECTION('',(0.83867033008804,-0.544639401283104,0.)); #407818=DIRECTION('',(0.83867033008804,-0.544639401283104,0.)); #407819=DIRECTION('',(0.,0.,1.)); #407820=DIRECTION('center_axis',(-0.58771312338739,-0.809069394179658,0.)); #407821=DIRECTION('ref_axis',(0.809069394179658,-0.58771312338739,0.)); #407822=DIRECTION('',(0.809069394179658,-0.58771312338739,0.)); #407823=DIRECTION('',(0.809069394179658,-0.58771312338739,0.)); #407824=DIRECTION('',(0.,0.,1.)); #407825=DIRECTION('center_axis',(-0.629320434163395,-0.777145926544299, 0.)); #407826=DIRECTION('ref_axis',(0.777145926544299,-0.629320434163395,0.)); #407827=DIRECTION('',(0.777145926544299,-0.629320434163395,0.)); #407828=DIRECTION('',(0.777145926544299,-0.629320434163395,0.)); #407829=DIRECTION('',(0.,0.,1.)); #407830=DIRECTION('center_axis',(-0.669130940435059,-0.743144524673696, 0.)); #407831=DIRECTION('ref_axis',(0.743144524673696,-0.669130940435059,0.)); #407832=DIRECTION('',(0.743144524673696,-0.669130940435059,0.)); #407833=DIRECTION('',(0.743144524673696,-0.669130940435059,0.)); #407834=DIRECTION('',(0.,0.,1.)); #407835=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #407836=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #407837=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #407838=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #407839=DIRECTION('',(0.,0.,1.)); #407840=DIRECTION('center_axis',(-0.743144524673696,-0.669130940435059, 0.)); #407841=DIRECTION('ref_axis',(0.669130940435059,-0.743144524673696,0.)); #407842=DIRECTION('',(0.669130940435059,-0.743144524673696,0.)); #407843=DIRECTION('',(0.669130940435059,-0.743144524673696,0.)); #407844=DIRECTION('',(0.,0.,1.)); #407845=DIRECTION('center_axis',(-0.777145926544299,-0.629320434163395, 0.)); #407846=DIRECTION('ref_axis',(0.629320434163395,-0.777145926544299,0.)); #407847=DIRECTION('',(0.629320434163395,-0.777145926544299,0.)); #407848=DIRECTION('',(0.629320434163395,-0.777145926544299,0.)); #407849=DIRECTION('',(0.,0.,1.)); #407850=DIRECTION('center_axis',(-0.809069394179658,-0.58771312338739,0.)); #407851=DIRECTION('ref_axis',(0.58771312338739,-0.809069394179658,0.)); #407852=DIRECTION('',(0.58771312338739,-0.809069394179658,0.)); #407853=DIRECTION('',(0.58771312338739,-0.809069394179658,0.)); #407854=DIRECTION('',(0.,0.,1.)); #407855=DIRECTION('center_axis',(-0.83867033008804,-0.544639401283104,0.)); #407856=DIRECTION('ref_axis',(0.544639401283104,-0.83867033008804,0.)); #407857=DIRECTION('',(0.544639401283104,-0.83867033008804,0.)); #407858=DIRECTION('',(0.544639401283104,-0.83867033008804,0.)); #407859=DIRECTION('',(0.,0.,1.)); #407860=DIRECTION('center_axis',(-0.866008079492198,-0.500030005353914, 0.)); #407861=DIRECTION('ref_axis',(0.500030005353914,-0.866008079492198,0.)); #407862=DIRECTION('',(0.500030005353914,-0.866008079492198,0.)); #407863=DIRECTION('',(0.500030005353914,-0.866008079492198,0.)); #407864=DIRECTION('',(0.,0.,1.)); #407865=DIRECTION('center_axis',(-0.891006878292181,-0.453989804771014, 0.)); #407866=DIRECTION('ref_axis',(0.453989804771014,-0.891006878292181,0.)); #407867=DIRECTION('',(0.453989804771014,-0.891006878292181,0.)); #407868=DIRECTION('',(0.453989804771014,-0.891006878292181,0.)); #407869=DIRECTION('',(0.,0.,1.)); #407870=DIRECTION('center_axis',(-0.913577198819754,-0.4066653437369,0.)); #407871=DIRECTION('ref_axis',(0.4066653437369,-0.913577198819754,0.)); #407872=DIRECTION('',(0.4066653437369,-0.913577198819754,0.)); #407873=DIRECTION('',(0.4066653437369,-0.913577198819754,0.)); #407874=DIRECTION('',(0.,0.,1.)); #407875=DIRECTION('center_axis',(-0.933580408536981,-0.358367996333272, 0.)); #407876=DIRECTION('ref_axis',(0.358367996333272,-0.933580408536981,0.)); #407877=DIRECTION('',(0.358367996333272,-0.933580408536981,0.)); #407878=DIRECTION('',(0.358367996333272,-0.933580408536981,0.)); #407879=DIRECTION('',(0.,0.,1.)); #407880=DIRECTION('center_axis',(-0.951004576099713,-0.309176804171019, 0.)); #407881=DIRECTION('ref_axis',(0.309176804171019,-0.951004576099713,0.)); #407882=DIRECTION('',(0.309176804171019,-0.951004576099713,0.)); #407883=DIRECTION('',(0.309176804171019,-0.951004576099713,0.)); #407884=DIRECTION('',(0.,0.,1.)); #407885=DIRECTION('center_axis',(-0.965925858811188,-0.258818923728286, 0.)); #407886=DIRECTION('ref_axis',(0.258818923728286,-0.965925858811188,0.)); #407887=DIRECTION('',(0.258818923728286,-0.965925858811188,0.)); #407888=DIRECTION('',(0.258818923728286,-0.965925858811188,0.)); #407889=DIRECTION('',(0.,0.,1.)); #407890=DIRECTION('center_axis',(-0.978110382073516,-0.208086713847857, 0.)); #407891=DIRECTION('ref_axis',(0.208086713847857,-0.978110382073516,0.)); #407892=DIRECTION('',(0.208086713847857,-0.978110382073516,0.)); #407893=DIRECTION('',(0.208086713847857,-0.978110382073516,0.)); #407894=DIRECTION('',(0.,0.,1.)); #407895=DIRECTION('center_axis',(-0.987688318181794,-0.156434606552449, 0.)); #407896=DIRECTION('ref_axis',(0.156434606552449,-0.987688318181794,0.)); #407897=DIRECTION('',(0.156434606552449,-0.987688318181794,0.)); #407898=DIRECTION('',(0.156434606552449,-0.987688318181794,0.)); #407899=DIRECTION('',(0.,0.,1.)); #407900=DIRECTION('center_axis',(-0.994515599762963,-0.104588344609304, 0.)); #407901=DIRECTION('ref_axis',(0.104588344609304,-0.994515599762963,0.)); #407902=DIRECTION('',(0.104588344609304,-0.994515599762963,0.)); #407903=DIRECTION('',(0.104588344609304,-0.994515599762963,0.)); #407904=DIRECTION('',(0.,0.,1.)); #407905=DIRECTION('center_axis',(-0.998629521160306,-0.0523362156363776, 0.)); #407906=DIRECTION('ref_axis',(0.0523362156363776,-0.998629521160306,0.)); #407907=DIRECTION('',(0.0523362156363776,-0.998629521160306,0.)); #407908=DIRECTION('',(0.0523362156363776,-0.998629521160306,0.)); #407909=DIRECTION('',(0.,0.,1.)); #407910=DIRECTION('center_axis',(-1.,0.,0.)); #407911=DIRECTION('ref_axis',(0.,-1.,0.)); #407912=DIRECTION('',(0.,-1.,0.)); #407913=DIRECTION('',(0.,-1.,0.)); #407914=DIRECTION('',(0.,0.,1.)); #407915=DIRECTION('center_axis',(-0.998629521160306,0.0523362156363776, 0.)); #407916=DIRECTION('ref_axis',(-0.0523362156363776,-0.998629521160306,0.)); #407917=DIRECTION('',(-0.0523362156363776,-0.998629521160306,0.)); #407918=DIRECTION('',(-0.0523362156363776,-0.998629521160306,0.)); #407919=DIRECTION('',(0.,0.,1.)); #407920=DIRECTION('center_axis',(-0.994515599762963,0.104588344609304,0.)); #407921=DIRECTION('ref_axis',(-0.104588344609304,-0.994515599762963,0.)); #407922=DIRECTION('',(-0.104588344609304,-0.994515599762963,0.)); #407923=DIRECTION('',(-0.104588344609304,-0.994515599762963,0.)); #407924=DIRECTION('',(0.,0.,1.)); #407925=DIRECTION('center_axis',(-0.987688318181794,0.156434606552449,0.)); #407926=DIRECTION('ref_axis',(-0.156434606552449,-0.987688318181794,0.)); #407927=DIRECTION('',(-0.156434606552449,-0.987688318181794,0.)); #407928=DIRECTION('',(-0.156434606552449,-0.987688318181794,0.)); #407929=DIRECTION('',(0.,0.,1.)); #407930=DIRECTION('center_axis',(-0.978110382073516,0.208086713847857,0.)); #407931=DIRECTION('ref_axis',(-0.208086713847857,-0.978110382073516,0.)); #407932=DIRECTION('',(-0.208086713847857,-0.978110382073516,0.)); #407933=DIRECTION('',(-0.208086713847857,-0.978110382073516,0.)); #407934=DIRECTION('',(0.,0.,1.)); #407935=DIRECTION('center_axis',(-0.965925858811188,0.258818923728287,0.)); #407936=DIRECTION('ref_axis',(-0.258818923728287,-0.965925858811188,0.)); #407937=DIRECTION('',(-0.258818923728287,-0.965925858811188,0.)); #407938=DIRECTION('',(-0.258818923728287,-0.965925858811188,0.)); #407939=DIRECTION('',(0.,0.,1.)); #407940=DIRECTION('center_axis',(-0.95100457609982,0.309176804170691,0.)); #407941=DIRECTION('ref_axis',(-0.309176804170691,-0.95100457609982,0.)); #407942=DIRECTION('',(-0.309176804170691,-0.95100457609982,0.)); #407943=DIRECTION('',(-0.309176804170691,-0.95100457609982,0.)); #407944=DIRECTION('',(0.,0.,1.)); #407945=DIRECTION('center_axis',(-0.933580408536981,0.358367996333272,0.)); #407946=DIRECTION('ref_axis',(-0.358367996333272,-0.933580408536981,0.)); #407947=DIRECTION('',(-0.358367996333272,-0.933580408536981,0.)); #407948=DIRECTION('',(-0.358367996333272,-0.933580408536981,0.)); #407949=DIRECTION('',(0.,0.,1.)); #407950=DIRECTION('center_axis',(-0.913577198819662,0.406665343737107,0.)); #407951=DIRECTION('ref_axis',(-0.406665343737107,-0.913577198819662,0.)); #407952=DIRECTION('',(-0.406665343737107,-0.913577198819662,0.)); #407953=DIRECTION('',(-0.406665343737107,-0.913577198819662,0.)); #407954=DIRECTION('',(0.,0.,1.)); #407955=DIRECTION('center_axis',(-0.891006878292181,0.453989804771014,0.)); #407956=DIRECTION('ref_axis',(-0.453989804771014,-0.891006878292181,0.)); #407957=DIRECTION('',(-0.453989804771013,-0.891006878292181,0.)); #407958=DIRECTION('',(-0.453989804771013,-0.891006878292181,0.)); #407959=DIRECTION('',(0.,0.,1.)); #407960=DIRECTION('center_axis',(-0.866008079492268,0.500030005353793,0.)); #407961=DIRECTION('ref_axis',(-0.500030005353793,-0.866008079492268,0.)); #407962=DIRECTION('',(-0.500030005353793,-0.866008079492267,0.)); #407963=DIRECTION('',(-0.500030005353793,-0.866008079492267,0.)); #407964=DIRECTION('',(0.,0.,1.)); #407965=DIRECTION('center_axis',(-0.838670330088039,0.544639401283105,0.)); #407966=DIRECTION('ref_axis',(-0.544639401283105,-0.838670330088039,0.)); #407967=DIRECTION('',(-0.544639401283105,-0.838670330088039,0.)); #407968=DIRECTION('',(-0.544639401283105,-0.838670330088039,0.)); #407969=DIRECTION('',(0.,0.,1.)); #407970=DIRECTION('center_axis',(-0.809112694270273,0.587653510132204,0.)); #407971=DIRECTION('ref_axis',(-0.587653510132204,-0.809112694270273,0.)); #407972=DIRECTION('',(-0.587653510132204,-0.809112694270273,0.)); #407973=DIRECTION('',(-0.587653510132204,-0.809112694270273,0.)); #407974=DIRECTION('',(0.,0.,1.)); #407975=DIRECTION('center_axis',(-0.777145813759066,0.629320573441517,0.)); #407976=DIRECTION('ref_axis',(-0.629320573441517,-0.777145813759066,0.)); #407977=DIRECTION('',(-0.629320573441517,-0.777145813759066,0.)); #407978=DIRECTION('',(-0.629320573441517,-0.777145813759066,0.)); #407979=DIRECTION('',(0.,0.,1.)); #407980=DIRECTION('center_axis',(-0.74314452467407,0.669130940434644,0.)); #407981=DIRECTION('ref_axis',(-0.669130940434644,-0.74314452467407,0.)); #407982=DIRECTION('',(-0.669130940434644,-0.74314452467407,0.)); #407983=DIRECTION('',(-0.669130940434644,-0.74314452467407,0.)); #407984=DIRECTION('',(0.,0.,1.)); #407985=DIRECTION('center_axis',(-0.707106752708626,0.707106809664468,0.)); #407986=DIRECTION('ref_axis',(-0.707106809664468,-0.707106752708626,0.)); #407987=DIRECTION('',(-0.707106809664468,-0.707106752708626,0.)); #407988=DIRECTION('',(-0.707106809664468,-0.707106752708626,0.)); #407989=DIRECTION('',(0.,0.,1.)); #407990=DIRECTION('center_axis',(-0.669158632145954,0.743119589988419,0.)); #407991=DIRECTION('ref_axis',(-0.743119589988419,-0.669158632145954,0.)); #407992=DIRECTION('',(-0.743119589988419,-0.669158632145954,0.)); #407993=DIRECTION('',(-0.743119589988419,-0.669158632145954,0.)); #407994=DIRECTION('',(0.,0.,1.)); #407995=DIRECTION('center_axis',(-0.629320416963983,0.777145940472109,0.)); #407996=DIRECTION('ref_axis',(-0.777145940472109,-0.629320416963983,0.)); #407997=DIRECTION('',(-0.777145940472109,-0.629320416963983,0.)); #407998=DIRECTION('',(-0.777145940472109,-0.629320416963983,0.)); #407999=DIRECTION('',(0.,0.,1.)); #408000=DIRECTION('center_axis',(-0.587672085059942,0.809099203090264,0.)); #408001=DIRECTION('ref_axis',(-0.809099203090264,-0.587672085059942,0.)); #408002=DIRECTION('',(-0.809099203090264,-0.587672085059942,0.)); #408003=DIRECTION('',(-0.809099203090264,-0.587672085059942,0.)); #408004=DIRECTION('',(0.,0.,1.)); #408005=DIRECTION('center_axis',(-0.54463944134402,0.838670304072151,0.)); #408006=DIRECTION('ref_axis',(-0.838670304072151,-0.54463944134402,0.)); #408007=DIRECTION('',(-0.838670304072151,-0.54463944134402,0.)); #408008=DIRECTION('',(-0.838670304072151,-0.54463944134402,0.)); #408009=DIRECTION('',(0.,0.,1.)); #408010=DIRECTION('center_axis',(-0.500077026025872,0.8659809282202,0.)); #408011=DIRECTION('ref_axis',(-0.8659809282202,-0.500077026025872,0.)); #408012=DIRECTION('',(-0.8659809282202,-0.500077026025872,0.)); #408013=DIRECTION('',(-0.8659809282202,-0.500077026025872,0.)); #408014=DIRECTION('',(0.,0.,1.)); #408015=DIRECTION('center_axis',(-0.453989736945704,0.891006912850832,0.)); #408016=DIRECTION('ref_axis',(-0.891006912850832,-0.453989736945704,0.)); #408017=DIRECTION('',(-0.891006912850832,-0.453989736945704,0.)); #408018=DIRECTION('',(-0.891006912850832,-0.453989736945704,0.)); #408019=DIRECTION('',(0.,0.,1.)); #408020=DIRECTION('center_axis',(-0.406696734240247,0.913563225156485,0.)); #408021=DIRECTION('ref_axis',(-0.913563225156485,-0.406696734240247,0.)); #408022=DIRECTION('',(-0.913563225156485,-0.406696734240247,0.)); #408023=DIRECTION('',(-0.913563225156485,-0.406696734240247,0.)); #408024=DIRECTION('',(0.,0.,1.)); #408025=DIRECTION('center_axis',(-0.358367921871551,0.933580437120158,0.)); #408026=DIRECTION('ref_axis',(-0.933580437120158,-0.358367921871551,0.)); #408027=DIRECTION('',(-0.933580437120158,-0.358367921871551,0.)); #408028=DIRECTION('',(-0.933580437120158,-0.358367921871551,0.)); #408029=DIRECTION('',(0.,0.,1.)); #408030=DIRECTION('center_axis',(-0.309222166921588,0.950989827224412,0.)); #408031=DIRECTION('ref_axis',(-0.950989827224412,-0.309222166921588,0.)); #408032=DIRECTION('',(-0.950989827224412,-0.309222166921588,0.)); #408033=DIRECTION('',(-0.950989827224412,-0.309222166921588,0.)); #408034=DIRECTION('',(0.,0.,1.)); #408035=DIRECTION('center_axis',(-0.258818764306683,0.965925901528043,0.)); #408036=DIRECTION('ref_axis',(-0.965925901528042,-0.258818764306683,0.)); #408037=DIRECTION('',(-0.965925901528042,-0.258818764306683,0.)); #408038=DIRECTION('',(-0.965925901528042,-0.258818764306683,0.)); #408039=DIRECTION('',(0.,0.,1.)); #408040=DIRECTION('center_axis',(-0.208158693551523,0.978095066084541,0.)); #408041=DIRECTION('ref_axis',(-0.978095066084541,-0.208158693551523,0.)); #408042=DIRECTION('',(-0.978095066084541,-0.208158693551523,0.)); #408043=DIRECTION('',(-0.978095066084541,-0.208158693551523,0.)); #408044=DIRECTION('',(0.,0.,1.)); #408045=DIRECTION('center_axis',(-0.156434392638562,0.987688352062433,0.)); #408046=DIRECTION('ref_axis',(-0.987688352062433,-0.156434392638562,0.)); #408047=DIRECTION('',(-0.987688352062433,-0.156434392638562,0.)); #408048=DIRECTION('',(-0.987688352062433,-0.156434392638562,0.)); #408049=DIRECTION('',(0.,0.,1.)); #408050=DIRECTION('center_axis',(-0.104665209675673,0.994507513236349,0.)); #408051=DIRECTION('ref_axis',(-0.994507513236349,-0.104665209675673,0.)); #408052=DIRECTION('',(-0.994507513236349,-0.104665209675673,0.)); #408053=DIRECTION('',(-0.994507513236349,-0.104665209675673,0.)); #408054=DIRECTION('',(0.,0.,1.)); #408055=DIRECTION('center_axis',(-0.0523361815564572,0.998629522946368, 0.)); #408056=DIRECTION('ref_axis',(-0.998629522946368,-0.0523361815564572,0.)); #408057=DIRECTION('',(-0.998629522946368,-0.0523361815564572,0.)); #408058=DIRECTION('',(-0.998629522946368,-0.0523361815564572,0.)); #408059=DIRECTION('',(0.,0.,1.)); #408060=DIRECTION('center_axis',(0.,1.,0.)); #408061=DIRECTION('ref_axis',(-1.,0.,0.)); #408062=DIRECTION('',(-1.,0.,0.)); #408063=DIRECTION('',(-1.,0.,0.)); #408064=DIRECTION('',(0.,0.,1.)); #408065=DIRECTION('center_axis',(0.0523361815564572,0.998629522946368,0.)); #408066=DIRECTION('ref_axis',(-0.998629522946368,0.0523361815564572,0.)); #408067=DIRECTION('',(-0.998629522946368,0.0523361815564572,0.)); #408068=DIRECTION('',(-0.998629522946368,0.0523361815564572,0.)); #408069=DIRECTION('',(0.,0.,1.)); #408070=DIRECTION('center_axis',(0.104665209675673,0.994507513236349,0.)); #408071=DIRECTION('ref_axis',(-0.994507513236349,0.104665209675673,0.)); #408072=DIRECTION('',(-0.994507513236349,0.104665209675673,0.)); #408073=DIRECTION('',(-0.994507513236349,0.104665209675673,0.)); #408074=DIRECTION('',(0.,0.,1.)); #408075=DIRECTION('center_axis',(0.156434392638562,0.987688352062433,0.)); #408076=DIRECTION('ref_axis',(-0.987688352062433,0.156434392638562,0.)); #408077=DIRECTION('',(-0.987688352062433,0.156434392638562,0.)); #408078=DIRECTION('',(-0.987688352062433,0.156434392638562,0.)); #408079=DIRECTION('',(0.,0.,1.)); #408080=DIRECTION('center_axis',(0.208158693551523,0.978095066084541,0.)); #408081=DIRECTION('ref_axis',(-0.978095066084541,0.208158693551523,0.)); #408082=DIRECTION('',(-0.978095066084541,0.208158693551523,0.)); #408083=DIRECTION('',(-0.978095066084541,0.208158693551523,0.)); #408084=DIRECTION('',(0.,0.,1.)); #408085=DIRECTION('center_axis',(0.258818764306684,0.965925901528042,0.)); #408086=DIRECTION('ref_axis',(-0.965925901528042,0.258818764306684,0.)); #408087=DIRECTION('',(-0.965925901528042,0.258818764306684,0.)); #408088=DIRECTION('',(-0.965925901528042,0.258818764306684,0.)); #408089=DIRECTION('',(0.,0.,1.)); #408090=DIRECTION('center_axis',(0.30922216692126,0.950989827224519,0.)); #408091=DIRECTION('ref_axis',(-0.950989827224519,0.30922216692126,0.)); #408092=DIRECTION('',(-0.950989827224519,0.30922216692126,0.)); #408093=DIRECTION('',(-0.950989827224519,0.30922216692126,0.)); #408094=DIRECTION('',(0.,0.,1.)); #408095=DIRECTION('center_axis',(0.358367921871551,0.933580437120158,0.)); #408096=DIRECTION('ref_axis',(-0.933580437120158,0.358367921871551,0.)); #408097=DIRECTION('',(-0.933580437120158,0.358367921871551,0.)); #408098=DIRECTION('',(-0.933580437120158,0.358367921871551,0.)); #408099=DIRECTION('',(0.,0.,1.)); #408100=DIRECTION('center_axis',(0.406696734240453,0.913563225156393,0.)); #408101=DIRECTION('ref_axis',(-0.913563225156393,0.406696734240453,0.)); #408102=DIRECTION('',(-0.913563225156393,0.406696734240453,0.)); #408103=DIRECTION('',(-0.913563225156393,0.406696734240453,0.)); #408104=DIRECTION('',(0.,0.,1.)); #408105=DIRECTION('center_axis',(0.453989736945704,0.891006912850832,0.)); #408106=DIRECTION('ref_axis',(-0.891006912850832,0.453989736945704,0.)); #408107=DIRECTION('',(-0.891006912850832,0.453989736945704,0.)); #408108=DIRECTION('',(-0.891006912850832,0.453989736945704,0.)); #408109=DIRECTION('',(0.,0.,1.)); #408110=DIRECTION('center_axis',(0.500077026025751,0.86598092822027,0.)); #408111=DIRECTION('ref_axis',(-0.86598092822027,0.500077026025751,0.)); #408112=DIRECTION('',(-0.86598092822027,0.500077026025751,0.)); #408113=DIRECTION('',(-0.86598092822027,0.500077026025751,0.)); #408114=DIRECTION('',(0.,0.,1.)); #408115=DIRECTION('center_axis',(0.544639441344021,0.83867030407215,0.)); #408116=DIRECTION('ref_axis',(-0.83867030407215,0.544639441344021,0.)); #408117=DIRECTION('',(-0.83867030407215,0.544639441344021,0.)); #408118=DIRECTION('',(-0.83867030407215,0.544639441344021,0.)); #408119=DIRECTION('',(0.,0.,1.)); #408120=DIRECTION('center_axis',(0.587612471575557,0.809142498728664,0.)); #408121=DIRECTION('ref_axis',(-0.809142498728664,0.587612471575556,0.)); #408122=DIRECTION('',(-0.809142498728664,0.587612471575556,0.)); #408123=DIRECTION('',(-0.809142498728664,0.587612471575556,0.)); #408124=DIRECTION('',(0.,0.,1.)); #408125=DIRECTION('center_axis',(0.629320556242106,0.77714582768688,0.)); #408126=DIRECTION('ref_axis',(-0.77714582768688,0.629320556242106,0.)); #408127=DIRECTION('',(-0.77714582768688,0.629320556242106,0.)); #408128=DIRECTION('',(-0.77714582768688,0.629320556242106,0.)); #408129=DIRECTION('',(0.,0.,1.)); #408130=DIRECTION('center_axis',(0.669158632145538,0.743119589988793,0.)); #408131=DIRECTION('ref_axis',(-0.743119589988793,0.669158632145538,0.)); #408132=DIRECTION('',(-0.743119589988793,0.669158632145538,0.)); #408133=DIRECTION('',(-0.743119589988793,0.669158632145538,0.)); #408134=DIRECTION('',(0.,0.,1.)); #408135=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #408136=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #408137=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #408138=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #408139=DIRECTION('',(0.,0.,1.)); #408140=DIRECTION('center_axis',(0.743119589988793,0.669158632145538,0.)); #408141=DIRECTION('ref_axis',(-0.669158632145538,0.743119589988793,0.)); #408142=DIRECTION('',(-0.669158632145538,0.743119589988793,0.)); #408143=DIRECTION('',(-0.669158632145538,0.743119589988793,0.)); #408144=DIRECTION('',(0.,0.,1.)); #408145=DIRECTION('center_axis',(0.77714582768688,0.629320556242106,0.)); #408146=DIRECTION('ref_axis',(-0.629320556242106,0.77714582768688,0.)); #408147=DIRECTION('',(-0.629320556242106,0.77714582768688,0.)); #408148=DIRECTION('',(-0.629320556242106,0.77714582768688,0.)); #408149=DIRECTION('',(0.,0.,1.)); #408150=DIRECTION('center_axis',(0.809142498728664,0.587612471575557,0.)); #408151=DIRECTION('ref_axis',(-0.587612471575556,0.809142498728664,0.)); #408152=DIRECTION('',(-0.587612471575556,0.809142498728664,0.)); #408153=DIRECTION('',(-0.587612471575556,0.809142498728664,0.)); #408154=DIRECTION('',(0.,0.,1.)); #408155=DIRECTION('center_axis',(0.83867030407215,0.544639441344021,0.)); #408156=DIRECTION('ref_axis',(-0.544639441344021,0.83867030407215,0.)); #408157=DIRECTION('',(-0.544639441344021,0.83867030407215,0.)); #408158=DIRECTION('',(-0.544639441344021,0.83867030407215,0.)); #408159=DIRECTION('',(0.,0.,1.)); #408160=DIRECTION('center_axis',(0.86598092822027,0.500077026025751,0.)); #408161=DIRECTION('ref_axis',(-0.500077026025751,0.86598092822027,0.)); #408162=DIRECTION('',(-0.500077026025751,0.86598092822027,0.)); #408163=DIRECTION('',(-0.500077026025751,0.86598092822027,0.)); #408164=DIRECTION('',(0.,0.,1.)); #408165=DIRECTION('center_axis',(0.891006912850832,0.453989736945704,0.)); #408166=DIRECTION('ref_axis',(-0.453989736945704,0.891006912850832,0.)); #408167=DIRECTION('',(-0.453989736945704,0.891006912850832,0.)); #408168=DIRECTION('',(-0.453989736945704,0.891006912850832,0.)); #408169=DIRECTION('',(0.,0.,1.)); #408170=DIRECTION('center_axis',(0.913563225156393,0.406696734240453,0.)); #408171=DIRECTION('ref_axis',(-0.406696734240453,0.913563225156393,0.)); #408172=DIRECTION('',(-0.406696734240453,0.913563225156393,0.)); #408173=DIRECTION('',(-0.406696734240453,0.913563225156393,0.)); #408174=DIRECTION('',(0.,0.,1.)); #408175=DIRECTION('center_axis',(0.933580437120158,0.358367921871551,0.)); #408176=DIRECTION('ref_axis',(-0.358367921871551,0.933580437120158,0.)); #408177=DIRECTION('',(-0.358367921871551,0.933580437120158,0.)); #408178=DIRECTION('',(-0.358367921871551,0.933580437120158,0.)); #408179=DIRECTION('',(0.,0.,1.)); #408180=DIRECTION('center_axis',(0.950989827224519,0.30922216692126,0.)); #408181=DIRECTION('ref_axis',(-0.30922216692126,0.950989827224519,0.)); #408182=DIRECTION('',(-0.30922216692126,0.950989827224519,0.)); #408183=DIRECTION('',(-0.30922216692126,0.950989827224519,0.)); #408184=DIRECTION('',(0.,0.,1.)); #408185=DIRECTION('center_axis',(0.965925901528042,0.258818764306684,0.)); #408186=DIRECTION('ref_axis',(-0.258818764306684,0.965925901528042,0.)); #408187=DIRECTION('',(-0.258818764306684,0.965925901528042,0.)); #408188=DIRECTION('',(-0.258818764306684,0.965925901528042,0.)); #408189=DIRECTION('',(0.,0.,1.)); #408190=DIRECTION('center_axis',(0.978095066084541,0.208158693551523,0.)); #408191=DIRECTION('ref_axis',(-0.208158693551523,0.978095066084541,0.)); #408192=DIRECTION('',(-0.208158693551523,0.978095066084541,0.)); #408193=DIRECTION('',(-0.208158693551523,0.978095066084541,0.)); #408194=DIRECTION('',(0.,0.,1.)); #408195=DIRECTION('center_axis',(0.987688352062433,0.156434392638562,0.)); #408196=DIRECTION('ref_axis',(-0.156434392638562,0.987688352062433,0.)); #408197=DIRECTION('',(-0.156434392638562,0.987688352062433,0.)); #408198=DIRECTION('',(-0.156434392638562,0.987688352062433,0.)); #408199=DIRECTION('',(0.,0.,1.)); #408200=DIRECTION('center_axis',(0.994507513236349,0.104665209675673,0.)); #408201=DIRECTION('ref_axis',(-0.104665209675673,0.994507513236349,0.)); #408202=DIRECTION('',(-0.104665209675673,0.994507513236349,0.)); #408203=DIRECTION('',(-0.104665209675673,0.994507513236349,0.)); #408204=DIRECTION('',(0.,0.,1.)); #408205=DIRECTION('center_axis',(0.998629522946368,0.0523361815564572,0.)); #408206=DIRECTION('ref_axis',(-0.0523361815564572,0.998629522946368,0.)); #408207=DIRECTION('',(-0.0523361815564572,0.998629522946368,0.)); #408208=DIRECTION('',(-0.0523361815564572,0.998629522946368,0.)); #408209=DIRECTION('',(0.,0.,1.)); #408210=DIRECTION('center_axis',(1.,0.,0.)); #408211=DIRECTION('ref_axis',(0.,1.,0.)); #408212=DIRECTION('',(0.,1.,0.)); #408213=DIRECTION('',(0.,1.,0.)); #408214=DIRECTION('',(0.,0.,1.)); #408215=DIRECTION('center_axis',(0.998629522946368,-0.0523361815564572, 0.)); #408216=DIRECTION('ref_axis',(0.0523361815564572,0.998629522946368,0.)); #408217=DIRECTION('',(0.0523361815564572,0.998629522946368,0.)); #408218=DIRECTION('',(0.0523361815564572,0.998629522946368,0.)); #408219=DIRECTION('',(0.,0.,1.)); #408220=DIRECTION('center_axis',(0.994507513236349,-0.104665209675673,0.)); #408221=DIRECTION('ref_axis',(0.104665209675673,0.994507513236349,0.)); #408222=DIRECTION('',(0.104665209675673,0.994507513236349,0.)); #408223=DIRECTION('',(0.104665209675673,0.994507513236349,0.)); #408224=DIRECTION('',(0.,0.,1.)); #408225=DIRECTION('center_axis',(0.987688352062433,-0.156434392638562,0.)); #408226=DIRECTION('ref_axis',(0.156434392638562,0.987688352062433,0.)); #408227=DIRECTION('',(0.156434392638562,0.987688352062433,0.)); #408228=DIRECTION('',(0.156434392638562,0.987688352062433,0.)); #408229=DIRECTION('',(0.,0.,1.)); #408230=DIRECTION('center_axis',(0.978095066084541,-0.208158693551523,0.)); #408231=DIRECTION('ref_axis',(0.208158693551523,0.978095066084541,0.)); #408232=DIRECTION('',(0.208158693551523,0.978095066084541,0.)); #408233=DIRECTION('',(0.208158693551523,0.978095066084541,0.)); #408234=DIRECTION('',(0.,0.,1.)); #408235=DIRECTION('center_axis',(0.965925901528043,-0.258818764306683,0.)); #408236=DIRECTION('ref_axis',(0.258818764306683,0.965925901528042,0.)); #408237=DIRECTION('',(0.258818764306683,0.965925901528042,0.)); #408238=DIRECTION('',(0.258818764306683,0.965925901528042,0.)); #408239=DIRECTION('',(0.,0.,1.)); #408240=DIRECTION('center_axis',(0.950989827224412,-0.309222166921588,0.)); #408241=DIRECTION('ref_axis',(0.309222166921588,0.950989827224412,0.)); #408242=DIRECTION('',(0.309222166921588,0.950989827224412,0.)); #408243=DIRECTION('',(0.309222166921588,0.950989827224412,0.)); #408244=DIRECTION('',(0.,0.,1.)); #408245=DIRECTION('center_axis',(0.933580437120158,-0.358367921871551,0.)); #408246=DIRECTION('ref_axis',(0.358367921871551,0.933580437120158,0.)); #408247=DIRECTION('',(0.358367921871551,0.933580437120158,0.)); #408248=DIRECTION('',(0.358367921871551,0.933580437120158,0.)); #408249=DIRECTION('',(0.,0.,1.)); #408250=DIRECTION('center_axis',(0.913563225156485,-0.406696734240247,0.)); #408251=DIRECTION('ref_axis',(0.406696734240247,0.913563225156485,0.)); #408252=DIRECTION('',(0.406696734240247,0.913563225156485,0.)); #408253=DIRECTION('',(0.406696734240247,0.913563225156485,0.)); #408254=DIRECTION('',(0.,0.,1.)); #408255=DIRECTION('center_axis',(0.891006912850832,-0.453989736945704,0.)); #408256=DIRECTION('ref_axis',(0.453989736945704,0.891006912850832,0.)); #408257=DIRECTION('',(0.453989736945704,0.891006912850832,0.)); #408258=DIRECTION('',(0.453989736945704,0.891006912850832,0.)); #408259=DIRECTION('',(0.,0.,1.)); #408260=DIRECTION('center_axis',(0.8659809282202,-0.500077026025872,0.)); #408261=DIRECTION('ref_axis',(0.500077026025872,0.8659809282202,0.)); #408262=DIRECTION('',(0.500077026025872,0.8659809282202,0.)); #408263=DIRECTION('',(0.500077026025872,0.8659809282202,0.)); #408264=DIRECTION('',(0.,0.,1.)); #408265=DIRECTION('center_axis',(0.838670304072151,-0.54463944134402,0.)); #408266=DIRECTION('ref_axis',(0.54463944134402,0.838670304072151,0.)); #408267=DIRECTION('',(0.54463944134402,0.838670304072151,0.)); #408268=DIRECTION('',(0.54463944134402,0.838670304072151,0.)); #408269=DIRECTION('',(0.,0.,1.)); #408270=DIRECTION('center_axis',(0.809099203090264,-0.587672085059942,0.)); #408271=DIRECTION('ref_axis',(0.587672085059942,0.809099203090264,0.)); #408272=DIRECTION('',(0.587672085059942,0.809099203090264,0.)); #408273=DIRECTION('',(0.587672085059942,0.809099203090264,0.)); #408274=DIRECTION('',(0.,0.,1.)); #408275=DIRECTION('center_axis',(0.777145940472109,-0.629320416963983,0.)); #408276=DIRECTION('ref_axis',(0.629320416963983,0.777145940472109,0.)); #408277=DIRECTION('',(0.629320416963983,0.777145940472109,0.)); #408278=DIRECTION('',(0.629320416963983,0.777145940472109,0.)); #408279=DIRECTION('',(0.,0.,1.)); #408280=DIRECTION('center_axis',(0.743119589988419,-0.669158632145954,0.)); #408281=DIRECTION('ref_axis',(0.669158632145954,0.743119589988419,0.)); #408282=DIRECTION('',(0.669158632145954,0.743119589988419,0.)); #408283=DIRECTION('',(0.669158632145954,0.743119589988419,0.)); #408284=DIRECTION('',(0.,0.,1.)); #408285=DIRECTION('center_axis',(0.707106809664468,-0.707106752708626,0.)); #408286=DIRECTION('ref_axis',(0.707106752708626,0.707106809664468,0.)); #408287=DIRECTION('',(0.707106752708626,0.707106809664468,0.)); #408288=DIRECTION('',(0.707106752708626,0.707106809664468,0.)); #408289=DIRECTION('',(0.,0.,1.)); #408290=DIRECTION('center_axis',(0.669130940434644,-0.74314452467407,0.)); #408291=DIRECTION('ref_axis',(0.74314452467407,0.669130940434644,0.)); #408292=DIRECTION('',(0.74314452467407,0.669130940434644,0.)); #408293=DIRECTION('',(0.74314452467407,0.669130940434644,0.)); #408294=DIRECTION('',(0.,0.,1.)); #408295=DIRECTION('center_axis',(0.629320573441517,-0.777145813759066,0.)); #408296=DIRECTION('ref_axis',(0.777145813759066,0.629320573441517,0.)); #408297=DIRECTION('',(0.777145813759066,0.629320573441517,0.)); #408298=DIRECTION('',(0.777145813759066,0.629320573441517,0.)); #408299=DIRECTION('',(0.,0.,1.)); #408300=DIRECTION('center_axis',(0.587653510132204,-0.809112694270273,0.)); #408301=DIRECTION('ref_axis',(0.809112694270273,0.587653510132204,0.)); #408302=DIRECTION('',(0.809112694270273,0.587653510132204,0.)); #408303=DIRECTION('',(0.809112694270273,0.587653510132204,0.)); #408304=DIRECTION('',(0.,0.,1.)); #408305=DIRECTION('center_axis',(0.544639401283105,-0.838670330088039,0.)); #408306=DIRECTION('ref_axis',(0.838670330088039,0.544639401283105,0.)); #408307=DIRECTION('',(0.838670330088039,0.544639401283105,0.)); #408308=DIRECTION('',(0.838670330088039,0.544639401283105,0.)); #408309=DIRECTION('',(0.,0.,1.)); #408310=DIRECTION('center_axis',(0.500030005353793,-0.866008079492268,0.)); #408311=DIRECTION('ref_axis',(0.866008079492268,0.500030005353793,0.)); #408312=DIRECTION('',(0.866008079492267,0.500030005353793,0.)); #408313=DIRECTION('',(0.866008079492267,0.500030005353793,0.)); #408314=DIRECTION('',(0.,0.,1.)); #408315=DIRECTION('center_axis',(0.453989804771014,-0.891006878292181,0.)); #408316=DIRECTION('ref_axis',(0.891006878292181,0.453989804771014,0.)); #408317=DIRECTION('',(0.891006878292181,0.453989804771013,0.)); #408318=DIRECTION('',(0.891006878292181,0.453989804771013,0.)); #408319=DIRECTION('',(0.,0.,1.)); #408320=DIRECTION('center_axis',(0.406665343737107,-0.913577198819662,0.)); #408321=DIRECTION('ref_axis',(0.913577198819662,0.406665343737107,0.)); #408322=DIRECTION('',(0.913577198819662,0.406665343737107,0.)); #408323=DIRECTION('',(0.913577198819662,0.406665343737107,0.)); #408324=DIRECTION('',(0.,0.,1.)); #408325=DIRECTION('center_axis',(0.358367996333272,-0.933580408536981,0.)); #408326=DIRECTION('ref_axis',(0.933580408536981,0.358367996333272,0.)); #408327=DIRECTION('',(0.933580408536981,0.358367996333272,0.)); #408328=DIRECTION('',(0.933580408536981,0.358367996333272,0.)); #408329=DIRECTION('',(0.,0.,1.)); #408330=DIRECTION('center_axis',(0.309176804170691,-0.95100457609982,0.)); #408331=DIRECTION('ref_axis',(0.95100457609982,0.309176804170691,0.)); #408332=DIRECTION('',(0.95100457609982,0.309176804170691,0.)); #408333=DIRECTION('',(0.95100457609982,0.309176804170691,0.)); #408334=DIRECTION('',(0.,0.,1.)); #408335=DIRECTION('center_axis',(0.258818923728287,-0.965925858811188,0.)); #408336=DIRECTION('ref_axis',(0.965925858811188,0.258818923728287,0.)); #408337=DIRECTION('',(0.965925858811188,0.258818923728287,0.)); #408338=DIRECTION('',(0.965925858811188,0.258818923728287,0.)); #408339=DIRECTION('',(0.,0.,1.)); #408340=DIRECTION('center_axis',(0.208086713847857,-0.978110382073516,0.)); #408341=DIRECTION('ref_axis',(0.978110382073516,0.208086713847857,0.)); #408342=DIRECTION('',(0.978110382073516,0.208086713847857,0.)); #408343=DIRECTION('',(0.978110382073516,0.208086713847857,0.)); #408344=DIRECTION('',(0.,0.,1.)); #408345=DIRECTION('center_axis',(0.156434606552449,-0.987688318181794,0.)); #408346=DIRECTION('ref_axis',(0.987688318181794,0.156434606552449,0.)); #408347=DIRECTION('',(0.987688318181794,0.156434606552449,0.)); #408348=DIRECTION('',(0.987688318181794,0.156434606552449,0.)); #408349=DIRECTION('',(0.,0.,1.)); #408350=DIRECTION('center_axis',(0.104588344609304,-0.994515599762963,0.)); #408351=DIRECTION('ref_axis',(0.994515599762963,0.104588344609304,0.)); #408352=DIRECTION('',(0.994515599762963,0.104588344609304,0.)); #408353=DIRECTION('',(0.994515599762963,0.104588344609304,0.)); #408354=DIRECTION('center_axis',(0.,0.,1.)); #408355=DIRECTION('ref_axis',(1.,0.,0.)); #408356=DIRECTION('center_axis',(0.,0.,1.)); #408357=DIRECTION('ref_axis',(1.,0.,0.)); #408358=DIRECTION('center_axis',(0.198956019232683,-0.980008419561324,0.)); #408359=DIRECTION('ref_axis',(0.980008419561324,0.198956019232683,0.)); #408360=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #408361=DIRECTION('',(0.,0.,1.)); #408362=DIRECTION('',(0.980008419561324,0.198956019232683,0.)); #408363=DIRECTION('',(0.,0.,1.)); #408364=DIRECTION('center_axis',(0.,-1.,0.)); #408365=DIRECTION('ref_axis',(1.,0.,0.)); #408366=DIRECTION('',(1.,0.,0.)); #408367=DIRECTION('',(1.,0.,0.)); #408368=DIRECTION('',(0.,0.,1.)); #408369=DIRECTION('center_axis',(-0.175495599689726,-0.984480215387564, 0.)); #408370=DIRECTION('ref_axis',(0.984480215387564,-0.175495599689726,0.)); #408371=DIRECTION('',(0.984480215387564,-0.175495599689726,0.)); #408372=DIRECTION('',(0.984480215387564,-0.175495599689726,0.)); #408373=DIRECTION('',(0.,0.,1.)); #408374=DIRECTION('center_axis',(-0.525373540973504,-0.850871695642159, 0.)); #408375=DIRECTION('ref_axis',(0.850871695642159,-0.525373540973504,0.)); #408376=DIRECTION('',(0.850871695642159,-0.525373540973504,0.)); #408377=DIRECTION('',(0.850871695642159,-0.525373540973504,0.)); #408378=DIRECTION('',(0.,0.,1.)); #408379=DIRECTION('center_axis',(-0.815693852178821,-0.578483828224848, 0.)); #408380=DIRECTION('ref_axis',(0.578483828224848,-0.815693852178821,0.)); #408381=DIRECTION('',(0.578483828224848,-0.815693852178821,0.)); #408382=DIRECTION('',(0.578483828224848,-0.815693852178821,0.)); #408383=DIRECTION('',(0.,0.,1.)); #408384=DIRECTION('center_axis',(-0.976692604453674,-0.214642857802207, 0.)); #408385=DIRECTION('ref_axis',(0.214642857802207,-0.976692604453674,0.)); #408386=DIRECTION('',(0.214642857802207,-0.976692604453674,0.)); #408387=DIRECTION('',(0.214642857802207,-0.976692604453674,0.)); #408388=DIRECTION('',(0.,0.,1.)); #408389=DIRECTION('center_axis',(-0.983056472197924,0.183302952703363,0.)); #408390=DIRECTION('ref_axis',(-0.183302952703363,-0.983056472197924,0.)); #408391=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #408392=DIRECTION('',(-0.183302952703363,-0.983056472197924,0.)); #408393=DIRECTION('',(0.,0.,1.)); #408394=DIRECTION('center_axis',(-0.833659859749687,0.552278225391995,0.)); #408395=DIRECTION('ref_axis',(-0.552278225391995,-0.833659859749687,0.)); #408396=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #408397=DIRECTION('',(-0.552278225391995,-0.833659859749687,0.)); #408398=DIRECTION('',(0.,0.,1.)); #408399=DIRECTION('center_axis',(-0.707106781186564,0.707106781186531,0.)); #408400=DIRECTION('ref_axis',(-0.707106781186531,-0.707106781186564,0.)); #408401=DIRECTION('',(-0.707106781186531,-0.707106781186564,0.)); #408402=DIRECTION('',(-0.707106781186531,-0.707106781186564,0.)); #408403=DIRECTION('',(0.,0.,1.)); #408404=DIRECTION('center_axis',(-1.,0.,0.)); #408405=DIRECTION('ref_axis',(0.,-1.,0.)); #408406=DIRECTION('',(0.,-1.,0.)); #408407=DIRECTION('',(0.,-1.,0.)); #408408=DIRECTION('',(0.,0.,1.)); #408409=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #408410=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #408411=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #408412=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #408413=DIRECTION('',(0.,0.,1.)); #408414=DIRECTION('center_axis',(-0.842362410729372,0.538911466745885,0.)); #408415=DIRECTION('ref_axis',(-0.538911466745885,-0.842362410729372,0.)); #408416=DIRECTION('',(-0.538911466745885,-0.842362410729372,0.)); #408417=DIRECTION('',(-0.538911466745885,-0.842362410729372,0.)); #408418=DIRECTION('',(0.,0.,1.)); #408419=DIRECTION('center_axis',(-0.565504004714863,0.824745549034035,0.)); #408420=DIRECTION('ref_axis',(-0.824745549034035,-0.565504004714863,0.)); #408421=DIRECTION('',(-0.824745549034035,-0.565504004714863,0.)); #408422=DIRECTION('',(-0.824745549034035,-0.565504004714863,0.)); #408423=DIRECTION('',(0.,0.,1.)); #408424=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #408425=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #408426=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #408427=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #408428=DIRECTION('',(0.,0.,1.)); #408429=DIRECTION('center_axis',(0.,1.,0.)); #408430=DIRECTION('ref_axis',(-1.,0.,0.)); #408431=DIRECTION('',(-1.,0.,0.)); #408432=DIRECTION('',(-1.,0.,0.)); #408433=DIRECTION('',(0.,0.,1.)); #408434=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #408435=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #408436=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #408437=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #408438=DIRECTION('',(0.,0.,1.)); #408439=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #408440=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #408441=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #408442=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #408443=DIRECTION('',(0.,0.,1.)); #408444=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #408445=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #408446=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #408447=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #408448=DIRECTION('',(0.,0.,1.)); #408449=DIRECTION('center_axis',(0.,1.,0.)); #408450=DIRECTION('ref_axis',(-1.,0.,0.)); #408451=DIRECTION('',(-1.,0.,0.)); #408452=DIRECTION('',(-1.,0.,0.)); #408453=DIRECTION('',(0.,0.,1.)); #408454=DIRECTION('center_axis',(0.183305290257262,0.983056036329416,0.)); #408455=DIRECTION('ref_axis',(-0.983056036329416,0.183305290257262,0.)); #408456=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #408457=DIRECTION('',(-0.983056036329416,0.183305290257262,0.)); #408458=DIRECTION('',(0.,0.,1.)); #408459=DIRECTION('center_axis',(0.538911466745951,0.842362410729329,0.)); #408460=DIRECTION('ref_axis',(-0.842362410729329,0.538911466745951,0.)); #408461=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #408462=DIRECTION('',(-0.842362410729329,0.538911466745951,0.)); #408463=DIRECTION('',(0.,0.,1.)); #408464=DIRECTION('center_axis',(0.824745549033967,0.565504004714963,0.)); #408465=DIRECTION('ref_axis',(-0.565504004714963,0.824745549033967,0.)); #408466=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #408467=DIRECTION('',(-0.565504004714963,0.824745549033967,0.)); #408468=DIRECTION('',(0.,0.,1.)); #408469=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #408470=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #408471=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #408472=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #408473=DIRECTION('',(0.,0.,1.)); #408474=DIRECTION('center_axis',(1.,0.,0.)); #408475=DIRECTION('ref_axis',(0.,1.,0.)); #408476=DIRECTION('',(0.,1.,0.)); #408477=DIRECTION('',(0.,1.,0.)); #408478=DIRECTION('',(0.,0.,1.)); #408479=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #408480=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #408481=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #408482=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #408483=DIRECTION('',(0.,0.,1.)); #408484=DIRECTION('center_axis',(0.842362410729329,-0.538911466745951,0.)); #408485=DIRECTION('ref_axis',(0.538911466745951,0.842362410729329,0.)); #408486=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #408487=DIRECTION('',(0.538911466745951,0.842362410729329,0.)); #408488=DIRECTION('',(0.,0.,1.)); #408489=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #408490=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #408491=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #408492=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #408493=DIRECTION('center_axis',(0.,0.,1.)); #408494=DIRECTION('ref_axis',(1.,0.,0.)); #408495=DIRECTION('center_axis',(0.,0.,1.)); #408496=DIRECTION('ref_axis',(1.,0.,0.)); #408497=DIRECTION('center_axis',(0.230165683714478,-0.973151456886464,0.)); #408498=DIRECTION('ref_axis',(0.973151456886464,0.230165683714478,0.)); #408499=DIRECTION('',(0.973151456886464,0.230165683714478,0.)); #408500=DIRECTION('',(0.,0.,1.)); #408501=DIRECTION('',(0.973151456886464,0.230165683714478,0.)); #408502=DIRECTION('',(0.,0.,1.)); #408503=DIRECTION('center_axis',(-0.167643887112162,-0.985847618607422, 0.)); #408504=DIRECTION('ref_axis',(0.985847618607422,-0.167643887112162,0.)); #408505=DIRECTION('',(0.985847618607422,-0.167643887112162,0.)); #408506=DIRECTION('',(0.985847618607422,-0.167643887112162,0.)); #408507=DIRECTION('',(0.,0.,1.)); #408508=DIRECTION('center_axis',(-0.538911466746122,-0.84236241072922,0.)); #408509=DIRECTION('ref_axis',(0.84236241072922,-0.538911466746122,0.)); #408510=DIRECTION('',(0.84236241072922,-0.538911466746122,0.)); #408511=DIRECTION('',(0.84236241072922,-0.538911466746122,0.)); #408512=DIRECTION('',(0.,0.,1.)); #408513=DIRECTION('center_axis',(-0.824745549033873,-0.565504004715099, 0.)); #408514=DIRECTION('ref_axis',(0.565504004715099,-0.824745549033873,0.)); #408515=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #408516=DIRECTION('',(0.565504004715099,-0.824745549033873,0.)); #408517=DIRECTION('',(0.,0.,1.)); #408518=DIRECTION('center_axis',(-0.980008419561324,-0.198956019232683, 0.)); #408519=DIRECTION('ref_axis',(0.198956019232683,-0.980008419561324,0.)); #408520=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #408521=DIRECTION('',(0.198956019232683,-0.980008419561324,0.)); #408522=DIRECTION('',(0.,0.,1.)); #408523=DIRECTION('center_axis',(-1.,0.,0.)); #408524=DIRECTION('ref_axis',(0.,-1.,0.)); #408525=DIRECTION('',(0.,-1.,0.)); #408526=DIRECTION('',(0.,-1.,0.)); #408527=DIRECTION('',(0.,0.,1.)); #408528=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #408529=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #408530=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #408531=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #408532=DIRECTION('',(0.,0.,1.)); #408533=DIRECTION('center_axis',(-0.833659859749755,0.552278225391893,0.)); #408534=DIRECTION('ref_axis',(-0.552278225391893,-0.833659859749755,0.)); #408535=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #408536=DIRECTION('',(-0.552278225391893,-0.833659859749755,0.)); #408537=DIRECTION('',(0.,0.,1.)); #408538=DIRECTION('center_axis',(-0.707106781186525,0.70710678118657,0.)); #408539=DIRECTION('ref_axis',(-0.70710678118657,-0.707106781186525,0.)); #408540=DIRECTION('',(-0.70710678118657,-0.707106781186525,0.)); #408541=DIRECTION('',(-0.70710678118657,-0.707106781186525,0.)); #408542=DIRECTION('',(0.,0.,1.)); #408543=DIRECTION('center_axis',(-0.565439331101256,0.824789890119759,0.)); #408544=DIRECTION('ref_axis',(-0.824789890119759,-0.565439331101256,0.)); #408545=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #408546=DIRECTION('',(-0.824789890119759,-0.565439331101256,0.)); #408547=DIRECTION('',(0.,0.,1.)); #408548=DIRECTION('center_axis',(-0.21467431451675,0.97668569083752,0.)); #408549=DIRECTION('ref_axis',(-0.97668569083752,-0.21467431451675,0.)); #408550=DIRECTION('',(-0.97668569083752,-0.21467431451675,0.)); #408551=DIRECTION('',(-0.97668569083752,-0.21467431451675,0.)); #408552=DIRECTION('',(0.,0.,1.)); #408553=DIRECTION('center_axis',(0.183334818573505,0.983050529880647,0.)); #408554=DIRECTION('ref_axis',(-0.983050529880647,0.183334818573505,0.)); #408555=DIRECTION('',(-0.983050529880647,0.183334818573505,0.)); #408556=DIRECTION('',(-0.983050529880647,0.183334818573505,0.)); #408557=DIRECTION('',(0.,0.,1.)); #408558=DIRECTION('center_axis',(0.552278225392063,0.833659859749643,0.)); #408559=DIRECTION('ref_axis',(-0.833659859749643,0.552278225392063,0.)); #408560=DIRECTION('',(-0.833659859749643,0.552278225392063,0.)); #408561=DIRECTION('',(-0.833659859749643,0.552278225392063,0.)); #408562=DIRECTION('',(0.,0.,1.)); #408563=DIRECTION('center_axis',(0.707106781186525,0.70710678118657,0.)); #408564=DIRECTION('ref_axis',(-0.70710678118657,0.707106781186525,0.)); #408565=DIRECTION('',(-0.70710678118657,0.707106781186525,0.)); #408566=DIRECTION('',(-0.70710678118657,0.707106781186525,0.)); #408567=DIRECTION('',(0.,0.,1.)); #408568=DIRECTION('center_axis',(0.829203833807437,0.558946331948828,0.)); #408569=DIRECTION('ref_axis',(-0.558946331948828,0.829203833807437,0.)); #408570=DIRECTION('',(-0.558946331948828,0.829203833807437,0.)); #408571=DIRECTION('',(-0.558946331948828,0.829203833807437,0.)); #408572=DIRECTION('',(0.,0.,1.)); #408573=DIRECTION('center_axis',(0.980008419561341,0.1989560192326,0.)); #408574=DIRECTION('ref_axis',(-0.1989560192326,0.980008419561341,0.)); #408575=DIRECTION('',(-0.198956019232599,0.980008419561341,0.)); #408576=DIRECTION('',(-0.198956019232599,0.980008419561341,0.)); #408577=DIRECTION('',(0.,0.,1.)); #408578=DIRECTION('center_axis',(1.,0.,0.)); #408579=DIRECTION('ref_axis',(0.,1.,0.)); #408580=DIRECTION('',(0.,1.,0.)); #408581=DIRECTION('',(0.,1.,0.)); #408582=DIRECTION('',(0.,0.,1.)); #408583=DIRECTION('center_axis',(0.981560865252934,-0.191149856928827,0.)); #408584=DIRECTION('ref_axis',(0.191149856928827,0.981560865252934,0.)); #408585=DIRECTION('',(0.191149856928827,0.981560865252934,0.)); #408586=DIRECTION('',(0.191149856928827,0.981560865252934,0.)); #408587=DIRECTION('',(0.,0.,1.)); #408588=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #408589=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #408590=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #408591=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #408592=DIRECTION('',(0.,0.,1.)); #408593=DIRECTION('center_axis',(0.707106781186536,-0.707106781186559,0.)); #408594=DIRECTION('ref_axis',(0.707106781186559,0.707106781186536,0.)); #408595=DIRECTION('',(0.707106781186559,0.707106781186536,0.)); #408596=DIRECTION('',(0.707106781186559,0.707106781186536,0.)); #408597=DIRECTION('',(0.,0.,1.)); #408598=DIRECTION('center_axis',(0.572058771549548,-0.820212632122441,0.)); #408599=DIRECTION('ref_axis',(0.820212632122441,0.572058771549548,0.)); #408600=DIRECTION('',(0.820212632122441,0.572058771549548,0.)); #408601=DIRECTION('',(0.820212632122441,0.572058771549548,0.)); #408602=DIRECTION('center_axis',(0.,0.,1.)); #408603=DIRECTION('ref_axis',(1.,0.,0.)); #408604=DIRECTION('center_axis',(0.,0.,1.)); #408605=DIRECTION('ref_axis',(1.,0.,0.)); #408606=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #408607=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #408608=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #408609=DIRECTION('',(0.,0.,1.)); #408610=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #408611=DIRECTION('',(0.,0.,1.)); #408612=DIRECTION('center_axis',(0.,-1.,0.)); #408613=DIRECTION('ref_axis',(1.,0.,0.)); #408614=DIRECTION('',(1.,0.,0.)); #408615=DIRECTION('',(1.,0.,0.)); #408616=DIRECTION('',(0.,0.,1.)); #408617=DIRECTION('center_axis',(-0.183305290257262,-0.983056036329416, 0.)); #408618=DIRECTION('ref_axis',(0.983056036329416,-0.183305290257262,0.)); #408619=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #408620=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #408621=DIRECTION('',(0.,0.,1.)); #408622=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #408623=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #408624=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #408625=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #408626=DIRECTION('',(0.,0.,1.)); #408627=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #408628=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #408629=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #408630=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #408631=DIRECTION('',(0.,0.,1.)); #408632=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #408633=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #408634=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #408635=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #408636=DIRECTION('',(0.,0.,1.)); #408637=DIRECTION('center_axis',(-1.,0.,0.)); #408638=DIRECTION('ref_axis',(0.,-1.,0.)); #408639=DIRECTION('',(0.,-1.,0.)); #408640=DIRECTION('',(0.,-1.,0.)); #408641=DIRECTION('',(0.,0.,1.)); #408642=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #408643=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #408644=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #408645=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #408646=DIRECTION('',(0.,0.,1.)); #408647=DIRECTION('center_axis',(-0.842362410729329,0.538911466745951,0.)); #408648=DIRECTION('ref_axis',(-0.538911466745951,-0.842362410729329,0.)); #408649=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #408650=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #408651=DIRECTION('',(0.,0.,1.)); #408652=DIRECTION('center_axis',(-0.565504004715031,0.82474554903392,0.)); #408653=DIRECTION('ref_axis',(-0.82474554903392,-0.565504004715031,0.)); #408654=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #408655=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #408656=DIRECTION('',(0.,0.,1.)); #408657=DIRECTION('center_axis',(-0.198956019232683,0.980008419561324,0.)); #408658=DIRECTION('ref_axis',(-0.980008419561324,-0.198956019232683,0.)); #408659=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #408660=DIRECTION('',(-0.980008419561324,-0.198956019232683,0.)); #408661=DIRECTION('',(0.,0.,1.)); #408662=DIRECTION('center_axis',(0.,1.,0.)); #408663=DIRECTION('ref_axis',(-1.,0.,0.)); #408664=DIRECTION('',(-1.,0.,0.)); #408665=DIRECTION('',(-1.,0.,0.)); #408666=DIRECTION('',(0.,0.,1.)); #408667=DIRECTION('center_axis',(0.171182647289723,0.985239311673505,0.)); #408668=DIRECTION('ref_axis',(-0.985239311673505,0.171182647289723,0.)); #408669=DIRECTION('',(-0.985239311673505,0.171182647289723,0.)); #408670=DIRECTION('',(-0.985239311673505,0.171182647289723,0.)); #408671=DIRECTION('',(0.,0.,1.)); #408672=DIRECTION('center_axis',(0.517890952933023,0.855446644081398,0.)); #408673=DIRECTION('ref_axis',(-0.855446644081398,0.517890952933023,0.)); #408674=DIRECTION('',(-0.855446644081398,0.517890952933023,0.)); #408675=DIRECTION('',(-0.855446644081398,0.517890952933023,0.)); #408676=DIRECTION('',(0.,0.,1.)); #408677=DIRECTION('center_axis',(0.810521822508528,0.585708438762371,0.)); #408678=DIRECTION('ref_axis',(-0.585708438762371,0.810521822508528,0.)); #408679=DIRECTION('',(-0.585708438762371,0.810521822508528,0.)); #408680=DIRECTION('',(-0.585708438762371,0.810521822508528,0.)); #408681=DIRECTION('',(0.,0.,1.)); #408682=DIRECTION('center_axis',(0.974774329673769,0.223192755727094,0.)); #408683=DIRECTION('ref_axis',(-0.223192755727094,0.974774329673769,0.)); #408684=DIRECTION('',(-0.223192755727094,0.974774329673769,0.)); #408685=DIRECTION('',(-0.223192755727094,0.974774329673769,0.)); #408686=DIRECTION('',(0.,0.,1.)); #408687=DIRECTION('center_axis',(0.984612470278251,-0.174752062570259,0.)); #408688=DIRECTION('ref_axis',(0.174752062570259,0.984612470278251,0.)); #408689=DIRECTION('',(0.174752062570259,0.984612470278251,0.)); #408690=DIRECTION('',(0.174752062570259,0.984612470278251,0.)); #408691=DIRECTION('',(0.,0.,1.)); #408692=DIRECTION('center_axis',(0.838491829742335,-0.544914168888415,0.)); #408693=DIRECTION('ref_axis',(0.544914168888415,0.838491829742334,0.)); #408694=DIRECTION('',(0.544914168888415,0.838491829742334,0.)); #408695=DIRECTION('',(0.544914168888415,0.838491829742334,0.)); #408696=DIRECTION('',(0.,0.,1.)); #408697=DIRECTION('center_axis',(0.713285232756844,-0.700873866491693,0.)); #408698=DIRECTION('ref_axis',(0.700873866491693,0.713285232756844,0.)); #408699=DIRECTION('',(0.700873866491693,0.713285232756844,0.)); #408700=DIRECTION('',(0.700873866491693,0.713285232756844,0.)); #408701=DIRECTION('',(0.,0.,1.)); #408702=DIRECTION('center_axis',(0.562560636388235,-0.826756028333912,0.)); #408703=DIRECTION('ref_axis',(0.826756028333912,0.562560636388235,0.)); #408704=DIRECTION('',(0.826756028333912,0.562560636388235,0.)); #408705=DIRECTION('',(0.826756028333912,0.562560636388235,0.)); #408706=DIRECTION('center_axis',(0.,0.,1.)); #408707=DIRECTION('ref_axis',(1.,0.,0.)); #408708=DIRECTION('center_axis',(0.,0.,1.)); #408709=DIRECTION('ref_axis',(1.,0.,0.)); #408710=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #408711=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #408712=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #408713=DIRECTION('',(0.,0.,1.)); #408714=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #408715=DIRECTION('',(0.,0.,1.)); #408716=DIRECTION('center_axis',(0.,-1.,0.)); #408717=DIRECTION('ref_axis',(1.,0.,0.)); #408718=DIRECTION('',(1.,0.,0.)); #408719=DIRECTION('',(1.,0.,0.)); #408720=DIRECTION('',(0.,0.,1.)); #408721=DIRECTION('center_axis',(-0.191149856928945,-0.981560865252911, 0.)); #408722=DIRECTION('ref_axis',(0.981560865252911,-0.191149856928945,0.)); #408723=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #408724=DIRECTION('',(0.981560865252911,-0.191149856928945,0.)); #408725=DIRECTION('',(0.,0.,1.)); #408726=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #408727=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #408728=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #408729=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #408730=DIRECTION('',(0.,0.,1.)); #408731=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #408732=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #408733=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #408734=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #408735=DIRECTION('',(0.,0.,1.)); #408736=DIRECTION('center_axis',(0.,-1.,0.)); #408737=DIRECTION('ref_axis',(1.,0.,0.)); #408738=DIRECTION('',(1.,0.,0.)); #408739=DIRECTION('',(1.,0.,0.)); #408740=DIRECTION('',(0.,0.,1.)); #408741=DIRECTION('center_axis',(-0.183305290257262,-0.983056036329416, 0.)); #408742=DIRECTION('ref_axis',(0.983056036329416,-0.183305290257262,0.)); #408743=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #408744=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #408745=DIRECTION('',(0.,0.,1.)); #408746=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #408747=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #408748=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #408749=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #408750=DIRECTION('',(0.,0.,1.)); #408751=DIRECTION('center_axis',(-0.824745549033988,-0.565504004714931, 0.)); #408752=DIRECTION('ref_axis',(0.565504004714931,-0.824745549033988,0.)); #408753=DIRECTION('',(0.565504004714931,-0.824745549033988,0.)); #408754=DIRECTION('',(0.565504004714931,-0.824745549033988,0.)); #408755=DIRECTION('',(0.,0.,1.)); #408756=DIRECTION('center_axis',(-0.98000841956129,-0.198956019232852,0.)); #408757=DIRECTION('ref_axis',(0.198956019232852,-0.98000841956129,0.)); #408758=DIRECTION('',(0.198956019232852,-0.98000841956129,0.)); #408759=DIRECTION('',(0.198956019232852,-0.98000841956129,0.)); #408760=DIRECTION('',(0.,0.,1.)); #408761=DIRECTION('center_axis',(-1.,0.,0.)); #408762=DIRECTION('ref_axis',(0.,-1.,0.)); #408763=DIRECTION('',(0.,-1.,0.)); #408764=DIRECTION('',(0.,-1.,0.)); #408765=DIRECTION('',(0.,0.,1.)); #408766=DIRECTION('center_axis',(-0.707106781186565,-0.70710678118653,0.)); #408767=DIRECTION('ref_axis',(0.70710678118653,-0.707106781186565,0.)); #408768=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #408769=DIRECTION('',(0.70710678118653,-0.707106781186565,0.)); #408770=DIRECTION('',(0.,0.,1.)); #408771=DIRECTION('center_axis',(0.,-1.,0.)); #408772=DIRECTION('ref_axis',(1.,0.,0.)); #408773=DIRECTION('',(1.,0.,0.)); #408774=DIRECTION('',(1.,0.,0.)); #408775=DIRECTION('',(0.,0.,1.)); #408776=DIRECTION('center_axis',(-0.183305290257262,-0.983056036329416, 0.)); #408777=DIRECTION('ref_axis',(0.983056036329416,-0.183305290257262,0.)); #408778=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #408779=DIRECTION('',(0.983056036329416,-0.183305290257262,0.)); #408780=DIRECTION('',(0.,0.,1.)); #408781=DIRECTION('center_axis',(-0.538911466746055,-0.842362410729263, 0.)); #408782=DIRECTION('ref_axis',(0.842362410729263,-0.538911466746055,0.)); #408783=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #408784=DIRECTION('',(0.842362410729263,-0.538911466746055,0.)); #408785=DIRECTION('',(0.,0.,1.)); #408786=DIRECTION('center_axis',(-0.82474554903392,-0.565504004715031,0.)); #408787=DIRECTION('ref_axis',(0.565504004715031,-0.82474554903392,0.)); #408788=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #408789=DIRECTION('',(0.565504004715031,-0.82474554903392,0.)); #408790=DIRECTION('',(0.,0.,1.)); #408791=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #408792=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #408793=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #408794=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #408795=DIRECTION('',(0.,0.,1.)); #408796=DIRECTION('center_axis',(-1.,0.,0.)); #408797=DIRECTION('ref_axis',(0.,-1.,0.)); #408798=DIRECTION('',(0.,-1.,0.)); #408799=DIRECTION('',(0.,-1.,0.)); #408800=DIRECTION('',(0.,0.,1.)); #408801=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #408802=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #408803=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #408804=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #408805=DIRECTION('',(0.,0.,1.)); #408806=DIRECTION('center_axis',(-0.842362410729329,0.538911466745951,0.)); #408807=DIRECTION('ref_axis',(-0.538911466745951,-0.842362410729329,0.)); #408808=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #408809=DIRECTION('',(-0.538911466745951,-0.842362410729329,0.)); #408810=DIRECTION('',(0.,0.,1.)); #408811=DIRECTION('center_axis',(-0.565504004714963,0.824745549033967,0.)); #408812=DIRECTION('ref_axis',(-0.824745549033967,-0.565504004714963,0.)); #408813=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #408814=DIRECTION('',(-0.824745549033967,-0.565504004714963,0.)); #408815=DIRECTION('',(0.,0.,1.)); #408816=DIRECTION('center_axis',(-0.198909787659726,0.980017804110294,0.)); #408817=DIRECTION('ref_axis',(-0.980017804110294,-0.198909787659726,0.)); #408818=DIRECTION('',(-0.980017804110294,-0.198909787659726,0.)); #408819=DIRECTION('',(-0.980017804110294,-0.198909787659726,0.)); #408820=DIRECTION('',(0.,0.,1.)); #408821=DIRECTION('center_axis',(-0.707106781186565,0.70710678118653,0.)); #408822=DIRECTION('ref_axis',(-0.70710678118653,-0.707106781186565,0.)); #408823=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #408824=DIRECTION('',(-0.70710678118653,-0.707106781186565,0.)); #408825=DIRECTION('',(0.,0.,1.)); #408826=DIRECTION('center_axis',(-1.,0.,0.)); #408827=DIRECTION('ref_axis',(0.,-1.,0.)); #408828=DIRECTION('',(0.,-1.,0.)); #408829=DIRECTION('',(0.,-1.,0.)); #408830=DIRECTION('',(0.,0.,1.)); #408831=DIRECTION('center_axis',(-0.983056036329387,0.183305290257415,0.)); #408832=DIRECTION('ref_axis',(-0.183305290257415,-0.983056036329387,0.)); #408833=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #408834=DIRECTION('',(-0.183305290257415,-0.983056036329387,0.)); #408835=DIRECTION('',(0.,0.,1.)); #408836=DIRECTION('center_axis',(-0.842362410729372,0.538911466745885,0.)); #408837=DIRECTION('ref_axis',(-0.538911466745885,-0.842362410729372,0.)); #408838=DIRECTION('',(-0.538911466745885,-0.842362410729372,0.)); #408839=DIRECTION('',(-0.538911466745885,-0.842362410729372,0.)); #408840=DIRECTION('',(0.,0.,1.)); #408841=DIRECTION('center_axis',(-0.565504004714863,0.824745549034035,0.)); #408842=DIRECTION('ref_axis',(-0.824745549034035,-0.565504004714863,0.)); #408843=DIRECTION('',(-0.824745549034035,-0.565504004714863,0.)); #408844=DIRECTION('',(-0.824745549034035,-0.565504004714863,0.)); #408845=DIRECTION('',(0.,0.,1.)); #408846=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #408847=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #408848=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #408849=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #408850=DIRECTION('',(0.,0.,1.)); #408851=DIRECTION('center_axis',(0.,1.,0.)); #408852=DIRECTION('ref_axis',(-1.,0.,0.)); #408853=DIRECTION('',(-1.,0.,0.)); #408854=DIRECTION('',(-1.,0.,0.)); #408855=DIRECTION('',(0.,0.,1.)); #408856=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #408857=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #408858=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #408859=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #408860=DIRECTION('',(0.,0.,1.)); #408861=DIRECTION('center_axis',(0.538911466746018,0.842362410729287,0.)); #408862=DIRECTION('ref_axis',(-0.842362410729287,0.538911466746018,0.)); #408863=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #408864=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #408865=DIRECTION('',(0.,0.,1.)); #408866=DIRECTION('center_axis',(0.824745549033899,0.565504004715062,0.)); #408867=DIRECTION('ref_axis',(-0.565504004715062,0.824745549033899,0.)); #408868=DIRECTION('',(-0.565504004715062,0.824745549033899,0.)); #408869=DIRECTION('',(-0.565504004715062,0.824745549033899,0.)); #408870=DIRECTION('',(0.,0.,1.)); #408871=DIRECTION('center_axis',(0.980003828794034,0.198978630885413,0.)); #408872=DIRECTION('ref_axis',(-0.198978630885413,0.980003828794034,0.)); #408873=DIRECTION('',(-0.198978630885413,0.980003828794034,0.)); #408874=DIRECTION('',(-0.198978630885413,0.980003828794034,0.)); #408875=DIRECTION('',(0.,0.,1.)); #408876=DIRECTION('center_axis',(0.707105370129522,0.707108192240757,0.)); #408877=DIRECTION('ref_axis',(-0.707108192240757,0.707105370129522,0.)); #408878=DIRECTION('',(-0.707108192240757,0.707105370129522,0.)); #408879=DIRECTION('',(-0.707108192240757,0.707105370129522,0.)); #408880=DIRECTION('',(0.,0.,1.)); #408881=DIRECTION('center_axis',(0.,1.,0.)); #408882=DIRECTION('ref_axis',(-1.,0.,0.)); #408883=DIRECTION('',(-1.,0.,0.)); #408884=DIRECTION('',(-1.,0.,0.)); #408885=DIRECTION('',(0.,0.,1.)); #408886=DIRECTION('center_axis',(0.191149856928917,0.981560865252917,0.)); #408887=DIRECTION('ref_axis',(-0.981560865252917,0.191149856928917,0.)); #408888=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #408889=DIRECTION('',(-0.981560865252917,0.191149856928917,0.)); #408890=DIRECTION('',(0.,0.,1.)); #408891=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #408892=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #408893=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #408894=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #408895=DIRECTION('',(0.,0.,1.)); #408896=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #408897=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #408898=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #408899=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #408900=DIRECTION('',(0.,0.,1.)); #408901=DIRECTION('center_axis',(0.829203833807341,0.558946331948969,0.)); #408902=DIRECTION('ref_axis',(-0.558946331948969,0.829203833807341,0.)); #408903=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #408904=DIRECTION('',(-0.558946331948969,0.829203833807341,0.)); #408905=DIRECTION('',(0.,0.,1.)); #408906=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #408907=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #408908=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #408909=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #408910=DIRECTION('',(0.,0.,1.)); #408911=DIRECTION('center_axis',(1.,0.,0.)); #408912=DIRECTION('ref_axis',(0.,1.,0.)); #408913=DIRECTION('',(0.,1.,0.)); #408914=DIRECTION('',(0.,1.,0.)); #408915=DIRECTION('',(0.,0.,1.)); #408916=DIRECTION('center_axis',(0.707110741027299,0.70710282132362,0.)); #408917=DIRECTION('ref_axis',(-0.70710282132362,0.707110741027299,0.)); #408918=DIRECTION('',(-0.70710282132362,0.707110741027299,0.)); #408919=DIRECTION('',(-0.70710282132362,0.707110741027299,0.)); #408920=DIRECTION('',(0.,0.,1.)); #408921=DIRECTION('center_axis',(0.820212632122573,0.572058771549358,0.)); #408922=DIRECTION('ref_axis',(-0.572058771549358,0.820212632122573,0.)); #408923=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #408924=DIRECTION('',(-0.572058771549358,0.820212632122573,0.)); #408925=DIRECTION('',(0.,0.,1.)); #408926=DIRECTION('center_axis',(0.973144071552994,0.230196907019318,0.)); #408927=DIRECTION('ref_axis',(-0.230196907019318,0.973144071552994,0.)); #408928=DIRECTION('',(-0.230196907019318,0.973144071552994,0.)); #408929=DIRECTION('',(-0.230196907019318,0.973144071552994,0.)); #408930=DIRECTION('',(0.,0.,1.)); #408931=DIRECTION('center_axis',(0.985842168327521,-0.16767593490806,0.)); #408932=DIRECTION('ref_axis',(0.16767593490806,0.985842168327521,0.)); #408933=DIRECTION('',(0.167675934908059,0.985842168327521,0.)); #408934=DIRECTION('',(0.167675934908059,0.985842168327521,0.)); #408935=DIRECTION('',(0.,0.,1.)); #408936=DIRECTION('center_axis',(0.84237737907358,-0.538888069291876,0.)); #408937=DIRECTION('ref_axis',(0.538888069291876,0.84237737907358,0.)); #408938=DIRECTION('',(0.538888069291876,0.84237737907358,0.)); #408939=DIRECTION('',(0.538888069291876,0.84237737907358,0.)); #408940=DIRECTION('',(0.,0.,1.)); #408941=DIRECTION('center_axis',(0.565488626065756,-0.824756093515085,0.)); #408942=DIRECTION('ref_axis',(0.824756093515085,0.565488626065756,0.)); #408943=DIRECTION('',(0.824756093515085,0.565488626065756,0.)); #408944=DIRECTION('',(0.824756093515085,0.565488626065756,0.)); #408945=DIRECTION('center_axis',(0.,0.,1.)); #408946=DIRECTION('ref_axis',(1.,0.,0.)); #408947=DIRECTION('center_axis',(0.,0.,1.)); #408948=DIRECTION('ref_axis',(1.,0.,0.)); #408949=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #408950=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #408951=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #408952=DIRECTION('',(0.,0.,1.)); #408953=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #408954=DIRECTION('',(0.,0.,1.)); #408955=DIRECTION('center_axis',(0.,-1.,0.)); #408956=DIRECTION('ref_axis',(1.,0.,0.)); #408957=DIRECTION('',(1.,0.,0.)); #408958=DIRECTION('',(1.,0.,0.)); #408959=DIRECTION('',(0.,0.,1.)); #408960=DIRECTION('center_axis',(-0.171189112142556,-0.985238188401081, 0.)); #408961=DIRECTION('ref_axis',(0.985238188401081,-0.171189112142556,0.)); #408962=DIRECTION('',(0.985238188401081,-0.171189112142556,0.)); #408963=DIRECTION('',(0.985238188401081,-0.171189112142556,0.)); #408964=DIRECTION('',(0.,0.,1.)); #408965=DIRECTION('center_axis',(-0.51787634482484,-0.855455487720351,0.)); #408966=DIRECTION('ref_axis',(0.855455487720351,-0.51787634482484,0.)); #408967=DIRECTION('',(0.855455487720351,-0.51787634482484,0.)); #408968=DIRECTION('',(0.855455487720351,-0.51787634482484,0.)); #408969=DIRECTION('',(0.,0.,1.)); #408970=DIRECTION('center_axis',(-0.810521822508528,-0.585708438762371, 0.)); #408971=DIRECTION('ref_axis',(0.585708438762371,-0.810521822508528,0.)); #408972=DIRECTION('',(0.585708438762371,-0.810521822508528,0.)); #408973=DIRECTION('',(0.585708438762371,-0.810521822508528,0.)); #408974=DIRECTION('',(0.,0.,1.)); #408975=DIRECTION('center_axis',(-0.97478150286602,-0.223161425139436,0.)); #408976=DIRECTION('ref_axis',(0.223161425139436,-0.97478150286602,0.)); #408977=DIRECTION('',(0.223161425139436,-0.97478150286602,0.)); #408978=DIRECTION('',(0.223161425139436,-0.97478150286602,0.)); #408979=DIRECTION('',(0.,0.,1.)); #408980=DIRECTION('center_axis',(-0.98461814307028,0.174720097117746,0.)); #408981=DIRECTION('ref_axis',(-0.174720097117746,-0.98461814307028,0.)); #408982=DIRECTION('',(-0.174720097117746,-0.984618143070279,0.)); #408983=DIRECTION('',(-0.174720097117746,-0.984618143070279,0.)); #408984=DIRECTION('',(0.,0.,1.)); #408985=DIRECTION('center_axis',(-0.838476763151268,0.544937352046429,0.)); #408986=DIRECTION('ref_axis',(-0.544937352046428,-0.838476763151268,0.)); #408987=DIRECTION('',(-0.544937352046428,-0.838476763151268,0.)); #408988=DIRECTION('',(-0.544937352046428,-0.838476763151268,0.)); #408989=DIRECTION('',(0.,0.,1.)); #408990=DIRECTION('center_axis',(-0.713285424235251,0.700873671622453,0.)); #408991=DIRECTION('ref_axis',(-0.700873671622453,-0.713285424235251,0.)); #408992=DIRECTION('',(-0.700873671622453,-0.713285424235251,0.)); #408993=DIRECTION('',(-0.700873671622453,-0.713285424235251,0.)); #408994=DIRECTION('',(0.,0.,1.)); #408995=DIRECTION('center_axis',(-0.562560636388235,0.826756028333912,0.)); #408996=DIRECTION('ref_axis',(-0.826756028333912,-0.562560636388235,0.)); #408997=DIRECTION('',(-0.826756028333912,-0.562560636388235,0.)); #408998=DIRECTION('',(-0.826756028333912,-0.562560636388235,0.)); #408999=DIRECTION('',(0.,0.,1.)); #409000=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #409001=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #409002=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #409003=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #409004=DIRECTION('',(0.,0.,1.)); #409005=DIRECTION('center_axis',(0.,1.,0.)); #409006=DIRECTION('ref_axis',(-1.,0.,0.)); #409007=DIRECTION('',(-1.,0.,0.)); #409008=DIRECTION('',(-1.,0.,0.)); #409009=DIRECTION('',(0.,0.,1.)); #409010=DIRECTION('center_axis',(0.175502059386013,0.984479063846087,0.)); #409011=DIRECTION('ref_axis',(-0.984479063846087,0.175502059386013,0.)); #409012=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #409013=DIRECTION('',(-0.984479063846087,0.175502059386013,0.)); #409014=DIRECTION('',(0.,0.,1.)); #409015=DIRECTION('center_axis',(0.525358802048774,0.85088079606363,0.)); #409016=DIRECTION('ref_axis',(-0.85088079606363,0.525358802048774,0.)); #409017=DIRECTION('',(-0.85088079606363,0.525358802048774,0.)); #409018=DIRECTION('',(-0.85088079606363,0.525358802048774,0.)); #409019=DIRECTION('',(0.,0.,1.)); #409020=DIRECTION('center_axis',(0.815709411156831,0.578461888589193,0.)); #409021=DIRECTION('ref_axis',(-0.578461888589193,0.815709411156831,0.)); #409022=DIRECTION('',(-0.578461888589193,0.815709411156831,0.)); #409023=DIRECTION('',(-0.578461888589193,0.815709411156831,0.)); #409024=DIRECTION('',(0.,0.,1.)); #409025=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #409026=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #409027=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #409028=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #409029=DIRECTION('',(0.,0.,1.)); #409030=DIRECTION('center_axis',(0.983050529880647,-0.183334818573505,0.)); #409031=DIRECTION('ref_axis',(0.183334818573505,0.983050529880647,0.)); #409032=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #409033=DIRECTION('',(0.183334818573505,0.983050529880647,0.)); #409034=DIRECTION('',(0.,0.,1.)); #409035=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #409036=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #409037=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #409038=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #409039=DIRECTION('',(0.,0.,1.)); #409040=DIRECTION('center_axis',(0.707110741027282,-0.707102821323638,0.)); #409041=DIRECTION('ref_axis',(0.707102821323638,0.707110741027282,0.)); #409042=DIRECTION('',(0.707102821323638,0.707110741027282,0.)); #409043=DIRECTION('',(0.707102821323638,0.707110741027282,0.)); #409044=DIRECTION('',(0.,0.,1.)); #409045=DIRECTION('center_axis',(1.,0.,0.)); #409046=DIRECTION('ref_axis',(0.,1.,0.)); #409047=DIRECTION('',(0.,1.,0.)); #409048=DIRECTION('',(0.,1.,0.)); #409049=DIRECTION('',(0.,0.,1.)); #409050=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #409051=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #409052=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #409053=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #409054=DIRECTION('',(0.,0.,1.)); #409055=DIRECTION('center_axis',(0.,1.,0.)); #409056=DIRECTION('ref_axis',(-1.,0.,0.)); #409057=DIRECTION('',(-1.,0.,0.)); #409058=DIRECTION('',(-1.,0.,0.)); #409059=DIRECTION('',(0.,0.,1.)); #409060=DIRECTION('center_axis',(-0.707104777838364,0.707108784529055,0.)); #409061=DIRECTION('ref_axis',(-0.707108784529055,-0.707104777838364,0.)); #409062=DIRECTION('',(-0.707108784529055,-0.707104777838364,0.)); #409063=DIRECTION('',(-0.707108784529055,-0.707104777838364,0.)); #409064=DIRECTION('',(0.,0.,1.)); #409065=DIRECTION('center_axis',(-0.558946331948898,0.829203833807389,0.)); #409066=DIRECTION('ref_axis',(-0.829203833807389,-0.558946331948898,0.)); #409067=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #409068=DIRECTION('',(-0.829203833807389,-0.558946331948898,0.)); #409069=DIRECTION('',(0.,0.,1.)); #409070=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #409071=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #409072=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #409073=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #409074=DIRECTION('',(0.,0.,1.)); #409075=DIRECTION('center_axis',(0.,1.,0.)); #409076=DIRECTION('ref_axis',(-1.,0.,0.)); #409077=DIRECTION('',(-1.,0.,0.)); #409078=DIRECTION('',(-1.,0.,0.)); #409079=DIRECTION('',(0.,0.,1.)); #409080=DIRECTION('center_axis',(0.19114985692877,0.981560865252946,0.)); #409081=DIRECTION('ref_axis',(-0.981560865252945,0.19114985692877,0.)); #409082=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #409083=DIRECTION('',(-0.981560865252945,0.19114985692877,0.)); #409084=DIRECTION('',(0.,0.,1.)); #409085=DIRECTION('center_axis',(0.552278225391961,0.83365985974971,0.)); #409086=DIRECTION('ref_axis',(-0.83365985974971,0.552278225391961,0.)); #409087=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #409088=DIRECTION('',(-0.83365985974971,0.552278225391961,0.)); #409089=DIRECTION('',(0.,0.,1.)); #409090=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #409091=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #409092=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #409093=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #409094=DIRECTION('',(0.,0.,1.)); #409095=DIRECTION('center_axis',(0.820212632122495,0.57205877154947,0.)); #409096=DIRECTION('ref_axis',(-0.57205877154947,0.820212632122495,0.)); #409097=DIRECTION('',(-0.57205877154947,0.820212632122495,0.)); #409098=DIRECTION('',(-0.57205877154947,0.820212632122495,0.)); #409099=DIRECTION('',(0.,0.,1.)); #409100=DIRECTION('center_axis',(0.973151456886464,0.230165683714478,0.)); #409101=DIRECTION('ref_axis',(-0.230165683714478,0.973151456886464,0.)); #409102=DIRECTION('',(-0.230165683714478,0.973151456886464,0.)); #409103=DIRECTION('',(-0.230165683714478,0.973151456886464,0.)); #409104=DIRECTION('',(0.,0.,1.)); #409105=DIRECTION('center_axis',(0.985847618607414,-0.167643887112211,0.)); #409106=DIRECTION('ref_axis',(0.167643887112211,0.985847618607414,0.)); #409107=DIRECTION('',(0.167643887112211,0.985847618607414,0.)); #409108=DIRECTION('',(0.167643887112211,0.985847618607414,0.)); #409109=DIRECTION('',(0.,0.,1.)); #409110=DIRECTION('center_axis',(0.842362410729263,-0.538911466746055,0.)); #409111=DIRECTION('ref_axis',(0.538911466746055,0.842362410729263,0.)); #409112=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #409113=DIRECTION('',(0.538911466746055,0.842362410729263,0.)); #409114=DIRECTION('',(0.,0.,1.)); #409115=DIRECTION('center_axis',(0.565504004715031,-0.82474554903392,0.)); #409116=DIRECTION('ref_axis',(0.82474554903392,0.565504004715031,0.)); #409117=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #409118=DIRECTION('',(0.82474554903392,0.565504004715031,0.)); #409119=DIRECTION('center_axis',(0.,0.,1.)); #409120=DIRECTION('ref_axis',(1.,0.,0.)); #409121=DIRECTION('center_axis',(0.,0.,1.)); #409122=DIRECTION('ref_axis',(1.,0.,0.)); #409123=DIRECTION('center_axis',(0.214674314516719,-0.976685690837527,0.)); #409124=DIRECTION('ref_axis',(0.976685690837527,0.214674314516719,0.)); #409125=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #409126=DIRECTION('',(0.,0.,1.)); #409127=DIRECTION('',(0.976685690837527,0.214674314516719,0.)); #409128=DIRECTION('',(0.,0.,1.)); #409129=DIRECTION('center_axis',(-0.183334818573788,-0.983050529880594, 0.)); #409130=DIRECTION('ref_axis',(0.983050529880594,-0.183334818573788,0.)); #409131=DIRECTION('',(0.983050529880594,-0.183334818573788,0.)); #409132=DIRECTION('',(0.983050529880594,-0.183334818573788,0.)); #409133=DIRECTION('',(0.,0.,1.)); #409134=DIRECTION('center_axis',(-0.552278225391792,-0.833659859749822, 0.)); #409135=DIRECTION('ref_axis',(0.833659859749822,-0.552278225391792,0.)); #409136=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #409137=DIRECTION('',(0.833659859749822,-0.552278225391792,0.)); #409138=DIRECTION('',(0.,0.,1.)); #409139=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #409140=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #409141=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #409142=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #409143=DIRECTION('',(0.,0.,1.)); #409144=DIRECTION('center_axis',(-0.82920383380746,-0.558946331948794,0.)); #409145=DIRECTION('ref_axis',(0.558946331948794,-0.82920383380746,0.)); #409146=DIRECTION('',(0.558946331948794,-0.82920383380746,0.)); #409147=DIRECTION('',(0.558946331948794,-0.82920383380746,0.)); #409148=DIRECTION('',(0.,0.,1.)); #409149=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #409150=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #409151=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #409152=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #409153=DIRECTION('',(0.,0.,1.)); #409154=DIRECTION('center_axis',(-1.,0.,0.)); #409155=DIRECTION('ref_axis',(0.,-1.,0.)); #409156=DIRECTION('',(0.,-1.,0.)); #409157=DIRECTION('',(0.,-1.,0.)); #409158=DIRECTION('',(0.,0.,1.)); #409159=DIRECTION('center_axis',(-0.981560865252917,0.191149856928917,0.)); #409160=DIRECTION('ref_axis',(-0.191149856928917,-0.981560865252917,0.)); #409161=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #409162=DIRECTION('',(-0.191149856928917,-0.981560865252917,0.)); #409163=DIRECTION('',(0.,0.,1.)); #409164=DIRECTION('center_axis',(-0.833659859749822,0.552278225391792,0.)); #409165=DIRECTION('ref_axis',(-0.552278225391792,-0.833659859749822,0.)); #409166=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #409167=DIRECTION('',(-0.552278225391792,-0.833659859749822,0.)); #409168=DIRECTION('',(0.,0.,1.)); #409169=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #409170=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #409171=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #409172=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #409173=DIRECTION('',(0.,0.,1.)); #409174=DIRECTION('center_axis',(-0.56543933110129,0.824789890119736,0.)); #409175=DIRECTION('ref_axis',(-0.824789890119736,-0.56543933110129,0.)); #409176=DIRECTION('',(-0.824789890119736,-0.56543933110129,0.)); #409177=DIRECTION('',(-0.824789890119736,-0.56543933110129,0.)); #409178=DIRECTION('',(0.,0.,1.)); #409179=DIRECTION('center_axis',(-0.214674314516579,0.976685690837557,0.)); #409180=DIRECTION('ref_axis',(-0.976685690837557,-0.214674314516579,0.)); #409181=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #409182=DIRECTION('',(-0.976685690837557,-0.214674314516579,0.)); #409183=DIRECTION('',(0.,0.,1.)); #409184=DIRECTION('center_axis',(0.183334818573505,0.983050529880647,0.)); #409185=DIRECTION('ref_axis',(-0.983050529880647,0.183334818573505,0.)); #409186=DIRECTION('',(-0.983050529880647,0.183334818573505,0.)); #409187=DIRECTION('',(-0.983050529880647,0.183334818573505,0.)); #409188=DIRECTION('',(0.,0.,1.)); #409189=DIRECTION('center_axis',(0.552278225391995,0.833659859749687,0.)); #409190=DIRECTION('ref_axis',(-0.833659859749687,0.552278225391995,0.)); #409191=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #409192=DIRECTION('',(-0.833659859749687,0.552278225391995,0.)); #409193=DIRECTION('',(0.,0.,1.)); #409194=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #409195=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #409196=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #409197=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #409198=DIRECTION('',(0.,0.,1.)); #409199=DIRECTION('center_axis',(0.82920383380746,0.558946331948794,0.)); #409200=DIRECTION('ref_axis',(-0.558946331948794,0.82920383380746,0.)); #409201=DIRECTION('',(-0.558946331948794,0.82920383380746,0.)); #409202=DIRECTION('',(-0.558946331948794,0.82920383380746,0.)); #409203=DIRECTION('',(0.,0.,1.)); #409204=DIRECTION('center_axis',(0.980008419561318,0.198956019232712,0.)); #409205=DIRECTION('ref_axis',(-0.198956019232712,0.980008419561318,0.)); #409206=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #409207=DIRECTION('',(-0.198956019232712,0.980008419561318,0.)); #409208=DIRECTION('',(0.,0.,1.)); #409209=DIRECTION('center_axis',(1.,0.,0.)); #409210=DIRECTION('ref_axis',(0.,1.,0.)); #409211=DIRECTION('',(0.,1.,0.)); #409212=DIRECTION('',(0.,1.,0.)); #409213=DIRECTION('',(0.,0.,1.)); #409214=DIRECTION('center_axis',(0.981560865252917,-0.191149856928917,0.)); #409215=DIRECTION('ref_axis',(0.191149856928917,0.981560865252917,0.)); #409216=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #409217=DIRECTION('',(0.191149856928917,0.981560865252917,0.)); #409218=DIRECTION('',(0.,0.,1.)); #409219=DIRECTION('center_axis',(0.833659859749822,-0.552278225391792,0.)); #409220=DIRECTION('ref_axis',(0.552278225391792,0.833659859749822,0.)); #409221=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #409222=DIRECTION('',(0.552278225391792,0.833659859749822,0.)); #409223=DIRECTION('',(0.,0.,1.)); #409224=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #409225=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #409226=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #409227=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #409228=DIRECTION('',(0.,0.,1.)); #409229=DIRECTION('center_axis',(0.565439331101182,-0.82478989011981,0.)); #409230=DIRECTION('ref_axis',(0.82478989011981,0.565439331101182,0.)); #409231=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #409232=DIRECTION('',(0.82478989011981,0.565439331101182,0.)); #409233=DIRECTION('center_axis',(0.,0.,1.)); #409234=DIRECTION('ref_axis',(1.,0.,0.)); #409235=DIRECTION('center_axis',(0.,0.,1.)); #409236=DIRECTION('ref_axis',(1.,0.,0.)); #409237=DIRECTION('center_axis',(0.198956019232571,-0.980008419561347,0.)); #409238=DIRECTION('ref_axis',(0.980008419561347,0.198956019232571,0.)); #409239=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #409240=DIRECTION('',(0.,0.,1.)); #409241=DIRECTION('',(0.980008419561347,0.198956019232571,0.)); #409242=DIRECTION('',(0.,0.,1.)); #409243=DIRECTION('center_axis',(0.,-1.,0.)); #409244=DIRECTION('ref_axis',(1.,0.,0.)); #409245=DIRECTION('',(1.,0.,0.)); #409246=DIRECTION('',(1.,0.,0.)); #409247=DIRECTION('',(0.,0.,1.)); #409248=DIRECTION('center_axis',(-0.191149856928798,-0.98156086525294,0.)); #409249=DIRECTION('ref_axis',(0.98156086525294,-0.191149856928798,0.)); #409250=DIRECTION('',(0.98156086525294,-0.191149856928798,0.)); #409251=DIRECTION('',(0.98156086525294,-0.191149856928798,0.)); #409252=DIRECTION('',(0.,0.,1.)); #409253=DIRECTION('center_axis',(-0.552278225391893,-0.833659859749755, 0.)); #409254=DIRECTION('ref_axis',(0.833659859749755,-0.552278225391893,0.)); #409255=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #409256=DIRECTION('',(0.833659859749755,-0.552278225391893,0.)); #409257=DIRECTION('',(0.,0.,1.)); #409258=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #409259=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #409260=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #409261=DIRECTION('',(0.707106781186547,-0.707106781186547,0.)); #409262=DIRECTION('',(0.,0.,1.)); #409263=DIRECTION('center_axis',(-0.820212632122573,-0.572058771549358, 0.)); #409264=DIRECTION('ref_axis',(0.572058771549358,-0.820212632122573,0.)); #409265=DIRECTION('',(0.572058771549358,-0.820212632122573,0.)); #409266=DIRECTION('',(0.572058771549358,-0.820212632122573,0.)); #409267=DIRECTION('',(0.,0.,1.)); #409268=DIRECTION('center_axis',(-0.973151456886431,-0.230165683714617, 0.)); #409269=DIRECTION('ref_axis',(0.230165683714617,-0.973151456886431,0.)); #409270=DIRECTION('',(0.230165683714617,-0.973151456886431,0.)); #409271=DIRECTION('',(0.230165683714617,-0.973151456886431,0.)); #409272=DIRECTION('',(0.,0.,1.)); #409273=DIRECTION('center_axis',(-0.985847618607389,0.167643887112353,0.)); #409274=DIRECTION('ref_axis',(-0.167643887112353,-0.985847618607389,0.)); #409275=DIRECTION('',(-0.167643887112353,-0.985847618607389,0.)); #409276=DIRECTION('',(-0.167643887112353,-0.985847618607389,0.)); #409277=DIRECTION('',(0.,0.,1.)); #409278=DIRECTION('center_axis',(-0.842362410729263,0.538911466746055,0.)); #409279=DIRECTION('ref_axis',(-0.538911466746055,-0.842362410729263,0.)); #409280=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #409281=DIRECTION('',(-0.538911466746055,-0.842362410729263,0.)); #409282=DIRECTION('',(0.,0.,1.)); #409283=DIRECTION('center_axis',(-0.565504004715031,0.82474554903392,0.)); #409284=DIRECTION('ref_axis',(-0.82474554903392,-0.565504004715031,0.)); #409285=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #409286=DIRECTION('',(-0.82474554903392,-0.565504004715031,0.)); #409287=DIRECTION('',(0.,0.,1.)); #409288=DIRECTION('center_axis',(-0.198956019232712,0.980008419561318,0.)); #409289=DIRECTION('ref_axis',(-0.980008419561318,-0.198956019232712,0.)); #409290=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #409291=DIRECTION('',(-0.980008419561318,-0.198956019232712,0.)); #409292=DIRECTION('',(0.,0.,1.)); #409293=DIRECTION('center_axis',(0.,1.,0.)); #409294=DIRECTION('ref_axis',(-1.,0.,0.)); #409295=DIRECTION('',(-1.,0.,0.)); #409296=DIRECTION('',(-1.,0.,0.)); #409297=DIRECTION('',(0.,0.,1.)); #409298=DIRECTION('center_axis',(0.183305290257415,0.983056036329387,0.)); #409299=DIRECTION('ref_axis',(-0.983056036329387,0.183305290257415,0.)); #409300=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #409301=DIRECTION('',(-0.983056036329387,0.183305290257415,0.)); #409302=DIRECTION('',(0.,0.,1.)); #409303=DIRECTION('center_axis',(0.538911466746018,0.842362410729287,0.)); #409304=DIRECTION('ref_axis',(-0.842362410729287,0.538911466746018,0.)); #409305=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #409306=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #409307=DIRECTION('',(0.,0.,1.)); #409308=DIRECTION('center_axis',(0.824745549033899,0.565504004715062,0.)); #409309=DIRECTION('ref_axis',(-0.565504004715062,0.824745549033899,0.)); #409310=DIRECTION('',(-0.565504004715062,0.824745549033899,0.)); #409311=DIRECTION('',(-0.565504004715062,0.824745549033899,0.)); #409312=DIRECTION('',(0.,0.,1.)); #409313=DIRECTION('center_axis',(0.980008419561347,0.198956019232571,0.)); #409314=DIRECTION('ref_axis',(-0.198956019232571,0.980008419561347,0.)); #409315=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #409316=DIRECTION('',(-0.198956019232571,0.980008419561347,0.)); #409317=DIRECTION('',(0.,0.,1.)); #409318=DIRECTION('center_axis',(1.,0.,0.)); #409319=DIRECTION('ref_axis',(0.,1.,0.)); #409320=DIRECTION('',(0.,1.,0.)); #409321=DIRECTION('',(0.,1.,0.)); #409322=DIRECTION('',(0.,0.,1.)); #409323=DIRECTION('center_axis',(0.983056036329416,-0.183305290257262,0.)); #409324=DIRECTION('ref_axis',(0.183305290257262,0.983056036329416,0.)); #409325=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #409326=DIRECTION('',(0.183305290257262,0.983056036329416,0.)); #409327=DIRECTION('',(0.,0.,1.)); #409328=DIRECTION('center_axis',(0.842362410729287,-0.538911466746018,0.)); #409329=DIRECTION('ref_axis',(0.538911466746018,0.842362410729287,0.)); #409330=DIRECTION('',(0.538911466746018,0.842362410729287,0.)); #409331=DIRECTION('',(0.538911466746018,0.842362410729287,0.)); #409332=DIRECTION('',(0.,0.,1.)); #409333=DIRECTION('center_axis',(0.565504004715062,-0.824745549033899,0.)); #409334=DIRECTION('ref_axis',(0.824745549033899,0.565504004715062,0.)); #409335=DIRECTION('',(0.824745549033899,0.565504004715062,0.)); #409336=DIRECTION('',(0.824745549033899,0.565504004715062,0.)); #409337=DIRECTION('center_axis',(0.,0.,1.)); #409338=DIRECTION('ref_axis',(1.,0.,0.)); #409339=DIRECTION('center_axis',(0.,0.,1.)); #409340=DIRECTION('ref_axis',(1.,0.,0.)); #409341=DIRECTION('center_axis',(0.00448425984527786,-0.999989945656275, 0.)); #409342=DIRECTION('ref_axis',(0.999989945656275,0.00448425984527786,0.)); #409343=DIRECTION('',(0.999989945656275,0.00448425984527786,0.)); #409344=DIRECTION('',(0.,0.,1.)); #409345=DIRECTION('',(0.999989945656275,0.00448425984527786,0.)); #409346=DIRECTION('',(0.,0.,1.)); #409347=DIRECTION('center_axis',(0.,-1.,0.)); #409348=DIRECTION('ref_axis',(1.,0.,0.)); #409349=DIRECTION('',(1.,0.,0.)); #409350=DIRECTION('',(1.,0.,0.)); #409351=DIRECTION('',(0.,0.,1.)); #409352=DIRECTION('center_axis',(-0.183305290257415,-0.983056036329387, 0.)); #409353=DIRECTION('ref_axis',(0.983056036329387,-0.183305290257415,0.)); #409354=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #409355=DIRECTION('',(0.983056036329387,-0.183305290257415,0.)); #409356=DIRECTION('',(0.,0.,1.)); #409357=DIRECTION('center_axis',(-0.538911466745951,-0.842362410729329, 0.)); #409358=DIRECTION('ref_axis',(0.842362410729329,-0.538911466745951,0.)); #409359=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #409360=DIRECTION('',(0.842362410729329,-0.538911466745951,0.)); #409361=DIRECTION('',(0.,0.,1.)); #409362=DIRECTION('center_axis',(-0.824745549033967,-0.565504004714963, 0.)); #409363=DIRECTION('ref_axis',(0.565504004714963,-0.824745549033967,0.)); #409364=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #409365=DIRECTION('',(0.565504004714963,-0.824745549033967,0.)); #409366=DIRECTION('',(0.,0.,1.)); #409367=DIRECTION('center_axis',(-0.980008419561318,-0.198956019232712, 0.)); #409368=DIRECTION('ref_axis',(0.198956019232712,-0.980008419561318,0.)); #409369=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #409370=DIRECTION('',(0.198956019232712,-0.980008419561318,0.)); #409371=DIRECTION('',(0.,0.,1.)); #409372=DIRECTION('center_axis',(-1.,0.,0.)); #409373=DIRECTION('ref_axis',(0.,-1.,0.)); #409374=DIRECTION('',(0.,-1.,0.)); #409375=DIRECTION('',(0.,-1.,0.)); #409376=DIRECTION('',(0.,0.,1.)); #409377=DIRECTION('center_axis',(-0.982311566963627,0.18725379945268,0.)); #409378=DIRECTION('ref_axis',(-0.18725379945268,-0.982311566963627,0.)); #409379=DIRECTION('',(-0.18725379945268,-0.982311566963627,0.)); #409380=DIRECTION('',(-0.18725379945268,-0.982311566963627,0.)); #409381=DIRECTION('',(0.,0.,1.)); #409382=DIRECTION('center_axis',(-0.838042613670229,0.545604781570663,0.)); #409383=DIRECTION('ref_axis',(-0.545604781570663,-0.838042613670229,0.)); #409384=DIRECTION('',(-0.545604781570663,-0.838042613670229,0.)); #409385=DIRECTION('',(-0.545604781570663,-0.838042613670229,0.)); #409386=DIRECTION('',(0.,0.,1.)); #409387=DIRECTION('center_axis',(-0.55887810789873,0.829249817914684,0.)); #409388=DIRECTION('ref_axis',(-0.829249817914683,-0.55887810789873,0.)); #409389=DIRECTION('',(-0.829249817914683,-0.55887810789873,0.)); #409390=DIRECTION('',(-0.829249817914683,-0.55887810789873,0.)); #409391=DIRECTION('',(0.,0.,1.)); #409392=DIRECTION('center_axis',(-0.382683794236524,0.923879382619148,0.)); #409393=DIRECTION('ref_axis',(-0.923879382619148,-0.382683794236524,0.)); #409394=DIRECTION('',(-0.923879382619148,-0.382683794236524,0.)); #409395=DIRECTION('',(-0.923879382619148,-0.382683794236524,0.)); #409396=DIRECTION('',(0.,0.,1.)); #409397=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #409398=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #409399=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #409400=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #409401=DIRECTION('',(0.,0.,1.)); #409402=DIRECTION('center_axis',(-0.923877363903348,0.382688667805831,0.)); #409403=DIRECTION('ref_axis',(-0.382688667805831,-0.923877363903348,0.)); #409404=DIRECTION('',(-0.382688667805831,-0.923877363903348,0.)); #409405=DIRECTION('',(-0.382688667805831,-0.923877363903348,0.)); #409406=DIRECTION('',(0.,0.,1.)); #409407=DIRECTION('center_axis',(-1.,0.,0.)); #409408=DIRECTION('ref_axis',(0.,-1.,0.)); #409409=DIRECTION('',(0.,-1.,0.)); #409410=DIRECTION('',(0.,-1.,0.)); #409411=DIRECTION('',(0.,0.,1.)); #409412=DIRECTION('center_axis',(-0.923877363903348,-0.382688667805831, 0.)); #409413=DIRECTION('ref_axis',(0.382688667805831,-0.923877363903348,0.)); #409414=DIRECTION('',(0.382688667805831,-0.923877363903348,0.)); #409415=DIRECTION('',(0.382688667805831,-0.923877363903348,0.)); #409416=DIRECTION('',(0.,0.,1.)); #409417=DIRECTION('center_axis',(-0.707106781186539,-0.707106781186556, 0.)); #409418=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #409419=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #409420=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #409421=DIRECTION('',(0.,0.,1.)); #409422=DIRECTION('center_axis',(-0.820212632122627,-0.572058771549281, 0.)); #409423=DIRECTION('ref_axis',(0.572058771549281,-0.820212632122627,0.)); #409424=DIRECTION('',(0.572058771549281,-0.820212632122627,0.)); #409425=DIRECTION('',(0.572058771549281,-0.820212632122627,0.)); #409426=DIRECTION('',(0.,0.,1.)); #409427=DIRECTION('center_axis',(-0.973144071552987,-0.230196907019351, 0.)); #409428=DIRECTION('ref_axis',(0.230196907019351,-0.973144071552987,0.)); #409429=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #409430=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #409431=DIRECTION('',(0.,0.,1.)); #409432=DIRECTION('center_axis',(-0.985842168327525,0.167675934908035,0.)); #409433=DIRECTION('ref_axis',(-0.167675934908035,-0.985842168327525,0.)); #409434=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #409435=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #409436=DIRECTION('',(0.,0.,1.)); #409437=DIRECTION('center_axis',(-0.84236241072922,0.538911466746122,0.)); #409438=DIRECTION('ref_axis',(-0.538911466746122,-0.84236241072922,0.)); #409439=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #409440=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #409441=DIRECTION('',(0.,0.,1.)); #409442=DIRECTION('center_axis',(-0.565504004715131,0.824745549033852,0.)); #409443=DIRECTION('ref_axis',(-0.824745549033852,-0.565504004715131,0.)); #409444=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #409445=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #409446=DIRECTION('',(0.,0.,1.)); #409447=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #409448=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #409449=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #409450=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #409451=DIRECTION('',(0.,0.,1.)); #409452=DIRECTION('center_axis',(0.,1.,0.)); #409453=DIRECTION('ref_axis',(-1.,0.,0.)); #409454=DIRECTION('',(-1.,0.,0.)); #409455=DIRECTION('',(-1.,0.,0.)); #409456=DIRECTION('',(0.,0.,1.)); #409457=DIRECTION('center_axis',(0.175502059386041,0.984479063846082,0.)); #409458=DIRECTION('ref_axis',(-0.984479063846082,0.175502059386041,0.)); #409459=DIRECTION('',(-0.984479063846082,0.175502059386041,0.)); #409460=DIRECTION('',(-0.984479063846082,0.175502059386041,0.)); #409461=DIRECTION('',(0.,0.,1.)); #409462=DIRECTION('center_axis',(0.525358802048839,0.85088079606359,0.)); #409463=DIRECTION('ref_axis',(-0.85088079606359,0.525358802048839,0.)); #409464=DIRECTION('',(-0.85088079606359,0.525358802048839,0.)); #409465=DIRECTION('',(-0.85088079606359,0.525358802048839,0.)); #409466=DIRECTION('',(0.,0.,1.)); #409467=DIRECTION('center_axis',(0.815709411156693,0.578461888589388,0.)); #409468=DIRECTION('ref_axis',(-0.578461888589387,0.815709411156693,0.)); #409469=DIRECTION('',(-0.578461888589388,0.815709411156693,0.)); #409470=DIRECTION('',(-0.578461888589388,0.815709411156693,0.)); #409471=DIRECTION('',(0.,0.,1.)); #409472=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #409473=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #409474=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #409475=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #409476=DIRECTION('',(0.,0.,1.)); #409477=DIRECTION('center_axis',(0.983050529880594,-0.183334818573788,0.)); #409478=DIRECTION('ref_axis',(0.183334818573788,0.983050529880594,0.)); #409479=DIRECTION('',(0.183334818573788,0.983050529880594,0.)); #409480=DIRECTION('',(0.183334818573788,0.983050529880594,0.)); #409481=DIRECTION('',(0.,0.,1.)); #409482=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #409483=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #409484=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #409485=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #409486=DIRECTION('',(0.,0.,1.)); #409487=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #409488=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #409489=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #409490=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #409491=DIRECTION('',(0.,0.,1.)); #409492=DIRECTION('center_axis',(0.923877363903343,-0.382688667805841,0.)); #409493=DIRECTION('ref_axis',(0.382688667805841,0.923877363903343,0.)); #409494=DIRECTION('',(0.382688667805841,0.923877363903343,0.)); #409495=DIRECTION('',(0.382688667805841,0.923877363903343,0.)); #409496=DIRECTION('',(0.,0.,1.)); #409497=DIRECTION('center_axis',(1.,0.,0.)); #409498=DIRECTION('ref_axis',(0.,1.,0.)); #409499=DIRECTION('',(0.,1.,0.)); #409500=DIRECTION('',(0.,1.,0.)); #409501=DIRECTION('',(0.,0.,1.)); #409502=DIRECTION('center_axis',(0.923877363903343,0.382688667805841,0.)); #409503=DIRECTION('ref_axis',(-0.382688667805841,0.923877363903343,0.)); #409504=DIRECTION('',(-0.382688667805841,0.923877363903343,0.)); #409505=DIRECTION('',(-0.382688667805841,0.923877363903343,0.)); #409506=DIRECTION('',(0.,0.,1.)); #409507=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #409508=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #409509=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #409510=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #409511=DIRECTION('',(0.,0.,1.)); #409512=DIRECTION('center_axis',(0.382688667805837,0.923877363903345,0.)); #409513=DIRECTION('ref_axis',(-0.923877363903345,0.382688667805837,0.)); #409514=DIRECTION('',(-0.923877363903345,0.382688667805837,0.)); #409515=DIRECTION('',(-0.923877363903345,0.382688667805837,0.)); #409516=DIRECTION('',(0.,0.,1.)); #409517=DIRECTION('center_axis',(0.,1.,0.)); #409518=DIRECTION('ref_axis',(-1.,0.,0.)); #409519=DIRECTION('',(-1.,0.,0.)); #409520=DIRECTION('',(-1.,0.,0.)); #409521=DIRECTION('',(0.,0.,1.)); #409522=DIRECTION('center_axis',(-0.382688667805833,0.923877363903347,0.)); #409523=DIRECTION('ref_axis',(-0.923877363903347,-0.382688667805833,0.)); #409524=DIRECTION('',(-0.923877363903347,-0.382688667805833,0.)); #409525=DIRECTION('',(-0.923877363903347,-0.382688667805833,0.)); #409526=DIRECTION('',(0.,0.,1.)); #409527=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #409528=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #409529=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #409530=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #409531=DIRECTION('',(0.,0.,1.)); #409532=DIRECTION('center_axis',(-0.923877363903348,0.382688667805831,0.)); #409533=DIRECTION('ref_axis',(-0.382688667805831,-0.923877363903348,0.)); #409534=DIRECTION('',(-0.382688667805831,-0.923877363903348,0.)); #409535=DIRECTION('',(-0.382688667805831,-0.923877363903348,0.)); #409536=DIRECTION('',(0.,0.,1.)); #409537=DIRECTION('center_axis',(-1.,0.,0.)); #409538=DIRECTION('ref_axis',(0.,-1.,0.)); #409539=DIRECTION('',(0.,-1.,0.)); #409540=DIRECTION('',(0.,-1.,0.)); #409541=DIRECTION('',(0.,0.,1.)); #409542=DIRECTION('center_axis',(-0.923877363903348,-0.382688667805831, 0.)); #409543=DIRECTION('ref_axis',(0.382688667805831,-0.923877363903348,0.)); #409544=DIRECTION('',(0.382688667805831,-0.923877363903348,0.)); #409545=DIRECTION('',(0.382688667805831,-0.923877363903348,0.)); #409546=DIRECTION('',(0.,0.,1.)); #409547=DIRECTION('center_axis',(-0.707106781186539,-0.707106781186556, 0.)); #409548=DIRECTION('ref_axis',(0.707106781186556,-0.707106781186539,0.)); #409549=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #409550=DIRECTION('',(0.707106781186556,-0.707106781186539,0.)); #409551=DIRECTION('',(0.,0.,1.)); #409552=DIRECTION('center_axis',(-0.820212632122627,-0.572058771549281, 0.)); #409553=DIRECTION('ref_axis',(0.572058771549281,-0.820212632122627,0.)); #409554=DIRECTION('',(0.572058771549281,-0.820212632122627,0.)); #409555=DIRECTION('',(0.572058771549281,-0.820212632122627,0.)); #409556=DIRECTION('',(0.,0.,1.)); #409557=DIRECTION('center_axis',(-0.973144071552987,-0.230196907019351, 0.)); #409558=DIRECTION('ref_axis',(0.230196907019351,-0.973144071552987,0.)); #409559=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #409560=DIRECTION('',(0.230196907019351,-0.973144071552987,0.)); #409561=DIRECTION('',(0.,0.,1.)); #409562=DIRECTION('center_axis',(-0.985842168327525,0.167675934908035,0.)); #409563=DIRECTION('ref_axis',(-0.167675934908035,-0.985842168327525,0.)); #409564=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #409565=DIRECTION('',(-0.167675934908035,-0.985842168327525,0.)); #409566=DIRECTION('',(0.,0.,1.)); #409567=DIRECTION('center_axis',(-0.84236241072922,0.538911466746122,0.)); #409568=DIRECTION('ref_axis',(-0.538911466746122,-0.84236241072922,0.)); #409569=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #409570=DIRECTION('',(-0.538911466746122,-0.84236241072922,0.)); #409571=DIRECTION('',(0.,0.,1.)); #409572=DIRECTION('center_axis',(-0.565504004715131,0.824745549033852,0.)); #409573=DIRECTION('ref_axis',(-0.824745549033852,-0.565504004715131,0.)); #409574=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #409575=DIRECTION('',(-0.824745549033852,-0.565504004715131,0.)); #409576=DIRECTION('',(0.,0.,1.)); #409577=DIRECTION('center_axis',(-0.198956019232571,0.980008419561347,0.)); #409578=DIRECTION('ref_axis',(-0.980008419561347,-0.198956019232571,0.)); #409579=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #409580=DIRECTION('',(-0.980008419561347,-0.198956019232571,0.)); #409581=DIRECTION('',(0.,0.,1.)); #409582=DIRECTION('center_axis',(0.,1.,0.)); #409583=DIRECTION('ref_axis',(-1.,0.,0.)); #409584=DIRECTION('',(-1.,0.,0.)); #409585=DIRECTION('',(-1.,0.,0.)); #409586=DIRECTION('',(0.,0.,1.)); #409587=DIRECTION('center_axis',(0.175502059386041,0.984479063846082,0.)); #409588=DIRECTION('ref_axis',(-0.984479063846082,0.175502059386041,0.)); #409589=DIRECTION('',(-0.984479063846082,0.175502059386041,0.)); #409590=DIRECTION('',(-0.984479063846082,0.175502059386041,0.)); #409591=DIRECTION('',(0.,0.,1.)); #409592=DIRECTION('center_axis',(0.525358802048839,0.85088079606359,0.)); #409593=DIRECTION('ref_axis',(-0.85088079606359,0.525358802048839,0.)); #409594=DIRECTION('',(-0.85088079606359,0.525358802048839,0.)); #409595=DIRECTION('',(-0.85088079606359,0.525358802048839,0.)); #409596=DIRECTION('',(0.,0.,1.)); #409597=DIRECTION('center_axis',(0.815709411156693,0.578461888589388,0.)); #409598=DIRECTION('ref_axis',(-0.578461888589387,0.815709411156693,0.)); #409599=DIRECTION('',(-0.578461888589388,0.815709411156693,0.)); #409600=DIRECTION('',(-0.578461888589388,0.815709411156693,0.)); #409601=DIRECTION('',(0.,0.,1.)); #409602=DIRECTION('center_axis',(0.976685690837557,0.214674314516579,0.)); #409603=DIRECTION('ref_axis',(-0.214674314516579,0.976685690837557,0.)); #409604=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #409605=DIRECTION('',(-0.214674314516579,0.976685690837557,0.)); #409606=DIRECTION('',(0.,0.,1.)); #409607=DIRECTION('center_axis',(0.983050529880594,-0.183334818573788,0.)); #409608=DIRECTION('ref_axis',(0.183334818573788,0.983050529880594,0.)); #409609=DIRECTION('',(0.183334818573788,0.983050529880594,0.)); #409610=DIRECTION('',(0.183334818573788,0.983050529880594,0.)); #409611=DIRECTION('',(0.,0.,1.)); #409612=DIRECTION('center_axis',(0.833659859749755,-0.552278225391893,0.)); #409613=DIRECTION('ref_axis',(0.552278225391893,0.833659859749755,0.)); #409614=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #409615=DIRECTION('',(0.552278225391893,0.833659859749755,0.)); #409616=DIRECTION('',(0.,0.,1.)); #409617=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #409618=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #409619=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #409620=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #409621=DIRECTION('',(0.,0.,1.)); #409622=DIRECTION('center_axis',(0.923877363903343,-0.382688667805841,0.)); #409623=DIRECTION('ref_axis',(0.382688667805841,0.923877363903343,0.)); #409624=DIRECTION('',(0.382688667805841,0.923877363903343,0.)); #409625=DIRECTION('',(0.382688667805841,0.923877363903343,0.)); #409626=DIRECTION('',(0.,0.,1.)); #409627=DIRECTION('center_axis',(1.,0.,0.)); #409628=DIRECTION('ref_axis',(0.,1.,0.)); #409629=DIRECTION('',(0.,1.,0.)); #409630=DIRECTION('',(0.,1.,0.)); #409631=DIRECTION('',(0.,0.,1.)); #409632=DIRECTION('center_axis',(0.923877363903343,0.382688667805841,0.)); #409633=DIRECTION('ref_axis',(-0.382688667805841,0.923877363903343,0.)); #409634=DIRECTION('',(-0.382688667805841,0.923877363903343,0.)); #409635=DIRECTION('',(-0.382688667805841,0.923877363903343,0.)); #409636=DIRECTION('',(0.,0.,1.)); #409637=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #409638=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #409639=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #409640=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #409641=DIRECTION('',(0.,0.,1.)); #409642=DIRECTION('center_axis',(0.38268379423653,0.923879382619145,0.)); #409643=DIRECTION('ref_axis',(-0.923879382619145,0.38268379423653,0.)); #409644=DIRECTION('',(-0.923879382619145,0.38268379423653,0.)); #409645=DIRECTION('',(-0.923879382619145,0.38268379423653,0.)); #409646=DIRECTION('',(0.,0.,1.)); #409647=DIRECTION('center_axis',(0.548897495819296,0.835889669204797,0.)); #409648=DIRECTION('ref_axis',(-0.835889669204797,0.548897495819296,0.)); #409649=DIRECTION('',(-0.835889669204797,0.548897495819296,0.)); #409650=DIRECTION('',(-0.835889669204797,0.548897495819296,0.)); #409651=DIRECTION('',(0.,0.,1.)); #409652=DIRECTION('center_axis',(0.82474554903392,0.565504004715031,0.)); #409653=DIRECTION('ref_axis',(-0.565504004715031,0.82474554903392,0.)); #409654=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #409655=DIRECTION('',(-0.565504004715031,0.82474554903392,0.)); #409656=DIRECTION('',(0.,0.,1.)); #409657=DIRECTION('center_axis',(0.980008419561324,0.198956019232683,0.)); #409658=DIRECTION('ref_axis',(-0.198956019232683,0.980008419561324,0.)); #409659=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #409660=DIRECTION('',(-0.198956019232683,0.980008419561324,0.)); #409661=DIRECTION('',(0.,0.,1.)); #409662=DIRECTION('center_axis',(1.,0.,0.)); #409663=DIRECTION('ref_axis',(0.,1.,0.)); #409664=DIRECTION('',(0.,1.,0.)); #409665=DIRECTION('',(0.,1.,0.)); #409666=DIRECTION('',(0.,0.,1.)); #409667=DIRECTION('center_axis',(0.983056036329387,-0.183305290257415,0.)); #409668=DIRECTION('ref_axis',(0.183305290257415,0.983056036329387,0.)); #409669=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #409670=DIRECTION('',(0.183305290257415,0.983056036329387,0.)); #409671=DIRECTION('',(0.,0.,1.)); #409672=DIRECTION('center_axis',(0.842362410729396,-0.538911466745847,0.)); #409673=DIRECTION('ref_axis',(0.538911466745847,0.842362410729396,0.)); #409674=DIRECTION('',(0.538911466745847,0.842362410729396,0.)); #409675=DIRECTION('',(0.538911466745847,0.842362410729396,0.)); #409676=DIRECTION('',(0.,0.,1.)); #409677=DIRECTION('center_axis',(0.565504004714894,-0.824745549034014,0.)); #409678=DIRECTION('ref_axis',(0.824745549034014,0.565504004714894,0.)); #409679=DIRECTION('',(0.824745549034014,0.565504004714894,0.)); #409680=DIRECTION('',(0.824745549034014,0.565504004714894,0.)); #409681=DIRECTION('',(0.,0.,1.)); #409682=DIRECTION('center_axis',(0.198956019232712,-0.980008419561318,0.)); #409683=DIRECTION('ref_axis',(0.980008419561318,0.198956019232712,0.)); #409684=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #409685=DIRECTION('',(0.980008419561318,0.198956019232712,0.)); #409686=DIRECTION('',(0.,0.,1.)); #409687=DIRECTION('center_axis',(0.,-1.,0.)); #409688=DIRECTION('ref_axis',(1.,0.,0.)); #409689=DIRECTION('',(1.,0.,0.)); #409690=DIRECTION('',(1.,0.,0.)); #409691=DIRECTION('',(0.,0.,1.)); #409692=DIRECTION('center_axis',(-0.187112107889887,-0.982338566422495, 0.)); #409693=DIRECTION('ref_axis',(0.982338566422495,-0.187112107889887,0.)); #409694=DIRECTION('',(0.982338566422495,-0.187112107889887,0.)); #409695=DIRECTION('',(0.982338566422495,-0.187112107889887,0.)); #409696=DIRECTION('',(0.,0.,1.)); #409697=DIRECTION('center_axis',(0.00878176022200295,-0.999961439600249, 0.)); #409698=DIRECTION('ref_axis',(0.999961439600249,0.00878176022200295,0.)); #409699=DIRECTION('',(0.999961439600249,0.00878176022200295,0.)); #409700=DIRECTION('',(0.999961439600249,0.00878176022200295,0.)); #409701=DIRECTION('center_axis',(0.,0.,1.)); #409702=DIRECTION('ref_axis',(1.,0.,0.)); #409703=DIRECTION('center_axis',(0.,0.,1.)); #409704=DIRECTION('ref_axis',(1.,0.,0.)); #409705=DIRECTION('center_axis',(0.198956019232641,-0.980008419561333,0.)); #409706=DIRECTION('ref_axis',(0.980008419561333,0.198956019232641,0.)); #409707=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #409708=DIRECTION('',(0.,0.,1.)); #409709=DIRECTION('',(0.980008419561333,0.198956019232641,0.)); #409710=DIRECTION('',(0.,0.,1.)); #409711=DIRECTION('center_axis',(0.,-1.,0.)); #409712=DIRECTION('ref_axis',(1.,0.,0.)); #409713=DIRECTION('',(1.,0.,0.)); #409714=DIRECTION('',(1.,0.,0.)); #409715=DIRECTION('',(0.,0.,1.)); #409716=DIRECTION('center_axis',(-0.19114985692877,-0.981560865252946,0.)); #409717=DIRECTION('ref_axis',(0.981560865252945,-0.19114985692877,0.)); #409718=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #409719=DIRECTION('',(0.981560865252945,-0.19114985692877,0.)); #409720=DIRECTION('',(0.,0.,1.)); #409721=DIRECTION('center_axis',(-0.552263045083163,-0.833669916115169, 0.)); #409722=DIRECTION('ref_axis',(0.833669916115169,-0.552263045083163,0.)); #409723=DIRECTION('',(0.833669916115169,-0.552263045083163,0.)); #409724=DIRECTION('',(0.833669916115169,-0.552263045083163,0.)); #409725=DIRECTION('',(0.,0.,1.)); #409726=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #409727=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.)); #409728=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #409729=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #409730=DIRECTION('',(0.,0.,1.)); #409731=DIRECTION('center_axis',(-0.829214556504185,-0.558930424365652, 0.)); #409732=DIRECTION('ref_axis',(0.558930424365652,-0.829214556504185,0.)); #409733=DIRECTION('',(0.558930424365652,-0.829214556504185,0.)); #409734=DIRECTION('',(0.558930424365652,-0.829214556504185,0.)); #409735=DIRECTION('',(0.,0.,1.)); #409736=DIRECTION('center_axis',(-0.980008419561353,-0.198956019232542, 0.)); #409737=DIRECTION('ref_axis',(0.198956019232542,-0.980008419561353,0.)); #409738=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #409739=DIRECTION('',(0.198956019232542,-0.980008419561353,0.)); #409740=DIRECTION('',(0.,0.,1.)); #409741=DIRECTION('center_axis',(-1.,0.,0.)); #409742=DIRECTION('ref_axis',(0.,-1.,0.)); #409743=DIRECTION('',(0.,-1.,0.)); #409744=DIRECTION('',(0.,-1.,0.)); #409745=DIRECTION('',(0.,0.,1.)); #409746=DIRECTION('center_axis',(-0.983056036329416,0.183305290257262,0.)); #409747=DIRECTION('ref_axis',(-0.183305290257262,-0.983056036329416,0.)); #409748=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #409749=DIRECTION('',(-0.183305290257262,-0.983056036329416,0.)); #409750=DIRECTION('',(0.,0.,1.)); #409751=DIRECTION('center_axis',(-0.842362410729284,0.538911466746022,0.)); #409752=DIRECTION('ref_axis',(-0.538911466746022,-0.842362410729284,0.)); #409753=DIRECTION('',(-0.538911466746022,-0.842362410729284,0.)); #409754=DIRECTION('',(-0.538911466746022,-0.842362410729284,0.)); #409755=DIRECTION('',(0.,0.,1.)); #409756=DIRECTION('center_axis',(-0.565504004714981,0.824745549033954,0.)); #409757=DIRECTION('ref_axis',(-0.824745549033954,-0.565504004714981,0.)); #409758=DIRECTION('',(-0.824745549033954,-0.565504004714981,0.)); #409759=DIRECTION('',(-0.824745549033954,-0.565504004714981,0.)); #409760=DIRECTION('',(0.,0.,1.)); #409761=DIRECTION('center_axis',(-0.198956019232641,0.980008419561333,0.)); #409762=DIRECTION('ref_axis',(-0.980008419561333,-0.198956019232641,0.)); #409763=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #409764=DIRECTION('',(-0.980008419561333,-0.198956019232641,0.)); #409765=DIRECTION('',(0.,0.,1.)); #409766=DIRECTION('center_axis',(0.,1.,0.)); #409767=DIRECTION('ref_axis',(-1.,0.,0.)); #409768=DIRECTION('',(-1.,0.,0.)); #409769=DIRECTION('',(-1.,0.,0.)); #409770=DIRECTION('',(0.,0.,1.)); #409771=DIRECTION('center_axis',(0.183305290257339,0.983056036329401,0.)); #409772=DIRECTION('ref_axis',(-0.983056036329401,0.183305290257339,0.)); #409773=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #409774=DIRECTION('',(-0.983056036329401,0.183305290257339,0.)); #409775=DIRECTION('',(0.,0.,1.)); #409776=DIRECTION('center_axis',(0.538911466746018,0.842362410729287,0.)); #409777=DIRECTION('ref_axis',(-0.842362410729287,0.538911466746018,0.)); #409778=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #409779=DIRECTION('',(-0.842362410729287,0.538911466746018,0.)); #409780=DIRECTION('',(0.,0.,1.)); #409781=DIRECTION('center_axis',(0.824745549033852,0.565504004715131,0.)); #409782=DIRECTION('ref_axis',(-0.565504004715131,0.824745549033852,0.)); #409783=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #409784=DIRECTION('',(-0.565504004715131,0.824745549033852,0.)); #409785=DIRECTION('',(0.,0.,1.)); #409786=DIRECTION('center_axis',(0.980008419561353,0.198956019232542,0.)); #409787=DIRECTION('ref_axis',(-0.198956019232542,0.980008419561353,0.)); #409788=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #409789=DIRECTION('',(-0.198956019232542,0.980008419561353,0.)); #409790=DIRECTION('',(0.,0.,1.)); #409791=DIRECTION('center_axis',(1.,0.,0.)); #409792=DIRECTION('ref_axis',(0.,1.,0.)); #409793=DIRECTION('',(0.,1.,0.)); #409794=DIRECTION('',(0.,1.,0.)); #409795=DIRECTION('',(0.,0.,1.)); #409796=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #409797=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #409798=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #409799=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #409800=DIRECTION('',(0.,0.,1.)); #409801=DIRECTION('center_axis',(0.,1.,0.)); #409802=DIRECTION('ref_axis',(-1.,0.,0.)); #409803=DIRECTION('',(-1.,0.,0.)); #409804=DIRECTION('',(-1.,0.,0.)); #409805=DIRECTION('',(0.,0.,1.)); #409806=DIRECTION('center_axis',(0.191149856928843,0.981560865252931,0.)); #409807=DIRECTION('ref_axis',(-0.981560865252931,0.191149856928843,0.)); #409808=DIRECTION('',(-0.981560865252931,0.191149856928843,0.)); #409809=DIRECTION('',(-0.981560865252931,0.191149856928843,0.)); #409810=DIRECTION('',(0.,0.,1.)); #409811=DIRECTION('center_axis',(0.552278225391843,0.833659859749789,0.)); #409812=DIRECTION('ref_axis',(-0.833659859749789,0.552278225391843,0.)); #409813=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #409814=DIRECTION('',(-0.833659859749789,0.552278225391843,0.)); #409815=DIRECTION('',(0.,0.,1.)); #409816=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #409817=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #409818=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #409819=DIRECTION('',(-0.707106781186547,0.707106781186547,0.)); #409820=DIRECTION('',(0.,0.,1.)); #409821=DIRECTION('center_axis',(0.820212632122678,0.572058771549208,0.)); #409822=DIRECTION('ref_axis',(-0.572058771549208,0.820212632122678,0.)); #409823=DIRECTION('',(-0.572058771549208,0.820212632122678,0.)); #409824=DIRECTION('',(-0.572058771549208,0.820212632122678,0.)); #409825=DIRECTION('',(0.,0.,1.)); #409826=DIRECTION('center_axis',(0.973144071552991,0.230196907019335,0.)); #409827=DIRECTION('ref_axis',(-0.230196907019335,0.97314407155299,0.)); #409828=DIRECTION('',(-0.230196907019335,0.97314407155299,0.)); #409829=DIRECTION('',(-0.230196907019335,0.97314407155299,0.)); #409830=DIRECTION('',(0.,0.,1.)); #409831=DIRECTION('center_axis',(0.985842168327523,-0.167675934908047,0.)); #409832=DIRECTION('ref_axis',(0.167675934908047,0.985842168327523,0.)); #409833=DIRECTION('',(0.167675934908047,0.985842168327523,0.)); #409834=DIRECTION('',(0.167675934908047,0.985842168327523,0.)); #409835=DIRECTION('',(0.,0.,1.)); #409836=DIRECTION('center_axis',(0.842377379073625,-0.538888069291805,0.)); #409837=DIRECTION('ref_axis',(0.538888069291805,0.842377379073625,0.)); #409838=DIRECTION('',(0.538888069291805,0.842377379073625,0.)); #409839=DIRECTION('',(0.538888069291805,0.842377379073625,0.)); #409840=DIRECTION('',(0.,0.,1.)); #409841=DIRECTION('center_axis',(0.565488626065737,-0.824756093515098,0.)); #409842=DIRECTION('ref_axis',(0.824756093515098,0.565488626065737,0.)); #409843=DIRECTION('',(0.824756093515098,0.565488626065737,0.)); #409844=DIRECTION('',(0.824756093515098,0.565488626065737,0.)); #409845=DIRECTION('center_axis',(0.,0.,1.)); #409846=DIRECTION('ref_axis',(1.,0.,0.)); #409847=DIRECTION('center_axis',(0.,0.,1.)); #409848=DIRECTION('ref_axis',(1.,0.,0.)); #409849=DIRECTION('center_axis',(0.,0.,1.)); #409850=DIRECTION('ref_axis',(1.,0.,0.)); #409851=DIRECTION('center_axis',(0.,0.,1.)); #409852=DIRECTION('ref_axis',(1.,0.,0.)); #409853=DIRECTION('',(0.,0.,1.)); #409854=DIRECTION('center_axis',(0.,0.,-1.)); #409855=DIRECTION('ref_axis',(1.,0.,0.)); #409856=DIRECTION('center_axis',(0.,0.,1.)); #409857=DIRECTION('ref_axis',(1.,0.,0.)); #409858=DIRECTION('center_axis',(0.,0.,1.)); #409859=DIRECTION('ref_axis',(1.,0.,0.)); #409860=DIRECTION('',(0.,0.,1.)); #409861=DIRECTION('center_axis',(0.,0.,-1.)); #409862=DIRECTION('ref_axis',(1.,0.,0.)); #409863=DIRECTION('center_axis',(0.,0.,1.)); #409864=DIRECTION('ref_axis',(1.,0.,0.)); #409865=DIRECTION('center_axis',(0.,0.,1.)); #409866=DIRECTION('ref_axis',(1.,0.,0.)); #409867=DIRECTION('',(0.,0.,1.)); #409868=DIRECTION('center_axis',(0.,0.,-1.)); #409869=DIRECTION('ref_axis',(1.,0.,0.)); #409870=DIRECTION('center_axis',(0.,0.,1.)); #409871=DIRECTION('ref_axis',(1.,0.,0.)); #409872=DIRECTION('center_axis',(0.,0.,1.)); #409873=DIRECTION('ref_axis',(1.,0.,0.)); #409874=DIRECTION('',(0.,0.,1.)); #409875=DIRECTION('center_axis',(0.,0.,-1.)); #409876=DIRECTION('ref_axis',(1.,0.,0.)); #409877=DIRECTION('center_axis',(0.,0.,1.)); #409878=DIRECTION('ref_axis',(1.,0.,0.)); #409879=DIRECTION('center_axis',(0.,0.,1.)); #409880=DIRECTION('ref_axis',(1.,0.,0.)); #409881=DIRECTION('',(0.,0.,1.)); #409882=DIRECTION('center_axis',(0.,0.,-1.)); #409883=DIRECTION('ref_axis',(1.,0.,0.)); #409884=DIRECTION('center_axis',(0.,0.,1.)); #409885=DIRECTION('ref_axis',(1.,0.,0.)); #409886=DIRECTION('center_axis',(0.,0.,1.)); #409887=DIRECTION('ref_axis',(1.,0.,0.)); #409888=DIRECTION('',(0.,0.,1.)); #409889=DIRECTION('center_axis',(0.,0.,-1.)); #409890=DIRECTION('ref_axis',(1.,0.,0.)); #409891=DIRECTION('center_axis',(0.,0.,1.)); #409892=DIRECTION('ref_axis',(1.,0.,0.)); #409893=DIRECTION('center_axis',(0.,0.,1.)); #409894=DIRECTION('ref_axis',(1.,0.,0.)); #409895=DIRECTION('',(0.,0.,1.)); #409896=DIRECTION('center_axis',(0.,0.,-1.)); #409897=DIRECTION('ref_axis',(1.,0.,0.)); #409898=DIRECTION('center_axis',(0.,0.,1.)); #409899=DIRECTION('ref_axis',(1.,0.,0.)); #409900=DIRECTION('center_axis',(0.,0.,1.)); #409901=DIRECTION('ref_axis',(1.,0.,0.)); #409902=DIRECTION('',(0.,0.,1.)); #409903=DIRECTION('center_axis',(0.,0.,-1.)); #409904=DIRECTION('ref_axis',(1.,0.,0.)); #409905=DIRECTION('center_axis',(0.,0.,1.)); #409906=DIRECTION('ref_axis',(1.,0.,0.)); #409907=DIRECTION('center_axis',(0.,0.,1.)); #409908=DIRECTION('ref_axis',(1.,0.,0.)); #409909=DIRECTION('',(0.,0.,1.)); #409910=DIRECTION('center_axis',(0.,0.,-1.)); #409911=DIRECTION('ref_axis',(1.,0.,0.)); #409912=DIRECTION('center_axis',(0.,0.,1.)); #409913=DIRECTION('ref_axis',(1.,0.,0.)); #409914=DIRECTION('center_axis',(0.,0.,1.)); #409915=DIRECTION('ref_axis',(1.,0.,0.)); #409916=DIRECTION('',(0.,0.,1.)); #409917=DIRECTION('center_axis',(0.,0.,-1.)); #409918=DIRECTION('ref_axis',(1.,0.,0.)); #409919=DIRECTION('center_axis',(0.,0.,1.)); #409920=DIRECTION('ref_axis',(1.,0.,0.)); #409921=DIRECTION('center_axis',(0.,0.,1.)); #409922=DIRECTION('ref_axis',(1.,0.,0.)); #409923=DIRECTION('',(0.,0.,1.)); #409924=DIRECTION('center_axis',(0.,0.,-1.)); #409925=DIRECTION('ref_axis',(1.,0.,0.)); #409926=DIRECTION('center_axis',(0.,0.,1.)); #409927=DIRECTION('ref_axis',(1.,0.,0.)); #409928=DIRECTION('center_axis',(0.,0.,1.)); #409929=DIRECTION('ref_axis',(1.,0.,0.)); #409930=DIRECTION('',(0.,0.,1.)); #409931=DIRECTION('center_axis',(0.,0.,-1.)); #409932=DIRECTION('ref_axis',(1.,0.,0.)); #409933=DIRECTION('center_axis',(0.,0.,1.)); #409934=DIRECTION('ref_axis',(1.,0.,0.)); #409935=DIRECTION('center_axis',(0.,0.,1.)); #409936=DIRECTION('ref_axis',(1.,0.,0.)); #409937=DIRECTION('',(0.,0.,1.)); #409938=DIRECTION('center_axis',(0.,0.,-1.)); #409939=DIRECTION('ref_axis',(1.,0.,0.)); #409940=DIRECTION('center_axis',(0.,0.,1.)); #409941=DIRECTION('ref_axis',(1.,0.,0.)); #409942=DIRECTION('center_axis',(0.,0.,1.)); #409943=DIRECTION('ref_axis',(1.,0.,0.)); #409944=DIRECTION('',(0.,0.,1.)); #409945=DIRECTION('center_axis',(0.,0.,-1.)); #409946=DIRECTION('ref_axis',(1.,0.,0.)); #409947=DIRECTION('center_axis',(0.,0.,1.)); #409948=DIRECTION('ref_axis',(1.,0.,0.)); #409949=DIRECTION('center_axis',(0.,0.,1.)); #409950=DIRECTION('ref_axis',(1.,0.,0.)); #409951=DIRECTION('',(0.,0.,1.)); #409952=DIRECTION('center_axis',(0.,0.,-1.)); #409953=DIRECTION('ref_axis',(1.,0.,0.)); #409954=DIRECTION('center_axis',(0.,0.,1.)); #409955=DIRECTION('ref_axis',(1.,0.,0.)); #409956=DIRECTION('center_axis',(0.,0.,1.)); #409957=DIRECTION('ref_axis',(1.,0.,0.)); #409958=DIRECTION('',(0.,0.,1.)); #409959=DIRECTION('center_axis',(0.,0.,-1.)); #409960=DIRECTION('ref_axis',(1.,0.,0.)); #409961=DIRECTION('center_axis',(0.199010641789413,-0.97999732879971,0.)); #409962=DIRECTION('ref_axis',(0.97999732879971,0.199010641789413,0.)); #409963=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #409964=DIRECTION('',(0.,0.,1.)); #409965=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #409966=DIRECTION('',(0.,0.,1.)); #409967=DIRECTION('center_axis',(0.,-1.,0.)); #409968=DIRECTION('ref_axis',(1.,0.,0.)); #409969=DIRECTION('',(1.,0.,0.)); #409970=DIRECTION('',(1.,0.,0.)); #409971=DIRECTION('',(0.,0.,1.)); #409972=DIRECTION('center_axis',(-0.183335538282724,-0.98305039565761,0.)); #409973=DIRECTION('ref_axis',(0.98305039565761,-0.183335538282724,0.)); #409974=DIRECTION('',(0.98305039565761,-0.183335538282724,0.)); #409975=DIRECTION('',(0.98305039565761,-0.183335538282724,0.)); #409976=DIRECTION('',(0.,0.,1.)); #409977=DIRECTION('center_axis',(-0.538914460439865,-0.842360495470205, 0.)); #409978=DIRECTION('ref_axis',(0.842360495470205,-0.538914460439865,0.)); #409979=DIRECTION('',(0.842360495470205,-0.538914460439865,0.)); #409980=DIRECTION('',(0.842360495470205,-0.538914460439865,0.)); #409981=DIRECTION('',(0.,0.,1.)); #409982=DIRECTION('center_axis',(-0.824766287441809,-0.565473758099751, 0.)); #409983=DIRECTION('ref_axis',(0.565473758099751,-0.824766287441808,0.)); #409984=DIRECTION('',(0.565473758099751,-0.824766287441808,0.)); #409985=DIRECTION('',(0.565473758099751,-0.824766287441808,0.)); #409986=DIRECTION('',(0.,0.,1.)); #409987=DIRECTION('center_axis',(-0.97999732879971,-0.199010641789413,0.)); #409988=DIRECTION('ref_axis',(0.199010641789413,-0.97999732879971,0.)); #409989=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #409990=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #409991=DIRECTION('',(0.,0.,1.)); #409992=DIRECTION('center_axis',(-1.,0.,0.)); #409993=DIRECTION('ref_axis',(0.,-1.,0.)); #409994=DIRECTION('',(0.,-1.,0.)); #409995=DIRECTION('',(0.,-1.,0.)); #409996=DIRECTION('',(0.,0.,1.)); #409997=DIRECTION('center_axis',(-0.989972034024053,-0.141263483782184, 0.)); #409998=DIRECTION('ref_axis',(0.141263483782184,-0.989972034024053,0.)); #409999=DIRECTION('',(0.141263483782184,-0.989972034024053,0.)); #410000=DIRECTION('',(0.141263483782184,-0.989972034024053,0.)); #410001=DIRECTION('',(0.,0.,1.)); #410002=DIRECTION('center_axis',(-0.917737212219158,-0.397188128357593, 0.)); #410003=DIRECTION('ref_axis',(0.397188128357593,-0.917737212219158,0.)); #410004=DIRECTION('',(0.397188128357593,-0.917737212219158,0.)); #410005=DIRECTION('',(0.397188128357593,-0.917737212219158,0.)); #410006=DIRECTION('',(0.,0.,1.)); #410007=DIRECTION('center_axis',(-0.862627239166062,-0.505840139024907, 0.)); #410008=DIRECTION('ref_axis',(0.505840139024907,-0.862627239166062,0.)); #410009=DIRECTION('',(0.505840139024907,-0.862627239166062,0.)); #410010=DIRECTION('',(0.505840139024907,-0.862627239166062,0.)); #410011=DIRECTION('',(0.,0.,1.)); #410012=DIRECTION('center_axis',(-0.980352414565542,-0.197254006944121, 0.)); #410013=DIRECTION('ref_axis',(0.197254006944121,-0.980352414565542,0.)); #410014=DIRECTION('',(0.197254006944121,-0.980352414565542,0.)); #410015=DIRECTION('',(0.197254006944121,-0.980352414565542,0.)); #410016=DIRECTION('',(0.,0.,1.)); #410017=DIRECTION('center_axis',(-0.707107043585686,-0.707106518787311, 0.)); #410018=DIRECTION('ref_axis',(0.707106518787311,-0.707107043585686,0.)); #410019=DIRECTION('',(0.707106518787311,-0.707107043585686,0.)); #410020=DIRECTION('',(0.707106518787311,-0.707107043585686,0.)); #410021=DIRECTION('',(0.,0.,1.)); #410022=DIRECTION('center_axis',(-0.427869948947251,-0.903840310446418, 0.)); #410023=DIRECTION('ref_axis',(0.903840310446418,-0.427869948947251,0.)); #410024=DIRECTION('',(0.903840310446418,-0.427869948947251,0.)); #410025=DIRECTION('',(0.903840310446418,-0.427869948947251,0.)); #410026=DIRECTION('',(0.,0.,1.)); #410027=DIRECTION('center_axis',(0.929235398672581,-0.369488259426208,0.)); #410028=DIRECTION('ref_axis',(0.369488259426208,0.929235398672581,0.)); #410029=DIRECTION('',(0.369488259426208,0.929235398672581,0.)); #410030=DIRECTION('',(0.369488259426208,0.929235398672581,0.)); #410031=DIRECTION('',(0.,0.,1.)); #410032=DIRECTION('center_axis',(-0.367957131692208,-0.929842755113381, 0.)); #410033=DIRECTION('ref_axis',(0.929842755113381,-0.367957131692208,0.)); #410034=DIRECTION('',(0.929842755113381,-0.367957131692208,0.)); #410035=DIRECTION('',(0.929842755113381,-0.367957131692208,0.)); #410036=DIRECTION('',(0.,0.,1.)); #410037=DIRECTION('center_axis',(-0.125076725538269,-0.992147072126217, 0.)); #410038=DIRECTION('ref_axis',(0.992147072126217,-0.125076725538269,0.)); #410039=DIRECTION('',(0.992147072126217,-0.125076725538269,0.)); #410040=DIRECTION('',(0.992147072126217,-0.125076725538269,0.)); #410041=DIRECTION('',(0.,0.,1.)); #410042=DIRECTION('center_axis',(0.,-1.,0.)); #410043=DIRECTION('ref_axis',(1.,0.,0.)); #410044=DIRECTION('',(1.,0.,0.)); #410045=DIRECTION('',(1.,0.,0.)); #410046=DIRECTION('',(0.,0.,1.)); #410047=DIRECTION('center_axis',(-0.191194865688754,-0.981552099144136, 0.)); #410048=DIRECTION('ref_axis',(0.981552099144136,-0.191194865688754,0.)); #410049=DIRECTION('',(0.981552099144136,-0.191194865688754,0.)); #410050=DIRECTION('',(0.981552099144136,-0.191194865688754,0.)); #410051=DIRECTION('',(0.,0.,1.)); #410052=DIRECTION('center_axis',(-0.552218701081291,-0.833699290017745, 0.)); #410053=DIRECTION('ref_axis',(0.833699290017745,-0.552218701081291,0.)); #410054=DIRECTION('',(0.833699290017745,-0.552218701081291,0.)); #410055=DIRECTION('',(0.833699290017745,-0.552218701081291,0.)); #410056=DIRECTION('',(0.,0.,1.)); #410057=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #410058=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #410059=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #410060=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #410061=DIRECTION('',(0.,0.,1.)); #410062=DIRECTION('center_axis',(-0.829264560351605,-0.558856232804877, 0.)); #410063=DIRECTION('ref_axis',(0.558856232804877,-0.829264560351605,0.)); #410064=DIRECTION('',(0.558856232804877,-0.829264560351605,0.)); #410065=DIRECTION('',(0.558856232804877,-0.829264560351605,0.)); #410066=DIRECTION('',(0.,0.,1.)); #410067=DIRECTION('center_axis',(-0.979997328799703,-0.199010641789447, 0.)); #410068=DIRECTION('ref_axis',(0.199010641789447,-0.979997328799703,0.)); #410069=DIRECTION('',(0.199010641789447,-0.979997328799703,0.)); #410070=DIRECTION('',(0.199010641789447,-0.979997328799703,0.)); #410071=DIRECTION('',(0.,0.,1.)); #410072=DIRECTION('center_axis',(-1.,0.,0.)); #410073=DIRECTION('ref_axis',(0.,-1.,0.)); #410074=DIRECTION('',(0.,-1.,0.)); #410075=DIRECTION('',(0.,-1.,0.)); #410076=DIRECTION('',(0.,0.,1.)); #410077=DIRECTION('center_axis',(-0.981552099144129,0.191194865688788,0.)); #410078=DIRECTION('ref_axis',(-0.191194865688788,-0.981552099144129,0.)); #410079=DIRECTION('',(-0.191194865688788,-0.981552099144129,0.)); #410080=DIRECTION('',(-0.191194865688788,-0.981552099144129,0.)); #410081=DIRECTION('',(0.,0.,1.)); #410082=DIRECTION('center_axis',(-0.833699290017812,0.552218701081189,0.)); #410083=DIRECTION('ref_axis',(-0.552218701081189,-0.833699290017812,0.)); #410084=DIRECTION('',(-0.552218701081189,-0.833699290017812,0.)); #410085=DIRECTION('',(-0.552218701081189,-0.833699290017812,0.)); #410086=DIRECTION('',(0.,0.,1.)); #410087=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #410088=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #410089=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #410090=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #410091=DIRECTION('',(0.,0.,1.)); #410092=DIRECTION('center_axis',(-0.558856232804982,0.829264560351534,0.)); #410093=DIRECTION('ref_axis',(-0.829264560351534,-0.558856232804982,0.)); #410094=DIRECTION('',(-0.829264560351534,-0.558856232804982,0.)); #410095=DIRECTION('',(-0.829264560351534,-0.558856232804982,0.)); #410096=DIRECTION('',(0.,0.,1.)); #410097=DIRECTION('center_axis',(-0.199010641789244,0.979997328799744,0.)); #410098=DIRECTION('ref_axis',(-0.979997328799744,-0.199010641789244,0.)); #410099=DIRECTION('',(-0.979997328799744,-0.199010641789244,0.)); #410100=DIRECTION('',(-0.979997328799744,-0.199010641789244,0.)); #410101=DIRECTION('',(0.,0.,1.)); #410102=DIRECTION('center_axis',(0.,1.,0.)); #410103=DIRECTION('ref_axis',(-1.,0.,0.)); #410104=DIRECTION('',(-1.,0.,0.)); #410105=DIRECTION('',(-1.,0.,0.)); #410106=DIRECTION('',(0.,0.,1.)); #410107=DIRECTION('center_axis',(0.191194865688577,0.98155209914417,0.)); #410108=DIRECTION('ref_axis',(-0.98155209914417,0.191194865688577,0.)); #410109=DIRECTION('',(-0.98155209914417,0.191194865688577,0.)); #410110=DIRECTION('',(-0.98155209914417,0.191194865688577,0.)); #410111=DIRECTION('',(0.,0.,1.)); #410112=DIRECTION('center_axis',(0.552218701081331,0.833699290017718,0.)); #410113=DIRECTION('ref_axis',(-0.833699290017718,0.552218701081331,0.)); #410114=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #410115=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #410116=DIRECTION('',(0.,0.,1.)); #410117=DIRECTION('center_axis',(0.707106781186543,0.707106781186552,0.)); #410118=DIRECTION('ref_axis',(-0.707106781186552,0.707106781186543,0.)); #410119=DIRECTION('',(-0.707106781186552,0.707106781186543,0.)); #410120=DIRECTION('',(-0.707106781186552,0.707106781186543,0.)); #410121=DIRECTION('',(0.,0.,1.)); #410122=DIRECTION('center_axis',(0.600315735780726,0.799763100783004,0.)); #410123=DIRECTION('ref_axis',(-0.799763100783004,0.600315735780726,0.)); #410124=DIRECTION('',(-0.799763100783004,0.600315735780726,0.)); #410125=DIRECTION('',(-0.799763100783004,0.600315735780726,0.)); #410126=DIRECTION('',(0.,0.,1.)); #410127=DIRECTION('center_axis',(0.125280629073933,0.99212134539019,0.)); #410128=DIRECTION('ref_axis',(-0.99212134539019,0.125280629073933,0.)); #410129=DIRECTION('',(-0.99212134539019,0.125280629073933,0.)); #410130=DIRECTION('',(-0.99212134539019,0.125280629073933,0.)); #410131=DIRECTION('',(0.,0.,1.)); #410132=DIRECTION('center_axis',(0.,1.,0.)); #410133=DIRECTION('ref_axis',(-1.,0.,0.)); #410134=DIRECTION('',(-1.,0.,0.)); #410135=DIRECTION('',(-1.,0.,0.)); #410136=DIRECTION('',(0.,0.,1.)); #410137=DIRECTION('center_axis',(0.191180940404582,0.981554811524053,0.)); #410138=DIRECTION('ref_axis',(-0.981554811524053,0.191180940404582,0.)); #410139=DIRECTION('',(-0.981554811524053,0.191180940404582,0.)); #410140=DIRECTION('',(-0.981554811524053,0.191180940404582,0.)); #410141=DIRECTION('',(0.,0.,1.)); #410142=DIRECTION('center_axis',(0.,1.,0.)); #410143=DIRECTION('ref_axis',(-1.,0.,0.)); #410144=DIRECTION('',(-1.,0.,0.)); #410145=DIRECTION('',(-1.,0.,0.)); #410146=DIRECTION('',(0.,0.,1.)); #410147=DIRECTION('center_axis',(-0.19901761633529,0.979995912434445,0.)); #410148=DIRECTION('ref_axis',(-0.979995912434445,-0.19901761633529,0.)); #410149=DIRECTION('',(-0.979995912434445,-0.19901761633529,0.)); #410150=DIRECTION('',(-0.979995912434445,-0.19901761633529,0.)); #410151=DIRECTION('',(0.,0.,1.)); #410152=DIRECTION('center_axis',(0.,1.,0.)); #410153=DIRECTION('ref_axis',(-1.,0.,0.)); #410154=DIRECTION('',(-1.,0.,0.)); #410155=DIRECTION('',(-1.,0.,0.)); #410156=DIRECTION('',(0.,0.,1.)); #410157=DIRECTION('center_axis',(0.191180940404582,0.981554811524053,0.)); #410158=DIRECTION('ref_axis',(-0.981554811524053,0.191180940404582,0.)); #410159=DIRECTION('',(-0.981554811524053,0.191180940404582,0.)); #410160=DIRECTION('',(-0.981554811524053,0.191180940404582,0.)); #410161=DIRECTION('',(0.,0.,1.)); #410162=DIRECTION('center_axis',(0.,1.,0.)); #410163=DIRECTION('ref_axis',(-1.,0.,0.)); #410164=DIRECTION('',(-1.,0.,0.)); #410165=DIRECTION('',(-1.,0.,0.)); #410166=DIRECTION('',(0.,0.,1.)); #410167=DIRECTION('center_axis',(-0.19901761633529,0.979995912434445,0.)); #410168=DIRECTION('ref_axis',(-0.979995912434445,-0.19901761633529,0.)); #410169=DIRECTION('',(-0.979995912434445,-0.19901761633529,0.)); #410170=DIRECTION('',(-0.979995912434445,-0.19901761633529,0.)); #410171=DIRECTION('',(0.,0.,1.)); #410172=DIRECTION('center_axis',(0.,1.,0.)); #410173=DIRECTION('ref_axis',(-1.,0.,0.)); #410174=DIRECTION('',(-1.,0.,0.)); #410175=DIRECTION('',(-1.,0.,0.)); #410176=DIRECTION('',(0.,0.,1.)); #410177=DIRECTION('center_axis',(0.191180940404582,0.981554811524053,0.)); #410178=DIRECTION('ref_axis',(-0.981554811524053,0.191180940404582,0.)); #410179=DIRECTION('',(-0.981554811524053,0.191180940404582,0.)); #410180=DIRECTION('',(-0.981554811524053,0.191180940404582,0.)); #410181=DIRECTION('',(0.,0.,1.)); #410182=DIRECTION('center_axis',(0.,1.,0.)); #410183=DIRECTION('ref_axis',(-1.,0.,0.)); #410184=DIRECTION('',(-1.,0.,0.)); #410185=DIRECTION('',(-1.,0.,0.)); #410186=DIRECTION('',(0.,0.,1.)); #410187=DIRECTION('center_axis',(-0.199017616335146,0.979995912434474,0.)); #410188=DIRECTION('ref_axis',(-0.979995912434474,-0.199017616335146,0.)); #410189=DIRECTION('',(-0.979995912434474,-0.199017616335146,0.)); #410190=DIRECTION('',(-0.979995912434474,-0.199017616335146,0.)); #410191=DIRECTION('',(0.,0.,1.)); #410192=DIRECTION('center_axis',(0.,1.,0.)); #410193=DIRECTION('ref_axis',(-1.,0.,0.)); #410194=DIRECTION('',(-1.,0.,0.)); #410195=DIRECTION('',(-1.,0.,0.)); #410196=DIRECTION('',(0.,0.,1.)); #410197=DIRECTION('center_axis',(0.191180940404449,0.981554811524079,0.)); #410198=DIRECTION('ref_axis',(-0.981554811524079,0.191180940404449,0.)); #410199=DIRECTION('',(-0.981554811524079,0.191180940404449,0.)); #410200=DIRECTION('',(-0.981554811524079,0.191180940404449,0.)); #410201=DIRECTION('',(0.,0.,1.)); #410202=DIRECTION('center_axis',(0.,1.,0.)); #410203=DIRECTION('ref_axis',(-1.,0.,0.)); #410204=DIRECTION('',(-1.,0.,0.)); #410205=DIRECTION('',(-1.,0.,0.)); #410206=DIRECTION('',(0.,0.,1.)); #410207=DIRECTION('center_axis',(-0.199001444418411,0.979999196489153,0.)); #410208=DIRECTION('ref_axis',(-0.979999196489153,-0.199001444418411,0.)); #410209=DIRECTION('',(-0.979999196489153,-0.199001444418411,0.)); #410210=DIRECTION('',(-0.979999196489153,-0.199001444418411,0.)); #410211=DIRECTION('',(0.,0.,1.)); #410212=DIRECTION('center_axis',(0.,1.,0.)); #410213=DIRECTION('ref_axis',(-1.,0.,0.)); #410214=DIRECTION('',(-1.,0.,0.)); #410215=DIRECTION('',(-1.,0.,0.)); #410216=DIRECTION('',(0.,0.,1.)); #410217=DIRECTION('center_axis',(0.191194865688788,0.981552099144129,0.)); #410218=DIRECTION('ref_axis',(-0.981552099144129,0.191194865688788,0.)); #410219=DIRECTION('',(-0.981552099144129,0.191194865688788,0.)); #410220=DIRECTION('',(-0.981552099144129,0.191194865688788,0.)); #410221=DIRECTION('',(0.,0.,1.)); #410222=DIRECTION('center_axis',(0.55221870108125,0.833699290017772,0.)); #410223=DIRECTION('ref_axis',(-0.833699290017772,0.55221870108125,0.)); #410224=DIRECTION('',(-0.833699290017772,0.55221870108125,0.)); #410225=DIRECTION('',(-0.833699290017772,0.55221870108125,0.)); #410226=DIRECTION('',(0.,0.,1.)); #410227=DIRECTION('center_axis',(0.707106781186544,0.707106781186551,0.)); #410228=DIRECTION('ref_axis',(-0.707106781186551,0.707106781186544,0.)); #410229=DIRECTION('',(-0.707106781186551,0.707106781186544,0.)); #410230=DIRECTION('',(-0.707106781186551,0.707106781186544,0.)); #410231=DIRECTION('',(0.,0.,1.)); #410232=DIRECTION('center_axis',(0.829264560351648,0.558856232804814,0.)); #410233=DIRECTION('ref_axis',(-0.558856232804814,0.829264560351648,0.)); #410234=DIRECTION('',(-0.558856232804814,0.829264560351648,0.)); #410235=DIRECTION('',(-0.558856232804814,0.829264560351648,0.)); #410236=DIRECTION('',(0.,0.,1.)); #410237=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #410238=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #410239=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #410240=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #410241=DIRECTION('',(0.,0.,1.)); #410242=DIRECTION('center_axis',(1.,0.,0.)); #410243=DIRECTION('ref_axis',(0.,1.,0.)); #410244=DIRECTION('',(0.,1.,0.)); #410245=DIRECTION('',(0.,1.,0.)); #410246=DIRECTION('',(0.,0.,1.)); #410247=DIRECTION('center_axis',(0.983054265471499,-0.183314787014826,0.)); #410248=DIRECTION('ref_axis',(0.183314787014826,0.983054265471499,0.)); #410249=DIRECTION('',(0.183314787014826,0.983054265471499,0.)); #410250=DIRECTION('',(0.183314787014826,0.983054265471499,0.)); #410251=DIRECTION('',(0.,0.,1.)); #410252=DIRECTION('center_axis',(0.842351514327583,-0.538928498327957,0.)); #410253=DIRECTION('ref_axis',(0.538928498327957,0.842351514327584,0.)); #410254=DIRECTION('',(0.538928498327957,0.842351514327584,0.)); #410255=DIRECTION('',(0.538928498327957,0.842351514327584,0.)); #410256=DIRECTION('',(0.,0.,1.)); #410257=DIRECTION('center_axis',(0.565473758099833,-0.824766287441752,0.)); #410258=DIRECTION('ref_axis',(0.824766287441752,0.565473758099833,0.)); #410259=DIRECTION('',(0.824766287441752,0.565473758099833,0.)); #410260=DIRECTION('',(0.824766287441752,0.565473758099833,0.)); #410261=DIRECTION('',(0.,0.,1.)); #410262=DIRECTION('center_axis',(0.199010641789378,-0.979997328799717,0.)); #410263=DIRECTION('ref_axis',(0.979997328799717,0.199010641789378,0.)); #410264=DIRECTION('',(0.979997328799717,0.199010641789378,0.)); #410265=DIRECTION('',(0.979997328799717,0.199010641789378,0.)); #410266=DIRECTION('',(0.,0.,1.)); #410267=DIRECTION('center_axis',(0.,-1.,0.)); #410268=DIRECTION('ref_axis',(1.,0.,0.)); #410269=DIRECTION('',(1.,0.,0.)); #410270=DIRECTION('',(1.,0.,0.)); #410271=DIRECTION('',(0.,0.,1.)); #410272=DIRECTION('center_axis',(-0.183335538282758,-0.983050395657604, 0.)); #410273=DIRECTION('ref_axis',(0.983050395657604,-0.183335538282758,0.)); #410274=DIRECTION('',(0.983050395657604,-0.183335538282758,0.)); #410275=DIRECTION('',(0.983050395657604,-0.183335538282758,0.)); #410276=DIRECTION('',(0.,0.,1.)); #410277=DIRECTION('center_axis',(-0.538914460439786,-0.842360495470256, 0.)); #410278=DIRECTION('ref_axis',(0.842360495470256,-0.538914460439786,0.)); #410279=DIRECTION('',(0.842360495470256,-0.538914460439786,0.)); #410280=DIRECTION('',(0.842360495470256,-0.538914460439786,0.)); #410281=DIRECTION('',(0.,0.,1.)); #410282=DIRECTION('center_axis',(-0.824766287441891,-0.565473758099632, 0.)); #410283=DIRECTION('ref_axis',(0.565473758099632,-0.824766287441891,0.)); #410284=DIRECTION('',(0.565473758099632,-0.824766287441891,0.)); #410285=DIRECTION('',(0.565473758099632,-0.824766287441891,0.)); #410286=DIRECTION('',(0.,0.,1.)); #410287=DIRECTION('center_axis',(-0.97999732879971,-0.199010641789413,0.)); #410288=DIRECTION('ref_axis',(0.199010641789413,-0.97999732879971,0.)); #410289=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #410290=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #410291=DIRECTION('',(0.,0.,1.)); #410292=DIRECTION('center_axis',(-1.,0.,0.)); #410293=DIRECTION('ref_axis',(0.,-1.,0.)); #410294=DIRECTION('',(0.,-1.,0.)); #410295=DIRECTION('',(0.,-1.,0.)); #410296=DIRECTION('',(0.,0.,1.)); #410297=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #410298=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #410299=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #410300=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #410301=DIRECTION('',(0.,0.,1.)); #410302=DIRECTION('center_axis',(0.,-1.,0.)); #410303=DIRECTION('ref_axis',(1.,0.,0.)); #410304=DIRECTION('',(1.,0.,0.)); #410305=DIRECTION('',(1.,0.,0.)); #410306=DIRECTION('',(0.,0.,1.)); #410307=DIRECTION('center_axis',(0.707106781186583,-0.707106781186512,0.)); #410308=DIRECTION('ref_axis',(0.707106781186512,0.707106781186583,0.)); #410309=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #410310=DIRECTION('',(0.707106781186512,0.707106781186583,0.)); #410311=DIRECTION('',(0.,0.,1.)); #410312=DIRECTION('center_axis',(1.,0.,0.)); #410313=DIRECTION('ref_axis',(0.,1.,0.)); #410314=DIRECTION('',(0.,1.,0.)); #410315=DIRECTION('',(0.,1.,0.)); #410316=DIRECTION('',(0.,0.,1.)); #410317=DIRECTION('center_axis',(0.983054265471499,-0.183314787014826,0.)); #410318=DIRECTION('ref_axis',(0.183314787014826,0.983054265471499,0.)); #410319=DIRECTION('',(0.183314787014826,0.983054265471499,0.)); #410320=DIRECTION('',(0.183314787014826,0.983054265471499,0.)); #410321=DIRECTION('',(0.,0.,1.)); #410322=DIRECTION('center_axis',(0.842351514327663,-0.538928498327832,0.)); #410323=DIRECTION('ref_axis',(0.538928498327832,0.842351514327663,0.)); #410324=DIRECTION('',(0.538928498327832,0.842351514327663,0.)); #410325=DIRECTION('',(0.538928498327832,0.842351514327663,0.)); #410326=DIRECTION('',(0.,0.,1.)); #410327=DIRECTION('center_axis',(0.565473758099751,-0.824766287441809,0.)); #410328=DIRECTION('ref_axis',(0.824766287441808,0.565473758099751,0.)); #410329=DIRECTION('',(0.824766287441808,0.565473758099751,0.)); #410330=DIRECTION('',(0.824766287441808,0.565473758099751,0.)); #410331=DIRECTION('center_axis',(0.,0.,1.)); #410332=DIRECTION('ref_axis',(1.,0.,0.)); #410333=DIRECTION('center_axis',(0.,0.,1.)); #410334=DIRECTION('ref_axis',(1.,0.,0.)); #410335=DIRECTION('center_axis',(0.,0.,1.)); #410336=DIRECTION('ref_axis',(1.,0.,0.)); #410337=DIRECTION('center_axis',(0.,0.,1.)); #410338=DIRECTION('ref_axis',(1.,0.,0.)); #410339=DIRECTION('',(0.,0.,1.)); #410340=DIRECTION('center_axis',(0.,0.,-1.)); #410341=DIRECTION('ref_axis',(1.,0.,0.)); #410342=DIRECTION('center_axis',(0.,0.,1.)); #410343=DIRECTION('ref_axis',(1.,0.,0.)); #410344=DIRECTION('center_axis',(0.,0.,1.)); #410345=DIRECTION('ref_axis',(1.,0.,0.)); #410346=DIRECTION('',(0.,0.,1.)); #410347=DIRECTION('center_axis',(0.,0.,-1.)); #410348=DIRECTION('ref_axis',(1.,0.,0.)); #410349=DIRECTION('center_axis',(0.,0.,1.)); #410350=DIRECTION('ref_axis',(1.,0.,0.)); #410351=DIRECTION('center_axis',(0.,0.,1.)); #410352=DIRECTION('ref_axis',(1.,0.,0.)); #410353=DIRECTION('',(0.,0.,1.)); #410354=DIRECTION('center_axis',(0.,0.,-1.)); #410355=DIRECTION('ref_axis',(1.,0.,0.)); #410356=DIRECTION('center_axis',(0.,0.,1.)); #410357=DIRECTION('ref_axis',(1.,0.,0.)); #410358=DIRECTION('center_axis',(0.,0.,1.)); #410359=DIRECTION('ref_axis',(1.,0.,0.)); #410360=DIRECTION('',(0.,0.,1.)); #410361=DIRECTION('center_axis',(0.,0.,-1.)); #410362=DIRECTION('ref_axis',(1.,0.,0.)); #410363=DIRECTION('center_axis',(0.,0.,1.)); #410364=DIRECTION('ref_axis',(1.,0.,0.)); #410365=DIRECTION('center_axis',(0.,0.,1.)); #410366=DIRECTION('ref_axis',(1.,0.,0.)); #410367=DIRECTION('',(0.,0.,1.)); #410368=DIRECTION('center_axis',(0.,0.,-1.)); #410369=DIRECTION('ref_axis',(1.,0.,0.)); #410370=DIRECTION('center_axis',(0.,0.,1.)); #410371=DIRECTION('ref_axis',(1.,0.,0.)); #410372=DIRECTION('center_axis',(0.,0.,1.)); #410373=DIRECTION('ref_axis',(1.,0.,0.)); #410374=DIRECTION('',(0.,0.,1.)); #410375=DIRECTION('center_axis',(0.,0.,-1.)); #410376=DIRECTION('ref_axis',(1.,0.,0.)); #410377=DIRECTION('center_axis',(0.,0.,1.)); #410378=DIRECTION('ref_axis',(1.,0.,0.)); #410379=DIRECTION('center_axis',(0.,0.,1.)); #410380=DIRECTION('ref_axis',(1.,0.,0.)); #410381=DIRECTION('',(0.,0.,1.)); #410382=DIRECTION('center_axis',(0.,0.,-1.)); #410383=DIRECTION('ref_axis',(1.,0.,0.)); #410384=DIRECTION('center_axis',(0.,0.,1.)); #410385=DIRECTION('ref_axis',(1.,0.,0.)); #410386=DIRECTION('center_axis',(0.,0.,1.)); #410387=DIRECTION('ref_axis',(1.,0.,0.)); #410388=DIRECTION('',(0.,0.,1.)); #410389=DIRECTION('center_axis',(0.,0.,-1.)); #410390=DIRECTION('ref_axis',(1.,0.,0.)); #410391=DIRECTION('center_axis',(0.,0.,1.)); #410392=DIRECTION('ref_axis',(1.,0.,0.)); #410393=DIRECTION('center_axis',(0.,0.,1.)); #410394=DIRECTION('ref_axis',(1.,0.,0.)); #410395=DIRECTION('',(0.,0.,1.)); #410396=DIRECTION('center_axis',(0.,0.,-1.)); #410397=DIRECTION('ref_axis',(1.,0.,0.)); #410398=DIRECTION('center_axis',(0.,0.,1.)); #410399=DIRECTION('ref_axis',(1.,0.,0.)); #410400=DIRECTION('center_axis',(0.,0.,1.)); #410401=DIRECTION('ref_axis',(1.,0.,0.)); #410402=DIRECTION('',(0.,0.,1.)); #410403=DIRECTION('center_axis',(0.,0.,-1.)); #410404=DIRECTION('ref_axis',(1.,0.,0.)); #410405=DIRECTION('center_axis',(0.,0.,1.)); #410406=DIRECTION('ref_axis',(1.,0.,0.)); #410407=DIRECTION('center_axis',(0.,0.,1.)); #410408=DIRECTION('ref_axis',(1.,0.,0.)); #410409=DIRECTION('',(0.,0.,1.)); #410410=DIRECTION('center_axis',(0.,0.,-1.)); #410411=DIRECTION('ref_axis',(1.,0.,0.)); #410412=DIRECTION('center_axis',(0.,0.,1.)); #410413=DIRECTION('ref_axis',(1.,0.,0.)); #410414=DIRECTION('center_axis',(0.,0.,1.)); #410415=DIRECTION('ref_axis',(1.,0.,0.)); #410416=DIRECTION('',(0.,0.,1.)); #410417=DIRECTION('center_axis',(0.,0.,-1.)); #410418=DIRECTION('ref_axis',(1.,0.,0.)); #410419=DIRECTION('center_axis',(0.,0.,1.)); #410420=DIRECTION('ref_axis',(1.,0.,0.)); #410421=DIRECTION('center_axis',(0.,0.,1.)); #410422=DIRECTION('ref_axis',(1.,0.,0.)); #410423=DIRECTION('',(0.,0.,1.)); #410424=DIRECTION('center_axis',(0.,0.,-1.)); #410425=DIRECTION('ref_axis',(1.,0.,0.)); #410426=DIRECTION('center_axis',(0.,0.,1.)); #410427=DIRECTION('ref_axis',(1.,0.,0.)); #410428=DIRECTION('center_axis',(0.,0.,1.)); #410429=DIRECTION('ref_axis',(1.,0.,0.)); #410430=DIRECTION('',(0.,0.,1.)); #410431=DIRECTION('center_axis',(0.,0.,-1.)); #410432=DIRECTION('ref_axis',(1.,0.,0.)); #410433=DIRECTION('center_axis',(0.,0.,1.)); #410434=DIRECTION('ref_axis',(1.,0.,0.)); #410435=DIRECTION('center_axis',(0.,0.,1.)); #410436=DIRECTION('ref_axis',(1.,0.,0.)); #410437=DIRECTION('',(0.,0.,1.)); #410438=DIRECTION('center_axis',(0.,0.,-1.)); #410439=DIRECTION('ref_axis',(1.,0.,0.)); #410440=DIRECTION('center_axis',(0.,0.,1.)); #410441=DIRECTION('ref_axis',(1.,0.,0.)); #410442=DIRECTION('center_axis',(0.,0.,1.)); #410443=DIRECTION('ref_axis',(1.,0.,0.)); #410444=DIRECTION('',(0.,0.,1.)); #410445=DIRECTION('center_axis',(0.,0.,-1.)); #410446=DIRECTION('ref_axis',(1.,0.,0.)); #410447=DIRECTION('center_axis',(0.199010641789413,-0.97999732879971,0.)); #410448=DIRECTION('ref_axis',(0.97999732879971,0.199010641789413,0.)); #410449=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #410450=DIRECTION('',(0.,0.,1.)); #410451=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #410452=DIRECTION('',(0.,0.,1.)); #410453=DIRECTION('center_axis',(0.,-1.,0.)); #410454=DIRECTION('ref_axis',(1.,0.,0.)); #410455=DIRECTION('',(1.,0.,0.)); #410456=DIRECTION('',(1.,0.,0.)); #410457=DIRECTION('',(0.,0.,1.)); #410458=DIRECTION('center_axis',(-0.183335538282758,-0.983050395657604, 0.)); #410459=DIRECTION('ref_axis',(0.983050395657604,-0.183335538282758,0.)); #410460=DIRECTION('',(0.983050395657604,-0.183335538282758,0.)); #410461=DIRECTION('',(0.983050395657604,-0.183335538282758,0.)); #410462=DIRECTION('',(0.,0.,1.)); #410463=DIRECTION('center_axis',(-0.538914460439786,-0.842360495470256, 0.)); #410464=DIRECTION('ref_axis',(0.842360495470256,-0.538914460439786,0.)); #410465=DIRECTION('',(0.842360495470256,-0.538914460439786,0.)); #410466=DIRECTION('',(0.842360495470256,-0.538914460439786,0.)); #410467=DIRECTION('',(0.,0.,1.)); #410468=DIRECTION('center_axis',(-0.82476628744185,-0.565473758099692,0.)); #410469=DIRECTION('ref_axis',(0.565473758099692,-0.82476628744185,0.)); #410470=DIRECTION('',(0.565473758099692,-0.82476628744185,0.)); #410471=DIRECTION('',(0.565473758099692,-0.82476628744185,0.)); #410472=DIRECTION('',(0.,0.,1.)); #410473=DIRECTION('center_axis',(-0.979997328799718,-0.19901064178937,0.)); #410474=DIRECTION('ref_axis',(0.19901064178937,-0.979997328799718,0.)); #410475=DIRECTION('',(0.19901064178937,-0.979997328799718,0.)); #410476=DIRECTION('',(0.19901064178937,-0.979997328799718,0.)); #410477=DIRECTION('',(0.,0.,1.)); #410478=DIRECTION('center_axis',(-1.,0.,0.)); #410479=DIRECTION('ref_axis',(0.,-1.,0.)); #410480=DIRECTION('',(0.,-1.,0.)); #410481=DIRECTION('',(0.,-1.,0.)); #410482=DIRECTION('',(0.,0.,1.)); #410483=DIRECTION('center_axis',(-0.981552099144144,0.19119486568871,0.)); #410484=DIRECTION('ref_axis',(-0.19119486568871,-0.981552099144144,0.)); #410485=DIRECTION('',(-0.19119486568871,-0.981552099144144,0.)); #410486=DIRECTION('',(-0.19119486568871,-0.981552099144144,0.)); #410487=DIRECTION('',(0.,0.,1.)); #410488=DIRECTION('center_axis',(-0.833699290017812,0.552218701081189,0.)); #410489=DIRECTION('ref_axis',(-0.552218701081189,-0.833699290017812,0.)); #410490=DIRECTION('',(-0.552218701081189,-0.833699290017812,0.)); #410491=DIRECTION('',(-0.552218701081189,-0.833699290017812,0.)); #410492=DIRECTION('',(0.,0.,1.)); #410493=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #410494=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #410495=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #410496=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #410497=DIRECTION('',(0.,0.,1.)); #410498=DIRECTION('center_axis',(-0.558856232805004,0.82926456035152,0.)); #410499=DIRECTION('ref_axis',(-0.82926456035152,-0.558856232805004,0.)); #410500=DIRECTION('',(-0.82926456035152,-0.558856232805004,0.)); #410501=DIRECTION('',(-0.82926456035152,-0.558856232805004,0.)); #410502=DIRECTION('',(0.,0.,1.)); #410503=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #410504=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #410505=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #410506=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #410507=DIRECTION('',(0.,0.,1.)); #410508=DIRECTION('center_axis',(0.,1.,0.)); #410509=DIRECTION('ref_axis',(-1.,0.,0.)); #410510=DIRECTION('',(-1.,0.,0.)); #410511=DIRECTION('',(-1.,0.,0.)); #410512=DIRECTION('',(0.,0.,1.)); #410513=DIRECTION('center_axis',(0.191180940404516,0.981554811524066,0.)); #410514=DIRECTION('ref_axis',(-0.981554811524066,0.191180940404516,0.)); #410515=DIRECTION('',(-0.981554811524066,0.191180940404516,0.)); #410516=DIRECTION('',(-0.981554811524066,0.191180940404516,0.)); #410517=DIRECTION('',(0.,0.,1.)); #410518=DIRECTION('center_axis',(0.,1.,0.)); #410519=DIRECTION('ref_axis',(-1.,0.,0.)); #410520=DIRECTION('',(-1.,0.,0.)); #410521=DIRECTION('',(-1.,0.,0.)); #410522=DIRECTION('',(0.,0.,1.)); #410523=DIRECTION('center_axis',(-0.199017616335146,0.979995912434474,0.)); #410524=DIRECTION('ref_axis',(-0.979995912434474,-0.199017616335146,0.)); #410525=DIRECTION('',(-0.979995912434474,-0.199017616335146,0.)); #410526=DIRECTION('',(-0.979995912434474,-0.199017616335146,0.)); #410527=DIRECTION('',(0.,0.,1.)); #410528=DIRECTION('center_axis',(0.,1.,0.)); #410529=DIRECTION('ref_axis',(-1.,0.,0.)); #410530=DIRECTION('',(-1.,0.,0.)); #410531=DIRECTION('',(-1.,0.,0.)); #410532=DIRECTION('',(0.,0.,1.)); #410533=DIRECTION('center_axis',(0.191180940404516,0.981554811524066,0.)); #410534=DIRECTION('ref_axis',(-0.981554811524066,0.191180940404516,0.)); #410535=DIRECTION('',(-0.981554811524066,0.191180940404516,0.)); #410536=DIRECTION('',(-0.981554811524066,0.191180940404516,0.)); #410537=DIRECTION('',(0.,0.,1.)); #410538=DIRECTION('center_axis',(0.,1.,0.)); #410539=DIRECTION('ref_axis',(-1.,0.,0.)); #410540=DIRECTION('',(-1.,0.,0.)); #410541=DIRECTION('',(-1.,0.,0.)); #410542=DIRECTION('',(0.,0.,1.)); #410543=DIRECTION('center_axis',(-0.199017616335218,0.979995912434459,0.)); #410544=DIRECTION('ref_axis',(-0.979995912434459,-0.199017616335218,0.)); #410545=DIRECTION('',(-0.979995912434459,-0.199017616335218,0.)); #410546=DIRECTION('',(-0.979995912434459,-0.199017616335218,0.)); #410547=DIRECTION('',(0.,0.,1.)); #410548=DIRECTION('center_axis',(0.,1.,0.)); #410549=DIRECTION('ref_axis',(-1.,0.,0.)); #410550=DIRECTION('',(-1.,0.,0.)); #410551=DIRECTION('',(-1.,0.,0.)); #410552=DIRECTION('',(0.,0.,1.)); #410553=DIRECTION('center_axis',(0.191180940404516,0.981554811524066,0.)); #410554=DIRECTION('ref_axis',(-0.981554811524066,0.191180940404516,0.)); #410555=DIRECTION('',(-0.981554811524066,0.191180940404516,0.)); #410556=DIRECTION('',(-0.981554811524066,0.191180940404516,0.)); #410557=DIRECTION('',(0.,0.,1.)); #410558=DIRECTION('center_axis',(0.,1.,0.)); #410559=DIRECTION('ref_axis',(-1.,0.,0.)); #410560=DIRECTION('',(-1.,0.,0.)); #410561=DIRECTION('',(-1.,0.,0.)); #410562=DIRECTION('',(0.,0.,1.)); #410563=DIRECTION('center_axis',(-0.199017616335146,0.979995912434474,0.)); #410564=DIRECTION('ref_axis',(-0.979995912434474,-0.199017616335146,0.)); #410565=DIRECTION('',(-0.979995912434474,-0.199017616335146,0.)); #410566=DIRECTION('',(-0.979995912434474,-0.199017616335146,0.)); #410567=DIRECTION('',(0.,0.,1.)); #410568=DIRECTION('center_axis',(0.,1.,0.)); #410569=DIRECTION('ref_axis',(-1.,0.,0.)); #410570=DIRECTION('',(-1.,0.,0.)); #410571=DIRECTION('',(-1.,0.,0.)); #410572=DIRECTION('',(0.,0.,1.)); #410573=DIRECTION('center_axis',(0.191180940404516,0.981554811524066,0.)); #410574=DIRECTION('ref_axis',(-0.981554811524066,0.191180940404516,0.)); #410575=DIRECTION('',(-0.981554811524066,0.191180940404516,0.)); #410576=DIRECTION('',(-0.981554811524066,0.191180940404516,0.)); #410577=DIRECTION('',(0.,0.,1.)); #410578=DIRECTION('center_axis',(0.,1.,0.)); #410579=DIRECTION('ref_axis',(-1.,0.,0.)); #410580=DIRECTION('',(-1.,0.,0.)); #410581=DIRECTION('',(-1.,0.,0.)); #410582=DIRECTION('',(0.,0.,1.)); #410583=DIRECTION('center_axis',(-0.199001444418411,0.979999196489153,0.)); #410584=DIRECTION('ref_axis',(-0.979999196489153,-0.199001444418411,0.)); #410585=DIRECTION('',(-0.979999196489153,-0.199001444418411,0.)); #410586=DIRECTION('',(-0.979999196489153,-0.199001444418411,0.)); #410587=DIRECTION('',(0.,0.,1.)); #410588=DIRECTION('center_axis',(0.,1.,0.)); #410589=DIRECTION('ref_axis',(-1.,0.,0.)); #410590=DIRECTION('',(-1.,0.,0.)); #410591=DIRECTION('',(-1.,0.,0.)); #410592=DIRECTION('',(0.,0.,1.)); #410593=DIRECTION('center_axis',(-0.140944937191418,0.990017436553573,0.)); #410594=DIRECTION('ref_axis',(-0.990017436553573,-0.140944937191418,0.)); #410595=DIRECTION('',(-0.990017436553573,-0.140944937191418,0.)); #410596=DIRECTION('',(-0.990017436553573,-0.140944937191418,0.)); #410597=DIRECTION('',(0.,0.,1.)); #410598=DIRECTION('center_axis',(-0.613211584811249,0.789918699774398,0.)); #410599=DIRECTION('ref_axis',(-0.789918699774398,-0.613211584811249,0.)); #410600=DIRECTION('',(-0.789918699774398,-0.613211584811249,0.)); #410601=DIRECTION('',(-0.789918699774398,-0.613211584811249,0.)); #410602=DIRECTION('',(0.,0.,1.)); #410603=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #410604=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #410605=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #410606=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #410607=DIRECTION('',(0.,0.,1.)); #410608=DIRECTION('center_axis',(-0.558856232804982,0.829264560351534,0.)); #410609=DIRECTION('ref_axis',(-0.829264560351534,-0.558856232804982,0.)); #410610=DIRECTION('',(-0.829264560351534,-0.558856232804982,0.)); #410611=DIRECTION('',(-0.829264560351534,-0.558856232804982,0.)); #410612=DIRECTION('',(0.,0.,1.)); #410613=DIRECTION('center_axis',(-0.199010641789244,0.979997328799744,0.)); #410614=DIRECTION('ref_axis',(-0.979997328799744,-0.199010641789244,0.)); #410615=DIRECTION('',(-0.979997328799744,-0.199010641789244,0.)); #410616=DIRECTION('',(-0.979997328799744,-0.199010641789244,0.)); #410617=DIRECTION('',(0.,0.,1.)); #410618=DIRECTION('center_axis',(0.,1.,0.)); #410619=DIRECTION('ref_axis',(-1.,0.,0.)); #410620=DIRECTION('',(-1.,0.,0.)); #410621=DIRECTION('',(-1.,0.,0.)); #410622=DIRECTION('',(0.,0.,1.)); #410623=DIRECTION('center_axis',(0.19119486568856,0.981552099144174,0.)); #410624=DIRECTION('ref_axis',(-0.981552099144174,0.19119486568856,0.)); #410625=DIRECTION('',(-0.981552099144174,0.19119486568856,0.)); #410626=DIRECTION('',(-0.981552099144174,0.19119486568856,0.)); #410627=DIRECTION('',(0.,0.,1.)); #410628=DIRECTION('center_axis',(0.552218701081331,0.833699290017718,0.)); #410629=DIRECTION('ref_axis',(-0.833699290017718,0.552218701081331,0.)); #410630=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #410631=DIRECTION('',(-0.833699290017718,0.552218701081331,0.)); #410632=DIRECTION('',(0.,0.,1.)); #410633=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #410634=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #410635=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #410636=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #410637=DIRECTION('',(0.,0.,1.)); #410638=DIRECTION('center_axis',(0.829264560351563,0.55885623280494,0.)); #410639=DIRECTION('ref_axis',(-0.55885623280494,0.829264560351563,0.)); #410640=DIRECTION('',(-0.55885623280494,0.829264560351563,0.)); #410641=DIRECTION('',(-0.55885623280494,0.829264560351563,0.)); #410642=DIRECTION('',(0.,0.,1.)); #410643=DIRECTION('center_axis',(0.97999732879972,0.199010641789363,0.)); #410644=DIRECTION('ref_axis',(-0.199010641789363,0.97999732879972,0.)); #410645=DIRECTION('',(-0.199010641789363,0.97999732879972,0.)); #410646=DIRECTION('',(-0.199010641789363,0.97999732879972,0.)); #410647=DIRECTION('',(0.,0.,1.)); #410648=DIRECTION('center_axis',(1.,0.,0.)); #410649=DIRECTION('ref_axis',(0.,1.,0.)); #410650=DIRECTION('',(0.,1.,0.)); #410651=DIRECTION('',(0.,1.,0.)); #410652=DIRECTION('',(0.,0.,1.)); #410653=DIRECTION('center_axis',(0.981552099144153,-0.191194865688666,0.)); #410654=DIRECTION('ref_axis',(0.191194865688666,0.981552099144153,0.)); #410655=DIRECTION('',(0.191194865688666,0.981552099144153,0.)); #410656=DIRECTION('',(0.191194865688666,0.981552099144153,0.)); #410657=DIRECTION('',(0.,0.,1.)); #410658=DIRECTION('center_axis',(0.833699290017718,-0.552218701081331,0.)); #410659=DIRECTION('ref_axis',(0.552218701081331,0.833699290017718,0.)); #410660=DIRECTION('',(0.552218701081331,0.833699290017718,0.)); #410661=DIRECTION('',(0.552218701081331,0.833699290017718,0.)); #410662=DIRECTION('',(0.,0.,1.)); #410663=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #410664=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #410665=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #410666=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #410667=DIRECTION('',(0.,0.,1.)); #410668=DIRECTION('center_axis',(0.558856232805025,-0.829264560351506,0.)); #410669=DIRECTION('ref_axis',(0.829264560351506,0.558856232805025,0.)); #410670=DIRECTION('',(0.829264560351506,0.558856232805025,0.)); #410671=DIRECTION('',(0.829264560351506,0.558856232805025,0.)); #410672=DIRECTION('',(0.,0.,1.)); #410673=DIRECTION('center_axis',(0.199010641789396,-0.979997328799713,0.)); #410674=DIRECTION('ref_axis',(0.979997328799713,0.199010641789396,0.)); #410675=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #410676=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #410677=DIRECTION('',(0.,0.,1.)); #410678=DIRECTION('center_axis',(0.,-1.,0.)); #410679=DIRECTION('ref_axis',(1.,0.,0.)); #410680=DIRECTION('',(1.,0.,0.)); #410681=DIRECTION('',(1.,0.,0.)); #410682=DIRECTION('',(0.,0.,1.)); #410683=DIRECTION('center_axis',(0.140944937191418,-0.990017436553573,0.)); #410684=DIRECTION('ref_axis',(0.990017436553573,0.140944937191418,0.)); #410685=DIRECTION('',(0.990017436553573,0.140944937191418,0.)); #410686=DIRECTION('',(0.990017436553573,0.140944937191418,0.)); #410687=DIRECTION('',(0.,0.,1.)); #410688=DIRECTION('center_axis',(0.613211584811249,-0.789918699774398,0.)); #410689=DIRECTION('ref_axis',(0.789918699774398,0.613211584811249,0.)); #410690=DIRECTION('',(0.789918699774398,0.613211584811249,0.)); #410691=DIRECTION('',(0.789918699774398,0.613211584811249,0.)); #410692=DIRECTION('',(0.,0.,1.)); #410693=DIRECTION('center_axis',(0.707106781186545,-0.70710678118655,0.)); #410694=DIRECTION('ref_axis',(0.70710678118655,0.707106781186545,0.)); #410695=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #410696=DIRECTION('',(0.70710678118655,0.707106781186545,0.)); #410697=DIRECTION('',(0.,0.,1.)); #410698=DIRECTION('center_axis',(0.799763100783356,-0.600315735780258,0.)); #410699=DIRECTION('ref_axis',(0.600315735780258,0.799763100783355,0.)); #410700=DIRECTION('',(0.600315735780258,0.799763100783355,0.)); #410701=DIRECTION('',(0.600315735780258,0.799763100783355,0.)); #410702=DIRECTION('',(0.,0.,1.)); #410703=DIRECTION('center_axis',(0.99212134539019,-0.125280629073933,0.)); #410704=DIRECTION('ref_axis',(0.125280629073933,0.99212134539019,0.)); #410705=DIRECTION('',(0.125280629073933,0.99212134539019,0.)); #410706=DIRECTION('',(0.125280629073933,0.99212134539019,0.)); #410707=DIRECTION('',(0.,0.,1.)); #410708=DIRECTION('center_axis',(1.,0.,0.)); #410709=DIRECTION('ref_axis',(0.,1.,0.)); #410710=DIRECTION('',(0.,1.,0.)); #410711=DIRECTION('',(0.,1.,0.)); #410712=DIRECTION('',(0.,0.,1.)); #410713=DIRECTION('center_axis',(0.983054265471482,-0.183314787014918,0.)); #410714=DIRECTION('ref_axis',(0.183314787014918,0.983054265471482,0.)); #410715=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #410716=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #410717=DIRECTION('',(0.,0.,1.)); #410718=DIRECTION('center_axis',(0.842351514327663,-0.538928498327832,0.)); #410719=DIRECTION('ref_axis',(0.538928498327832,0.842351514327663,0.)); #410720=DIRECTION('',(0.538928498327832,0.842351514327663,0.)); #410721=DIRECTION('',(0.538928498327832,0.842351514327663,0.)); #410722=DIRECTION('',(0.,0.,1.)); #410723=DIRECTION('center_axis',(0.565473758099792,-0.82476628744178,0.)); #410724=DIRECTION('ref_axis',(0.82476628744178,0.565473758099792,0.)); #410725=DIRECTION('',(0.82476628744178,0.565473758099792,0.)); #410726=DIRECTION('',(0.82476628744178,0.565473758099792,0.)); #410727=DIRECTION('',(0.,0.,1.)); #410728=DIRECTION('center_axis',(0.199010641789413,-0.97999732879971,0.)); #410729=DIRECTION('ref_axis',(0.97999732879971,0.199010641789413,0.)); #410730=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #410731=DIRECTION('',(0.97999732879971,0.199010641789413,0.)); #410732=DIRECTION('',(0.,0.,1.)); #410733=DIRECTION('center_axis',(0.,-1.,0.)); #410734=DIRECTION('ref_axis',(1.,0.,0.)); #410735=DIRECTION('',(1.,0.,0.)); #410736=DIRECTION('',(1.,0.,0.)); #410737=DIRECTION('',(0.,0.,1.)); #410738=DIRECTION('center_axis',(-0.183335538282741,-0.983050395657607, 0.)); #410739=DIRECTION('ref_axis',(0.983050395657607,-0.183335538282741,0.)); #410740=DIRECTION('',(0.983050395657607,-0.183335538282741,0.)); #410741=DIRECTION('',(0.983050395657607,-0.183335538282741,0.)); #410742=DIRECTION('',(0.,0.,1.)); #410743=DIRECTION('center_axis',(-0.538914460439865,-0.842360495470205, 0.)); #410744=DIRECTION('ref_axis',(0.842360495470205,-0.538914460439865,0.)); #410745=DIRECTION('',(0.842360495470205,-0.538914460439865,0.)); #410746=DIRECTION('',(0.842360495470205,-0.538914460439865,0.)); #410747=DIRECTION('',(0.,0.,1.)); #410748=DIRECTION('center_axis',(-0.824766287441809,-0.565473758099751, 0.)); #410749=DIRECTION('ref_axis',(0.565473758099751,-0.824766287441808,0.)); #410750=DIRECTION('',(0.565473758099751,-0.824766287441808,0.)); #410751=DIRECTION('',(0.565473758099751,-0.824766287441808,0.)); #410752=DIRECTION('',(0.,0.,1.)); #410753=DIRECTION('center_axis',(-0.97999732879971,-0.199010641789413,0.)); #410754=DIRECTION('ref_axis',(0.199010641789413,-0.97999732879971,0.)); #410755=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #410756=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #410757=DIRECTION('',(0.,0.,1.)); #410758=DIRECTION('center_axis',(-1.,0.,0.)); #410759=DIRECTION('ref_axis',(0.,-1.,0.)); #410760=DIRECTION('',(0.,-1.,0.)); #410761=DIRECTION('',(0.,-1.,0.)); #410762=DIRECTION('',(0.,0.,1.)); #410763=DIRECTION('center_axis',(-0.707106781186583,-0.707106781186512, 0.)); #410764=DIRECTION('ref_axis',(0.707106781186512,-0.707106781186583,0.)); #410765=DIRECTION('',(0.707106781186512,-0.707106781186583,0.)); #410766=DIRECTION('',(0.707106781186512,-0.707106781186583,0.)); #410767=DIRECTION('',(0.,0.,1.)); #410768=DIRECTION('center_axis',(0.,-1.,0.)); #410769=DIRECTION('ref_axis',(1.,0.,0.)); #410770=DIRECTION('',(1.,0.,0.)); #410771=DIRECTION('',(1.,0.,0.)); #410772=DIRECTION('',(0.,0.,1.)); #410773=DIRECTION('center_axis',(0.707106781186565,-0.70710678118653,0.)); #410774=DIRECTION('ref_axis',(0.70710678118653,0.707106781186565,0.)); #410775=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #410776=DIRECTION('',(0.70710678118653,0.707106781186565,0.)); #410777=DIRECTION('',(0.,0.,1.)); #410778=DIRECTION('center_axis',(1.,0.,0.)); #410779=DIRECTION('ref_axis',(0.,1.,0.)); #410780=DIRECTION('',(0.,1.,0.)); #410781=DIRECTION('',(0.,1.,0.)); #410782=DIRECTION('',(0.,0.,1.)); #410783=DIRECTION('center_axis',(0.983054265471482,-0.183314787014918,0.)); #410784=DIRECTION('ref_axis',(0.183314787014918,0.983054265471482,0.)); #410785=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #410786=DIRECTION('',(0.183314787014918,0.983054265471482,0.)); #410787=DIRECTION('',(0.,0.,1.)); #410788=DIRECTION('center_axis',(0.842351514327663,-0.538928498327832,0.)); #410789=DIRECTION('ref_axis',(0.538928498327832,0.842351514327663,0.)); #410790=DIRECTION('',(0.538928498327832,0.842351514327663,0.)); #410791=DIRECTION('',(0.538928498327832,0.842351514327663,0.)); #410792=DIRECTION('',(0.,0.,1.)); #410793=DIRECTION('center_axis',(0.565473758099792,-0.82476628744178,0.)); #410794=DIRECTION('ref_axis',(0.82476628744178,0.565473758099792,0.)); #410795=DIRECTION('',(0.82476628744178,0.565473758099792,0.)); #410796=DIRECTION('',(0.82476628744178,0.565473758099792,0.)); #410797=DIRECTION('center_axis',(0.,0.,1.)); #410798=DIRECTION('ref_axis',(1.,0.,0.)); #410799=DIRECTION('center_axis',(0.,0.,1.)); #410800=DIRECTION('ref_axis',(1.,0.,0.)); #410801=DIRECTION('center_axis',(0.,0.,1.)); #410802=DIRECTION('ref_axis',(1.,0.,0.)); #410803=DIRECTION('center_axis',(0.,0.,1.)); #410804=DIRECTION('ref_axis',(1.,0.,0.)); #410805=DIRECTION('',(0.,0.,1.)); #410806=DIRECTION('center_axis',(0.,0.,-1.)); #410807=DIRECTION('ref_axis',(1.,0.,0.)); #410808=DIRECTION('center_axis',(0.,0.,1.)); #410809=DIRECTION('ref_axis',(1.,0.,0.)); #410810=DIRECTION('center_axis',(0.,0.,1.)); #410811=DIRECTION('ref_axis',(1.,0.,0.)); #410812=DIRECTION('',(0.,0.,1.)); #410813=DIRECTION('center_axis',(0.,0.,-1.)); #410814=DIRECTION('ref_axis',(1.,0.,0.)); #410815=DIRECTION('center_axis',(0.,0.,1.)); #410816=DIRECTION('ref_axis',(1.,0.,0.)); #410817=DIRECTION('center_axis',(0.,0.,1.)); #410818=DIRECTION('ref_axis',(1.,0.,0.)); #410819=DIRECTION('',(0.,0.,1.)); #410820=DIRECTION('center_axis',(0.,0.,-1.)); #410821=DIRECTION('ref_axis',(1.,0.,0.)); #410822=DIRECTION('center_axis',(0.,0.,1.)); #410823=DIRECTION('ref_axis',(1.,0.,0.)); #410824=DIRECTION('center_axis',(0.,0.,1.)); #410825=DIRECTION('ref_axis',(1.,0.,0.)); #410826=DIRECTION('',(0.,0.,1.)); #410827=DIRECTION('center_axis',(0.,0.,-1.)); #410828=DIRECTION('ref_axis',(1.,0.,0.)); #410829=DIRECTION('center_axis',(0.,0.,1.)); #410830=DIRECTION('ref_axis',(1.,0.,0.)); #410831=DIRECTION('center_axis',(0.,0.,1.)); #410832=DIRECTION('ref_axis',(1.,0.,0.)); #410833=DIRECTION('',(0.,0.,1.)); #410834=DIRECTION('center_axis',(0.,0.,-1.)); #410835=DIRECTION('ref_axis',(1.,0.,0.)); #410836=DIRECTION('center_axis',(0.,0.,1.)); #410837=DIRECTION('ref_axis',(1.,0.,0.)); #410838=DIRECTION('center_axis',(0.,0.,1.)); #410839=DIRECTION('ref_axis',(1.,0.,0.)); #410840=DIRECTION('',(0.,0.,1.)); #410841=DIRECTION('center_axis',(0.,0.,-1.)); #410842=DIRECTION('ref_axis',(1.,0.,0.)); #410843=DIRECTION('center_axis',(0.,0.,1.)); #410844=DIRECTION('ref_axis',(1.,0.,0.)); #410845=DIRECTION('center_axis',(0.,0.,1.)); #410846=DIRECTION('ref_axis',(1.,0.,0.)); #410847=DIRECTION('',(0.,0.,1.)); #410848=DIRECTION('center_axis',(0.,0.,-1.)); #410849=DIRECTION('ref_axis',(1.,0.,0.)); #410850=DIRECTION('center_axis',(0.,0.,1.)); #410851=DIRECTION('ref_axis',(1.,0.,0.)); #410852=DIRECTION('center_axis',(0.,0.,1.)); #410853=DIRECTION('ref_axis',(1.,0.,0.)); #410854=DIRECTION('',(0.,0.,1.)); #410855=DIRECTION('center_axis',(0.,0.,-1.)); #410856=DIRECTION('ref_axis',(1.,0.,0.)); #410857=DIRECTION('center_axis',(0.,0.,1.)); #410858=DIRECTION('ref_axis',(1.,0.,0.)); #410859=DIRECTION('center_axis',(0.,0.,1.)); #410860=DIRECTION('ref_axis',(1.,0.,0.)); #410861=DIRECTION('',(0.,0.,1.)); #410862=DIRECTION('center_axis',(0.,0.,-1.)); #410863=DIRECTION('ref_axis',(1.,0.,0.)); #410864=DIRECTION('center_axis',(0.,0.,1.)); #410865=DIRECTION('ref_axis',(1.,0.,0.)); #410866=DIRECTION('center_axis',(0.,0.,1.)); #410867=DIRECTION('ref_axis',(1.,0.,0.)); #410868=DIRECTION('',(0.,0.,1.)); #410869=DIRECTION('center_axis',(0.,0.,-1.)); #410870=DIRECTION('ref_axis',(1.,0.,0.)); #410871=DIRECTION('center_axis',(0.,0.,1.)); #410872=DIRECTION('ref_axis',(1.,0.,0.)); #410873=DIRECTION('center_axis',(0.,0.,1.)); #410874=DIRECTION('ref_axis',(1.,0.,0.)); #410875=DIRECTION('',(0.,0.,1.)); #410876=DIRECTION('center_axis',(0.,0.,-1.)); #410877=DIRECTION('ref_axis',(1.,0.,0.)); #410878=DIRECTION('center_axis',(0.,0.,1.)); #410879=DIRECTION('ref_axis',(1.,0.,0.)); #410880=DIRECTION('center_axis',(0.,0.,1.)); #410881=DIRECTION('ref_axis',(1.,0.,0.)); #410882=DIRECTION('',(0.,0.,1.)); #410883=DIRECTION('center_axis',(0.,0.,-1.)); #410884=DIRECTION('ref_axis',(1.,0.,0.)); #410885=DIRECTION('center_axis',(0.,0.,1.)); #410886=DIRECTION('ref_axis',(1.,0.,0.)); #410887=DIRECTION('center_axis',(0.,0.,1.)); #410888=DIRECTION('ref_axis',(1.,0.,0.)); #410889=DIRECTION('',(0.,0.,1.)); #410890=DIRECTION('center_axis',(0.,0.,-1.)); #410891=DIRECTION('ref_axis',(1.,0.,0.)); #410892=DIRECTION('center_axis',(0.,0.,1.)); #410893=DIRECTION('ref_axis',(1.,0.,0.)); #410894=DIRECTION('center_axis',(0.,0.,1.)); #410895=DIRECTION('ref_axis',(1.,0.,0.)); #410896=DIRECTION('',(0.,0.,1.)); #410897=DIRECTION('center_axis',(0.,0.,-1.)); #410898=DIRECTION('ref_axis',(1.,0.,0.)); #410899=DIRECTION('center_axis',(0.,0.,1.)); #410900=DIRECTION('ref_axis',(1.,0.,0.)); #410901=DIRECTION('center_axis',(0.,0.,1.)); #410902=DIRECTION('ref_axis',(1.,0.,0.)); #410903=DIRECTION('',(0.,0.,1.)); #410904=DIRECTION('center_axis',(0.,0.,-1.)); #410905=DIRECTION('ref_axis',(1.,0.,0.)); #410906=DIRECTION('center_axis',(0.,0.,1.)); #410907=DIRECTION('ref_axis',(1.,0.,0.)); #410908=DIRECTION('center_axis',(0.,0.,1.)); #410909=DIRECTION('ref_axis',(1.,0.,0.)); #410910=DIRECTION('',(0.,0.,1.)); #410911=DIRECTION('center_axis',(0.,0.,-1.)); #410912=DIRECTION('ref_axis',(1.,0.,0.)); #410913=DIRECTION('center_axis',(0.,0.,1.)); #410914=DIRECTION('ref_axis',(1.,0.,0.)); #410915=DIRECTION('center_axis',(0.,0.,1.)); #410916=DIRECTION('ref_axis',(1.,0.,0.)); #410917=DIRECTION('',(0.,0.,1.)); #410918=DIRECTION('center_axis',(0.,0.,-1.)); #410919=DIRECTION('ref_axis',(1.,0.,0.)); #410920=DIRECTION('center_axis',(0.,0.,1.)); #410921=DIRECTION('ref_axis',(1.,0.,0.)); #410922=DIRECTION('center_axis',(0.,0.,1.)); #410923=DIRECTION('ref_axis',(1.,0.,0.)); #410924=DIRECTION('',(0.,0.,1.)); #410925=DIRECTION('center_axis',(0.,0.,-1.)); #410926=DIRECTION('ref_axis',(1.,0.,0.)); #410927=DIRECTION('center_axis',(0.,0.,1.)); #410928=DIRECTION('ref_axis',(1.,0.,0.)); #410929=DIRECTION('center_axis',(0.,0.,1.)); #410930=DIRECTION('ref_axis',(1.,0.,0.)); #410931=DIRECTION('',(0.,0.,1.)); #410932=DIRECTION('center_axis',(0.,0.,-1.)); #410933=DIRECTION('ref_axis',(1.,0.,0.)); #410934=DIRECTION('center_axis',(0.,0.,1.)); #410935=DIRECTION('ref_axis',(1.,0.,0.)); #410936=DIRECTION('center_axis',(0.,0.,1.)); #410937=DIRECTION('ref_axis',(1.,0.,0.)); #410938=DIRECTION('',(0.,0.,1.)); #410939=DIRECTION('center_axis',(0.,0.,-1.)); #410940=DIRECTION('ref_axis',(1.,0.,0.)); #410941=DIRECTION('center_axis',(0.,0.,1.)); #410942=DIRECTION('ref_axis',(1.,0.,0.)); #410943=DIRECTION('center_axis',(0.,0.,1.)); #410944=DIRECTION('ref_axis',(1.,0.,0.)); #410945=DIRECTION('',(0.,0.,1.)); #410946=DIRECTION('center_axis',(0.,0.,-1.)); #410947=DIRECTION('ref_axis',(1.,0.,0.)); #410948=DIRECTION('center_axis',(0.,0.,1.)); #410949=DIRECTION('ref_axis',(1.,0.,0.)); #410950=DIRECTION('center_axis',(0.,0.,1.)); #410951=DIRECTION('ref_axis',(1.,0.,0.)); #410952=DIRECTION('',(0.,0.,1.)); #410953=DIRECTION('center_axis',(0.,0.,-1.)); #410954=DIRECTION('ref_axis',(1.,0.,0.)); #410955=DIRECTION('center_axis',(0.,0.,1.)); #410956=DIRECTION('ref_axis',(1.,0.,0.)); #410957=DIRECTION('center_axis',(0.,0.,1.)); #410958=DIRECTION('ref_axis',(1.,0.,0.)); #410959=DIRECTION('',(0.,0.,1.)); #410960=DIRECTION('center_axis',(0.,0.,-1.)); #410961=DIRECTION('ref_axis',(1.,0.,0.)); #410962=DIRECTION('center_axis',(0.,0.,1.)); #410963=DIRECTION('ref_axis',(1.,0.,0.)); #410964=DIRECTION('center_axis',(0.,0.,1.)); #410965=DIRECTION('ref_axis',(1.,0.,0.)); #410966=DIRECTION('',(0.,0.,1.)); #410967=DIRECTION('center_axis',(0.,0.,-1.)); #410968=DIRECTION('ref_axis',(1.,0.,0.)); #410969=DIRECTION('center_axis',(0.,0.,1.)); #410970=DIRECTION('ref_axis',(1.,0.,0.)); #410971=DIRECTION('center_axis',(0.,0.,1.)); #410972=DIRECTION('ref_axis',(1.,0.,0.)); #410973=DIRECTION('',(0.,0.,1.)); #410974=DIRECTION('center_axis',(0.,0.,-1.)); #410975=DIRECTION('ref_axis',(1.,0.,0.)); #410976=DIRECTION('center_axis',(0.,0.,1.)); #410977=DIRECTION('ref_axis',(1.,0.,0.)); #410978=DIRECTION('center_axis',(0.,0.,1.)); #410979=DIRECTION('ref_axis',(1.,0.,0.)); #410980=DIRECTION('',(0.,0.,1.)); #410981=DIRECTION('center_axis',(0.,0.,-1.)); #410982=DIRECTION('ref_axis',(1.,0.,0.)); #410983=DIRECTION('center_axis',(0.,0.,1.)); #410984=DIRECTION('ref_axis',(1.,0.,0.)); #410985=DIRECTION('center_axis',(0.,0.,1.)); #410986=DIRECTION('ref_axis',(1.,0.,0.)); #410987=DIRECTION('',(0.,0.,1.)); #410988=DIRECTION('center_axis',(0.,0.,-1.)); #410989=DIRECTION('ref_axis',(1.,0.,0.)); #410990=DIRECTION('center_axis',(0.,0.,1.)); #410991=DIRECTION('ref_axis',(1.,0.,0.)); #410992=DIRECTION('center_axis',(0.,0.,1.)); #410993=DIRECTION('ref_axis',(1.,0.,0.)); #410994=DIRECTION('',(0.,0.,1.)); #410995=DIRECTION('center_axis',(0.,0.,-1.)); #410996=DIRECTION('ref_axis',(1.,0.,0.)); #410997=DIRECTION('center_axis',(0.,0.,1.)); #410998=DIRECTION('ref_axis',(1.,0.,0.)); #410999=DIRECTION('center_axis',(0.,0.,1.)); #411000=DIRECTION('ref_axis',(1.,0.,0.)); #411001=DIRECTION('',(0.,0.,1.)); #411002=DIRECTION('center_axis',(0.,0.,-1.)); #411003=DIRECTION('ref_axis',(1.,0.,0.)); #411004=DIRECTION('center_axis',(0.,0.,1.)); #411005=DIRECTION('ref_axis',(1.,0.,0.)); #411006=DIRECTION('center_axis',(0.,0.,1.)); #411007=DIRECTION('ref_axis',(1.,0.,0.)); #411008=DIRECTION('',(0.,0.,1.)); #411009=DIRECTION('center_axis',(0.,0.,-1.)); #411010=DIRECTION('ref_axis',(1.,0.,0.)); #411011=DIRECTION('center_axis',(0.199010641789396,-0.979997328799713,0.)); #411012=DIRECTION('ref_axis',(0.979997328799713,0.199010641789396,0.)); #411013=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #411014=DIRECTION('',(0.,0.,1.)); #411015=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #411016=DIRECTION('',(0.,0.,1.)); #411017=DIRECTION('center_axis',(0.,-1.,0.)); #411018=DIRECTION('ref_axis',(1.,0.,0.)); #411019=DIRECTION('',(1.,0.,0.)); #411020=DIRECTION('',(1.,0.,0.)); #411021=DIRECTION('',(0.,0.,1.)); #411022=DIRECTION('center_axis',(-0.191194865688736,-0.981552099144139, 0.)); #411023=DIRECTION('ref_axis',(0.981552099144139,-0.191194865688736,0.)); #411024=DIRECTION('',(0.981552099144139,-0.191194865688736,0.)); #411025=DIRECTION('',(0.981552099144139,-0.191194865688736,0.)); #411026=DIRECTION('',(0.,0.,1.)); #411027=DIRECTION('center_axis',(-0.552218701081169,-0.833699290017826, 0.)); #411028=DIRECTION('ref_axis',(0.833699290017826,-0.552218701081169,0.)); #411029=DIRECTION('',(0.833699290017826,-0.552218701081169,0.)); #411030=DIRECTION('',(0.833699290017826,-0.552218701081169,0.)); #411031=DIRECTION('',(0.,0.,1.)); #411032=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546, 0.)); #411033=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #411034=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #411035=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #411036=DIRECTION('',(0.,0.,1.)); #411037=DIRECTION('center_axis',(-0.829264560351605,-0.558856232804877, 0.)); #411038=DIRECTION('ref_axis',(0.558856232804877,-0.829264560351605,0.)); #411039=DIRECTION('',(0.558856232804877,-0.829264560351605,0.)); #411040=DIRECTION('',(0.558856232804877,-0.829264560351605,0.)); #411041=DIRECTION('',(0.,0.,1.)); #411042=DIRECTION('center_axis',(-0.97999732879971,-0.199010641789413,0.)); #411043=DIRECTION('ref_axis',(0.199010641789413,-0.97999732879971,0.)); #411044=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #411045=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #411046=DIRECTION('',(0.,0.,1.)); #411047=DIRECTION('center_axis',(-1.,0.,0.)); #411048=DIRECTION('ref_axis',(0.,-1.,0.)); #411049=DIRECTION('',(0.,-1.,0.)); #411050=DIRECTION('',(0.,-1.,0.)); #411051=DIRECTION('',(0.,0.,1.)); #411052=DIRECTION('center_axis',(-0.981552099144153,0.191194865688666,0.)); #411053=DIRECTION('ref_axis',(-0.191194865688666,-0.981552099144153,0.)); #411054=DIRECTION('',(-0.191194865688666,-0.981552099144153,0.)); #411055=DIRECTION('',(-0.191194865688666,-0.981552099144153,0.)); #411056=DIRECTION('',(0.,0.,1.)); #411057=DIRECTION('center_axis',(-0.833699290017772,0.55221870108125,0.)); #411058=DIRECTION('ref_axis',(-0.55221870108125,-0.833699290017772,0.)); #411059=DIRECTION('',(-0.55221870108125,-0.833699290017772,0.)); #411060=DIRECTION('',(-0.55221870108125,-0.833699290017772,0.)); #411061=DIRECTION('',(0.,0.,1.)); #411062=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,0.)); #411063=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.)); #411064=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #411065=DIRECTION('',(-0.707106781186546,-0.707106781186549,0.)); #411066=DIRECTION('',(0.,0.,1.)); #411067=DIRECTION('center_axis',(-0.558856232804857,0.829264560351619,0.)); #411068=DIRECTION('ref_axis',(-0.829264560351619,-0.558856232804857,0.)); #411069=DIRECTION('',(-0.829264560351619,-0.558856232804857,0.)); #411070=DIRECTION('',(-0.829264560351619,-0.558856232804857,0.)); #411071=DIRECTION('',(0.,0.,1.)); #411072=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #411073=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #411074=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #411075=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #411076=DIRECTION('',(0.,0.,1.)); #411077=DIRECTION('center_axis',(0.,1.,0.)); #411078=DIRECTION('ref_axis',(-1.,0.,0.)); #411079=DIRECTION('',(-1.,0.,0.)); #411080=DIRECTION('',(-1.,0.,0.)); #411081=DIRECTION('',(0.,0.,1.)); #411082=DIRECTION('center_axis',(0.191180940403834,0.981554811524199,0.)); #411083=DIRECTION('ref_axis',(-0.981554811524199,0.191180940403834,0.)); #411084=DIRECTION('',(-0.981554811524199,0.191180940403834,0.)); #411085=DIRECTION('',(-0.981554811524199,0.191180940403834,0.)); #411086=DIRECTION('',(0.,0.,1.)); #411087=DIRECTION('center_axis',(0.,1.,0.)); #411088=DIRECTION('ref_axis',(-1.,0.,0.)); #411089=DIRECTION('',(-1.,0.,0.)); #411090=DIRECTION('',(-1.,0.,0.)); #411091=DIRECTION('',(0.,0.,1.)); #411092=DIRECTION('center_axis',(-0.199017616334439,0.979995912434617,0.)); #411093=DIRECTION('ref_axis',(-0.979995912434617,-0.199017616334439,0.)); #411094=DIRECTION('',(-0.979995912434617,-0.199017616334439,0.)); #411095=DIRECTION('',(-0.979995912434617,-0.199017616334439,0.)); #411096=DIRECTION('',(0.,0.,1.)); #411097=DIRECTION('center_axis',(0.,1.,0.)); #411098=DIRECTION('ref_axis',(-1.,0.,0.)); #411099=DIRECTION('',(-1.,0.,0.)); #411100=DIRECTION('',(-1.,0.,0.)); #411101=DIRECTION('',(0.,0.,1.)); #411102=DIRECTION('center_axis',(0.191180940403834,0.981554811524199,0.)); #411103=DIRECTION('ref_axis',(-0.981554811524199,0.191180940403834,0.)); #411104=DIRECTION('',(-0.981554811524199,0.191180940403834,0.)); #411105=DIRECTION('',(-0.981554811524199,0.191180940403834,0.)); #411106=DIRECTION('',(0.,0.,1.)); #411107=DIRECTION('center_axis',(0.,1.,0.)); #411108=DIRECTION('ref_axis',(-1.,0.,0.)); #411109=DIRECTION('',(-1.,0.,0.)); #411110=DIRECTION('',(-1.,0.,0.)); #411111=DIRECTION('',(0.,0.,1.)); #411112=DIRECTION('center_axis',(-0.199017616334511,0.979995912434603,0.)); #411113=DIRECTION('ref_axis',(-0.979995912434603,-0.199017616334511,0.)); #411114=DIRECTION('',(-0.979995912434603,-0.199017616334511,0.)); #411115=DIRECTION('',(-0.979995912434603,-0.199017616334511,0.)); #411116=DIRECTION('',(0.,0.,1.)); #411117=DIRECTION('center_axis',(0.,1.,0.)); #411118=DIRECTION('ref_axis',(-1.,0.,0.)); #411119=DIRECTION('',(-1.,0.,0.)); #411120=DIRECTION('',(-1.,0.,0.)); #411121=DIRECTION('',(0.,0.,1.)); #411122=DIRECTION('center_axis',(0.191180940403834,0.981554811524199,0.)); #411123=DIRECTION('ref_axis',(-0.981554811524199,0.191180940403834,0.)); #411124=DIRECTION('',(-0.981554811524199,0.191180940403834,0.)); #411125=DIRECTION('',(-0.981554811524199,0.191180940403834,0.)); #411126=DIRECTION('',(0.,0.,1.)); #411127=DIRECTION('center_axis',(0.,1.,0.)); #411128=DIRECTION('ref_axis',(-1.,0.,0.)); #411129=DIRECTION('',(-1.,0.,0.)); #411130=DIRECTION('',(-1.,0.,0.)); #411131=DIRECTION('',(0.,0.,1.)); #411132=DIRECTION('center_axis',(-0.199017616334439,0.979995912434617,0.)); #411133=DIRECTION('ref_axis',(-0.979995912434617,-0.199017616334439,0.)); #411134=DIRECTION('',(-0.979995912434617,-0.199017616334439,0.)); #411135=DIRECTION('',(-0.979995912434617,-0.199017616334439,0.)); #411136=DIRECTION('',(0.,0.,1.)); #411137=DIRECTION('center_axis',(0.,1.,0.)); #411138=DIRECTION('ref_axis',(-1.,0.,0.)); #411139=DIRECTION('',(-1.,0.,0.)); #411140=DIRECTION('',(-1.,0.,0.)); #411141=DIRECTION('',(0.,0.,1.)); #411142=DIRECTION('center_axis',(0.191180940403834,0.981554811524199,0.)); #411143=DIRECTION('ref_axis',(-0.981554811524199,0.191180940403834,0.)); #411144=DIRECTION('',(-0.981554811524199,0.191180940403834,0.)); #411145=DIRECTION('',(-0.981554811524199,0.191180940403834,0.)); #411146=DIRECTION('',(0.,0.,1.)); #411147=DIRECTION('center_axis',(0.,1.,0.)); #411148=DIRECTION('ref_axis',(-1.,0.,0.)); #411149=DIRECTION('',(-1.,0.,0.)); #411150=DIRECTION('',(-1.,0.,0.)); #411151=DIRECTION('',(0.,0.,1.)); #411152=DIRECTION('center_axis',(-0.199001444417704,0.979999196489297,0.)); #411153=DIRECTION('ref_axis',(-0.979999196489297,-0.199001444417704,0.)); #411154=DIRECTION('',(-0.979999196489297,-0.199001444417704,0.)); #411155=DIRECTION('',(-0.979999196489297,-0.199001444417704,0.)); #411156=DIRECTION('',(0.,0.,1.)); #411157=DIRECTION('center_axis',(0.,1.,0.)); #411158=DIRECTION('ref_axis',(-1.,0.,0.)); #411159=DIRECTION('',(-1.,0.,0.)); #411160=DIRECTION('',(-1.,0.,0.)); #411161=DIRECTION('',(0.,0.,1.)); #411162=DIRECTION('center_axis',(0.183314787014826,0.983054265471499,0.)); #411163=DIRECTION('ref_axis',(-0.983054265471499,0.183314787014826,0.)); #411164=DIRECTION('',(-0.983054265471499,0.183314787014826,0.)); #411165=DIRECTION('',(-0.983054265471499,0.183314787014826,0.)); #411166=DIRECTION('',(0.,0.,1.)); #411167=DIRECTION('center_axis',(0.538928498327877,0.842351514327635,0.)); #411168=DIRECTION('ref_axis',(-0.842351514327635,0.538928498327877,0.)); #411169=DIRECTION('',(-0.842351514327635,0.538928498327877,0.)); #411170=DIRECTION('',(-0.842351514327635,0.538928498327877,0.)); #411171=DIRECTION('',(0.,0.,1.)); #411172=DIRECTION('center_axis',(0.824766287441793,0.565473758099774,0.)); #411173=DIRECTION('ref_axis',(-0.565473758099774,0.824766287441793,0.)); #411174=DIRECTION('',(-0.565473758099774,0.824766287441793,0.)); #411175=DIRECTION('',(-0.565473758099774,0.824766287441793,0.)); #411176=DIRECTION('',(0.,0.,1.)); #411177=DIRECTION('center_axis',(0.979997328799734,0.199010641789294,0.)); #411178=DIRECTION('ref_axis',(-0.199010641789294,0.979997328799734,0.)); #411179=DIRECTION('',(-0.199010641789294,0.979997328799734,0.)); #411180=DIRECTION('',(-0.199010641789294,0.979997328799734,0.)); #411181=DIRECTION('',(0.,0.,1.)); #411182=DIRECTION('center_axis',(1.,0.,0.)); #411183=DIRECTION('ref_axis',(0.,1.,0.)); #411184=DIRECTION('',(0.,1.,0.)); #411185=DIRECTION('',(0.,1.,0.)); #411186=DIRECTION('',(0.,0.,1.)); #411187=DIRECTION('center_axis',(0.981552099144153,-0.191194865688666,0.)); #411188=DIRECTION('ref_axis',(0.191194865688666,0.981552099144153,0.)); #411189=DIRECTION('',(0.191194865688666,0.981552099144153,0.)); #411190=DIRECTION('',(0.191194865688666,0.981552099144153,0.)); #411191=DIRECTION('',(0.,0.,1.)); #411192=DIRECTION('center_axis',(0.833699290017759,-0.55221870108127,0.)); #411193=DIRECTION('ref_axis',(0.55221870108127,0.833699290017759,0.)); #411194=DIRECTION('',(0.55221870108127,0.833699290017759,0.)); #411195=DIRECTION('',(0.55221870108127,0.833699290017759,0.)); #411196=DIRECTION('',(0.,0.,1.)); #411197=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #411198=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #411199=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #411200=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #411201=DIRECTION('',(0.,0.,1.)); #411202=DIRECTION('center_axis',(0.558856232804982,-0.829264560351534,0.)); #411203=DIRECTION('ref_axis',(0.829264560351534,0.558856232804982,0.)); #411204=DIRECTION('',(0.829264560351534,0.558856232804982,0.)); #411205=DIRECTION('',(0.829264560351534,0.558856232804982,0.)); #411206=DIRECTION('',(0.,0.,1.)); #411207=DIRECTION('center_axis',(0.199010641789396,-0.979997328799713,0.)); #411208=DIRECTION('ref_axis',(0.979997328799713,0.199010641789396,0.)); #411209=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #411210=DIRECTION('',(0.979997328799713,0.199010641789396,0.)); #411211=DIRECTION('',(0.,0.,1.)); #411212=DIRECTION('center_axis',(0.,-1.,0.)); #411213=DIRECTION('ref_axis',(1.,0.,0.)); #411214=DIRECTION('',(1.,0.,0.)); #411215=DIRECTION('',(1.,0.,0.)); #411216=DIRECTION('',(0.,0.,1.)); #411217=DIRECTION('center_axis',(0.14094493719135,-0.990017436553582,0.)); #411218=DIRECTION('ref_axis',(0.990017436553582,0.14094493719135,0.)); #411219=DIRECTION('',(0.990017436553582,0.14094493719135,0.)); #411220=DIRECTION('',(0.990017436553582,0.14094493719135,0.)); #411221=DIRECTION('',(0.,0.,1.)); #411222=DIRECTION('center_axis',(0.613211584811551,-0.789918699774164,0.)); #411223=DIRECTION('ref_axis',(0.789918699774164,0.613211584811551,0.)); #411224=DIRECTION('',(0.789918699774164,0.613211584811551,0.)); #411225=DIRECTION('',(0.789918699774164,0.613211584811551,0.)); #411226=DIRECTION('',(0.,0.,1.)); #411227=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #411228=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #411229=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #411230=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #411231=DIRECTION('',(0.,0.,1.)); #411232=DIRECTION('center_axis',(0.558856232804878,-0.829264560351605,0.)); #411233=DIRECTION('ref_axis',(0.829264560351605,0.558856232804878,0.)); #411234=DIRECTION('',(0.829264560351605,0.558856232804878,0.)); #411235=DIRECTION('',(0.829264560351605,0.558856232804878,0.)); #411236=DIRECTION('center_axis',(0.,0.,1.)); #411237=DIRECTION('ref_axis',(1.,0.,0.)); #411238=DIRECTION('center_axis',(0.,0.,1.)); #411239=DIRECTION('ref_axis',(1.,0.,0.)); #411240=DIRECTION('center_axis',(0.,0.,1.)); #411241=DIRECTION('ref_axis',(1.,0.,0.)); #411242=DIRECTION('center_axis',(0.,0.,1.)); #411243=DIRECTION('ref_axis',(1.,0.,0.)); #411244=DIRECTION('',(0.,0.,1.)); #411245=DIRECTION('center_axis',(0.,0.,-1.)); #411246=DIRECTION('ref_axis',(1.,0.,0.)); #411247=DIRECTION('center_axis',(0.,0.,1.)); #411248=DIRECTION('ref_axis',(1.,0.,0.)); #411249=DIRECTION('center_axis',(0.,0.,1.)); #411250=DIRECTION('ref_axis',(1.,0.,0.)); #411251=DIRECTION('',(0.,0.,1.)); #411252=DIRECTION('center_axis',(0.,0.,-1.)); #411253=DIRECTION('ref_axis',(1.,0.,0.)); #411254=DIRECTION('center_axis',(0.156447762252232,-0.987686234431902,0.)); #411255=DIRECTION('ref_axis',(0.987686234431902,0.156447762252232,0.)); #411256=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #411257=DIRECTION('',(0.,0.,1.)); #411258=DIRECTION('',(0.987686234431902,0.156447762252232,0.)); #411259=DIRECTION('',(0.,0.,1.)); #411260=DIRECTION('center_axis',(0.,0.,1.)); #411261=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #411262=DIRECTION('center_axis',(0.,0.,1.)); #411263=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #411264=DIRECTION('center_axis',(0.,0.,1.)); #411265=DIRECTION('ref_axis',(-0.461527865934833,0.887125712041782,0.)); #411266=DIRECTION('',(0.,0.,1.)); #411267=DIRECTION('center_axis',(-1.,0.,0.)); #411268=DIRECTION('ref_axis',(0.,-1.,0.)); #411269=DIRECTION('',(0.,-1.,0.)); #411270=DIRECTION('',(0.,-1.,0.)); #411271=DIRECTION('',(0.,0.,1.)); #411272=DIRECTION('center_axis',(0.,0.,1.)); #411273=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #411274=DIRECTION('center_axis',(0.,0.,1.)); #411275=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #411276=DIRECTION('center_axis',(0.,0.,1.)); #411277=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #411278=DIRECTION('',(0.,0.,1.)); #411279=DIRECTION('center_axis',(0.,0.,1.)); #411280=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #411281=DIRECTION('center_axis',(0.,0.,1.)); #411282=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #411283=DIRECTION('center_axis',(0.,0.,1.)); #411284=DIRECTION('ref_axis',(0.461743352889783,-0.887013571520809,0.)); #411285=DIRECTION('',(0.,0.,1.)); #411286=DIRECTION('center_axis',(1.,0.,0.)); #411287=DIRECTION('ref_axis',(0.,1.,0.)); #411288=DIRECTION('',(0.,1.,0.)); #411289=DIRECTION('',(0.,1.,0.)); #411290=DIRECTION('',(0.,0.,1.)); #411291=DIRECTION('center_axis',(0.,0.,1.)); #411292=DIRECTION('ref_axis',(0.234004121468823,-0.972235604745889,0.)); #411293=DIRECTION('center_axis',(0.,0.,1.)); #411294=DIRECTION('ref_axis',(0.234004121468823,-0.972235604745889,0.)); #411295=DIRECTION('center_axis',(0.,0.,1.)); #411296=DIRECTION('ref_axis',(0.234004121468823,-0.972235604745889,0.)); #411297=DIRECTION('center_axis',(0.,0.,1.)); #411298=DIRECTION('ref_axis',(1.,0.,0.)); #411299=DIRECTION('center_axis',(0.,0.,1.)); #411300=DIRECTION('ref_axis',(1.,0.,0.)); #411301=DIRECTION('center_axis',(0.,0.,1.)); #411302=DIRECTION('ref_axis',(1.,0.,0.)); #411303=DIRECTION('center_axis',(0.,0.,1.)); #411304=DIRECTION('ref_axis',(1.,0.,0.)); #411305=DIRECTION('',(0.,0.,1.)); #411306=DIRECTION('center_axis',(0.,0.,-1.)); #411307=DIRECTION('ref_axis',(1.,0.,0.)); #411308=DIRECTION('center_axis',(0.,0.,1.)); #411309=DIRECTION('ref_axis',(1.,0.,0.)); #411310=DIRECTION('center_axis',(0.,0.,1.)); #411311=DIRECTION('ref_axis',(1.,0.,0.)); #411312=DIRECTION('',(0.,0.,1.)); #411313=DIRECTION('center_axis',(0.,0.,-1.)); #411314=DIRECTION('ref_axis',(1.,0.,0.)); #411315=DIRECTION('center_axis',(0.,0.,1.)); #411316=DIRECTION('ref_axis',(1.,0.,0.)); #411317=DIRECTION('center_axis',(0.,0.,1.)); #411318=DIRECTION('ref_axis',(1.,0.,0.)); #411319=DIRECTION('',(0.,0.,1.)); #411320=DIRECTION('center_axis',(0.,0.,-1.)); #411321=DIRECTION('ref_axis',(1.,0.,0.)); #411322=DIRECTION('center_axis',(0.,0.,1.)); #411323=DIRECTION('ref_axis',(1.,0.,0.)); #411324=DIRECTION('center_axis',(0.,0.,1.)); #411325=DIRECTION('ref_axis',(1.,0.,0.)); #411326=DIRECTION('',(0.,0.,1.)); #411327=DIRECTION('center_axis',(0.,0.,-1.)); #411328=DIRECTION('ref_axis',(1.,0.,0.)); #411329=DIRECTION('center_axis',(0.,0.,1.)); #411330=DIRECTION('ref_axis',(1.,0.,0.)); #411331=DIRECTION('center_axis',(0.,0.,1.)); #411332=DIRECTION('ref_axis',(1.,0.,0.)); #411333=DIRECTION('',(0.,0.,1.)); #411334=DIRECTION('center_axis',(0.,0.,-1.)); #411335=DIRECTION('ref_axis',(1.,0.,0.)); #411336=DIRECTION('center_axis',(0.,0.,1.)); #411337=DIRECTION('ref_axis',(1.,0.,0.)); #411338=DIRECTION('center_axis',(0.,0.,1.)); #411339=DIRECTION('ref_axis',(1.,0.,0.)); #411340=DIRECTION('',(0.,0.,1.)); #411341=DIRECTION('center_axis',(0.,0.,-1.)); #411342=DIRECTION('ref_axis',(1.,0.,0.)); #411343=DIRECTION('center_axis',(0.,0.,1.)); #411344=DIRECTION('ref_axis',(1.,0.,0.)); #411345=DIRECTION('center_axis',(0.,0.,1.)); #411346=DIRECTION('ref_axis',(1.,0.,0.)); #411347=DIRECTION('',(0.,0.,1.)); #411348=DIRECTION('center_axis',(0.,0.,-1.)); #411349=DIRECTION('ref_axis',(1.,0.,0.)); #411350=DIRECTION('center_axis',(0.,0.,1.)); #411351=DIRECTION('ref_axis',(1.,0.,0.)); #411352=DIRECTION('center_axis',(0.,0.,1.)); #411353=DIRECTION('ref_axis',(1.,0.,0.)); #411354=DIRECTION('',(0.,0.,1.)); #411355=DIRECTION('center_axis',(0.,0.,-1.)); #411356=DIRECTION('ref_axis',(1.,0.,0.)); #411357=DIRECTION('center_axis',(0.,0.,1.)); #411358=DIRECTION('ref_axis',(1.,0.,0.)); #411359=DIRECTION('center_axis',(0.,0.,1.)); #411360=DIRECTION('ref_axis',(1.,0.,0.)); #411361=DIRECTION('',(0.,0.,1.)); #411362=DIRECTION('center_axis',(0.,0.,-1.)); #411363=DIRECTION('ref_axis',(1.,0.,0.)); #411364=DIRECTION('center_axis',(0.,0.,1.)); #411365=DIRECTION('ref_axis',(1.,0.,0.)); #411366=DIRECTION('center_axis',(0.,0.,1.)); #411367=DIRECTION('ref_axis',(1.,0.,0.)); #411368=DIRECTION('',(0.,0.,1.)); #411369=DIRECTION('center_axis',(0.,0.,-1.)); #411370=DIRECTION('ref_axis',(1.,0.,0.)); #411371=DIRECTION('center_axis',(0.19901064178937,-0.979997328799718,0.)); #411372=DIRECTION('ref_axis',(0.979997328799718,0.19901064178937,0.)); #411373=DIRECTION('',(0.979997328799718,0.19901064178937,0.)); #411374=DIRECTION('',(0.,0.,1.)); #411375=DIRECTION('',(0.979997328799718,0.19901064178937,0.)); #411376=DIRECTION('',(0.,0.,1.)); #411377=DIRECTION('center_axis',(0.,-1.,0.)); #411378=DIRECTION('ref_axis',(1.,0.,0.)); #411379=DIRECTION('',(1.,0.,0.)); #411380=DIRECTION('',(1.,0.,0.)); #411381=DIRECTION('',(0.,0.,1.)); #411382=DIRECTION('center_axis',(-0.19119486568871,-0.981552099144144,0.)); #411383=DIRECTION('ref_axis',(0.981552099144144,-0.19119486568871,0.)); #411384=DIRECTION('',(0.981552099144144,-0.19119486568871,0.)); #411385=DIRECTION('',(0.981552099144144,-0.19119486568871,0.)); #411386=DIRECTION('',(0.,0.,1.)); #411387=DIRECTION('center_axis',(-0.552218701081301,-0.833699290017738, 0.)); #411388=DIRECTION('ref_axis',(0.833699290017738,-0.552218701081301,0.)); #411389=DIRECTION('',(0.833699290017738,-0.552218701081301,0.)); #411390=DIRECTION('',(0.833699290017738,-0.552218701081301,0.)); #411391=DIRECTION('',(0.,0.,1.)); #411392=DIRECTION('center_axis',(-0.707106781186543,-0.707106781186552, 0.)); #411393=DIRECTION('ref_axis',(0.707106781186552,-0.707106781186543,0.)); #411394=DIRECTION('',(0.707106781186552,-0.707106781186543,0.)); #411395=DIRECTION('',(0.707106781186552,-0.707106781186543,0.)); #411396=DIRECTION('',(0.,0.,1.)); #411397=DIRECTION('center_axis',(-0.829264560351534,-0.558856232804982, 0.)); #411398=DIRECTION('ref_axis',(0.558856232804982,-0.829264560351534,0.)); #411399=DIRECTION('',(0.558856232804982,-0.829264560351534,0.)); #411400=DIRECTION('',(0.558856232804982,-0.829264560351534,0.)); #411401=DIRECTION('',(0.,0.,1.)); #411402=DIRECTION('center_axis',(-0.979997328799711,-0.199010641789404, 0.)); #411403=DIRECTION('ref_axis',(0.199010641789404,-0.979997328799711,0.)); #411404=DIRECTION('',(0.199010641789404,-0.979997328799711,0.)); #411405=DIRECTION('',(0.199010641789404,-0.979997328799711,0.)); #411406=DIRECTION('',(0.,0.,1.)); #411407=DIRECTION('center_axis',(-1.,0.,0.)); #411408=DIRECTION('ref_axis',(0.,-1.,0.)); #411409=DIRECTION('',(0.,-1.,0.)); #411410=DIRECTION('',(0.,-1.,0.)); #411411=DIRECTION('',(0.,0.,1.)); #411412=DIRECTION('center_axis',(-0.981552099144133,0.191194865688771,0.)); #411413=DIRECTION('ref_axis',(-0.191194865688771,-0.981552099144132,0.)); #411414=DIRECTION('',(-0.191194865688771,-0.981552099144132,0.)); #411415=DIRECTION('',(-0.191194865688771,-0.981552099144132,0.)); #411416=DIRECTION('',(0.,0.,1.)); #411417=DIRECTION('center_axis',(-0.833699290017772,0.55221870108125,0.)); #411418=DIRECTION('ref_axis',(-0.55221870108125,-0.833699290017772,0.)); #411419=DIRECTION('',(-0.55221870108125,-0.833699290017772,0.)); #411420=DIRECTION('',(-0.55221870108125,-0.833699290017772,0.)); #411421=DIRECTION('',(0.,0.,1.)); #411422=DIRECTION('center_axis',(-0.707106781186539,0.707106781186556,0.)); #411423=DIRECTION('ref_axis',(-0.707106781186556,-0.707106781186539,0.)); #411424=DIRECTION('',(-0.707106781186556,-0.707106781186539,0.)); #411425=DIRECTION('',(-0.707106781186556,-0.707106781186539,0.)); #411426=DIRECTION('',(0.,0.,1.)); #411427=DIRECTION('center_axis',(-0.558856232804962,0.829264560351548,0.)); #411428=DIRECTION('ref_axis',(-0.829264560351548,-0.558856232804962,0.)); #411429=DIRECTION('',(-0.829264560351548,-0.558856232804962,0.)); #411430=DIRECTION('',(-0.829264560351548,-0.558856232804962,0.)); #411431=DIRECTION('',(0.,0.,1.)); #411432=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #411433=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #411434=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #411435=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #411436=DIRECTION('',(0.,0.,1.)); #411437=DIRECTION('center_axis',(0.,1.,0.)); #411438=DIRECTION('ref_axis',(-1.,0.,0.)); #411439=DIRECTION('',(-1.,0.,0.)); #411440=DIRECTION('',(-1.,0.,0.)); #411441=DIRECTION('',(0.,0.,1.)); #411442=DIRECTION('center_axis',(0.191194865688754,0.981552099144136,0.)); #411443=DIRECTION('ref_axis',(-0.981552099144136,0.191194865688754,0.)); #411444=DIRECTION('',(-0.981552099144136,0.191194865688754,0.)); #411445=DIRECTION('',(-0.981552099144136,0.191194865688754,0.)); #411446=DIRECTION('',(0.,0.,1.)); #411447=DIRECTION('center_axis',(0.552218701081189,0.833699290017812,0.)); #411448=DIRECTION('ref_axis',(-0.833699290017812,0.552218701081189,0.)); #411449=DIRECTION('',(-0.833699290017812,0.552218701081189,0.)); #411450=DIRECTION('',(-0.833699290017812,0.552218701081189,0.)); #411451=DIRECTION('',(0.,0.,1.)); #411452=DIRECTION('center_axis',(0.707106781186539,0.707106781186556,0.)); #411453=DIRECTION('ref_axis',(-0.707106781186556,0.707106781186539,0.)); #411454=DIRECTION('',(-0.707106781186556,0.707106781186539,0.)); #411455=DIRECTION('',(-0.707106781186556,0.707106781186539,0.)); #411456=DIRECTION('',(0.,0.,1.)); #411457=DIRECTION('center_axis',(0.829264560351648,0.558856232804814,0.)); #411458=DIRECTION('ref_axis',(-0.558856232804814,0.829264560351648,0.)); #411459=DIRECTION('',(-0.558856232804814,0.829264560351648,0.)); #411460=DIRECTION('',(-0.558856232804814,0.829264560351648,0.)); #411461=DIRECTION('',(0.,0.,1.)); #411462=DIRECTION('center_axis',(0.979997328799706,0.19901064178943,0.)); #411463=DIRECTION('ref_axis',(-0.19901064178943,0.979997328799706,0.)); #411464=DIRECTION('',(-0.19901064178943,0.979997328799706,0.)); #411465=DIRECTION('',(-0.19901064178943,0.979997328799706,0.)); #411466=DIRECTION('',(0.,0.,1.)); #411467=DIRECTION('center_axis',(1.,0.,0.)); #411468=DIRECTION('ref_axis',(0.,1.,0.)); #411469=DIRECTION('',(0.,1.,0.)); #411470=DIRECTION('',(0.,1.,0.)); #411471=DIRECTION('',(0.,0.,1.)); #411472=DIRECTION('center_axis',(0.981552099144139,-0.191194865688736,0.)); #411473=DIRECTION('ref_axis',(0.191194865688736,0.981552099144139,0.)); #411474=DIRECTION('',(0.191194865688736,0.981552099144139,0.)); #411475=DIRECTION('',(0.191194865688736,0.981552099144139,0.)); #411476=DIRECTION('',(0.,0.,1.)); #411477=DIRECTION('center_axis',(0.833699290017812,-0.552218701081189,0.)); #411478=DIRECTION('ref_axis',(0.552218701081189,0.833699290017812,0.)); #411479=DIRECTION('',(0.552218701081189,0.833699290017812,0.)); #411480=DIRECTION('',(0.552218701081189,0.833699290017812,0.)); #411481=DIRECTION('',(0.,0.,1.)); #411482=DIRECTION('center_axis',(0.707106781186543,-0.707106781186552,0.)); #411483=DIRECTION('ref_axis',(0.707106781186552,0.707106781186543,0.)); #411484=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #411485=DIRECTION('',(0.707106781186552,0.707106781186543,0.)); #411486=DIRECTION('',(0.,0.,1.)); #411487=DIRECTION('center_axis',(0.558856232804908,-0.829264560351584,0.)); #411488=DIRECTION('ref_axis',(0.829264560351584,0.558856232804908,0.)); #411489=DIRECTION('',(0.829264560351584,0.558856232804908,0.)); #411490=DIRECTION('',(0.829264560351584,0.558856232804908,0.)); #411491=DIRECTION('center_axis',(0.,0.,1.)); #411492=DIRECTION('ref_axis',(1.,0.,0.)); #411493=DIRECTION('center_axis',(0.,0.,1.)); #411494=DIRECTION('ref_axis',(1.,0.,0.)); #411495=DIRECTION('center_axis',(0.,0.,1.)); #411496=DIRECTION('ref_axis',(1.,0.,0.)); #411497=DIRECTION('center_axis',(0.,0.,1.)); #411498=DIRECTION('ref_axis',(1.,0.,0.)); #411499=DIRECTION('',(0.,0.,1.)); #411500=DIRECTION('center_axis',(0.,0.,-1.)); #411501=DIRECTION('ref_axis',(1.,0.,0.)); #411502=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #411503=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #411504=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #411505=DIRECTION('',(0.,0.,1.)); #411506=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #411507=DIRECTION('',(0.,0.,1.)); #411508=DIRECTION('center_axis',(0.,0.,1.)); #411509=DIRECTION('ref_axis',(-0.233449433189585,0.972368943428091,0.)); #411510=DIRECTION('center_axis',(0.,0.,1.)); #411511=DIRECTION('ref_axis',(-0.233449433189585,0.972368943428091,0.)); #411512=DIRECTION('center_axis',(0.,0.,1.)); #411513=DIRECTION('ref_axis',(-0.233449433189585,0.972368943428091,0.)); #411514=DIRECTION('',(0.,0.,1.)); #411515=DIRECTION('center_axis',(0.,0.,1.)); #411516=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #411517=DIRECTION('center_axis',(0.,0.,1.)); #411518=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #411519=DIRECTION('center_axis',(0.,0.,1.)); #411520=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #411521=DIRECTION('center_axis',(0.,0.,1.)); #411522=DIRECTION('ref_axis',(1.,0.,0.)); #411523=DIRECTION('center_axis',(0.,0.,1.)); #411524=DIRECTION('ref_axis',(1.,0.,0.)); #411525=DIRECTION('center_axis',(0.,0.,1.)); #411526=DIRECTION('ref_axis',(1.,0.,0.)); #411527=DIRECTION('center_axis',(0.,0.,1.)); #411528=DIRECTION('ref_axis',(1.,0.,0.)); #411529=DIRECTION('',(0.,0.,1.)); #411530=DIRECTION('center_axis',(0.,0.,-1.)); #411531=DIRECTION('ref_axis',(1.,0.,0.)); #411532=DIRECTION('center_axis',(0.,0.,1.)); #411533=DIRECTION('ref_axis',(1.,0.,0.)); #411534=DIRECTION('center_axis',(0.,0.,1.)); #411535=DIRECTION('ref_axis',(1.,0.,0.)); #411536=DIRECTION('',(0.,0.,1.)); #411537=DIRECTION('center_axis',(0.,0.,-1.)); #411538=DIRECTION('ref_axis',(1.,0.,0.)); #411539=DIRECTION('center_axis',(0.,0.,1.)); #411540=DIRECTION('ref_axis',(1.,0.,0.)); #411541=DIRECTION('center_axis',(0.,0.,1.)); #411542=DIRECTION('ref_axis',(1.,0.,0.)); #411543=DIRECTION('',(0.,0.,1.)); #411544=DIRECTION('center_axis',(0.,0.,-1.)); #411545=DIRECTION('ref_axis',(1.,0.,0.)); #411546=DIRECTION('center_axis',(0.,0.,1.)); #411547=DIRECTION('ref_axis',(1.,0.,0.)); #411548=DIRECTION('center_axis',(0.,0.,1.)); #411549=DIRECTION('ref_axis',(1.,0.,0.)); #411550=DIRECTION('',(0.,0.,1.)); #411551=DIRECTION('center_axis',(0.,0.,-1.)); #411552=DIRECTION('ref_axis',(1.,0.,0.)); #411553=DIRECTION('center_axis',(0.,0.,1.)); #411554=DIRECTION('ref_axis',(1.,0.,0.)); #411555=DIRECTION('center_axis',(0.,0.,1.)); #411556=DIRECTION('ref_axis',(1.,0.,0.)); #411557=DIRECTION('',(0.,0.,1.)); #411558=DIRECTION('center_axis',(0.,0.,-1.)); #411559=DIRECTION('ref_axis',(1.,0.,0.)); #411560=DIRECTION('center_axis',(0.,0.,1.)); #411561=DIRECTION('ref_axis',(1.,0.,0.)); #411562=DIRECTION('center_axis',(0.,0.,1.)); #411563=DIRECTION('ref_axis',(1.,0.,0.)); #411564=DIRECTION('',(0.,0.,1.)); #411565=DIRECTION('center_axis',(0.,0.,-1.)); #411566=DIRECTION('ref_axis',(1.,0.,0.)); #411567=DIRECTION('center_axis',(0.,0.,1.)); #411568=DIRECTION('ref_axis',(1.,0.,0.)); #411569=DIRECTION('center_axis',(0.,0.,1.)); #411570=DIRECTION('ref_axis',(1.,0.,0.)); #411571=DIRECTION('',(0.,0.,1.)); #411572=DIRECTION('center_axis',(0.,0.,-1.)); #411573=DIRECTION('ref_axis',(1.,0.,0.)); #411574=DIRECTION('center_axis',(0.,0.,1.)); #411575=DIRECTION('ref_axis',(1.,0.,0.)); #411576=DIRECTION('center_axis',(0.,0.,1.)); #411577=DIRECTION('ref_axis',(1.,0.,0.)); #411578=DIRECTION('',(0.,0.,1.)); #411579=DIRECTION('center_axis',(0.,0.,-1.)); #411580=DIRECTION('ref_axis',(1.,0.,0.)); #411581=DIRECTION('center_axis',(0.,0.,1.)); #411582=DIRECTION('ref_axis',(1.,0.,0.)); #411583=DIRECTION('center_axis',(0.,0.,1.)); #411584=DIRECTION('ref_axis',(1.,0.,0.)); #411585=DIRECTION('',(0.,0.,1.)); #411586=DIRECTION('center_axis',(0.,0.,-1.)); #411587=DIRECTION('ref_axis',(1.,0.,0.)); #411588=DIRECTION('center_axis',(0.,0.,1.)); #411589=DIRECTION('ref_axis',(1.,0.,0.)); #411590=DIRECTION('center_axis',(0.,0.,1.)); #411591=DIRECTION('ref_axis',(1.,0.,0.)); #411592=DIRECTION('',(0.,0.,1.)); #411593=DIRECTION('center_axis',(0.,0.,-1.)); #411594=DIRECTION('ref_axis',(1.,0.,0.)); #411595=DIRECTION('center_axis',(0.,0.,1.)); #411596=DIRECTION('ref_axis',(1.,0.,0.)); #411597=DIRECTION('center_axis',(0.,0.,1.)); #411598=DIRECTION('ref_axis',(1.,0.,0.)); #411599=DIRECTION('',(0.,0.,1.)); #411600=DIRECTION('center_axis',(0.,0.,-1.)); #411601=DIRECTION('ref_axis',(1.,0.,0.)); #411602=DIRECTION('center_axis',(0.,0.,1.)); #411603=DIRECTION('ref_axis',(1.,0.,0.)); #411604=DIRECTION('center_axis',(0.,0.,1.)); #411605=DIRECTION('ref_axis',(1.,0.,0.)); #411606=DIRECTION('',(0.,0.,1.)); #411607=DIRECTION('center_axis',(0.,0.,-1.)); #411608=DIRECTION('ref_axis',(1.,0.,0.)); #411609=DIRECTION('center_axis',(0.,0.,1.)); #411610=DIRECTION('ref_axis',(1.,0.,0.)); #411611=DIRECTION('center_axis',(0.,0.,1.)); #411612=DIRECTION('ref_axis',(1.,0.,0.)); #411613=DIRECTION('',(0.,0.,1.)); #411614=DIRECTION('center_axis',(0.,0.,-1.)); #411615=DIRECTION('ref_axis',(1.,0.,0.)); #411616=DIRECTION('center_axis',(0.,0.,1.)); #411617=DIRECTION('ref_axis',(1.,0.,0.)); #411618=DIRECTION('center_axis',(0.,0.,1.)); #411619=DIRECTION('ref_axis',(1.,0.,0.)); #411620=DIRECTION('',(0.,0.,1.)); #411621=DIRECTION('center_axis',(0.,0.,-1.)); #411622=DIRECTION('ref_axis',(1.,0.,0.)); #411623=DIRECTION('center_axis',(0.,0.,1.)); #411624=DIRECTION('ref_axis',(1.,0.,0.)); #411625=DIRECTION('center_axis',(0.,0.,1.)); #411626=DIRECTION('ref_axis',(1.,0.,0.)); #411627=DIRECTION('',(0.,0.,1.)); #411628=DIRECTION('center_axis',(0.,0.,-1.)); #411629=DIRECTION('ref_axis',(1.,0.,0.)); #411630=DIRECTION('center_axis',(0.,0.,1.)); #411631=DIRECTION('ref_axis',(1.,0.,0.)); #411632=DIRECTION('center_axis',(0.,0.,1.)); #411633=DIRECTION('ref_axis',(1.,0.,0.)); #411634=DIRECTION('',(0.,0.,1.)); #411635=DIRECTION('center_axis',(0.,0.,-1.)); #411636=DIRECTION('ref_axis',(1.,0.,0.)); #411637=DIRECTION('center_axis',(0.,0.,1.)); #411638=DIRECTION('ref_axis',(1.,0.,0.)); #411639=DIRECTION('center_axis',(0.,0.,1.)); #411640=DIRECTION('ref_axis',(1.,0.,0.)); #411641=DIRECTION('',(0.,0.,1.)); #411642=DIRECTION('center_axis',(0.,0.,-1.)); #411643=DIRECTION('ref_axis',(1.,0.,0.)); #411644=DIRECTION('center_axis',(0.,0.,1.)); #411645=DIRECTION('ref_axis',(1.,0.,0.)); #411646=DIRECTION('center_axis',(0.,0.,1.)); #411647=DIRECTION('ref_axis',(1.,0.,0.)); #411648=DIRECTION('',(0.,0.,1.)); #411649=DIRECTION('center_axis',(0.,0.,-1.)); #411650=DIRECTION('ref_axis',(1.,0.,0.)); #411651=DIRECTION('center_axis',(0.,0.,1.)); #411652=DIRECTION('ref_axis',(1.,0.,0.)); #411653=DIRECTION('center_axis',(0.,0.,1.)); #411654=DIRECTION('ref_axis',(1.,0.,0.)); #411655=DIRECTION('',(0.,0.,1.)); #411656=DIRECTION('center_axis',(0.,0.,-1.)); #411657=DIRECTION('ref_axis',(1.,0.,0.)); #411658=DIRECTION('center_axis',(0.,0.,1.)); #411659=DIRECTION('ref_axis',(1.,0.,0.)); #411660=DIRECTION('center_axis',(0.,0.,1.)); #411661=DIRECTION('ref_axis',(1.,0.,0.)); #411662=DIRECTION('',(0.,0.,1.)); #411663=DIRECTION('center_axis',(0.,0.,-1.)); #411664=DIRECTION('ref_axis',(1.,0.,0.)); #411665=DIRECTION('center_axis',(0.,0.,1.)); #411666=DIRECTION('ref_axis',(1.,0.,0.)); #411667=DIRECTION('center_axis',(0.,0.,1.)); #411668=DIRECTION('ref_axis',(1.,0.,0.)); #411669=DIRECTION('',(0.,0.,1.)); #411670=DIRECTION('center_axis',(0.,0.,-1.)); #411671=DIRECTION('ref_axis',(1.,0.,0.)); #411672=DIRECTION('center_axis',(0.,0.,1.)); #411673=DIRECTION('ref_axis',(1.,0.,0.)); #411674=DIRECTION('center_axis',(0.,0.,1.)); #411675=DIRECTION('ref_axis',(1.,0.,0.)); #411676=DIRECTION('',(0.,0.,1.)); #411677=DIRECTION('center_axis',(0.,0.,-1.)); #411678=DIRECTION('ref_axis',(1.,0.,0.)); #411679=DIRECTION('center_axis',(0.,0.,1.)); #411680=DIRECTION('ref_axis',(1.,0.,0.)); #411681=DIRECTION('center_axis',(0.,0.,1.)); #411682=DIRECTION('ref_axis',(1.,0.,0.)); #411683=DIRECTION('',(0.,0.,1.)); #411684=DIRECTION('center_axis',(0.,0.,-1.)); #411685=DIRECTION('ref_axis',(1.,0.,0.)); #411686=DIRECTION('center_axis',(0.,0.,1.)); #411687=DIRECTION('ref_axis',(1.,0.,0.)); #411688=DIRECTION('center_axis',(0.,0.,1.)); #411689=DIRECTION('ref_axis',(1.,0.,0.)); #411690=DIRECTION('',(0.,0.,1.)); #411691=DIRECTION('center_axis',(0.,0.,-1.)); #411692=DIRECTION('ref_axis',(1.,0.,0.)); #411693=DIRECTION('center_axis',(0.,0.,1.)); #411694=DIRECTION('ref_axis',(1.,0.,0.)); #411695=DIRECTION('center_axis',(0.,0.,1.)); #411696=DIRECTION('ref_axis',(1.,0.,0.)); #411697=DIRECTION('',(0.,0.,1.)); #411698=DIRECTION('center_axis',(0.,0.,-1.)); #411699=DIRECTION('ref_axis',(1.,0.,0.)); #411700=DIRECTION('center_axis',(0.,0.,1.)); #411701=DIRECTION('ref_axis',(1.,0.,0.)); #411702=DIRECTION('center_axis',(0.,0.,1.)); #411703=DIRECTION('ref_axis',(1.,0.,0.)); #411704=DIRECTION('',(0.,0.,1.)); #411705=DIRECTION('center_axis',(0.,0.,-1.)); #411706=DIRECTION('ref_axis',(1.,0.,0.)); #411707=DIRECTION('center_axis',(0.,0.,1.)); #411708=DIRECTION('ref_axis',(1.,0.,0.)); #411709=DIRECTION('center_axis',(0.,0.,1.)); #411710=DIRECTION('ref_axis',(1.,0.,0.)); #411711=DIRECTION('',(0.,0.,1.)); #411712=DIRECTION('center_axis',(0.,0.,-1.)); #411713=DIRECTION('ref_axis',(1.,0.,0.)); #411714=DIRECTION('center_axis',(0.,0.,1.)); #411715=DIRECTION('ref_axis',(1.,0.,0.)); #411716=DIRECTION('center_axis',(0.,0.,1.)); #411717=DIRECTION('ref_axis',(1.,0.,0.)); #411718=DIRECTION('',(0.,0.,1.)); #411719=DIRECTION('center_axis',(0.,0.,-1.)); #411720=DIRECTION('ref_axis',(1.,0.,0.)); #411721=DIRECTION('center_axis',(0.,0.,1.)); #411722=DIRECTION('ref_axis',(1.,0.,0.)); #411723=DIRECTION('center_axis',(0.,0.,1.)); #411724=DIRECTION('ref_axis',(1.,0.,0.)); #411725=DIRECTION('',(0.,0.,1.)); #411726=DIRECTION('center_axis',(0.,0.,-1.)); #411727=DIRECTION('ref_axis',(1.,0.,0.)); #411728=DIRECTION('center_axis',(0.,0.,1.)); #411729=DIRECTION('ref_axis',(1.,0.,0.)); #411730=DIRECTION('center_axis',(0.,0.,1.)); #411731=DIRECTION('ref_axis',(1.,0.,0.)); #411732=DIRECTION('',(0.,0.,1.)); #411733=DIRECTION('center_axis',(0.,0.,-1.)); #411734=DIRECTION('ref_axis',(1.,0.,0.)); #411735=DIRECTION('center_axis',(0.199010641789244,-0.979997328799744,0.)); #411736=DIRECTION('ref_axis',(0.979997328799744,0.199010641789244,0.)); #411737=DIRECTION('',(0.979997328799744,0.199010641789244,0.)); #411738=DIRECTION('',(0.,0.,1.)); #411739=DIRECTION('',(0.979997328799744,0.199010641789244,0.)); #411740=DIRECTION('',(0.,0.,1.)); #411741=DIRECTION('center_axis',(0.,-1.,0.)); #411742=DIRECTION('ref_axis',(1.,0.,0.)); #411743=DIRECTION('',(1.,0.,0.)); #411744=DIRECTION('',(1.,0.,0.)); #411745=DIRECTION('',(0.,0.,1.)); #411746=DIRECTION('center_axis',(-0.191194865688612,-0.981552099144164, 0.)); #411747=DIRECTION('ref_axis',(0.981552099144164,-0.191194865688612,0.)); #411748=DIRECTION('',(0.981552099144164,-0.191194865688612,0.)); #411749=DIRECTION('',(0.981552099144164,-0.191194865688612,0.)); #411750=DIRECTION('',(0.,0.,1.)); #411751=DIRECTION('center_axis',(-0.55221870108125,-0.833699290017772,0.)); #411752=DIRECTION('ref_axis',(0.833699290017772,-0.55221870108125,0.)); #411753=DIRECTION('',(0.833699290017772,-0.55221870108125,0.)); #411754=DIRECTION('',(0.833699290017772,-0.55221870108125,0.)); #411755=DIRECTION('',(0.,0.,1.)); #411756=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #411757=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #411758=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #411759=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #411760=DIRECTION('',(0.,0.,1.)); #411761=DIRECTION('center_axis',(-0.600315735780258,-0.799763100783356, 0.)); #411762=DIRECTION('ref_axis',(0.799763100783355,-0.600315735780258,0.)); #411763=DIRECTION('',(0.799763100783355,-0.600315735780258,0.)); #411764=DIRECTION('',(0.799763100783355,-0.600315735780258,0.)); #411765=DIRECTION('',(0.,0.,1.)); #411766=DIRECTION('center_axis',(-0.125280629073933,-0.99212134539019,0.)); #411767=DIRECTION('ref_axis',(0.99212134539019,-0.125280629073933,0.)); #411768=DIRECTION('',(0.99212134539019,-0.125280629073933,0.)); #411769=DIRECTION('',(0.99212134539019,-0.125280629073933,0.)); #411770=DIRECTION('',(0.,0.,1.)); #411771=DIRECTION('center_axis',(0.,-1.,0.)); #411772=DIRECTION('ref_axis',(1.,0.,0.)); #411773=DIRECTION('',(1.,0.,0.)); #411774=DIRECTION('',(1.,0.,0.)); #411775=DIRECTION('',(0.,0.,1.)); #411776=DIRECTION('center_axis',(-0.191194865688754,-0.981552099144136, 0.)); #411777=DIRECTION('ref_axis',(0.981552099144136,-0.191194865688754,0.)); #411778=DIRECTION('',(0.981552099144136,-0.191194865688754,0.)); #411779=DIRECTION('',(0.981552099144136,-0.191194865688754,0.)); #411780=DIRECTION('',(0.,0.,1.)); #411781=DIRECTION('center_axis',(-0.552218701081331,-0.833699290017718, 0.)); #411782=DIRECTION('ref_axis',(0.833699290017718,-0.552218701081331,0.)); #411783=DIRECTION('',(0.833699290017718,-0.552218701081331,0.)); #411784=DIRECTION('',(0.833699290017718,-0.552218701081331,0.)); #411785=DIRECTION('',(0.,0.,1.)); #411786=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #411787=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #411788=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #411789=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #411790=DIRECTION('',(0.,0.,1.)); #411791=DIRECTION('center_axis',(-0.829264560351506,-0.558856232805025, 0.)); #411792=DIRECTION('ref_axis',(0.558856232805025,-0.829264560351506,0.)); #411793=DIRECTION('',(0.558856232805025,-0.829264560351506,0.)); #411794=DIRECTION('',(0.558856232805025,-0.829264560351506,0.)); #411795=DIRECTION('',(0.,0.,1.)); #411796=DIRECTION('center_axis',(-0.97999732879971,-0.199010641789413,0.)); #411797=DIRECTION('ref_axis',(0.199010641789413,-0.97999732879971,0.)); #411798=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #411799=DIRECTION('',(0.199010641789413,-0.97999732879971,0.)); #411800=DIRECTION('',(0.,0.,1.)); #411801=DIRECTION('center_axis',(-1.,0.,0.)); #411802=DIRECTION('ref_axis',(0.,-1.,0.)); #411803=DIRECTION('',(0.,-1.,0.)); #411804=DIRECTION('',(0.,-1.,0.)); #411805=DIRECTION('',(0.,0.,1.)); #411806=DIRECTION('center_axis',(-0.983050395657576,0.183335538282908,0.)); #411807=DIRECTION('ref_axis',(-0.183335538282908,-0.983050395657576,0.)); #411808=DIRECTION('',(-0.183335538282908,-0.983050395657576,0.)); #411809=DIRECTION('',(-0.183335538282908,-0.983050395657576,0.)); #411810=DIRECTION('',(0.,0.,1.)); #411811=DIRECTION('center_axis',(-0.842360495470256,0.538914460439786,0.)); #411812=DIRECTION('ref_axis',(-0.538914460439786,-0.842360495470256,0.)); #411813=DIRECTION('',(-0.538914460439786,-0.842360495470256,0.)); #411814=DIRECTION('',(-0.538914460439786,-0.842360495470256,0.)); #411815=DIRECTION('',(0.,0.,1.)); #411816=DIRECTION('center_axis',(-0.565473758099632,0.824766287441891,0.)); #411817=DIRECTION('ref_axis',(-0.824766287441891,-0.565473758099632,0.)); #411818=DIRECTION('',(-0.824766287441891,-0.565473758099632,0.)); #411819=DIRECTION('',(-0.824766287441891,-0.565473758099632,0.)); #411820=DIRECTION('',(0.,0.,1.)); #411821=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #411822=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #411823=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #411824=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #411825=DIRECTION('',(0.,0.,1.)); #411826=DIRECTION('center_axis',(0.,1.,0.)); #411827=DIRECTION('ref_axis',(-1.,0.,0.)); #411828=DIRECTION('',(-1.,0.,0.)); #411829=DIRECTION('',(-1.,0.,0.)); #411830=DIRECTION('',(0.,0.,1.)); #411831=DIRECTION('center_axis',(0.1911809404039,0.981554811524186,0.)); #411832=DIRECTION('ref_axis',(-0.981554811524186,0.1911809404039,0.)); #411833=DIRECTION('',(-0.981554811524186,0.1911809404039,0.)); #411834=DIRECTION('',(-0.981554811524186,0.1911809404039,0.)); #411835=DIRECTION('',(0.,0.,1.)); #411836=DIRECTION('center_axis',(0.,1.,0.)); #411837=DIRECTION('ref_axis',(-1.,0.,0.)); #411838=DIRECTION('',(-1.,0.,0.)); #411839=DIRECTION('',(-1.,0.,0.)); #411840=DIRECTION('',(0.,0.,1.)); #411841=DIRECTION('center_axis',(-0.199017616334582,0.979995912434588,0.)); #411842=DIRECTION('ref_axis',(-0.979995912434588,-0.199017616334582,0.)); #411843=DIRECTION('',(-0.979995912434588,-0.199017616334582,0.)); #411844=DIRECTION('',(-0.979995912434588,-0.199017616334582,0.)); #411845=DIRECTION('',(0.,0.,1.)); #411846=DIRECTION('center_axis',(0.,1.,0.)); #411847=DIRECTION('ref_axis',(-1.,0.,0.)); #411848=DIRECTION('',(-1.,0.,0.)); #411849=DIRECTION('',(-1.,0.,0.)); #411850=DIRECTION('',(0.,0.,1.)); #411851=DIRECTION('center_axis',(0.1911809404039,0.981554811524186,0.)); #411852=DIRECTION('ref_axis',(-0.981554811524186,0.1911809404039,0.)); #411853=DIRECTION('',(-0.981554811524186,0.1911809404039,0.)); #411854=DIRECTION('',(-0.981554811524186,0.1911809404039,0.)); #411855=DIRECTION('',(0.,0.,1.)); #411856=DIRECTION('center_axis',(0.,1.,0.)); #411857=DIRECTION('ref_axis',(-1.,0.,0.)); #411858=DIRECTION('',(-1.,0.,0.)); #411859=DIRECTION('',(-1.,0.,0.)); #411860=DIRECTION('',(0.,0.,1.)); #411861=DIRECTION('center_axis',(-0.199017616334582,0.979995912434588,0.)); #411862=DIRECTION('ref_axis',(-0.979995912434588,-0.199017616334582,0.)); #411863=DIRECTION('',(-0.979995912434588,-0.199017616334582,0.)); #411864=DIRECTION('',(-0.979995912434588,-0.199017616334582,0.)); #411865=DIRECTION('',(0.,0.,1.)); #411866=DIRECTION('center_axis',(0.,1.,0.)); #411867=DIRECTION('ref_axis',(-1.,0.,0.)); #411868=DIRECTION('',(-1.,0.,0.)); #411869=DIRECTION('',(-1.,0.,0.)); #411870=DIRECTION('',(0.,0.,1.)); #411871=DIRECTION('center_axis',(0.1911809404039,0.981554811524186,0.)); #411872=DIRECTION('ref_axis',(-0.981554811524186,0.1911809404039,0.)); #411873=DIRECTION('',(-0.981554811524186,0.1911809404039,0.)); #411874=DIRECTION('',(-0.981554811524186,0.1911809404039,0.)); #411875=DIRECTION('',(0.,0.,1.)); #411876=DIRECTION('center_axis',(0.,1.,0.)); #411877=DIRECTION('ref_axis',(-1.,0.,0.)); #411878=DIRECTION('',(-1.,0.,0.)); #411879=DIRECTION('',(-1.,0.,0.)); #411880=DIRECTION('',(0.,0.,1.)); #411881=DIRECTION('center_axis',(-0.199017616334439,0.979995912434617,0.)); #411882=DIRECTION('ref_axis',(-0.979995912434617,-0.199017616334439,0.)); #411883=DIRECTION('',(-0.979995912434617,-0.199017616334439,0.)); #411884=DIRECTION('',(-0.979995912434617,-0.199017616334439,0.)); #411885=DIRECTION('',(0.,0.,1.)); #411886=DIRECTION('center_axis',(0.,1.,0.)); #411887=DIRECTION('ref_axis',(-1.,0.,0.)); #411888=DIRECTION('',(-1.,0.,0.)); #411889=DIRECTION('',(-1.,0.,0.)); #411890=DIRECTION('',(0.,0.,1.)); #411891=DIRECTION('center_axis',(0.191180940403768,0.981554811524212,0.)); #411892=DIRECTION('ref_axis',(-0.981554811524212,0.191180940403768,0.)); #411893=DIRECTION('',(-0.981554811524212,0.191180940403768,0.)); #411894=DIRECTION('',(-0.981554811524212,0.191180940403768,0.)); #411895=DIRECTION('',(0.,0.,1.)); #411896=DIRECTION('center_axis',(0.,1.,0.)); #411897=DIRECTION('ref_axis',(-1.,0.,0.)); #411898=DIRECTION('',(-1.,0.,0.)); #411899=DIRECTION('',(-1.,0.,0.)); #411900=DIRECTION('',(0.,0.,1.)); #411901=DIRECTION('center_axis',(-0.199001444417704,0.979999196489297,0.)); #411902=DIRECTION('ref_axis',(-0.979999196489297,-0.199001444417704,0.)); #411903=DIRECTION('',(-0.979999196489297,-0.199001444417704,0.)); #411904=DIRECTION('',(-0.979999196489297,-0.199001444417704,0.)); #411905=DIRECTION('',(0.,0.,1.)); #411906=DIRECTION('center_axis',(0.,1.,0.)); #411907=DIRECTION('ref_axis',(-1.,0.,0.)); #411908=DIRECTION('',(-1.,0.,0.)); #411909=DIRECTION('',(-1.,0.,0.)); #411910=DIRECTION('',(0.,0.,1.)); #411911=DIRECTION('center_axis',(0.191194865688754,0.981552099144136,0.)); #411912=DIRECTION('ref_axis',(-0.981552099144136,0.191194865688754,0.)); #411913=DIRECTION('',(-0.981552099144136,0.191194865688754,0.)); #411914=DIRECTION('',(-0.981552099144136,0.191194865688754,0.)); #411915=DIRECTION('',(0.,0.,1.)); #411916=DIRECTION('center_axis',(0.552218701081128,0.833699290017853,0.)); #411917=DIRECTION('ref_axis',(-0.833699290017853,0.552218701081128,0.)); #411918=DIRECTION('',(-0.833699290017853,0.552218701081128,0.)); #411919=DIRECTION('',(-0.833699290017853,0.552218701081128,0.)); #411920=DIRECTION('',(0.,0.,1.)); #411921=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #411922=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #411923=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #411924=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #411925=DIRECTION('',(0.,0.,1.)); #411926=DIRECTION('center_axis',(0.829264560351648,0.558856232804814,0.)); #411927=DIRECTION('ref_axis',(-0.558856232804814,0.829264560351648,0.)); #411928=DIRECTION('',(-0.558856232804814,0.829264560351648,0.)); #411929=DIRECTION('',(-0.558856232804814,0.829264560351648,0.)); #411930=DIRECTION('',(0.,0.,1.)); #411931=DIRECTION('center_axis',(0.97999732879971,0.199010641789413,0.)); #411932=DIRECTION('ref_axis',(-0.199010641789413,0.97999732879971,0.)); #411933=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #411934=DIRECTION('',(-0.199010641789413,0.97999732879971,0.)); #411935=DIRECTION('',(0.,0.,1.)); #411936=DIRECTION('center_axis',(1.,0.,0.)); #411937=DIRECTION('ref_axis',(0.,1.,0.)); #411938=DIRECTION('',(0.,1.,0.)); #411939=DIRECTION('',(0.,1.,0.)); #411940=DIRECTION('',(0.,0.,1.)); #411941=DIRECTION('center_axis',(0.981552099144129,-0.191194865688788,0.)); #411942=DIRECTION('ref_axis',(0.191194865688788,0.981552099144129,0.)); #411943=DIRECTION('',(0.191194865688788,0.981552099144129,0.)); #411944=DIRECTION('',(0.191194865688788,0.981552099144129,0.)); #411945=DIRECTION('',(0.,0.,1.)); #411946=DIRECTION('center_axis',(0.833699290017853,-0.552218701081128,0.)); #411947=DIRECTION('ref_axis',(0.552218701081128,0.833699290017853,0.)); #411948=DIRECTION('',(0.552218701081128,0.833699290017853,0.)); #411949=DIRECTION('',(0.552218701081128,0.833699290017853,0.)); #411950=DIRECTION('',(0.,0.,1.)); #411951=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #411952=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #411953=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #411954=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #411955=DIRECTION('',(0.,0.,1.)); #411956=DIRECTION('center_axis',(0.55885623280494,-0.829264560351563,0.)); #411957=DIRECTION('ref_axis',(0.829264560351563,0.55885623280494,0.)); #411958=DIRECTION('',(0.829264560351563,0.55885623280494,0.)); #411959=DIRECTION('',(0.829264560351563,0.55885623280494,0.)); #411960=DIRECTION('center_axis',(0.,0.,1.)); #411961=DIRECTION('ref_axis',(1.,0.,0.)); #411962=DIRECTION('center_axis',(0.,0.,1.)); #411963=DIRECTION('ref_axis',(1.,0.,0.)); #411964=DIRECTION('center_axis',(0.,0.,1.)); #411965=DIRECTION('ref_axis',(1.,0.,0.)); #411966=DIRECTION('center_axis',(0.,0.,1.)); #411967=DIRECTION('ref_axis',(1.,0.,0.)); #411968=DIRECTION('',(0.,0.,1.)); #411969=DIRECTION('center_axis',(0.,0.,-1.)); #411970=DIRECTION('ref_axis',(1.,0.,0.)); #411971=DIRECTION('center_axis',(0.,0.,1.)); #411972=DIRECTION('ref_axis',(1.,0.,0.)); #411973=DIRECTION('center_axis',(0.,0.,1.)); #411974=DIRECTION('ref_axis',(1.,0.,0.)); #411975=DIRECTION('',(0.,0.,1.)); #411976=DIRECTION('center_axis',(0.,0.,-1.)); #411977=DIRECTION('ref_axis',(1.,0.,0.)); #411978=DIRECTION('center_axis',(0.156447762252248,-0.987686234431899,0.)); #411979=DIRECTION('ref_axis',(0.987686234431899,0.156447762252248,0.)); #411980=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #411981=DIRECTION('',(0.,0.,1.)); #411982=DIRECTION('',(0.987686234431899,0.156447762252248,0.)); #411983=DIRECTION('',(0.,0.,1.)); #411984=DIRECTION('center_axis',(0.,0.,1.)); #411985=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #411986=DIRECTION('center_axis',(0.,0.,1.)); #411987=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #411988=DIRECTION('center_axis',(0.,0.,1.)); #411989=DIRECTION('ref_axis',(-0.461527865934845,0.887125712041775,0.)); #411990=DIRECTION('',(0.,0.,1.)); #411991=DIRECTION('center_axis',(-1.,0.,0.)); #411992=DIRECTION('ref_axis',(0.,-1.,0.)); #411993=DIRECTION('',(0.,-1.,0.)); #411994=DIRECTION('',(0.,-1.,0.)); #411995=DIRECTION('',(0.,0.,1.)); #411996=DIRECTION('center_axis',(0.,0.,1.)); #411997=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #411998=DIRECTION('center_axis',(0.,0.,1.)); #411999=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #412000=DIRECTION('center_axis',(0.,0.,1.)); #412001=DIRECTION('ref_axis',(-0.383337197223975,0.923608463161998,0.)); #412002=DIRECTION('',(0.,0.,1.)); #412003=DIRECTION('center_axis',(0.,0.,1.)); #412004=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #412005=DIRECTION('center_axis',(0.,0.,1.)); #412006=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #412007=DIRECTION('center_axis',(0.,0.,1.)); #412008=DIRECTION('ref_axis',(0.461743352889796,-0.887013571520802,0.)); #412009=DIRECTION('',(0.,0.,1.)); #412010=DIRECTION('center_axis',(1.,0.,0.)); #412011=DIRECTION('ref_axis',(0.,1.,0.)); #412012=DIRECTION('',(0.,1.,0.)); #412013=DIRECTION('',(0.,1.,0.)); #412014=DIRECTION('',(0.,0.,1.)); #412015=DIRECTION('center_axis',(0.,0.,1.)); #412016=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #412017=DIRECTION('center_axis',(0.,0.,1.)); #412018=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #412019=DIRECTION('center_axis',(0.,0.,1.)); #412020=DIRECTION('ref_axis',(0.234004121468808,-0.972235604745893,0.)); #412021=DIRECTION('center_axis',(0.,0.,1.)); #412022=DIRECTION('ref_axis',(1.,0.,0.)); #412023=DIRECTION('center_axis',(0.,0.,1.)); #412024=DIRECTION('ref_axis',(1.,0.,0.)); #412025=DIRECTION('center_axis',(0.,0.,1.)); #412026=DIRECTION('ref_axis',(1.,0.,0.)); #412027=DIRECTION('center_axis',(0.,0.,1.)); #412028=DIRECTION('ref_axis',(1.,0.,0.)); #412029=DIRECTION('',(0.,0.,1.)); #412030=DIRECTION('center_axis',(0.,0.,-1.)); #412031=DIRECTION('ref_axis',(1.,0.,0.)); #412032=DIRECTION('center_axis',(0.156447762252298,-0.987686234431891,0.)); #412033=DIRECTION('ref_axis',(0.987686234431891,0.156447762252298,0.)); #412034=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #412035=DIRECTION('',(0.,0.,1.)); #412036=DIRECTION('',(0.987686234431891,0.156447762252298,0.)); #412037=DIRECTION('',(0.,0.,1.)); #412038=DIRECTION('center_axis',(0.,0.,1.)); #412039=DIRECTION('ref_axis',(-0.233449433189584,0.972368943428091,0.)); #412040=DIRECTION('center_axis',(0.,0.,1.)); #412041=DIRECTION('ref_axis',(-0.233449433189584,0.972368943428091,0.)); #412042=DIRECTION('center_axis',(0.,0.,1.)); #412043=DIRECTION('ref_axis',(-0.233449433189584,0.972368943428091,0.)); #412044=DIRECTION('',(0.,0.,1.)); #412045=DIRECTION('center_axis',(0.,0.,1.)); #412046=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #412047=DIRECTION('center_axis',(0.,0.,1.)); #412048=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #412049=DIRECTION('center_axis',(0.,0.,1.)); #412050=DIRECTION('ref_axis',(0.233449321392236,-0.972368970268747,0.)); #412051=DIRECTION('center_axis',(0.,0.,1.)); #412052=DIRECTION('ref_axis',(1.,0.,0.)); #412053=DIRECTION('center_axis',(0.,0.,1.)); #412054=DIRECTION('ref_axis',(1.,0.,0.)); #412055=DIRECTION('center_axis',(0.,0.,1.)); #412056=DIRECTION('ref_axis',(1.,0.,0.)); #412057=DIRECTION('center_axis',(0.,0.,1.)); #412058=DIRECTION('ref_axis',(1.,0.,0.)); #412059=DIRECTION('',(0.,0.,1.)); #412060=DIRECTION('center_axis',(0.,0.,-1.)); #412061=DIRECTION('ref_axis',(1.,0.,0.)); #412062=DIRECTION('center_axis',(0.,0.,1.)); #412063=DIRECTION('ref_axis',(1.,0.,0.)); #412064=DIRECTION('center_axis',(0.,0.,1.)); #412065=DIRECTION('ref_axis',(1.,0.,0.)); #412066=DIRECTION('',(0.,0.,1.)); #412067=DIRECTION('center_axis',(0.,0.,-1.)); #412068=DIRECTION('ref_axis',(1.,0.,0.)); #412069=DIRECTION('center_axis',(0.,0.,1.)); #412070=DIRECTION('ref_axis',(1.,0.,0.)); #412071=DIRECTION('center_axis',(0.,0.,1.)); #412072=DIRECTION('ref_axis',(1.,0.,0.)); #412073=DIRECTION('',(0.,0.,1.)); #412074=DIRECTION('center_axis',(0.,0.,-1.)); #412075=DIRECTION('ref_axis',(1.,0.,0.)); #412076=DIRECTION('center_axis',(0.,0.,1.)); #412077=DIRECTION('ref_axis',(1.,0.,0.)); #412078=DIRECTION('center_axis',(0.,0.,1.)); #412079=DIRECTION('ref_axis',(1.,0.,0.)); #412080=DIRECTION('',(0.,0.,1.)); #412081=DIRECTION('center_axis',(0.,0.,-1.)); #412082=DIRECTION('ref_axis',(1.,0.,0.)); #412083=DIRECTION('center_axis',(0.,0.,1.)); #412084=DIRECTION('ref_axis',(1.,0.,0.)); #412085=DIRECTION('center_axis',(0.,0.,1.)); #412086=DIRECTION('ref_axis',(1.,0.,0.)); #412087=DIRECTION('',(0.,0.,1.)); #412088=DIRECTION('center_axis',(0.,0.,-1.)); #412089=DIRECTION('ref_axis',(1.,0.,0.)); #412090=DIRECTION('center_axis',(0.,0.,1.)); #412091=DIRECTION('ref_axis',(1.,0.,0.)); #412092=DIRECTION('center_axis',(0.,0.,1.)); #412093=DIRECTION('ref_axis',(1.,0.,0.)); #412094=DIRECTION('',(0.,0.,1.)); #412095=DIRECTION('center_axis',(0.,0.,-1.)); #412096=DIRECTION('ref_axis',(1.,0.,0.)); #412097=DIRECTION('center_axis',(0.,0.,1.)); #412098=DIRECTION('ref_axis',(1.,0.,0.)); #412099=DIRECTION('center_axis',(0.,0.,1.)); #412100=DIRECTION('ref_axis',(1.,0.,0.)); #412101=DIRECTION('',(0.,0.,1.)); #412102=DIRECTION('center_axis',(0.,0.,-1.)); #412103=DIRECTION('ref_axis',(1.,0.,0.)); #412104=DIRECTION('center_axis',(0.,0.,1.)); #412105=DIRECTION('ref_axis',(1.,0.,0.)); #412106=DIRECTION('center_axis',(0.,0.,1.)); #412107=DIRECTION('ref_axis',(1.,0.,0.)); #412108=DIRECTION('',(0.,0.,1.)); #412109=DIRECTION('center_axis',(0.,0.,-1.)); #412110=DIRECTION('ref_axis',(1.,0.,0.)); #412111=DIRECTION('center_axis',(0.,0.,1.)); #412112=DIRECTION('ref_axis',(1.,0.,0.)); #412113=DIRECTION('center_axis',(0.,0.,1.)); #412114=DIRECTION('ref_axis',(1.,0.,0.)); #412115=DIRECTION('',(0.,0.,1.)); #412116=DIRECTION('center_axis',(0.,0.,-1.)); #412117=DIRECTION('ref_axis',(1.,0.,0.)); #412118=DIRECTION('center_axis',(0.,0.,1.)); #412119=DIRECTION('ref_axis',(1.,0.,0.)); #412120=DIRECTION('center_axis',(0.,0.,1.)); #412121=DIRECTION('ref_axis',(1.,0.,0.)); #412122=DIRECTION('',(0.,0.,1.)); #412123=DIRECTION('center_axis',(0.,0.,-1.)); #412124=DIRECTION('ref_axis',(1.,0.,0.)); #412125=DIRECTION('center_axis',(0.,0.,1.)); #412126=DIRECTION('ref_axis',(1.,0.,0.)); #412127=DIRECTION('center_axis',(0.,0.,1.)); #412128=DIRECTION('ref_axis',(1.,0.,0.)); #412129=DIRECTION('',(0.,0.,1.)); #412130=DIRECTION('center_axis',(0.,0.,-1.)); #412131=DIRECTION('ref_axis',(1.,0.,0.)); #412132=DIRECTION('center_axis',(0.,0.,1.)); #412133=DIRECTION('ref_axis',(1.,0.,0.)); #412134=DIRECTION('center_axis',(0.,0.,1.)); #412135=DIRECTION('ref_axis',(1.,0.,0.)); #412136=DIRECTION('',(0.,0.,1.)); #412137=DIRECTION('center_axis',(0.,0.,-1.)); #412138=DIRECTION('ref_axis',(1.,0.,0.)); #412139=DIRECTION('center_axis',(0.,0.,1.)); #412140=DIRECTION('ref_axis',(1.,0.,0.)); #412141=DIRECTION('center_axis',(0.,0.,1.)); #412142=DIRECTION('ref_axis',(1.,0.,0.)); #412143=DIRECTION('',(0.,0.,1.)); #412144=DIRECTION('center_axis',(0.,0.,-1.)); #412145=DIRECTION('ref_axis',(1.,0.,0.)); #412146=DIRECTION('center_axis',(0.,0.,1.)); #412147=DIRECTION('ref_axis',(1.,0.,0.)); #412148=DIRECTION('center_axis',(0.,0.,1.)); #412149=DIRECTION('ref_axis',(1.,0.,0.)); #412150=DIRECTION('',(0.,0.,1.)); #412151=DIRECTION('center_axis',(0.,0.,-1.)); #412152=DIRECTION('ref_axis',(1.,0.,0.)); #412153=DIRECTION('center_axis',(0.,0.,1.)); #412154=DIRECTION('ref_axis',(1.,0.,0.)); #412155=DIRECTION('center_axis',(0.,0.,1.)); #412156=DIRECTION('ref_axis',(1.,0.,0.)); #412157=DIRECTION('',(0.,0.,1.)); #412158=DIRECTION('center_axis',(0.,0.,-1.)); #412159=DIRECTION('ref_axis',(1.,0.,0.)); #412160=DIRECTION('center_axis',(0.,1.,0.)); #412161=DIRECTION('ref_axis',(-1.,0.,0.)); #412162=DIRECTION('',(-1.,0.,0.)); #412163=DIRECTION('',(0.,0.,1.)); #412164=DIRECTION('',(-1.,0.,0.)); #412165=DIRECTION('',(0.,0.,1.)); #412166=DIRECTION('center_axis',(-0.707106223895493,0.707107338477163,0.)); #412167=DIRECTION('ref_axis',(-0.707107338477163,-0.707106223895493,0.)); #412168=DIRECTION('',(-0.707107338477163,-0.707106223895493,0.)); #412169=DIRECTION('',(-0.707107338477163,-0.707106223895493,0.)); #412170=DIRECTION('',(0.,0.,1.)); #412171=DIRECTION('center_axis',(-0.981547402803208,0.191218974085413,0.)); #412172=DIRECTION('ref_axis',(-0.191218974085413,-0.981547402803208,0.)); #412173=DIRECTION('',(-0.191218974085413,-0.981547402803208,0.)); #412174=DIRECTION('',(-0.191218974085413,-0.981547402803208,0.)); #412175=DIRECTION('',(0.,0.,1.)); #412176=DIRECTION('center_axis',(-0.833696876830075,0.552222344317738,0.)); #412177=DIRECTION('ref_axis',(-0.552222344317738,-0.833696876830075,0.)); #412178=DIRECTION('',(-0.552222344317738,-0.833696876830075,0.)); #412179=DIRECTION('',(-0.552222344317738,-0.833696876830075,0.)); #412180=DIRECTION('',(0.,0.,1.)); #412181=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.)); #412182=DIRECTION('ref_axis',(-0.70710678118655,-0.707106781186545,0.)); #412183=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #412184=DIRECTION('',(-0.70710678118655,-0.707106781186545,0.)); #412185=DIRECTION('',(0.,0.,1.)); #412186=DIRECTION('center_axis',(-0.983046411280577,0.183356901338289,0.)); #412187=DIRECTION('ref_axis',(-0.183356901338289,-0.983046411280577,0.)); #412188=DIRECTION('',(-0.183356901338289,-0.983046411280577,0.)); #412189=DIRECTION('',(-0.183356901338289,-0.983046411280577,0.)); #412190=DIRECTION('',(0.,0.,1.)); #412191=DIRECTION('center_axis',(-0.842361070051274,0.538913562328944,0.)); #412192=DIRECTION('ref_axis',(-0.538913562328944,-0.842361070051274,0.)); #412193=DIRECTION('',(-0.538913562328944,-0.842361070051274,0.)); #412194=DIRECTION('',(-0.538913562328944,-0.842361070051274,0.)); #412195=DIRECTION('',(0.,0.,1.)); #412196=DIRECTION('center_axis',(-0.565462991527481,0.824773669083096,0.)); #412197=DIRECTION('ref_axis',(-0.824773669083096,-0.565462991527481,0.)); #412198=DIRECTION('',(-0.824773669083096,-0.565462991527481,0.)); #412199=DIRECTION('',(-0.824773669083096,-0.565462991527481,0.)); #412200=DIRECTION('',(0.,0.,1.)); #412201=DIRECTION('center_axis',(-0.199018242080749,0.979995785357819,0.)); #412202=DIRECTION('ref_axis',(-0.979995785357819,-0.199018242080749,0.)); #412203=DIRECTION('',(-0.979995785357819,-0.199018242080749,0.)); #412204=DIRECTION('',(-0.979995785357819,-0.199018242080749,0.)); #412205=DIRECTION('',(0.,0.,1.)); #412206=DIRECTION('center_axis',(0.,1.,0.)); #412207=DIRECTION('ref_axis',(-1.,0.,0.)); #412208=DIRECTION('',(-1.,0.,0.)); #412209=DIRECTION('',(-1.,0.,0.)); #412210=DIRECTION('',(0.,0.,1.)); #412211=DIRECTION('center_axis',(0.183325162679494,0.983052330615485,0.)); #412212=DIRECTION('ref_axis',(-0.983052330615485,0.183325162679494,0.)); #412213=DIRECTION('',(-0.983052330615485,0.183325162679494,0.)); #412214=DIRECTION('',(-0.983052330615485,0.183325162679494,0.)); #412215=DIRECTION('',(0.,0.,1.)); #412216=DIRECTION('center_axis',(0.538922883226426,0.842355106789836,0.)); #412217=DIRECTION('ref_axis',(-0.842355106789836,0.538922883226426,0.)); #412218=DIRECTION('',(-0.842355106789836,0.538922883226426,0.)); #412219=DIRECTION('',(-0.842355106789836,0.538922883226426,0.)); #412220=DIRECTION('',(0.,0.,1.)); #412221=DIRECTION('center_axis',(0.824763124289754,0.565478371656958,0.)); #412222=DIRECTION('ref_axis',(-0.565478371656958,0.824763124289754,0.)); #412223=DIRECTION('',(-0.565478371656958,0.824763124289754,0.)); #412224=DIRECTION('',(-0.565478371656958,0.824763124289754,0.)); #412225=DIRECTION('',(0.,0.,1.)); #412226=DIRECTION('center_axis',(0.979997642221407,0.199009098386189,0.)); #412227=DIRECTION('ref_axis',(-0.199009098386189,0.979997642221407,0.)); #412228=DIRECTION('',(-0.199009098386189,0.979997642221407,0.)); #412229=DIRECTION('',(-0.199009098386189,0.979997642221407,0.)); #412230=DIRECTION('',(0.,0.,1.)); #412231=DIRECTION('center_axis',(1.,0.,0.)); #412232=DIRECTION('ref_axis',(0.,1.,0.)); #412233=DIRECTION('',(0.,1.,0.)); #412234=DIRECTION('',(0.,1.,0.)); #412235=DIRECTION('',(0.,0.,1.)); #412236=DIRECTION('center_axis',(0.707106216327397,0.707107346045247,0.)); #412237=DIRECTION('ref_axis',(-0.707107346045247,0.707106216327397,0.)); #412238=DIRECTION('',(-0.707107346045247,0.707106216327397,0.)); #412239=DIRECTION('',(-0.707107346045247,0.707106216327397,0.)); #412240=DIRECTION('',(0.,0.,1.)); #412241=DIRECTION('center_axis',(0.,1.,0.)); #412242=DIRECTION('ref_axis',(-1.,0.,0.)); #412243=DIRECTION('',(-1.,0.,0.)); #412244=DIRECTION('',(-1.,0.,0.)); #412245=DIRECTION('',(0.,0.,1.)); #412246=DIRECTION('center_axis',(-0.707106216327397,0.707107346045247,0.)); #412247=DIRECTION('ref_axis',(-0.707107346045247,-0.707106216327397,0.)); #412248=DIRECTION('',(-0.707107346045247,-0.707106216327397,0.)); #412249=DIRECTION('',(-0.707107346045247,-0.707106216327397,0.)); #412250=DIRECTION('',(0.,0.,1.)); #412251=DIRECTION('center_axis',(-1.,0.,0.)); #412252=DIRECTION('ref_axis',(0.,-1.,0.)); #412253=DIRECTION('',(0.,-1.,0.)); #412254=DIRECTION('',(0.,-1.,0.)); #412255=DIRECTION('',(0.,0.,1.)); #412256=DIRECTION('center_axis',(-0.983050612179051,0.183334377284768,0.)); #412257=DIRECTION('ref_axis',(-0.183334377284768,-0.983050612179051,0.)); #412258=DIRECTION('',(-0.183334377284768,-0.983050612179051,0.)); #412259=DIRECTION('',(-0.183334377284768,-0.983050612179051,0.)); #412260=DIRECTION('',(0.,0.,1.)); #412261=DIRECTION('center_axis',(-0.842361070051314,0.538913562328881,0.)); #412262=DIRECTION('ref_axis',(-0.538913562328881,-0.842361070051314,0.)); #412263=DIRECTION('',(-0.538913562328881,-0.842361070051314,0.)); #412264=DIRECTION('',(-0.538913562328881,-0.842361070051314,0.)); #412265=DIRECTION('',(0.,0.,1.)); #412266=DIRECTION('center_axis',(-0.565462991527481,0.824773669083096,0.)); #412267=DIRECTION('ref_axis',(-0.824773669083096,-0.565462991527481,0.)); #412268=DIRECTION('',(-0.824773669083096,-0.565462991527481,0.)); #412269=DIRECTION('',(-0.824773669083096,-0.565462991527481,0.)); #412270=DIRECTION('',(0.,0.,1.)); #412271=DIRECTION('center_axis',(-0.199018242080749,0.979995785357819,0.)); #412272=DIRECTION('ref_axis',(-0.979995785357819,-0.199018242080749,0.)); #412273=DIRECTION('',(-0.979995785357819,-0.199018242080749,0.)); #412274=DIRECTION('',(-0.979995785357819,-0.199018242080749,0.)); #412275=DIRECTION('',(0.,0.,1.)); #412276=DIRECTION('center_axis',(0.,1.,0.)); #412277=DIRECTION('ref_axis',(-1.,0.,0.)); #412278=DIRECTION('',(-1.,0.,0.)); #412279=DIRECTION('',(-1.,0.,0.)); #412280=DIRECTION('',(0.,0.,1.)); #412281=DIRECTION('center_axis',(-0.70710678118653,0.707106781186565,0.)); #412282=DIRECTION('ref_axis',(-0.707106781186565,-0.70710678118653,0.)); #412283=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #412284=DIRECTION('',(-0.707106781186565,-0.70710678118653,0.)); #412285=DIRECTION('',(0.,0.,1.)); #412286=DIRECTION('center_axis',(-1.,0.,0.)); #412287=DIRECTION('ref_axis',(0.,-1.,0.)); #412288=DIRECTION('',(0.,-1.,0.)); #412289=DIRECTION('',(0.,-1.,0.)); #412290=DIRECTION('',(0.,0.,1.)); #412291=DIRECTION('center_axis',(-0.707106781186534,-0.707106781186561, 0.)); #412292=DIRECTION('ref_axis',(0.707106781186561,-0.707106781186534,0.)); #412293=DIRECTION('',(0.707106781186561,-0.707106781186534,0.)); #412294=DIRECTION('',(0.707106781186561,-0.707106781186534,0.)); #412295=DIRECTION('',(0.,0.,1.)); #412296=DIRECTION('center_axis',(0.,-1.,0.)); #412297=DIRECTION('ref_axis',(1.,0.,0.)); #412298=DIRECTION('',(1.,0.,0.)); #412299=DIRECTION('',(1.,0.,0.)); #412300=DIRECTION('',(0.,0.,1.)); #412301=DIRECTION('center_axis',(-0.183325162679477,-0.983052330615488, 0.)); #412302=DIRECTION('ref_axis',(0.983052330615488,-0.183325162679477,0.)); #412303=DIRECTION('',(0.983052330615488,-0.183325162679477,0.)); #412304=DIRECTION('',(0.983052330615488,-0.183325162679477,0.)); #412305=DIRECTION('',(0.,0.,1.)); #412306=DIRECTION('center_axis',(-0.538922883226506,-0.842355106789785, 0.)); #412307=DIRECTION('ref_axis',(0.842355106789785,-0.538922883226506,0.)); #412308=DIRECTION('',(0.842355106789785,-0.538922883226506,0.)); #412309=DIRECTION('',(0.842355106789785,-0.538922883226506,0.)); #412310=DIRECTION('',(0.,0.,1.)); #412311=DIRECTION('center_axis',(-0.824763124289761,-0.565478371656947, 0.)); #412312=DIRECTION('ref_axis',(0.565478371656947,-0.824763124289761,0.)); #412313=DIRECTION('',(0.565478371656947,-0.824763124289761,0.)); #412314=DIRECTION('',(0.565478371656947,-0.824763124289761,0.)); #412315=DIRECTION('',(0.,0.,1.)); #412316=DIRECTION('center_axis',(-0.97999476232571,-0.199023279578483,0.)); #412317=DIRECTION('ref_axis',(0.199023279578483,-0.97999476232571,0.)); #412318=DIRECTION('',(0.199023279578483,-0.97999476232571,0.)); #412319=DIRECTION('',(0.199023279578483,-0.97999476232571,0.)); #412320=DIRECTION('',(0.,0.,1.)); #412321=DIRECTION('center_axis',(-0.707106914500758,-0.707106647872312, 0.)); #412322=DIRECTION('ref_axis',(0.707106647872312,-0.707106914500758,0.)); #412323=DIRECTION('',(0.707106647872312,-0.707106914500758,0.)); #412324=DIRECTION('',(0.707106647872312,-0.707106914500758,0.)); #412325=DIRECTION('',(0.,0.,1.)); #412326=DIRECTION('center_axis',(-0.829263274014836,-0.558858141544162, 0.)); #412327=DIRECTION('ref_axis',(0.558858141544162,-0.829263274014836,0.)); #412328=DIRECTION('',(0.558858141544162,-0.829263274014836,0.)); #412329=DIRECTION('',(0.558858141544162,-0.829263274014836,0.)); #412330=DIRECTION('',(0.,0.,1.)); #412331=DIRECTION('center_axis',(-0.980003252157574,-0.198981470897615, 0.)); #412332=DIRECTION('ref_axis',(0.198981470897615,-0.980003252157574,0.)); #412333=DIRECTION('',(0.198981470897615,-0.980003252157574,0.)); #412334=DIRECTION('',(0.198981470897615,-0.980003252157574,0.)); #412335=DIRECTION('',(0.,0.,1.)); #412336=DIRECTION('center_axis',(-0.707105952009906,-0.707107610362217, 0.)); #412337=DIRECTION('ref_axis',(0.707107610362217,-0.707105952009906,0.)); #412338=DIRECTION('',(0.707107610362217,-0.707105952009906,0.)); #412339=DIRECTION('',(0.707107610362217,-0.707105952009906,0.)); #412340=DIRECTION('',(0.,0.,1.)); #412341=DIRECTION('center_axis',(0.,-1.,0.)); #412342=DIRECTION('ref_axis',(1.,0.,0.)); #412343=DIRECTION('',(1.,0.,0.)); #412344=DIRECTION('',(1.,0.,0.)); #412345=DIRECTION('',(0.,0.,1.)); #412346=DIRECTION('center_axis',(0.707105674414369,-0.707107887956994,0.)); #412347=DIRECTION('ref_axis',(0.707107887956994,0.707105674414369,0.)); #412348=DIRECTION('',(0.707107887956994,0.707105674414369,0.)); #412349=DIRECTION('',(0.707107887956994,0.707105674414369,0.)); #412350=DIRECTION('',(0.,0.,1.)); #412351=DIRECTION('center_axis',(0.981552174996527,-0.191194476278965,0.)); #412352=DIRECTION('ref_axis',(0.191194476278965,0.981552174996527,0.)); #412353=DIRECTION('',(0.191194476278965,0.981552174996527,0.)); #412354=DIRECTION('',(0.191194476278965,0.981552174996527,0.)); #412355=DIRECTION('',(0.,0.,1.)); #412356=DIRECTION('center_axis',(0.833696876830089,-0.552222344317718,0.)); #412357=DIRECTION('ref_axis',(0.552222344317718,0.833696876830089,0.)); #412358=DIRECTION('',(0.552222344317717,0.833696876830089,0.)); #412359=DIRECTION('',(0.552222344317717,0.833696876830089,0.)); #412360=DIRECTION('',(0.,0.,1.)); #412361=DIRECTION('center_axis',(0.707107181308491,-0.707106381064377,0.)); #412362=DIRECTION('ref_axis',(0.707106381064377,0.707107181308491,0.)); #412363=DIRECTION('',(0.707106381064377,0.707107181308491,0.)); #412364=DIRECTION('',(0.707106381064377,0.707107181308491,0.)); #412365=DIRECTION('',(0.,0.,1.)); #412366=DIRECTION('center_axis',(0.983057183131156,-0.183299139916796,0.)); #412367=DIRECTION('ref_axis',(0.183299139916796,0.983057183131156,0.)); #412368=DIRECTION('',(0.183299139916796,0.983057183131156,0.)); #412369=DIRECTION('',(0.183299139916796,0.983057183131156,0.)); #412370=DIRECTION('',(0.,0.,1.)); #412371=DIRECTION('center_axis',(0.84235266353456,-0.538926702099861,0.)); #412372=DIRECTION('ref_axis',(0.538926702099861,0.84235266353456,0.)); #412373=DIRECTION('',(0.538926702099861,0.84235266353456,0.)); #412374=DIRECTION('',(0.538926702099861,0.84235266353456,0.)); #412375=DIRECTION('',(0.,0.,1.)); #412376=DIRECTION('center_axis',(0.565462991527481,-0.824773669083096,0.)); #412377=DIRECTION('ref_axis',(0.824773669083096,0.565462991527481,0.)); #412378=DIRECTION('',(0.824773669083096,0.565462991527481,0.)); #412379=DIRECTION('',(0.824773669083096,0.565462991527481,0.)); #412380=DIRECTION('',(0.,0.,1.)); #412381=DIRECTION('center_axis',(0.199018242080749,-0.979995785357819,0.)); #412382=DIRECTION('ref_axis',(0.979995785357819,0.199018242080749,0.)); #412383=DIRECTION('',(0.979995785357819,0.199018242080749,0.)); #412384=DIRECTION('',(0.979995785357819,0.199018242080749,0.)); #412385=DIRECTION('',(0.,0.,1.)); #412386=DIRECTION('center_axis',(0.,-1.,0.)); #412387=DIRECTION('ref_axis',(1.,0.,0.)); #412388=DIRECTION('',(1.,0.,0.)); #412389=DIRECTION('',(1.,0.,0.)); #412390=DIRECTION('',(0.,0.,1.)); #412391=DIRECTION('center_axis',(-0.183325162679494,-0.983052330615485, 0.)); #412392=DIRECTION('ref_axis',(0.983052330615485,-0.183325162679494,0.)); #412393=DIRECTION('',(0.983052330615485,-0.183325162679494,0.)); #412394=DIRECTION('',(0.983052330615485,-0.183325162679494,0.)); #412395=DIRECTION('',(0.,0.,1.)); #412396=DIRECTION('center_axis',(-0.538922883226466,-0.84235510678981,0.)); #412397=DIRECTION('ref_axis',(0.842355106789811,-0.538922883226466,0.)); #412398=DIRECTION('',(0.842355106789811,-0.538922883226466,0.)); #412399=DIRECTION('',(0.842355106789811,-0.538922883226466,0.)); #412400=DIRECTION('',(0.,0.,1.)); #412401=DIRECTION('center_axis',(-0.82476312428972,-0.565478371657007,0.)); #412402=DIRECTION('ref_axis',(0.565478371657007,-0.82476312428972,0.)); #412403=DIRECTION('',(0.565478371657007,-0.82476312428972,0.)); #412404=DIRECTION('',(0.565478371657007,-0.82476312428972,0.)); #412405=DIRECTION('',(0.,0.,1.)); #412406=DIRECTION('center_axis',(-0.979997642221406,-0.199009098386194, 0.)); #412407=DIRECTION('ref_axis',(0.199009098386194,-0.979997642221406,0.)); #412408=DIRECTION('',(0.199009098386194,-0.979997642221406,0.)); #412409=DIRECTION('',(0.199009098386194,-0.979997642221406,0.)); #412410=DIRECTION('',(0.,0.,1.)); #412411=DIRECTION('center_axis',(-1.,0.,0.)); #412412=DIRECTION('ref_axis',(0.,-1.,0.)); #412413=DIRECTION('',(0.,-1.,0.)); #412414=DIRECTION('',(0.,-1.,0.)); #412415=DIRECTION('',(0.,0.,1.)); #412416=DIRECTION('center_axis',(-0.707106216327399,-0.707107346045245, 0.)); #412417=DIRECTION('ref_axis',(0.707107346045245,-0.707106216327399,0.)); #412418=DIRECTION('',(0.707107346045245,-0.707106216327399,0.)); #412419=DIRECTION('',(0.707107346045245,-0.707106216327399,0.)); #412420=DIRECTION('',(0.,0.,1.)); #412421=DIRECTION('center_axis',(0.,-1.,0.)); #412422=DIRECTION('ref_axis',(1.,0.,0.)); #412423=DIRECTION('',(1.,0.,0.)); #412424=DIRECTION('',(1.,0.,0.)); #412425=DIRECTION('',(0.,0.,1.)); #412426=DIRECTION('center_axis',(0.707105674414375,-0.707107887956987,0.)); #412427=DIRECTION('ref_axis',(0.707107887956987,0.707105674414375,0.)); #412428=DIRECTION('',(0.707107887956987,0.707105674414375,0.)); #412429=DIRECTION('',(0.707107887956987,0.707105674414375,0.)); #412430=DIRECTION('',(0.,0.,1.)); #412431=DIRECTION('center_axis',(0.981552174996527,-0.191194476278965,0.)); #412432=DIRECTION('ref_axis',(0.191194476278965,0.981552174996527,0.)); #412433=DIRECTION('',(0.191194476278965,0.981552174996527,0.)); #412434=DIRECTION('',(0.191194476278965,0.981552174996527,0.)); #412435=DIRECTION('',(0.,0.,1.)); #412436=DIRECTION('center_axis',(0.833696876830089,-0.552222344317718,0.)); #412437=DIRECTION('ref_axis',(0.552222344317718,0.833696876830089,0.)); #412438=DIRECTION('',(0.552222344317717,0.833696876830089,0.)); #412439=DIRECTION('',(0.552222344317717,0.833696876830089,0.)); #412440=DIRECTION('',(0.,0.,1.)); #412441=DIRECTION('center_axis',(0.707106915106718,-0.707106647266351,0.)); #412442=DIRECTION('ref_axis',(0.707106647266351,0.707106915106718,0.)); #412443=DIRECTION('',(0.707106647266351,0.707106915106718,0.)); #412444=DIRECTION('',(0.707106647266351,0.707106915106718,0.)); #412445=DIRECTION('',(0.,0.,1.)); #412446=DIRECTION('center_axis',(1.,0.,0.)); #412447=DIRECTION('ref_axis',(0.,1.,0.)); #412448=DIRECTION('',(0.,1.,0.)); #412449=DIRECTION('',(0.,1.,0.)); #412450=DIRECTION('',(0.,0.,1.)); #412451=DIRECTION('center_axis',(0.981552475550526,-0.191192933291566,0.)); #412452=DIRECTION('ref_axis',(0.191192933291566,0.981552475550526,0.)); #412453=DIRECTION('',(0.191192933291566,0.981552475550526,0.)); #412454=DIRECTION('',(0.191192933291566,0.981552475550526,0.)); #412455=DIRECTION('',(0.,0.,1.)); #412456=DIRECTION('center_axis',(0.833697480131482,-0.55222143350509,0.)); #412457=DIRECTION('ref_axis',(0.55222143350509,0.833697480131482,0.)); #412458=DIRECTION('',(0.55222143350509,0.833697480131482,0.)); #412459=DIRECTION('',(0.55222143350509,0.833697480131482,0.)); #412460=DIRECTION('',(0.,0.,1.)); #412461=DIRECTION('center_axis',(0.707107747098281,-0.707105815273494,0.)); #412462=DIRECTION('ref_axis',(0.707105815273494,0.707107747098281,0.)); #412463=DIRECTION('',(0.707105815273494,0.707107747098281,0.)); #412464=DIRECTION('',(0.707105815273494,0.707107747098281,0.)); #412465=DIRECTION('',(0.,0.,1.)); #412466=DIRECTION('center_axis',(1.,0.,0.)); #412467=DIRECTION('ref_axis',(0.,1.,0.)); #412468=DIRECTION('',(0.,1.,0.)); #412469=DIRECTION('',(0.,1.,0.)); #412470=DIRECTION('',(0.,0.,1.)); #412471=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #412472=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #412473=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #412474=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #412475=DIRECTION('',(0.,0.,1.)); #412476=DIRECTION('center_axis',(0.,1.,0.)); #412477=DIRECTION('ref_axis',(-1.,0.,0.)); #412478=DIRECTION('',(-1.,0.,0.)); #412479=DIRECTION('',(-1.,0.,0.)); #412480=DIRECTION('',(0.,0.,1.)); #412481=DIRECTION('center_axis',(0.18332516267946,0.983052330615491,0.)); #412482=DIRECTION('ref_axis',(-0.983052330615491,0.18332516267946,0.)); #412483=DIRECTION('',(-0.983052330615491,0.18332516267946,0.)); #412484=DIRECTION('',(-0.983052330615491,0.18332516267946,0.)); #412485=DIRECTION('',(0.,0.,1.)); #412486=DIRECTION('center_axis',(0.538922883226546,0.842355106789759,0.)); #412487=DIRECTION('ref_axis',(-0.842355106789759,0.538922883226546,0.)); #412488=DIRECTION('',(-0.842355106789759,0.538922883226546,0.)); #412489=DIRECTION('',(-0.842355106789759,0.538922883226546,0.)); #412490=DIRECTION('',(0.,0.,1.)); #412491=DIRECTION('center_axis',(0.824763124289713,0.565478371657017,0.)); #412492=DIRECTION('ref_axis',(-0.565478371657017,0.824763124289713,0.)); #412493=DIRECTION('',(-0.565478371657017,0.824763124289713,0.)); #412494=DIRECTION('',(-0.565478371657017,0.824763124289713,0.)); #412495=DIRECTION('',(0.,0.,1.)); #412496=DIRECTION('center_axis',(0.980002573405197,0.198984813790377,0.)); #412497=DIRECTION('ref_axis',(-0.198984813790377,0.980002573405197,0.)); #412498=DIRECTION('',(-0.198984813790377,0.980002573405197,0.)); #412499=DIRECTION('',(-0.198984813790377,0.980002573405197,0.)); #412500=DIRECTION('',(0.,0.,1.)); #412501=DIRECTION('center_axis',(0.707106913231119,0.707106649141952,0.)); #412502=DIRECTION('ref_axis',(-0.707106649141952,0.707106913231119,0.)); #412503=DIRECTION('',(-0.707106649141952,0.707106913231119,0.)); #412504=DIRECTION('',(-0.707106649141952,0.707106913231119,0.)); #412505=DIRECTION('',(0.,0.,1.)); #412506=DIRECTION('center_axis',(0.82926327401478,0.558858141544246,0.)); #412507=DIRECTION('ref_axis',(-0.558858141544246,0.82926327401478,0.)); #412508=DIRECTION('',(-0.558858141544246,0.82926327401478,0.)); #412509=DIRECTION('',(-0.558858141544246,0.82926327401478,0.)); #412510=DIRECTION('',(0.,0.,1.)); #412511=DIRECTION('center_axis',(0.97998976395989,0.199047890051212,0.)); #412512=DIRECTION('ref_axis',(-0.199047890051212,0.97998976395989,0.)); #412513=DIRECTION('',(-0.199047890051212,0.97998976395989,0.)); #412514=DIRECTION('',(-0.199047890051212,0.97998976395989,0.)); #412515=DIRECTION('',(0.,0.,1.)); #412516=DIRECTION('center_axis',(0.707106641963205,0.707106920409862,0.)); #412517=DIRECTION('ref_axis',(-0.707106920409862,0.707106641963205,0.)); #412518=DIRECTION('',(-0.707106920409862,0.707106641963205,0.)); #412519=DIRECTION('',(-0.707106920409862,0.707106641963205,0.)); #412520=DIRECTION('center_axis',(0.199018242080805,-0.979995785357808,0.)); #412521=DIRECTION('ref_axis',(0.979995785357808,0.199018242080805,0.)); #412522=DIRECTION('',(0.979995785357808,0.199018242080805,0.)); #412523=DIRECTION('',(0.,0.,1.)); #412524=DIRECTION('',(0.979995785357808,0.199018242080805,0.)); #412525=DIRECTION('',(0.,0.,1.)); #412526=DIRECTION('center_axis',(0.,-1.,0.)); #412527=DIRECTION('ref_axis',(1.,0.,0.)); #412528=DIRECTION('',(1.,0.,0.)); #412529=DIRECTION('',(1.,0.,0.)); #412530=DIRECTION('',(0.,0.,1.)); #412531=DIRECTION('center_axis',(-0.191191207895148,-0.981552811632464, 0.)); #412532=DIRECTION('ref_axis',(0.981552811632464,-0.191191207895148,0.)); #412533=DIRECTION('',(0.981552811632464,-0.191191207895148,0.)); #412534=DIRECTION('',(0.981552811632464,-0.191191207895148,0.)); #412535=DIRECTION('',(0.,0.,1.)); #412536=DIRECTION('center_axis',(-0.552239150843043,-0.833685744316259, 0.)); #412537=DIRECTION('ref_axis',(0.833685744316259,-0.552239150843043,0.)); #412538=DIRECTION('',(0.833685744316259,-0.552239150843043,0.)); #412539=DIRECTION('',(0.833685744316259,-0.552239150843043,0.)); #412540=DIRECTION('',(0.,0.,1.)); #412541=DIRECTION('center_axis',(-0.707108814963959,-0.707104747403286, 0.)); #412542=DIRECTION('ref_axis',(0.707104747403286,-0.707108814963959,0.)); #412543=DIRECTION('',(0.707104747403286,-0.707108814963959,0.)); #412544=DIRECTION('',(0.707104747403286,-0.707108814963959,0.)); #412545=DIRECTION('',(0.,0.,1.)); #412546=DIRECTION('center_axis',(-0.824766965033867,-0.56547276980313,0.)); #412547=DIRECTION('ref_axis',(0.56547276980313,-0.824766965033867,0.)); #412548=DIRECTION('',(0.56547276980313,-0.824766965033867,0.)); #412549=DIRECTION('',(0.56547276980313,-0.824766965033867,0.)); #412550=DIRECTION('',(0.,0.,1.)); #412551=DIRECTION('center_axis',(-0.976703115964562,-0.214595021529193, 0.)); #412552=DIRECTION('ref_axis',(0.214595021529193,-0.976703115964562,0.)); #412553=DIRECTION('',(0.214595021529193,-0.976703115964562,0.)); #412554=DIRECTION('',(0.214595021529193,-0.976703115964562,0.)); #412555=DIRECTION('',(0.,0.,1.)); #412556=DIRECTION('center_axis',(-0.983045221016405,0.18336328268442,0.)); #412557=DIRECTION('ref_axis',(-0.18336328268442,-0.983045221016405,0.)); #412558=DIRECTION('',(-0.18336328268442,-0.983045221016405,0.)); #412559=DIRECTION('',(-0.18336328268442,-0.983045221016405,0.)); #412560=DIRECTION('',(0.,0.,1.)); #412561=DIRECTION('center_axis',(-0.833696876830075,0.552222344317738,0.)); #412562=DIRECTION('ref_axis',(-0.552222344317738,-0.833696876830075,0.)); #412563=DIRECTION('',(-0.552222344317738,-0.833696876830075,0.)); #412564=DIRECTION('',(-0.552222344317738,-0.833696876830075,0.)); #412565=DIRECTION('',(0.,0.,1.)); #412566=DIRECTION('center_axis',(-0.707108734188369,0.707104828179332,0.)); #412567=DIRECTION('ref_axis',(-0.707104828179332,-0.707108734188369,0.)); #412568=DIRECTION('',(-0.707104828179332,-0.707108734188369,0.)); #412569=DIRECTION('',(-0.707104828179332,-0.707108734188369,0.)); #412570=DIRECTION('',(0.,0.,1.)); #412571=DIRECTION('center_axis',(-0.923883289882689,0.382674361142133,0.)); #412572=DIRECTION('ref_axis',(-0.382674361142133,-0.923883289882689,0.)); #412573=DIRECTION('',(-0.382674361142133,-0.923883289882689,0.)); #412574=DIRECTION('',(-0.382674361142133,-0.923883289882689,0.)); #412575=DIRECTION('',(0.,0.,1.)); #412576=DIRECTION('center_axis',(-1.,0.,0.)); #412577=DIRECTION('ref_axis',(0.,-1.,0.)); #412578=DIRECTION('',(0.,-1.,0.)); #412579=DIRECTION('',(0.,-1.,0.)); #412580=DIRECTION('',(0.,0.,1.)); #412581=DIRECTION('center_axis',(-0.923883289882689,-0.382674361142132, 0.)); #412582=DIRECTION('ref_axis',(0.382674361142132,-0.923883289882689,0.)); #412583=DIRECTION('',(0.382674361142132,-0.923883289882689,0.)); #412584=DIRECTION('',(0.382674361142132,-0.923883289882689,0.)); #412585=DIRECTION('',(0.,0.,1.)); #412586=DIRECTION('center_axis',(-0.707105741061306,-0.707107821310259, 0.)); #412587=DIRECTION('ref_axis',(0.707107821310259,-0.707105741061306,0.)); #412588=DIRECTION('',(0.707107821310259,-0.707105741061306,0.)); #412589=DIRECTION('',(0.707107821310259,-0.707105741061306,0.)); #412590=DIRECTION('',(0.,0.,1.)); #412591=DIRECTION('center_axis',(0.,-1.,0.)); #412592=DIRECTION('ref_axis',(1.,0.,0.)); #412593=DIRECTION('',(1.,0.,0.)); #412594=DIRECTION('',(1.,0.,0.)); #412595=DIRECTION('',(0.,0.,1.)); #412596=DIRECTION('center_axis',(-1.,0.,0.)); #412597=DIRECTION('ref_axis',(0.,-1.,0.)); #412598=DIRECTION('',(0.,-1.,0.)); #412599=DIRECTION('',(0.,-1.,0.)); #412600=DIRECTION('',(0.,0.,1.)); #412601=DIRECTION('center_axis',(-0.548937724798032,-0.835863250952906, 0.)); #412602=DIRECTION('ref_axis',(0.835863250952906,-0.548937724798032,0.)); #412603=DIRECTION('',(0.835863250952906,-0.548937724798032,0.)); #412604=DIRECTION('',(0.835863250952906,-0.548937724798032,0.)); #412605=DIRECTION('',(0.,0.,1.)); #412606=DIRECTION('center_axis',(-0.824763124289754,-0.565478371656958, 0.)); #412607=DIRECTION('ref_axis',(0.565478371656958,-0.824763124289754,0.)); #412608=DIRECTION('',(0.565478371656958,-0.824763124289754,0.)); #412609=DIRECTION('',(0.565478371656958,-0.824763124289754,0.)); #412610=DIRECTION('',(0.,0.,1.)); #412611=DIRECTION('center_axis',(-0.979997642221407,-0.199009098386189, 0.)); #412612=DIRECTION('ref_axis',(0.199009098386189,-0.979997642221407,0.)); #412613=DIRECTION('',(0.199009098386189,-0.979997642221407,0.)); #412614=DIRECTION('',(0.199009098386189,-0.979997642221407,0.)); #412615=DIRECTION('',(0.,0.,1.)); #412616=DIRECTION('center_axis',(-1.,0.,0.)); #412617=DIRECTION('ref_axis',(0.,-1.,0.)); #412618=DIRECTION('',(0.,-1.,0.)); #412619=DIRECTION('',(0.,-1.,0.)); #412620=DIRECTION('',(0.,0.,1.)); #412621=DIRECTION('center_axis',(-0.996419931532321,-0.0845418242358526, 0.)); #412622=DIRECTION('ref_axis',(0.0845418242358526,-0.99641993153232,0.)); #412623=DIRECTION('',(0.0845418242358526,-0.99641993153232,0.)); #412624=DIRECTION('',(0.0845418242358526,-0.99641993153232,0.)); #412625=DIRECTION('',(0.,0.,1.)); #412626=DIRECTION('center_axis',(-0.0856837252998089,0.996322387191388, 0.)); #412627=DIRECTION('ref_axis',(-0.996322387191388,-0.0856837252998089,0.)); #412628=DIRECTION('',(-0.996322387191388,-0.0856837252998089,0.)); #412629=DIRECTION('',(-0.996322387191388,-0.0856837252998089,0.)); #412630=DIRECTION('',(0.,0.,1.)); #412631=DIRECTION('center_axis',(-0.991936465488069,-0.126736137052682, 0.)); #412632=DIRECTION('ref_axis',(0.126736137052682,-0.991936465488069,0.)); #412633=DIRECTION('',(0.126736137052682,-0.991936465488069,0.)); #412634=DIRECTION('',(0.126736137052682,-0.991936465488069,0.)); #412635=DIRECTION('',(0.,0.,1.)); #412636=DIRECTION('center_axis',(-1.,0.,0.)); #412637=DIRECTION('ref_axis',(0.,-1.,0.)); #412638=DIRECTION('',(0.,-1.,0.)); #412639=DIRECTION('',(0.,-1.,0.)); #412640=DIRECTION('',(0.,0.,1.)); #412641=DIRECTION('center_axis',(-0.979998539742343,-0.199004678595443, 0.)); #412642=DIRECTION('ref_axis',(0.199004678595443,-0.979998539742343,0.)); #412643=DIRECTION('',(0.199004678595443,-0.979998539742343,0.)); #412644=DIRECTION('',(0.199004678595443,-0.979998539742343,0.)); #412645=DIRECTION('',(0.,0.,1.)); #412646=DIRECTION('center_axis',(-1.,0.,0.)); #412647=DIRECTION('ref_axis',(0.,-1.,0.)); #412648=DIRECTION('',(0.,-1.,0.)); #412649=DIRECTION('',(0.,-1.,0.)); #412650=DIRECTION('',(0.,0.,1.)); #412651=DIRECTION('center_axis',(-0.987649248560494,-0.156681083152665, 0.)); #412652=DIRECTION('ref_axis',(0.156681083152665,-0.987649248560494,0.)); #412653=DIRECTION('',(0.156681083152665,-0.987649248560494,0.)); #412654=DIRECTION('',(0.156681083152665,-0.987649248560494,0.)); #412655=DIRECTION('',(0.,0.,1.)); #412656=DIRECTION('center_axis',(-0.125438113889326,-0.992101446216005, 0.)); #412657=DIRECTION('ref_axis',(0.992101446216005,-0.125438113889326,0.)); #412658=DIRECTION('',(0.992101446216005,-0.125438113889326,0.)); #412659=DIRECTION('',(0.992101446216005,-0.125438113889326,0.)); #412660=DIRECTION('',(0.,0.,1.)); #412661=DIRECTION('center_axis',(0.,-1.,0.)); #412662=DIRECTION('ref_axis',(1.,0.,0.)); #412663=DIRECTION('',(1.,0.,0.)); #412664=DIRECTION('',(1.,0.,0.)); #412665=DIRECTION('',(0.,0.,1.)); #412666=DIRECTION('center_axis',(-0.191195889874734,-0.981551899644134, 0.)); #412667=DIRECTION('ref_axis',(0.981551899644134,-0.191195889874734,0.)); #412668=DIRECTION('',(0.981551899644134,-0.191195889874734,0.)); #412669=DIRECTION('',(0.981551899644134,-0.191195889874734,0.)); #412670=DIRECTION('',(0.,0.,1.)); #412671=DIRECTION('center_axis',(0.,-1.,0.)); #412672=DIRECTION('ref_axis',(1.,0.,0.)); #412673=DIRECTION('',(1.,0.,0.)); #412674=DIRECTION('',(1.,0.,0.)); #412675=DIRECTION('',(0.,0.,1.)); #412676=DIRECTION('center_axis',(-0.191202802147605,-0.981550553181498, 0.)); #412677=DIRECTION('ref_axis',(0.981550553181498,-0.191202802147605,0.)); #412678=DIRECTION('',(0.981550553181498,-0.191202802147605,0.)); #412679=DIRECTION('',(0.981550553181498,-0.191202802147605,0.)); #412680=DIRECTION('',(0.,0.,1.)); #412681=DIRECTION('center_axis',(-0.552211825696243,-0.833703844036491, 0.)); #412682=DIRECTION('ref_axis',(0.833703844036491,-0.552211825696243,0.)); #412683=DIRECTION('',(0.833703844036491,-0.552211825696243,0.)); #412684=DIRECTION('',(0.833703844036491,-0.552211825696243,0.)); #412685=DIRECTION('',(0.,0.,1.)); #412686=DIRECTION('center_axis',(-0.707106948269843,-0.707106614103213, 0.)); #412687=DIRECTION('ref_axis',(0.707106614103213,-0.707106948269843,0.)); #412688=DIRECTION('',(0.707106614103213,-0.707106948269843,0.)); #412689=DIRECTION('',(0.707106614103213,-0.707106948269843,0.)); #412690=DIRECTION('',(0.,0.,1.)); #412691=DIRECTION('center_axis',(-0.829263274014829,-0.558858141544172, 0.)); #412692=DIRECTION('ref_axis',(0.558858141544172,-0.829263274014829,0.)); #412693=DIRECTION('',(0.558858141544172,-0.829263274014829,0.)); #412694=DIRECTION('',(0.558858141544172,-0.829263274014829,0.)); #412695=DIRECTION('',(0.,0.,1.)); #412696=DIRECTION('center_axis',(-0.979997642221407,-0.199009098386189, 0.)); #412697=DIRECTION('ref_axis',(0.199009098386189,-0.979997642221407,0.)); #412698=DIRECTION('',(0.199009098386189,-0.979997642221407,0.)); #412699=DIRECTION('',(0.199009098386189,-0.979997642221407,0.)); #412700=DIRECTION('',(0.,0.,1.)); #412701=DIRECTION('center_axis',(-1.,0.,0.)); #412702=DIRECTION('ref_axis',(0.,-1.,0.)); #412703=DIRECTION('',(0.,-1.,0.)); #412704=DIRECTION('',(0.,-1.,0.)); #412705=DIRECTION('',(0.,0.,1.)); #412706=DIRECTION('center_axis',(-0.983050540005704,0.183334764282431,0.)); #412707=DIRECTION('ref_axis',(-0.183334764282431,-0.983050540005703,0.)); #412708=DIRECTION('',(-0.183334764282431,-0.983050540005703,0.)); #412709=DIRECTION('',(-0.183334764282431,-0.983050540005703,0.)); #412710=DIRECTION('',(0.,0.,1.)); #412711=DIRECTION('center_axis',(-0.842359346299405,0.538916256668919,0.)); #412712=DIRECTION('ref_axis',(-0.538916256668919,-0.842359346299405,0.)); #412713=DIRECTION('',(-0.538916256668919,-0.842359346299405,0.)); #412714=DIRECTION('',(-0.538916256668919,-0.842359346299405,0.)); #412715=DIRECTION('',(0.,0.,1.)); #412716=DIRECTION('center_axis',(-0.565473758099763,0.824766287441801,0.)); #412717=DIRECTION('ref_axis',(-0.824766287441801,-0.565473758099762,0.)); #412718=DIRECTION('',(-0.824766287441801,-0.565473758099762,0.)); #412719=DIRECTION('',(-0.824766287441801,-0.565473758099762,0.)); #412720=DIRECTION('',(0.,0.,1.)); #412721=DIRECTION('center_axis',(-0.199010641789413,0.97999732879971,0.)); #412722=DIRECTION('ref_axis',(-0.97999732879971,-0.199010641789413,0.)); #412723=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #412724=DIRECTION('',(-0.97999732879971,-0.199010641789413,0.)); #412725=DIRECTION('',(0.,0.,1.)); #412726=DIRECTION('center_axis',(0.,1.,0.)); #412727=DIRECTION('ref_axis',(-1.,0.,0.)); #412728=DIRECTION('',(-1.,0.,0.)); #412729=DIRECTION('',(-1.,0.,0.)); #412730=DIRECTION('',(0.,0.,1.)); #412731=DIRECTION('center_axis',(-0.707104593400163,0.707108968966163,0.)); #412732=DIRECTION('ref_axis',(-0.707108968966163,-0.707104593400163,0.)); #412733=DIRECTION('',(-0.707108968966163,-0.707104593400163,0.)); #412734=DIRECTION('',(-0.707108968966163,-0.707104593400163,0.)); #412735=DIRECTION('',(0.,0.,1.)); #412736=DIRECTION('center_axis',(-0.558865776601971,0.829258128536028,0.)); #412737=DIRECTION('ref_axis',(-0.829258128536028,-0.558865776601971,0.)); #412738=DIRECTION('',(-0.829258128536028,-0.558865776601971,0.)); #412739=DIRECTION('',(-0.829258128536028,-0.558865776601971,0.)); #412740=DIRECTION('',(0.,0.,1.)); #412741=DIRECTION('center_axis',(-0.199006783325535,0.979998112340235,0.)); #412742=DIRECTION('ref_axis',(-0.979998112340235,-0.199006783325535,0.)); #412743=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #412744=DIRECTION('',(-0.979998112340235,-0.199006783325535,0.)); #412745=DIRECTION('',(0.,0.,1.)); #412746=DIRECTION('center_axis',(0.,1.,0.)); #412747=DIRECTION('ref_axis',(-1.,0.,0.)); #412748=DIRECTION('',(-1.,0.,0.)); #412749=DIRECTION('',(-1.,0.,0.)); #412750=DIRECTION('',(0.,0.,1.)); #412751=DIRECTION('center_axis',(0.191191000932803,0.981552851945484,0.)); #412752=DIRECTION('ref_axis',(-0.981552851945484,0.191191000932803,0.)); #412753=DIRECTION('',(-0.981552851945484,0.191191000932803,0.)); #412754=DIRECTION('',(-0.981552851945484,0.191191000932803,0.)); #412755=DIRECTION('',(0.,0.,1.)); #412756=DIRECTION('center_axis',(0.55221870108126,0.833699290017765,0.)); #412757=DIRECTION('ref_axis',(-0.833699290017765,0.55221870108126,0.)); #412758=DIRECTION('',(-0.833699290017765,0.55221870108126,0.)); #412759=DIRECTION('',(-0.833699290017765,0.55221870108126,0.)); #412760=DIRECTION('',(0.,0.,1.)); #412761=DIRECTION('center_axis',(0.707106781186557,0.707106781186538,0.)); #412762=DIRECTION('ref_axis',(-0.707106781186538,0.707106781186557,0.)); #412763=DIRECTION('',(-0.707106781186538,0.707106781186557,0.)); #412764=DIRECTION('',(-0.707106781186538,0.707106781186557,0.)); #412765=DIRECTION('',(0.,0.,1.)); #412766=DIRECTION('center_axis',(0.,1.,0.)); #412767=DIRECTION('ref_axis',(-1.,0.,0.)); #412768=DIRECTION('',(-1.,0.,0.)); #412769=DIRECTION('',(-1.,0.,0.)); #412770=DIRECTION('',(0.,0.,1.)); #412771=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #412772=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #412773=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #412774=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #412775=DIRECTION('',(0.,0.,1.)); #412776=DIRECTION('center_axis',(-1.,0.,0.)); #412777=DIRECTION('ref_axis',(0.,-1.,0.)); #412778=DIRECTION('',(0.,-1.,0.)); #412779=DIRECTION('',(0.,-1.,0.)); #412780=DIRECTION('',(0.,0.,1.)); #412781=DIRECTION('center_axis',(-0.983050395657588,0.183335538282842,0.)); #412782=DIRECTION('ref_axis',(-0.183335538282842,-0.983050395657588,0.)); #412783=DIRECTION('',(-0.183335538282842,-0.983050395657588,0.)); #412784=DIRECTION('',(-0.183335538282842,-0.983050395657588,0.)); #412785=DIRECTION('',(0.,0.,1.)); #412786=DIRECTION('center_axis',(-0.842360495470243,0.538914460439806,0.)); #412787=DIRECTION('ref_axis',(-0.538914460439806,-0.842360495470243,0.)); #412788=DIRECTION('',(-0.538914460439806,-0.842360495470243,0.)); #412789=DIRECTION('',(-0.538914460439806,-0.842360495470243,0.)); #412790=DIRECTION('',(0.,0.,1.)); #412791=DIRECTION('center_axis',(-0.565473758099733,0.824766287441821,0.)); #412792=DIRECTION('ref_axis',(-0.824766287441821,-0.565473758099733,0.)); #412793=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #412794=DIRECTION('',(-0.824766287441821,-0.565473758099733,0.)); #412795=DIRECTION('',(0.,0.,1.)); #412796=DIRECTION('center_axis',(-0.199010641789396,0.979997328799713,0.)); #412797=DIRECTION('ref_axis',(-0.979997328799713,-0.199010641789396,0.)); #412798=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #412799=DIRECTION('',(-0.979997328799713,-0.199010641789396,0.)); #412800=DIRECTION('',(0.,0.,1.)); #412801=DIRECTION('center_axis',(0.,1.,0.)); #412802=DIRECTION('ref_axis',(-1.,0.,0.)); #412803=DIRECTION('',(-1.,0.,0.)); #412804=DIRECTION('',(-1.,0.,0.)); #412805=DIRECTION('',(0.,0.,1.)); #412806=DIRECTION('center_axis',(0.191194865688666,0.981552099144153,0.)); #412807=DIRECTION('ref_axis',(-0.981552099144153,0.191194865688666,0.)); #412808=DIRECTION('',(-0.981552099144153,0.191194865688666,0.)); #412809=DIRECTION('',(-0.981552099144153,0.191194865688666,0.)); #412810=DIRECTION('',(0.,0.,1.)); #412811=DIRECTION('center_axis',(0.552218701081291,0.833699290017745,0.)); #412812=DIRECTION('ref_axis',(-0.833699290017745,0.552218701081291,0.)); #412813=DIRECTION('',(-0.833699290017745,0.552218701081291,0.)); #412814=DIRECTION('',(-0.833699290017745,0.552218701081291,0.)); #412815=DIRECTION('',(0.,0.,1.)); #412816=DIRECTION('center_axis',(0.707106781186544,0.707106781186551,0.)); #412817=DIRECTION('ref_axis',(-0.707106781186551,0.707106781186544,0.)); #412818=DIRECTION('',(-0.707106781186551,0.707106781186544,0.)); #412819=DIRECTION('',(-0.707106781186551,0.707106781186544,0.)); #412820=DIRECTION('',(0.,0.,1.)); #412821=DIRECTION('center_axis',(0.829263917184865,0.558857187173265,0.)); #412822=DIRECTION('ref_axis',(-0.558857187173265,0.829263917184865,0.)); #412823=DIRECTION('',(-0.558857187173265,0.829263917184865,0.)); #412824=DIRECTION('',(-0.558857187173265,0.829263917184865,0.)); #412825=DIRECTION('',(0.,0.,1.)); #412826=DIRECTION('center_axis',(0.979997642221389,0.199009098386274,0.)); #412827=DIRECTION('ref_axis',(-0.199009098386274,0.97999764222139,0.)); #412828=DIRECTION('',(-0.199009098386274,0.97999764222139,0.)); #412829=DIRECTION('',(-0.199009098386274,0.97999764222139,0.)); #412830=DIRECTION('',(0.,0.,1.)); #412831=DIRECTION('center_axis',(1.,0.,0.)); #412832=DIRECTION('ref_axis',(0.,1.,0.)); #412833=DIRECTION('',(0.,1.,0.)); #412834=DIRECTION('',(0.,1.,0.)); #412835=DIRECTION('',(0.,0.,1.)); #412836=DIRECTION('center_axis',(0.981552475550509,-0.191192933291654,0.)); #412837=DIRECTION('ref_axis',(0.191192933291654,0.981552475550509,0.)); #412838=DIRECTION('',(0.191192933291654,0.981552475550509,0.)); #412839=DIRECTION('',(0.191192933291654,0.981552475550509,0.)); #412840=DIRECTION('',(0.,0.,1.)); #412841=DIRECTION('center_axis',(0.833697480131482,-0.55222143350509,0.)); #412842=DIRECTION('ref_axis',(0.55222143350509,0.833697480131482,0.)); #412843=DIRECTION('',(0.55222143350509,0.833697480131482,0.)); #412844=DIRECTION('',(0.55222143350509,0.833697480131482,0.)); #412845=DIRECTION('',(0.,0.,1.)); #412846=DIRECTION('center_axis',(0.707106948269843,-0.707106614103213,0.)); #412847=DIRECTION('ref_axis',(0.707106614103213,0.707106948269843,0.)); #412848=DIRECTION('',(0.707106614103213,0.707106948269843,0.)); #412849=DIRECTION('',(0.707106614103213,0.707106948269843,0.)); #412850=DIRECTION('',(0.,0.,1.)); #412851=DIRECTION('center_axis',(0.558849152006563,-0.82926933218439,0.)); #412852=DIRECTION('ref_axis',(0.82926933218439,0.558849152006563,0.)); #412853=DIRECTION('',(0.82926933218439,0.558849152006563,0.)); #412854=DIRECTION('',(0.82926933218439,0.558849152006563,0.)); #412855=DIRECTION('',(0.,0.,1.)); #412856=DIRECTION('center_axis',(0.199018242080728,-0.979995785357823,0.)); #412857=DIRECTION('ref_axis',(0.979995785357823,0.199018242080728,0.)); #412858=DIRECTION('',(0.979995785357823,0.199018242080728,0.)); #412859=DIRECTION('',(0.979995785357823,0.199018242080728,0.)); #412860=DIRECTION('',(0.,0.,1.)); #412861=DIRECTION('center_axis',(0.,-1.,0.)); #412862=DIRECTION('ref_axis',(1.,0.,0.)); #412863=DIRECTION('',(1.,0.,0.)); #412864=DIRECTION('',(1.,0.,0.)); #412865=DIRECTION('',(0.,0.,1.)); #412866=DIRECTION('center_axis',(0.199017616334687,-0.979995912434567,0.)); #412867=DIRECTION('ref_axis',(0.979995912434567,0.199017616334687,0.)); #412868=DIRECTION('',(0.979995912434567,0.199017616334687,0.)); #412869=DIRECTION('',(0.979995912434567,0.199017616334687,0.)); #412870=DIRECTION('',(0.,0.,1.)); #412871=DIRECTION('center_axis',(0.,-1.,0.)); #412872=DIRECTION('ref_axis',(1.,0.,0.)); #412873=DIRECTION('',(1.,0.,0.)); #412874=DIRECTION('',(1.,0.,0.)); #412875=DIRECTION('',(0.,0.,1.)); #412876=DIRECTION('center_axis',(0.156721321745304,-0.987642864253271,0.)); #412877=DIRECTION('ref_axis',(0.987642864253271,0.156721321745304,0.)); #412878=DIRECTION('',(0.987642864253271,0.156721321745304,0.)); #412879=DIRECTION('',(0.987642864253271,0.156721321745304,0.)); #412880=DIRECTION('',(0.,0.,1.)); #412881=DIRECTION('center_axis',(0.992101446216,-0.125438113889368,0.)); #412882=DIRECTION('ref_axis',(0.125438113889368,0.992101446216,0.)); #412883=DIRECTION('',(0.125438113889368,0.992101446216,0.)); #412884=DIRECTION('',(0.125438113889368,0.992101446216,0.)); #412885=DIRECTION('',(0.,0.,1.)); #412886=DIRECTION('center_axis',(1.,0.,0.)); #412887=DIRECTION('ref_axis',(0.,1.,0.)); #412888=DIRECTION('',(0.,1.,0.)); #412889=DIRECTION('',(0.,1.,0.)); #412890=DIRECTION('',(0.,0.,1.)); #412891=DIRECTION('center_axis',(0.981554520366865,-0.191182435248046,0.)); #412892=DIRECTION('ref_axis',(0.191182435248046,0.981554520366865,0.)); #412893=DIRECTION('',(0.191182435248046,0.981554520366865,0.)); #412894=DIRECTION('',(0.191182435248046,0.981554520366865,0.)); #412895=DIRECTION('',(0.,0.,1.)); #412896=DIRECTION('center_axis',(1.,0.,0.)); #412897=DIRECTION('ref_axis',(0.,1.,0.)); #412898=DIRECTION('',(0.,1.,0.)); #412899=DIRECTION('',(0.,1.,0.)); #412900=DIRECTION('',(0.,0.,1.)); #412901=DIRECTION('center_axis',(0.998535975735964,-0.0540916367013057, 0.)); #412902=DIRECTION('ref_axis',(0.0540916367013057,0.998535975735964,0.)); #412903=DIRECTION('',(0.0540916367013057,0.998535975735964,0.)); #412904=DIRECTION('',(0.0540916367013057,0.998535975735964,0.)); #412905=DIRECTION('',(0.,0.,1.)); #412906=DIRECTION('center_axis',(0.994136450140409,-0.108132874290041,0.)); #412907=DIRECTION('ref_axis',(0.108132874290041,0.994136450140409,0.)); #412908=DIRECTION('',(0.108132874290041,0.994136450140409,0.)); #412909=DIRECTION('',(0.108132874290041,0.994136450140409,0.)); #412910=DIRECTION('',(0.,0.,1.)); #412911=DIRECTION('center_axis',(1.,0.,0.)); #412912=DIRECTION('ref_axis',(0.,1.,0.)); #412913=DIRECTION('',(0.,1.,0.)); #412914=DIRECTION('',(0.,1.,0.)); #412915=DIRECTION('',(0.,0.,1.)); #412916=DIRECTION('center_axis',(0.982315373407576,-0.187233830188712,0.)); #412917=DIRECTION('ref_axis',(0.187233830188712,0.982315373407576,0.)); #412918=DIRECTION('',(0.187233830188712,0.982315373407575,0.)); #412919=DIRECTION('',(0.187233830188712,0.982315373407575,0.)); #412920=DIRECTION('',(0.,0.,1.)); #412921=DIRECTION('center_axis',(0.838042095378286,-0.545605577660247,0.)); #412922=DIRECTION('ref_axis',(0.545605577660247,0.838042095378286,0.)); #412923=DIRECTION('',(0.545605577660247,0.838042095378286,0.)); #412924=DIRECTION('',(0.545605577660247,0.838042095378286,0.)); #412925=DIRECTION('',(0.,0.,1.)); #412926=DIRECTION('center_axis',(0.558867245542021,-0.829257138564556,0.)); #412927=DIRECTION('ref_axis',(0.829257138564556,0.558867245542021,0.)); #412928=DIRECTION('',(0.829257138564556,0.558867245542021,0.)); #412929=DIRECTION('',(0.829257138564556,0.558867245542021,0.)); #412930=DIRECTION('',(0.,0.,1.)); #412931=DIRECTION('center_axis',(1.,0.,0.)); #412932=DIRECTION('ref_axis',(0.,1.,0.)); #412933=DIRECTION('',(0.,1.,0.)); #412934=DIRECTION('',(0.,1.,0.)); #412935=DIRECTION('',(0.,0.,1.)); #412936=DIRECTION('center_axis',(0.,-1.,0.)); #412937=DIRECTION('ref_axis',(1.,0.,0.)); #412938=DIRECTION('',(1.,0.,0.)); #412939=DIRECTION('',(1.,0.,0.)); #412940=DIRECTION('',(0.,0.,1.)); #412941=DIRECTION('center_axis',(0.707105741061306,-0.707107821310259,0.)); #412942=DIRECTION('ref_axis',(0.707107821310259,0.707105741061306,0.)); #412943=DIRECTION('',(0.707107821310259,0.707105741061306,0.)); #412944=DIRECTION('',(0.707107821310259,0.707105741061306,0.)); #412945=DIRECTION('',(0.,0.,1.)); #412946=DIRECTION('center_axis',(0.923883289882689,-0.382674361142132,0.)); #412947=DIRECTION('ref_axis',(0.382674361142132,0.923883289882689,0.)); #412948=DIRECTION('',(0.382674361142132,0.923883289882689,0.)); #412949=DIRECTION('',(0.382674361142132,0.923883289882689,0.)); #412950=DIRECTION('',(0.,0.,1.)); #412951=DIRECTION('center_axis',(1.,0.,0.)); #412952=DIRECTION('ref_axis',(0.,1.,0.)); #412953=DIRECTION('',(0.,1.,0.)); #412954=DIRECTION('',(0.,1.,0.)); #412955=DIRECTION('',(0.,0.,1.)); #412956=DIRECTION('center_axis',(0.923883289882689,0.382674361142133,0.)); #412957=DIRECTION('ref_axis',(-0.382674361142133,0.923883289882689,0.)); #412958=DIRECTION('',(-0.382674361142133,0.923883289882689,0.)); #412959=DIRECTION('',(-0.382674361142133,0.923883289882689,0.)); #412960=DIRECTION('',(0.,0.,1.)); #412961=DIRECTION('center_axis',(0.707048183605379,0.707165373912166,0.)); #412962=DIRECTION('ref_axis',(-0.707165373912166,0.707048183605379,0.)); #412963=DIRECTION('',(-0.707165373912166,0.707048183605379,0.)); #412964=DIRECTION('',(-0.707165373912166,0.707048183605379,0.)); #412965=DIRECTION('',(0.,0.,1.)); #412966=DIRECTION('center_axis',(0.824748879658343,0.565499147216251,0.)); #412967=DIRECTION('ref_axis',(-0.565499147216251,0.824748879658343,0.)); #412968=DIRECTION('',(-0.565499147216251,0.824748879658343,0.)); #412969=DIRECTION('',(-0.565499147216251,0.824748879658343,0.)); #412970=DIRECTION('',(0.,0.,1.)); #412971=DIRECTION('center_axis',(0.976703024857833,0.21459543618996,0.)); #412972=DIRECTION('ref_axis',(-0.21459543618996,0.976703024857833,0.)); #412973=DIRECTION('',(-0.21459543618996,0.976703024857833,0.)); #412974=DIRECTION('',(-0.21459543618996,0.976703024857833,0.)); #412975=DIRECTION('',(0.,0.,1.)); #412976=DIRECTION('center_axis',(0.983041654589817,-0.183382401940083,0.)); #412977=DIRECTION('ref_axis',(0.183382401940083,0.983041654589817,0.)); #412978=DIRECTION('',(0.183382401940083,0.983041654589817,0.)); #412979=DIRECTION('',(0.183382401940083,0.983041654589817,0.)); #412980=DIRECTION('',(0.,0.,1.)); #412981=DIRECTION('center_axis',(0.833696876830075,-0.552222344317738,0.)); #412982=DIRECTION('ref_axis',(0.552222344317738,0.833696876830075,0.)); #412983=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #412984=DIRECTION('',(0.552222344317738,0.833696876830075,0.)); #412985=DIRECTION('',(0.,0.,1.)); #412986=DIRECTION('center_axis',(0.707107020454175,-0.707106541918839,0.)); #412987=DIRECTION('ref_axis',(0.707106541918839,0.707107020454175,0.)); #412988=DIRECTION('',(0.707106541918839,0.707107020454175,0.)); #412989=DIRECTION('',(0.707106541918839,0.707107020454175,0.)); #412990=DIRECTION('',(0.,0.,1.)); #412991=DIRECTION('center_axis',(0.558849152006532,-0.829269332184412,0.)); #412992=DIRECTION('ref_axis',(0.829269332184412,0.558849152006532,0.)); #412993=DIRECTION('',(0.829269332184412,0.558849152006532,0.)); #412994=DIRECTION('',(0.829269332184412,0.558849152006532,0.)); #412995=DIRECTION('center_axis',(0.,0.,1.)); #412996=DIRECTION('ref_axis',(1.,0.,0.)); #412997=DIRECTION('center_axis',(0.,0.,1.)); #412998=DIRECTION('ref_axis',(1.,0.,0.)); #412999=DIRECTION('center_axis',(0.,0.,1.)); #413000=DIRECTION('ref_axis',(1.,0.,0.)); #413001=DIRECTION('center_axis',(0.,0.,1.)); #413002=DIRECTION('ref_axis',(1.,0.,0.)); #413003=DIRECTION('',(0.,0.,1.)); #413004=DIRECTION('center_axis',(0.,0.,-1.)); #413005=DIRECTION('ref_axis',(1.,0.,0.)); #413006=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #413007=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #413008=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #413009=DIRECTION('',(0.,0.,1.)); #413010=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #413011=DIRECTION('',(0.,0.,1.)); #413012=DIRECTION('center_axis',(0.,0.,1.)); #413013=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #413014=DIRECTION('center_axis',(0.,0.,1.)); #413015=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #413016=DIRECTION('center_axis',(0.,0.,1.)); #413017=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #413018=DIRECTION('',(0.,0.,1.)); #413019=DIRECTION('center_axis',(0.,0.,1.)); #413020=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #413021=DIRECTION('center_axis',(0.,0.,1.)); #413022=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #413023=DIRECTION('center_axis',(0.,0.,1.)); #413024=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #413025=DIRECTION('center_axis',(0.,0.,1.)); #413026=DIRECTION('ref_axis',(1.,0.,0.)); #413027=DIRECTION('center_axis',(0.,0.,1.)); #413028=DIRECTION('ref_axis',(1.,0.,0.)); #413029=DIRECTION('center_axis',(0.,0.,1.)); #413030=DIRECTION('ref_axis',(1.,0.,0.)); #413031=DIRECTION('center_axis',(0.,0.,1.)); #413032=DIRECTION('ref_axis',(1.,0.,0.)); #413033=DIRECTION('',(0.,0.,1.)); #413034=DIRECTION('center_axis',(0.,0.,-1.)); #413035=DIRECTION('ref_axis',(1.,0.,0.)); #413036=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #413037=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #413038=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #413039=DIRECTION('',(0.,0.,1.)); #413040=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #413041=DIRECTION('',(0.,0.,1.)); #413042=DIRECTION('center_axis',(0.,0.,1.)); #413043=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #413044=DIRECTION('center_axis',(0.,0.,1.)); #413045=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #413046=DIRECTION('center_axis',(0.,0.,1.)); #413047=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #413048=DIRECTION('',(0.,0.,1.)); #413049=DIRECTION('center_axis',(0.,0.,1.)); #413050=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #413051=DIRECTION('center_axis',(0.,0.,1.)); #413052=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #413053=DIRECTION('center_axis',(0.,0.,1.)); #413054=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #413055=DIRECTION('center_axis',(0.,0.,1.)); #413056=DIRECTION('ref_axis',(1.,0.,0.)); #413057=DIRECTION('center_axis',(0.,0.,1.)); #413058=DIRECTION('ref_axis',(1.,0.,0.)); #413059=DIRECTION('center_axis',(0.,0.,1.)); #413060=DIRECTION('ref_axis',(1.,0.,0.)); #413061=DIRECTION('center_axis',(0.,0.,1.)); #413062=DIRECTION('ref_axis',(1.,0.,0.)); #413063=DIRECTION('',(0.,0.,1.)); #413064=DIRECTION('center_axis',(0.,0.,-1.)); #413065=DIRECTION('ref_axis',(1.,0.,0.)); #413066=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #413067=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #413068=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #413069=DIRECTION('',(0.,0.,1.)); #413070=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #413071=DIRECTION('',(0.,0.,1.)); #413072=DIRECTION('center_axis',(0.,0.,1.)); #413073=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #413074=DIRECTION('center_axis',(0.,0.,1.)); #413075=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #413076=DIRECTION('center_axis',(0.,0.,1.)); #413077=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #413078=DIRECTION('',(0.,0.,1.)); #413079=DIRECTION('center_axis',(0.,0.,1.)); #413080=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #413081=DIRECTION('center_axis',(0.,0.,1.)); #413082=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #413083=DIRECTION('center_axis',(0.,0.,1.)); #413084=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #413085=DIRECTION('center_axis',(0.,0.,1.)); #413086=DIRECTION('ref_axis',(1.,0.,0.)); #413087=DIRECTION('center_axis',(0.,0.,1.)); #413088=DIRECTION('ref_axis',(1.,0.,0.)); #413089=DIRECTION('center_axis',(0.,0.,1.)); #413090=DIRECTION('ref_axis',(1.,0.,0.)); #413091=DIRECTION('center_axis',(0.,0.,1.)); #413092=DIRECTION('ref_axis',(1.,0.,0.)); #413093=DIRECTION('',(0.,0.,1.)); #413094=DIRECTION('center_axis',(0.,0.,-1.)); #413095=DIRECTION('ref_axis',(1.,0.,0.)); #413096=DIRECTION('center_axis',(0.0979988322081603,-0.995186529694729, 0.)); #413097=DIRECTION('ref_axis',(0.995186529694729,0.0979988322081603,0.)); #413098=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #413099=DIRECTION('',(0.,0.,1.)); #413100=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #413101=DIRECTION('',(0.,0.,1.)); #413102=DIRECTION('center_axis',(0.,0.,1.)); #413103=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #413104=DIRECTION('center_axis',(0.,0.,1.)); #413105=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #413106=DIRECTION('center_axis',(0.,0.,1.)); #413107=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #413108=DIRECTION('',(0.,0.,1.)); #413109=DIRECTION('center_axis',(0.,0.,1.)); #413110=DIRECTION('ref_axis',(0.146731885739879,-0.989176300619469,0.)); #413111=DIRECTION('center_axis',(0.,0.,1.)); #413112=DIRECTION('ref_axis',(0.146731885739879,-0.989176300619469,0.)); #413113=DIRECTION('center_axis',(0.,0.,1.)); #413114=DIRECTION('ref_axis',(0.146731885739879,-0.989176300619469,0.)); #413115=DIRECTION('center_axis',(0.,0.,1.)); #413116=DIRECTION('ref_axis',(1.,0.,0.)); #413117=DIRECTION('center_axis',(0.,0.,1.)); #413118=DIRECTION('ref_axis',(1.,0.,0.)); #413119=DIRECTION('center_axis',(0.,0.,1.)); #413120=DIRECTION('ref_axis',(1.,0.,0.)); #413121=DIRECTION('center_axis',(0.,0.,1.)); #413122=DIRECTION('ref_axis',(1.,0.,0.)); #413123=DIRECTION('',(0.,0.,1.)); #413124=DIRECTION('center_axis',(0.,0.,-1.)); #413125=DIRECTION('ref_axis',(1.,0.,0.)); #413126=DIRECTION('center_axis',(1.,0.,0.)); #413127=DIRECTION('ref_axis',(0.,1.,0.)); #413128=DIRECTION('',(0.,1.,0.)); #413129=DIRECTION('',(0.,0.,1.)); #413130=DIRECTION('',(0.,1.,0.)); #413131=DIRECTION('',(0.,0.,1.)); #413132=DIRECTION('center_axis',(0.,-1.,0.)); #413133=DIRECTION('ref_axis',(1.,0.,0.)); #413134=DIRECTION('',(1.,0.,0.)); #413135=DIRECTION('',(1.,0.,0.)); #413136=DIRECTION('',(0.,0.,1.)); #413137=DIRECTION('center_axis',(-1.,0.,0.)); #413138=DIRECTION('ref_axis',(0.,-1.,0.)); #413139=DIRECTION('',(0.,-1.,0.)); #413140=DIRECTION('',(0.,-1.,0.)); #413141=DIRECTION('',(0.,0.,1.)); #413142=DIRECTION('center_axis',(0.,1.,0.)); #413143=DIRECTION('ref_axis',(-1.,0.,0.)); #413144=DIRECTION('',(-1.,0.,0.)); #413145=DIRECTION('',(-1.,0.,0.)); #413146=DIRECTION('center_axis',(0.,0.,1.)); #413147=DIRECTION('ref_axis',(1.,0.,0.)); #413148=DIRECTION('center_axis',(0.,0.,1.)); #413149=DIRECTION('ref_axis',(1.,0.,0.)); #413150=DIRECTION('center_axis',(0.,0.,1.)); #413151=DIRECTION('ref_axis',(1.,0.,0.)); #413152=DIRECTION('center_axis',(0.,0.,1.)); #413153=DIRECTION('ref_axis',(1.,0.,0.)); #413154=DIRECTION('',(0.,0.,1.)); #413155=DIRECTION('center_axis',(0.,0.,-1.)); #413156=DIRECTION('ref_axis',(1.,0.,0.)); #413157=DIRECTION('center_axis',(0.0980028398661646,-0.995186135041162, 0.)); #413158=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661646,0.)); #413159=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #413160=DIRECTION('',(0.,0.,1.)); #413161=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #413162=DIRECTION('',(0.,0.,1.)); #413163=DIRECTION('center_axis',(0.,0.,1.)); #413164=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #413165=DIRECTION('center_axis',(0.,0.,1.)); #413166=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #413167=DIRECTION('center_axis',(0.,0.,1.)); #413168=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #413169=DIRECTION('',(0.,0.,1.)); #413170=DIRECTION('center_axis',(0.,0.,1.)); #413171=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #413172=DIRECTION('center_axis',(0.,0.,1.)); #413173=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #413174=DIRECTION('center_axis',(0.,0.,1.)); #413175=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #413176=DIRECTION('center_axis',(0.,0.,1.)); #413177=DIRECTION('ref_axis',(1.,0.,0.)); #413178=DIRECTION('center_axis',(0.,0.,1.)); #413179=DIRECTION('ref_axis',(1.,0.,0.)); #413180=DIRECTION('center_axis',(0.,0.,1.)); #413181=DIRECTION('ref_axis',(1.,0.,0.)); #413182=DIRECTION('center_axis',(0.,0.,1.)); #413183=DIRECTION('ref_axis',(1.,0.,0.)); #413184=DIRECTION('',(0.,0.,1.)); #413185=DIRECTION('center_axis',(0.,0.,-1.)); #413186=DIRECTION('ref_axis',(1.,0.,0.)); #413187=DIRECTION('center_axis',(0.0980028398661675,-0.995186135041162, 0.)); #413188=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661675,0.)); #413189=DIRECTION('',(0.995186135041162,0.0980028398661675,0.)); #413190=DIRECTION('',(0.,0.,1.)); #413191=DIRECTION('',(0.995186135041162,0.0980028398661675,0.)); #413192=DIRECTION('',(0.,0.,1.)); #413193=DIRECTION('center_axis',(0.,0.,1.)); #413194=DIRECTION('ref_axis',(-0.14673120156606,0.989176402107824,0.)); #413195=DIRECTION('center_axis',(0.,0.,1.)); #413196=DIRECTION('ref_axis',(-0.14673120156606,0.989176402107824,0.)); #413197=DIRECTION('center_axis',(0.,0.,1.)); #413198=DIRECTION('ref_axis',(-0.14673120156606,0.989176402107824,0.)); #413199=DIRECTION('',(0.,0.,1.)); #413200=DIRECTION('center_axis',(0.,0.,1.)); #413201=DIRECTION('ref_axis',(0.146730854749293,-0.98917645355343,0.)); #413202=DIRECTION('center_axis',(0.,0.,1.)); #413203=DIRECTION('ref_axis',(0.146730854749293,-0.98917645355343,0.)); #413204=DIRECTION('center_axis',(0.,0.,1.)); #413205=DIRECTION('ref_axis',(0.146730854749293,-0.98917645355343,0.)); #413206=DIRECTION('center_axis',(0.,0.,1.)); #413207=DIRECTION('ref_axis',(1.,0.,0.)); #413208=DIRECTION('center_axis',(0.,0.,1.)); #413209=DIRECTION('ref_axis',(1.,0.,0.)); #413210=DIRECTION('center_axis',(0.,0.,1.)); #413211=DIRECTION('ref_axis',(1.,0.,0.)); #413212=DIRECTION('center_axis',(0.,0.,1.)); #413213=DIRECTION('ref_axis',(1.,0.,0.)); #413214=DIRECTION('',(0.,0.,1.)); #413215=DIRECTION('center_axis',(0.,0.,-1.)); #413216=DIRECTION('ref_axis',(1.,0.,0.)); #413217=DIRECTION('center_axis',(0.087162214881199,-0.996194131832247,0.)); #413218=DIRECTION('ref_axis',(0.996194131832247,0.087162214881199,0.)); #413219=DIRECTION('',(0.996194131832247,0.087162214881199,0.)); #413220=DIRECTION('',(0.,0.,1.)); #413221=DIRECTION('',(0.996194131832247,0.087162214881199,0.)); #413222=DIRECTION('',(0.,0.,1.)); #413223=DIRECTION('center_axis',(0.,0.,1.)); #413224=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #413225=DIRECTION('center_axis',(0.,0.,1.)); #413226=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #413227=DIRECTION('center_axis',(0.,0.,1.)); #413228=DIRECTION('ref_axis',(-0.13052496521306,0.991445022911573,0.)); #413229=DIRECTION('',(0.,0.,1.)); #413230=DIRECTION('center_axis',(0.,0.,1.)); #413231=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #413232=DIRECTION('center_axis',(0.,0.,1.)); #413233=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #413234=DIRECTION('center_axis',(0.,0.,1.)); #413235=DIRECTION('ref_axis',(0.130524633828455,-0.99144506653871,0.)); #413236=DIRECTION('center_axis',(0.,0.,1.)); #413237=DIRECTION('ref_axis',(1.,0.,0.)); #413238=DIRECTION('center_axis',(0.,0.,1.)); #413239=DIRECTION('ref_axis',(1.,0.,0.)); #413240=DIRECTION('center_axis',(0.,0.,1.)); #413241=DIRECTION('ref_axis',(1.,0.,0.)); #413242=DIRECTION('center_axis',(0.,0.,1.)); #413243=DIRECTION('ref_axis',(1.,0.,0.)); #413244=DIRECTION('',(0.,0.,1.)); #413245=DIRECTION('center_axis',(0.,0.,-1.)); #413246=DIRECTION('ref_axis',(1.,0.,0.)); #413247=DIRECTION('center_axis',(0.0980028398661646,-0.995186135041162, 0.)); #413248=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661646,0.)); #413249=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #413250=DIRECTION('',(0.,0.,1.)); #413251=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #413252=DIRECTION('',(0.,0.,1.)); #413253=DIRECTION('center_axis',(0.,0.,1.)); #413254=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #413255=DIRECTION('center_axis',(0.,0.,1.)); #413256=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #413257=DIRECTION('center_axis',(0.,0.,1.)); #413258=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #413259=DIRECTION('',(0.,0.,1.)); #413260=DIRECTION('center_axis',(0.,0.,1.)); #413261=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #413262=DIRECTION('center_axis',(0.,0.,1.)); #413263=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #413264=DIRECTION('center_axis',(0.,0.,1.)); #413265=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #413266=DIRECTION('center_axis',(0.,0.,1.)); #413267=DIRECTION('ref_axis',(1.,0.,0.)); #413268=DIRECTION('center_axis',(0.,0.,1.)); #413269=DIRECTION('ref_axis',(1.,0.,0.)); #413270=DIRECTION('center_axis',(1.,0.,0.)); #413271=DIRECTION('ref_axis',(0.,1.,0.)); #413272=DIRECTION('',(0.,-1.,0.)); #413273=DIRECTION('',(0.,0.,1.)); #413274=DIRECTION('',(0.,1.,0.)); #413275=DIRECTION('',(0.,0.,1.)); #413276=DIRECTION('center_axis',(0.,0.,1.)); #413277=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #413278=DIRECTION('center_axis',(0.,0.,-1.)); #413279=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #413280=DIRECTION('center_axis',(0.,0.,1.)); #413281=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #413282=DIRECTION('',(0.,0.,1.)); #413283=DIRECTION('center_axis',(-1.,0.,0.)); #413284=DIRECTION('ref_axis',(0.,-1.,0.)); #413285=DIRECTION('',(0.,1.,0.)); #413286=DIRECTION('',(0.,-1.,0.)); #413287=DIRECTION('',(0.,0.,1.)); #413288=DIRECTION('center_axis',(0.,0.,1.)); #413289=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #413290=DIRECTION('center_axis',(0.,0.,-1.)); #413291=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #413292=DIRECTION('center_axis',(0.,0.,1.)); #413293=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #413294=DIRECTION('center_axis',(0.111945831198929,-0.993714310492297,0.)); #413295=DIRECTION('ref_axis',(0.993714310492297,0.111945831198929,0.)); #413296=DIRECTION('',(0.993714310492297,0.111945831198929,0.)); #413297=DIRECTION('',(0.,0.,1.)); #413298=DIRECTION('',(0.993714310492297,0.111945831198929,0.)); #413299=DIRECTION('',(0.,0.,1.)); #413300=DIRECTION('center_axis',(0.,-1.,0.)); #413301=DIRECTION('ref_axis',(1.,0.,0.)); #413302=DIRECTION('',(1.,0.,0.)); #413303=DIRECTION('',(1.,0.,0.)); #413304=DIRECTION('',(0.,0.,1.)); #413305=DIRECTION('center_axis',(-0.111945831198937,-0.993714310492296, 0.)); #413306=DIRECTION('ref_axis',(0.993714310492296,-0.111945831198937,0.)); #413307=DIRECTION('',(0.993714310492296,-0.111945831198937,0.)); #413308=DIRECTION('',(0.993714310492296,-0.111945831198937,0.)); #413309=DIRECTION('',(0.,0.,1.)); #413310=DIRECTION('center_axis',(-0.222535347290555,-0.974924622320245, 0.)); #413311=DIRECTION('ref_axis',(0.974924622320245,-0.222535347290555,0.)); #413312=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #413313=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #413314=DIRECTION('',(0.,0.,1.)); #413315=DIRECTION('center_axis',(-0.330292737303257,-0.943878544985912, 0.)); #413316=DIRECTION('ref_axis',(0.943878544985912,-0.330292737303257,0.)); #413317=DIRECTION('',(0.943878544985912,-0.330292737303257,0.)); #413318=DIRECTION('',(0.943878544985912,-0.330292737303257,0.)); #413319=DIRECTION('',(0.,0.,1.)); #413320=DIRECTION('center_axis',(-0.43386608850586,-0.900977367776031,0.)); #413321=DIRECTION('ref_axis',(0.900977367776031,-0.43386608850586,0.)); #413322=DIRECTION('',(0.900977367776031,-0.43386608850586,0.)); #413323=DIRECTION('',(0.900977367776031,-0.43386608850586,0.)); #413324=DIRECTION('',(0.,0.,1.)); #413325=DIRECTION('center_axis',(-0.532028152553409,-0.846726664804296, 0.)); #413326=DIRECTION('ref_axis',(0.846726664804296,-0.532028152553409,0.)); #413327=DIRECTION('',(0.846726664804296,-0.532028152553409,0.)); #413328=DIRECTION('',(0.846726664804296,-0.532028152553409,0.)); #413329=DIRECTION('',(0.,0.,1.)); #413330=DIRECTION('center_axis',(-0.623490076319921,-0.781831263592457, 0.)); #413331=DIRECTION('ref_axis',(0.781831263592457,-0.623490076319921,0.)); #413332=DIRECTION('',(0.781831263592457,-0.623490076319921,0.)); #413333=DIRECTION('',(0.781831263592457,-0.623490076319921,0.)); #413334=DIRECTION('',(0.,0.,1.)); #413335=DIRECTION('center_axis',(-0.707109209369744,-0.707104352995013, 0.)); #413336=DIRECTION('ref_axis',(0.707104352995013,-0.707109209369744,0.)); #413337=DIRECTION('',(0.707104352995013,-0.707109209369744,0.)); #413338=DIRECTION('',(0.707104352995013,-0.707109209369744,0.)); #413339=DIRECTION('',(0.,0.,1.)); #413340=DIRECTION('center_axis',(-0.781826848571423,-0.623495612536991, 0.)); #413341=DIRECTION('ref_axis',(0.623495612536991,-0.781826848571423,0.)); #413342=DIRECTION('',(0.623495612536991,-0.781826848571423,0.)); #413343=DIRECTION('',(0.623495612536991,-0.781826848571423,0.)); #413344=DIRECTION('',(0.,0.,1.)); #413345=DIRECTION('center_axis',(-0.846733144041853,-0.532017840660441, 0.)); #413346=DIRECTION('ref_axis',(0.532017840660441,-0.846733144041853,0.)); #413347=DIRECTION('',(0.532017840660441,-0.846733144041853,0.)); #413348=DIRECTION('',(0.532017840660441,-0.846733144041853,0.)); #413349=DIRECTION('',(0.,0.,1.)); #413350=DIRECTION('center_axis',(-0.900976172282534,-0.433868571089349, 0.)); #413351=DIRECTION('ref_axis',(0.433868571089349,-0.900976172282534,0.)); #413352=DIRECTION('',(0.433868571089349,-0.900976172282534,0.)); #413353=DIRECTION('',(0.433868571089349,-0.900976172282534,0.)); #413354=DIRECTION('',(0.,0.,1.)); #413355=DIRECTION('center_axis',(-0.943879402871124,-0.330290285711902, 0.)); #413356=DIRECTION('ref_axis',(0.330290285711902,-0.943879402871124,0.)); #413357=DIRECTION('',(0.330290285711902,-0.943879402871124,0.)); #413358=DIRECTION('',(0.330290285711902,-0.943879402871124,0.)); #413359=DIRECTION('',(0.,0.,1.)); #413360=DIRECTION('center_axis',(-0.97492427111126,-0.222536885927206,0.)); #413361=DIRECTION('ref_axis',(0.222536885927206,-0.97492427111126,0.)); #413362=DIRECTION('',(0.222536885927206,-0.97492427111126,0.)); #413363=DIRECTION('',(0.222536885927206,-0.97492427111126,0.)); #413364=DIRECTION('',(0.,0.,1.)); #413365=DIRECTION('center_axis',(-0.993713488739879,-0.111953125442832, 0.)); #413366=DIRECTION('ref_axis',(0.111953125442832,-0.993713488739879,0.)); #413367=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #413368=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #413369=DIRECTION('',(0.,0.,1.)); #413370=DIRECTION('center_axis',(-1.,0.,0.)); #413371=DIRECTION('ref_axis',(0.,-1.,0.)); #413372=DIRECTION('',(0.,-1.,0.)); #413373=DIRECTION('',(0.,-1.,0.)); #413374=DIRECTION('',(0.,0.,1.)); #413375=DIRECTION('center_axis',(-0.993713488739879,0.111953125442832,0.)); #413376=DIRECTION('ref_axis',(-0.111953125442832,-0.993713488739879,0.)); #413377=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #413378=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #413379=DIRECTION('',(0.,0.,1.)); #413380=DIRECTION('center_axis',(-0.97492427111126,0.222536885927205,0.)); #413381=DIRECTION('ref_axis',(-0.222536885927205,-0.97492427111126,0.)); #413382=DIRECTION('',(-0.222536885927205,-0.97492427111126,0.)); #413383=DIRECTION('',(-0.222536885927205,-0.97492427111126,0.)); #413384=DIRECTION('',(0.,0.,1.)); #413385=DIRECTION('center_axis',(-0.943879402871124,0.330290285711902,0.)); #413386=DIRECTION('ref_axis',(-0.330290285711902,-0.943879402871124,0.)); #413387=DIRECTION('',(-0.330290285711902,-0.943879402871124,0.)); #413388=DIRECTION('',(-0.330290285711902,-0.943879402871124,0.)); #413389=DIRECTION('',(0.,0.,1.)); #413390=DIRECTION('center_axis',(-0.900976172282534,0.433868571089349,0.)); #413391=DIRECTION('ref_axis',(-0.433868571089349,-0.900976172282534,0.)); #413392=DIRECTION('',(-0.433868571089349,-0.900976172282534,0.)); #413393=DIRECTION('',(-0.433868571089349,-0.900976172282534,0.)); #413394=DIRECTION('',(0.,0.,1.)); #413395=DIRECTION('center_axis',(-0.846733144041855,0.532017840660439,0.)); #413396=DIRECTION('ref_axis',(-0.532017840660439,-0.846733144041855,0.)); #413397=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #413398=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #413399=DIRECTION('',(0.,0.,1.)); #413400=DIRECTION('center_axis',(-0.781826848571419,0.623495612536995,0.)); #413401=DIRECTION('ref_axis',(-0.623495612536995,-0.781826848571419,0.)); #413402=DIRECTION('',(-0.623495612536995,-0.781826848571419,0.)); #413403=DIRECTION('',(-0.623495612536995,-0.781826848571419,0.)); #413404=DIRECTION('',(0.,0.,1.)); #413405=DIRECTION('center_axis',(-0.707109209369744,0.707104352995013,0.)); #413406=DIRECTION('ref_axis',(-0.707104352995013,-0.707109209369744,0.)); #413407=DIRECTION('',(-0.707104352995013,-0.707109209369744,0.)); #413408=DIRECTION('',(-0.707104352995013,-0.707109209369744,0.)); #413409=DIRECTION('',(0.,0.,1.)); #413410=DIRECTION('center_axis',(-0.623490076319921,0.781831263592457,0.)); #413411=DIRECTION('ref_axis',(-0.781831263592457,-0.623490076319921,0.)); #413412=DIRECTION('',(-0.781831263592457,-0.623490076319921,0.)); #413413=DIRECTION('',(-0.781831263592457,-0.623490076319921,0.)); #413414=DIRECTION('',(0.,0.,1.)); #413415=DIRECTION('center_axis',(-0.532028152553415,0.846726664804292,0.)); #413416=DIRECTION('ref_axis',(-0.846726664804292,-0.532028152553415,0.)); #413417=DIRECTION('',(-0.846726664804292,-0.532028152553415,0.)); #413418=DIRECTION('',(-0.846726664804292,-0.532028152553415,0.)); #413419=DIRECTION('',(0.,0.,1.)); #413420=DIRECTION('center_axis',(-0.43386608850586,0.900977367776031,0.)); #413421=DIRECTION('ref_axis',(-0.900977367776031,-0.43386608850586,0.)); #413422=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #413423=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #413424=DIRECTION('',(0.,0.,1.)); #413425=DIRECTION('center_axis',(-0.330292737303257,0.943878544985912,0.)); #413426=DIRECTION('ref_axis',(-0.943878544985912,-0.330292737303257,0.)); #413427=DIRECTION('',(-0.943878544985912,-0.330292737303257,0.)); #413428=DIRECTION('',(-0.943878544985912,-0.330292737303257,0.)); #413429=DIRECTION('',(0.,0.,1.)); #413430=DIRECTION('center_axis',(-0.222535347290555,0.974924622320245,0.)); #413431=DIRECTION('ref_axis',(-0.974924622320245,-0.222535347290555,0.)); #413432=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #413433=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #413434=DIRECTION('',(0.,0.,1.)); #413435=DIRECTION('center_axis',(-0.111945831198937,0.993714310492296,0.)); #413436=DIRECTION('ref_axis',(-0.993714310492296,-0.111945831198937,0.)); #413437=DIRECTION('',(-0.993714310492296,-0.111945831198937,0.)); #413438=DIRECTION('',(-0.993714310492296,-0.111945831198937,0.)); #413439=DIRECTION('',(0.,0.,1.)); #413440=DIRECTION('center_axis',(0.,1.,0.)); #413441=DIRECTION('ref_axis',(-1.,0.,0.)); #413442=DIRECTION('',(-1.,0.,0.)); #413443=DIRECTION('',(-1.,0.,0.)); #413444=DIRECTION('',(0.,0.,1.)); #413445=DIRECTION('center_axis',(0.111945831198929,0.993714310492297,0.)); #413446=DIRECTION('ref_axis',(-0.993714310492297,0.111945831198929,0.)); #413447=DIRECTION('',(-0.993714310492297,0.111945831198929,0.)); #413448=DIRECTION('',(-0.993714310492297,0.111945831198929,0.)); #413449=DIRECTION('',(0.,0.,1.)); #413450=DIRECTION('center_axis',(0.222535347290587,0.974924622320238,0.)); #413451=DIRECTION('ref_axis',(-0.974924622320238,0.222535347290587,0.)); #413452=DIRECTION('',(-0.974924622320238,0.222535347290587,0.)); #413453=DIRECTION('',(-0.974924622320238,0.222535347290587,0.)); #413454=DIRECTION('',(0.,0.,1.)); #413455=DIRECTION('center_axis',(0.330292737303213,0.943878544985927,0.)); #413456=DIRECTION('ref_axis',(-0.943878544985927,0.330292737303213,0.)); #413457=DIRECTION('',(-0.943878544985927,0.330292737303213,0.)); #413458=DIRECTION('',(-0.943878544985927,0.330292737303213,0.)); #413459=DIRECTION('',(0.,0.,1.)); #413460=DIRECTION('center_axis',(0.433866088505888,0.900977367776018,0.)); #413461=DIRECTION('ref_axis',(-0.900977367776017,0.433866088505888,0.)); #413462=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #413463=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #413464=DIRECTION('',(0.,0.,1.)); #413465=DIRECTION('center_axis',(0.532028152553383,0.846726664804312,0.)); #413466=DIRECTION('ref_axis',(-0.846726664804312,0.532028152553383,0.)); #413467=DIRECTION('',(-0.846726664804312,0.532028152553383,0.)); #413468=DIRECTION('',(-0.846726664804312,0.532028152553383,0.)); #413469=DIRECTION('',(0.,0.,1.)); #413470=DIRECTION('center_axis',(0.623490076319921,0.781831263592457,0.)); #413471=DIRECTION('ref_axis',(-0.781831263592457,0.623490076319921,0.)); #413472=DIRECTION('',(-0.781831263592457,0.623490076319921,0.)); #413473=DIRECTION('',(-0.781831263592457,0.623490076319921,0.)); #413474=DIRECTION('',(0.,0.,1.)); #413475=DIRECTION('center_axis',(0.70710920936978,0.707104352994977,0.)); #413476=DIRECTION('ref_axis',(-0.707104352994977,0.70710920936978,0.)); #413477=DIRECTION('',(-0.707104352994977,0.70710920936978,0.)); #413478=DIRECTION('',(-0.707104352994977,0.70710920936978,0.)); #413479=DIRECTION('',(0.,0.,1.)); #413480=DIRECTION('center_axis',(0.781826848571384,0.623495612537039,0.)); #413481=DIRECTION('ref_axis',(-0.623495612537039,0.781826848571384,0.)); #413482=DIRECTION('',(-0.623495612537039,0.781826848571384,0.)); #413483=DIRECTION('',(-0.623495612537039,0.781826848571384,0.)); #413484=DIRECTION('',(0.,0.,1.)); #413485=DIRECTION('center_axis',(0.846733144041855,0.532017840660439,0.)); #413486=DIRECTION('ref_axis',(-0.532017840660439,0.846733144041855,0.)); #413487=DIRECTION('',(-0.532017840660439,0.846733144041855,0.)); #413488=DIRECTION('',(-0.532017840660439,0.846733144041855,0.)); #413489=DIRECTION('',(0.,0.,1.)); #413490=DIRECTION('center_axis',(0.90097617228259,0.433868571089232,0.)); #413491=DIRECTION('ref_axis',(-0.433868571089232,0.90097617228259,0.)); #413492=DIRECTION('',(-0.433868571089232,0.90097617228259,0.)); #413493=DIRECTION('',(-0.433868571089232,0.90097617228259,0.)); #413494=DIRECTION('',(0.,0.,1.)); #413495=DIRECTION('center_axis',(0.943874356011264,0.330304707905173,0.)); #413496=DIRECTION('ref_axis',(-0.330304707905173,0.943874356011264,0.)); #413497=DIRECTION('',(-0.330304707905173,0.943874356011264,0.)); #413498=DIRECTION('',(-0.330304707905173,0.943874356011264,0.)); #413499=DIRECTION('',(0.,0.,1.)); #413500=DIRECTION('center_axis',(0.974927783103231,0.222521499485823,0.)); #413501=DIRECTION('ref_axis',(-0.222521499485823,0.974927783103231,0.)); #413502=DIRECTION('',(-0.222521499485823,0.974927783103231,0.)); #413503=DIRECTION('',(-0.222521499485823,0.974927783103231,0.)); #413504=DIRECTION('',(0.,0.,1.)); #413505=DIRECTION('center_axis',(0.993713488739879,0.111953125442832,0.)); #413506=DIRECTION('ref_axis',(-0.111953125442832,0.993713488739879,0.)); #413507=DIRECTION('',(-0.111953125442832,0.993713488739879,0.)); #413508=DIRECTION('',(-0.111953125442832,0.993713488739879,0.)); #413509=DIRECTION('',(0.,0.,1.)); #413510=DIRECTION('center_axis',(1.,0.,0.)); #413511=DIRECTION('ref_axis',(0.,1.,0.)); #413512=DIRECTION('',(0.,1.,0.)); #413513=DIRECTION('',(0.,1.,0.)); #413514=DIRECTION('',(0.,0.,1.)); #413515=DIRECTION('center_axis',(0.993713488739879,-0.111953125442832,0.)); #413516=DIRECTION('ref_axis',(0.111953125442832,0.993713488739879,0.)); #413517=DIRECTION('',(0.111953125442832,0.993713488739879,0.)); #413518=DIRECTION('',(0.111953125442832,0.993713488739879,0.)); #413519=DIRECTION('',(0.,0.,1.)); #413520=DIRECTION('center_axis',(0.974927783103231,-0.222521499485824,0.)); #413521=DIRECTION('ref_axis',(0.222521499485824,0.974927783103231,0.)); #413522=DIRECTION('',(0.222521499485824,0.974927783103231,0.)); #413523=DIRECTION('',(0.222521499485824,0.974927783103231,0.)); #413524=DIRECTION('',(0.,0.,1.)); #413525=DIRECTION('center_axis',(0.943874356011264,-0.330304707905173,0.)); #413526=DIRECTION('ref_axis',(0.330304707905173,0.943874356011264,0.)); #413527=DIRECTION('',(0.330304707905173,0.943874356011264,0.)); #413528=DIRECTION('',(0.330304707905173,0.943874356011264,0.)); #413529=DIRECTION('',(0.,0.,1.)); #413530=DIRECTION('center_axis',(0.90097617228259,-0.433868571089232,0.)); #413531=DIRECTION('ref_axis',(0.433868571089232,0.90097617228259,0.)); #413532=DIRECTION('',(0.433868571089232,0.90097617228259,0.)); #413533=DIRECTION('',(0.433868571089232,0.90097617228259,0.)); #413534=DIRECTION('',(0.,0.,1.)); #413535=DIRECTION('center_axis',(0.846733144041853,-0.532017840660441,0.)); #413536=DIRECTION('ref_axis',(0.532017840660441,0.846733144041853,0.)); #413537=DIRECTION('',(0.532017840660441,0.846733144041853,0.)); #413538=DIRECTION('',(0.532017840660441,0.846733144041853,0.)); #413539=DIRECTION('',(0.,0.,1.)); #413540=DIRECTION('center_axis',(0.781826848571388,-0.623495612537035,0.)); #413541=DIRECTION('ref_axis',(0.623495612537035,0.781826848571388,0.)); #413542=DIRECTION('',(0.623495612537035,0.781826848571388,0.)); #413543=DIRECTION('',(0.623495612537035,0.781826848571388,0.)); #413544=DIRECTION('',(0.,0.,1.)); #413545=DIRECTION('center_axis',(0.70710920936978,-0.707104352994977,0.)); #413546=DIRECTION('ref_axis',(0.707104352994977,0.70710920936978,0.)); #413547=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #413548=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #413549=DIRECTION('',(0.,0.,1.)); #413550=DIRECTION('center_axis',(0.623490076319921,-0.781831263592457,0.)); #413551=DIRECTION('ref_axis',(0.781831263592457,0.623490076319921,0.)); #413552=DIRECTION('',(0.781831263592457,0.623490076319921,0.)); #413553=DIRECTION('',(0.781831263592457,0.623490076319921,0.)); #413554=DIRECTION('',(0.,0.,1.)); #413555=DIRECTION('center_axis',(0.532028152553376,-0.846726664804316,0.)); #413556=DIRECTION('ref_axis',(0.846726664804316,0.532028152553376,0.)); #413557=DIRECTION('',(0.846726664804316,0.532028152553376,0.)); #413558=DIRECTION('',(0.846726664804316,0.532028152553376,0.)); #413559=DIRECTION('',(0.,0.,1.)); #413560=DIRECTION('center_axis',(0.433866088505888,-0.900977367776018,0.)); #413561=DIRECTION('ref_axis',(0.900977367776017,0.433866088505888,0.)); #413562=DIRECTION('',(0.900977367776017,0.433866088505888,0.)); #413563=DIRECTION('',(0.900977367776017,0.433866088505888,0.)); #413564=DIRECTION('',(0.,0.,1.)); #413565=DIRECTION('center_axis',(0.330292737303213,-0.943878544985927,0.)); #413566=DIRECTION('ref_axis',(0.943878544985927,0.330292737303213,0.)); #413567=DIRECTION('',(0.943878544985927,0.330292737303213,0.)); #413568=DIRECTION('',(0.943878544985927,0.330292737303213,0.)); #413569=DIRECTION('',(0.,0.,1.)); #413570=DIRECTION('center_axis',(0.222535347290587,-0.974924622320238,0.)); #413571=DIRECTION('ref_axis',(0.974924622320238,0.222535347290587,0.)); #413572=DIRECTION('',(0.974924622320238,0.222535347290587,0.)); #413573=DIRECTION('',(0.974924622320238,0.222535347290587,0.)); #413574=DIRECTION('center_axis',(0.,0.,1.)); #413575=DIRECTION('ref_axis',(1.,0.,0.)); #413576=DIRECTION('center_axis',(0.,0.,1.)); #413577=DIRECTION('ref_axis',(1.,0.,0.)); #413578=DIRECTION('center_axis',(0.,1.,0.)); #413579=DIRECTION('ref_axis',(-1.,0.,0.)); #413580=DIRECTION('',(1.,0.,0.)); #413581=DIRECTION('',(0.,0.,1.)); #413582=DIRECTION('',(-1.,0.,0.)); #413583=DIRECTION('',(0.,0.,1.)); #413584=DIRECTION('center_axis',(0.,0.,1.)); #413585=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #413586=DIRECTION('center_axis',(0.,0.,-1.)); #413587=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #413588=DIRECTION('center_axis',(0.,0.,1.)); #413589=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #413590=DIRECTION('',(0.,0.,1.)); #413591=DIRECTION('center_axis',(0.,-1.,0.)); #413592=DIRECTION('ref_axis',(1.,0.,0.)); #413593=DIRECTION('',(-1.,0.,0.)); #413594=DIRECTION('',(1.,0.,0.)); #413595=DIRECTION('',(0.,0.,1.)); #413596=DIRECTION('center_axis',(0.,0.,1.)); #413597=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #413598=DIRECTION('center_axis',(0.,0.,-1.)); #413599=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #413600=DIRECTION('center_axis',(0.,0.,1.)); #413601=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #413602=DIRECTION('center_axis',(0.0871622148812039,-0.996194131832246, 0.)); #413603=DIRECTION('ref_axis',(0.996194131832246,0.0871622148812039,0.)); #413604=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #413605=DIRECTION('',(0.,0.,1.)); #413606=DIRECTION('',(0.996194131832246,0.0871622148812039,0.)); #413607=DIRECTION('',(0.,0.,1.)); #413608=DIRECTION('center_axis',(0.,-1.,0.)); #413609=DIRECTION('ref_axis',(1.,0.,0.)); #413610=DIRECTION('',(1.,0.,0.)); #413611=DIRECTION('',(1.,0.,0.)); #413612=DIRECTION('',(0.,0.,1.)); #413613=DIRECTION('center_axis',(-0.087162214881199,-0.996194131832247, 0.)); #413614=DIRECTION('ref_axis',(0.996194131832247,-0.087162214881199,0.)); #413615=DIRECTION('',(0.996194131832247,-0.087162214881199,0.)); #413616=DIRECTION('',(0.996194131832247,-0.087162214881199,0.)); #413617=DIRECTION('',(0.,0.,1.)); #413618=DIRECTION('center_axis',(-0.173645964707188,-0.984808143214154, 0.)); #413619=DIRECTION('ref_axis',(0.984808143214154,-0.173645964707188,0.)); #413620=DIRECTION('',(0.984808143214154,-0.173645964707188,0.)); #413621=DIRECTION('',(0.984808143214154,-0.173645964707188,0.)); #413622=DIRECTION('',(0.,0.,1.)); #413623=DIRECTION('center_axis',(-0.258821098381128,-0.965925276112385, 0.)); #413624=DIRECTION('ref_axis',(0.965925276112385,-0.258821098381128,0.)); #413625=DIRECTION('',(0.965925276112385,-0.258821098381128,0.)); #413626=DIRECTION('',(0.965925276112385,-0.258821098381128,0.)); #413627=DIRECTION('',(0.,0.,1.)); #413628=DIRECTION('center_axis',(-0.342006639937231,-0.939697535507487, 0.)); #413629=DIRECTION('ref_axis',(0.939697535507487,-0.342006639937231,0.)); #413630=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #413631=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #413632=DIRECTION('',(0.,0.,1.)); #413633=DIRECTION('center_axis',(-0.422641642689159,-0.906296883954154, 0.)); #413634=DIRECTION('ref_axis',(0.906296883954154,-0.422641642689159,0.)); #413635=DIRECTION('',(0.906296883954154,-0.422641642689159,0.)); #413636=DIRECTION('',(0.906296883954154,-0.422641642689159,0.)); #413637=DIRECTION('',(0.,0.,1.)); #413638=DIRECTION('center_axis',(-0.499984597074501,-0.866034296484989, 0.)); #413639=DIRECTION('ref_axis',(0.866034296484989,-0.499984597074501,0.)); #413640=DIRECTION('',(0.866034296484989,-0.499984597074501,0.)); #413641=DIRECTION('',(0.866034296484989,-0.499984597074501,0.)); #413642=DIRECTION('',(0.,0.,1.)); #413643=DIRECTION('center_axis',(-0.573594705766918,-0.819139251602658, 0.)); #413644=DIRECTION('ref_axis',(0.819139251602658,-0.573594705766918,0.)); #413645=DIRECTION('',(0.819139251602658,-0.573594705766918,0.)); #413646=DIRECTION('',(0.819139251602658,-0.573594705766918,0.)); #413647=DIRECTION('',(0.,0.,1.)); #413648=DIRECTION('center_axis',(-0.642785256870282,-0.766046417360075, 0.)); #413649=DIRECTION('ref_axis',(0.766046417360075,-0.642785256870282,0.)); #413650=DIRECTION('',(0.766046417360075,-0.642785256870282,0.)); #413651=DIRECTION('',(0.766046417360075,-0.642785256870282,0.)); #413652=DIRECTION('',(0.,0.,1.)); #413653=DIRECTION('center_axis',(-0.707106781186519,-0.707106781186576, 0.)); #413654=DIRECTION('ref_axis',(0.707106781186576,-0.707106781186519,0.)); #413655=DIRECTION('',(0.707106781186576,-0.707106781186519,0.)); #413656=DIRECTION('',(0.707106781186576,-0.707106781186519,0.)); #413657=DIRECTION('',(0.,0.,1.)); #413658=DIRECTION('center_axis',(-0.766046417360079,-0.642785256870277, 0.)); #413659=DIRECTION('ref_axis',(0.642785256870277,-0.766046417360079,0.)); #413660=DIRECTION('',(0.642785256870277,-0.766046417360079,0.)); #413661=DIRECTION('',(0.642785256870277,-0.766046417360079,0.)); #413662=DIRECTION('',(0.,0.,1.)); #413663=DIRECTION('center_axis',(-0.819139251602677,-0.573594705766891, 0.)); #413664=DIRECTION('ref_axis',(0.573594705766891,-0.819139251602677,0.)); #413665=DIRECTION('',(0.573594705766891,-0.819139251602677,0.)); #413666=DIRECTION('',(0.573594705766891,-0.819139251602677,0.)); #413667=DIRECTION('',(0.,0.,1.)); #413668=DIRECTION('center_axis',(-0.866034296485013,-0.499984597074458, 0.)); #413669=DIRECTION('ref_axis',(0.499984597074458,-0.866034296485013,0.)); #413670=DIRECTION('',(0.499984597074458,-0.866034296485013,0.)); #413671=DIRECTION('',(0.499984597074458,-0.866034296485013,0.)); #413672=DIRECTION('',(0.,0.,1.)); #413673=DIRECTION('center_axis',(-0.906296883954154,-0.422641642689159, 0.)); #413674=DIRECTION('ref_axis',(0.422641642689159,-0.906296883954154,0.)); #413675=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #413676=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #413677=DIRECTION('',(0.,0.,1.)); #413678=DIRECTION('center_axis',(-0.939697535507451,-0.342006639937331, 0.)); #413679=DIRECTION('ref_axis',(0.342006639937331,-0.939697535507451,0.)); #413680=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #413681=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #413682=DIRECTION('',(0.,0.,1.)); #413683=DIRECTION('center_axis',(-0.965925276112417,-0.258821098381008, 0.)); #413684=DIRECTION('ref_axis',(0.258821098381008,-0.965925276112417,0.)); #413685=DIRECTION('',(0.258821098381008,-0.965925276112417,0.)); #413686=DIRECTION('',(0.258821098381008,-0.965925276112417,0.)); #413687=DIRECTION('',(0.,0.,1.)); #413688=DIRECTION('center_axis',(-0.984808143214143,-0.173645964707252, 0.)); #413689=DIRECTION('ref_axis',(0.173645964707252,-0.984808143214143,0.)); #413690=DIRECTION('',(0.173645964707252,-0.984808143214143,0.)); #413691=DIRECTION('',(0.173645964707252,-0.984808143214143,0.)); #413692=DIRECTION('',(0.,0.,1.)); #413693=DIRECTION('center_axis',(-0.996194131832242,-0.0871622148812551, 0.)); #413694=DIRECTION('ref_axis',(0.0871622148812551,-0.996194131832242,0.)); #413695=DIRECTION('',(0.087162214881255,-0.996194131832242,0.)); #413696=DIRECTION('',(0.087162214881255,-0.996194131832242,0.)); #413697=DIRECTION('',(0.,0.,1.)); #413698=DIRECTION('center_axis',(-1.,0.,0.)); #413699=DIRECTION('ref_axis',(0.,-1.,0.)); #413700=DIRECTION('',(0.,-1.,0.)); #413701=DIRECTION('',(0.,-1.,0.)); #413702=DIRECTION('',(0.,0.,1.)); #413703=DIRECTION('center_axis',(-0.996194131832242,0.0871622148812551, 0.)); #413704=DIRECTION('ref_axis',(-0.0871622148812551,-0.996194131832242,0.)); #413705=DIRECTION('',(-0.087162214881255,-0.996194131832242,0.)); #413706=DIRECTION('',(-0.087162214881255,-0.996194131832242,0.)); #413707=DIRECTION('',(0.,0.,1.)); #413708=DIRECTION('center_axis',(-0.984808143214145,0.173645964707243,0.)); #413709=DIRECTION('ref_axis',(-0.173645964707243,-0.984808143214144,0.)); #413710=DIRECTION('',(-0.173645964707243,-0.984808143214144,0.)); #413711=DIRECTION('',(-0.173645964707243,-0.984808143214144,0.)); #413712=DIRECTION('',(0.,0.,1.)); #413713=DIRECTION('center_axis',(-0.965925276112413,0.258821098381022,0.)); #413714=DIRECTION('ref_axis',(-0.258821098381022,-0.965925276112413,0.)); #413715=DIRECTION('',(-0.258821098381022,-0.965925276112413,0.)); #413716=DIRECTION('',(-0.258821098381022,-0.965925276112413,0.)); #413717=DIRECTION('',(0.,0.,1.)); #413718=DIRECTION('center_axis',(-0.939697535507451,0.342006639937331,0.)); #413719=DIRECTION('ref_axis',(-0.342006639937331,-0.939697535507451,0.)); #413720=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #413721=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #413722=DIRECTION('',(0.,0.,1.)); #413723=DIRECTION('center_axis',(-0.906296883954154,0.422641642689159,0.)); #413724=DIRECTION('ref_axis',(-0.422641642689159,-0.906296883954154,0.)); #413725=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #413726=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #413727=DIRECTION('',(0.,0.,1.)); #413728=DIRECTION('center_axis',(-0.866034296485013,0.499984597074458,0.)); #413729=DIRECTION('ref_axis',(-0.499984597074458,-0.866034296485013,0.)); #413730=DIRECTION('',(-0.499984597074458,-0.866034296485013,0.)); #413731=DIRECTION('',(-0.499984597074458,-0.866034296485013,0.)); #413732=DIRECTION('',(0.,0.,1.)); #413733=DIRECTION('center_axis',(-0.819139251602677,0.573594705766891,0.)); #413734=DIRECTION('ref_axis',(-0.573594705766891,-0.819139251602677,0.)); #413735=DIRECTION('',(-0.573594705766891,-0.819139251602677,0.)); #413736=DIRECTION('',(-0.573594705766891,-0.819139251602677,0.)); #413737=DIRECTION('',(0.,0.,1.)); #413738=DIRECTION('center_axis',(-0.766046417360079,0.642785256870277,0.)); #413739=DIRECTION('ref_axis',(-0.642785256870277,-0.766046417360079,0.)); #413740=DIRECTION('',(-0.642785256870277,-0.766046417360079,0.)); #413741=DIRECTION('',(-0.642785256870277,-0.766046417360079,0.)); #413742=DIRECTION('',(0.,0.,1.)); #413743=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.)); #413744=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #413745=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #413746=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #413747=DIRECTION('',(0.,0.,1.)); #413748=DIRECTION('center_axis',(-0.642785256870249,0.766046417360103,0.)); #413749=DIRECTION('ref_axis',(-0.766046417360103,-0.642785256870249,0.)); #413750=DIRECTION('',(-0.766046417360103,-0.642785256870249,0.)); #413751=DIRECTION('',(-0.766046417360103,-0.642785256870249,0.)); #413752=DIRECTION('',(0.,0.,1.)); #413753=DIRECTION('center_axis',(-0.573594705766918,0.819139251602658,0.)); #413754=DIRECTION('ref_axis',(-0.819139251602658,-0.573594705766918,0.)); #413755=DIRECTION('',(-0.819139251602658,-0.573594705766918,0.)); #413756=DIRECTION('',(-0.819139251602658,-0.573594705766918,0.)); #413757=DIRECTION('',(0.,0.,1.)); #413758=DIRECTION('center_axis',(-0.499984597074501,0.866034296484989,0.)); #413759=DIRECTION('ref_axis',(-0.866034296484989,-0.499984597074501,0.)); #413760=DIRECTION('',(-0.866034296484989,-0.499984597074501,0.)); #413761=DIRECTION('',(-0.866034296484989,-0.499984597074501,0.)); #413762=DIRECTION('',(0.,0.,1.)); #413763=DIRECTION('center_axis',(-0.422641642689159,0.906296883954154,0.)); #413764=DIRECTION('ref_axis',(-0.906296883954154,-0.422641642689159,0.)); #413765=DIRECTION('',(-0.906296883954154,-0.422641642689159,0.)); #413766=DIRECTION('',(-0.906296883954154,-0.422641642689159,0.)); #413767=DIRECTION('',(0.,0.,1.)); #413768=DIRECTION('center_axis',(-0.342017875916574,0.93969344605223,0.)); #413769=DIRECTION('ref_axis',(-0.93969344605223,-0.342017875916574,0.)); #413770=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #413771=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #413772=DIRECTION('',(0.,0.,1.)); #413773=DIRECTION('center_axis',(-0.258809226580732,0.965928457100568,0.)); #413774=DIRECTION('ref_axis',(-0.965928457100568,-0.258809226580732,0.)); #413775=DIRECTION('',(-0.965928457100568,-0.258809226580732,0.)); #413776=DIRECTION('',(-0.965928457100568,-0.258809226580732,0.)); #413777=DIRECTION('',(0.,0.,1.)); #413778=DIRECTION('center_axis',(-0.173645964707188,0.984808143214154,0.)); #413779=DIRECTION('ref_axis',(-0.984808143214154,-0.173645964707188,0.)); #413780=DIRECTION('',(-0.984808143214154,-0.173645964707188,0.)); #413781=DIRECTION('',(-0.984808143214154,-0.173645964707188,0.)); #413782=DIRECTION('',(0.,0.,1.)); #413783=DIRECTION('center_axis',(-0.0871622148812551,0.996194131832242, 0.)); #413784=DIRECTION('ref_axis',(-0.996194131832242,-0.0871622148812551,0.)); #413785=DIRECTION('',(-0.996194131832242,-0.087162214881255,0.)); #413786=DIRECTION('',(-0.996194131832242,-0.087162214881255,0.)); #413787=DIRECTION('',(0.,0.,1.)); #413788=DIRECTION('center_axis',(0.,1.,0.)); #413789=DIRECTION('ref_axis',(-1.,0.,0.)); #413790=DIRECTION('',(-1.,0.,0.)); #413791=DIRECTION('',(-1.,0.,0.)); #413792=DIRECTION('',(0.,0.,1.)); #413793=DIRECTION('center_axis',(0.08716221488126,0.996194131832241,0.)); #413794=DIRECTION('ref_axis',(-0.996194131832241,0.08716221488126,0.)); #413795=DIRECTION('',(-0.996194131832241,0.08716221488126,0.)); #413796=DIRECTION('',(-0.996194131832241,0.08716221488126,0.)); #413797=DIRECTION('',(0.,0.,1.)); #413798=DIRECTION('center_axis',(0.173645964707188,0.984808143214154,0.)); #413799=DIRECTION('ref_axis',(-0.984808143214154,0.173645964707188,0.)); #413800=DIRECTION('',(-0.984808143214154,0.173645964707188,0.)); #413801=DIRECTION('',(-0.984808143214154,0.173645964707188,0.)); #413802=DIRECTION('',(0.,0.,1.)); #413803=DIRECTION('center_axis',(0.258809226580718,0.965928457100572,0.)); #413804=DIRECTION('ref_axis',(-0.965928457100572,0.258809226580718,0.)); #413805=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #413806=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #413807=DIRECTION('',(0.,0.,1.)); #413808=DIRECTION('center_axis',(0.342017875916574,0.93969344605223,0.)); #413809=DIRECTION('ref_axis',(-0.93969344605223,0.342017875916574,0.)); #413810=DIRECTION('',(-0.93969344605223,0.342017875916574,0.)); #413811=DIRECTION('',(-0.93969344605223,0.342017875916574,0.)); #413812=DIRECTION('',(0.,0.,1.)); #413813=DIRECTION('center_axis',(0.422641642689159,0.906296883954154,0.)); #413814=DIRECTION('ref_axis',(-0.906296883954154,0.422641642689159,0.)); #413815=DIRECTION('',(-0.906296883954154,0.422641642689159,0.)); #413816=DIRECTION('',(-0.906296883954154,0.422641642689159,0.)); #413817=DIRECTION('',(0.,0.,1.)); #413818=DIRECTION('center_axis',(0.499984597074525,0.866034296484975,0.)); #413819=DIRECTION('ref_axis',(-0.866034296484975,0.499984597074525,0.)); #413820=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #413821=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #413822=DIRECTION('',(0.,0.,1.)); #413823=DIRECTION('center_axis',(0.573594705766891,0.819139251602677,0.)); #413824=DIRECTION('ref_axis',(-0.819139251602677,0.573594705766891,0.)); #413825=DIRECTION('',(-0.819139251602677,0.573594705766891,0.)); #413826=DIRECTION('',(-0.819139251602677,0.573594705766891,0.)); #413827=DIRECTION('',(0.,0.,1.)); #413828=DIRECTION('center_axis',(0.642785256870277,0.766046417360079,0.)); #413829=DIRECTION('ref_axis',(-0.766046417360079,0.642785256870277,0.)); #413830=DIRECTION('',(-0.766046417360079,0.642785256870277,0.)); #413831=DIRECTION('',(-0.766046417360079,0.642785256870277,0.)); #413832=DIRECTION('',(0.,0.,1.)); #413833=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.)); #413834=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #413835=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #413836=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #413837=DIRECTION('',(0.,0.,1.)); #413838=DIRECTION('center_axis',(0.766046417360079,0.642785256870277,0.)); #413839=DIRECTION('ref_axis',(-0.642785256870277,0.766046417360079,0.)); #413840=DIRECTION('',(-0.642785256870277,0.766046417360079,0.)); #413841=DIRECTION('',(-0.642785256870277,0.766046417360079,0.)); #413842=DIRECTION('',(0.,0.,1.)); #413843=DIRECTION('center_axis',(0.81913925160265,0.573594705766929,0.)); #413844=DIRECTION('ref_axis',(-0.573594705766929,0.81913925160265,0.)); #413845=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #413846=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #413847=DIRECTION('',(0.,0.,1.)); #413848=DIRECTION('center_axis',(0.866034296485038,0.499984597074416,0.)); #413849=DIRECTION('ref_axis',(-0.499984597074416,0.866034296485038,0.)); #413850=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #413851=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #413852=DIRECTION('',(0.,0.,1.)); #413853=DIRECTION('center_axis',(0.906296883954132,0.422641642689205,0.)); #413854=DIRECTION('ref_axis',(-0.422641642689205,0.906296883954132,0.)); #413855=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #413856=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #413857=DIRECTION('',(0.,0.,1.)); #413858=DIRECTION('center_axis',(0.93969344605223,0.342017875916574,0.)); #413859=DIRECTION('ref_axis',(-0.342017875916574,0.93969344605223,0.)); #413860=DIRECTION('',(-0.342017875916574,0.93969344605223,0.)); #413861=DIRECTION('',(-0.342017875916574,0.93969344605223,0.)); #413862=DIRECTION('',(0.,0.,1.)); #413863=DIRECTION('center_axis',(0.965928457100568,0.258809226580732,0.)); #413864=DIRECTION('ref_axis',(-0.258809226580732,0.965928457100568,0.)); #413865=DIRECTION('',(-0.258809226580732,0.965928457100568,0.)); #413866=DIRECTION('',(-0.258809226580732,0.965928457100568,0.)); #413867=DIRECTION('',(0.,0.,1.)); #413868=DIRECTION('center_axis',(0.984808143214145,0.173645964707243,0.)); #413869=DIRECTION('ref_axis',(-0.173645964707243,0.984808143214144,0.)); #413870=DIRECTION('',(-0.173645964707243,0.984808143214144,0.)); #413871=DIRECTION('',(-0.173645964707243,0.984808143214144,0.)); #413872=DIRECTION('',(0.,0.,1.)); #413873=DIRECTION('center_axis',(0.996194131832247,0.087162214881199,0.)); #413874=DIRECTION('ref_axis',(-0.087162214881199,0.996194131832247,0.)); #413875=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #413876=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #413877=DIRECTION('',(0.,0.,1.)); #413878=DIRECTION('center_axis',(1.,0.,0.)); #413879=DIRECTION('ref_axis',(0.,1.,0.)); #413880=DIRECTION('',(0.,1.,0.)); #413881=DIRECTION('',(0.,1.,0.)); #413882=DIRECTION('',(0.,0.,1.)); #413883=DIRECTION('center_axis',(0.996194131832247,-0.087162214881199,0.)); #413884=DIRECTION('ref_axis',(0.087162214881199,0.996194131832247,0.)); #413885=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #413886=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #413887=DIRECTION('',(0.,0.,1.)); #413888=DIRECTION('center_axis',(0.984808143214143,-0.173645964707252,0.)); #413889=DIRECTION('ref_axis',(0.173645964707252,0.984808143214143,0.)); #413890=DIRECTION('',(0.173645964707252,0.984808143214143,0.)); #413891=DIRECTION('',(0.173645964707252,0.984808143214143,0.)); #413892=DIRECTION('',(0.,0.,1.)); #413893=DIRECTION('center_axis',(0.965928457100572,-0.258809226580718,0.)); #413894=DIRECTION('ref_axis',(0.258809226580718,0.965928457100572,0.)); #413895=DIRECTION('',(0.258809226580718,0.965928457100572,0.)); #413896=DIRECTION('',(0.258809226580718,0.965928457100572,0.)); #413897=DIRECTION('',(0.,0.,1.)); #413898=DIRECTION('center_axis',(0.93969344605223,-0.342017875916574,0.)); #413899=DIRECTION('ref_axis',(0.342017875916574,0.93969344605223,0.)); #413900=DIRECTION('',(0.342017875916574,0.93969344605223,0.)); #413901=DIRECTION('',(0.342017875916574,0.93969344605223,0.)); #413902=DIRECTION('',(0.,0.,1.)); #413903=DIRECTION('center_axis',(0.906296883954132,-0.422641642689205,0.)); #413904=DIRECTION('ref_axis',(0.422641642689205,0.906296883954132,0.)); #413905=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #413906=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #413907=DIRECTION('',(0.,0.,1.)); #413908=DIRECTION('center_axis',(0.866034296485038,-0.499984597074416,0.)); #413909=DIRECTION('ref_axis',(0.499984597074416,0.866034296485038,0.)); #413910=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #413911=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #413912=DIRECTION('',(0.,0.,1.)); #413913=DIRECTION('center_axis',(0.81913925160265,-0.573594705766929,0.)); #413914=DIRECTION('ref_axis',(0.573594705766929,0.81913925160265,0.)); #413915=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #413916=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #413917=DIRECTION('',(0.,0.,1.)); #413918=DIRECTION('center_axis',(0.766046417360079,-0.642785256870277,0.)); #413919=DIRECTION('ref_axis',(0.642785256870277,0.766046417360079,0.)); #413920=DIRECTION('',(0.642785256870277,0.766046417360079,0.)); #413921=DIRECTION('',(0.642785256870277,0.766046417360079,0.)); #413922=DIRECTION('',(0.,0.,1.)); #413923=DIRECTION('center_axis',(0.707106781186519,-0.707106781186576,0.)); #413924=DIRECTION('ref_axis',(0.707106781186576,0.707106781186519,0.)); #413925=DIRECTION('',(0.707106781186576,0.707106781186519,0.)); #413926=DIRECTION('',(0.707106781186576,0.707106781186519,0.)); #413927=DIRECTION('',(0.,0.,1.)); #413928=DIRECTION('center_axis',(0.64278525687031,-0.766046417360051,0.)); #413929=DIRECTION('ref_axis',(0.766046417360051,0.64278525687031,0.)); #413930=DIRECTION('',(0.766046417360051,0.64278525687031,0.)); #413931=DIRECTION('',(0.766046417360051,0.64278525687031,0.)); #413932=DIRECTION('',(0.,0.,1.)); #413933=DIRECTION('center_axis',(0.573594705766891,-0.819139251602677,0.)); #413934=DIRECTION('ref_axis',(0.819139251602677,0.573594705766891,0.)); #413935=DIRECTION('',(0.819139251602677,0.573594705766891,0.)); #413936=DIRECTION('',(0.819139251602677,0.573594705766891,0.)); #413937=DIRECTION('',(0.,0.,1.)); #413938=DIRECTION('center_axis',(0.499984597074525,-0.866034296484975,0.)); #413939=DIRECTION('ref_axis',(0.866034296484975,0.499984597074525,0.)); #413940=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #413941=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #413942=DIRECTION('',(0.,0.,1.)); #413943=DIRECTION('center_axis',(0.422641642689159,-0.906296883954154,0.)); #413944=DIRECTION('ref_axis',(0.906296883954154,0.422641642689159,0.)); #413945=DIRECTION('',(0.906296883954154,0.422641642689159,0.)); #413946=DIRECTION('',(0.906296883954154,0.422641642689159,0.)); #413947=DIRECTION('',(0.,0.,1.)); #413948=DIRECTION('center_axis',(0.342006639937231,-0.939697535507487,0.)); #413949=DIRECTION('ref_axis',(0.939697535507487,0.342006639937231,0.)); #413950=DIRECTION('',(0.939697535507487,0.342006639937231,0.)); #413951=DIRECTION('',(0.939697535507487,0.342006639937231,0.)); #413952=DIRECTION('',(0.,0.,1.)); #413953=DIRECTION('center_axis',(0.258821098381114,-0.965925276112388,0.)); #413954=DIRECTION('ref_axis',(0.965925276112388,0.258821098381114,0.)); #413955=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #413956=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #413957=DIRECTION('',(0.,0.,1.)); #413958=DIRECTION('center_axis',(0.173645964707188,-0.984808143214154,0.)); #413959=DIRECTION('ref_axis',(0.984808143214154,0.173645964707188,0.)); #413960=DIRECTION('',(0.984808143214154,0.173645964707188,0.)); #413961=DIRECTION('',(0.984808143214154,0.173645964707188,0.)); #413962=DIRECTION('center_axis',(0.,0.,1.)); #413963=DIRECTION('ref_axis',(1.,0.,0.)); #413964=DIRECTION('center_axis',(0.,0.,1.)); #413965=DIRECTION('ref_axis',(1.,0.,0.)); #413966=DIRECTION('center_axis',(1.,0.,0.)); #413967=DIRECTION('ref_axis',(0.,1.,0.)); #413968=DIRECTION('',(0.,-1.,0.)); #413969=DIRECTION('',(0.,0.,1.)); #413970=DIRECTION('',(0.,1.,0.)); #413971=DIRECTION('',(0.,0.,1.)); #413972=DIRECTION('center_axis',(0.,0.,1.)); #413973=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #413974=DIRECTION('center_axis',(0.,0.,-1.)); #413975=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #413976=DIRECTION('center_axis',(0.,0.,1.)); #413977=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #413978=DIRECTION('',(0.,0.,1.)); #413979=DIRECTION('center_axis',(-1.,0.,0.)); #413980=DIRECTION('ref_axis',(0.,-1.,0.)); #413981=DIRECTION('',(0.,1.,0.)); #413982=DIRECTION('',(0.,-1.,0.)); #413983=DIRECTION('',(0.,0.,1.)); #413984=DIRECTION('center_axis',(0.,0.,1.)); #413985=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #413986=DIRECTION('center_axis',(0.,0.,-1.)); #413987=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #413988=DIRECTION('center_axis',(0.,0.,1.)); #413989=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #413990=DIRECTION('center_axis',(0.111947631953276,-0.993714107628574,0.)); #413991=DIRECTION('ref_axis',(0.993714107628574,0.111947631953276,0.)); #413992=DIRECTION('',(0.993714107628574,0.111947631953276,0.)); #413993=DIRECTION('',(0.,0.,1.)); #413994=DIRECTION('',(0.993714107628574,0.111947631953276,0.)); #413995=DIRECTION('',(0.,0.,1.)); #413996=DIRECTION('center_axis',(0.,-1.,0.)); #413997=DIRECTION('ref_axis',(1.,0.,0.)); #413998=DIRECTION('',(1.,0.,0.)); #413999=DIRECTION('',(1.,0.,0.)); #414000=DIRECTION('',(0.,0.,1.)); #414001=DIRECTION('center_axis',(-0.111945831198928,-0.993714310492297, 0.)); #414002=DIRECTION('ref_axis',(0.993714310492297,-0.111945831198928,0.)); #414003=DIRECTION('',(0.993714310492297,-0.111945831198928,0.)); #414004=DIRECTION('',(0.993714310492297,-0.111945831198928,0.)); #414005=DIRECTION('',(0.,0.,1.)); #414006=DIRECTION('center_axis',(-0.222535347290555,-0.974924622320245, 0.)); #414007=DIRECTION('ref_axis',(0.974924622320245,-0.222535347290555,0.)); #414008=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #414009=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #414010=DIRECTION('',(0.,0.,1.)); #414011=DIRECTION('center_axis',(-0.330292737303265,-0.943878544985909, 0.)); #414012=DIRECTION('ref_axis',(0.943878544985909,-0.330292737303265,0.)); #414013=DIRECTION('',(0.943878544985909,-0.330292737303265,0.)); #414014=DIRECTION('',(0.943878544985909,-0.330292737303265,0.)); #414015=DIRECTION('',(0.,0.,1.)); #414016=DIRECTION('center_axis',(-0.433867402513781,-0.900976735013699, 0.)); #414017=DIRECTION('ref_axis',(0.900976735013699,-0.433867402513781,0.)); #414018=DIRECTION('',(0.900976735013699,-0.433867402513781,0.)); #414019=DIRECTION('',(0.900976735013699,-0.433867402513781,0.)); #414020=DIRECTION('',(0.,0.,1.)); #414021=DIRECTION('center_axis',(-0.532026991963584,-0.846727394042605, 0.)); #414022=DIRECTION('ref_axis',(0.846727394042605,-0.532026991963584,0.)); #414023=DIRECTION('',(0.846727394042605,-0.532026991963583,0.)); #414024=DIRECTION('',(0.846727394042605,-0.532026991963583,0.)); #414025=DIRECTION('',(0.,0.,1.)); #414026=DIRECTION('center_axis',(-0.623490076319886,-0.781831263592485, 0.)); #414027=DIRECTION('ref_axis',(0.781831263592485,-0.623490076319886,0.)); #414028=DIRECTION('',(0.781831263592485,-0.623490076319886,0.)); #414029=DIRECTION('',(0.781831263592485,-0.623490076319886,0.)); #414030=DIRECTION('',(0.,0.,1.)); #414031=DIRECTION('center_axis',(-0.70710920936978,-0.707104352994977,0.)); #414032=DIRECTION('ref_axis',(0.707104352994977,-0.70710920936978,0.)); #414033=DIRECTION('',(0.707104352994977,-0.70710920936978,0.)); #414034=DIRECTION('',(0.707104352994977,-0.70710920936978,0.)); #414035=DIRECTION('',(0.,0.,1.)); #414036=DIRECTION('center_axis',(-0.781826848571419,-0.623495612536995, 0.)); #414037=DIRECTION('ref_axis',(0.623495612536995,-0.781826848571419,0.)); #414038=DIRECTION('',(0.623495612536995,-0.781826848571419,0.)); #414039=DIRECTION('',(0.623495612536995,-0.781826848571419,0.)); #414040=DIRECTION('',(0.,0.,1.)); #414041=DIRECTION('center_axis',(-0.846733144041855,-0.532017840660439, 0.)); #414042=DIRECTION('ref_axis',(0.532017840660439,-0.846733144041855,0.)); #414043=DIRECTION('',(0.532017840660439,-0.846733144041855,0.)); #414044=DIRECTION('',(0.532017840660439,-0.846733144041855,0.)); #414045=DIRECTION('',(0.,0.,1.)); #414046=DIRECTION('center_axis',(-0.900976172282562,-0.433868571089291, 0.)); #414047=DIRECTION('ref_axis',(0.433868571089291,-0.900976172282562,0.)); #414048=DIRECTION('',(0.433868571089291,-0.900976172282562,0.)); #414049=DIRECTION('',(0.433868571089291,-0.900976172282562,0.)); #414050=DIRECTION('',(0.,0.,1.)); #414051=DIRECTION('center_axis',(-0.943879402871104,-0.33029028571196,0.)); #414052=DIRECTION('ref_axis',(0.33029028571196,-0.943879402871104,0.)); #414053=DIRECTION('',(0.33029028571196,-0.943879402871104,0.)); #414054=DIRECTION('',(0.33029028571196,-0.943879402871104,0.)); #414055=DIRECTION('',(0.,0.,1.)); #414056=DIRECTION('center_axis',(-0.974924271111244,-0.222536885927277, 0.)); #414057=DIRECTION('ref_axis',(0.222536885927277,-0.974924271111244,0.)); #414058=DIRECTION('',(0.222536885927277,-0.974924271111244,0.)); #414059=DIRECTION('',(0.222536885927277,-0.974924271111244,0.)); #414060=DIRECTION('',(0.,0.,1.)); #414061=DIRECTION('center_axis',(-0.993713488739879,-0.111953125442832, 0.)); #414062=DIRECTION('ref_axis',(0.111953125442832,-0.993713488739879,0.)); #414063=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #414064=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #414065=DIRECTION('',(0.,0.,1.)); #414066=DIRECTION('center_axis',(-1.,0.,0.)); #414067=DIRECTION('ref_axis',(0.,-1.,0.)); #414068=DIRECTION('',(0.,-1.,0.)); #414069=DIRECTION('',(0.,-1.,0.)); #414070=DIRECTION('',(0.,0.,1.)); #414071=DIRECTION('center_axis',(-0.993713488739879,0.111953125442832,0.)); #414072=DIRECTION('ref_axis',(-0.111953125442832,-0.993713488739879,0.)); #414073=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #414074=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #414075=DIRECTION('',(0.,0.,1.)); #414076=DIRECTION('center_axis',(-0.974924271111245,0.222536885927273,0.)); #414077=DIRECTION('ref_axis',(-0.222536885927273,-0.974924271111245,0.)); #414078=DIRECTION('',(-0.222536885927273,-0.974924271111245,0.)); #414079=DIRECTION('',(-0.222536885927273,-0.974924271111245,0.)); #414080=DIRECTION('',(0.,0.,1.)); #414081=DIRECTION('center_axis',(-0.943879579471783,0.330289781035033,0.)); #414082=DIRECTION('ref_axis',(-0.330289781035033,-0.943879579471783,0.)); #414083=DIRECTION('',(-0.330289781035033,-0.943879579471783,0.)); #414084=DIRECTION('',(-0.330289781035033,-0.943879579471783,0.)); #414085=DIRECTION('',(0.,0.,1.)); #414086=DIRECTION('center_axis',(-0.900975867568767,0.433869203860688,0.)); #414087=DIRECTION('ref_axis',(-0.433869203860688,-0.900975867568767,0.)); #414088=DIRECTION('',(-0.433869203860688,-0.900975867568767,0.)); #414089=DIRECTION('',(-0.433869203860688,-0.900975867568767,0.)); #414090=DIRECTION('',(0.,0.,1.)); #414091=DIRECTION('center_axis',(-0.846733144041855,0.532017840660439,0.)); #414092=DIRECTION('ref_axis',(-0.532017840660439,-0.846733144041855,0.)); #414093=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #414094=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #414095=DIRECTION('',(0.,0.,1.)); #414096=DIRECTION('center_axis',(-0.781827477872105,0.623494823430109,0.)); #414097=DIRECTION('ref_axis',(-0.623494823430109,-0.781827477872105,0.)); #414098=DIRECTION('',(-0.623494823430109,-0.781827477872105,0.)); #414099=DIRECTION('',(-0.623494823430109,-0.781827477872105,0.)); #414100=DIRECTION('',(0.,0.,1.)); #414101=DIRECTION('center_axis',(-0.707108399978151,0.707105162391238,0.)); #414102=DIRECTION('ref_axis',(-0.707105162391238,-0.707108399978151,0.)); #414103=DIRECTION('',(-0.707105162391238,-0.707108399978151,0.)); #414104=DIRECTION('',(-0.707105162391238,-0.707108399978151,0.)); #414105=DIRECTION('',(0.,0.,1.)); #414106=DIRECTION('center_axis',(-0.623490076319886,0.781831263592485,0.)); #414107=DIRECTION('ref_axis',(-0.781831263592485,-0.623490076319886,0.)); #414108=DIRECTION('',(-0.781831263592485,-0.623490076319886,0.)); #414109=DIRECTION('',(-0.781831263592485,-0.623490076319886,0.)); #414110=DIRECTION('',(0.,0.,1.)); #414111=DIRECTION('center_axis',(-0.532028152553409,0.846726664804296,0.)); #414112=DIRECTION('ref_axis',(-0.846726664804296,-0.532028152553409,0.)); #414113=DIRECTION('',(-0.846726664804296,-0.532028152553409,0.)); #414114=DIRECTION('',(-0.846726664804296,-0.532028152553409,0.)); #414115=DIRECTION('',(0.,0.,1.)); #414116=DIRECTION('center_axis',(-0.43386608850586,0.900977367776031,0.)); #414117=DIRECTION('ref_axis',(-0.900977367776031,-0.43386608850586,0.)); #414118=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #414119=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #414120=DIRECTION('',(0.,0.,1.)); #414121=DIRECTION('center_axis',(-0.330292737303249,0.943878544985914,0.)); #414122=DIRECTION('ref_axis',(-0.943878544985914,-0.330292737303249,0.)); #414123=DIRECTION('',(-0.943878544985914,-0.330292737303249,0.)); #414124=DIRECTION('',(-0.943878544985914,-0.330292737303249,0.)); #414125=DIRECTION('',(0.,0.,1.)); #414126=DIRECTION('center_axis',(-0.222535347290555,0.974924622320245,0.)); #414127=DIRECTION('ref_axis',(-0.974924622320245,-0.222535347290555,0.)); #414128=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #414129=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #414130=DIRECTION('',(0.,0.,1.)); #414131=DIRECTION('center_axis',(-0.111945831198946,0.993714310492295,0.)); #414132=DIRECTION('ref_axis',(-0.993714310492295,-0.111945831198946,0.)); #414133=DIRECTION('',(-0.993714310492295,-0.111945831198946,0.)); #414134=DIRECTION('',(-0.993714310492295,-0.111945831198946,0.)); #414135=DIRECTION('',(0.,0.,1.)); #414136=DIRECTION('center_axis',(0.,1.,0.)); #414137=DIRECTION('ref_axis',(-1.,0.,0.)); #414138=DIRECTION('',(-1.,0.,0.)); #414139=DIRECTION('',(-1.,0.,0.)); #414140=DIRECTION('',(0.,0.,1.)); #414141=DIRECTION('center_axis',(0.111947631953293,0.993714107628572,0.)); #414142=DIRECTION('ref_axis',(-0.993714107628572,0.111947631953293,0.)); #414143=DIRECTION('',(-0.993714107628572,0.111947631953293,0.)); #414144=DIRECTION('',(-0.993714107628572,0.111947631953293,0.)); #414145=DIRECTION('',(0.,0.,1.)); #414146=DIRECTION('center_axis',(0.222535347290571,0.974924622320241,0.)); #414147=DIRECTION('ref_axis',(-0.974924622320241,0.222535347290571,0.)); #414148=DIRECTION('',(-0.974924622320241,0.222535347290571,0.)); #414149=DIRECTION('',(-0.974924622320241,0.222535347290571,0.)); #414150=DIRECTION('',(0.,0.,1.)); #414151=DIRECTION('center_axis',(0.330292737303205,0.94387854498593,0.)); #414152=DIRECTION('ref_axis',(-0.94387854498593,0.330292737303205,0.)); #414153=DIRECTION('',(-0.94387854498593,0.330292737303205,0.)); #414154=DIRECTION('',(-0.94387854498593,0.330292737303205,0.)); #414155=DIRECTION('',(0.,0.,1.)); #414156=DIRECTION('center_axis',(0.433866088505888,0.900977367776018,0.)); #414157=DIRECTION('ref_axis',(-0.900977367776017,0.433866088505888,0.)); #414158=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #414159=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #414160=DIRECTION('',(0.,0.,1.)); #414161=DIRECTION('center_axis',(0.532028152553409,0.846726664804296,0.)); #414162=DIRECTION('ref_axis',(-0.846726664804296,0.532028152553409,0.)); #414163=DIRECTION('',(-0.846726664804296,0.532028152553409,0.)); #414164=DIRECTION('',(-0.846726664804296,0.532028152553409,0.)); #414165=DIRECTION('',(0.,0.,1.)); #414166=DIRECTION('center_axis',(0.623490076319886,0.781831263592485,0.)); #414167=DIRECTION('ref_axis',(-0.781831263592485,0.623490076319886,0.)); #414168=DIRECTION('',(-0.781831263592485,0.623490076319886,0.)); #414169=DIRECTION('',(-0.781831263592485,0.623490076319886,0.)); #414170=DIRECTION('',(0.,0.,1.)); #414171=DIRECTION('center_axis',(0.707108399978151,0.707105162391238,0.)); #414172=DIRECTION('ref_axis',(-0.707105162391238,0.707108399978151,0.)); #414173=DIRECTION('',(-0.707105162391238,0.707108399978151,0.)); #414174=DIRECTION('',(-0.707105162391238,0.707108399978151,0.)); #414175=DIRECTION('',(0.,0.,1.)); #414176=DIRECTION('center_axis',(0.781827477872105,0.623494823430109,0.)); #414177=DIRECTION('ref_axis',(-0.623494823430109,0.781827477872105,0.)); #414178=DIRECTION('',(-0.623494823430109,0.781827477872105,0.)); #414179=DIRECTION('',(-0.623494823430109,0.781827477872105,0.)); #414180=DIRECTION('',(0.,0.,1.)); #414181=DIRECTION('center_axis',(0.846733144041887,0.532017840660387,0.)); #414182=DIRECTION('ref_axis',(-0.532017840660387,0.846733144041887,0.)); #414183=DIRECTION('',(-0.532017840660387,0.846733144041887,0.)); #414184=DIRECTION('',(-0.532017840660387,0.846733144041887,0.)); #414185=DIRECTION('',(0.,0.,1.)); #414186=DIRECTION('center_axis',(0.900975867568739,0.433869203860746,0.)); #414187=DIRECTION('ref_axis',(-0.433869203860746,0.900975867568739,0.)); #414188=DIRECTION('',(-0.433869203860746,0.900975867568739,0.)); #414189=DIRECTION('',(-0.433869203860746,0.900975867568739,0.)); #414190=DIRECTION('',(0.,0.,1.)); #414191=DIRECTION('center_axis',(0.943874532626469,0.330304203211472,0.)); #414192=DIRECTION('ref_axis',(-0.330304203211472,0.943874532626469,0.)); #414193=DIRECTION('',(-0.330304203211472,0.943874532626469,0.)); #414194=DIRECTION('',(-0.330304203211472,0.943874532626469,0.)); #414195=DIRECTION('',(0.,0.,1.)); #414196=DIRECTION('center_axis',(0.974927783103216,0.222521499485892,0.)); #414197=DIRECTION('ref_axis',(-0.222521499485892,0.974927783103215,0.)); #414198=DIRECTION('',(-0.222521499485892,0.974927783103215,0.)); #414199=DIRECTION('',(-0.222521499485892,0.974927783103215,0.)); #414200=DIRECTION('',(0.,0.,1.)); #414201=DIRECTION('center_axis',(0.993713488739871,0.111953125442903,0.)); #414202=DIRECTION('ref_axis',(-0.111953125442903,0.993713488739871,0.)); #414203=DIRECTION('',(-0.111953125442903,0.993713488739871,0.)); #414204=DIRECTION('',(-0.111953125442903,0.993713488739871,0.)); #414205=DIRECTION('',(0.,0.,1.)); #414206=DIRECTION('center_axis',(1.,0.,0.)); #414207=DIRECTION('ref_axis',(0.,1.,0.)); #414208=DIRECTION('',(0.,1.,0.)); #414209=DIRECTION('',(0.,1.,0.)); #414210=DIRECTION('',(0.,0.,1.)); #414211=DIRECTION('center_axis',(0.993713488739871,-0.111953125442903,0.)); #414212=DIRECTION('ref_axis',(0.111953125442903,0.993713488739871,0.)); #414213=DIRECTION('',(0.111953125442903,0.993713488739871,0.)); #414214=DIRECTION('',(0.111953125442903,0.993713488739871,0.)); #414215=DIRECTION('',(0.,0.,1.)); #414216=DIRECTION('center_axis',(0.974927783103215,-0.222521499485896,0.)); #414217=DIRECTION('ref_axis',(0.222521499485896,0.974927783103215,0.)); #414218=DIRECTION('',(0.222521499485896,0.974927783103215,0.)); #414219=DIRECTION('',(0.222521499485896,0.974927783103215,0.)); #414220=DIRECTION('',(0.,0.,1.)); #414221=DIRECTION('center_axis',(0.943874356011311,-0.330304707905039,0.)); #414222=DIRECTION('ref_axis',(0.330304707905039,0.943874356011311,0.)); #414223=DIRECTION('',(0.330304707905039,0.943874356011311,0.)); #414224=DIRECTION('',(0.330304707905039,0.943874356011311,0.)); #414225=DIRECTION('',(0.,0.,1.)); #414226=DIRECTION('center_axis',(0.900976172282534,-0.433868571089349,0.)); #414227=DIRECTION('ref_axis',(0.433868571089349,0.900976172282534,0.)); #414228=DIRECTION('',(0.433868571089349,0.900976172282534,0.)); #414229=DIRECTION('',(0.433868571089349,0.900976172282534,0.)); #414230=DIRECTION('',(0.,0.,1.)); #414231=DIRECTION('center_axis',(0.846733144041887,-0.532017840660387,0.)); #414232=DIRECTION('ref_axis',(0.532017840660387,0.846733144041887,0.)); #414233=DIRECTION('',(0.532017840660387,0.846733144041887,0.)); #414234=DIRECTION('',(0.532017840660387,0.846733144041887,0.)); #414235=DIRECTION('',(0.,0.,1.)); #414236=DIRECTION('center_axis',(0.781826848571419,-0.623495612536995,0.)); #414237=DIRECTION('ref_axis',(0.623495612536995,0.781826848571419,0.)); #414238=DIRECTION('',(0.623495612536995,0.781826848571419,0.)); #414239=DIRECTION('',(0.623495612536995,0.781826848571419,0.)); #414240=DIRECTION('',(0.,0.,1.)); #414241=DIRECTION('center_axis',(0.70710920936978,-0.707104352994977,0.)); #414242=DIRECTION('ref_axis',(0.707104352994977,0.70710920936978,0.)); #414243=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #414244=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #414245=DIRECTION('',(0.,0.,1.)); #414246=DIRECTION('center_axis',(0.623490076319886,-0.781831263592485,0.)); #414247=DIRECTION('ref_axis',(0.781831263592485,0.623490076319886,0.)); #414248=DIRECTION('',(0.781831263592485,0.623490076319886,0.)); #414249=DIRECTION('',(0.781831263592485,0.623490076319886,0.)); #414250=DIRECTION('',(0.,0.,1.)); #414251=DIRECTION('center_axis',(0.532026991963584,-0.846727394042605,0.)); #414252=DIRECTION('ref_axis',(0.846727394042605,0.532026991963584,0.)); #414253=DIRECTION('',(0.846727394042605,0.532026991963583,0.)); #414254=DIRECTION('',(0.846727394042605,0.532026991963583,0.)); #414255=DIRECTION('',(0.,0.,1.)); #414256=DIRECTION('center_axis',(0.433867402513809,-0.900976735013685,0.)); #414257=DIRECTION('ref_axis',(0.900976735013685,0.433867402513809,0.)); #414258=DIRECTION('',(0.900976735013685,0.433867402513809,0.)); #414259=DIRECTION('',(0.900976735013685,0.433867402513809,0.)); #414260=DIRECTION('',(0.,0.,1.)); #414261=DIRECTION('center_axis',(0.330292737303221,-0.943878544985924,0.)); #414262=DIRECTION('ref_axis',(0.943878544985924,0.330292737303221,0.)); #414263=DIRECTION('',(0.943878544985924,0.330292737303221,0.)); #414264=DIRECTION('',(0.943878544985924,0.330292737303221,0.)); #414265=DIRECTION('',(0.,0.,1.)); #414266=DIRECTION('center_axis',(0.222535347290571,-0.974924622320241,0.)); #414267=DIRECTION('ref_axis',(0.974924622320241,0.222535347290571,0.)); #414268=DIRECTION('',(0.974924622320241,0.222535347290571,0.)); #414269=DIRECTION('',(0.974924622320241,0.222535347290571,0.)); #414270=DIRECTION('center_axis',(0.,0.,1.)); #414271=DIRECTION('ref_axis',(1.,0.,0.)); #414272=DIRECTION('center_axis',(0.,0.,1.)); #414273=DIRECTION('ref_axis',(1.,0.,0.)); #414274=DIRECTION('center_axis',(0.,0.,1.)); #414275=DIRECTION('ref_axis',(1.,0.,0.)); #414276=DIRECTION('center_axis',(0.,0.,1.)); #414277=DIRECTION('ref_axis',(1.,0.,0.)); #414278=DIRECTION('',(0.,0.,1.)); #414279=DIRECTION('center_axis',(0.,0.,-1.)); #414280=DIRECTION('ref_axis',(1.,0.,0.)); #414281=DIRECTION('center_axis',(1.,0.,0.)); #414282=DIRECTION('ref_axis',(0.,1.,0.)); #414283=DIRECTION('',(0.,1.,0.)); #414284=DIRECTION('',(0.,0.,1.)); #414285=DIRECTION('',(0.,1.,0.)); #414286=DIRECTION('',(0.,0.,1.)); #414287=DIRECTION('center_axis',(0.,-1.,0.)); #414288=DIRECTION('ref_axis',(1.,0.,0.)); #414289=DIRECTION('',(1.,0.,0.)); #414290=DIRECTION('',(1.,0.,0.)); #414291=DIRECTION('',(0.,0.,1.)); #414292=DIRECTION('center_axis',(-1.,0.,0.)); #414293=DIRECTION('ref_axis',(0.,-1.,0.)); #414294=DIRECTION('',(0.,-1.,0.)); #414295=DIRECTION('',(0.,-1.,0.)); #414296=DIRECTION('',(0.,0.,1.)); #414297=DIRECTION('center_axis',(0.,1.,0.)); #414298=DIRECTION('ref_axis',(-1.,0.,0.)); #414299=DIRECTION('',(-1.,0.,0.)); #414300=DIRECTION('',(-1.,0.,0.)); #414301=DIRECTION('center_axis',(0.,0.,1.)); #414302=DIRECTION('ref_axis',(1.,0.,0.)); #414303=DIRECTION('center_axis',(0.,0.,1.)); #414304=DIRECTION('ref_axis',(1.,0.,0.)); #414305=DIRECTION('center_axis',(1.,0.,0.)); #414306=DIRECTION('ref_axis',(0.,1.,0.)); #414307=DIRECTION('',(0.,-1.,0.)); #414308=DIRECTION('',(0.,0.,1.)); #414309=DIRECTION('',(0.,1.,0.)); #414310=DIRECTION('',(0.,0.,1.)); #414311=DIRECTION('center_axis',(0.,0.,1.)); #414312=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #414313=DIRECTION('center_axis',(0.,0.,-1.)); #414314=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #414315=DIRECTION('center_axis',(0.,0.,1.)); #414316=DIRECTION('ref_axis',(-1.,2.67948969890327E-8,0.)); #414317=DIRECTION('',(0.,0.,1.)); #414318=DIRECTION('center_axis',(-1.,0.,0.)); #414319=DIRECTION('ref_axis',(0.,-1.,0.)); #414320=DIRECTION('',(0.,1.,0.)); #414321=DIRECTION('',(0.,-1.,0.)); #414322=DIRECTION('',(0.,0.,1.)); #414323=DIRECTION('center_axis',(0.,0.,1.)); #414324=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #414325=DIRECTION('center_axis',(0.,0.,-1.)); #414326=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #414327=DIRECTION('center_axis',(0.,0.,1.)); #414328=DIRECTION('ref_axis',(1.,-2.67948961079033E-8,0.)); #414329=DIRECTION('center_axis',(0.111947631953285,-0.993714107628573,0.)); #414330=DIRECTION('ref_axis',(0.993714107628573,0.111947631953285,0.)); #414331=DIRECTION('',(0.993714107628573,0.111947631953285,0.)); #414332=DIRECTION('',(0.,0.,1.)); #414333=DIRECTION('',(0.993714107628573,0.111947631953285,0.)); #414334=DIRECTION('',(0.,0.,1.)); #414335=DIRECTION('center_axis',(0.,-1.,0.)); #414336=DIRECTION('ref_axis',(1.,0.,0.)); #414337=DIRECTION('',(1.,0.,0.)); #414338=DIRECTION('',(1.,0.,0.)); #414339=DIRECTION('',(0.,0.,1.)); #414340=DIRECTION('center_axis',(-0.111945831198937,-0.993714310492296, 0.)); #414341=DIRECTION('ref_axis',(0.993714310492296,-0.111945831198937,0.)); #414342=DIRECTION('',(0.993714310492296,-0.111945831198937,0.)); #414343=DIRECTION('',(0.993714310492296,-0.111945831198937,0.)); #414344=DIRECTION('',(0.,0.,1.)); #414345=DIRECTION('center_axis',(-0.222535347290555,-0.974924622320245, 0.)); #414346=DIRECTION('ref_axis',(0.974924622320245,-0.222535347290555,0.)); #414347=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #414348=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #414349=DIRECTION('',(0.,0.,1.)); #414350=DIRECTION('center_axis',(-0.330292737303257,-0.943878544985912, 0.)); #414351=DIRECTION('ref_axis',(0.943878544985912,-0.330292737303257,0.)); #414352=DIRECTION('',(0.943878544985912,-0.330292737303257,0.)); #414353=DIRECTION('',(0.943878544985912,-0.330292737303257,0.)); #414354=DIRECTION('',(0.,0.,1.)); #414355=DIRECTION('center_axis',(-0.43386608850586,-0.900977367776031,0.)); #414356=DIRECTION('ref_axis',(0.900977367776031,-0.43386608850586,0.)); #414357=DIRECTION('',(0.900977367776031,-0.43386608850586,0.)); #414358=DIRECTION('',(0.900977367776031,-0.43386608850586,0.)); #414359=DIRECTION('',(0.,0.,1.)); #414360=DIRECTION('center_axis',(-0.532028152553409,-0.846726664804296, 0.)); #414361=DIRECTION('ref_axis',(0.846726664804296,-0.532028152553409,0.)); #414362=DIRECTION('',(0.846726664804296,-0.532028152553409,0.)); #414363=DIRECTION('',(0.846726664804296,-0.532028152553409,0.)); #414364=DIRECTION('',(0.,0.,1.)); #414365=DIRECTION('center_axis',(-0.623490076319886,-0.781831263592485, 0.)); #414366=DIRECTION('ref_axis',(0.781831263592485,-0.623490076319886,0.)); #414367=DIRECTION('',(0.781831263592485,-0.623490076319886,0.)); #414368=DIRECTION('',(0.781831263592485,-0.623490076319886,0.)); #414369=DIRECTION('',(0.,0.,1.)); #414370=DIRECTION('center_axis',(-0.70710920936978,-0.707104352994977,0.)); #414371=DIRECTION('ref_axis',(0.707104352994977,-0.70710920936978,0.)); #414372=DIRECTION('',(0.707104352994977,-0.70710920936978,0.)); #414373=DIRECTION('',(0.707104352994977,-0.70710920936978,0.)); #414374=DIRECTION('',(0.,0.,1.)); #414375=DIRECTION('center_axis',(-0.781826848571423,-0.623495612536991, 0.)); #414376=DIRECTION('ref_axis',(0.623495612536991,-0.781826848571423,0.)); #414377=DIRECTION('',(0.623495612536991,-0.781826848571423,0.)); #414378=DIRECTION('',(0.623495612536991,-0.781826848571423,0.)); #414379=DIRECTION('',(0.,0.,1.)); #414380=DIRECTION('center_axis',(-0.846733144041853,-0.532017840660441, 0.)); #414381=DIRECTION('ref_axis',(0.532017840660441,-0.846733144041853,0.)); #414382=DIRECTION('',(0.532017840660441,-0.846733144041853,0.)); #414383=DIRECTION('',(0.532017840660441,-0.846733144041853,0.)); #414384=DIRECTION('',(0.,0.,1.)); #414385=DIRECTION('center_axis',(-0.900976172282562,-0.433868571089291, 0.)); #414386=DIRECTION('ref_axis',(0.433868571089291,-0.900976172282562,0.)); #414387=DIRECTION('',(0.433868571089291,-0.900976172282562,0.)); #414388=DIRECTION('',(0.433868571089291,-0.900976172282562,0.)); #414389=DIRECTION('',(0.,0.,1.)); #414390=DIRECTION('center_axis',(-0.943879402871102,-0.330290285711966, 0.)); #414391=DIRECTION('ref_axis',(0.330290285711966,-0.943879402871102,0.)); #414392=DIRECTION('',(0.330290285711966,-0.943879402871102,0.)); #414393=DIRECTION('',(0.330290285711966,-0.943879402871102,0.)); #414394=DIRECTION('',(0.,0.,1.)); #414395=DIRECTION('center_axis',(-0.974924271111244,-0.222536885927274, 0.)); #414396=DIRECTION('ref_axis',(0.222536885927274,-0.974924271111244,0.)); #414397=DIRECTION('',(0.222536885927274,-0.974924271111244,0.)); #414398=DIRECTION('',(0.222536885927274,-0.974924271111244,0.)); #414399=DIRECTION('',(0.,0.,1.)); #414400=DIRECTION('center_axis',(-0.993713488739879,-0.111953125442832, 0.)); #414401=DIRECTION('ref_axis',(0.111953125442832,-0.993713488739879,0.)); #414402=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #414403=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #414404=DIRECTION('',(0.,0.,1.)); #414405=DIRECTION('center_axis',(-1.,0.,0.)); #414406=DIRECTION('ref_axis',(0.,-1.,0.)); #414407=DIRECTION('',(0.,-1.,0.)); #414408=DIRECTION('',(0.,-1.,0.)); #414409=DIRECTION('',(0.,0.,1.)); #414410=DIRECTION('center_axis',(-0.993713488739879,0.111953125442832,0.)); #414411=DIRECTION('ref_axis',(-0.111953125442832,-0.993713488739879,0.)); #414412=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #414413=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #414414=DIRECTION('',(0.,0.,1.)); #414415=DIRECTION('center_axis',(-0.974924271111245,0.222536885927273,0.)); #414416=DIRECTION('ref_axis',(-0.222536885927273,-0.974924271111245,0.)); #414417=DIRECTION('',(-0.222536885927273,-0.974924271111245,0.)); #414418=DIRECTION('',(-0.222536885927273,-0.974924271111245,0.)); #414419=DIRECTION('',(0.,0.,1.)); #414420=DIRECTION('center_axis',(-0.943879402871102,0.330290285711966,0.)); #414421=DIRECTION('ref_axis',(-0.330290285711966,-0.943879402871102,0.)); #414422=DIRECTION('',(-0.330290285711966,-0.943879402871102,0.)); #414423=DIRECTION('',(-0.330290285711966,-0.943879402871102,0.)); #414424=DIRECTION('',(0.,0.,1.)); #414425=DIRECTION('center_axis',(-0.900976172282562,0.433868571089291,0.)); #414426=DIRECTION('ref_axis',(-0.433868571089291,-0.900976172282562,0.)); #414427=DIRECTION('',(-0.433868571089291,-0.900976172282562,0.)); #414428=DIRECTION('',(-0.433868571089291,-0.900976172282562,0.)); #414429=DIRECTION('',(0.,0.,1.)); #414430=DIRECTION('center_axis',(-0.846733144041855,0.532017840660439,0.)); #414431=DIRECTION('ref_axis',(-0.532017840660439,-0.846733144041855,0.)); #414432=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #414433=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #414434=DIRECTION('',(0.,0.,1.)); #414435=DIRECTION('center_axis',(-0.781826848571419,0.623495612536995,0.)); #414436=DIRECTION('ref_axis',(-0.623495612536995,-0.781826848571419,0.)); #414437=DIRECTION('',(-0.623495612536995,-0.781826848571419,0.)); #414438=DIRECTION('',(-0.623495612536995,-0.781826848571419,0.)); #414439=DIRECTION('',(0.,0.,1.)); #414440=DIRECTION('center_axis',(-0.70710920936978,0.707104352994977,0.)); #414441=DIRECTION('ref_axis',(-0.707104352994977,-0.70710920936978,0.)); #414442=DIRECTION('',(-0.707104352994977,-0.70710920936978,0.)); #414443=DIRECTION('',(-0.707104352994977,-0.70710920936978,0.)); #414444=DIRECTION('',(0.,0.,1.)); #414445=DIRECTION('center_axis',(-0.623490076319886,0.781831263592485,0.)); #414446=DIRECTION('ref_axis',(-0.781831263592485,-0.623490076319886,0.)); #414447=DIRECTION('',(-0.781831263592485,-0.623490076319886,0.)); #414448=DIRECTION('',(-0.781831263592485,-0.623490076319886,0.)); #414449=DIRECTION('',(0.,0.,1.)); #414450=DIRECTION('center_axis',(-0.532028152553415,0.846726664804292,0.)); #414451=DIRECTION('ref_axis',(-0.846726664804292,-0.532028152553415,0.)); #414452=DIRECTION('',(-0.846726664804292,-0.532028152553415,0.)); #414453=DIRECTION('',(-0.846726664804292,-0.532028152553415,0.)); #414454=DIRECTION('',(0.,0.,1.)); #414455=DIRECTION('center_axis',(-0.43386608850586,0.900977367776031,0.)); #414456=DIRECTION('ref_axis',(-0.900977367776031,-0.43386608850586,0.)); #414457=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #414458=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #414459=DIRECTION('',(0.,0.,1.)); #414460=DIRECTION('center_axis',(-0.330292737303257,0.943878544985912,0.)); #414461=DIRECTION('ref_axis',(-0.943878544985912,-0.330292737303257,0.)); #414462=DIRECTION('',(-0.943878544985912,-0.330292737303257,0.)); #414463=DIRECTION('',(-0.943878544985912,-0.330292737303257,0.)); #414464=DIRECTION('',(0.,0.,1.)); #414465=DIRECTION('center_axis',(-0.222535347290555,0.974924622320245,0.)); #414466=DIRECTION('ref_axis',(-0.974924622320245,-0.222535347290555,0.)); #414467=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #414468=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #414469=DIRECTION('',(0.,0.,1.)); #414470=DIRECTION('center_axis',(-0.111945831198937,0.993714310492296,0.)); #414471=DIRECTION('ref_axis',(-0.993714310492296,-0.111945831198937,0.)); #414472=DIRECTION('',(-0.993714310492296,-0.111945831198937,0.)); #414473=DIRECTION('',(-0.993714310492296,-0.111945831198937,0.)); #414474=DIRECTION('',(0.,0.,1.)); #414475=DIRECTION('center_axis',(0.,1.,0.)); #414476=DIRECTION('ref_axis',(-1.,0.,0.)); #414477=DIRECTION('',(-1.,0.,0.)); #414478=DIRECTION('',(-1.,0.,0.)); #414479=DIRECTION('',(0.,0.,1.)); #414480=DIRECTION('center_axis',(0.111947631953285,0.993714107628573,0.)); #414481=DIRECTION('ref_axis',(-0.993714107628573,0.111947631953285,0.)); #414482=DIRECTION('',(-0.993714107628573,0.111947631953285,0.)); #414483=DIRECTION('',(-0.993714107628573,0.111947631953285,0.)); #414484=DIRECTION('',(0.,0.,1.)); #414485=DIRECTION('center_axis',(0.222535347290571,0.974924622320241,0.)); #414486=DIRECTION('ref_axis',(-0.974924622320241,0.222535347290571,0.)); #414487=DIRECTION('',(-0.974924622320241,0.222535347290571,0.)); #414488=DIRECTION('',(-0.974924622320241,0.222535347290571,0.)); #414489=DIRECTION('',(0.,0.,1.)); #414490=DIRECTION('center_axis',(0.330292737303213,0.943878544985927,0.)); #414491=DIRECTION('ref_axis',(-0.943878544985927,0.330292737303213,0.)); #414492=DIRECTION('',(-0.943878544985927,0.330292737303213,0.)); #414493=DIRECTION('',(-0.943878544985927,0.330292737303213,0.)); #414494=DIRECTION('',(0.,0.,1.)); #414495=DIRECTION('center_axis',(0.433866088505888,0.900977367776018,0.)); #414496=DIRECTION('ref_axis',(-0.900977367776017,0.433866088505888,0.)); #414497=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #414498=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #414499=DIRECTION('',(0.,0.,1.)); #414500=DIRECTION('center_axis',(0.532028152553415,0.846726664804292,0.)); #414501=DIRECTION('ref_axis',(-0.846726664804292,0.532028152553415,0.)); #414502=DIRECTION('',(-0.846726664804292,0.532028152553415,0.)); #414503=DIRECTION('',(-0.846726664804292,0.532028152553415,0.)); #414504=DIRECTION('',(0.,0.,1.)); #414505=DIRECTION('center_axis',(0.623490076319886,0.781831263592485,0.)); #414506=DIRECTION('ref_axis',(-0.781831263592485,0.623490076319886,0.)); #414507=DIRECTION('',(-0.781831263592485,0.623490076319886,0.)); #414508=DIRECTION('',(-0.781831263592485,0.623490076319886,0.)); #414509=DIRECTION('',(0.,0.,1.)); #414510=DIRECTION('center_axis',(0.70710920936978,0.707104352994977,0.)); #414511=DIRECTION('ref_axis',(-0.707104352994977,0.70710920936978,0.)); #414512=DIRECTION('',(-0.707104352994977,0.70710920936978,0.)); #414513=DIRECTION('',(-0.707104352994977,0.70710920936978,0.)); #414514=DIRECTION('',(0.,0.,1.)); #414515=DIRECTION('center_axis',(0.781826848571419,0.623495612536995,0.)); #414516=DIRECTION('ref_axis',(-0.623495612536995,0.781826848571419,0.)); #414517=DIRECTION('',(-0.623495612536995,0.781826848571419,0.)); #414518=DIRECTION('',(-0.623495612536995,0.781826848571419,0.)); #414519=DIRECTION('',(0.,0.,1.)); #414520=DIRECTION('center_axis',(0.846733144041887,0.532017840660387,0.)); #414521=DIRECTION('ref_axis',(-0.532017840660387,0.846733144041887,0.)); #414522=DIRECTION('',(-0.532017840660387,0.846733144041887,0.)); #414523=DIRECTION('',(-0.532017840660387,0.846733144041887,0.)); #414524=DIRECTION('',(0.,0.,1.)); #414525=DIRECTION('center_axis',(0.900976172282534,0.433868571089349,0.)); #414526=DIRECTION('ref_axis',(-0.433868571089349,0.900976172282534,0.)); #414527=DIRECTION('',(-0.433868571089349,0.900976172282534,0.)); #414528=DIRECTION('',(-0.433868571089349,0.900976172282534,0.)); #414529=DIRECTION('',(0.,0.,1.)); #414530=DIRECTION('center_axis',(0.943874356011309,0.330304707905045,0.)); #414531=DIRECTION('ref_axis',(-0.330304707905045,0.943874356011309,0.)); #414532=DIRECTION('',(-0.330304707905045,0.943874356011309,0.)); #414533=DIRECTION('',(-0.330304707905045,0.943874356011309,0.)); #414534=DIRECTION('',(0.,0.,1.)); #414535=DIRECTION('center_axis',(0.974927783103216,0.222521499485892,0.)); #414536=DIRECTION('ref_axis',(-0.222521499485892,0.974927783103215,0.)); #414537=DIRECTION('',(-0.222521499485892,0.974927783103215,0.)); #414538=DIRECTION('',(-0.222521499485892,0.974927783103215,0.)); #414539=DIRECTION('',(0.,0.,1.)); #414540=DIRECTION('center_axis',(0.993713488739871,0.111953125442903,0.)); #414541=DIRECTION('ref_axis',(-0.111953125442903,0.993713488739871,0.)); #414542=DIRECTION('',(-0.111953125442903,0.993713488739871,0.)); #414543=DIRECTION('',(-0.111953125442903,0.993713488739871,0.)); #414544=DIRECTION('',(0.,0.,1.)); #414545=DIRECTION('center_axis',(1.,0.,0.)); #414546=DIRECTION('ref_axis',(0.,1.,0.)); #414547=DIRECTION('',(0.,1.,0.)); #414548=DIRECTION('',(0.,1.,0.)); #414549=DIRECTION('',(0.,0.,1.)); #414550=DIRECTION('center_axis',(0.993713488739871,-0.111953125442903,0.)); #414551=DIRECTION('ref_axis',(0.111953125442903,0.993713488739871,0.)); #414552=DIRECTION('',(0.111953125442903,0.993713488739871,0.)); #414553=DIRECTION('',(0.111953125442903,0.993713488739871,0.)); #414554=DIRECTION('',(0.,0.,1.)); #414555=DIRECTION('center_axis',(0.974927783103215,-0.222521499485893,0.)); #414556=DIRECTION('ref_axis',(0.222521499485893,0.974927783103215,0.)); #414557=DIRECTION('',(0.222521499485893,0.974927783103215,0.)); #414558=DIRECTION('',(0.222521499485893,0.974927783103215,0.)); #414559=DIRECTION('',(0.,0.,1.)); #414560=DIRECTION('center_axis',(0.943874356011309,-0.330304707905045,0.)); #414561=DIRECTION('ref_axis',(0.330304707905045,0.943874356011309,0.)); #414562=DIRECTION('',(0.330304707905045,0.943874356011309,0.)); #414563=DIRECTION('',(0.330304707905045,0.943874356011309,0.)); #414564=DIRECTION('',(0.,0.,1.)); #414565=DIRECTION('center_axis',(0.900976172282534,-0.433868571089349,0.)); #414566=DIRECTION('ref_axis',(0.433868571089349,0.900976172282534,0.)); #414567=DIRECTION('',(0.433868571089349,0.900976172282534,0.)); #414568=DIRECTION('',(0.433868571089349,0.900976172282534,0.)); #414569=DIRECTION('',(0.,0.,1.)); #414570=DIRECTION('center_axis',(0.846733144041886,-0.532017840660389,0.)); #414571=DIRECTION('ref_axis',(0.532017840660389,0.846733144041886,0.)); #414572=DIRECTION('',(0.532017840660389,0.846733144041886,0.)); #414573=DIRECTION('',(0.532017840660389,0.846733144041886,0.)); #414574=DIRECTION('',(0.,0.,1.)); #414575=DIRECTION('center_axis',(0.781826848571423,-0.623495612536991,0.)); #414576=DIRECTION('ref_axis',(0.623495612536991,0.781826848571423,0.)); #414577=DIRECTION('',(0.623495612536991,0.781826848571423,0.)); #414578=DIRECTION('',(0.623495612536991,0.781826848571423,0.)); #414579=DIRECTION('',(0.,0.,1.)); #414580=DIRECTION('center_axis',(0.70710920936978,-0.707104352994977,0.)); #414581=DIRECTION('ref_axis',(0.707104352994977,0.70710920936978,0.)); #414582=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #414583=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #414584=DIRECTION('',(0.,0.,1.)); #414585=DIRECTION('center_axis',(0.623490076319886,-0.781831263592485,0.)); #414586=DIRECTION('ref_axis',(0.781831263592485,0.623490076319886,0.)); #414587=DIRECTION('',(0.781831263592485,0.623490076319886,0.)); #414588=DIRECTION('',(0.781831263592485,0.623490076319886,0.)); #414589=DIRECTION('',(0.,0.,1.)); #414590=DIRECTION('center_axis',(0.532028152553409,-0.846726664804296,0.)); #414591=DIRECTION('ref_axis',(0.846726664804296,0.532028152553409,0.)); #414592=DIRECTION('',(0.846726664804296,0.532028152553409,0.)); #414593=DIRECTION('',(0.846726664804296,0.532028152553409,0.)); #414594=DIRECTION('',(0.,0.,1.)); #414595=DIRECTION('center_axis',(0.433866088505888,-0.900977367776018,0.)); #414596=DIRECTION('ref_axis',(0.900977367776017,0.433866088505888,0.)); #414597=DIRECTION('',(0.900977367776017,0.433866088505888,0.)); #414598=DIRECTION('',(0.900977367776017,0.433866088505888,0.)); #414599=DIRECTION('',(0.,0.,1.)); #414600=DIRECTION('center_axis',(0.330292737303213,-0.943878544985927,0.)); #414601=DIRECTION('ref_axis',(0.943878544985927,0.330292737303213,0.)); #414602=DIRECTION('',(0.943878544985927,0.330292737303213,0.)); #414603=DIRECTION('',(0.943878544985927,0.330292737303213,0.)); #414604=DIRECTION('',(0.,0.,1.)); #414605=DIRECTION('center_axis',(0.222535347290571,-0.974924622320241,0.)); #414606=DIRECTION('ref_axis',(0.974924622320241,0.222535347290571,0.)); #414607=DIRECTION('',(0.974924622320241,0.222535347290571,0.)); #414608=DIRECTION('',(0.974924622320241,0.222535347290571,0.)); #414609=DIRECTION('center_axis',(0.,0.,1.)); #414610=DIRECTION('ref_axis',(1.,0.,0.)); #414611=DIRECTION('center_axis',(0.,0.,1.)); #414612=DIRECTION('ref_axis',(1.,0.,0.)); #414613=DIRECTION('center_axis',(0.,1.,0.)); #414614=DIRECTION('ref_axis',(-1.,0.,0.)); #414615=DIRECTION('',(1.,0.,0.)); #414616=DIRECTION('',(0.,0.,1.)); #414617=DIRECTION('',(-1.,0.,0.)); #414618=DIRECTION('',(0.,0.,1.)); #414619=DIRECTION('center_axis',(0.,0.,1.)); #414620=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #414621=DIRECTION('center_axis',(0.,0.,-1.)); #414622=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #414623=DIRECTION('center_axis',(0.,0.,1.)); #414624=DIRECTION('ref_axis',(-2.67948966849261E-8,-1.,0.)); #414625=DIRECTION('',(0.,0.,1.)); #414626=DIRECTION('center_axis',(0.,-1.,0.)); #414627=DIRECTION('ref_axis',(1.,0.,0.)); #414628=DIRECTION('',(-1.,0.,0.)); #414629=DIRECTION('',(1.,0.,0.)); #414630=DIRECTION('',(0.,0.,1.)); #414631=DIRECTION('center_axis',(0.,0.,1.)); #414632=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #414633=DIRECTION('center_axis',(0.,0.,-1.)); #414634=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #414635=DIRECTION('center_axis',(0.,0.,1.)); #414636=DIRECTION('ref_axis',(2.67948966849261E-8,1.,0.)); #414637=DIRECTION('center_axis',(0.0871622148811941,-0.996194131832247, 0.)); #414638=DIRECTION('ref_axis',(0.996194131832247,0.0871622148811941,0.)); #414639=DIRECTION('',(0.996194131832247,0.0871622148811941,0.)); #414640=DIRECTION('',(0.,0.,1.)); #414641=DIRECTION('',(0.996194131832247,0.0871622148811941,0.)); #414642=DIRECTION('',(0.,0.,1.)); #414643=DIRECTION('center_axis',(0.,-1.,0.)); #414644=DIRECTION('ref_axis',(1.,0.,0.)); #414645=DIRECTION('',(1.,0.,0.)); #414646=DIRECTION('',(1.,0.,0.)); #414647=DIRECTION('',(0.,0.,1.)); #414648=DIRECTION('center_axis',(-0.0871622148812088,-0.996194131832246, 0.)); #414649=DIRECTION('ref_axis',(0.996194131832246,-0.0871622148812088,0.)); #414650=DIRECTION('',(0.996194131832246,-0.0871622148812088,0.)); #414651=DIRECTION('',(0.996194131832246,-0.0871622148812088,0.)); #414652=DIRECTION('',(0.,0.,1.)); #414653=DIRECTION('center_axis',(-0.173645964707178,-0.984808143214156, 0.)); #414654=DIRECTION('ref_axis',(0.984808143214156,-0.173645964707178,0.)); #414655=DIRECTION('',(0.984808143214156,-0.173645964707178,0.)); #414656=DIRECTION('',(0.984808143214156,-0.173645964707178,0.)); #414657=DIRECTION('',(0.,0.,1.)); #414658=DIRECTION('center_axis',(-0.258821098381114,-0.965925276112388, 0.)); #414659=DIRECTION('ref_axis',(0.965925276112388,-0.258821098381114,0.)); #414660=DIRECTION('',(0.965925276112388,-0.258821098381114,0.)); #414661=DIRECTION('',(0.965925276112388,-0.258821098381114,0.)); #414662=DIRECTION('',(0.,0.,1.)); #414663=DIRECTION('center_axis',(-0.342006639937231,-0.939697535507487, 0.)); #414664=DIRECTION('ref_axis',(0.939697535507487,-0.342006639937231,0.)); #414665=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #414666=DIRECTION('',(0.939697535507487,-0.342006639937231,0.)); #414667=DIRECTION('',(0.,0.,1.)); #414668=DIRECTION('center_axis',(-0.422641642689181,-0.906296883954144, 0.)); #414669=DIRECTION('ref_axis',(0.906296883954144,-0.422641642689181,0.)); #414670=DIRECTION('',(0.906296883954144,-0.42264164268918,0.)); #414671=DIRECTION('',(0.906296883954144,-0.42264164268918,0.)); #414672=DIRECTION('',(0.,0.,1.)); #414673=DIRECTION('center_axis',(-0.499984597074525,-0.866034296484975, 0.)); #414674=DIRECTION('ref_axis',(0.866034296484975,-0.499984597074525,0.)); #414675=DIRECTION('',(0.866034296484975,-0.499984597074525,0.)); #414676=DIRECTION('',(0.866034296484975,-0.499984597074525,0.)); #414677=DIRECTION('',(0.,0.,1.)); #414678=DIRECTION('center_axis',(-0.573594705766864,-0.819139251602696, 0.)); #414679=DIRECTION('ref_axis',(0.819139251602696,-0.573594705766864,0.)); #414680=DIRECTION('',(0.819139251602696,-0.573594705766864,0.)); #414681=DIRECTION('',(0.819139251602696,-0.573594705766864,0.)); #414682=DIRECTION('',(0.,0.,1.)); #414683=DIRECTION('center_axis',(-0.642785256870338,-0.766046417360028, 0.)); #414684=DIRECTION('ref_axis',(0.766046417360028,-0.642785256870337,0.)); #414685=DIRECTION('',(0.766046417360028,-0.642785256870337,0.)); #414686=DIRECTION('',(0.766046417360028,-0.642785256870337,0.)); #414687=DIRECTION('',(0.,0.,1.)); #414688=DIRECTION('center_axis',(-0.707106781186491,-0.707106781186604, 0.)); #414689=DIRECTION('ref_axis',(0.707106781186604,-0.707106781186491,0.)); #414690=DIRECTION('',(0.707106781186604,-0.707106781186491,0.)); #414691=DIRECTION('',(0.707106781186604,-0.707106781186491,0.)); #414692=DIRECTION('',(0.,0.,1.)); #414693=DIRECTION('center_axis',(-0.766046417360107,-0.642785256870243, 0.)); #414694=DIRECTION('ref_axis',(0.642785256870243,-0.766046417360107,0.)); #414695=DIRECTION('',(0.642785256870243,-0.766046417360107,0.)); #414696=DIRECTION('',(0.642785256870243,-0.766046417360107,0.)); #414697=DIRECTION('',(0.,0.,1.)); #414698=DIRECTION('center_axis',(-0.819139251602624,-0.573594705766967, 0.)); #414699=DIRECTION('ref_axis',(0.573594705766967,-0.819139251602624,0.)); #414700=DIRECTION('',(0.573594705766967,-0.819139251602624,0.)); #414701=DIRECTION('',(0.573594705766967,-0.819139251602624,0.)); #414702=DIRECTION('',(0.,0.,1.)); #414703=DIRECTION('center_axis',(-0.866034296485038,-0.499984597074416, 0.)); #414704=DIRECTION('ref_axis',(0.499984597074416,-0.866034296485038,0.)); #414705=DIRECTION('',(0.499984597074416,-0.866034296485038,0.)); #414706=DIRECTION('',(0.499984597074416,-0.866034296485038,0.)); #414707=DIRECTION('',(0.,0.,1.)); #414708=DIRECTION('center_axis',(-0.906296883954154,-0.422641642689159, 0.)); #414709=DIRECTION('ref_axis',(0.422641642689159,-0.906296883954154,0.)); #414710=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #414711=DIRECTION('',(0.422641642689159,-0.906296883954154,0.)); #414712=DIRECTION('',(0.,0.,1.)); #414713=DIRECTION('center_axis',(-0.939697535507451,-0.342006639937331, 0.)); #414714=DIRECTION('ref_axis',(0.342006639937331,-0.939697535507451,0.)); #414715=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #414716=DIRECTION('',(0.342006639937331,-0.939697535507451,0.)); #414717=DIRECTION('',(0.,0.,1.)); #414718=DIRECTION('center_axis',(-0.965925276112388,-0.258821098381114, 0.)); #414719=DIRECTION('ref_axis',(0.258821098381114,-0.965925276112388,0.)); #414720=DIRECTION('',(0.258821098381114,-0.965925276112388,0.)); #414721=DIRECTION('',(0.258821098381114,-0.965925276112388,0.)); #414722=DIRECTION('',(0.,0.,1.)); #414723=DIRECTION('center_axis',(-0.984808143214153,-0.173645964707197, 0.)); #414724=DIRECTION('ref_axis',(0.173645964707197,-0.984808143214152,0.)); #414725=DIRECTION('',(0.173645964707197,-0.984808143214152,0.)); #414726=DIRECTION('',(0.173645964707197,-0.984808143214152,0.)); #414727=DIRECTION('',(0.,0.,1.)); #414728=DIRECTION('center_axis',(-0.996194131832247,-0.087162214881199, 0.)); #414729=DIRECTION('ref_axis',(0.087162214881199,-0.996194131832247,0.)); #414730=DIRECTION('',(0.087162214881199,-0.996194131832247,0.)); #414731=DIRECTION('',(0.087162214881199,-0.996194131832247,0.)); #414732=DIRECTION('',(0.,0.,1.)); #414733=DIRECTION('center_axis',(-1.,0.,0.)); #414734=DIRECTION('ref_axis',(0.,-1.,0.)); #414735=DIRECTION('',(0.,-1.,0.)); #414736=DIRECTION('',(0.,-1.,0.)); #414737=DIRECTION('',(0.,0.,1.)); #414738=DIRECTION('center_axis',(-0.996194131832247,0.087162214881199,0.)); #414739=DIRECTION('ref_axis',(-0.087162214881199,-0.996194131832247,0.)); #414740=DIRECTION('',(-0.087162214881199,-0.996194131832247,0.)); #414741=DIRECTION('',(-0.087162214881199,-0.996194131832247,0.)); #414742=DIRECTION('',(0.,0.,1.)); #414743=DIRECTION('center_axis',(-0.984808143214154,0.173645964707188,0.)); #414744=DIRECTION('ref_axis',(-0.173645964707188,-0.984808143214154,0.)); #414745=DIRECTION('',(-0.173645964707188,-0.984808143214154,0.)); #414746=DIRECTION('',(-0.173645964707188,-0.984808143214154,0.)); #414747=DIRECTION('',(0.,0.,1.)); #414748=DIRECTION('center_axis',(-0.965925276112385,0.258821098381128,0.)); #414749=DIRECTION('ref_axis',(-0.258821098381128,-0.965925276112385,0.)); #414750=DIRECTION('',(-0.258821098381128,-0.965925276112385,0.)); #414751=DIRECTION('',(-0.258821098381128,-0.965925276112385,0.)); #414752=DIRECTION('',(0.,0.,1.)); #414753=DIRECTION('center_axis',(-0.939697535507451,0.342006639937331,0.)); #414754=DIRECTION('ref_axis',(-0.342006639937331,-0.939697535507451,0.)); #414755=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #414756=DIRECTION('',(-0.342006639937331,-0.939697535507451,0.)); #414757=DIRECTION('',(0.,0.,1.)); #414758=DIRECTION('center_axis',(-0.906296883954154,0.422641642689159,0.)); #414759=DIRECTION('ref_axis',(-0.422641642689159,-0.906296883954154,0.)); #414760=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #414761=DIRECTION('',(-0.422641642689159,-0.906296883954154,0.)); #414762=DIRECTION('',(0.,0.,1.)); #414763=DIRECTION('center_axis',(-0.866034296485038,0.499984597074416,0.)); #414764=DIRECTION('ref_axis',(-0.499984597074416,-0.866034296485038,0.)); #414765=DIRECTION('',(-0.499984597074416,-0.866034296485038,0.)); #414766=DIRECTION('',(-0.499984597074416,-0.866034296485038,0.)); #414767=DIRECTION('',(0.,0.,1.)); #414768=DIRECTION('center_axis',(-0.819139251602624,0.573594705766967,0.)); #414769=DIRECTION('ref_axis',(-0.573594705766967,-0.819139251602624,0.)); #414770=DIRECTION('',(-0.573594705766967,-0.819139251602624,0.)); #414771=DIRECTION('',(-0.573594705766967,-0.819139251602624,0.)); #414772=DIRECTION('',(0.,0.,1.)); #414773=DIRECTION('center_axis',(-0.766046417360107,0.642785256870243,0.)); #414774=DIRECTION('ref_axis',(-0.642785256870243,-0.766046417360107,0.)); #414775=DIRECTION('',(-0.642785256870243,-0.766046417360107,0.)); #414776=DIRECTION('',(-0.642785256870243,-0.766046417360107,0.)); #414777=DIRECTION('',(0.,0.,1.)); #414778=DIRECTION('center_axis',(-0.707106781186519,0.707106781186576,0.)); #414779=DIRECTION('ref_axis',(-0.707106781186576,-0.707106781186519,0.)); #414780=DIRECTION('',(-0.707106781186576,-0.707106781186519,0.)); #414781=DIRECTION('',(-0.707106781186576,-0.707106781186519,0.)); #414782=DIRECTION('',(0.,0.,1.)); #414783=DIRECTION('center_axis',(-0.642785256870304,0.766046417360056,0.)); #414784=DIRECTION('ref_axis',(-0.766046417360056,-0.642785256870304,0.)); #414785=DIRECTION('',(-0.766046417360056,-0.642785256870304,0.)); #414786=DIRECTION('',(-0.766046417360056,-0.642785256870304,0.)); #414787=DIRECTION('',(0.,0.,1.)); #414788=DIRECTION('center_axis',(-0.573594705766864,0.819139251602696,0.)); #414789=DIRECTION('ref_axis',(-0.819139251602696,-0.573594705766864,0.)); #414790=DIRECTION('',(-0.819139251602696,-0.573594705766864,0.)); #414791=DIRECTION('',(-0.819139251602696,-0.573594705766864,0.)); #414792=DIRECTION('',(0.,0.,1.)); #414793=DIRECTION('center_axis',(-0.499984597074525,0.866034296484975,0.)); #414794=DIRECTION('ref_axis',(-0.866034296484975,-0.499984597074525,0.)); #414795=DIRECTION('',(-0.866034296484975,-0.499984597074525,0.)); #414796=DIRECTION('',(-0.866034296484975,-0.499984597074525,0.)); #414797=DIRECTION('',(0.,0.,1.)); #414798=DIRECTION('center_axis',(-0.422641642689181,0.906296883954144,0.)); #414799=DIRECTION('ref_axis',(-0.906296883954144,-0.422641642689181,0.)); #414800=DIRECTION('',(-0.906296883954144,-0.42264164268918,0.)); #414801=DIRECTION('',(-0.906296883954144,-0.42264164268918,0.)); #414802=DIRECTION('',(0.,0.,1.)); #414803=DIRECTION('center_axis',(-0.342017875916574,0.93969344605223,0.)); #414804=DIRECTION('ref_axis',(-0.93969344605223,-0.342017875916574,0.)); #414805=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #414806=DIRECTION('',(-0.93969344605223,-0.342017875916574,0.)); #414807=DIRECTION('',(0.,0.,1.)); #414808=DIRECTION('center_axis',(-0.258809226580718,0.965928457100572,0.)); #414809=DIRECTION('ref_axis',(-0.965928457100572,-0.258809226580718,0.)); #414810=DIRECTION('',(-0.965928457100572,-0.258809226580718,0.)); #414811=DIRECTION('',(-0.965928457100572,-0.258809226580718,0.)); #414812=DIRECTION('',(0.,0.,1.)); #414813=DIRECTION('center_axis',(-0.173645964707178,0.984808143214156,0.)); #414814=DIRECTION('ref_axis',(-0.984808143214156,-0.173645964707178,0.)); #414815=DIRECTION('',(-0.984808143214156,-0.173645964707178,0.)); #414816=DIRECTION('',(-0.984808143214156,-0.173645964707178,0.)); #414817=DIRECTION('',(0.,0.,1.)); #414818=DIRECTION('center_axis',(-0.0871622148812649,0.996194131832241, 0.)); #414819=DIRECTION('ref_axis',(-0.996194131832241,-0.0871622148812649,0.)); #414820=DIRECTION('',(-0.996194131832241,-0.0871622148812649,0.)); #414821=DIRECTION('',(-0.996194131832241,-0.0871622148812649,0.)); #414822=DIRECTION('',(0.,0.,1.)); #414823=DIRECTION('center_axis',(0.,1.,0.)); #414824=DIRECTION('ref_axis',(-1.,0.,0.)); #414825=DIRECTION('',(-1.,0.,0.)); #414826=DIRECTION('',(-1.,0.,0.)); #414827=DIRECTION('',(0.,0.,1.)); #414828=DIRECTION('center_axis',(0.0871622148812502,0.996194131832242,0.)); #414829=DIRECTION('ref_axis',(-0.996194131832242,0.0871622148812502,0.)); #414830=DIRECTION('',(-0.996194131832242,0.0871622148812501,0.)); #414831=DIRECTION('',(-0.996194131832242,0.0871622148812501,0.)); #414832=DIRECTION('',(0.,0.,1.)); #414833=DIRECTION('center_axis',(0.173645964707197,0.984808143214153,0.)); #414834=DIRECTION('ref_axis',(-0.984808143214152,0.173645964707197,0.)); #414835=DIRECTION('',(-0.984808143214152,0.173645964707197,0.)); #414836=DIRECTION('',(-0.984808143214152,0.173645964707197,0.)); #414837=DIRECTION('',(0.,0.,1.)); #414838=DIRECTION('center_axis',(0.258809226580718,0.965928457100572,0.)); #414839=DIRECTION('ref_axis',(-0.965928457100572,0.258809226580718,0.)); #414840=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #414841=DIRECTION('',(-0.965928457100572,0.258809226580718,0.)); #414842=DIRECTION('',(0.,0.,1.)); #414843=DIRECTION('center_axis',(0.342017875916592,0.939693446052223,0.)); #414844=DIRECTION('ref_axis',(-0.939693446052223,0.342017875916592,0.)); #414845=DIRECTION('',(-0.939693446052223,0.342017875916592,0.)); #414846=DIRECTION('',(-0.939693446052223,0.342017875916592,0.)); #414847=DIRECTION('',(0.,0.,1.)); #414848=DIRECTION('center_axis',(0.422641642689116,0.906296883954174,0.)); #414849=DIRECTION('ref_axis',(-0.906296883954174,0.422641642689116,0.)); #414850=DIRECTION('',(-0.906296883954174,0.422641642689116,0.)); #414851=DIRECTION('',(-0.906296883954174,0.422641642689116,0.)); #414852=DIRECTION('',(0.,0.,1.)); #414853=DIRECTION('center_axis',(0.499984597074525,0.866034296484975,0.)); #414854=DIRECTION('ref_axis',(-0.866034296484975,0.499984597074525,0.)); #414855=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #414856=DIRECTION('',(-0.866034296484975,0.499984597074525,0.)); #414857=DIRECTION('',(0.,0.,1.)); #414858=DIRECTION('center_axis',(0.573594705766918,0.819139251602658,0.)); #414859=DIRECTION('ref_axis',(-0.819139251602658,0.573594705766918,0.)); #414860=DIRECTION('',(-0.819139251602658,0.573594705766918,0.)); #414861=DIRECTION('',(-0.819139251602658,0.573594705766918,0.)); #414862=DIRECTION('',(0.,0.,1.)); #414863=DIRECTION('center_axis',(0.642785256870249,0.766046417360103,0.)); #414864=DIRECTION('ref_axis',(-0.766046417360103,0.642785256870249,0.)); #414865=DIRECTION('',(-0.766046417360103,0.642785256870249,0.)); #414866=DIRECTION('',(-0.766046417360103,0.642785256870249,0.)); #414867=DIRECTION('',(0.,0.,1.)); #414868=DIRECTION('center_axis',(0.707106781186576,0.707106781186519,0.)); #414869=DIRECTION('ref_axis',(-0.707106781186519,0.707106781186576,0.)); #414870=DIRECTION('',(-0.707106781186519,0.707106781186576,0.)); #414871=DIRECTION('',(-0.707106781186519,0.707106781186576,0.)); #414872=DIRECTION('',(0.,0.,1.)); #414873=DIRECTION('center_axis',(0.766046417360051,0.64278525687031,0.)); #414874=DIRECTION('ref_axis',(-0.64278525687031,0.766046417360051,0.)); #414875=DIRECTION('',(-0.64278525687031,0.766046417360051,0.)); #414876=DIRECTION('',(-0.64278525687031,0.766046417360051,0.)); #414877=DIRECTION('',(0.,0.,1.)); #414878=DIRECTION('center_axis',(0.81913925160265,0.573594705766929,0.)); #414879=DIRECTION('ref_axis',(-0.573594705766929,0.81913925160265,0.)); #414880=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #414881=DIRECTION('',(-0.573594705766929,0.81913925160265,0.)); #414882=DIRECTION('',(0.,0.,1.)); #414883=DIRECTION('center_axis',(0.866034296485038,0.499984597074416,0.)); #414884=DIRECTION('ref_axis',(-0.499984597074416,0.866034296485038,0.)); #414885=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #414886=DIRECTION('',(-0.499984597074416,0.866034296485038,0.)); #414887=DIRECTION('',(0.,0.,1.)); #414888=DIRECTION('center_axis',(0.906296883954132,0.422641642689205,0.)); #414889=DIRECTION('ref_axis',(-0.422641642689205,0.906296883954132,0.)); #414890=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #414891=DIRECTION('',(-0.422641642689205,0.906296883954132,0.)); #414892=DIRECTION('',(0.,0.,1.)); #414893=DIRECTION('center_axis',(0.939693446052248,0.342017875916524,0.)); #414894=DIRECTION('ref_axis',(-0.342017875916524,0.939693446052248,0.)); #414895=DIRECTION('',(-0.342017875916524,0.939693446052248,0.)); #414896=DIRECTION('',(-0.342017875916524,0.939693446052248,0.)); #414897=DIRECTION('',(0.,0.,1.)); #414898=DIRECTION('center_axis',(0.965928457100554,0.258809226580784,0.)); #414899=DIRECTION('ref_axis',(-0.258809226580784,0.965928457100554,0.)); #414900=DIRECTION('',(-0.258809226580784,0.965928457100554,0.)); #414901=DIRECTION('',(-0.258809226580784,0.965928457100554,0.)); #414902=DIRECTION('',(0.,0.,1.)); #414903=DIRECTION('center_axis',(0.984808143214154,0.173645964707188,0.)); #414904=DIRECTION('ref_axis',(-0.173645964707188,0.984808143214154,0.)); #414905=DIRECTION('',(-0.173645964707188,0.984808143214154,0.)); #414906=DIRECTION('',(-0.173645964707188,0.984808143214154,0.)); #414907=DIRECTION('',(0.,0.,1.)); #414908=DIRECTION('center_axis',(0.996194131832247,0.087162214881199,0.)); #414909=DIRECTION('ref_axis',(-0.087162214881199,0.996194131832247,0.)); #414910=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #414911=DIRECTION('',(-0.087162214881199,0.996194131832247,0.)); #414912=DIRECTION('',(0.,0.,1.)); #414913=DIRECTION('center_axis',(1.,0.,0.)); #414914=DIRECTION('ref_axis',(0.,1.,0.)); #414915=DIRECTION('',(0.,1.,0.)); #414916=DIRECTION('',(0.,1.,0.)); #414917=DIRECTION('',(0.,0.,1.)); #414918=DIRECTION('center_axis',(0.996194131832247,-0.087162214881199,0.)); #414919=DIRECTION('ref_axis',(0.087162214881199,0.996194131832247,0.)); #414920=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #414921=DIRECTION('',(0.087162214881199,0.996194131832247,0.)); #414922=DIRECTION('',(0.,0.,1.)); #414923=DIRECTION('center_axis',(0.984808143214153,-0.173645964707197,0.)); #414924=DIRECTION('ref_axis',(0.173645964707197,0.984808143214152,0.)); #414925=DIRECTION('',(0.173645964707197,0.984808143214152,0.)); #414926=DIRECTION('',(0.173645964707197,0.984808143214152,0.)); #414927=DIRECTION('',(0.,0.,1.)); #414928=DIRECTION('center_axis',(0.965928457100558,-0.25880922658077,0.)); #414929=DIRECTION('ref_axis',(0.25880922658077,0.965928457100558,0.)); #414930=DIRECTION('',(0.25880922658077,0.965928457100558,0.)); #414931=DIRECTION('',(0.25880922658077,0.965928457100558,0.)); #414932=DIRECTION('',(0.,0.,1.)); #414933=DIRECTION('center_axis',(0.939693446052248,-0.342017875916524,0.)); #414934=DIRECTION('ref_axis',(0.342017875916524,0.939693446052248,0.)); #414935=DIRECTION('',(0.342017875916524,0.939693446052248,0.)); #414936=DIRECTION('',(0.342017875916524,0.939693446052248,0.)); #414937=DIRECTION('',(0.,0.,1.)); #414938=DIRECTION('center_axis',(0.906296883954132,-0.422641642689205,0.)); #414939=DIRECTION('ref_axis',(0.422641642689205,0.906296883954132,0.)); #414940=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #414941=DIRECTION('',(0.422641642689205,0.906296883954132,0.)); #414942=DIRECTION('',(0.,0.,1.)); #414943=DIRECTION('center_axis',(0.866034296485038,-0.499984597074416,0.)); #414944=DIRECTION('ref_axis',(0.499984597074416,0.866034296485038,0.)); #414945=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #414946=DIRECTION('',(0.499984597074416,0.866034296485038,0.)); #414947=DIRECTION('',(0.,0.,1.)); #414948=DIRECTION('center_axis',(0.81913925160265,-0.573594705766929,0.)); #414949=DIRECTION('ref_axis',(0.573594705766929,0.81913925160265,0.)); #414950=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #414951=DIRECTION('',(0.573594705766929,0.81913925160265,0.)); #414952=DIRECTION('',(0.,0.,1.)); #414953=DIRECTION('center_axis',(0.766046417360051,-0.64278525687031,0.)); #414954=DIRECTION('ref_axis',(0.64278525687031,0.766046417360051,0.)); #414955=DIRECTION('',(0.64278525687031,0.766046417360051,0.)); #414956=DIRECTION('',(0.64278525687031,0.766046417360051,0.)); #414957=DIRECTION('',(0.,0.,1.)); #414958=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #414959=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #414960=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #414961=DIRECTION('',(0.707106781186547,0.707106781186547,0.)); #414962=DIRECTION('',(0.,0.,1.)); #414963=DIRECTION('center_axis',(0.642785256870282,-0.766046417360075,0.)); #414964=DIRECTION('ref_axis',(0.766046417360075,0.642785256870282,0.)); #414965=DIRECTION('',(0.766046417360075,0.642785256870282,0.)); #414966=DIRECTION('',(0.766046417360075,0.642785256870282,0.)); #414967=DIRECTION('',(0.,0.,1.)); #414968=DIRECTION('center_axis',(0.573594705766918,-0.819139251602658,0.)); #414969=DIRECTION('ref_axis',(0.819139251602658,0.573594705766918,0.)); #414970=DIRECTION('',(0.819139251602658,0.573594705766918,0.)); #414971=DIRECTION('',(0.819139251602658,0.573594705766918,0.)); #414972=DIRECTION('',(0.,0.,1.)); #414973=DIRECTION('center_axis',(0.499984597074525,-0.866034296484975,0.)); #414974=DIRECTION('ref_axis',(0.866034296484975,0.499984597074525,0.)); #414975=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #414976=DIRECTION('',(0.866034296484975,0.499984597074525,0.)); #414977=DIRECTION('',(0.,0.,1.)); #414978=DIRECTION('center_axis',(0.422641642689116,-0.906296883954174,0.)); #414979=DIRECTION('ref_axis',(0.906296883954174,0.422641642689116,0.)); #414980=DIRECTION('',(0.906296883954174,0.422641642689116,0.)); #414981=DIRECTION('',(0.906296883954174,0.422641642689116,0.)); #414982=DIRECTION('',(0.,0.,1.)); #414983=DIRECTION('center_axis',(0.342006639937249,-0.939697535507481,0.)); #414984=DIRECTION('ref_axis',(0.939697535507481,0.342006639937249,0.)); #414985=DIRECTION('',(0.939697535507481,0.342006639937249,0.)); #414986=DIRECTION('',(0.939697535507481,0.342006639937249,0.)); #414987=DIRECTION('',(0.,0.,1.)); #414988=DIRECTION('center_axis',(0.258821098381114,-0.965925276112388,0.)); #414989=DIRECTION('ref_axis',(0.965925276112388,0.258821098381114,0.)); #414990=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #414991=DIRECTION('',(0.965925276112388,0.258821098381114,0.)); #414992=DIRECTION('',(0.,0.,1.)); #414993=DIRECTION('center_axis',(0.173645964707197,-0.984808143214153,0.)); #414994=DIRECTION('ref_axis',(0.984808143214152,0.173645964707197,0.)); #414995=DIRECTION('',(0.984808143214152,0.173645964707197,0.)); #414996=DIRECTION('',(0.984808143214152,0.173645964707197,0.)); #414997=DIRECTION('center_axis',(0.,0.,1.)); #414998=DIRECTION('ref_axis',(1.,0.,0.)); #414999=DIRECTION('center_axis',(0.,0.,1.)); #415000=DIRECTION('ref_axis',(1.,0.,0.)); #415001=DIRECTION('center_axis',(0.,0.,1.)); #415002=DIRECTION('ref_axis',(1.,0.,0.)); #415003=DIRECTION('center_axis',(0.,0.,1.)); #415004=DIRECTION('ref_axis',(1.,0.,0.)); #415005=DIRECTION('',(0.,0.,1.)); #415006=DIRECTION('center_axis',(0.,0.,-1.)); #415007=DIRECTION('ref_axis',(1.,0.,0.)); #415008=DIRECTION('center_axis',(0.0980028398661675,-0.995186135041162, 0.)); #415009=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661675,0.)); #415010=DIRECTION('',(0.995186135041162,0.0980028398661675,0.)); #415011=DIRECTION('',(0.,0.,1.)); #415012=DIRECTION('',(0.995186135041162,0.0980028398661675,0.)); #415013=DIRECTION('',(0.,0.,1.)); #415014=DIRECTION('center_axis',(0.,0.,1.)); #415015=DIRECTION('ref_axis',(-0.146731201566057,0.989176402107825,0.)); #415016=DIRECTION('center_axis',(0.,0.,1.)); #415017=DIRECTION('ref_axis',(-0.146731201566057,0.989176402107825,0.)); #415018=DIRECTION('center_axis',(0.,0.,1.)); #415019=DIRECTION('ref_axis',(-0.146731201566057,0.989176402107825,0.)); #415020=DIRECTION('',(0.,0.,1.)); #415021=DIRECTION('center_axis',(0.,0.,1.)); #415022=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #415023=DIRECTION('center_axis',(0.,0.,1.)); #415024=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #415025=DIRECTION('center_axis',(0.,0.,1.)); #415026=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #415027=DIRECTION('center_axis',(0.,0.,1.)); #415028=DIRECTION('ref_axis',(1.,0.,0.)); #415029=DIRECTION('center_axis',(0.,0.,1.)); #415030=DIRECTION('ref_axis',(1.,0.,0.)); #415031=DIRECTION('center_axis',(0.,0.,1.)); #415032=DIRECTION('ref_axis',(1.,0.,0.)); #415033=DIRECTION('center_axis',(0.,0.,1.)); #415034=DIRECTION('ref_axis',(1.,0.,0.)); #415035=DIRECTION('',(0.,0.,1.)); #415036=DIRECTION('center_axis',(0.,0.,-1.)); #415037=DIRECTION('ref_axis',(1.,0.,0.)); #415038=DIRECTION('center_axis',(0.0979988322081603,-0.995186529694729, 0.)); #415039=DIRECTION('ref_axis',(0.995186529694729,0.0979988322081603,0.)); #415040=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #415041=DIRECTION('',(0.,0.,1.)); #415042=DIRECTION('',(0.995186529694729,0.0979988322081603,0.)); #415043=DIRECTION('',(0.,0.,1.)); #415044=DIRECTION('center_axis',(0.,0.,1.)); #415045=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #415046=DIRECTION('center_axis',(0.,0.,1.)); #415047=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #415048=DIRECTION('center_axis',(0.,0.,1.)); #415049=DIRECTION('ref_axis',(-0.146731830566431,0.989176308803756,0.)); #415050=DIRECTION('',(0.,0.,1.)); #415051=DIRECTION('center_axis',(0.,0.,1.)); #415052=DIRECTION('ref_axis',(0.146731885739874,-0.98917630061947,0.)); #415053=DIRECTION('center_axis',(0.,0.,1.)); #415054=DIRECTION('ref_axis',(0.146731885739874,-0.98917630061947,0.)); #415055=DIRECTION('center_axis',(0.,0.,1.)); #415056=DIRECTION('ref_axis',(0.146731885739874,-0.98917630061947,0.)); #415057=DIRECTION('center_axis',(0.,0.,1.)); #415058=DIRECTION('ref_axis',(1.,0.,0.)); #415059=DIRECTION('center_axis',(0.,0.,1.)); #415060=DIRECTION('ref_axis',(1.,0.,0.)); #415061=DIRECTION('center_axis',(1.,0.,0.)); #415062=DIRECTION('ref_axis',(0.,1.,0.)); #415063=DIRECTION('',(0.,-1.,0.)); #415064=DIRECTION('',(0.,0.,1.)); #415065=DIRECTION('',(0.,1.,0.)); #415066=DIRECTION('',(0.,0.,1.)); #415067=DIRECTION('center_axis',(0.,0.,1.)); #415068=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #415069=DIRECTION('center_axis',(0.,0.,-1.)); #415070=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #415071=DIRECTION('center_axis',(0.,0.,1.)); #415072=DIRECTION('ref_axis',(-1.,2.67948978701621E-8,0.)); #415073=DIRECTION('',(0.,0.,1.)); #415074=DIRECTION('center_axis',(-1.,0.,0.)); #415075=DIRECTION('ref_axis',(0.,-1.,0.)); #415076=DIRECTION('',(0.,1.,0.)); #415077=DIRECTION('',(0.,-1.,0.)); #415078=DIRECTION('',(0.,0.,1.)); #415079=DIRECTION('center_axis',(0.,0.,1.)); #415080=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #415081=DIRECTION('center_axis',(0.,0.,-1.)); #415082=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #415083=DIRECTION('center_axis',(0.,0.,1.)); #415084=DIRECTION('ref_axis',(1.,-2.67948978701621E-8,0.)); #415085=DIRECTION('center_axis',(0.11194583119892,-0.993714310492298,0.)); #415086=DIRECTION('ref_axis',(0.993714310492298,0.11194583119892,0.)); #415087=DIRECTION('',(0.993714310492298,0.11194583119892,0.)); #415088=DIRECTION('',(0.,0.,1.)); #415089=DIRECTION('',(0.993714310492298,0.11194583119892,0.)); #415090=DIRECTION('',(0.,0.,1.)); #415091=DIRECTION('center_axis',(0.,-1.,0.)); #415092=DIRECTION('ref_axis',(1.,0.,0.)); #415093=DIRECTION('',(1.,0.,0.)); #415094=DIRECTION('',(1.,0.,0.)); #415095=DIRECTION('',(0.,0.,1.)); #415096=DIRECTION('center_axis',(-0.111945831198928,-0.993714310492297, 0.)); #415097=DIRECTION('ref_axis',(0.993714310492297,-0.111945831198928,0.)); #415098=DIRECTION('',(0.993714310492297,-0.111945831198928,0.)); #415099=DIRECTION('',(0.993714310492297,-0.111945831198928,0.)); #415100=DIRECTION('',(0.,0.,1.)); #415101=DIRECTION('center_axis',(-0.222535347290555,-0.974924622320245, 0.)); #415102=DIRECTION('ref_axis',(0.974924622320245,-0.222535347290555,0.)); #415103=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #415104=DIRECTION('',(0.974924622320245,-0.222535347290555,0.)); #415105=DIRECTION('',(0.,0.,1.)); #415106=DIRECTION('center_axis',(-0.330292737303265,-0.943878544985909, 0.)); #415107=DIRECTION('ref_axis',(0.943878544985909,-0.330292737303265,0.)); #415108=DIRECTION('',(0.943878544985909,-0.330292737303265,0.)); #415109=DIRECTION('',(0.943878544985909,-0.330292737303265,0.)); #415110=DIRECTION('',(0.,0.,1.)); #415111=DIRECTION('center_axis',(-0.433867402513781,-0.900976735013699, 0.)); #415112=DIRECTION('ref_axis',(0.900976735013699,-0.433867402513781,0.)); #415113=DIRECTION('',(0.900976735013699,-0.433867402513781,0.)); #415114=DIRECTION('',(0.900976735013699,-0.433867402513781,0.)); #415115=DIRECTION('',(0.,0.,1.)); #415116=DIRECTION('center_axis',(-0.532026991963584,-0.846727394042605, 0.)); #415117=DIRECTION('ref_axis',(0.846727394042605,-0.532026991963584,0.)); #415118=DIRECTION('',(0.846727394042605,-0.532026991963583,0.)); #415119=DIRECTION('',(0.846727394042605,-0.532026991963583,0.)); #415120=DIRECTION('',(0.,0.,1.)); #415121=DIRECTION('center_axis',(-0.623490076319921,-0.781831263592457, 0.)); #415122=DIRECTION('ref_axis',(0.781831263592457,-0.623490076319921,0.)); #415123=DIRECTION('',(0.781831263592457,-0.623490076319921,0.)); #415124=DIRECTION('',(0.781831263592457,-0.623490076319921,0.)); #415125=DIRECTION('',(0.,0.,1.)); #415126=DIRECTION('center_axis',(-0.707109209369744,-0.707104352995013, 0.)); #415127=DIRECTION('ref_axis',(0.707104352995013,-0.707109209369744,0.)); #415128=DIRECTION('',(0.707104352995013,-0.707109209369744,0.)); #415129=DIRECTION('',(0.707104352995013,-0.707109209369744,0.)); #415130=DIRECTION('',(0.,0.,1.)); #415131=DIRECTION('center_axis',(-0.781826848571419,-0.623495612536995, 0.)); #415132=DIRECTION('ref_axis',(0.623495612536995,-0.781826848571419,0.)); #415133=DIRECTION('',(0.623495612536995,-0.781826848571419,0.)); #415134=DIRECTION('',(0.623495612536995,-0.781826848571419,0.)); #415135=DIRECTION('',(0.,0.,1.)); #415136=DIRECTION('center_axis',(-0.846733144041855,-0.532017840660439, 0.)); #415137=DIRECTION('ref_axis',(0.532017840660439,-0.846733144041855,0.)); #415138=DIRECTION('',(0.532017840660439,-0.846733144041855,0.)); #415139=DIRECTION('',(0.532017840660439,-0.846733144041855,0.)); #415140=DIRECTION('',(0.,0.,1.)); #415141=DIRECTION('center_axis',(-0.900976172282534,-0.433868571089349, 0.)); #415142=DIRECTION('ref_axis',(0.433868571089349,-0.900976172282534,0.)); #415143=DIRECTION('',(0.433868571089349,-0.900976172282534,0.)); #415144=DIRECTION('',(0.433868571089349,-0.900976172282534,0.)); #415145=DIRECTION('',(0.,0.,1.)); #415146=DIRECTION('center_axis',(-0.943879402871126,-0.330290285711896, 0.)); #415147=DIRECTION('ref_axis',(0.330290285711896,-0.943879402871126,0.)); #415148=DIRECTION('',(0.330290285711896,-0.943879402871126,0.)); #415149=DIRECTION('',(0.330290285711896,-0.943879402871126,0.)); #415150=DIRECTION('',(0.,0.,1.)); #415151=DIRECTION('center_axis',(-0.974924271111259,-0.222536885927209, 0.)); #415152=DIRECTION('ref_axis',(0.222536885927209,-0.974924271111259,0.)); #415153=DIRECTION('',(0.222536885927209,-0.974924271111259,0.)); #415154=DIRECTION('',(0.222536885927209,-0.974924271111259,0.)); #415155=DIRECTION('',(0.,0.,1.)); #415156=DIRECTION('center_axis',(-0.993713488739879,-0.111953125442832, 0.)); #415157=DIRECTION('ref_axis',(0.111953125442832,-0.993713488739879,0.)); #415158=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #415159=DIRECTION('',(0.111953125442832,-0.993713488739879,0.)); #415160=DIRECTION('',(0.,0.,1.)); #415161=DIRECTION('center_axis',(-1.,0.,0.)); #415162=DIRECTION('ref_axis',(0.,-1.,0.)); #415163=DIRECTION('',(0.,-1.,0.)); #415164=DIRECTION('',(0.,-1.,0.)); #415165=DIRECTION('',(0.,0.,1.)); #415166=DIRECTION('center_axis',(-0.993713488739879,0.111953125442832,0.)); #415167=DIRECTION('ref_axis',(-0.111953125442832,-0.993713488739879,0.)); #415168=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #415169=DIRECTION('',(-0.111953125442832,-0.993713488739879,0.)); #415170=DIRECTION('',(0.,0.,1.)); #415171=DIRECTION('center_axis',(-0.97492427111126,0.222536885927205,0.)); #415172=DIRECTION('ref_axis',(-0.222536885927205,-0.97492427111126,0.)); #415173=DIRECTION('',(-0.222536885927205,-0.97492427111126,0.)); #415174=DIRECTION('',(-0.222536885927205,-0.97492427111126,0.)); #415175=DIRECTION('',(0.,0.,1.)); #415176=DIRECTION('center_axis',(-0.943879579471806,0.330289781034968,0.)); #415177=DIRECTION('ref_axis',(-0.330289781034968,-0.943879579471806,0.)); #415178=DIRECTION('',(-0.330289781034968,-0.943879579471806,0.)); #415179=DIRECTION('',(-0.330289781034968,-0.943879579471806,0.)); #415180=DIRECTION('',(0.,0.,1.)); #415181=DIRECTION('center_axis',(-0.900975867568739,0.433869203860746,0.)); #415182=DIRECTION('ref_axis',(-0.433869203860746,-0.900975867568739,0.)); #415183=DIRECTION('',(-0.433869203860746,-0.900975867568739,0.)); #415184=DIRECTION('',(-0.433869203860746,-0.900975867568739,0.)); #415185=DIRECTION('',(0.,0.,1.)); #415186=DIRECTION('center_axis',(-0.846733144041855,0.532017840660439,0.)); #415187=DIRECTION('ref_axis',(-0.532017840660439,-0.846733144041855,0.)); #415188=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #415189=DIRECTION('',(-0.532017840660439,-0.846733144041855,0.)); #415190=DIRECTION('',(0.,0.,1.)); #415191=DIRECTION('center_axis',(-0.781827477872105,0.623494823430109,0.)); #415192=DIRECTION('ref_axis',(-0.623494823430109,-0.781827477872105,0.)); #415193=DIRECTION('',(-0.623494823430109,-0.781827477872105,0.)); #415194=DIRECTION('',(-0.623494823430109,-0.781827477872105,0.)); #415195=DIRECTION('',(0.,0.,1.)); #415196=DIRECTION('center_axis',(-0.707108399978115,0.707105162391274,0.)); #415197=DIRECTION('ref_axis',(-0.707105162391274,-0.707108399978115,0.)); #415198=DIRECTION('',(-0.707105162391274,-0.707108399978115,0.)); #415199=DIRECTION('',(-0.707105162391274,-0.707108399978115,0.)); #415200=DIRECTION('',(0.,0.,1.)); #415201=DIRECTION('center_axis',(-0.623490076319921,0.781831263592457,0.)); #415202=DIRECTION('ref_axis',(-0.781831263592457,-0.623490076319921,0.)); #415203=DIRECTION('',(-0.781831263592457,-0.623490076319921,0.)); #415204=DIRECTION('',(-0.781831263592457,-0.623490076319921,0.)); #415205=DIRECTION('',(0.,0.,1.)); #415206=DIRECTION('center_axis',(-0.532028152553409,0.846726664804296,0.)); #415207=DIRECTION('ref_axis',(-0.846726664804296,-0.532028152553409,0.)); #415208=DIRECTION('',(-0.846726664804296,-0.532028152553409,0.)); #415209=DIRECTION('',(-0.846726664804296,-0.532028152553409,0.)); #415210=DIRECTION('',(0.,0.,1.)); #415211=DIRECTION('center_axis',(-0.43386608850586,0.900977367776031,0.)); #415212=DIRECTION('ref_axis',(-0.900977367776031,-0.43386608850586,0.)); #415213=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #415214=DIRECTION('',(-0.900977367776031,-0.43386608850586,0.)); #415215=DIRECTION('',(0.,0.,1.)); #415216=DIRECTION('center_axis',(-0.330292737303249,0.943878544985914,0.)); #415217=DIRECTION('ref_axis',(-0.943878544985914,-0.330292737303249,0.)); #415218=DIRECTION('',(-0.943878544985914,-0.330292737303249,0.)); #415219=DIRECTION('',(-0.943878544985914,-0.330292737303249,0.)); #415220=DIRECTION('',(0.,0.,1.)); #415221=DIRECTION('center_axis',(-0.222535347290555,0.974924622320245,0.)); #415222=DIRECTION('ref_axis',(-0.974924622320245,-0.222535347290555,0.)); #415223=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #415224=DIRECTION('',(-0.974924622320245,-0.222535347290555,0.)); #415225=DIRECTION('',(0.,0.,1.)); #415226=DIRECTION('center_axis',(-0.111945831198946,0.993714310492295,0.)); #415227=DIRECTION('ref_axis',(-0.993714310492295,-0.111945831198946,0.)); #415228=DIRECTION('',(-0.993714310492295,-0.111945831198946,0.)); #415229=DIRECTION('',(-0.993714310492295,-0.111945831198946,0.)); #415230=DIRECTION('',(0.,0.,1.)); #415231=DIRECTION('center_axis',(0.,1.,0.)); #415232=DIRECTION('ref_axis',(-1.,0.,0.)); #415233=DIRECTION('',(-1.,0.,0.)); #415234=DIRECTION('',(-1.,0.,0.)); #415235=DIRECTION('',(0.,0.,1.)); #415236=DIRECTION('center_axis',(0.111945831198938,0.993714310492296,0.)); #415237=DIRECTION('ref_axis',(-0.993714310492296,0.111945831198938,0.)); #415238=DIRECTION('',(-0.993714310492296,0.111945831198938,0.)); #415239=DIRECTION('',(-0.993714310492296,0.111945831198938,0.)); #415240=DIRECTION('',(0.,0.,1.)); #415241=DIRECTION('center_axis',(0.222535347290587,0.974924622320238,0.)); #415242=DIRECTION('ref_axis',(-0.974924622320238,0.222535347290587,0.)); #415243=DIRECTION('',(-0.974924622320238,0.222535347290587,0.)); #415244=DIRECTION('',(-0.974924622320238,0.222535347290587,0.)); #415245=DIRECTION('',(0.,0.,1.)); #415246=DIRECTION('center_axis',(0.330292737303205,0.94387854498593,0.)); #415247=DIRECTION('ref_axis',(-0.94387854498593,0.330292737303205,0.)); #415248=DIRECTION('',(-0.94387854498593,0.330292737303205,0.)); #415249=DIRECTION('',(-0.94387854498593,0.330292737303205,0.)); #415250=DIRECTION('',(0.,0.,1.)); #415251=DIRECTION('center_axis',(0.433866088505888,0.900977367776018,0.)); #415252=DIRECTION('ref_axis',(-0.900977367776017,0.433866088505888,0.)); #415253=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #415254=DIRECTION('',(-0.900977367776017,0.433866088505888,0.)); #415255=DIRECTION('',(0.,0.,1.)); #415256=DIRECTION('center_axis',(0.532028152553376,0.846726664804316,0.)); #415257=DIRECTION('ref_axis',(-0.846726664804316,0.532028152553376,0.)); #415258=DIRECTION('',(-0.846726664804316,0.532028152553376,0.)); #415259=DIRECTION('',(-0.846726664804316,0.532028152553376,0.)); #415260=DIRECTION('',(0.,0.,1.)); #415261=DIRECTION('center_axis',(0.623490076319921,0.781831263592457,0.)); #415262=DIRECTION('ref_axis',(-0.781831263592457,0.623490076319921,0.)); #415263=DIRECTION('',(-0.781831263592457,0.623490076319921,0.)); #415264=DIRECTION('',(-0.781831263592457,0.623490076319921,0.)); #415265=DIRECTION('',(0.,0.,1.)); #415266=DIRECTION('center_axis',(0.707108399978151,0.707105162391238,0.)); #415267=DIRECTION('ref_axis',(-0.707105162391238,0.707108399978151,0.)); #415268=DIRECTION('',(-0.707105162391238,0.707108399978151,0.)); #415269=DIRECTION('',(-0.707105162391238,0.707108399978151,0.)); #415270=DIRECTION('',(0.,0.,1.)); #415271=DIRECTION('center_axis',(0.78182747787207,0.623494823430153,0.)); #415272=DIRECTION('ref_axis',(-0.623494823430153,0.78182747787207,0.)); #415273=DIRECTION('',(-0.623494823430153,0.78182747787207,0.)); #415274=DIRECTION('',(-0.623494823430153,0.78182747787207,0.)); #415275=DIRECTION('',(0.,0.,1.)); #415276=DIRECTION('center_axis',(0.846733144041855,0.532017840660439,0.)); #415277=DIRECTION('ref_axis',(-0.532017840660439,0.846733144041855,0.)); #415278=DIRECTION('',(-0.532017840660439,0.846733144041855,0.)); #415279=DIRECTION('',(-0.532017840660439,0.846733144041855,0.)); #415280=DIRECTION('',(0.,0.,1.)); #415281=DIRECTION('center_axis',(0.900975867568796,0.433869203860629,0.)); #415282=DIRECTION('ref_axis',(-0.433869203860629,0.900975867568796,0.)); #415283=DIRECTION('',(-0.433869203860629,0.900975867568796,0.)); #415284=DIRECTION('',(-0.433869203860629,0.900975867568796,0.)); #415285=DIRECTION('',(0.,0.,1.)); #415286=DIRECTION('center_axis',(0.943874532626424,0.3303042032116,0.)); #415287=DIRECTION('ref_axis',(-0.3303042032116,0.943874532626424,0.)); #415288=DIRECTION('',(-0.3303042032116,0.943874532626424,0.)); #415289=DIRECTION('',(-0.3303042032116,0.943874532626424,0.)); #415290=DIRECTION('',(0.,0.,1.)); #415291=DIRECTION('center_axis',(0.974927783103231,0.222521499485823,0.)); #415292=DIRECTION('ref_axis',(-0.222521499485823,0.974927783103231,0.)); #415293=DIRECTION('',(-0.222521499485823,0.974927783103231,0.)); #415294=DIRECTION('',(-0.222521499485823,0.974927783103231,0.)); #415295=DIRECTION('',(0.,0.,1.)); #415296=DIRECTION('center_axis',(0.993713488739879,0.111953125442832,0.)); #415297=DIRECTION('ref_axis',(-0.111953125442832,0.993713488739879,0.)); #415298=DIRECTION('',(-0.111953125442832,0.993713488739879,0.)); #415299=DIRECTION('',(-0.111953125442832,0.993713488739879,0.)); #415300=DIRECTION('',(0.,0.,1.)); #415301=DIRECTION('center_axis',(1.,0.,0.)); #415302=DIRECTION('ref_axis',(0.,1.,0.)); #415303=DIRECTION('',(0.,1.,0.)); #415304=DIRECTION('',(0.,1.,0.)); #415305=DIRECTION('',(0.,0.,1.)); #415306=DIRECTION('center_axis',(0.993713488739879,-0.111953125442832,0.)); #415307=DIRECTION('ref_axis',(0.111953125442832,0.993713488739879,0.)); #415308=DIRECTION('',(0.111953125442832,0.993713488739879,0.)); #415309=DIRECTION('',(0.111953125442832,0.993713488739879,0.)); #415310=DIRECTION('',(0.,0.,1.)); #415311=DIRECTION('center_axis',(0.97492778310323,-0.222521499485827,0.)); #415312=DIRECTION('ref_axis',(0.222521499485827,0.97492778310323,0.)); #415313=DIRECTION('',(0.222521499485827,0.97492778310323,0.)); #415314=DIRECTION('',(0.222521499485827,0.97492778310323,0.)); #415315=DIRECTION('',(0.,0.,1.)); #415316=DIRECTION('center_axis',(0.943874356011266,-0.330304707905167,0.)); #415317=DIRECTION('ref_axis',(0.330304707905167,0.943874356011266,0.)); #415318=DIRECTION('',(0.330304707905167,0.943874356011266,0.)); #415319=DIRECTION('',(0.330304707905167,0.943874356011266,0.)); #415320=DIRECTION('',(0.,0.,1.)); #415321=DIRECTION('center_axis',(0.90097617228259,-0.433868571089232,0.)); #415322=DIRECTION('ref_axis',(0.433868571089232,0.90097617228259,0.)); #415323=DIRECTION('',(0.433868571089232,0.90097617228259,0.)); #415324=DIRECTION('',(0.433868571089232,0.90097617228259,0.)); #415325=DIRECTION('',(0.,0.,1.)); #415326=DIRECTION('center_axis',(0.846733144041855,-0.532017840660439,0.)); #415327=DIRECTION('ref_axis',(0.532017840660439,0.846733144041855,0.)); #415328=DIRECTION('',(0.532017840660439,0.846733144041855,0.)); #415329=DIRECTION('',(0.532017840660439,0.846733144041855,0.)); #415330=DIRECTION('',(0.,0.,1.)); #415331=DIRECTION('center_axis',(0.781826848571384,-0.623495612537039,0.)); #415332=DIRECTION('ref_axis',(0.623495612537039,0.781826848571384,0.)); #415333=DIRECTION('',(0.623495612537039,0.781826848571384,0.)); #415334=DIRECTION('',(0.623495612537039,0.781826848571384,0.)); #415335=DIRECTION('',(0.,0.,1.)); #415336=DIRECTION('center_axis',(0.70710920936978,-0.707104352994977,0.)); #415337=DIRECTION('ref_axis',(0.707104352994977,0.70710920936978,0.)); #415338=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #415339=DIRECTION('',(0.707104352994977,0.70710920936978,0.)); #415340=DIRECTION('',(0.,0.,1.)); #415341=DIRECTION('center_axis',(0.623490076319921,-0.781831263592457,0.)); #415342=DIRECTION('ref_axis',(0.781831263592457,0.623490076319921,0.)); #415343=DIRECTION('',(0.781831263592457,0.623490076319921,0.)); #415344=DIRECTION('',(0.781831263592457,0.623490076319921,0.)); #415345=DIRECTION('',(0.,0.,1.)); #415346=DIRECTION('center_axis',(0.532026991963551,-0.846727394042625,0.)); #415347=DIRECTION('ref_axis',(0.846727394042625,0.532026991963551,0.)); #415348=DIRECTION('',(0.846727394042625,0.532026991963551,0.)); #415349=DIRECTION('',(0.846727394042625,0.532026991963551,0.)); #415350=DIRECTION('',(0.,0.,1.)); #415351=DIRECTION('center_axis',(0.433867402513809,-0.900976735013685,0.)); #415352=DIRECTION('ref_axis',(0.900976735013685,0.433867402513809,0.)); #415353=DIRECTION('',(0.900976735013685,0.433867402513809,0.)); #415354=DIRECTION('',(0.900976735013685,0.433867402513809,0.)); #415355=DIRECTION('',(0.,0.,1.)); #415356=DIRECTION('center_axis',(0.330292737303221,-0.943878544985924,0.)); #415357=DIRECTION('ref_axis',(0.943878544985924,0.330292737303221,0.)); #415358=DIRECTION('',(0.943878544985924,0.330292737303221,0.)); #415359=DIRECTION('',(0.943878544985924,0.330292737303221,0.)); #415360=DIRECTION('',(0.,0.,1.)); #415361=DIRECTION('center_axis',(0.222535347290587,-0.974924622320238,0.)); #415362=DIRECTION('ref_axis',(0.974924622320238,0.222535347290587,0.)); #415363=DIRECTION('',(0.974924622320238,0.222535347290587,0.)); #415364=DIRECTION('',(0.974924622320238,0.222535347290587,0.)); #415365=DIRECTION('center_axis',(0.,0.,1.)); #415366=DIRECTION('ref_axis',(1.,0.,0.)); #415367=DIRECTION('center_axis',(0.,0.,1.)); #415368=DIRECTION('ref_axis',(1.,0.,0.)); #415369=DIRECTION('center_axis',(0.,0.,1.)); #415370=DIRECTION('ref_axis',(1.,0.,0.)); #415371=DIRECTION('center_axis',(0.,0.,1.)); #415372=DIRECTION('ref_axis',(1.,0.,0.)); #415373=DIRECTION('',(0.,0.,1.)); #415374=DIRECTION('center_axis',(0.,0.,-1.)); #415375=DIRECTION('ref_axis',(1.,0.,0.)); #415376=DIRECTION('center_axis',(0.0980028398661646,-0.995186135041162, 0.)); #415377=DIRECTION('ref_axis',(0.995186135041162,0.0980028398661646,0.)); #415378=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #415379=DIRECTION('',(0.,0.,1.)); #415380=DIRECTION('',(0.995186135041162,0.0980028398661646,0.)); #415381=DIRECTION('',(0.,0.,1.)); #415382=DIRECTION('center_axis',(0.,0.,1.)); #415383=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #415384=DIRECTION('center_axis',(0.,0.,1.)); #415385=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #415386=DIRECTION('center_axis',(0.,0.,1.)); #415387=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #415388=DIRECTION('',(0.,0.,1.)); #415389=DIRECTION('center_axis',(0.,0.,1.)); #415390=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #415391=DIRECTION('center_axis',(0.,0.,1.)); #415392=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #415393=DIRECTION('center_axis',(0.,0.,1.)); #415394=DIRECTION('ref_axis',(0.14673085474929,-0.989176453553431,0.)); #415395=DIRECTION('center_axis',(0.,0.,1.)); #415396=DIRECTION('ref_axis',(1.,0.,0.)); #415397=DIRECTION('center_axis',(0.,0.,1.)); #415398=DIRECTION('ref_axis',(1.,0.,0.)); #415399=DIRECTION('center_axis',(0.,0.,1.)); #415400=DIRECTION('ref_axis',(1.,0.,0.)); #415401=DIRECTION('center_axis',(0.,0.,1.)); #415402=DIRECTION('ref_axis',(1.,0.,0.)); #415403=DIRECTION('',(0.,0.,1.)); #415404=DIRECTION('center_axis',(0.,0.,-1.)); #415405=DIRECTION('ref_axis',(1.,0.,0.)); #415406=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #415407=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #415408=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #415409=DIRECTION('',(0.,0.,1.)); #415410=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #415411=DIRECTION('',(0.,0.,1.)); #415412=DIRECTION('center_axis',(0.,0.,1.)); #415413=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #415414=DIRECTION('center_axis',(0.,0.,1.)); #415415=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #415416=DIRECTION('center_axis',(0.,0.,1.)); #415417=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #415418=DIRECTION('',(0.,0.,1.)); #415419=DIRECTION('center_axis',(0.,0.,1.)); #415420=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #415421=DIRECTION('center_axis',(0.,0.,1.)); #415422=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #415423=DIRECTION('center_axis',(0.,0.,1.)); #415424=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #415425=DIRECTION('center_axis',(0.,0.,1.)); #415426=DIRECTION('ref_axis',(1.,0.,0.)); #415427=DIRECTION('center_axis',(0.,0.,1.)); #415428=DIRECTION('ref_axis',(1.,0.,0.)); #415429=DIRECTION('center_axis',(0.,0.,1.)); #415430=DIRECTION('ref_axis',(1.,0.,0.)); #415431=DIRECTION('center_axis',(0.,0.,1.)); #415432=DIRECTION('ref_axis',(1.,0.,0.)); #415433=DIRECTION('',(0.,0.,1.)); #415434=DIRECTION('center_axis',(0.,0.,-1.)); #415435=DIRECTION('ref_axis',(1.,0.,0.)); #415436=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #415437=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #415438=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #415439=DIRECTION('',(0.,0.,1.)); #415440=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #415441=DIRECTION('',(0.,0.,1.)); #415442=DIRECTION('center_axis',(0.,0.,1.)); #415443=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #415444=DIRECTION('center_axis',(0.,0.,1.)); #415445=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #415446=DIRECTION('center_axis',(0.,0.,1.)); #415447=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #415448=DIRECTION('',(0.,0.,1.)); #415449=DIRECTION('center_axis',(0.,0.,1.)); #415450=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #415451=DIRECTION('center_axis',(0.,0.,1.)); #415452=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #415453=DIRECTION('center_axis',(0.,0.,1.)); #415454=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #415455=DIRECTION('center_axis',(0.,0.,1.)); #415456=DIRECTION('ref_axis',(1.,0.,0.)); #415457=DIRECTION('center_axis',(0.,0.,1.)); #415458=DIRECTION('ref_axis',(1.,0.,0.)); #415459=DIRECTION('center_axis',(0.,0.,1.)); #415460=DIRECTION('ref_axis',(1.,0.,0.)); #415461=DIRECTION('center_axis',(0.,0.,1.)); #415462=DIRECTION('ref_axis',(1.,0.,0.)); #415463=DIRECTION('',(0.,0.,1.)); #415464=DIRECTION('center_axis',(0.,0.,-1.)); #415465=DIRECTION('ref_axis',(1.,0.,0.)); #415466=DIRECTION('center_axis',(1.,0.,0.)); #415467=DIRECTION('ref_axis',(0.,1.,0.)); #415468=DIRECTION('',(0.,1.,0.)); #415469=DIRECTION('',(0.,0.,1.)); #415470=DIRECTION('',(0.,1.,0.)); #415471=DIRECTION('',(0.,0.,1.)); #415472=DIRECTION('center_axis',(0.,-1.,0.)); #415473=DIRECTION('ref_axis',(1.,0.,0.)); #415474=DIRECTION('',(1.,0.,0.)); #415475=DIRECTION('',(1.,0.,0.)); #415476=DIRECTION('',(0.,0.,1.)); #415477=DIRECTION('center_axis',(-1.,0.,0.)); #415478=DIRECTION('ref_axis',(0.,-1.,0.)); #415479=DIRECTION('',(0.,-1.,0.)); #415480=DIRECTION('',(0.,-1.,0.)); #415481=DIRECTION('',(0.,0.,1.)); #415482=DIRECTION('center_axis',(0.,1.,0.)); #415483=DIRECTION('ref_axis',(-1.,0.,0.)); #415484=DIRECTION('',(-1.,0.,0.)); #415485=DIRECTION('',(-1.,0.,0.)); #415486=DIRECTION('center_axis',(0.,0.,1.)); #415487=DIRECTION('ref_axis',(1.,0.,0.)); #415488=DIRECTION('center_axis',(0.,0.,1.)); #415489=DIRECTION('ref_axis',(1.,0.,0.)); #415490=DIRECTION('center_axis',(0.,0.,1.)); #415491=DIRECTION('ref_axis',(1.,0.,0.)); #415492=DIRECTION('center_axis',(0.,0.,1.)); #415493=DIRECTION('ref_axis',(1.,0.,0.)); #415494=DIRECTION('',(0.,0.,1.)); #415495=DIRECTION('center_axis',(0.,0.,-1.)); #415496=DIRECTION('ref_axis',(1.,0.,0.)); #415497=DIRECTION('center_axis',(0.0980028398661763,-0.995186135041161, 0.)); #415498=DIRECTION('ref_axis',(0.995186135041161,0.0980028398661763,0.)); #415499=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #415500=DIRECTION('',(0.,0.,1.)); #415501=DIRECTION('',(0.995186135041161,0.0980028398661763,0.)); #415502=DIRECTION('',(0.,0.,1.)); #415503=DIRECTION('center_axis',(0.,0.,1.)); #415504=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #415505=DIRECTION('center_axis',(0.,0.,1.)); #415506=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #415507=DIRECTION('center_axis',(0.,0.,1.)); #415508=DIRECTION('ref_axis',(-0.146731201566054,0.989176402107825,0.)); #415509=DIRECTION('',(0.,0.,1.)); #415510=DIRECTION('center_axis',(0.,0.,1.)); #415511=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #415512=DIRECTION('center_axis',(0.,0.,1.)); #415513=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #415514=DIRECTION('center_axis',(0.,0.,1.)); #415515=DIRECTION('ref_axis',(0.146730854749284,-0.989176453553432,0.)); #415516=DIRECTION('center_axis',(0.,0.,1.)); #415517=DIRECTION('ref_axis',(1.,0.,0.)); #415518=DIRECTION('center_axis',(0.,0.,1.)); #415519=DIRECTION('ref_axis',(1.,0.,0.)); #415520=DIRECTION('center_axis',(1.,0.,0.)); #415521=DIRECTION('ref_axis',(0.,1.,0.)); #415522=DIRECTION('',(0.,1.,0.)); #415523=DIRECTION('',(0.,0.,1.)); #415524=DIRECTION('',(0.,1.,0.)); #415525=DIRECTION('',(0.,0.,1.)); #415526=DIRECTION('center_axis',(0.,-1.,0.)); #415527=DIRECTION('ref_axis',(1.,0.,0.)); #415528=DIRECTION('',(1.,0.,0.)); #415529=DIRECTION('',(1.,0.,0.)); #415530=DIRECTION('',(0.,0.,1.)); #415531=DIRECTION('center_axis',(-1.,0.,0.)); #415532=DIRECTION('ref_axis',(0.,-1.,0.)); #415533=DIRECTION('',(0.,-1.,0.)); #415534=DIRECTION('',(0.,-1.,0.)); #415535=DIRECTION('',(0.,0.,1.)); #415536=DIRECTION('center_axis',(0.,1.,0.)); #415537=DIRECTION('ref_axis',(-1.,0.,0.)); #415538=DIRECTION('',(-1.,0.,0.)); #415539=DIRECTION('',(-1.,0.,0.)); #415540=DIRECTION('center_axis',(0.,0.,1.)); #415541=DIRECTION('ref_axis',(1.,0.,0.)); #415542=DIRECTION('center_axis',(0.,0.,1.)); #415543=DIRECTION('ref_axis',(1.,0.,0.)); #415544=DIRECTION('center_axis',(1.,0.,0.)); #415545=DIRECTION('ref_axis',(0.,1.,0.)); #415546=DIRECTION('',(0.,1.,0.)); #415547=DIRECTION('',(0.,0.,1.)); #415548=DIRECTION('',(0.,1.,0.)); #415549=DIRECTION('',(0.,0.,1.)); #415550=DIRECTION('center_axis',(0.,-1.,0.)); #415551=DIRECTION('ref_axis',(1.,0.,0.)); #415552=DIRECTION('',(1.,0.,0.)); #415553=DIRECTION('',(1.,0.,0.)); #415554=DIRECTION('',(0.,0.,1.)); #415555=DIRECTION('center_axis',(-1.,0.,0.)); #415556=DIRECTION('ref_axis',(0.,-1.,0.)); #415557=DIRECTION('',(0.,-1.,0.)); #415558=DIRECTION('',(0.,-1.,0.)); #415559=DIRECTION('',(0.,0.,1.)); #415560=DIRECTION('center_axis',(0.,1.,0.)); #415561=DIRECTION('ref_axis',(-1.,0.,0.)); #415562=DIRECTION('',(-1.,0.,0.)); #415563=DIRECTION('',(-1.,0.,0.)); #415564=DIRECTION('center_axis',(0.,0.,1.)); #415565=DIRECTION('ref_axis',(1.,0.,0.)); #415566=DIRECTION('center_axis',(0.,0.,1.)); #415567=DIRECTION('ref_axis',(1.,0.,0.)); #415568=DIRECTION('center_axis',(1.,0.,0.)); #415569=DIRECTION('ref_axis',(0.,1.,0.)); #415570=DIRECTION('',(0.,1.,0.)); #415571=DIRECTION('',(0.,0.,1.)); #415572=DIRECTION('',(0.,1.,0.)); #415573=DIRECTION('',(0.,0.,1.)); #415574=DIRECTION('center_axis',(0.,-1.,0.)); #415575=DIRECTION('ref_axis',(1.,0.,0.)); #415576=DIRECTION('',(1.,0.,0.)); #415577=DIRECTION('',(1.,0.,0.)); #415578=DIRECTION('',(0.,0.,1.)); #415579=DIRECTION('center_axis',(-1.,0.,0.)); #415580=DIRECTION('ref_axis',(0.,-1.,0.)); #415581=DIRECTION('',(0.,-1.,0.)); #415582=DIRECTION('',(0.,-1.,0.)); #415583=DIRECTION('',(0.,0.,1.)); #415584=DIRECTION('center_axis',(0.,1.,0.)); #415585=DIRECTION('ref_axis',(-1.,0.,0.)); #415586=DIRECTION('',(-1.,0.,0.)); #415587=DIRECTION('',(-1.,0.,0.)); #415588=DIRECTION('center_axis',(0.,0.,1.)); #415589=DIRECTION('ref_axis',(1.,0.,0.)); #415590=DIRECTION('center_axis',(0.,0.,1.)); #415591=DIRECTION('ref_axis',(1.,0.,0.)); #415592=DIRECTION('center_axis',(1.,0.,0.)); #415593=DIRECTION('ref_axis',(0.,1.,0.)); #415594=DIRECTION('',(0.,1.,0.)); #415595=DIRECTION('',(0.,0.,1.)); #415596=DIRECTION('',(0.,1.,0.)); #415597=DIRECTION('',(0.,0.,1.)); #415598=DIRECTION('center_axis',(0.,-1.,0.)); #415599=DIRECTION('ref_axis',(1.,0.,0.)); #415600=DIRECTION('',(1.,0.,0.)); #415601=DIRECTION('',(1.,0.,0.)); #415602=DIRECTION('',(0.,0.,1.)); #415603=DIRECTION('center_axis',(-1.,0.,0.)); #415604=DIRECTION('ref_axis',(0.,-1.,0.)); #415605=DIRECTION('',(0.,-1.,0.)); #415606=DIRECTION('',(0.,-1.,0.)); #415607=DIRECTION('',(0.,0.,1.)); #415608=DIRECTION('center_axis',(0.,1.,0.)); #415609=DIRECTION('ref_axis',(-1.,0.,0.)); #415610=DIRECTION('',(-1.,0.,0.)); #415611=DIRECTION('',(-1.,0.,0.)); #415612=DIRECTION('center_axis',(0.,0.,1.)); #415613=DIRECTION('ref_axis',(1.,0.,0.)); #415614=DIRECTION('center_axis',(0.,0.,1.)); #415615=DIRECTION('ref_axis',(1.,0.,0.)); #415616=DIRECTION('center_axis',(1.,0.,0.)); #415617=DIRECTION('ref_axis',(0.,1.,0.)); #415618=DIRECTION('',(0.,1.,0.)); #415619=DIRECTION('',(0.,0.,1.)); #415620=DIRECTION('',(0.,1.,0.)); #415621=DIRECTION('',(0.,0.,1.)); #415622=DIRECTION('center_axis',(0.,-1.,0.)); #415623=DIRECTION('ref_axis',(1.,0.,0.)); #415624=DIRECTION('',(1.,0.,0.)); #415625=DIRECTION('',(1.,0.,0.)); #415626=DIRECTION('',(0.,0.,1.)); #415627=DIRECTION('center_axis',(-1.,0.,0.)); #415628=DIRECTION('ref_axis',(0.,-1.,0.)); #415629=DIRECTION('',(0.,-1.,0.)); #415630=DIRECTION('',(0.,-1.,0.)); #415631=DIRECTION('',(0.,0.,1.)); #415632=DIRECTION('center_axis',(0.,1.,0.)); #415633=DIRECTION('ref_axis',(-1.,0.,0.)); #415634=DIRECTION('',(-1.,0.,0.)); #415635=DIRECTION('',(-1.,0.,0.)); #415636=DIRECTION('center_axis',(0.,0.,1.)); #415637=DIRECTION('ref_axis',(1.,0.,0.)); #415638=DIRECTION('center_axis',(0.,0.,1.)); #415639=DIRECTION('ref_axis',(1.,0.,0.)); #415640=DIRECTION('center_axis',(1.,0.,0.)); #415641=DIRECTION('ref_axis',(0.,1.,0.)); #415642=DIRECTION('',(0.,1.,0.)); #415643=DIRECTION('',(0.,0.,1.)); #415644=DIRECTION('',(0.,1.,0.)); #415645=DIRECTION('',(0.,0.,1.)); #415646=DIRECTION('center_axis',(0.,-1.,0.)); #415647=DIRECTION('ref_axis',(1.,0.,0.)); #415648=DIRECTION('',(1.,0.,0.)); #415649=DIRECTION('',(1.,0.,0.)); #415650=DIRECTION('',(0.,0.,1.)); #415651=DIRECTION('center_axis',(-1.,0.,0.)); #415652=DIRECTION('ref_axis',(0.,-1.,0.)); #415653=DIRECTION('',(0.,-1.,0.)); #415654=DIRECTION('',(0.,-1.,0.)); #415655=DIRECTION('',(0.,0.,1.)); #415656=DIRECTION('center_axis',(0.,1.,0.)); #415657=DIRECTION('ref_axis',(-1.,0.,0.)); #415658=DIRECTION('',(-1.,0.,0.)); #415659=DIRECTION('',(-1.,0.,0.)); #415660=DIRECTION('center_axis',(0.,0.,1.)); #415661=DIRECTION('ref_axis',(1.,0.,0.)); #415662=DIRECTION('center_axis',(0.,0.,1.)); #415663=DIRECTION('ref_axis',(1.,0.,0.)); #415664=DIRECTION('center_axis',(1.,0.,0.)); #415665=DIRECTION('ref_axis',(0.,1.,0.)); #415666=DIRECTION('',(0.,1.,0.)); #415667=DIRECTION('',(0.,0.,1.)); #415668=DIRECTION('',(0.,1.,0.)); #415669=DIRECTION('',(0.,0.,1.)); #415670=DIRECTION('center_axis',(0.,-1.,0.)); #415671=DIRECTION('ref_axis',(1.,0.,0.)); #415672=DIRECTION('',(1.,0.,0.)); #415673=DIRECTION('',(1.,0.,0.)); #415674=DIRECTION('',(0.,0.,1.)); #415675=DIRECTION('center_axis',(-1.,0.,0.)); #415676=DIRECTION('ref_axis',(0.,-1.,0.)); #415677=DIRECTION('',(0.,-1.,0.)); #415678=DIRECTION('',(0.,-1.,0.)); #415679=DIRECTION('',(0.,0.,1.)); #415680=DIRECTION('center_axis',(0.,1.,0.)); #415681=DIRECTION('ref_axis',(-1.,0.,0.)); #415682=DIRECTION('',(-1.,0.,0.)); #415683=DIRECTION('',(-1.,0.,0.)); #415684=DIRECTION('center_axis',(0.,0.,1.)); #415685=DIRECTION('ref_axis',(1.,0.,0.)); #415686=DIRECTION('center_axis',(0.,0.,1.)); #415687=DIRECTION('ref_axis',(1.,0.,0.)); #415688=DIRECTION('center_axis',(1.,0.,0.)); #415689=DIRECTION('ref_axis',(0.,1.,0.)); #415690=DIRECTION('',(0.,1.,0.)); #415691=DIRECTION('',(0.,0.,1.)); #415692=DIRECTION('',(0.,1.,0.)); #415693=DIRECTION('',(0.,0.,1.)); #415694=DIRECTION('center_axis',(0.,-1.,0.)); #415695=DIRECTION('ref_axis',(1.,0.,0.)); #415696=DIRECTION('',(1.,0.,0.)); #415697=DIRECTION('',(1.,0.,0.)); #415698=DIRECTION('',(0.,0.,1.)); #415699=DIRECTION('center_axis',(-1.,0.,0.)); #415700=DIRECTION('ref_axis',(0.,-1.,0.)); #415701=DIRECTION('',(0.,-1.,0.)); #415702=DIRECTION('',(0.,-1.,0.)); #415703=DIRECTION('',(0.,0.,1.)); #415704=DIRECTION('center_axis',(0.,1.,0.)); #415705=DIRECTION('ref_axis',(-1.,0.,0.)); #415706=DIRECTION('',(-1.,0.,0.)); #415707=DIRECTION('',(-1.,0.,0.)); #415708=DIRECTION('center_axis',(0.,0.,1.)); #415709=DIRECTION('ref_axis',(1.,0.,0.)); #415710=DIRECTION('center_axis',(0.,0.,1.)); #415711=DIRECTION('ref_axis',(1.,0.,0.)); #415712=DIRECTION('center_axis',(1.,0.,0.)); #415713=DIRECTION('ref_axis',(0.,1.,0.)); #415714=DIRECTION('',(0.,1.,0.)); #415715=DIRECTION('',(0.,0.,1.)); #415716=DIRECTION('',(0.,1.,0.)); #415717=DIRECTION('',(0.,0.,1.)); #415718=DIRECTION('center_axis',(0.,-1.,0.)); #415719=DIRECTION('ref_axis',(1.,0.,0.)); #415720=DIRECTION('',(1.,0.,0.)); #415721=DIRECTION('',(1.,0.,0.)); #415722=DIRECTION('',(0.,0.,1.)); #415723=DIRECTION('center_axis',(-1.,0.,0.)); #415724=DIRECTION('ref_axis',(0.,-1.,0.)); #415725=DIRECTION('',(0.,-1.,0.)); #415726=DIRECTION('',(0.,-1.,0.)); #415727=DIRECTION('',(0.,0.,1.)); #415728=DIRECTION('center_axis',(0.,1.,0.)); #415729=DIRECTION('ref_axis',(-1.,0.,0.)); #415730=DIRECTION('',(-1.,0.,0.)); #415731=DIRECTION('',(-1.,0.,0.)); #415732=DIRECTION('center_axis',(0.,0.,1.)); #415733=DIRECTION('ref_axis',(1.,0.,0.)); #415734=DIRECTION('center_axis',(0.,0.,1.)); #415735=DIRECTION('ref_axis',(1.,0.,0.)); #415736=DIRECTION('center_axis',(1.,0.,0.)); #415737=DIRECTION('ref_axis',(0.,1.,0.)); #415738=DIRECTION('',(0.,1.,0.)); #415739=DIRECTION('',(0.,0.,1.)); #415740=DIRECTION('',(0.,1.,0.)); #415741=DIRECTION('',(0.,0.,1.)); #415742=DIRECTION('center_axis',(0.,-1.,0.)); #415743=DIRECTION('ref_axis',(1.,0.,0.)); #415744=DIRECTION('',(1.,0.,0.)); #415745=DIRECTION('',(1.,0.,0.)); #415746=DIRECTION('',(0.,0.,1.)); #415747=DIRECTION('center_axis',(-1.,0.,0.)); #415748=DIRECTION('ref_axis',(0.,-1.,0.)); #415749=DIRECTION('',(0.,-1.,0.)); #415750=DIRECTION('',(0.,-1.,0.)); #415751=DIRECTION('',(0.,0.,1.)); #415752=DIRECTION('center_axis',(0.,1.,0.)); #415753=DIRECTION('ref_axis',(-1.,0.,0.)); #415754=DIRECTION('',(-1.,0.,0.)); #415755=DIRECTION('',(-1.,0.,0.)); #415756=DIRECTION('center_axis',(0.,0.,1.)); #415757=DIRECTION('ref_axis',(1.,0.,0.)); #415758=DIRECTION('center_axis',(0.,0.,1.)); #415759=DIRECTION('ref_axis',(1.,0.,0.)); #415760=DIRECTION('center_axis',(1.,0.,0.)); #415761=DIRECTION('ref_axis',(0.,1.,0.)); #415762=DIRECTION('',(0.,1.,0.)); #415763=DIRECTION('',(0.,0.,1.)); #415764=DIRECTION('',(0.,1.,0.)); #415765=DIRECTION('',(0.,0.,1.)); #415766=DIRECTION('center_axis',(0.,-1.,0.)); #415767=DIRECTION('ref_axis',(1.,0.,0.)); #415768=DIRECTION('',(1.,0.,0.)); #415769=DIRECTION('',(1.,0.,0.)); #415770=DIRECTION('',(0.,0.,1.)); #415771=DIRECTION('center_axis',(-1.,0.,0.)); #415772=DIRECTION('ref_axis',(0.,-1.,0.)); #415773=DIRECTION('',(0.,-1.,0.)); #415774=DIRECTION('',(0.,-1.,0.)); #415775=DIRECTION('',(0.,0.,1.)); #415776=DIRECTION('center_axis',(0.,1.,0.)); #415777=DIRECTION('ref_axis',(-1.,0.,0.)); #415778=DIRECTION('',(-1.,0.,0.)); #415779=DIRECTION('',(-1.,0.,0.)); #415780=DIRECTION('center_axis',(0.,0.,1.)); #415781=DIRECTION('ref_axis',(1.,0.,0.)); #415782=DIRECTION('center_axis',(0.,0.,1.)); #415783=DIRECTION('ref_axis',(1.,0.,0.)); #415784=DIRECTION('center_axis',(1.,0.,0.)); #415785=DIRECTION('ref_axis',(0.,1.,0.)); #415786=DIRECTION('',(0.,1.,0.)); #415787=DIRECTION('',(0.,0.,1.)); #415788=DIRECTION('',(0.,1.,0.)); #415789=DIRECTION('',(0.,0.,1.)); #415790=DIRECTION('center_axis',(0.,-1.,0.)); #415791=DIRECTION('ref_axis',(1.,0.,0.)); #415792=DIRECTION('',(1.,0.,0.)); #415793=DIRECTION('',(1.,0.,0.)); #415794=DIRECTION('',(0.,0.,1.)); #415795=DIRECTION('center_axis',(-1.,0.,0.)); #415796=DIRECTION('ref_axis',(0.,-1.,0.)); #415797=DIRECTION('',(0.,-1.,0.)); #415798=DIRECTION('',(0.,-1.,0.)); #415799=DIRECTION('',(0.,0.,1.)); #415800=DIRECTION('center_axis',(0.,1.,0.)); #415801=DIRECTION('ref_axis',(-1.,0.,0.)); #415802=DIRECTION('',(-1.,0.,0.)); #415803=DIRECTION('',(-1.,0.,0.)); #415804=DIRECTION('center_axis',(0.,0.,1.)); #415805=DIRECTION('ref_axis',(1.,0.,0.)); #415806=DIRECTION('center_axis',(0.,0.,1.)); #415807=DIRECTION('ref_axis',(1.,0.,0.)); #415808=DIRECTION('center_axis',(1.,0.,0.)); #415809=DIRECTION('ref_axis',(0.,1.,0.)); #415810=DIRECTION('',(0.,1.,0.)); #415811=DIRECTION('',(0.,0.,1.)); #415812=DIRECTION('',(0.,1.,0.)); #415813=DIRECTION('',(0.,0.,1.)); #415814=DIRECTION('center_axis',(0.,-1.,0.)); #415815=DIRECTION('ref_axis',(1.,0.,0.)); #415816=DIRECTION('',(1.,0.,0.)); #415817=DIRECTION('',(1.,0.,0.)); #415818=DIRECTION('',(0.,0.,1.)); #415819=DIRECTION('center_axis',(-1.,0.,0.)); #415820=DIRECTION('ref_axis',(0.,-1.,0.)); #415821=DIRECTION('',(0.,-1.,0.)); #415822=DIRECTION('',(0.,-1.,0.)); #415823=DIRECTION('',(0.,0.,1.)); #415824=DIRECTION('center_axis',(0.,1.,0.)); #415825=DIRECTION('ref_axis',(-1.,0.,0.)); #415826=DIRECTION('',(-1.,0.,0.)); #415827=DIRECTION('',(-1.,0.,0.)); #415828=DIRECTION('center_axis',(0.,0.,1.)); #415829=DIRECTION('ref_axis',(1.,0.,0.)); #415830=DIRECTION('center_axis',(0.,0.,1.)); #415831=DIRECTION('ref_axis',(1.,0.,0.)); #415832=DIRECTION('center_axis',(1.,0.,0.)); #415833=DIRECTION('ref_axis',(0.,1.,0.)); #415834=DIRECTION('',(0.,1.,0.)); #415835=DIRECTION('',(0.,0.,1.)); #415836=DIRECTION('',(0.,1.,0.)); #415837=DIRECTION('',(0.,0.,1.)); #415838=DIRECTION('center_axis',(0.,-1.,0.)); #415839=DIRECTION('ref_axis',(1.,0.,0.)); #415840=DIRECTION('',(1.,0.,0.)); #415841=DIRECTION('',(1.,0.,0.)); #415842=DIRECTION('',(0.,0.,1.)); #415843=DIRECTION('center_axis',(-1.,0.,0.)); #415844=DIRECTION('ref_axis',(0.,-1.,0.)); #415845=DIRECTION('',(0.,-1.,0.)); #415846=DIRECTION('',(0.,-1.,0.)); #415847=DIRECTION('',(0.,0.,1.)); #415848=DIRECTION('center_axis',(0.,1.,0.)); #415849=DIRECTION('ref_axis',(-1.,0.,0.)); #415850=DIRECTION('',(-1.,0.,0.)); #415851=DIRECTION('',(-1.,0.,0.)); #415852=DIRECTION('center_axis',(0.,0.,1.)); #415853=DIRECTION('ref_axis',(1.,0.,0.)); #415854=DIRECTION('center_axis',(0.,0.,1.)); #415855=DIRECTION('ref_axis',(1.,0.,0.)); #415856=DIRECTION('center_axis',(1.,0.,0.)); #415857=DIRECTION('ref_axis',(0.,1.,0.)); #415858=DIRECTION('',(0.,1.,0.)); #415859=DIRECTION('',(0.,0.,1.)); #415860=DIRECTION('',(0.,1.,0.)); #415861=DIRECTION('',(0.,0.,1.)); #415862=DIRECTION('center_axis',(0.,-1.,0.)); #415863=DIRECTION('ref_axis',(1.,0.,0.)); #415864=DIRECTION('',(1.,0.,0.)); #415865=DIRECTION('',(1.,0.,0.)); #415866=DIRECTION('',(0.,0.,1.)); #415867=DIRECTION('center_axis',(-1.,0.,0.)); #415868=DIRECTION('ref_axis',(0.,-1.,0.)); #415869=DIRECTION('',(0.,-1.,0.)); #415870=DIRECTION('',(0.,-1.,0.)); #415871=DIRECTION('',(0.,0.,1.)); #415872=DIRECTION('center_axis',(0.,1.,0.)); #415873=DIRECTION('ref_axis',(-1.,0.,0.)); #415874=DIRECTION('',(-1.,0.,0.)); #415875=DIRECTION('',(-1.,0.,0.)); #415876=DIRECTION('center_axis',(0.,0.,1.)); #415877=DIRECTION('ref_axis',(1.,0.,0.)); #415878=DIRECTION('center_axis',(0.,0.,1.)); #415879=DIRECTION('ref_axis',(1.,0.,0.)); #415880=DIRECTION('center_axis',(1.,0.,0.)); #415881=DIRECTION('ref_axis',(0.,1.,0.)); #415882=DIRECTION('',(0.,1.,0.)); #415883=DIRECTION('',(0.,0.,1.)); #415884=DIRECTION('',(0.,1.,0.)); #415885=DIRECTION('',(0.,0.,1.)); #415886=DIRECTION('center_axis',(0.,-1.,0.)); #415887=DIRECTION('ref_axis',(1.,0.,0.)); #415888=DIRECTION('',(1.,0.,0.)); #415889=DIRECTION('',(1.,0.,0.)); #415890=DIRECTION('',(0.,0.,1.)); #415891=DIRECTION('center_axis',(-1.,0.,0.)); #415892=DIRECTION('ref_axis',(0.,-1.,0.)); #415893=DIRECTION('',(0.,-1.,0.)); #415894=DIRECTION('',(0.,-1.,0.)); #415895=DIRECTION('',(0.,0.,1.)); #415896=DIRECTION('center_axis',(0.,1.,0.)); #415897=DIRECTION('ref_axis',(-1.,0.,0.)); #415898=DIRECTION('',(-1.,0.,0.)); #415899=DIRECTION('',(-1.,0.,0.)); #415900=DIRECTION('center_axis',(0.,0.,1.)); #415901=DIRECTION('ref_axis',(1.,0.,0.)); #415902=DIRECTION('center_axis',(0.,0.,1.)); #415903=DIRECTION('ref_axis',(1.,0.,0.)); #415904=DIRECTION('center_axis',(1.,0.,0.)); #415905=DIRECTION('ref_axis',(0.,1.,0.)); #415906=DIRECTION('',(0.,1.,0.)); #415907=DIRECTION('',(0.,0.,1.)); #415908=DIRECTION('',(0.,1.,0.)); #415909=DIRECTION('',(0.,0.,1.)); #415910=DIRECTION('center_axis',(0.,-1.,0.)); #415911=DIRECTION('ref_axis',(1.,0.,0.)); #415912=DIRECTION('',(1.,0.,0.)); #415913=DIRECTION('',(1.,0.,0.)); #415914=DIRECTION('',(0.,0.,1.)); #415915=DIRECTION('center_axis',(-1.,0.,0.)); #415916=DIRECTION('ref_axis',(0.,-1.,0.)); #415917=DIRECTION('',(0.,-1.,0.)); #415918=DIRECTION('',(0.,-1.,0.)); #415919=DIRECTION('',(0.,0.,1.)); #415920=DIRECTION('center_axis',(0.,1.,0.)); #415921=DIRECTION('ref_axis',(-1.,0.,0.)); #415922=DIRECTION('',(-1.,0.,0.)); #415923=DIRECTION('',(-1.,0.,0.)); #415924=DIRECTION('center_axis',(0.,0.,1.)); #415925=DIRECTION('ref_axis',(1.,0.,0.)); #415926=DIRECTION('center_axis',(0.,0.,1.)); #415927=DIRECTION('ref_axis',(1.,0.,0.)); #415928=DIRECTION('center_axis',(1.,0.,0.)); #415929=DIRECTION('ref_axis',(0.,1.,0.)); #415930=DIRECTION('',(0.,1.,0.)); #415931=DIRECTION('',(0.,0.,1.)); #415932=DIRECTION('',(0.,1.,0.)); #415933=DIRECTION('',(0.,0.,1.)); #415934=DIRECTION('center_axis',(0.,-1.,0.)); #415935=DIRECTION('ref_axis',(1.,0.,0.)); #415936=DIRECTION('',(1.,0.,0.)); #415937=DIRECTION('',(1.,0.,0.)); #415938=DIRECTION('',(0.,0.,1.)); #415939=DIRECTION('center_axis',(-1.,0.,0.)); #415940=DIRECTION('ref_axis',(0.,-1.,0.)); #415941=DIRECTION('',(0.,-1.,0.)); #415942=DIRECTION('',(0.,-1.,0.)); #415943=DIRECTION('',(0.,0.,1.)); #415944=DIRECTION('center_axis',(0.,1.,0.)); #415945=DIRECTION('ref_axis',(-1.,0.,0.)); #415946=DIRECTION('',(-1.,0.,0.)); #415947=DIRECTION('',(-1.,0.,0.)); #415948=DIRECTION('center_axis',(0.,0.,1.)); #415949=DIRECTION('ref_axis',(1.,0.,0.)); #415950=DIRECTION('center_axis',(0.,0.,1.)); #415951=DIRECTION('ref_axis',(1.,0.,0.)); #415952=DIRECTION('center_axis',(1.,0.,0.)); #415953=DIRECTION('ref_axis',(0.,1.,0.)); #415954=DIRECTION('',(0.,1.,0.)); #415955=DIRECTION('',(0.,0.,1.)); #415956=DIRECTION('',(0.,1.,0.)); #415957=DIRECTION('',(0.,0.,1.)); #415958=DIRECTION('center_axis',(0.,-1.,0.)); #415959=DIRECTION('ref_axis',(1.,0.,0.)); #415960=DIRECTION('',(1.,0.,0.)); #415961=DIRECTION('',(1.,0.,0.)); #415962=DIRECTION('',(0.,0.,1.)); #415963=DIRECTION('center_axis',(-1.,0.,0.)); #415964=DIRECTION('ref_axis',(0.,-1.,0.)); #415965=DIRECTION('',(0.,-1.,0.)); #415966=DIRECTION('',(0.,-1.,0.)); #415967=DIRECTION('',(0.,0.,1.)); #415968=DIRECTION('center_axis',(0.,1.,0.)); #415969=DIRECTION('ref_axis',(-1.,0.,0.)); #415970=DIRECTION('',(-1.,0.,0.)); #415971=DIRECTION('',(-1.,0.,0.)); #415972=DIRECTION('center_axis',(0.,0.,1.)); #415973=DIRECTION('ref_axis',(1.,0.,0.)); #415974=DIRECTION('center_axis',(0.,0.,1.)); #415975=DIRECTION('ref_axis',(1.,0.,0.)); #415976=DIRECTION('center_axis',(1.,0.,0.)); #415977=DIRECTION('ref_axis',(0.,1.,0.)); #415978=DIRECTION('',(0.,1.,0.)); #415979=DIRECTION('',(0.,0.,1.)); #415980=DIRECTION('',(0.,1.,0.)); #415981=DIRECTION('',(0.,0.,1.)); #415982=DIRECTION('center_axis',(0.,-1.,0.)); #415983=DIRECTION('ref_axis',(1.,0.,0.)); #415984=DIRECTION('',(1.,0.,0.)); #415985=DIRECTION('',(1.,0.,0.)); #415986=DIRECTION('',(0.,0.,1.)); #415987=DIRECTION('center_axis',(-1.,0.,0.)); #415988=DIRECTION('ref_axis',(0.,-1.,0.)); #415989=DIRECTION('',(0.,-1.,0.)); #415990=DIRECTION('',(0.,-1.,0.)); #415991=DIRECTION('',(0.,0.,1.)); #415992=DIRECTION('center_axis',(0.,1.,0.)); #415993=DIRECTION('ref_axis',(-1.,0.,0.)); #415994=DIRECTION('',(-1.,0.,0.)); #415995=DIRECTION('',(-1.,0.,0.)); #415996=DIRECTION('center_axis',(0.,0.,1.)); #415997=DIRECTION('ref_axis',(1.,0.,0.)); #415998=DIRECTION('center_axis',(0.,0.,1.)); #415999=DIRECTION('ref_axis',(1.,0.,0.)); #416000=DIRECTION('center_axis',(1.,0.,0.)); #416001=DIRECTION('ref_axis',(0.,1.,0.)); #416002=DIRECTION('',(0.,1.,0.)); #416003=DIRECTION('',(0.,0.,1.)); #416004=DIRECTION('',(0.,1.,0.)); #416005=DIRECTION('',(0.,0.,1.)); #416006=DIRECTION('center_axis',(0.,-1.,0.)); #416007=DIRECTION('ref_axis',(1.,0.,0.)); #416008=DIRECTION('',(1.,0.,0.)); #416009=DIRECTION('',(1.,0.,0.)); #416010=DIRECTION('',(0.,0.,1.)); #416011=DIRECTION('center_axis',(-1.,0.,0.)); #416012=DIRECTION('ref_axis',(0.,-1.,0.)); #416013=DIRECTION('',(0.,-1.,0.)); #416014=DIRECTION('',(0.,-1.,0.)); #416015=DIRECTION('',(0.,0.,1.)); #416016=DIRECTION('center_axis',(0.,1.,0.)); #416017=DIRECTION('ref_axis',(-1.,0.,0.)); #416018=DIRECTION('',(-1.,0.,0.)); #416019=DIRECTION('',(-1.,0.,0.)); #416020=DIRECTION('center_axis',(0.,0.,1.)); #416021=DIRECTION('ref_axis',(1.,0.,0.)); #416022=DIRECTION('center_axis',(0.,0.,1.)); #416023=DIRECTION('ref_axis',(1.,0.,0.)); #416024=DIRECTION('center_axis',(1.,0.,0.)); #416025=DIRECTION('ref_axis',(0.,1.,0.)); #416026=DIRECTION('',(0.,1.,0.)); #416027=DIRECTION('',(0.,0.,1.)); #416028=DIRECTION('',(0.,1.,0.)); #416029=DIRECTION('',(0.,0.,1.)); #416030=DIRECTION('center_axis',(0.,-1.,0.)); #416031=DIRECTION('ref_axis',(1.,0.,0.)); #416032=DIRECTION('',(1.,0.,0.)); #416033=DIRECTION('',(1.,0.,0.)); #416034=DIRECTION('',(0.,0.,1.)); #416035=DIRECTION('center_axis',(-1.,0.,0.)); #416036=DIRECTION('ref_axis',(0.,-1.,0.)); #416037=DIRECTION('',(0.,-1.,0.)); #416038=DIRECTION('',(0.,-1.,0.)); #416039=DIRECTION('',(0.,0.,1.)); #416040=DIRECTION('center_axis',(0.,1.,0.)); #416041=DIRECTION('ref_axis',(-1.,0.,0.)); #416042=DIRECTION('',(-1.,0.,0.)); #416043=DIRECTION('',(-1.,0.,0.)); #416044=DIRECTION('center_axis',(0.,0.,1.)); #416045=DIRECTION('ref_axis',(1.,0.,0.)); #416046=DIRECTION('center_axis',(0.,0.,1.)); #416047=DIRECTION('ref_axis',(1.,0.,0.)); #416048=DIRECTION('center_axis',(1.,0.,0.)); #416049=DIRECTION('ref_axis',(0.,1.,0.)); #416050=DIRECTION('',(0.,1.,0.)); #416051=DIRECTION('',(0.,0.,1.)); #416052=DIRECTION('',(0.,1.,0.)); #416053=DIRECTION('',(0.,0.,1.)); #416054=DIRECTION('center_axis',(0.,-1.,0.)); #416055=DIRECTION('ref_axis',(1.,0.,0.)); #416056=DIRECTION('',(1.,0.,0.)); #416057=DIRECTION('',(1.,0.,0.)); #416058=DIRECTION('',(0.,0.,1.)); #416059=DIRECTION('center_axis',(-1.,0.,0.)); #416060=DIRECTION('ref_axis',(0.,-1.,0.)); #416061=DIRECTION('',(0.,-1.,0.)); #416062=DIRECTION('',(0.,-1.,0.)); #416063=DIRECTION('',(0.,0.,1.)); #416064=DIRECTION('center_axis',(0.,1.,0.)); #416065=DIRECTION('ref_axis',(-1.,0.,0.)); #416066=DIRECTION('',(-1.,0.,0.)); #416067=DIRECTION('',(-1.,0.,0.)); #416068=DIRECTION('center_axis',(0.,0.,1.)); #416069=DIRECTION('ref_axis',(1.,0.,0.)); #416070=DIRECTION('center_axis',(0.,0.,1.)); #416071=DIRECTION('ref_axis',(1.,0.,0.)); #416072=DIRECTION('center_axis',(0.,0.,1.)); #416073=DIRECTION('ref_axis',(1.,0.,0.)); #416074=DIRECTION('center_axis',(0.,0.,1.)); #416075=DIRECTION('ref_axis',(1.,0.,0.)); #416076=DIRECTION('',(0.,0.,1.)); #416077=DIRECTION('center_axis',(0.,0.,-1.)); #416078=DIRECTION('ref_axis',(1.,0.,0.)); #416079=DIRECTION('center_axis',(0.,0.,1.)); #416080=DIRECTION('ref_axis',(1.,0.,0.)); #416081=DIRECTION('center_axis',(0.,0.,1.)); #416082=DIRECTION('ref_axis',(1.,0.,0.)); #416083=DIRECTION('',(0.,0.,1.)); #416084=DIRECTION('center_axis',(0.,0.,-1.)); #416085=DIRECTION('ref_axis',(1.,0.,0.)); #416086=DIRECTION('center_axis',(-1.,0.,0.)); #416087=DIRECTION('ref_axis',(0.,-1.,0.)); #416088=DIRECTION('',(0.,-1.,0.)); #416089=DIRECTION('',(0.,0.,1.)); #416090=DIRECTION('',(0.,-1.,0.)); #416091=DIRECTION('',(0.,0.,1.)); #416092=DIRECTION('center_axis',(0.,1.,0.)); #416093=DIRECTION('ref_axis',(-1.,0.,0.)); #416094=DIRECTION('',(-1.,0.,0.)); #416095=DIRECTION('',(-1.,0.,0.)); #416096=DIRECTION('',(0.,0.,1.)); #416097=DIRECTION('center_axis',(1.,0.,0.)); #416098=DIRECTION('ref_axis',(0.,1.,0.)); #416099=DIRECTION('',(0.,1.,0.)); #416100=DIRECTION('',(0.,1.,0.)); #416101=DIRECTION('',(0.,0.,1.)); #416102=DIRECTION('center_axis',(0.,-1.,0.)); #416103=DIRECTION('ref_axis',(1.,0.,0.)); #416104=DIRECTION('',(1.,0.,0.)); #416105=DIRECTION('',(1.,0.,0.)); #416106=DIRECTION('center_axis',(0.,0.,1.)); #416107=DIRECTION('ref_axis',(1.,0.,0.)); #416108=DIRECTION('center_axis',(0.,0.,1.)); #416109=DIRECTION('ref_axis',(1.,0.,0.)); #416110=DIRECTION('center_axis',(1.,0.,0.)); #416111=DIRECTION('ref_axis',(0.,1.,0.)); #416112=DIRECTION('',(0.,1.,0.)); #416113=DIRECTION('',(0.,0.,1.)); #416114=DIRECTION('',(0.,1.,0.)); #416115=DIRECTION('',(0.,0.,1.)); #416116=DIRECTION('center_axis',(0.,-1.,0.)); #416117=DIRECTION('ref_axis',(1.,0.,0.)); #416118=DIRECTION('',(1.,0.,0.)); #416119=DIRECTION('',(1.,0.,0.)); #416120=DIRECTION('',(0.,0.,1.)); #416121=DIRECTION('center_axis',(-1.,0.,0.)); #416122=DIRECTION('ref_axis',(0.,-1.,0.)); #416123=DIRECTION('',(0.,-1.,0.)); #416124=DIRECTION('',(0.,-1.,0.)); #416125=DIRECTION('',(0.,0.,1.)); #416126=DIRECTION('center_axis',(0.,1.,0.)); #416127=DIRECTION('ref_axis',(-1.,0.,0.)); #416128=DIRECTION('',(-1.,0.,0.)); #416129=DIRECTION('',(-1.,0.,0.)); #416130=DIRECTION('center_axis',(0.,0.,1.)); #416131=DIRECTION('ref_axis',(1.,0.,0.)); #416132=DIRECTION('center_axis',(0.,0.,1.)); #416133=DIRECTION('ref_axis',(1.,0.,0.)); #416134=DIRECTION('center_axis',(0.,0.,1.)); #416135=DIRECTION('ref_axis',(1.,0.,0.)); #416136=DIRECTION('center_axis',(0.,0.,1.)); #416137=DIRECTION('ref_axis',(1.,0.,0.)); #416138=DIRECTION('',(0.,0.,1.)); #416139=DIRECTION('center_axis',(0.,0.,-1.)); #416140=DIRECTION('ref_axis',(1.,0.,0.)); #416141=DIRECTION('center_axis',(0.,0.,1.)); #416142=DIRECTION('ref_axis',(1.,0.,0.)); #416143=DIRECTION('center_axis',(0.,0.,1.)); #416144=DIRECTION('ref_axis',(1.,0.,0.)); #416145=DIRECTION('',(0.,0.,1.)); #416146=DIRECTION('center_axis',(0.,0.,-1.)); #416147=DIRECTION('ref_axis',(1.,0.,0.)); #416148=DIRECTION('center_axis',(-1.,0.,0.)); #416149=DIRECTION('ref_axis',(0.,-1.,0.)); #416150=DIRECTION('',(0.,-1.,0.)); #416151=DIRECTION('',(0.,0.,1.)); #416152=DIRECTION('',(0.,-1.,0.)); #416153=DIRECTION('',(0.,0.,1.)); #416154=DIRECTION('center_axis',(0.,1.,0.)); #416155=DIRECTION('ref_axis',(-1.,0.,0.)); #416156=DIRECTION('',(-1.,0.,0.)); #416157=DIRECTION('',(-1.,0.,0.)); #416158=DIRECTION('',(0.,0.,1.)); #416159=DIRECTION('center_axis',(1.,0.,0.)); #416160=DIRECTION('ref_axis',(0.,1.,0.)); #416161=DIRECTION('',(0.,1.,0.)); #416162=DIRECTION('',(0.,1.,0.)); #416163=DIRECTION('',(0.,0.,1.)); #416164=DIRECTION('center_axis',(0.,-1.,0.)); #416165=DIRECTION('ref_axis',(1.,0.,0.)); #416166=DIRECTION('',(1.,0.,0.)); #416167=DIRECTION('',(1.,0.,0.)); #416168=DIRECTION('center_axis',(0.,0.,1.)); #416169=DIRECTION('ref_axis',(1.,0.,0.)); #416170=DIRECTION('center_axis',(0.,0.,1.)); #416171=DIRECTION('ref_axis',(1.,0.,0.)); #416172=DIRECTION('center_axis',(1.,0.,0.)); #416173=DIRECTION('ref_axis',(0.,1.,0.)); #416174=DIRECTION('',(0.,1.,0.)); #416175=DIRECTION('',(0.,0.,1.)); #416176=DIRECTION('',(0.,1.,0.)); #416177=DIRECTION('',(0.,0.,1.)); #416178=DIRECTION('center_axis',(0.,-1.,0.)); #416179=DIRECTION('ref_axis',(1.,0.,0.)); #416180=DIRECTION('',(1.,0.,0.)); #416181=DIRECTION('',(1.,0.,0.)); #416182=DIRECTION('',(0.,0.,1.)); #416183=DIRECTION('center_axis',(-1.,0.,0.)); #416184=DIRECTION('ref_axis',(0.,-1.,0.)); #416185=DIRECTION('',(0.,-1.,0.)); #416186=DIRECTION('',(0.,-1.,0.)); #416187=DIRECTION('',(0.,0.,1.)); #416188=DIRECTION('center_axis',(0.,1.,0.)); #416189=DIRECTION('ref_axis',(-1.,0.,0.)); #416190=DIRECTION('',(-1.,0.,0.)); #416191=DIRECTION('',(-1.,0.,0.)); #416192=DIRECTION('center_axis',(0.,0.,1.)); #416193=DIRECTION('ref_axis',(1.,0.,0.)); #416194=DIRECTION('center_axis',(0.,0.,1.)); #416195=DIRECTION('ref_axis',(1.,0.,0.)); #416196=DIRECTION('center_axis',(1.,0.,0.)); #416197=DIRECTION('ref_axis',(0.,1.,0.)); #416198=DIRECTION('',(0.,1.,0.)); #416199=DIRECTION('',(0.,0.,1.)); #416200=DIRECTION('',(0.,1.,0.)); #416201=DIRECTION('',(0.,0.,1.)); #416202=DIRECTION('center_axis',(0.,-1.,0.)); #416203=DIRECTION('ref_axis',(1.,0.,0.)); #416204=DIRECTION('',(1.,0.,0.)); #416205=DIRECTION('',(1.,0.,0.)); #416206=DIRECTION('',(0.,0.,1.)); #416207=DIRECTION('center_axis',(-1.,0.,0.)); #416208=DIRECTION('ref_axis',(0.,-1.,0.)); #416209=DIRECTION('',(0.,-1.,0.)); #416210=DIRECTION('',(0.,-1.,0.)); #416211=DIRECTION('',(0.,0.,1.)); #416212=DIRECTION('center_axis',(0.,1.,0.)); #416213=DIRECTION('ref_axis',(-1.,0.,0.)); #416214=DIRECTION('',(-1.,0.,0.)); #416215=DIRECTION('',(-1.,0.,0.)); #416216=DIRECTION('center_axis',(0.,0.,1.)); #416217=DIRECTION('ref_axis',(1.,0.,0.)); #416218=DIRECTION('center_axis',(0.,0.,1.)); #416219=DIRECTION('ref_axis',(1.,0.,0.)); #416220=DIRECTION('center_axis',(1.,0.,0.)); #416221=DIRECTION('ref_axis',(0.,1.,0.)); #416222=DIRECTION('',(0.,1.,0.)); #416223=DIRECTION('',(0.,0.,1.)); #416224=DIRECTION('',(0.,1.,0.)); #416225=DIRECTION('',(0.,0.,1.)); #416226=DIRECTION('center_axis',(0.,-1.,0.)); #416227=DIRECTION('ref_axis',(1.,0.,0.)); #416228=DIRECTION('',(1.,0.,0.)); #416229=DIRECTION('',(1.,0.,0.)); #416230=DIRECTION('',(0.,0.,1.)); #416231=DIRECTION('center_axis',(-1.,0.,0.)); #416232=DIRECTION('ref_axis',(0.,-1.,0.)); #416233=DIRECTION('',(0.,-1.,0.)); #416234=DIRECTION('',(0.,-1.,0.)); #416235=DIRECTION('',(0.,0.,1.)); #416236=DIRECTION('center_axis',(0.,1.,0.)); #416237=DIRECTION('ref_axis',(-1.,0.,0.)); #416238=DIRECTION('',(-1.,0.,0.)); #416239=DIRECTION('',(-1.,0.,0.)); #416240=DIRECTION('center_axis',(0.,0.,1.)); #416241=DIRECTION('ref_axis',(1.,0.,0.)); #416242=DIRECTION('center_axis',(0.,0.,1.)); #416243=DIRECTION('ref_axis',(1.,0.,0.)); #416244=DIRECTION('center_axis',(1.,0.,0.)); #416245=DIRECTION('ref_axis',(0.,1.,0.)); #416246=DIRECTION('',(0.,1.,0.)); #416247=DIRECTION('',(0.,0.,1.)); #416248=DIRECTION('',(0.,1.,0.)); #416249=DIRECTION('',(0.,0.,1.)); #416250=DIRECTION('center_axis',(0.,-1.,0.)); #416251=DIRECTION('ref_axis',(1.,0.,0.)); #416252=DIRECTION('',(1.,0.,0.)); #416253=DIRECTION('',(1.,0.,0.)); #416254=DIRECTION('',(0.,0.,1.)); #416255=DIRECTION('center_axis',(-1.,0.,0.)); #416256=DIRECTION('ref_axis',(0.,-1.,0.)); #416257=DIRECTION('',(0.,-1.,0.)); #416258=DIRECTION('',(0.,-1.,0.)); #416259=DIRECTION('',(0.,0.,1.)); #416260=DIRECTION('center_axis',(0.,1.,0.)); #416261=DIRECTION('ref_axis',(-1.,0.,0.)); #416262=DIRECTION('',(-1.,0.,0.)); #416263=DIRECTION('',(-1.,0.,0.)); #416264=DIRECTION('center_axis',(0.,0.,1.)); #416265=DIRECTION('ref_axis',(1.,0.,0.)); #416266=DIRECTION('center_axis',(0.,0.,1.)); #416267=DIRECTION('ref_axis',(1.,0.,0.)); #416268=DIRECTION('center_axis',(1.,0.,0.)); #416269=DIRECTION('ref_axis',(0.,1.,0.)); #416270=DIRECTION('',(0.,1.,0.)); #416271=DIRECTION('',(0.,0.,1.)); #416272=DIRECTION('',(0.,1.,0.)); #416273=DIRECTION('',(0.,0.,1.)); #416274=DIRECTION('center_axis',(0.,-1.,0.)); #416275=DIRECTION('ref_axis',(1.,0.,0.)); #416276=DIRECTION('',(1.,0.,0.)); #416277=DIRECTION('',(1.,0.,0.)); #416278=DIRECTION('',(0.,0.,1.)); #416279=DIRECTION('center_axis',(-1.,0.,0.)); #416280=DIRECTION('ref_axis',(0.,-1.,0.)); #416281=DIRECTION('',(0.,-1.,0.)); #416282=DIRECTION('',(0.,-1.,0.)); #416283=DIRECTION('',(0.,0.,1.)); #416284=DIRECTION('center_axis',(0.,1.,0.)); #416285=DIRECTION('ref_axis',(-1.,0.,0.)); #416286=DIRECTION('',(-1.,0.,0.)); #416287=DIRECTION('',(-1.,0.,0.)); #416288=DIRECTION('center_axis',(0.,0.,1.)); #416289=DIRECTION('ref_axis',(1.,0.,0.)); #416290=DIRECTION('center_axis',(0.,0.,1.)); #416291=DIRECTION('ref_axis',(1.,0.,0.)); #416292=DIRECTION('center_axis',(1.,0.,0.)); #416293=DIRECTION('ref_axis',(0.,1.,0.)); #416294=DIRECTION('',(0.,1.,0.)); #416295=DIRECTION('',(0.,0.,1.)); #416296=DIRECTION('',(0.,1.,0.)); #416297=DIRECTION('',(0.,0.,1.)); #416298=DIRECTION('center_axis',(0.,-1.,0.)); #416299=DIRECTION('ref_axis',(1.,0.,0.)); #416300=DIRECTION('',(1.,0.,0.)); #416301=DIRECTION('',(1.,0.,0.)); #416302=DIRECTION('',(0.,0.,1.)); #416303=DIRECTION('center_axis',(-1.,0.,0.)); #416304=DIRECTION('ref_axis',(0.,-1.,0.)); #416305=DIRECTION('',(0.,-1.,0.)); #416306=DIRECTION('',(0.,-1.,0.)); #416307=DIRECTION('',(0.,0.,1.)); #416308=DIRECTION('center_axis',(0.,1.,0.)); #416309=DIRECTION('ref_axis',(-1.,0.,0.)); #416310=DIRECTION('',(-1.,0.,0.)); #416311=DIRECTION('',(-1.,0.,0.)); #416312=DIRECTION('center_axis',(0.,0.,1.)); #416313=DIRECTION('ref_axis',(1.,0.,0.)); #416314=DIRECTION('center_axis',(0.,0.,1.)); #416315=DIRECTION('ref_axis',(1.,0.,0.)); #416316=DIRECTION('center_axis',(1.,0.,0.)); #416317=DIRECTION('ref_axis',(0.,1.,0.)); #416318=DIRECTION('',(0.,1.,0.)); #416319=DIRECTION('',(0.,0.,1.)); #416320=DIRECTION('',(0.,1.,0.)); #416321=DIRECTION('',(0.,0.,1.)); #416322=DIRECTION('center_axis',(0.,-1.,0.)); #416323=DIRECTION('ref_axis',(1.,0.,0.)); #416324=DIRECTION('',(1.,0.,0.)); #416325=DIRECTION('',(1.,0.,0.)); #416326=DIRECTION('',(0.,0.,1.)); #416327=DIRECTION('center_axis',(-1.,0.,0.)); #416328=DIRECTION('ref_axis',(0.,-1.,0.)); #416329=DIRECTION('',(0.,-1.,0.)); #416330=DIRECTION('',(0.,-1.,0.)); #416331=DIRECTION('',(0.,0.,1.)); #416332=DIRECTION('center_axis',(0.,1.,0.)); #416333=DIRECTION('ref_axis',(-1.,0.,0.)); #416334=DIRECTION('',(-1.,0.,0.)); #416335=DIRECTION('',(-1.,0.,0.)); #416336=DIRECTION('center_axis',(0.,0.,1.)); #416337=DIRECTION('ref_axis',(1.,0.,0.)); #416338=DIRECTION('center_axis',(0.,0.,1.)); #416339=DIRECTION('ref_axis',(1.,0.,0.)); #416340=DIRECTION('center_axis',(1.,0.,0.)); #416341=DIRECTION('ref_axis',(0.,1.,0.)); #416342=DIRECTION('',(0.,1.,0.)); #416343=DIRECTION('',(0.,0.,1.)); #416344=DIRECTION('',(0.,1.,0.)); #416345=DIRECTION('',(0.,0.,1.)); #416346=DIRECTION('center_axis',(0.,-1.,0.)); #416347=DIRECTION('ref_axis',(1.,0.,0.)); #416348=DIRECTION('',(1.,0.,0.)); #416349=DIRECTION('',(1.,0.,0.)); #416350=DIRECTION('',(0.,0.,1.)); #416351=DIRECTION('center_axis',(-1.,0.,0.)); #416352=DIRECTION('ref_axis',(0.,-1.,0.)); #416353=DIRECTION('',(0.,-1.,0.)); #416354=DIRECTION('',(0.,-1.,0.)); #416355=DIRECTION('',(0.,0.,1.)); #416356=DIRECTION('center_axis',(0.,1.,0.)); #416357=DIRECTION('ref_axis',(-1.,0.,0.)); #416358=DIRECTION('',(-1.,0.,0.)); #416359=DIRECTION('',(-1.,0.,0.)); #416360=DIRECTION('center_axis',(0.,0.,1.)); #416361=DIRECTION('ref_axis',(1.,0.,0.)); #416362=DIRECTION('center_axis',(0.,0.,1.)); #416363=DIRECTION('ref_axis',(1.,0.,0.)); #416364=DIRECTION('center_axis',(1.,0.,0.)); #416365=DIRECTION('ref_axis',(0.,1.,0.)); #416366=DIRECTION('',(0.,1.,0.)); #416367=DIRECTION('',(0.,0.,1.)); #416368=DIRECTION('',(0.,1.,0.)); #416369=DIRECTION('',(0.,0.,1.)); #416370=DIRECTION('center_axis',(0.,-1.,0.)); #416371=DIRECTION('ref_axis',(1.,0.,0.)); #416372=DIRECTION('',(1.,0.,0.)); #416373=DIRECTION('',(1.,0.,0.)); #416374=DIRECTION('',(0.,0.,1.)); #416375=DIRECTION('center_axis',(-1.,0.,0.)); #416376=DIRECTION('ref_axis',(0.,-1.,0.)); #416377=DIRECTION('',(0.,-1.,0.)); #416378=DIRECTION('',(0.,-1.,0.)); #416379=DIRECTION('',(0.,0.,1.)); #416380=DIRECTION('center_axis',(0.,1.,0.)); #416381=DIRECTION('ref_axis',(-1.,0.,0.)); #416382=DIRECTION('',(-1.,0.,0.)); #416383=DIRECTION('',(-1.,0.,0.)); #416384=DIRECTION('center_axis',(0.,0.,1.)); #416385=DIRECTION('ref_axis',(1.,0.,0.)); #416386=DIRECTION('center_axis',(0.,0.,1.)); #416387=DIRECTION('ref_axis',(1.,0.,0.)); #416388=DIRECTION('center_axis',(1.,0.,0.)); #416389=DIRECTION('ref_axis',(0.,1.,0.)); #416390=DIRECTION('',(0.,1.,0.)); #416391=DIRECTION('',(0.,0.,1.)); #416392=DIRECTION('',(0.,1.,0.)); #416393=DIRECTION('',(0.,0.,1.)); #416394=DIRECTION('center_axis',(0.,-1.,0.)); #416395=DIRECTION('ref_axis',(1.,0.,0.)); #416396=DIRECTION('',(1.,0.,0.)); #416397=DIRECTION('',(1.,0.,0.)); #416398=DIRECTION('',(0.,0.,1.)); #416399=DIRECTION('center_axis',(-1.,0.,0.)); #416400=DIRECTION('ref_axis',(0.,-1.,0.)); #416401=DIRECTION('',(0.,-1.,0.)); #416402=DIRECTION('',(0.,-1.,0.)); #416403=DIRECTION('',(0.,0.,1.)); #416404=DIRECTION('center_axis',(0.,1.,0.)); #416405=DIRECTION('ref_axis',(-1.,0.,0.)); #416406=DIRECTION('',(-1.,0.,0.)); #416407=DIRECTION('',(-1.,0.,0.)); #416408=DIRECTION('center_axis',(0.,0.,1.)); #416409=DIRECTION('ref_axis',(1.,0.,0.)); #416410=DIRECTION('center_axis',(0.,0.,1.)); #416411=DIRECTION('ref_axis',(1.,0.,0.)); #416412=DIRECTION('center_axis',(1.,0.,0.)); #416413=DIRECTION('ref_axis',(0.,1.,0.)); #416414=DIRECTION('',(0.,1.,0.)); #416415=DIRECTION('',(0.,0.,1.)); #416416=DIRECTION('',(0.,1.,0.)); #416417=DIRECTION('',(0.,0.,1.)); #416418=DIRECTION('center_axis',(0.,-1.,0.)); #416419=DIRECTION('ref_axis',(1.,0.,0.)); #416420=DIRECTION('',(1.,0.,0.)); #416421=DIRECTION('',(1.,0.,0.)); #416422=DIRECTION('',(0.,0.,1.)); #416423=DIRECTION('center_axis',(-1.,0.,0.)); #416424=DIRECTION('ref_axis',(0.,-1.,0.)); #416425=DIRECTION('',(0.,-1.,0.)); #416426=DIRECTION('',(0.,-1.,0.)); #416427=DIRECTION('',(0.,0.,1.)); #416428=DIRECTION('center_axis',(0.,1.,0.)); #416429=DIRECTION('ref_axis',(-1.,0.,0.)); #416430=DIRECTION('',(-1.,0.,0.)); #416431=DIRECTION('',(-1.,0.,0.)); #416432=DIRECTION('center_axis',(0.,0.,1.)); #416433=DIRECTION('ref_axis',(1.,0.,0.)); #416434=DIRECTION('center_axis',(0.,0.,1.)); #416435=DIRECTION('ref_axis',(1.,0.,0.)); #416436=DIRECTION('center_axis',(1.,0.,0.)); #416437=DIRECTION('ref_axis',(0.,1.,0.)); #416438=DIRECTION('',(0.,1.,0.)); #416439=DIRECTION('',(0.,0.,1.)); #416440=DIRECTION('',(0.,1.,0.)); #416441=DIRECTION('',(0.,0.,1.)); #416442=DIRECTION('center_axis',(0.,-1.,0.)); #416443=DIRECTION('ref_axis',(1.,0.,0.)); #416444=DIRECTION('',(1.,0.,0.)); #416445=DIRECTION('',(1.,0.,0.)); #416446=DIRECTION('',(0.,0.,1.)); #416447=DIRECTION('center_axis',(-1.,0.,0.)); #416448=DIRECTION('ref_axis',(0.,-1.,0.)); #416449=DIRECTION('',(0.,-1.,0.)); #416450=DIRECTION('',(0.,-1.,0.)); #416451=DIRECTION('',(0.,0.,1.)); #416452=DIRECTION('center_axis',(0.,1.,0.)); #416453=DIRECTION('ref_axis',(-1.,0.,0.)); #416454=DIRECTION('',(-1.,0.,0.)); #416455=DIRECTION('',(-1.,0.,0.)); #416456=DIRECTION('center_axis',(0.,0.,1.)); #416457=DIRECTION('ref_axis',(1.,0.,0.)); #416458=DIRECTION('center_axis',(0.,0.,1.)); #416459=DIRECTION('ref_axis',(1.,0.,0.)); #416460=DIRECTION('center_axis',(1.,0.,0.)); #416461=DIRECTION('ref_axis',(0.,1.,0.)); #416462=DIRECTION('',(0.,1.,0.)); #416463=DIRECTION('',(0.,0.,1.)); #416464=DIRECTION('',(0.,1.,0.)); #416465=DIRECTION('',(0.,0.,1.)); #416466=DIRECTION('center_axis',(0.,-1.,0.)); #416467=DIRECTION('ref_axis',(1.,0.,0.)); #416468=DIRECTION('',(1.,0.,0.)); #416469=DIRECTION('',(1.,0.,0.)); #416470=DIRECTION('',(0.,0.,1.)); #416471=DIRECTION('center_axis',(-1.,0.,0.)); #416472=DIRECTION('ref_axis',(0.,-1.,0.)); #416473=DIRECTION('',(0.,-1.,0.)); #416474=DIRECTION('',(0.,-1.,0.)); #416475=DIRECTION('',(0.,0.,1.)); #416476=DIRECTION('center_axis',(0.,1.,0.)); #416477=DIRECTION('ref_axis',(-1.,0.,0.)); #416478=DIRECTION('',(-1.,0.,0.)); #416479=DIRECTION('',(-1.,0.,0.)); #416480=DIRECTION('center_axis',(0.,0.,1.)); #416481=DIRECTION('ref_axis',(1.,0.,0.)); #416482=DIRECTION('center_axis',(0.,0.,1.)); #416483=DIRECTION('ref_axis',(1.,0.,0.)); #416484=DIRECTION('center_axis',(1.,0.,0.)); #416485=DIRECTION('ref_axis',(0.,1.,0.)); #416486=DIRECTION('',(0.,1.,0.)); #416487=DIRECTION('',(0.,0.,1.)); #416488=DIRECTION('',(0.,1.,0.)); #416489=DIRECTION('',(0.,0.,1.)); #416490=DIRECTION('center_axis',(0.,-1.,0.)); #416491=DIRECTION('ref_axis',(1.,0.,0.)); #416492=DIRECTION('',(1.,0.,0.)); #416493=DIRECTION('',(1.,0.,0.)); #416494=DIRECTION('',(0.,0.,1.)); #416495=DIRECTION('center_axis',(-1.,0.,0.)); #416496=DIRECTION('ref_axis',(0.,-1.,0.)); #416497=DIRECTION('',(0.,-1.,0.)); #416498=DIRECTION('',(0.,-1.,0.)); #416499=DIRECTION('',(0.,0.,1.)); #416500=DIRECTION('center_axis',(0.,1.,0.)); #416501=DIRECTION('ref_axis',(-1.,0.,0.)); #416502=DIRECTION('',(-1.,0.,0.)); #416503=DIRECTION('',(-1.,0.,0.)); #416504=DIRECTION('center_axis',(0.,0.,1.)); #416505=DIRECTION('ref_axis',(1.,0.,0.)); #416506=DIRECTION('center_axis',(0.,0.,1.)); #416507=DIRECTION('ref_axis',(1.,0.,0.)); #416508=DIRECTION('center_axis',(1.,0.,0.)); #416509=DIRECTION('ref_axis',(0.,1.,0.)); #416510=DIRECTION('',(0.,1.,0.)); #416511=DIRECTION('',(0.,0.,1.)); #416512=DIRECTION('',(0.,1.,0.)); #416513=DIRECTION('',(0.,0.,1.)); #416514=DIRECTION('center_axis',(0.,-1.,0.)); #416515=DIRECTION('ref_axis',(1.,0.,0.)); #416516=DIRECTION('',(1.,0.,0.)); #416517=DIRECTION('',(1.,0.,0.)); #416518=DIRECTION('',(0.,0.,1.)); #416519=DIRECTION('center_axis',(-1.,0.,0.)); #416520=DIRECTION('ref_axis',(0.,-1.,0.)); #416521=DIRECTION('',(0.,-1.,0.)); #416522=DIRECTION('',(0.,-1.,0.)); #416523=DIRECTION('',(0.,0.,1.)); #416524=DIRECTION('center_axis',(0.,1.,0.)); #416525=DIRECTION('ref_axis',(-1.,0.,0.)); #416526=DIRECTION('',(-1.,0.,0.)); #416527=DIRECTION('',(-1.,0.,0.)); #416528=DIRECTION('center_axis',(0.,0.,1.)); #416529=DIRECTION('ref_axis',(1.,0.,0.)); #416530=DIRECTION('center_axis',(0.,0.,1.)); #416531=DIRECTION('ref_axis',(1.,0.,0.)); #416532=DIRECTION('center_axis',(1.,0.,0.)); #416533=DIRECTION('ref_axis',(0.,1.,0.)); #416534=DIRECTION('',(0.,1.,0.)); #416535=DIRECTION('',(0.,0.,1.)); #416536=DIRECTION('',(0.,1.,0.)); #416537=DIRECTION('',(0.,0.,1.)); #416538=DIRECTION('center_axis',(0.,-1.,0.)); #416539=DIRECTION('ref_axis',(1.,0.,0.)); #416540=DIRECTION('',(1.,0.,0.)); #416541=DIRECTION('',(1.,0.,0.)); #416542=DIRECTION('',(0.,0.,1.)); #416543=DIRECTION('center_axis',(-1.,0.,0.)); #416544=DIRECTION('ref_axis',(0.,-1.,0.)); #416545=DIRECTION('',(0.,-1.,0.)); #416546=DIRECTION('',(0.,-1.,0.)); #416547=DIRECTION('',(0.,0.,1.)); #416548=DIRECTION('center_axis',(0.,1.,0.)); #416549=DIRECTION('ref_axis',(-1.,0.,0.)); #416550=DIRECTION('',(-1.,0.,0.)); #416551=DIRECTION('',(-1.,0.,0.)); #416552=DIRECTION('center_axis',(0.,0.,1.)); #416553=DIRECTION('ref_axis',(1.,0.,0.)); #416554=DIRECTION('center_axis',(0.,0.,1.)); #416555=DIRECTION('ref_axis',(1.,0.,0.)); #416556=DIRECTION('center_axis',(0.,0.,1.)); #416557=DIRECTION('ref_axis',(1.,0.,0.)); #416558=DIRECTION('center_axis',(0.,0.,1.)); #416559=DIRECTION('ref_axis',(1.,0.,0.)); #416560=DIRECTION('',(0.,0.,1.)); #416561=DIRECTION('center_axis',(0.,0.,-1.)); #416562=DIRECTION('ref_axis',(1.,0.,0.)); #416563=DIRECTION('center_axis',(1.,0.,0.)); #416564=DIRECTION('ref_axis',(0.,1.,0.)); #416565=DIRECTION('',(0.,1.,0.)); #416566=DIRECTION('',(0.,0.,1.)); #416567=DIRECTION('',(0.,1.,0.)); #416568=DIRECTION('',(0.,0.,1.)); #416569=DIRECTION('center_axis',(0.,-1.,0.)); #416570=DIRECTION('ref_axis',(1.,0.,0.)); #416571=DIRECTION('',(1.,0.,0.)); #416572=DIRECTION('',(1.,0.,0.)); #416573=DIRECTION('',(0.,0.,1.)); #416574=DIRECTION('center_axis',(-1.,0.,0.)); #416575=DIRECTION('ref_axis',(0.,-1.,0.)); #416576=DIRECTION('',(0.,-1.,0.)); #416577=DIRECTION('',(0.,-1.,0.)); #416578=DIRECTION('',(0.,0.,1.)); #416579=DIRECTION('center_axis',(0.,1.,0.)); #416580=DIRECTION('ref_axis',(-1.,0.,0.)); #416581=DIRECTION('',(-1.,0.,0.)); #416582=DIRECTION('',(-1.,0.,0.)); #416583=DIRECTION('center_axis',(0.,0.,1.)); #416584=DIRECTION('ref_axis',(1.,0.,0.)); #416585=DIRECTION('center_axis',(0.,0.,1.)); #416586=DIRECTION('ref_axis',(1.,0.,0.)); #416587=DIRECTION('center_axis',(1.,0.,0.)); #416588=DIRECTION('ref_axis',(0.,1.,0.)); #416589=DIRECTION('',(0.,1.,0.)); #416590=DIRECTION('',(0.,0.,1.)); #416591=DIRECTION('',(0.,1.,0.)); #416592=DIRECTION('',(0.,0.,1.)); #416593=DIRECTION('center_axis',(0.,-1.,0.)); #416594=DIRECTION('ref_axis',(1.,0.,0.)); #416595=DIRECTION('',(1.,0.,0.)); #416596=DIRECTION('',(1.,0.,0.)); #416597=DIRECTION('',(0.,0.,1.)); #416598=DIRECTION('center_axis',(-1.,0.,0.)); #416599=DIRECTION('ref_axis',(0.,-1.,0.)); #416600=DIRECTION('',(0.,-1.,0.)); #416601=DIRECTION('',(0.,-1.,0.)); #416602=DIRECTION('',(0.,0.,1.)); #416603=DIRECTION('center_axis',(0.,1.,0.)); #416604=DIRECTION('ref_axis',(-1.,0.,0.)); #416605=DIRECTION('',(-1.,0.,0.)); #416606=DIRECTION('',(-1.,0.,0.)); #416607=DIRECTION('center_axis',(0.,0.,1.)); #416608=DIRECTION('ref_axis',(1.,0.,0.)); #416609=DIRECTION('center_axis',(0.,0.,1.)); #416610=DIRECTION('ref_axis',(1.,0.,0.)); #416611=DIRECTION('center_axis',(1.,0.,0.)); #416612=DIRECTION('ref_axis',(0.,1.,0.)); #416613=DIRECTION('',(0.,1.,0.)); #416614=DIRECTION('',(0.,0.,1.)); #416615=DIRECTION('',(0.,1.,0.)); #416616=DIRECTION('',(0.,0.,1.)); #416617=DIRECTION('center_axis',(0.,-1.,0.)); #416618=DIRECTION('ref_axis',(1.,0.,0.)); #416619=DIRECTION('',(1.,0.,0.)); #416620=DIRECTION('',(1.,0.,0.)); #416621=DIRECTION('',(0.,0.,1.)); #416622=DIRECTION('center_axis',(-1.,0.,0.)); #416623=DIRECTION('ref_axis',(0.,-1.,0.)); #416624=DIRECTION('',(0.,-1.,0.)); #416625=DIRECTION('',(0.,-1.,0.)); #416626=DIRECTION('',(0.,0.,1.)); #416627=DIRECTION('center_axis',(0.,1.,0.)); #416628=DIRECTION('ref_axis',(-1.,0.,0.)); #416629=DIRECTION('',(-1.,0.,0.)); #416630=DIRECTION('',(-1.,0.,0.)); #416631=DIRECTION('center_axis',(0.,0.,1.)); #416632=DIRECTION('ref_axis',(1.,0.,0.)); #416633=DIRECTION('center_axis',(0.,0.,1.)); #416634=DIRECTION('ref_axis',(1.,0.,0.)); #416635=DIRECTION('center_axis',(1.,0.,0.)); #416636=DIRECTION('ref_axis',(0.,1.,0.)); #416637=DIRECTION('',(0.,1.,0.)); #416638=DIRECTION('',(0.,0.,1.)); #416639=DIRECTION('',(0.,1.,0.)); #416640=DIRECTION('',(0.,0.,1.)); #416641=DIRECTION('center_axis',(0.,-1.,0.)); #416642=DIRECTION('ref_axis',(1.,0.,0.)); #416643=DIRECTION('',(1.,0.,0.)); #416644=DIRECTION('',(1.,0.,0.)); #416645=DIRECTION('',(0.,0.,1.)); #416646=DIRECTION('center_axis',(-1.,0.,0.)); #416647=DIRECTION('ref_axis',(0.,-1.,0.)); #416648=DIRECTION('',(0.,-1.,0.)); #416649=DIRECTION('',(0.,-1.,0.)); #416650=DIRECTION('',(0.,0.,1.)); #416651=DIRECTION('center_axis',(0.,1.,0.)); #416652=DIRECTION('ref_axis',(-1.,0.,0.)); #416653=DIRECTION('',(-1.,0.,0.)); #416654=DIRECTION('',(-1.,0.,0.)); #416655=DIRECTION('center_axis',(0.,0.,1.)); #416656=DIRECTION('ref_axis',(1.,0.,0.)); #416657=DIRECTION('center_axis',(0.,0.,1.)); #416658=DIRECTION('ref_axis',(1.,0.,0.)); #416659=DIRECTION('center_axis',(1.,0.,0.)); #416660=DIRECTION('ref_axis',(0.,1.,0.)); #416661=DIRECTION('',(0.,1.,0.)); #416662=DIRECTION('',(0.,0.,1.)); #416663=DIRECTION('',(0.,1.,0.)); #416664=DIRECTION('',(0.,0.,1.)); #416665=DIRECTION('center_axis',(0.,-1.,0.)); #416666=DIRECTION('ref_axis',(1.,0.,0.)); #416667=DIRECTION('',(1.,0.,0.)); #416668=DIRECTION('',(1.,0.,0.)); #416669=DIRECTION('',(0.,0.,1.)); #416670=DIRECTION('center_axis',(-1.,0.,0.)); #416671=DIRECTION('ref_axis',(0.,-1.,0.)); #416672=DIRECTION('',(0.,-1.,0.)); #416673=DIRECTION('',(0.,-1.,0.)); #416674=DIRECTION('',(0.,0.,1.)); #416675=DIRECTION('center_axis',(0.,1.,0.)); #416676=DIRECTION('ref_axis',(-1.,0.,0.)); #416677=DIRECTION('',(-1.,0.,0.)); #416678=DIRECTION('',(-1.,0.,0.)); #416679=DIRECTION('center_axis',(0.,0.,1.)); #416680=DIRECTION('ref_axis',(1.,0.,0.)); #416681=DIRECTION('center_axis',(0.,0.,1.)); #416682=DIRECTION('ref_axis',(1.,0.,0.)); #416683=DIRECTION('center_axis',(0.,0.,1.)); #416684=DIRECTION('ref_axis',(1.,0.,0.)); #416685=DIRECTION('center_axis',(0.,0.,1.)); #416686=DIRECTION('ref_axis',(1.,0.,0.)); #416687=DIRECTION('',(0.,0.,1.)); #416688=DIRECTION('center_axis',(0.,0.,-1.)); #416689=DIRECTION('ref_axis',(1.,0.,0.)); #416690=DIRECTION('center_axis',(0.,0.,1.)); #416691=DIRECTION('ref_axis',(1.,0.,0.)); #416692=DIRECTION('center_axis',(0.,0.,1.)); #416693=DIRECTION('ref_axis',(1.,0.,0.)); #416694=DIRECTION('',(0.,0.,1.)); #416695=DIRECTION('center_axis',(0.,0.,-1.)); #416696=DIRECTION('ref_axis',(1.,0.,0.)); #416697=DIRECTION('center_axis',(0.,0.,1.)); #416698=DIRECTION('ref_axis',(1.,0.,0.)); #416699=DIRECTION('center_axis',(0.,0.,1.)); #416700=DIRECTION('ref_axis',(1.,0.,0.)); #416701=DIRECTION('',(0.,0.,1.)); #416702=DIRECTION('center_axis',(0.,0.,-1.)); #416703=DIRECTION('ref_axis',(1.,0.,0.)); #416704=DIRECTION('center_axis',(0.,0.,1.)); #416705=DIRECTION('ref_axis',(1.,0.,0.)); #416706=DIRECTION('center_axis',(0.,0.,1.)); #416707=DIRECTION('ref_axis',(1.,0.,0.)); #416708=DIRECTION('',(0.,0.,1.)); #416709=DIRECTION('center_axis',(0.,0.,-1.)); #416710=DIRECTION('ref_axis',(1.,0.,0.)); #416711=DIRECTION('center_axis',(0.,0.,1.)); #416712=DIRECTION('ref_axis',(1.,0.,0.)); #416713=DIRECTION('center_axis',(0.,0.,1.)); #416714=DIRECTION('ref_axis',(1.,0.,0.)); #416715=DIRECTION('',(0.,0.,1.)); #416716=DIRECTION('center_axis',(0.,0.,-1.)); #416717=DIRECTION('ref_axis',(1.,0.,0.)); #416718=DIRECTION('center_axis',(0.,0.,1.)); #416719=DIRECTION('ref_axis',(1.,0.,0.)); #416720=DIRECTION('center_axis',(0.,0.,1.)); #416721=DIRECTION('ref_axis',(1.,0.,0.)); #416722=DIRECTION('',(0.,0.,1.)); #416723=DIRECTION('center_axis',(0.,0.,-1.)); #416724=DIRECTION('ref_axis',(1.,0.,0.)); #416725=DIRECTION('center_axis',(0.,0.,1.)); #416726=DIRECTION('ref_axis',(1.,0.,0.)); #416727=DIRECTION('center_axis',(0.,0.,1.)); #416728=DIRECTION('ref_axis',(1.,0.,0.)); #416729=DIRECTION('',(0.,0.,1.)); #416730=DIRECTION('center_axis',(0.,0.,-1.)); #416731=DIRECTION('ref_axis',(1.,0.,0.)); #416732=DIRECTION('center_axis',(0.,0.,1.)); #416733=DIRECTION('ref_axis',(1.,0.,0.)); #416734=DIRECTION('center_axis',(0.,0.,1.)); #416735=DIRECTION('ref_axis',(1.,0.,0.)); #416736=DIRECTION('',(0.,0.,1.)); #416737=DIRECTION('center_axis',(0.,0.,-1.)); #416738=DIRECTION('ref_axis',(1.,0.,0.)); #416739=DIRECTION('center_axis',(0.,0.,1.)); #416740=DIRECTION('ref_axis',(1.,0.,0.)); #416741=DIRECTION('center_axis',(0.,0.,1.)); #416742=DIRECTION('ref_axis',(1.,0.,0.)); #416743=DIRECTION('',(0.,0.,1.)); #416744=DIRECTION('center_axis',(0.,0.,-1.)); #416745=DIRECTION('ref_axis',(1.,0.,0.)); #416746=DIRECTION('center_axis',(0.,0.,1.)); #416747=DIRECTION('ref_axis',(1.,0.,0.)); #416748=DIRECTION('center_axis',(0.,0.,1.)); #416749=DIRECTION('ref_axis',(1.,0.,0.)); #416750=DIRECTION('',(0.,0.,1.)); #416751=DIRECTION('center_axis',(0.,0.,-1.)); #416752=DIRECTION('ref_axis',(1.,0.,0.)); #416753=DIRECTION('center_axis',(0.,0.,1.)); #416754=DIRECTION('ref_axis',(1.,0.,0.)); #416755=DIRECTION('center_axis',(0.,0.,1.)); #416756=DIRECTION('ref_axis',(1.,0.,0.)); #416757=DIRECTION('',(0.,0.,1.)); #416758=DIRECTION('center_axis',(0.,0.,-1.)); #416759=DIRECTION('ref_axis',(1.,0.,0.)); #416760=DIRECTION('center_axis',(0.,0.,1.)); #416761=DIRECTION('ref_axis',(1.,0.,0.)); #416762=DIRECTION('center_axis',(0.,0.,1.)); #416763=DIRECTION('ref_axis',(1.,0.,0.)); #416764=DIRECTION('',(0.,0.,1.)); #416765=DIRECTION('center_axis',(0.,0.,-1.)); #416766=DIRECTION('ref_axis',(1.,0.,0.)); #416767=DIRECTION('center_axis',(0.,0.,1.)); #416768=DIRECTION('ref_axis',(1.,0.,0.)); #416769=DIRECTION('center_axis',(0.,0.,1.)); #416770=DIRECTION('ref_axis',(1.,0.,0.)); #416771=DIRECTION('',(0.,0.,1.)); #416772=DIRECTION('center_axis',(0.,0.,-1.)); #416773=DIRECTION('ref_axis',(1.,0.,0.)); #416774=DIRECTION('center_axis',(0.,0.,1.)); #416775=DIRECTION('ref_axis',(1.,0.,0.)); #416776=DIRECTION('center_axis',(0.,0.,1.)); #416777=DIRECTION('ref_axis',(1.,0.,0.)); #416778=DIRECTION('',(0.,0.,1.)); #416779=DIRECTION('center_axis',(0.,0.,-1.)); #416780=DIRECTION('ref_axis',(1.,0.,0.)); #416781=DIRECTION('center_axis',(0.,0.,1.)); #416782=DIRECTION('ref_axis',(1.,0.,0.)); #416783=DIRECTION('center_axis',(0.,0.,1.)); #416784=DIRECTION('ref_axis',(1.,0.,0.)); #416785=DIRECTION('',(0.,0.,1.)); #416786=DIRECTION('center_axis',(0.,0.,-1.)); #416787=DIRECTION('ref_axis',(1.,0.,0.)); #416788=DIRECTION('center_axis',(1.,0.,0.)); #416789=DIRECTION('ref_axis',(0.,1.,0.)); #416790=DIRECTION('',(0.,1.,0.)); #416791=DIRECTION('',(0.,0.,1.)); #416792=DIRECTION('',(0.,1.,0.)); #416793=DIRECTION('',(0.,0.,1.)); #416794=DIRECTION('center_axis',(0.,-1.,0.)); #416795=DIRECTION('ref_axis',(1.,0.,0.)); #416796=DIRECTION('',(1.,0.,0.)); #416797=DIRECTION('',(1.,0.,0.)); #416798=DIRECTION('',(0.,0.,1.)); #416799=DIRECTION('center_axis',(-1.,0.,0.)); #416800=DIRECTION('ref_axis',(0.,-1.,0.)); #416801=DIRECTION('',(0.,-1.,0.)); #416802=DIRECTION('',(0.,-1.,0.)); #416803=DIRECTION('',(0.,0.,1.)); #416804=DIRECTION('center_axis',(0.,1.,0.)); #416805=DIRECTION('ref_axis',(-1.,0.,0.)); #416806=DIRECTION('',(-1.,0.,0.)); #416807=DIRECTION('',(-1.,0.,0.)); #416808=DIRECTION('center_axis',(0.,0.,1.)); #416809=DIRECTION('ref_axis',(1.,0.,0.)); #416810=DIRECTION('center_axis',(0.,0.,1.)); #416811=DIRECTION('ref_axis',(1.,0.,0.)); #416812=DIRECTION('center_axis',(1.,0.,0.)); #416813=DIRECTION('ref_axis',(0.,1.,0.)); #416814=DIRECTION('',(0.,1.,0.)); #416815=DIRECTION('',(0.,0.,1.)); #416816=DIRECTION('',(0.,1.,0.)); #416817=DIRECTION('',(0.,0.,1.)); #416818=DIRECTION('center_axis',(0.,-1.,0.)); #416819=DIRECTION('ref_axis',(1.,0.,0.)); #416820=DIRECTION('',(1.,0.,0.)); #416821=DIRECTION('',(1.,0.,0.)); #416822=DIRECTION('',(0.,0.,1.)); #416823=DIRECTION('center_axis',(-1.,0.,0.)); #416824=DIRECTION('ref_axis',(0.,-1.,0.)); #416825=DIRECTION('',(0.,-1.,0.)); #416826=DIRECTION('',(0.,-1.,0.)); #416827=DIRECTION('',(0.,0.,1.)); #416828=DIRECTION('center_axis',(0.,1.,0.)); #416829=DIRECTION('ref_axis',(-1.,0.,0.)); #416830=DIRECTION('',(-1.,0.,0.)); #416831=DIRECTION('',(-1.,0.,0.)); #416832=DIRECTION('center_axis',(0.,0.,1.)); #416833=DIRECTION('ref_axis',(1.,0.,0.)); #416834=DIRECTION('center_axis',(0.,0.,1.)); #416835=DIRECTION('ref_axis',(1.,0.,0.)); #416836=DIRECTION('center_axis',(1.,0.,0.)); #416837=DIRECTION('ref_axis',(0.,1.,0.)); #416838=DIRECTION('',(0.,1.,0.)); #416839=DIRECTION('',(0.,0.,1.)); #416840=DIRECTION('',(0.,1.,0.)); #416841=DIRECTION('',(0.,0.,1.)); #416842=DIRECTION('center_axis',(0.,-1.,0.)); #416843=DIRECTION('ref_axis',(1.,0.,0.)); #416844=DIRECTION('',(1.,0.,0.)); #416845=DIRECTION('',(1.,0.,0.)); #416846=DIRECTION('',(0.,0.,1.)); #416847=DIRECTION('center_axis',(-1.,0.,0.)); #416848=DIRECTION('ref_axis',(0.,-1.,0.)); #416849=DIRECTION('',(0.,-1.,0.)); #416850=DIRECTION('',(0.,-1.,0.)); #416851=DIRECTION('',(0.,0.,1.)); #416852=DIRECTION('center_axis',(0.,1.,0.)); #416853=DIRECTION('ref_axis',(-1.,0.,0.)); #416854=DIRECTION('',(-1.,0.,0.)); #416855=DIRECTION('',(-1.,0.,0.)); #416856=DIRECTION('center_axis',(0.,0.,1.)); #416857=DIRECTION('ref_axis',(1.,0.,0.)); #416858=DIRECTION('center_axis',(0.,0.,1.)); #416859=DIRECTION('ref_axis',(1.,0.,0.)); #416860=DIRECTION('center_axis',(1.,0.,0.)); #416861=DIRECTION('ref_axis',(0.,1.,0.)); #416862=DIRECTION('',(0.,1.,0.)); #416863=DIRECTION('',(0.,0.,1.)); #416864=DIRECTION('',(0.,1.,0.)); #416865=DIRECTION('',(0.,0.,1.)); #416866=DIRECTION('center_axis',(0.,-1.,0.)); #416867=DIRECTION('ref_axis',(1.,0.,0.)); #416868=DIRECTION('',(1.,0.,0.)); #416869=DIRECTION('',(1.,0.,0.)); #416870=DIRECTION('',(0.,0.,1.)); #416871=DIRECTION('center_axis',(-1.,0.,0.)); #416872=DIRECTION('ref_axis',(0.,-1.,0.)); #416873=DIRECTION('',(0.,-1.,0.)); #416874=DIRECTION('',(0.,-1.,0.)); #416875=DIRECTION('',(0.,0.,1.)); #416876=DIRECTION('center_axis',(0.,1.,0.)); #416877=DIRECTION('ref_axis',(-1.,0.,0.)); #416878=DIRECTION('',(-1.,0.,0.)); #416879=DIRECTION('',(-1.,0.,0.)); #416880=DIRECTION('center_axis',(0.,0.,1.)); #416881=DIRECTION('ref_axis',(1.,0.,0.)); #416882=DIRECTION('center_axis',(0.,0.,1.)); #416883=DIRECTION('ref_axis',(1.,0.,0.)); #416884=DIRECTION('center_axis',(0.,0.,1.)); #416885=DIRECTION('ref_axis',(1.,0.,0.)); #416886=DIRECTION('center_axis',(0.,0.,1.)); #416887=DIRECTION('ref_axis',(1.,0.,0.)); #416888=DIRECTION('',(0.,0.,1.)); #416889=DIRECTION('center_axis',(0.,0.,-1.)); #416890=DIRECTION('ref_axis',(1.,0.,0.)); #416891=DIRECTION('center_axis',(1.,0.,0.)); #416892=DIRECTION('ref_axis',(0.,1.,0.)); #416893=DIRECTION('',(0.,1.,0.)); #416894=DIRECTION('',(0.,0.,1.)); #416895=DIRECTION('',(0.,1.,0.)); #416896=DIRECTION('',(0.,0.,1.)); #416897=DIRECTION('center_axis',(0.,-1.,0.)); #416898=DIRECTION('ref_axis',(1.,0.,0.)); #416899=DIRECTION('',(1.,0.,0.)); #416900=DIRECTION('',(1.,0.,0.)); #416901=DIRECTION('',(0.,0.,1.)); #416902=DIRECTION('center_axis',(-1.,0.,0.)); #416903=DIRECTION('ref_axis',(0.,-1.,0.)); #416904=DIRECTION('',(0.,-1.,0.)); #416905=DIRECTION('',(0.,-1.,0.)); #416906=DIRECTION('',(0.,0.,1.)); #416907=DIRECTION('center_axis',(0.,1.,0.)); #416908=DIRECTION('ref_axis',(-1.,0.,0.)); #416909=DIRECTION('',(-1.,0.,0.)); #416910=DIRECTION('',(-1.,0.,0.)); #416911=DIRECTION('center_axis',(0.,0.,1.)); #416912=DIRECTION('ref_axis',(1.,0.,0.)); #416913=DIRECTION('center_axis',(0.,0.,1.)); #416914=DIRECTION('ref_axis',(1.,0.,0.)); #416915=DIRECTION('center_axis',(1.,0.,0.)); #416916=DIRECTION('ref_axis',(0.,1.,0.)); #416917=DIRECTION('',(0.,1.,0.)); #416918=DIRECTION('',(0.,0.,1.)); #416919=DIRECTION('',(0.,1.,0.)); #416920=DIRECTION('',(0.,0.,1.)); #416921=DIRECTION('center_axis',(0.,-1.,0.)); #416922=DIRECTION('ref_axis',(1.,0.,0.)); #416923=DIRECTION('',(1.,0.,0.)); #416924=DIRECTION('',(1.,0.,0.)); #416925=DIRECTION('',(0.,0.,1.)); #416926=DIRECTION('center_axis',(-1.,0.,0.)); #416927=DIRECTION('ref_axis',(0.,-1.,0.)); #416928=DIRECTION('',(0.,-1.,0.)); #416929=DIRECTION('',(0.,-1.,0.)); #416930=DIRECTION('',(0.,0.,1.)); #416931=DIRECTION('center_axis',(0.,1.,0.)); #416932=DIRECTION('ref_axis',(-1.,0.,0.)); #416933=DIRECTION('',(-1.,0.,0.)); #416934=DIRECTION('',(-1.,0.,0.)); #416935=DIRECTION('center_axis',(0.,0.,1.)); #416936=DIRECTION('ref_axis',(1.,0.,0.)); #416937=DIRECTION('center_axis',(0.,0.,1.)); #416938=DIRECTION('ref_axis',(1.,0.,0.)); #416939=DIRECTION('center_axis',(1.,0.,0.)); #416940=DIRECTION('ref_axis',(0.,1.,0.)); #416941=DIRECTION('',(0.,1.,0.)); #416942=DIRECTION('',(0.,0.,1.)); #416943=DIRECTION('',(0.,1.,0.)); #416944=DIRECTION('',(0.,0.,1.)); #416945=DIRECTION('center_axis',(0.,-1.,0.)); #416946=DIRECTION('ref_axis',(1.,0.,0.)); #416947=DIRECTION('',(1.,0.,0.)); #416948=DIRECTION('',(1.,0.,0.)); #416949=DIRECTION('',(0.,0.,1.)); #416950=DIRECTION('center_axis',(-1.,0.,0.)); #416951=DIRECTION('ref_axis',(0.,-1.,0.)); #416952=DIRECTION('',(0.,-1.,0.)); #416953=DIRECTION('',(0.,-1.,0.)); #416954=DIRECTION('',(0.,0.,1.)); #416955=DIRECTION('center_axis',(0.,1.,0.)); #416956=DIRECTION('ref_axis',(-1.,0.,0.)); #416957=DIRECTION('',(-1.,0.,0.)); #416958=DIRECTION('',(-1.,0.,0.)); #416959=DIRECTION('center_axis',(0.,0.,1.)); #416960=DIRECTION('ref_axis',(1.,0.,0.)); #416961=DIRECTION('center_axis',(0.,0.,1.)); #416962=DIRECTION('ref_axis',(1.,0.,0.)); #416963=DIRECTION('center_axis',(1.,0.,0.)); #416964=DIRECTION('ref_axis',(0.,1.,0.)); #416965=DIRECTION('',(0.,1.,0.)); #416966=DIRECTION('',(0.,0.,1.)); #416967=DIRECTION('',(0.,1.,0.)); #416968=DIRECTION('',(0.,0.,1.)); #416969=DIRECTION('center_axis',(0.,-1.,0.)); #416970=DIRECTION('ref_axis',(1.,0.,0.)); #416971=DIRECTION('',(1.,0.,0.)); #416972=DIRECTION('',(1.,0.,0.)); #416973=DIRECTION('',(0.,0.,1.)); #416974=DIRECTION('center_axis',(-1.,0.,0.)); #416975=DIRECTION('ref_axis',(0.,-1.,0.)); #416976=DIRECTION('',(0.,-1.,0.)); #416977=DIRECTION('',(0.,-1.,0.)); #416978=DIRECTION('',(0.,0.,1.)); #416979=DIRECTION('center_axis',(0.,1.,0.)); #416980=DIRECTION('ref_axis',(-1.,0.,0.)); #416981=DIRECTION('',(-1.,0.,0.)); #416982=DIRECTION('',(-1.,0.,0.)); #416983=DIRECTION('center_axis',(0.,0.,1.)); #416984=DIRECTION('ref_axis',(1.,0.,0.)); #416985=DIRECTION('center_axis',(0.,0.,1.)); #416986=DIRECTION('ref_axis',(1.,0.,0.)); #416987=DIRECTION('center_axis',(1.,0.,0.)); #416988=DIRECTION('ref_axis',(0.,1.,0.)); #416989=DIRECTION('',(0.,1.,0.)); #416990=DIRECTION('',(0.,0.,1.)); #416991=DIRECTION('',(0.,1.,0.)); #416992=DIRECTION('',(0.,0.,1.)); #416993=DIRECTION('center_axis',(0.,-1.,0.)); #416994=DIRECTION('ref_axis',(1.,0.,0.)); #416995=DIRECTION('',(1.,0.,0.)); #416996=DIRECTION('',(1.,0.,0.)); #416997=DIRECTION('',(0.,0.,1.)); #416998=DIRECTION('center_axis',(-1.,0.,0.)); #416999=DIRECTION('ref_axis',(0.,-1.,0.)); #417000=DIRECTION('',(0.,-1.,0.)); #417001=DIRECTION('',(0.,-1.,0.)); #417002=DIRECTION('',(0.,0.,1.)); #417003=DIRECTION('center_axis',(0.,1.,0.)); #417004=DIRECTION('ref_axis',(-1.,0.,0.)); #417005=DIRECTION('',(-1.,0.,0.)); #417006=DIRECTION('',(-1.,0.,0.)); #417007=DIRECTION('center_axis',(0.,0.,1.)); #417008=DIRECTION('ref_axis',(1.,0.,0.)); #417009=DIRECTION('center_axis',(0.,0.,1.)); #417010=DIRECTION('ref_axis',(1.,0.,0.)); #417011=DIRECTION('center_axis',(0.,0.,1.)); #417012=DIRECTION('ref_axis',(1.,0.,0.)); #417013=DIRECTION('center_axis',(0.,0.,1.)); #417014=DIRECTION('ref_axis',(1.,0.,0.)); #417015=DIRECTION('',(0.,0.,1.)); #417016=DIRECTION('center_axis',(0.,0.,-1.)); #417017=DIRECTION('ref_axis',(1.,0.,0.)); #417018=DIRECTION('center_axis',(0.,0.,1.)); #417019=DIRECTION('ref_axis',(1.,0.,0.)); #417020=DIRECTION('center_axis',(0.,0.,1.)); #417021=DIRECTION('ref_axis',(1.,0.,0.)); #417022=DIRECTION('',(0.,0.,1.)); #417023=DIRECTION('center_axis',(0.,0.,-1.)); #417024=DIRECTION('ref_axis',(1.,0.,0.)); #417025=DIRECTION('center_axis',(0.,0.,1.)); #417026=DIRECTION('ref_axis',(1.,0.,0.)); #417027=DIRECTION('center_axis',(0.,0.,1.)); #417028=DIRECTION('ref_axis',(1.,0.,0.)); #417029=DIRECTION('',(0.,0.,1.)); #417030=DIRECTION('center_axis',(0.,0.,-1.)); #417031=DIRECTION('ref_axis',(1.,0.,0.)); #417032=DIRECTION('center_axis',(0.,0.,1.)); #417033=DIRECTION('ref_axis',(1.,0.,0.)); #417034=DIRECTION('center_axis',(0.,0.,1.)); #417035=DIRECTION('ref_axis',(1.,0.,0.)); #417036=DIRECTION('',(0.,0.,1.)); #417037=DIRECTION('center_axis',(0.,0.,-1.)); #417038=DIRECTION('ref_axis',(1.,0.,0.)); #417039=DIRECTION('center_axis',(0.,0.,1.)); #417040=DIRECTION('ref_axis',(1.,0.,0.)); #417041=DIRECTION('center_axis',(0.,0.,1.)); #417042=DIRECTION('ref_axis',(1.,0.,0.)); #417043=DIRECTION('',(0.,0.,1.)); #417044=DIRECTION('center_axis',(0.,0.,-1.)); #417045=DIRECTION('ref_axis',(1.,0.,0.)); #417046=DIRECTION('center_axis',(0.,0.,1.)); #417047=DIRECTION('ref_axis',(1.,0.,0.)); #417048=DIRECTION('center_axis',(0.,0.,1.)); #417049=DIRECTION('ref_axis',(1.,0.,0.)); #417050=DIRECTION('',(0.,0.,1.)); #417051=DIRECTION('center_axis',(0.,0.,-1.)); #417052=DIRECTION('ref_axis',(1.,0.,0.)); #417053=DIRECTION('center_axis',(0.,0.,1.)); #417054=DIRECTION('ref_axis',(1.,0.,0.)); #417055=DIRECTION('center_axis',(0.,0.,1.)); #417056=DIRECTION('ref_axis',(1.,0.,0.)); #417057=DIRECTION('',(0.,0.,1.)); #417058=DIRECTION('center_axis',(0.,0.,-1.)); #417059=DIRECTION('ref_axis',(1.,0.,0.)); #417060=DIRECTION('center_axis',(0.,0.,1.)); #417061=DIRECTION('ref_axis',(1.,0.,0.)); #417062=DIRECTION('center_axis',(0.,0.,1.)); #417063=DIRECTION('ref_axis',(1.,0.,0.)); #417064=DIRECTION('',(0.,0.,1.)); #417065=DIRECTION('center_axis',(0.,0.,-1.)); #417066=DIRECTION('ref_axis',(1.,0.,0.)); #417067=DIRECTION('center_axis',(0.,0.,1.)); #417068=DIRECTION('ref_axis',(1.,0.,0.)); #417069=DIRECTION('center_axis',(0.,0.,1.)); #417070=DIRECTION('ref_axis',(1.,0.,0.)); #417071=DIRECTION('',(0.,0.,1.)); #417072=DIRECTION('center_axis',(0.,0.,-1.)); #417073=DIRECTION('ref_axis',(1.,0.,0.)); #417074=DIRECTION('center_axis',(0.,0.,1.)); #417075=DIRECTION('ref_axis',(1.,0.,0.)); #417076=DIRECTION('center_axis',(0.,0.,1.)); #417077=DIRECTION('ref_axis',(1.,0.,0.)); #417078=DIRECTION('',(0.,0.,1.)); #417079=DIRECTION('center_axis',(0.,0.,-1.)); #417080=DIRECTION('ref_axis',(1.,0.,0.)); #417081=DIRECTION('center_axis',(0.,0.,1.)); #417082=DIRECTION('ref_axis',(1.,0.,0.)); #417083=DIRECTION('center_axis',(0.,0.,1.)); #417084=DIRECTION('ref_axis',(1.,0.,0.)); #417085=DIRECTION('',(0.,0.,1.)); #417086=DIRECTION('center_axis',(0.,0.,-1.)); #417087=DIRECTION('ref_axis',(1.,0.,0.)); #417088=DIRECTION('center_axis',(0.,0.,1.)); #417089=DIRECTION('ref_axis',(1.,0.,0.)); #417090=DIRECTION('center_axis',(0.,0.,1.)); #417091=DIRECTION('ref_axis',(1.,0.,0.)); #417092=DIRECTION('',(0.,0.,1.)); #417093=DIRECTION('center_axis',(0.,0.,-1.)); #417094=DIRECTION('ref_axis',(1.,0.,0.)); #417095=DIRECTION('center_axis',(0.,0.,1.)); #417096=DIRECTION('ref_axis',(1.,0.,0.)); #417097=DIRECTION('center_axis',(0.,0.,1.)); #417098=DIRECTION('ref_axis',(1.,0.,0.)); #417099=DIRECTION('',(0.,0.,1.)); #417100=DIRECTION('center_axis',(0.,0.,-1.)); #417101=DIRECTION('ref_axis',(1.,0.,0.)); #417102=DIRECTION('center_axis',(0.,0.,1.)); #417103=DIRECTION('ref_axis',(1.,0.,0.)); #417104=DIRECTION('center_axis',(0.,0.,1.)); #417105=DIRECTION('ref_axis',(1.,0.,0.)); #417106=DIRECTION('',(0.,0.,1.)); #417107=DIRECTION('center_axis',(0.,0.,-1.)); #417108=DIRECTION('ref_axis',(1.,0.,0.)); #417109=DIRECTION('center_axis',(0.,0.,1.)); #417110=DIRECTION('ref_axis',(1.,0.,0.)); #417111=DIRECTION('center_axis',(0.,0.,1.)); #417112=DIRECTION('ref_axis',(1.,0.,0.)); #417113=DIRECTION('',(0.,0.,1.)); #417114=DIRECTION('center_axis',(0.,0.,-1.)); #417115=DIRECTION('ref_axis',(1.,0.,0.)); #417116=DIRECTION('center_axis',(1.,0.,0.)); #417117=DIRECTION('ref_axis',(0.,1.,0.)); #417118=DIRECTION('',(0.,1.,0.)); #417119=DIRECTION('',(0.,0.,1.)); #417120=DIRECTION('',(0.,1.,0.)); #417121=DIRECTION('',(0.,0.,1.)); #417122=DIRECTION('center_axis',(0.,-1.,0.)); #417123=DIRECTION('ref_axis',(1.,0.,0.)); #417124=DIRECTION('',(1.,0.,0.)); #417125=DIRECTION('',(1.,0.,0.)); #417126=DIRECTION('',(0.,0.,1.)); #417127=DIRECTION('center_axis',(-1.,0.,0.)); #417128=DIRECTION('ref_axis',(0.,-1.,0.)); #417129=DIRECTION('',(0.,-1.,0.)); #417130=DIRECTION('',(0.,-1.,0.)); #417131=DIRECTION('',(0.,0.,1.)); #417132=DIRECTION('center_axis',(0.,1.,0.)); #417133=DIRECTION('ref_axis',(-1.,0.,0.)); #417134=DIRECTION('',(-1.,0.,0.)); #417135=DIRECTION('',(-1.,0.,0.)); #417136=DIRECTION('center_axis',(0.,0.,1.)); #417137=DIRECTION('ref_axis',(1.,0.,0.)); #417138=DIRECTION('center_axis',(0.,0.,1.)); #417139=DIRECTION('ref_axis',(1.,0.,0.)); #417140=DIRECTION('center_axis',(1.,0.,0.)); #417141=DIRECTION('ref_axis',(0.,1.,0.)); #417142=DIRECTION('',(0.,1.,0.)); #417143=DIRECTION('',(0.,0.,1.)); #417144=DIRECTION('',(0.,1.,0.)); #417145=DIRECTION('',(0.,0.,1.)); #417146=DIRECTION('center_axis',(0.,-1.,0.)); #417147=DIRECTION('ref_axis',(1.,0.,0.)); #417148=DIRECTION('',(1.,0.,0.)); #417149=DIRECTION('',(1.,0.,0.)); #417150=DIRECTION('',(0.,0.,1.)); #417151=DIRECTION('center_axis',(-1.,0.,0.)); #417152=DIRECTION('ref_axis',(0.,-1.,0.)); #417153=DIRECTION('',(0.,-1.,0.)); #417154=DIRECTION('',(0.,-1.,0.)); #417155=DIRECTION('',(0.,0.,1.)); #417156=DIRECTION('center_axis',(0.,1.,0.)); #417157=DIRECTION('ref_axis',(-1.,0.,0.)); #417158=DIRECTION('',(-1.,0.,0.)); #417159=DIRECTION('',(-1.,0.,0.)); #417160=DIRECTION('center_axis',(0.,0.,1.)); #417161=DIRECTION('ref_axis',(1.,0.,0.)); #417162=DIRECTION('center_axis',(0.,0.,1.)); #417163=DIRECTION('ref_axis',(1.,0.,0.)); #417164=DIRECTION('center_axis',(1.,0.,0.)); #417165=DIRECTION('ref_axis',(0.,1.,0.)); #417166=DIRECTION('',(0.,1.,0.)); #417167=DIRECTION('',(0.,0.,1.)); #417168=DIRECTION('',(0.,1.,0.)); #417169=DIRECTION('',(0.,0.,1.)); #417170=DIRECTION('center_axis',(0.,-1.,0.)); #417171=DIRECTION('ref_axis',(1.,0.,0.)); #417172=DIRECTION('',(1.,0.,0.)); #417173=DIRECTION('',(1.,0.,0.)); #417174=DIRECTION('',(0.,0.,1.)); #417175=DIRECTION('center_axis',(-1.,0.,0.)); #417176=DIRECTION('ref_axis',(0.,-1.,0.)); #417177=DIRECTION('',(0.,-1.,0.)); #417178=DIRECTION('',(0.,-1.,0.)); #417179=DIRECTION('',(0.,0.,1.)); #417180=DIRECTION('center_axis',(0.,1.,0.)); #417181=DIRECTION('ref_axis',(-1.,0.,0.)); #417182=DIRECTION('',(-1.,0.,0.)); #417183=DIRECTION('',(-1.,0.,0.)); #417184=DIRECTION('center_axis',(0.,0.,1.)); #417185=DIRECTION('ref_axis',(1.,0.,0.)); #417186=DIRECTION('center_axis',(0.,0.,1.)); #417187=DIRECTION('ref_axis',(1.,0.,0.)); #417188=DIRECTION('center_axis',(1.,0.,0.)); #417189=DIRECTION('ref_axis',(0.,1.,0.)); #417190=DIRECTION('',(0.,1.,0.)); #417191=DIRECTION('',(0.,0.,1.)); #417192=DIRECTION('',(0.,1.,0.)); #417193=DIRECTION('',(0.,0.,1.)); #417194=DIRECTION('center_axis',(0.,-1.,0.)); #417195=DIRECTION('ref_axis',(1.,0.,0.)); #417196=DIRECTION('',(1.,0.,0.)); #417197=DIRECTION('',(1.,0.,0.)); #417198=DIRECTION('',(0.,0.,1.)); #417199=DIRECTION('center_axis',(-1.,0.,0.)); #417200=DIRECTION('ref_axis',(0.,-1.,0.)); #417201=DIRECTION('',(0.,-1.,0.)); #417202=DIRECTION('',(0.,-1.,0.)); #417203=DIRECTION('',(0.,0.,1.)); #417204=DIRECTION('center_axis',(0.,1.,0.)); #417205=DIRECTION('ref_axis',(-1.,0.,0.)); #417206=DIRECTION('',(-1.,0.,0.)); #417207=DIRECTION('',(-1.,0.,0.)); #417208=DIRECTION('center_axis',(0.,0.,1.)); #417209=DIRECTION('ref_axis',(1.,0.,0.)); #417210=DIRECTION('center_axis',(0.,0.,1.)); #417211=DIRECTION('ref_axis',(1.,0.,0.)); #417212=DIRECTION('center_axis',(0.,0.,1.)); #417213=DIRECTION('ref_axis',(1.,0.,0.)); #417214=DIRECTION('center_axis',(0.,0.,1.)); #417215=DIRECTION('ref_axis',(1.,0.,0.)); #417216=DIRECTION('',(0.,0.,1.)); #417217=DIRECTION('center_axis',(0.,0.,-1.)); #417218=DIRECTION('ref_axis',(1.,0.,0.)); #417219=DIRECTION('center_axis',(1.,0.,0.)); #417220=DIRECTION('ref_axis',(0.,1.,0.)); #417221=DIRECTION('',(0.,1.,0.)); #417222=DIRECTION('',(0.,0.,1.)); #417223=DIRECTION('',(0.,1.,0.)); #417224=DIRECTION('',(0.,0.,1.)); #417225=DIRECTION('center_axis',(0.,-1.,0.)); #417226=DIRECTION('ref_axis',(1.,0.,0.)); #417227=DIRECTION('',(1.,0.,0.)); #417228=DIRECTION('',(1.,0.,0.)); #417229=DIRECTION('',(0.,0.,1.)); #417230=DIRECTION('center_axis',(-1.,0.,0.)); #417231=DIRECTION('ref_axis',(0.,-1.,0.)); #417232=DIRECTION('',(0.,-1.,0.)); #417233=DIRECTION('',(0.,-1.,0.)); #417234=DIRECTION('',(0.,0.,1.)); #417235=DIRECTION('center_axis',(0.,1.,0.)); #417236=DIRECTION('ref_axis',(-1.,0.,0.)); #417237=DIRECTION('',(-1.,0.,0.)); #417238=DIRECTION('',(-1.,0.,0.)); #417239=DIRECTION('center_axis',(0.,0.,1.)); #417240=DIRECTION('ref_axis',(1.,0.,0.)); #417241=DIRECTION('center_axis',(0.,0.,1.)); #417242=DIRECTION('ref_axis',(1.,0.,0.)); #417243=DIRECTION('center_axis',(0.,0.,1.)); #417244=DIRECTION('ref_axis',(1.,0.,0.)); #417245=DIRECTION('center_axis',(0.,0.,1.)); #417246=DIRECTION('ref_axis',(1.,0.,0.)); #417247=DIRECTION('',(0.,0.,1.)); #417248=DIRECTION('center_axis',(0.,0.,-1.)); #417249=DIRECTION('ref_axis',(1.,0.,0.)); #417250=DIRECTION('center_axis',(1.,0.,0.)); #417251=DIRECTION('ref_axis',(0.,1.,0.)); #417252=DIRECTION('',(0.,1.,0.)); #417253=DIRECTION('',(0.,0.,1.)); #417254=DIRECTION('',(0.,1.,0.)); #417255=DIRECTION('',(0.,0.,1.)); #417256=DIRECTION('center_axis',(0.,-1.,0.)); #417257=DIRECTION('ref_axis',(1.,0.,0.)); #417258=DIRECTION('',(1.,0.,0.)); #417259=DIRECTION('',(1.,0.,0.)); #417260=DIRECTION('',(0.,0.,1.)); #417261=DIRECTION('center_axis',(-1.,0.,0.)); #417262=DIRECTION('ref_axis',(0.,-1.,0.)); #417263=DIRECTION('',(0.,-1.,0.)); #417264=DIRECTION('',(0.,-1.,0.)); #417265=DIRECTION('',(0.,0.,1.)); #417266=DIRECTION('center_axis',(0.,1.,0.)); #417267=DIRECTION('ref_axis',(-1.,0.,0.)); #417268=DIRECTION('',(-1.,0.,0.)); #417269=DIRECTION('',(-1.,0.,0.)); #417270=DIRECTION('center_axis',(0.,0.,1.)); #417271=DIRECTION('ref_axis',(1.,0.,0.)); #417272=DIRECTION('center_axis',(0.,0.,1.)); #417273=DIRECTION('ref_axis',(1.,0.,0.)); #417274=DIRECTION('center_axis',(0.,0.,1.)); #417275=DIRECTION('ref_axis',(1.,0.,0.)); #417276=DIRECTION('center_axis',(0.,0.,1.)); #417277=DIRECTION('ref_axis',(1.,0.,0.)); #417278=DIRECTION('',(0.,0.,1.)); #417279=DIRECTION('center_axis',(0.,0.,-1.)); #417280=DIRECTION('ref_axis',(1.,0.,0.)); #417281=DIRECTION('center_axis',(1.,0.,0.)); #417282=DIRECTION('ref_axis',(0.,1.,0.)); #417283=DIRECTION('',(0.,1.,0.)); #417284=DIRECTION('',(0.,0.,1.)); #417285=DIRECTION('',(0.,1.,0.)); #417286=DIRECTION('',(0.,0.,1.)); #417287=DIRECTION('center_axis',(0.,-1.,0.)); #417288=DIRECTION('ref_axis',(1.,0.,0.)); #417289=DIRECTION('',(1.,0.,0.)); #417290=DIRECTION('',(1.,0.,0.)); #417291=DIRECTION('',(0.,0.,1.)); #417292=DIRECTION('center_axis',(-1.,0.,0.)); #417293=DIRECTION('ref_axis',(0.,-1.,0.)); #417294=DIRECTION('',(0.,-1.,0.)); #417295=DIRECTION('',(0.,-1.,0.)); #417296=DIRECTION('',(0.,0.,1.)); #417297=DIRECTION('center_axis',(0.,1.,0.)); #417298=DIRECTION('ref_axis',(-1.,0.,0.)); #417299=DIRECTION('',(-1.,0.,0.)); #417300=DIRECTION('',(-1.,0.,0.)); #417301=DIRECTION('center_axis',(0.,0.,1.)); #417302=DIRECTION('ref_axis',(1.,0.,0.)); #417303=DIRECTION('center_axis',(0.,0.,1.)); #417304=DIRECTION('ref_axis',(1.,0.,0.)); #417305=DIRECTION('center_axis',(0.,0.,1.)); #417306=DIRECTION('ref_axis',(1.,0.,0.)); #417307=DIRECTION('center_axis',(0.,0.,1.)); #417308=DIRECTION('ref_axis',(1.,0.,0.)); #417309=DIRECTION('',(0.,0.,1.)); #417310=DIRECTION('center_axis',(0.,0.,-1.)); #417311=DIRECTION('ref_axis',(1.,0.,0.)); #417312=DIRECTION('center_axis',(0.,0.,1.)); #417313=DIRECTION('ref_axis',(1.,0.,0.)); #417314=DIRECTION('center_axis',(0.,0.,1.)); #417315=DIRECTION('ref_axis',(1.,0.,0.)); #417316=DIRECTION('',(0.,0.,1.)); #417317=DIRECTION('center_axis',(0.,0.,-1.)); #417318=DIRECTION('ref_axis',(1.,0.,0.)); #417319=DIRECTION('center_axis',(0.,0.,1.)); #417320=DIRECTION('ref_axis',(1.,0.,0.)); #417321=DIRECTION('center_axis',(0.,0.,1.)); #417322=DIRECTION('ref_axis',(1.,0.,0.)); #417323=DIRECTION('',(0.,0.,1.)); #417324=DIRECTION('center_axis',(0.,0.,-1.)); #417325=DIRECTION('ref_axis',(1.,0.,0.)); #417326=DIRECTION('center_axis',(0.,0.,1.)); #417327=DIRECTION('ref_axis',(1.,0.,0.)); #417328=DIRECTION('center_axis',(0.,0.,1.)); #417329=DIRECTION('ref_axis',(1.,0.,0.)); #417330=DIRECTION('',(0.,0.,1.)); #417331=DIRECTION('center_axis',(0.,0.,-1.)); #417332=DIRECTION('ref_axis',(1.,0.,0.)); #417333=DIRECTION('center_axis',(0.,0.,1.)); #417334=DIRECTION('ref_axis',(1.,0.,0.)); #417335=DIRECTION('center_axis',(0.,0.,1.)); #417336=DIRECTION('ref_axis',(1.,0.,0.)); #417337=DIRECTION('',(0.,0.,1.)); #417338=DIRECTION('center_axis',(0.,0.,-1.)); #417339=DIRECTION('ref_axis',(1.,0.,0.)); #417340=DIRECTION('center_axis',(0.,0.,1.)); #417341=DIRECTION('ref_axis',(1.,0.,0.)); #417342=DIRECTION('center_axis',(0.,0.,1.)); #417343=DIRECTION('ref_axis',(1.,0.,0.)); #417344=DIRECTION('',(0.,0.,1.)); #417345=DIRECTION('center_axis',(0.,0.,-1.)); #417346=DIRECTION('ref_axis',(1.,0.,0.)); #417347=DIRECTION('center_axis',(0.,0.,1.)); #417348=DIRECTION('ref_axis',(1.,0.,0.)); #417349=DIRECTION('center_axis',(0.,0.,1.)); #417350=DIRECTION('ref_axis',(1.,0.,0.)); #417351=DIRECTION('',(0.,0.,1.)); #417352=DIRECTION('center_axis',(0.,0.,-1.)); #417353=DIRECTION('ref_axis',(1.,0.,0.)); #417354=DIRECTION('center_axis',(0.,0.,1.)); #417355=DIRECTION('ref_axis',(1.,0.,0.)); #417356=DIRECTION('center_axis',(0.,0.,1.)); #417357=DIRECTION('ref_axis',(1.,0.,0.)); #417358=DIRECTION('',(0.,0.,1.)); #417359=DIRECTION('center_axis',(0.,0.,-1.)); #417360=DIRECTION('ref_axis',(1.,0.,0.)); #417361=DIRECTION('center_axis',(0.,0.,1.)); #417362=DIRECTION('ref_axis',(1.,0.,0.)); #417363=DIRECTION('center_axis',(0.,0.,1.)); #417364=DIRECTION('ref_axis',(1.,0.,0.)); #417365=DIRECTION('',(0.,0.,1.)); #417366=DIRECTION('center_axis',(0.,0.,-1.)); #417367=DIRECTION('ref_axis',(1.,0.,0.)); #417368=DIRECTION('center_axis',(0.,0.,1.)); #417369=DIRECTION('ref_axis',(1.,0.,0.)); #417370=DIRECTION('center_axis',(0.,0.,1.)); #417371=DIRECTION('ref_axis',(1.,0.,0.)); #417372=DIRECTION('',(0.,0.,1.)); #417373=DIRECTION('center_axis',(0.,0.,-1.)); #417374=DIRECTION('ref_axis',(1.,0.,0.)); #417375=DIRECTION('center_axis',(0.,0.,1.)); #417376=DIRECTION('ref_axis',(1.,0.,0.)); #417377=DIRECTION('center_axis',(0.,0.,1.)); #417378=DIRECTION('ref_axis',(1.,0.,0.)); #417379=DIRECTION('',(0.,0.,1.)); #417380=DIRECTION('center_axis',(0.,0.,-1.)); #417381=DIRECTION('ref_axis',(1.,0.,0.)); #417382=DIRECTION('center_axis',(0.,0.,1.)); #417383=DIRECTION('ref_axis',(1.,0.,0.)); #417384=DIRECTION('center_axis',(0.,0.,1.)); #417385=DIRECTION('ref_axis',(1.,0.,0.)); #417386=DIRECTION('',(0.,0.,1.)); #417387=DIRECTION('center_axis',(0.,0.,-1.)); #417388=DIRECTION('ref_axis',(1.,0.,0.)); #417389=DIRECTION('center_axis',(0.,0.,1.)); #417390=DIRECTION('ref_axis',(1.,0.,0.)); #417391=DIRECTION('center_axis',(0.,0.,1.)); #417392=DIRECTION('ref_axis',(1.,0.,0.)); #417393=DIRECTION('',(0.,0.,1.)); #417394=DIRECTION('center_axis',(0.,0.,-1.)); #417395=DIRECTION('ref_axis',(1.,0.,0.)); #417396=DIRECTION('center_axis',(0.,0.,1.)); #417397=DIRECTION('ref_axis',(1.,0.,0.)); #417398=DIRECTION('center_axis',(0.,0.,1.)); #417399=DIRECTION('ref_axis',(1.,0.,0.)); #417400=DIRECTION('',(0.,0.,1.)); #417401=DIRECTION('center_axis',(0.,0.,-1.)); #417402=DIRECTION('ref_axis',(1.,0.,0.)); #417403=DIRECTION('center_axis',(0.,0.,1.)); #417404=DIRECTION('ref_axis',(1.,0.,0.)); #417405=DIRECTION('center_axis',(0.,0.,1.)); #417406=DIRECTION('ref_axis',(1.,0.,0.)); #417407=DIRECTION('',(0.,0.,1.)); #417408=DIRECTION('center_axis',(0.,0.,-1.)); #417409=DIRECTION('ref_axis',(1.,0.,0.)); #417410=DIRECTION('center_axis',(1.,0.,0.)); #417411=DIRECTION('ref_axis',(0.,1.,0.)); #417412=DIRECTION('',(0.,1.,0.)); #417413=DIRECTION('',(0.,0.,1.)); #417414=DIRECTION('',(0.,1.,0.)); #417415=DIRECTION('',(0.,0.,1.)); #417416=DIRECTION('center_axis',(0.,-1.,0.)); #417417=DIRECTION('ref_axis',(1.,0.,0.)); #417418=DIRECTION('',(1.,0.,0.)); #417419=DIRECTION('',(1.,0.,0.)); #417420=DIRECTION('',(0.,0.,1.)); #417421=DIRECTION('center_axis',(-1.,0.,0.)); #417422=DIRECTION('ref_axis',(0.,-1.,0.)); #417423=DIRECTION('',(0.,-1.,0.)); #417424=DIRECTION('',(0.,-1.,0.)); #417425=DIRECTION('',(0.,0.,1.)); #417426=DIRECTION('center_axis',(0.,1.,0.)); #417427=DIRECTION('ref_axis',(-1.,0.,0.)); #417428=DIRECTION('',(-1.,0.,0.)); #417429=DIRECTION('',(-1.,0.,0.)); #417430=DIRECTION('center_axis',(0.,0.,1.)); #417431=DIRECTION('ref_axis',(1.,0.,0.)); #417432=DIRECTION('center_axis',(0.,0.,1.)); #417433=DIRECTION('ref_axis',(1.,0.,0.)); #417434=DIRECTION('center_axis',(1.,0.,0.)); #417435=DIRECTION('ref_axis',(0.,1.,0.)); #417436=DIRECTION('',(0.,1.,0.)); #417437=DIRECTION('',(0.,0.,1.)); #417438=DIRECTION('',(0.,1.,0.)); #417439=DIRECTION('',(0.,0.,1.)); #417440=DIRECTION('center_axis',(0.,-1.,0.)); #417441=DIRECTION('ref_axis',(1.,0.,0.)); #417442=DIRECTION('',(1.,0.,0.)); #417443=DIRECTION('',(1.,0.,0.)); #417444=DIRECTION('',(0.,0.,1.)); #417445=DIRECTION('center_axis',(-1.,0.,0.)); #417446=DIRECTION('ref_axis',(0.,-1.,0.)); #417447=DIRECTION('',(0.,-1.,0.)); #417448=DIRECTION('',(0.,-1.,0.)); #417449=DIRECTION('',(0.,0.,1.)); #417450=DIRECTION('center_axis',(0.,1.,0.)); #417451=DIRECTION('ref_axis',(-1.,0.,0.)); #417452=DIRECTION('',(-1.,0.,0.)); #417453=DIRECTION('',(-1.,0.,0.)); #417454=DIRECTION('center_axis',(0.,0.,1.)); #417455=DIRECTION('ref_axis',(1.,0.,0.)); #417456=DIRECTION('center_axis',(0.,0.,1.)); #417457=DIRECTION('ref_axis',(1.,0.,0.)); #417458=DIRECTION('center_axis',(1.,0.,0.)); #417459=DIRECTION('ref_axis',(0.,1.,0.)); #417460=DIRECTION('',(0.,1.,0.)); #417461=DIRECTION('',(0.,0.,1.)); #417462=DIRECTION('',(0.,1.,0.)); #417463=DIRECTION('',(0.,0.,1.)); #417464=DIRECTION('center_axis',(0.,-1.,0.)); #417465=DIRECTION('ref_axis',(1.,0.,0.)); #417466=DIRECTION('',(1.,0.,0.)); #417467=DIRECTION('',(1.,0.,0.)); #417468=DIRECTION('',(0.,0.,1.)); #417469=DIRECTION('center_axis',(-1.,0.,0.)); #417470=DIRECTION('ref_axis',(0.,-1.,0.)); #417471=DIRECTION('',(0.,-1.,0.)); #417472=DIRECTION('',(0.,-1.,0.)); #417473=DIRECTION('',(0.,0.,1.)); #417474=DIRECTION('center_axis',(0.,1.,0.)); #417475=DIRECTION('ref_axis',(-1.,0.,0.)); #417476=DIRECTION('',(-1.,0.,0.)); #417477=DIRECTION('',(-1.,0.,0.)); #417478=DIRECTION('center_axis',(0.,0.,1.)); #417479=DIRECTION('ref_axis',(1.,0.,0.)); #417480=DIRECTION('center_axis',(0.,0.,1.)); #417481=DIRECTION('ref_axis',(1.,0.,0.)); #417482=DIRECTION('center_axis',(1.,0.,0.)); #417483=DIRECTION('ref_axis',(0.,1.,0.)); #417484=DIRECTION('',(0.,1.,0.)); #417485=DIRECTION('',(0.,0.,1.)); #417486=DIRECTION('',(0.,1.,0.)); #417487=DIRECTION('',(0.,0.,1.)); #417488=DIRECTION('center_axis',(0.,-1.,0.)); #417489=DIRECTION('ref_axis',(1.,0.,0.)); #417490=DIRECTION('',(1.,0.,0.)); #417491=DIRECTION('',(1.,0.,0.)); #417492=DIRECTION('',(0.,0.,1.)); #417493=DIRECTION('center_axis',(-1.,0.,0.)); #417494=DIRECTION('ref_axis',(0.,-1.,0.)); #417495=DIRECTION('',(0.,-1.,0.)); #417496=DIRECTION('',(0.,-1.,0.)); #417497=DIRECTION('',(0.,0.,1.)); #417498=DIRECTION('center_axis',(0.,1.,0.)); #417499=DIRECTION('ref_axis',(-1.,0.,0.)); #417500=DIRECTION('',(-1.,0.,0.)); #417501=DIRECTION('',(-1.,0.,0.)); #417502=DIRECTION('center_axis',(0.,0.,1.)); #417503=DIRECTION('ref_axis',(1.,0.,0.)); #417504=DIRECTION('center_axis',(0.,0.,1.)); #417505=DIRECTION('ref_axis',(1.,0.,0.)); #417506=DIRECTION('center_axis',(1.,0.,0.)); #417507=DIRECTION('ref_axis',(0.,1.,0.)); #417508=DIRECTION('',(0.,1.,0.)); #417509=DIRECTION('',(0.,0.,1.)); #417510=DIRECTION('',(0.,1.,0.)); #417511=DIRECTION('',(0.,0.,1.)); #417512=DIRECTION('center_axis',(0.,-1.,0.)); #417513=DIRECTION('ref_axis',(1.,0.,0.)); #417514=DIRECTION('',(1.,0.,0.)); #417515=DIRECTION('',(1.,0.,0.)); #417516=DIRECTION('',(0.,0.,1.)); #417517=DIRECTION('center_axis',(-1.,0.,0.)); #417518=DIRECTION('ref_axis',(0.,-1.,0.)); #417519=DIRECTION('',(0.,-1.,0.)); #417520=DIRECTION('',(0.,-1.,0.)); #417521=DIRECTION('',(0.,0.,1.)); #417522=DIRECTION('center_axis',(0.,1.,0.)); #417523=DIRECTION('ref_axis',(-1.,0.,0.)); #417524=DIRECTION('',(-1.,0.,0.)); #417525=DIRECTION('',(-1.,0.,0.)); #417526=DIRECTION('center_axis',(0.,0.,1.)); #417527=DIRECTION('ref_axis',(1.,0.,0.)); #417528=DIRECTION('center_axis',(0.,0.,1.)); #417529=DIRECTION('ref_axis',(1.,0.,0.)); #417530=DIRECTION('center_axis',(1.,0.,0.)); #417531=DIRECTION('ref_axis',(0.,1.,0.)); #417532=DIRECTION('',(0.,1.,0.)); #417533=DIRECTION('',(0.,0.,1.)); #417534=DIRECTION('',(0.,1.,0.)); #417535=DIRECTION('',(0.,0.,1.)); #417536=DIRECTION('center_axis',(0.,-1.,0.)); #417537=DIRECTION('ref_axis',(1.,0.,0.)); #417538=DIRECTION('',(1.,0.,0.)); #417539=DIRECTION('',(1.,0.,0.)); #417540=DIRECTION('',(0.,0.,1.)); #417541=DIRECTION('center_axis',(-1.,0.,0.)); #417542=DIRECTION('ref_axis',(0.,-1.,0.)); #417543=DIRECTION('',(0.,-1.,0.)); #417544=DIRECTION('',(0.,-1.,0.)); #417545=DIRECTION('',(0.,0.,1.)); #417546=DIRECTION('center_axis',(0.,1.,0.)); #417547=DIRECTION('ref_axis',(-1.,0.,0.)); #417548=DIRECTION('',(-1.,0.,0.)); #417549=DIRECTION('',(-1.,0.,0.)); #417550=DIRECTION('center_axis',(0.,0.,1.)); #417551=DIRECTION('ref_axis',(1.,0.,0.)); #417552=DIRECTION('center_axis',(0.,0.,1.)); #417553=DIRECTION('ref_axis',(1.,0.,0.)); #417554=DIRECTION('center_axis',(1.,0.,0.)); #417555=DIRECTION('ref_axis',(0.,1.,0.)); #417556=DIRECTION('',(0.,1.,0.)); #417557=DIRECTION('',(0.,0.,1.)); #417558=DIRECTION('',(0.,1.,0.)); #417559=DIRECTION('',(0.,0.,1.)); #417560=DIRECTION('center_axis',(0.,-1.,0.)); #417561=DIRECTION('ref_axis',(1.,0.,0.)); #417562=DIRECTION('',(1.,0.,0.)); #417563=DIRECTION('',(1.,0.,0.)); #417564=DIRECTION('',(0.,0.,1.)); #417565=DIRECTION('center_axis',(-1.,0.,0.)); #417566=DIRECTION('ref_axis',(0.,-1.,0.)); #417567=DIRECTION('',(0.,-1.,0.)); #417568=DIRECTION('',(0.,-1.,0.)); #417569=DIRECTION('',(0.,0.,1.)); #417570=DIRECTION('center_axis',(0.,1.,0.)); #417571=DIRECTION('ref_axis',(-1.,0.,0.)); #417572=DIRECTION('',(-1.,0.,0.)); #417573=DIRECTION('',(-1.,0.,0.)); #417574=DIRECTION('center_axis',(0.,0.,1.)); #417575=DIRECTION('ref_axis',(1.,0.,0.)); #417576=DIRECTION('center_axis',(0.,0.,1.)); #417577=DIRECTION('ref_axis',(1.,0.,0.)); #417578=DIRECTION('center_axis',(1.,0.,0.)); #417579=DIRECTION('ref_axis',(0.,1.,0.)); #417580=DIRECTION('',(0.,1.,0.)); #417581=DIRECTION('',(0.,0.,1.)); #417582=DIRECTION('',(0.,1.,0.)); #417583=DIRECTION('',(0.,0.,1.)); #417584=DIRECTION('center_axis',(0.,-1.,0.)); #417585=DIRECTION('ref_axis',(1.,0.,0.)); #417586=DIRECTION('',(1.,0.,0.)); #417587=DIRECTION('',(1.,0.,0.)); #417588=DIRECTION('',(0.,0.,1.)); #417589=DIRECTION('center_axis',(-1.,0.,0.)); #417590=DIRECTION('ref_axis',(0.,-1.,0.)); #417591=DIRECTION('',(0.,-1.,0.)); #417592=DIRECTION('',(0.,-1.,0.)); #417593=DIRECTION('',(0.,0.,1.)); #417594=DIRECTION('center_axis',(0.,1.,0.)); #417595=DIRECTION('ref_axis',(-1.,0.,0.)); #417596=DIRECTION('',(-1.,0.,0.)); #417597=DIRECTION('',(-1.,0.,0.)); #417598=DIRECTION('center_axis',(0.,0.,1.)); #417599=DIRECTION('ref_axis',(1.,0.,0.)); #417600=DIRECTION('center_axis',(0.,0.,1.)); #417601=DIRECTION('ref_axis',(1.,0.,0.)); #417602=DIRECTION('center_axis',(1.,0.,0.)); #417603=DIRECTION('ref_axis',(0.,1.,0.)); #417604=DIRECTION('',(0.,1.,0.)); #417605=DIRECTION('',(0.,0.,1.)); #417606=DIRECTION('',(0.,1.,0.)); #417607=DIRECTION('',(0.,0.,1.)); #417608=DIRECTION('center_axis',(0.,-1.,0.)); #417609=DIRECTION('ref_axis',(1.,0.,0.)); #417610=DIRECTION('',(1.,0.,0.)); #417611=DIRECTION('',(1.,0.,0.)); #417612=DIRECTION('',(0.,0.,1.)); #417613=DIRECTION('center_axis',(-1.,0.,0.)); #417614=DIRECTION('ref_axis',(0.,-1.,0.)); #417615=DIRECTION('',(0.,-1.,0.)); #417616=DIRECTION('',(0.,-1.,0.)); #417617=DIRECTION('',(0.,0.,1.)); #417618=DIRECTION('center_axis',(0.,1.,0.)); #417619=DIRECTION('ref_axis',(-1.,0.,0.)); #417620=DIRECTION('',(-1.,0.,0.)); #417621=DIRECTION('',(-1.,0.,0.)); #417622=DIRECTION('center_axis',(0.,0.,1.)); #417623=DIRECTION('ref_axis',(1.,0.,0.)); #417624=DIRECTION('center_axis',(0.,0.,1.)); #417625=DIRECTION('ref_axis',(1.,0.,0.)); #417626=DIRECTION('center_axis',(1.,0.,0.)); #417627=DIRECTION('ref_axis',(0.,1.,0.)); #417628=DIRECTION('',(0.,1.,0.)); #417629=DIRECTION('',(0.,0.,1.)); #417630=DIRECTION('',(0.,1.,0.)); #417631=DIRECTION('',(0.,0.,1.)); #417632=DIRECTION('center_axis',(0.,-1.,0.)); #417633=DIRECTION('ref_axis',(1.,0.,0.)); #417634=DIRECTION('',(1.,0.,0.)); #417635=DIRECTION('',(1.,0.,0.)); #417636=DIRECTION('',(0.,0.,1.)); #417637=DIRECTION('center_axis',(-1.,0.,0.)); #417638=DIRECTION('ref_axis',(0.,-1.,0.)); #417639=DIRECTION('',(0.,-1.,0.)); #417640=DIRECTION('',(0.,-1.,0.)); #417641=DIRECTION('',(0.,0.,1.)); #417642=DIRECTION('center_axis',(0.,1.,0.)); #417643=DIRECTION('ref_axis',(-1.,0.,0.)); #417644=DIRECTION('',(-1.,0.,0.)); #417645=DIRECTION('',(-1.,0.,0.)); #417646=DIRECTION('center_axis',(0.,0.,1.)); #417647=DIRECTION('ref_axis',(1.,0.,0.)); #417648=DIRECTION('center_axis',(0.,0.,1.)); #417649=DIRECTION('ref_axis',(1.,0.,0.)); #417650=DIRECTION('center_axis',(1.,0.,0.)); #417651=DIRECTION('ref_axis',(0.,1.,0.)); #417652=DIRECTION('',(0.,1.,0.)); #417653=DIRECTION('',(0.,0.,1.)); #417654=DIRECTION('',(0.,1.,0.)); #417655=DIRECTION('',(0.,0.,1.)); #417656=DIRECTION('center_axis',(0.,-1.,0.)); #417657=DIRECTION('ref_axis',(1.,0.,0.)); #417658=DIRECTION('',(1.,0.,0.)); #417659=DIRECTION('',(1.,0.,0.)); #417660=DIRECTION('',(0.,0.,1.)); #417661=DIRECTION('center_axis',(-1.,0.,0.)); #417662=DIRECTION('ref_axis',(0.,-1.,0.)); #417663=DIRECTION('',(0.,-1.,0.)); #417664=DIRECTION('',(0.,-1.,0.)); #417665=DIRECTION('',(0.,0.,1.)); #417666=DIRECTION('center_axis',(0.,1.,0.)); #417667=DIRECTION('ref_axis',(-1.,0.,0.)); #417668=DIRECTION('',(-1.,0.,0.)); #417669=DIRECTION('',(-1.,0.,0.)); #417670=DIRECTION('center_axis',(0.,0.,1.)); #417671=DIRECTION('ref_axis',(1.,0.,0.)); #417672=DIRECTION('center_axis',(0.,0.,1.)); #417673=DIRECTION('ref_axis',(1.,0.,0.)); #417674=DIRECTION('center_axis',(1.,0.,0.)); #417675=DIRECTION('ref_axis',(0.,1.,0.)); #417676=DIRECTION('',(0.,1.,0.)); #417677=DIRECTION('',(0.,0.,1.)); #417678=DIRECTION('',(0.,1.,0.)); #417679=DIRECTION('',(0.,0.,1.)); #417680=DIRECTION('center_axis',(0.,-1.,0.)); #417681=DIRECTION('ref_axis',(1.,0.,0.)); #417682=DIRECTION('',(1.,0.,0.)); #417683=DIRECTION('',(1.,0.,0.)); #417684=DIRECTION('',(0.,0.,1.)); #417685=DIRECTION('center_axis',(-1.,0.,0.)); #417686=DIRECTION('ref_axis',(0.,-1.,0.)); #417687=DIRECTION('',(0.,-1.,0.)); #417688=DIRECTION('',(0.,-1.,0.)); #417689=DIRECTION('',(0.,0.,1.)); #417690=DIRECTION('center_axis',(0.,1.,0.)); #417691=DIRECTION('ref_axis',(-1.,0.,0.)); #417692=DIRECTION('',(-1.,0.,0.)); #417693=DIRECTION('',(-1.,0.,0.)); #417694=DIRECTION('center_axis',(0.,0.,1.)); #417695=DIRECTION('ref_axis',(1.,0.,0.)); #417696=DIRECTION('center_axis',(0.,0.,1.)); #417697=DIRECTION('ref_axis',(1.,0.,0.)); #417698=DIRECTION('center_axis',(1.,0.,0.)); #417699=DIRECTION('ref_axis',(0.,1.,0.)); #417700=DIRECTION('',(0.,1.,0.)); #417701=DIRECTION('',(0.,0.,1.)); #417702=DIRECTION('',(0.,1.,0.)); #417703=DIRECTION('',(0.,0.,1.)); #417704=DIRECTION('center_axis',(0.,-1.,0.)); #417705=DIRECTION('ref_axis',(1.,0.,0.)); #417706=DIRECTION('',(1.,0.,0.)); #417707=DIRECTION('',(1.,0.,0.)); #417708=DIRECTION('',(0.,0.,1.)); #417709=DIRECTION('center_axis',(-1.,0.,0.)); #417710=DIRECTION('ref_axis',(0.,-1.,0.)); #417711=DIRECTION('',(0.,-1.,0.)); #417712=DIRECTION('',(0.,-1.,0.)); #417713=DIRECTION('',(0.,0.,1.)); #417714=DIRECTION('center_axis',(0.,1.,0.)); #417715=DIRECTION('ref_axis',(-1.,0.,0.)); #417716=DIRECTION('',(-1.,0.,0.)); #417717=DIRECTION('',(-1.,0.,0.)); #417718=DIRECTION('center_axis',(0.,0.,1.)); #417719=DIRECTION('ref_axis',(1.,0.,0.)); #417720=DIRECTION('center_axis',(0.,0.,1.)); #417721=DIRECTION('ref_axis',(1.,0.,0.)); #417722=DIRECTION('center_axis',(1.,0.,0.)); #417723=DIRECTION('ref_axis',(0.,1.,0.)); #417724=DIRECTION('',(0.,1.,0.)); #417725=DIRECTION('',(0.,0.,1.)); #417726=DIRECTION('',(0.,1.,0.)); #417727=DIRECTION('',(0.,0.,1.)); #417728=DIRECTION('center_axis',(0.,-1.,0.)); #417729=DIRECTION('ref_axis',(1.,0.,0.)); #417730=DIRECTION('',(1.,0.,0.)); #417731=DIRECTION('',(1.,0.,0.)); #417732=DIRECTION('',(0.,0.,1.)); #417733=DIRECTION('center_axis',(-1.,0.,0.)); #417734=DIRECTION('ref_axis',(0.,-1.,0.)); #417735=DIRECTION('',(0.,-1.,0.)); #417736=DIRECTION('',(0.,-1.,0.)); #417737=DIRECTION('',(0.,0.,1.)); #417738=DIRECTION('center_axis',(0.,1.,0.)); #417739=DIRECTION('ref_axis',(-1.,0.,0.)); #417740=DIRECTION('',(-1.,0.,0.)); #417741=DIRECTION('',(-1.,0.,0.)); #417742=DIRECTION('center_axis',(0.,0.,1.)); #417743=DIRECTION('ref_axis',(1.,0.,0.)); #417744=DIRECTION('center_axis',(0.,0.,1.)); #417745=DIRECTION('ref_axis',(1.,0.,0.)); #417746=DIRECTION('center_axis',(1.,0.,0.)); #417747=DIRECTION('ref_axis',(0.,1.,0.)); #417748=DIRECTION('',(0.,1.,0.)); #417749=DIRECTION('',(0.,0.,1.)); #417750=DIRECTION('',(0.,1.,0.)); #417751=DIRECTION('',(0.,0.,1.)); #417752=DIRECTION('center_axis',(0.,-1.,0.)); #417753=DIRECTION('ref_axis',(1.,0.,0.)); #417754=DIRECTION('',(1.,0.,0.)); #417755=DIRECTION('',(1.,0.,0.)); #417756=DIRECTION('',(0.,0.,1.)); #417757=DIRECTION('center_axis',(-1.,0.,0.)); #417758=DIRECTION('ref_axis',(0.,-1.,0.)); #417759=DIRECTION('',(0.,-1.,0.)); #417760=DIRECTION('',(0.,-1.,0.)); #417761=DIRECTION('',(0.,0.,1.)); #417762=DIRECTION('center_axis',(0.,1.,0.)); #417763=DIRECTION('ref_axis',(-1.,0.,0.)); #417764=DIRECTION('',(-1.,0.,0.)); #417765=DIRECTION('',(-1.,0.,0.)); #417766=DIRECTION('center_axis',(0.,0.,1.)); #417767=DIRECTION('ref_axis',(1.,0.,0.)); #417768=DIRECTION('center_axis',(0.,0.,1.)); #417769=DIRECTION('ref_axis',(1.,0.,0.)); #417770=DIRECTION('center_axis',(1.,0.,0.)); #417771=DIRECTION('ref_axis',(0.,1.,0.)); #417772=DIRECTION('',(0.,1.,0.)); #417773=DIRECTION('',(0.,0.,1.)); #417774=DIRECTION('',(0.,1.,0.)); #417775=DIRECTION('',(0.,0.,1.)); #417776=DIRECTION('center_axis',(0.,-1.,0.)); #417777=DIRECTION('ref_axis',(1.,0.,0.)); #417778=DIRECTION('',(1.,0.,0.)); #417779=DIRECTION('',(1.,0.,0.)); #417780=DIRECTION('',(0.,0.,1.)); #417781=DIRECTION('center_axis',(-1.,0.,0.)); #417782=DIRECTION('ref_axis',(0.,-1.,0.)); #417783=DIRECTION('',(0.,-1.,0.)); #417784=DIRECTION('',(0.,-1.,0.)); #417785=DIRECTION('',(0.,0.,1.)); #417786=DIRECTION('center_axis',(0.,1.,0.)); #417787=DIRECTION('ref_axis',(-1.,0.,0.)); #417788=DIRECTION('',(-1.,0.,0.)); #417789=DIRECTION('',(-1.,0.,0.)); #417790=DIRECTION('center_axis',(0.,0.,1.)); #417791=DIRECTION('ref_axis',(1.,0.,0.)); #417792=DIRECTION('center_axis',(0.,0.,1.)); #417793=DIRECTION('ref_axis',(1.,0.,0.)); #417794=DIRECTION('center_axis',(1.,0.,0.)); #417795=DIRECTION('ref_axis',(0.,1.,0.)); #417796=DIRECTION('',(0.,1.,0.)); #417797=DIRECTION('',(0.,0.,1.)); #417798=DIRECTION('',(0.,1.,0.)); #417799=DIRECTION('',(0.,0.,1.)); #417800=DIRECTION('center_axis',(0.,-1.,0.)); #417801=DIRECTION('ref_axis',(1.,0.,0.)); #417802=DIRECTION('',(1.,0.,0.)); #417803=DIRECTION('',(1.,0.,0.)); #417804=DIRECTION('',(0.,0.,1.)); #417805=DIRECTION('center_axis',(-1.,0.,0.)); #417806=DIRECTION('ref_axis',(0.,-1.,0.)); #417807=DIRECTION('',(0.,-1.,0.)); #417808=DIRECTION('',(0.,-1.,0.)); #417809=DIRECTION('',(0.,0.,1.)); #417810=DIRECTION('center_axis',(0.,1.,0.)); #417811=DIRECTION('ref_axis',(-1.,0.,0.)); #417812=DIRECTION('',(-1.,0.,0.)); #417813=DIRECTION('',(-1.,0.,0.)); #417814=DIRECTION('center_axis',(0.,0.,1.)); #417815=DIRECTION('ref_axis',(1.,0.,0.)); #417816=DIRECTION('center_axis',(0.,0.,1.)); #417817=DIRECTION('ref_axis',(1.,0.,0.)); #417818=DIRECTION('center_axis',(1.,0.,0.)); #417819=DIRECTION('ref_axis',(0.,1.,0.)); #417820=DIRECTION('',(0.,1.,0.)); #417821=DIRECTION('',(0.,0.,1.)); #417822=DIRECTION('',(0.,1.,0.)); #417823=DIRECTION('',(0.,0.,1.)); #417824=DIRECTION('center_axis',(0.,-1.,0.)); #417825=DIRECTION('ref_axis',(1.,0.,0.)); #417826=DIRECTION('',(1.,0.,0.)); #417827=DIRECTION('',(1.,0.,0.)); #417828=DIRECTION('',(0.,0.,1.)); #417829=DIRECTION('center_axis',(-1.,0.,0.)); #417830=DIRECTION('ref_axis',(0.,-1.,0.)); #417831=DIRECTION('',(0.,-1.,0.)); #417832=DIRECTION('',(0.,-1.,0.)); #417833=DIRECTION('',(0.,0.,1.)); #417834=DIRECTION('center_axis',(0.,1.,0.)); #417835=DIRECTION('ref_axis',(-1.,0.,0.)); #417836=DIRECTION('',(-1.,0.,0.)); #417837=DIRECTION('',(-1.,0.,0.)); #417838=DIRECTION('center_axis',(0.,0.,1.)); #417839=DIRECTION('ref_axis',(1.,0.,0.)); #417840=DIRECTION('center_axis',(0.,0.,1.)); #417841=DIRECTION('ref_axis',(1.,0.,0.)); #417842=DIRECTION('center_axis',(1.,0.,0.)); #417843=DIRECTION('ref_axis',(0.,1.,0.)); #417844=DIRECTION('',(0.,1.,0.)); #417845=DIRECTION('',(0.,0.,1.)); #417846=DIRECTION('',(0.,1.,0.)); #417847=DIRECTION('',(0.,0.,1.)); #417848=DIRECTION('center_axis',(0.,-1.,0.)); #417849=DIRECTION('ref_axis',(1.,0.,0.)); #417850=DIRECTION('',(1.,0.,0.)); #417851=DIRECTION('',(1.,0.,0.)); #417852=DIRECTION('',(0.,0.,1.)); #417853=DIRECTION('center_axis',(-1.,0.,0.)); #417854=DIRECTION('ref_axis',(0.,-1.,0.)); #417855=DIRECTION('',(0.,-1.,0.)); #417856=DIRECTION('',(0.,-1.,0.)); #417857=DIRECTION('',(0.,0.,1.)); #417858=DIRECTION('center_axis',(0.,1.,0.)); #417859=DIRECTION('ref_axis',(-1.,0.,0.)); #417860=DIRECTION('',(-1.,0.,0.)); #417861=DIRECTION('',(-1.,0.,0.)); #417862=DIRECTION('center_axis',(0.,0.,1.)); #417863=DIRECTION('ref_axis',(1.,0.,0.)); #417864=DIRECTION('center_axis',(0.,0.,1.)); #417865=DIRECTION('ref_axis',(1.,0.,0.)); #417866=DIRECTION('center_axis',(1.,0.,0.)); #417867=DIRECTION('ref_axis',(0.,1.,0.)); #417868=DIRECTION('',(0.,1.,0.)); #417869=DIRECTION('',(0.,0.,1.)); #417870=DIRECTION('',(0.,1.,0.)); #417871=DIRECTION('',(0.,0.,1.)); #417872=DIRECTION('center_axis',(0.,-1.,0.)); #417873=DIRECTION('ref_axis',(1.,0.,0.)); #417874=DIRECTION('',(1.,0.,0.)); #417875=DIRECTION('',(1.,0.,0.)); #417876=DIRECTION('',(0.,0.,1.)); #417877=DIRECTION('center_axis',(-1.,0.,0.)); #417878=DIRECTION('ref_axis',(0.,-1.,0.)); #417879=DIRECTION('',(0.,-1.,0.)); #417880=DIRECTION('',(0.,-1.,0.)); #417881=DIRECTION('',(0.,0.,1.)); #417882=DIRECTION('center_axis',(0.,1.,0.)); #417883=DIRECTION('ref_axis',(-1.,0.,0.)); #417884=DIRECTION('',(-1.,0.,0.)); #417885=DIRECTION('',(-1.,0.,0.)); #417886=DIRECTION('center_axis',(0.,0.,1.)); #417887=DIRECTION('ref_axis',(1.,0.,0.)); #417888=DIRECTION('center_axis',(0.,0.,1.)); #417889=DIRECTION('ref_axis',(1.,0.,0.)); #417890=DIRECTION('center_axis',(1.,0.,0.)); #417891=DIRECTION('ref_axis',(0.,1.,0.)); #417892=DIRECTION('',(0.,1.,0.)); #417893=DIRECTION('',(0.,0.,1.)); #417894=DIRECTION('',(0.,1.,0.)); #417895=DIRECTION('',(0.,0.,1.)); #417896=DIRECTION('center_axis',(0.,-1.,0.)); #417897=DIRECTION('ref_axis',(1.,0.,0.)); #417898=DIRECTION('',(1.,0.,0.)); #417899=DIRECTION('',(1.,0.,0.)); #417900=DIRECTION('',(0.,0.,1.)); #417901=DIRECTION('center_axis',(-1.,0.,0.)); #417902=DIRECTION('ref_axis',(0.,-1.,0.)); #417903=DIRECTION('',(0.,-1.,0.)); #417904=DIRECTION('',(0.,-1.,0.)); #417905=DIRECTION('',(0.,0.,1.)); #417906=DIRECTION('center_axis',(0.,1.,0.)); #417907=DIRECTION('ref_axis',(-1.,0.,0.)); #417908=DIRECTION('',(-1.,0.,0.)); #417909=DIRECTION('',(-1.,0.,0.)); #417910=DIRECTION('center_axis',(0.,0.,1.)); #417911=DIRECTION('ref_axis',(1.,0.,0.)); #417912=DIRECTION('center_axis',(0.,0.,1.)); #417913=DIRECTION('ref_axis',(1.,0.,0.)); #417914=DIRECTION('center_axis',(0.,0.,1.)); #417915=DIRECTION('ref_axis',(1.,0.,0.)); #417916=DIRECTION('center_axis',(0.,0.,1.)); #417917=DIRECTION('ref_axis',(1.,0.,0.)); #417918=DIRECTION('',(0.,0.,1.)); #417919=DIRECTION('center_axis',(0.,0.,-1.)); #417920=DIRECTION('ref_axis',(1.,0.,0.)); #417921=DIRECTION('center_axis',(0.,0.,1.)); #417922=DIRECTION('ref_axis',(1.,0.,0.)); #417923=DIRECTION('center_axis',(0.,0.,1.)); #417924=DIRECTION('ref_axis',(1.,0.,0.)); #417925=DIRECTION('',(0.,0.,1.)); #417926=DIRECTION('center_axis',(0.,0.,-1.)); #417927=DIRECTION('ref_axis',(1.,0.,0.)); #417928=DIRECTION('center_axis',(0.,0.,1.)); #417929=DIRECTION('ref_axis',(1.,0.,0.)); #417930=DIRECTION('center_axis',(0.,0.,1.)); #417931=DIRECTION('ref_axis',(1.,0.,0.)); #417932=DIRECTION('',(0.,0.,1.)); #417933=DIRECTION('center_axis',(0.,0.,-1.)); #417934=DIRECTION('ref_axis',(1.,0.,0.)); #417935=DIRECTION('center_axis',(0.,0.,1.)); #417936=DIRECTION('ref_axis',(1.,0.,0.)); #417937=DIRECTION('center_axis',(0.,0.,1.)); #417938=DIRECTION('ref_axis',(1.,0.,0.)); #417939=DIRECTION('',(0.,0.,1.)); #417940=DIRECTION('center_axis',(0.,0.,-1.)); #417941=DIRECTION('ref_axis',(1.,0.,0.)); #417942=DIRECTION('center_axis',(0.,0.,1.)); #417943=DIRECTION('ref_axis',(1.,0.,0.)); #417944=DIRECTION('center_axis',(0.,0.,1.)); #417945=DIRECTION('ref_axis',(1.,0.,0.)); #417946=DIRECTION('',(0.,0.,1.)); #417947=DIRECTION('center_axis',(0.,0.,-1.)); #417948=DIRECTION('ref_axis',(1.,0.,0.)); #417949=DIRECTION('center_axis',(0.,0.,1.)); #417950=DIRECTION('ref_axis',(1.,0.,0.)); #417951=DIRECTION('center_axis',(0.,0.,1.)); #417952=DIRECTION('ref_axis',(1.,0.,0.)); #417953=DIRECTION('',(0.,0.,1.)); #417954=DIRECTION('center_axis',(0.,0.,-1.)); #417955=DIRECTION('ref_axis',(1.,0.,0.)); #417956=DIRECTION('center_axis',(0.,0.,1.)); #417957=DIRECTION('ref_axis',(1.,0.,0.)); #417958=DIRECTION('center_axis',(0.,0.,1.)); #417959=DIRECTION('ref_axis',(1.,0.,0.)); #417960=DIRECTION('',(0.,0.,1.)); #417961=DIRECTION('center_axis',(0.,0.,-1.)); #417962=DIRECTION('ref_axis',(1.,0.,0.)); #417963=DIRECTION('center_axis',(0.,0.,1.)); #417964=DIRECTION('ref_axis',(1.,0.,0.)); #417965=DIRECTION('center_axis',(0.,0.,1.)); #417966=DIRECTION('ref_axis',(1.,0.,0.)); #417967=DIRECTION('',(0.,0.,1.)); #417968=DIRECTION('center_axis',(0.,0.,-1.)); #417969=DIRECTION('ref_axis',(1.,0.,0.)); #417970=DIRECTION('center_axis',(0.,0.,1.)); #417971=DIRECTION('ref_axis',(1.,0.,0.)); #417972=DIRECTION('center_axis',(0.,0.,1.)); #417973=DIRECTION('ref_axis',(1.,0.,0.)); #417974=DIRECTION('',(0.,0.,1.)); #417975=DIRECTION('center_axis',(0.,0.,-1.)); #417976=DIRECTION('ref_axis',(1.,0.,0.)); #417977=DIRECTION('center_axis',(0.,0.,1.)); #417978=DIRECTION('ref_axis',(1.,0.,0.)); #417979=DIRECTION('center_axis',(0.,0.,1.)); #417980=DIRECTION('ref_axis',(1.,0.,0.)); #417981=DIRECTION('',(0.,0.,1.)); #417982=DIRECTION('center_axis',(0.,0.,-1.)); #417983=DIRECTION('ref_axis',(1.,0.,0.)); #417984=DIRECTION('center_axis',(0.,0.,1.)); #417985=DIRECTION('ref_axis',(1.,0.,0.)); #417986=DIRECTION('center_axis',(0.,0.,1.)); #417987=DIRECTION('ref_axis',(1.,0.,0.)); #417988=DIRECTION('',(0.,0.,1.)); #417989=DIRECTION('center_axis',(0.,0.,-1.)); #417990=DIRECTION('ref_axis',(1.,0.,0.)); #417991=DIRECTION('center_axis',(0.,0.,1.)); #417992=DIRECTION('ref_axis',(1.,0.,0.)); #417993=DIRECTION('center_axis',(0.,0.,1.)); #417994=DIRECTION('ref_axis',(1.,0.,0.)); #417995=DIRECTION('',(0.,0.,1.)); #417996=DIRECTION('center_axis',(0.,0.,-1.)); #417997=DIRECTION('ref_axis',(1.,0.,0.)); #417998=DIRECTION('center_axis',(0.,0.,1.)); #417999=DIRECTION('ref_axis',(1.,0.,0.)); #418000=DIRECTION('center_axis',(0.,0.,1.)); #418001=DIRECTION('ref_axis',(1.,0.,0.)); #418002=DIRECTION('',(0.,0.,1.)); #418003=DIRECTION('center_axis',(0.,0.,-1.)); #418004=DIRECTION('ref_axis',(1.,0.,0.)); #418005=DIRECTION('center_axis',(0.,0.,1.)); #418006=DIRECTION('ref_axis',(1.,0.,0.)); #418007=DIRECTION('center_axis',(0.,0.,1.)); #418008=DIRECTION('ref_axis',(1.,0.,0.)); #418009=DIRECTION('',(0.,0.,1.)); #418010=DIRECTION('center_axis',(0.,0.,-1.)); #418011=DIRECTION('ref_axis',(1.,0.,0.)); #418012=DIRECTION('center_axis',(0.,0.,1.)); #418013=DIRECTION('ref_axis',(1.,0.,0.)); #418014=DIRECTION('center_axis',(0.,0.,1.)); #418015=DIRECTION('ref_axis',(1.,0.,0.)); #418016=DIRECTION('',(0.,0.,1.)); #418017=DIRECTION('center_axis',(0.,0.,-1.)); #418018=DIRECTION('ref_axis',(1.,0.,0.)); #418019=DIRECTION('center_axis',(1.,0.,0.)); #418020=DIRECTION('ref_axis',(0.,1.,0.)); #418021=DIRECTION('',(0.,1.,0.)); #418022=DIRECTION('',(0.,0.,1.)); #418023=DIRECTION('',(0.,1.,0.)); #418024=DIRECTION('',(0.,0.,1.)); #418025=DIRECTION('center_axis',(0.,-1.,0.)); #418026=DIRECTION('ref_axis',(1.,0.,0.)); #418027=DIRECTION('',(1.,0.,0.)); #418028=DIRECTION('',(1.,0.,0.)); #418029=DIRECTION('',(0.,0.,1.)); #418030=DIRECTION('center_axis',(-1.,0.,0.)); #418031=DIRECTION('ref_axis',(0.,-1.,0.)); #418032=DIRECTION('',(0.,-1.,0.)); #418033=DIRECTION('',(0.,-1.,0.)); #418034=DIRECTION('',(0.,0.,1.)); #418035=DIRECTION('center_axis',(0.,1.,0.)); #418036=DIRECTION('ref_axis',(-1.,0.,0.)); #418037=DIRECTION('',(-1.,0.,0.)); #418038=DIRECTION('',(-1.,0.,0.)); #418039=DIRECTION('center_axis',(0.,0.,1.)); #418040=DIRECTION('ref_axis',(1.,0.,0.)); #418041=DIRECTION('center_axis',(0.,0.,1.)); #418042=DIRECTION('ref_axis',(1.,0.,0.)); #418043=DIRECTION('center_axis',(1.,0.,0.)); #418044=DIRECTION('ref_axis',(0.,1.,0.)); #418045=DIRECTION('',(0.,1.,0.)); #418046=DIRECTION('',(0.,0.,1.)); #418047=DIRECTION('',(0.,1.,0.)); #418048=DIRECTION('',(0.,0.,1.)); #418049=DIRECTION('center_axis',(0.,-1.,0.)); #418050=DIRECTION('ref_axis',(1.,0.,0.)); #418051=DIRECTION('',(1.,0.,0.)); #418052=DIRECTION('',(1.,0.,0.)); #418053=DIRECTION('',(0.,0.,1.)); #418054=DIRECTION('center_axis',(-1.,0.,0.)); #418055=DIRECTION('ref_axis',(0.,-1.,0.)); #418056=DIRECTION('',(0.,-1.,0.)); #418057=DIRECTION('',(0.,-1.,0.)); #418058=DIRECTION('',(0.,0.,1.)); #418059=DIRECTION('center_axis',(0.,1.,0.)); #418060=DIRECTION('ref_axis',(-1.,0.,0.)); #418061=DIRECTION('',(-1.,0.,0.)); #418062=DIRECTION('',(-1.,0.,0.)); #418063=DIRECTION('center_axis',(0.,0.,1.)); #418064=DIRECTION('ref_axis',(1.,0.,0.)); #418065=DIRECTION('center_axis',(0.,0.,1.)); #418066=DIRECTION('ref_axis',(1.,0.,0.)); #418067=DIRECTION('center_axis',(1.,0.,0.)); #418068=DIRECTION('ref_axis',(0.,1.,0.)); #418069=DIRECTION('',(0.,1.,0.)); #418070=DIRECTION('',(0.,0.,1.)); #418071=DIRECTION('',(0.,1.,0.)); #418072=DIRECTION('',(0.,0.,1.)); #418073=DIRECTION('center_axis',(0.,-1.,0.)); #418074=DIRECTION('ref_axis',(1.,0.,0.)); #418075=DIRECTION('',(1.,0.,0.)); #418076=DIRECTION('',(1.,0.,0.)); #418077=DIRECTION('',(0.,0.,1.)); #418078=DIRECTION('center_axis',(-1.,0.,0.)); #418079=DIRECTION('ref_axis',(0.,-1.,0.)); #418080=DIRECTION('',(0.,-1.,0.)); #418081=DIRECTION('',(0.,-1.,0.)); #418082=DIRECTION('',(0.,0.,1.)); #418083=DIRECTION('center_axis',(0.,1.,0.)); #418084=DIRECTION('ref_axis',(-1.,0.,0.)); #418085=DIRECTION('',(-1.,0.,0.)); #418086=DIRECTION('',(-1.,0.,0.)); #418087=DIRECTION('center_axis',(0.,0.,1.)); #418088=DIRECTION('ref_axis',(1.,0.,0.)); #418089=DIRECTION('center_axis',(0.,0.,1.)); #418090=DIRECTION('ref_axis',(1.,0.,0.)); #418091=DIRECTION('center_axis',(1.,0.,0.)); #418092=DIRECTION('ref_axis',(0.,1.,0.)); #418093=DIRECTION('',(0.,1.,0.)); #418094=DIRECTION('',(0.,0.,1.)); #418095=DIRECTION('',(0.,1.,0.)); #418096=DIRECTION('',(0.,0.,1.)); #418097=DIRECTION('center_axis',(0.,-1.,0.)); #418098=DIRECTION('ref_axis',(1.,0.,0.)); #418099=DIRECTION('',(1.,0.,0.)); #418100=DIRECTION('',(1.,0.,0.)); #418101=DIRECTION('',(0.,0.,1.)); #418102=DIRECTION('center_axis',(-1.,0.,0.)); #418103=DIRECTION('ref_axis',(0.,-1.,0.)); #418104=DIRECTION('',(0.,-1.,0.)); #418105=DIRECTION('',(0.,-1.,0.)); #418106=DIRECTION('',(0.,0.,1.)); #418107=DIRECTION('center_axis',(0.,1.,0.)); #418108=DIRECTION('ref_axis',(-1.,0.,0.)); #418109=DIRECTION('',(-1.,0.,0.)); #418110=DIRECTION('',(-1.,0.,0.)); #418111=DIRECTION('center_axis',(0.,0.,1.)); #418112=DIRECTION('ref_axis',(1.,0.,0.)); #418113=DIRECTION('center_axis',(0.,0.,1.)); #418114=DIRECTION('ref_axis',(1.,0.,0.)); #418115=DIRECTION('center_axis',(1.,0.,0.)); #418116=DIRECTION('ref_axis',(0.,1.,0.)); #418117=DIRECTION('',(0.,1.,0.)); #418118=DIRECTION('',(0.,0.,1.)); #418119=DIRECTION('',(0.,1.,0.)); #418120=DIRECTION('',(0.,0.,1.)); #418121=DIRECTION('center_axis',(0.,-1.,0.)); #418122=DIRECTION('ref_axis',(1.,0.,0.)); #418123=DIRECTION('',(1.,0.,0.)); #418124=DIRECTION('',(1.,0.,0.)); #418125=DIRECTION('',(0.,0.,1.)); #418126=DIRECTION('center_axis',(-1.,0.,0.)); #418127=DIRECTION('ref_axis',(0.,-1.,0.)); #418128=DIRECTION('',(0.,-1.,0.)); #418129=DIRECTION('',(0.,-1.,0.)); #418130=DIRECTION('',(0.,0.,1.)); #418131=DIRECTION('center_axis',(0.,1.,0.)); #418132=DIRECTION('ref_axis',(-1.,0.,0.)); #418133=DIRECTION('',(-1.,0.,0.)); #418134=DIRECTION('',(-1.,0.,0.)); #418135=DIRECTION('center_axis',(0.,0.,1.)); #418136=DIRECTION('ref_axis',(1.,0.,0.)); #418137=DIRECTION('center_axis',(0.,0.,1.)); #418138=DIRECTION('ref_axis',(1.,0.,0.)); #418139=DIRECTION('center_axis',(1.,0.,0.)); #418140=DIRECTION('ref_axis',(0.,1.,0.)); #418141=DIRECTION('',(0.,1.,0.)); #418142=DIRECTION('',(0.,0.,1.)); #418143=DIRECTION('',(0.,1.,0.)); #418144=DIRECTION('',(0.,0.,1.)); #418145=DIRECTION('center_axis',(0.,-1.,0.)); #418146=DIRECTION('ref_axis',(1.,0.,0.)); #418147=DIRECTION('',(1.,0.,0.)); #418148=DIRECTION('',(1.,0.,0.)); #418149=DIRECTION('',(0.,0.,1.)); #418150=DIRECTION('center_axis',(-1.,0.,0.)); #418151=DIRECTION('ref_axis',(0.,-1.,0.)); #418152=DIRECTION('',(0.,-1.,0.)); #418153=DIRECTION('',(0.,-1.,0.)); #418154=DIRECTION('',(0.,0.,1.)); #418155=DIRECTION('center_axis',(0.,1.,0.)); #418156=DIRECTION('ref_axis',(-1.,0.,0.)); #418157=DIRECTION('',(-1.,0.,0.)); #418158=DIRECTION('',(-1.,0.,0.)); #418159=DIRECTION('center_axis',(0.,0.,1.)); #418160=DIRECTION('ref_axis',(1.,0.,0.)); #418161=DIRECTION('center_axis',(0.,0.,1.)); #418162=DIRECTION('ref_axis',(1.,0.,0.)); #418163=DIRECTION('center_axis',(1.,0.,0.)); #418164=DIRECTION('ref_axis',(0.,1.,0.)); #418165=DIRECTION('',(0.,1.,0.)); #418166=DIRECTION('',(0.,0.,1.)); #418167=DIRECTION('',(0.,1.,0.)); #418168=DIRECTION('',(0.,0.,1.)); #418169=DIRECTION('center_axis',(0.,-1.,0.)); #418170=DIRECTION('ref_axis',(1.,0.,0.)); #418171=DIRECTION('',(1.,0.,0.)); #418172=DIRECTION('',(1.,0.,0.)); #418173=DIRECTION('',(0.,0.,1.)); #418174=DIRECTION('center_axis',(-1.,0.,0.)); #418175=DIRECTION('ref_axis',(0.,-1.,0.)); #418176=DIRECTION('',(0.,-1.,0.)); #418177=DIRECTION('',(0.,-1.,0.)); #418178=DIRECTION('',(0.,0.,1.)); #418179=DIRECTION('center_axis',(0.,1.,0.)); #418180=DIRECTION('ref_axis',(-1.,0.,0.)); #418181=DIRECTION('',(-1.,0.,0.)); #418182=DIRECTION('',(-1.,0.,0.)); #418183=DIRECTION('center_axis',(0.,0.,1.)); #418184=DIRECTION('ref_axis',(1.,0.,0.)); #418185=DIRECTION('center_axis',(0.,0.,1.)); #418186=DIRECTION('ref_axis',(1.,0.,0.)); #418187=DIRECTION('center_axis',(0.,0.,1.)); #418188=DIRECTION('ref_axis',(1.,0.,0.)); #418189=DIRECTION('center_axis',(0.,0.,1.)); #418190=DIRECTION('ref_axis',(1.,0.,0.)); #418191=DIRECTION('',(0.,0.,1.)); #418192=DIRECTION('center_axis',(0.,0.,-1.)); #418193=DIRECTION('ref_axis',(1.,0.,0.)); #418194=DIRECTION('center_axis',(1.,0.,0.)); #418195=DIRECTION('ref_axis',(0.,1.,0.)); #418196=DIRECTION('',(0.,1.,0.)); #418197=DIRECTION('',(0.,0.,1.)); #418198=DIRECTION('',(0.,1.,0.)); #418199=DIRECTION('',(0.,0.,1.)); #418200=DIRECTION('center_axis',(0.,-1.,0.)); #418201=DIRECTION('ref_axis',(1.,0.,0.)); #418202=DIRECTION('',(1.,0.,0.)); #418203=DIRECTION('',(1.,0.,0.)); #418204=DIRECTION('',(0.,0.,1.)); #418205=DIRECTION('center_axis',(-1.,0.,0.)); #418206=DIRECTION('ref_axis',(0.,-1.,0.)); #418207=DIRECTION('',(0.,-1.,0.)); #418208=DIRECTION('',(0.,-1.,0.)); #418209=DIRECTION('',(0.,0.,1.)); #418210=DIRECTION('center_axis',(0.,1.,0.)); #418211=DIRECTION('ref_axis',(-1.,0.,0.)); #418212=DIRECTION('',(-1.,0.,0.)); #418213=DIRECTION('',(-1.,0.,0.)); #418214=DIRECTION('center_axis',(0.,0.,1.)); #418215=DIRECTION('ref_axis',(1.,0.,0.)); #418216=DIRECTION('center_axis',(0.,0.,1.)); #418217=DIRECTION('ref_axis',(1.,0.,0.)); #418218=DIRECTION('center_axis',(0.,0.,1.)); #418219=DIRECTION('ref_axis',(1.,0.,0.)); #418220=DIRECTION('center_axis',(0.,0.,1.)); #418221=DIRECTION('ref_axis',(1.,0.,0.)); #418222=DIRECTION('',(0.,0.,1.)); #418223=DIRECTION('center_axis',(0.,0.,-1.)); #418224=DIRECTION('ref_axis',(1.,0.,0.)); #418225=DIRECTION('center_axis',(0.,0.,1.)); #418226=DIRECTION('ref_axis',(1.,0.,0.)); #418227=DIRECTION('center_axis',(0.,0.,1.)); #418228=DIRECTION('ref_axis',(1.,0.,0.)); #418229=DIRECTION('',(0.,0.,1.)); #418230=DIRECTION('center_axis',(0.,0.,-1.)); #418231=DIRECTION('ref_axis',(1.,0.,0.)); #418232=DIRECTION('center_axis',(-1.,0.,0.)); #418233=DIRECTION('ref_axis',(0.,-1.,0.)); #418234=DIRECTION('',(0.,-1.,0.)); #418235=DIRECTION('',(0.,0.,1.)); #418236=DIRECTION('',(0.,-1.,0.)); #418237=DIRECTION('',(0.,0.,1.)); #418238=DIRECTION('center_axis',(0.,1.,0.)); #418239=DIRECTION('ref_axis',(-1.,0.,0.)); #418240=DIRECTION('',(-1.,0.,0.)); #418241=DIRECTION('',(-1.,0.,0.)); #418242=DIRECTION('',(0.,0.,1.)); #418243=DIRECTION('center_axis',(1.,0.,0.)); #418244=DIRECTION('ref_axis',(0.,1.,0.)); #418245=DIRECTION('',(0.,1.,0.)); #418246=DIRECTION('',(0.,1.,0.)); #418247=DIRECTION('',(0.,0.,1.)); #418248=DIRECTION('center_axis',(0.,-1.,0.)); #418249=DIRECTION('ref_axis',(1.,0.,0.)); #418250=DIRECTION('',(1.,0.,0.)); #418251=DIRECTION('',(1.,0.,0.)); #418252=DIRECTION('center_axis',(0.,0.,1.)); #418253=DIRECTION('ref_axis',(1.,0.,0.)); #418254=DIRECTION('center_axis',(0.,0.,1.)); #418255=DIRECTION('ref_axis',(1.,0.,0.)); #418256=DIRECTION('center_axis',(0.,0.,1.)); #418257=DIRECTION('ref_axis',(1.,0.,0.)); #418258=DIRECTION('center_axis',(0.,0.,1.)); #418259=DIRECTION('ref_axis',(1.,0.,0.)); #418260=DIRECTION('',(0.,0.,1.)); #418261=DIRECTION('center_axis',(0.,0.,-1.)); #418262=DIRECTION('ref_axis',(1.,0.,0.)); #418263=DIRECTION('center_axis',(0.,0.,1.)); #418264=DIRECTION('ref_axis',(1.,0.,0.)); #418265=DIRECTION('center_axis',(0.,0.,1.)); #418266=DIRECTION('ref_axis',(1.,0.,0.)); #418267=DIRECTION('',(0.,0.,1.)); #418268=DIRECTION('center_axis',(0.,0.,-1.)); #418269=DIRECTION('ref_axis',(1.,0.,0.)); #418270=DIRECTION('center_axis',(-1.,0.,0.)); #418271=DIRECTION('ref_axis',(0.,-1.,0.)); #418272=DIRECTION('',(0.,-1.,0.)); #418273=DIRECTION('',(0.,0.,1.)); #418274=DIRECTION('',(0.,-1.,0.)); #418275=DIRECTION('',(0.,0.,1.)); #418276=DIRECTION('center_axis',(0.,1.,0.)); #418277=DIRECTION('ref_axis',(-1.,0.,0.)); #418278=DIRECTION('',(-1.,0.,0.)); #418279=DIRECTION('',(-1.,0.,0.)); #418280=DIRECTION('',(0.,0.,1.)); #418281=DIRECTION('center_axis',(1.,0.,0.)); #418282=DIRECTION('ref_axis',(0.,1.,0.)); #418283=DIRECTION('',(0.,1.,0.)); #418284=DIRECTION('',(0.,1.,0.)); #418285=DIRECTION('',(0.,0.,1.)); #418286=DIRECTION('center_axis',(0.,-1.,0.)); #418287=DIRECTION('ref_axis',(1.,0.,0.)); #418288=DIRECTION('',(1.,0.,0.)); #418289=DIRECTION('',(1.,0.,0.)); #418290=DIRECTION('center_axis',(0.,0.,1.)); #418291=DIRECTION('ref_axis',(1.,0.,0.)); #418292=DIRECTION('center_axis',(0.,0.,1.)); #418293=DIRECTION('ref_axis',(1.,0.,0.)); #418294=DIRECTION('center_axis',(0.,0.,1.)); #418295=DIRECTION('ref_axis',(1.,0.,0.)); #418296=DIRECTION('center_axis',(0.,0.,1.)); #418297=DIRECTION('ref_axis',(1.,0.,0.)); #418298=DIRECTION('',(0.,0.,1.)); #418299=DIRECTION('center_axis',(0.,0.,-1.)); #418300=DIRECTION('ref_axis',(1.,0.,0.)); #418301=DIRECTION('center_axis',(1.,0.,0.)); #418302=DIRECTION('ref_axis',(0.,1.,0.)); #418303=DIRECTION('',(0.,1.,0.)); #418304=DIRECTION('',(0.,0.,1.)); #418305=DIRECTION('',(0.,1.,0.)); #418306=DIRECTION('',(0.,0.,1.)); #418307=DIRECTION('center_axis',(0.,-1.,0.)); #418308=DIRECTION('ref_axis',(1.,0.,0.)); #418309=DIRECTION('',(1.,0.,0.)); #418310=DIRECTION('',(1.,0.,0.)); #418311=DIRECTION('',(0.,0.,1.)); #418312=DIRECTION('center_axis',(-1.,0.,0.)); #418313=DIRECTION('ref_axis',(0.,-1.,0.)); #418314=DIRECTION('',(0.,-1.,0.)); #418315=DIRECTION('',(0.,-1.,0.)); #418316=DIRECTION('',(0.,0.,1.)); #418317=DIRECTION('center_axis',(0.,1.,0.)); #418318=DIRECTION('ref_axis',(-1.,0.,0.)); #418319=DIRECTION('',(-1.,0.,0.)); #418320=DIRECTION('',(-1.,0.,0.)); #418321=DIRECTION('center_axis',(0.,0.,1.)); #418322=DIRECTION('ref_axis',(1.,0.,0.)); #418323=DIRECTION('center_axis',(0.,0.,1.)); #418324=DIRECTION('ref_axis',(1.,0.,0.)); #418325=DIRECTION('axis',(0.,0.,1.)); #418326=DIRECTION('refdir',(1.,0.,0.)); #418327=DIRECTION('center_axis',(0.,0.,-1.)); #418328=DIRECTION('ref_axis',(1.,0.,0.)); #418329=DIRECTION('center_axis',(0.,0.,-1.)); #418330=DIRECTION('ref_axis',(1.,0.,0.)); #418331=DIRECTION('',(0.,0.,-1.)); #418332=DIRECTION('center_axis',(0.,0.,1.)); #418333=DIRECTION('ref_axis',(1.,0.,0.)); #418334=DIRECTION('center_axis',(0.,0.,-1.)); #418335=DIRECTION('ref_axis',(1.,0.,0.)); #418336=DIRECTION('center_axis',(0.,0.,-1.)); #418337=DIRECTION('ref_axis',(1.,0.,0.)); #418338=DIRECTION('',(0.,0.,-1.)); #418339=DIRECTION('center_axis',(0.,0.,1.)); #418340=DIRECTION('ref_axis',(1.,0.,0.)); #418341=DIRECTION('center_axis',(0.,0.,1.)); #418342=DIRECTION('ref_axis',(1.,0.,0.)); #418343=DIRECTION('center_axis',(0.,0.,1.)); #418344=DIRECTION('ref_axis',(1.,0.,0.)); #418345=DIRECTION('',(0.,0.,1.)); #418346=DIRECTION('center_axis',(0.,0.,-1.)); #418347=DIRECTION('ref_axis',(1.,0.,0.)); #418348=DIRECTION('center_axis',(0.,0.,1.)); #418349=DIRECTION('ref_axis',(1.,0.,0.)); #418350=DIRECTION('center_axis',(0.,0.,1.)); #418351=DIRECTION('ref_axis',(1.,0.,0.)); #418352=DIRECTION('',(0.,0.,1.)); #418353=DIRECTION('center_axis',(0.,0.,-1.)); #418354=DIRECTION('ref_axis',(1.,0.,0.)); #418355=DIRECTION('center_axis',(0.,0.,1.)); #418356=DIRECTION('ref_axis',(1.,0.,0.)); #418357=DIRECTION('center_axis',(0.,0.,1.)); #418358=DIRECTION('ref_axis',(1.,0.,0.)); #418359=DIRECTION('',(0.,0.,1.)); #418360=DIRECTION('center_axis',(0.,0.,-1.)); #418361=DIRECTION('ref_axis',(1.,0.,0.)); #418362=DIRECTION('center_axis',(0.,0.,1.)); #418363=DIRECTION('ref_axis',(1.,0.,0.)); #418364=DIRECTION('center_axis',(0.,0.,1.)); #418365=DIRECTION('ref_axis',(1.,0.,0.)); #418366=DIRECTION('',(0.,0.,1.)); #418367=DIRECTION('center_axis',(0.,0.,-1.)); #418368=DIRECTION('ref_axis',(1.,0.,0.)); #418369=DIRECTION('center_axis',(0.,0.,1.)); #418370=DIRECTION('ref_axis',(1.,0.,0.)); #418371=DIRECTION('center_axis',(0.,0.,1.)); #418372=DIRECTION('ref_axis',(1.,0.,0.)); #418373=DIRECTION('',(0.,0.,1.)); #418374=DIRECTION('center_axis',(0.,0.,-1.)); #418375=DIRECTION('ref_axis',(1.,0.,0.)); #418376=DIRECTION('center_axis',(0.,0.,1.)); #418377=DIRECTION('ref_axis',(1.,0.,0.)); #418378=DIRECTION('center_axis',(0.,0.,1.)); #418379=DIRECTION('ref_axis',(1.,0.,0.)); #418380=DIRECTION('',(0.,0.,1.)); #418381=DIRECTION('center_axis',(0.,0.,-1.)); #418382=DIRECTION('ref_axis',(1.,0.,0.)); #418383=DIRECTION('center_axis',(0.,0.,1.)); #418384=DIRECTION('ref_axis',(1.,0.,0.)); #418385=DIRECTION('center_axis',(0.,0.,1.)); #418386=DIRECTION('ref_axis',(1.,0.,0.)); #418387=DIRECTION('',(0.,0.,1.)); #418388=DIRECTION('center_axis',(0.,0.,-1.)); #418389=DIRECTION('ref_axis',(1.,0.,0.)); #418390=DIRECTION('center_axis',(0.,0.,1.)); #418391=DIRECTION('ref_axis',(1.,0.,0.)); #418392=DIRECTION('center_axis',(0.,0.,1.)); #418393=DIRECTION('ref_axis',(1.,0.,0.)); #418394=DIRECTION('',(0.,0.,1.)); #418395=DIRECTION('center_axis',(0.,0.,-1.)); #418396=DIRECTION('ref_axis',(1.,0.,0.)); #418397=DIRECTION('center_axis',(0.,0.,1.)); #418398=DIRECTION('ref_axis',(1.,0.,0.)); #418399=DIRECTION('center_axis',(0.,0.,1.)); #418400=DIRECTION('ref_axis',(1.,0.,0.)); #418401=DIRECTION('',(0.,0.,1.)); #418402=DIRECTION('center_axis',(0.,0.,-1.)); #418403=DIRECTION('ref_axis',(1.,0.,0.)); #418404=DIRECTION('center_axis',(0.,0.,1.)); #418405=DIRECTION('ref_axis',(1.,0.,0.)); #418406=DIRECTION('center_axis',(0.,0.,1.)); #418407=DIRECTION('ref_axis',(1.,0.,0.)); #418408=DIRECTION('',(0.,0.,1.)); #418409=DIRECTION('center_axis',(0.,0.,-1.)); #418410=DIRECTION('ref_axis',(1.,0.,0.)); #418411=DIRECTION('center_axis',(0.,0.,1.)); #418412=DIRECTION('ref_axis',(1.,0.,0.)); #418413=DIRECTION('center_axis',(0.,0.,1.)); #418414=DIRECTION('ref_axis',(1.,0.,0.)); #418415=DIRECTION('',(0.,0.,1.)); #418416=DIRECTION('center_axis',(0.,0.,-1.)); #418417=DIRECTION('ref_axis',(1.,0.,0.)); #418418=DIRECTION('center_axis',(0.,0.,1.)); #418419=DIRECTION('ref_axis',(1.,0.,0.)); #418420=DIRECTION('center_axis',(0.,0.,1.)); #418421=DIRECTION('ref_axis',(1.,0.,0.)); #418422=DIRECTION('',(0.,0.,1.)); #418423=DIRECTION('center_axis',(0.,0.,-1.)); #418424=DIRECTION('ref_axis',(1.,0.,0.)); #418425=DIRECTION('center_axis',(0.,0.,1.)); #418426=DIRECTION('ref_axis',(1.,0.,0.)); #418427=DIRECTION('center_axis',(0.,0.,1.)); #418428=DIRECTION('ref_axis',(1.,0.,0.)); #418429=DIRECTION('',(0.,0.,1.)); #418430=DIRECTION('center_axis',(0.,0.,-1.)); #418431=DIRECTION('ref_axis',(1.,0.,0.)); #418432=DIRECTION('center_axis',(0.,0.,1.)); #418433=DIRECTION('ref_axis',(1.,0.,0.)); #418434=DIRECTION('center_axis',(0.,0.,1.)); #418435=DIRECTION('ref_axis',(1.,0.,0.)); #418436=DIRECTION('',(0.,0.,1.)); #418437=DIRECTION('center_axis',(0.,0.,-1.)); #418438=DIRECTION('ref_axis',(1.,0.,0.)); #418439=DIRECTION('center_axis',(0.,0.,1.)); #418440=DIRECTION('ref_axis',(1.,0.,0.)); #418441=DIRECTION('center_axis',(0.,0.,1.)); #418442=DIRECTION('ref_axis',(1.,0.,0.)); #418443=DIRECTION('',(0.,0.,1.)); #418444=DIRECTION('center_axis',(0.,0.,-1.)); #418445=DIRECTION('ref_axis',(1.,0.,0.)); #418446=DIRECTION('center_axis',(0.,0.,1.)); #418447=DIRECTION('ref_axis',(1.,0.,0.)); #418448=DIRECTION('center_axis',(0.,0.,1.)); #418449=DIRECTION('ref_axis',(1.,0.,0.)); #418450=DIRECTION('',(0.,0.,1.)); #418451=DIRECTION('center_axis',(0.,0.,-1.)); #418452=DIRECTION('ref_axis',(1.,0.,0.)); #418453=DIRECTION('center_axis',(0.,0.,1.)); #418454=DIRECTION('ref_axis',(1.,0.,0.)); #418455=DIRECTION('center_axis',(0.,0.,1.)); #418456=DIRECTION('ref_axis',(1.,0.,0.)); #418457=DIRECTION('',(0.,0.,1.)); #418458=DIRECTION('center_axis',(0.,0.,-1.)); #418459=DIRECTION('ref_axis',(1.,0.,0.)); #418460=DIRECTION('center_axis',(0.,0.,1.)); #418461=DIRECTION('ref_axis',(1.,0.,0.)); #418462=DIRECTION('center_axis',(0.,0.,1.)); #418463=DIRECTION('ref_axis',(1.,0.,0.)); #418464=DIRECTION('',(0.,0.,1.)); #418465=DIRECTION('center_axis',(0.,0.,-1.)); #418466=DIRECTION('ref_axis',(1.,0.,0.)); #418467=DIRECTION('center_axis',(0.,0.,1.)); #418468=DIRECTION('ref_axis',(1.,0.,0.)); #418469=DIRECTION('center_axis',(0.,0.,1.)); #418470=DIRECTION('ref_axis',(1.,0.,0.)); #418471=DIRECTION('',(0.,0.,1.)); #418472=DIRECTION('center_axis',(0.,0.,-1.)); #418473=DIRECTION('ref_axis',(1.,0.,0.)); #418474=DIRECTION('center_axis',(0.,0.,1.)); #418475=DIRECTION('ref_axis',(1.,0.,0.)); #418476=DIRECTION('center_axis',(0.,0.,1.)); #418477=DIRECTION('ref_axis',(1.,0.,0.)); #418478=DIRECTION('',(0.,0.,1.)); #418479=DIRECTION('center_axis',(0.,0.,-1.)); #418480=DIRECTION('ref_axis',(1.,0.,0.)); #418481=DIRECTION('center_axis',(0.,0.,1.)); #418482=DIRECTION('ref_axis',(1.,0.,0.)); #418483=DIRECTION('center_axis',(0.,0.,1.)); #418484=DIRECTION('ref_axis',(1.,0.,0.)); #418485=DIRECTION('',(0.,0.,1.)); #418486=DIRECTION('center_axis',(0.,0.,-1.)); #418487=DIRECTION('ref_axis',(1.,0.,0.)); #418488=DIRECTION('center_axis',(0.,0.,1.)); #418489=DIRECTION('ref_axis',(1.,0.,0.)); #418490=DIRECTION('center_axis',(0.,0.,1.)); #418491=DIRECTION('ref_axis',(1.,0.,0.)); #418492=DIRECTION('',(0.,0.,1.)); #418493=DIRECTION('center_axis',(0.,0.,-1.)); #418494=DIRECTION('ref_axis',(1.,0.,0.)); #418495=DIRECTION('center_axis',(0.,0.,1.)); #418496=DIRECTION('ref_axis',(1.,0.,0.)); #418497=DIRECTION('center_axis',(0.,0.,1.)); #418498=DIRECTION('ref_axis',(1.,0.,0.)); #418499=DIRECTION('',(0.,0.,1.)); #418500=DIRECTION('center_axis',(0.,0.,-1.)); #418501=DIRECTION('ref_axis',(1.,0.,0.)); #418502=DIRECTION('center_axis',(0.,0.,1.)); #418503=DIRECTION('ref_axis',(1.,0.,0.)); #418504=DIRECTION('center_axis',(0.,0.,1.)); #418505=DIRECTION('ref_axis',(1.,0.,0.)); #418506=DIRECTION('',(0.,0.,1.)); #418507=DIRECTION('center_axis',(0.,0.,-1.)); #418508=DIRECTION('ref_axis',(1.,0.,0.)); #418509=DIRECTION('center_axis',(0.,0.,1.)); #418510=DIRECTION('ref_axis',(1.,0.,0.)); #418511=DIRECTION('center_axis',(0.,0.,1.)); #418512=DIRECTION('ref_axis',(1.,0.,0.)); #418513=DIRECTION('',(0.,0.,1.)); #418514=DIRECTION('center_axis',(0.,0.,-1.)); #418515=DIRECTION('ref_axis',(1.,0.,0.)); #418516=DIRECTION('center_axis',(0.,0.,1.)); #418517=DIRECTION('ref_axis',(1.,0.,0.)); #418518=DIRECTION('center_axis',(0.,0.,1.)); #418519=DIRECTION('ref_axis',(1.,0.,0.)); #418520=DIRECTION('',(0.,0.,1.)); #418521=DIRECTION('center_axis',(0.,0.,-1.)); #418522=DIRECTION('ref_axis',(1.,0.,0.)); #418523=DIRECTION('center_axis',(0.,0.,1.)); #418524=DIRECTION('ref_axis',(1.,0.,0.)); #418525=DIRECTION('center_axis',(0.,0.,1.)); #418526=DIRECTION('ref_axis',(1.,0.,0.)); #418527=DIRECTION('',(0.,0.,1.)); #418528=DIRECTION('center_axis',(0.,0.,-1.)); #418529=DIRECTION('ref_axis',(1.,0.,0.)); #418530=DIRECTION('center_axis',(0.,0.,1.)); #418531=DIRECTION('ref_axis',(1.,0.,0.)); #418532=DIRECTION('center_axis',(0.,0.,1.)); #418533=DIRECTION('ref_axis',(1.,0.,0.)); #418534=DIRECTION('',(0.,0.,1.)); #418535=DIRECTION('center_axis',(0.,0.,-1.)); #418536=DIRECTION('ref_axis',(1.,0.,0.)); #418537=DIRECTION('center_axis',(0.,0.,1.)); #418538=DIRECTION('ref_axis',(1.,0.,0.)); #418539=DIRECTION('center_axis',(0.,0.,1.)); #418540=DIRECTION('ref_axis',(1.,0.,0.)); #418541=DIRECTION('',(0.,0.,1.)); #418542=DIRECTION('center_axis',(0.,0.,-1.)); #418543=DIRECTION('ref_axis',(1.,0.,0.)); #418544=DIRECTION('center_axis',(0.,0.,1.)); #418545=DIRECTION('ref_axis',(1.,0.,0.)); #418546=DIRECTION('center_axis',(0.,0.,1.)); #418547=DIRECTION('ref_axis',(1.,0.,0.)); #418548=DIRECTION('',(0.,0.,1.)); #418549=DIRECTION('center_axis',(0.,0.,-1.)); #418550=DIRECTION('ref_axis',(1.,0.,0.)); #418551=DIRECTION('center_axis',(0.,0.,1.)); #418552=DIRECTION('ref_axis',(1.,0.,0.)); #418553=DIRECTION('center_axis',(0.,0.,1.)); #418554=DIRECTION('ref_axis',(1.,0.,0.)); #418555=DIRECTION('',(0.,0.,1.)); #418556=DIRECTION('center_axis',(0.,0.,-1.)); #418557=DIRECTION('ref_axis',(1.,0.,0.)); #418558=DIRECTION('center_axis',(0.,0.,1.)); #418559=DIRECTION('ref_axis',(1.,0.,0.)); #418560=DIRECTION('center_axis',(0.,0.,1.)); #418561=DIRECTION('ref_axis',(1.,0.,0.)); #418562=DIRECTION('',(0.,0.,1.)); #418563=DIRECTION('center_axis',(0.,0.,-1.)); #418564=DIRECTION('ref_axis',(1.,0.,0.)); #418565=DIRECTION('center_axis',(0.,0.,1.)); #418566=DIRECTION('ref_axis',(1.,0.,0.)); #418567=DIRECTION('center_axis',(0.,0.,1.)); #418568=DIRECTION('ref_axis',(1.,0.,0.)); #418569=DIRECTION('',(0.,0.,1.)); #418570=DIRECTION('center_axis',(0.,0.,-1.)); #418571=DIRECTION('ref_axis',(1.,0.,0.)); #418572=DIRECTION('center_axis',(0.,0.,1.)); #418573=DIRECTION('ref_axis',(1.,0.,0.)); #418574=DIRECTION('center_axis',(0.,0.,1.)); #418575=DIRECTION('ref_axis',(1.,0.,0.)); #418576=DIRECTION('',(0.,0.,1.)); #418577=DIRECTION('center_axis',(0.,0.,-1.)); #418578=DIRECTION('ref_axis',(1.,0.,0.)); #418579=DIRECTION('center_axis',(0.,0.,1.)); #418580=DIRECTION('ref_axis',(1.,0.,0.)); #418581=DIRECTION('center_axis',(0.,0.,1.)); #418582=DIRECTION('ref_axis',(1.,0.,0.)); #418583=DIRECTION('',(0.,0.,1.)); #418584=DIRECTION('center_axis',(0.,0.,-1.)); #418585=DIRECTION('ref_axis',(1.,0.,0.)); #418586=DIRECTION('center_axis',(0.,0.,1.)); #418587=DIRECTION('ref_axis',(1.,0.,0.)); #418588=DIRECTION('center_axis',(0.,0.,1.)); #418589=DIRECTION('ref_axis',(1.,0.,0.)); #418590=DIRECTION('',(0.,0.,1.)); #418591=DIRECTION('center_axis',(0.,0.,-1.)); #418592=DIRECTION('ref_axis',(1.,0.,0.)); #418593=DIRECTION('center_axis',(0.,0.,1.)); #418594=DIRECTION('ref_axis',(1.,0.,0.)); #418595=DIRECTION('center_axis',(0.,0.,1.)); #418596=DIRECTION('ref_axis',(1.,0.,0.)); #418597=DIRECTION('',(0.,0.,1.)); #418598=DIRECTION('center_axis',(0.,0.,-1.)); #418599=DIRECTION('ref_axis',(1.,0.,0.)); #418600=DIRECTION('center_axis',(0.,0.,1.)); #418601=DIRECTION('ref_axis',(1.,0.,0.)); #418602=DIRECTION('center_axis',(0.,0.,1.)); #418603=DIRECTION('ref_axis',(1.,0.,0.)); #418604=DIRECTION('',(0.,0.,1.)); #418605=DIRECTION('center_axis',(0.,0.,-1.)); #418606=DIRECTION('ref_axis',(1.,0.,0.)); #418607=DIRECTION('center_axis',(0.,0.,1.)); #418608=DIRECTION('ref_axis',(1.,0.,0.)); #418609=DIRECTION('center_axis',(0.,0.,1.)); #418610=DIRECTION('ref_axis',(1.,0.,0.)); #418611=DIRECTION('',(0.,0.,1.)); #418612=DIRECTION('center_axis',(0.,0.,-1.)); #418613=DIRECTION('ref_axis',(1.,0.,0.)); #418614=DIRECTION('center_axis',(0.,0.,1.)); #418615=DIRECTION('ref_axis',(1.,0.,0.)); #418616=DIRECTION('center_axis',(0.,0.,1.)); #418617=DIRECTION('ref_axis',(1.,0.,0.)); #418618=DIRECTION('',(0.,0.,1.)); #418619=DIRECTION('center_axis',(0.,0.,-1.)); #418620=DIRECTION('ref_axis',(1.,0.,0.)); #418621=DIRECTION('center_axis',(0.,0.,1.)); #418622=DIRECTION('ref_axis',(1.,0.,0.)); #418623=DIRECTION('center_axis',(0.,0.,1.)); #418624=DIRECTION('ref_axis',(1.,0.,0.)); #418625=DIRECTION('',(0.,0.,1.)); #418626=DIRECTION('center_axis',(0.,0.,-1.)); #418627=DIRECTION('ref_axis',(1.,0.,0.)); #418628=DIRECTION('center_axis',(0.,0.,1.)); #418629=DIRECTION('ref_axis',(1.,0.,0.)); #418630=DIRECTION('center_axis',(0.,0.,1.)); #418631=DIRECTION('ref_axis',(1.,0.,0.)); #418632=DIRECTION('',(0.,0.,1.)); #418633=DIRECTION('center_axis',(0.,0.,-1.)); #418634=DIRECTION('ref_axis',(1.,0.,0.)); #418635=DIRECTION('center_axis',(0.,0.,1.)); #418636=DIRECTION('ref_axis',(1.,0.,0.)); #418637=DIRECTION('center_axis',(0.,0.,1.)); #418638=DIRECTION('ref_axis',(1.,0.,0.)); #418639=DIRECTION('',(0.,0.,1.)); #418640=DIRECTION('center_axis',(0.,0.,-1.)); #418641=DIRECTION('ref_axis',(1.,0.,0.)); #418642=DIRECTION('center_axis',(0.,0.,1.)); #418643=DIRECTION('ref_axis',(1.,0.,0.)); #418644=DIRECTION('center_axis',(0.,0.,1.)); #418645=DIRECTION('ref_axis',(1.,0.,0.)); #418646=DIRECTION('',(0.,0.,1.)); #418647=DIRECTION('center_axis',(0.,0.,-1.)); #418648=DIRECTION('ref_axis',(1.,0.,0.)); #418649=DIRECTION('center_axis',(0.,0.,1.)); #418650=DIRECTION('ref_axis',(1.,0.,0.)); #418651=DIRECTION('center_axis',(0.,0.,1.)); #418652=DIRECTION('ref_axis',(1.,0.,0.)); #418653=DIRECTION('',(0.,0.,1.)); #418654=DIRECTION('center_axis',(0.,0.,-1.)); #418655=DIRECTION('ref_axis',(1.,0.,0.)); #418656=DIRECTION('center_axis',(0.,0.,1.)); #418657=DIRECTION('ref_axis',(1.,0.,0.)); #418658=DIRECTION('center_axis',(0.,0.,1.)); #418659=DIRECTION('ref_axis',(1.,0.,0.)); #418660=DIRECTION('',(0.,0.,1.)); #418661=DIRECTION('center_axis',(0.,0.,-1.)); #418662=DIRECTION('ref_axis',(1.,0.,0.)); #418663=DIRECTION('center_axis',(0.,0.,1.)); #418664=DIRECTION('ref_axis',(1.,0.,0.)); #418665=DIRECTION('center_axis',(0.,0.,1.)); #418666=DIRECTION('ref_axis',(1.,0.,0.)); #418667=DIRECTION('',(0.,0.,1.)); #418668=DIRECTION('center_axis',(0.,0.,-1.)); #418669=DIRECTION('ref_axis',(1.,0.,0.)); #418670=DIRECTION('center_axis',(0.,0.,1.)); #418671=DIRECTION('ref_axis',(1.,0.,0.)); #418672=DIRECTION('center_axis',(0.,0.,1.)); #418673=DIRECTION('ref_axis',(1.,0.,0.)); #418674=DIRECTION('',(0.,0.,1.)); #418675=DIRECTION('center_axis',(0.,0.,-1.)); #418676=DIRECTION('ref_axis',(1.,0.,0.)); #418677=DIRECTION('center_axis',(0.,0.,1.)); #418678=DIRECTION('ref_axis',(1.,0.,0.)); #418679=DIRECTION('center_axis',(0.,0.,1.)); #418680=DIRECTION('ref_axis',(1.,0.,0.)); #418681=DIRECTION('',(0.,0.,1.)); #418682=DIRECTION('center_axis',(0.,0.,-1.)); #418683=DIRECTION('ref_axis',(1.,0.,0.)); #418684=DIRECTION('center_axis',(0.,0.,1.)); #418685=DIRECTION('ref_axis',(1.,0.,0.)); #418686=DIRECTION('center_axis',(0.,0.,1.)); #418687=DIRECTION('ref_axis',(1.,0.,0.)); #418688=DIRECTION('',(0.,0.,1.)); #418689=DIRECTION('center_axis',(0.,0.,-1.)); #418690=DIRECTION('ref_axis',(1.,0.,0.)); #418691=DIRECTION('center_axis',(0.,0.,1.)); #418692=DIRECTION('ref_axis',(1.,0.,0.)); #418693=DIRECTION('center_axis',(0.,0.,1.)); #418694=DIRECTION('ref_axis',(1.,0.,0.)); #418695=DIRECTION('',(0.,0.,1.)); #418696=DIRECTION('center_axis',(0.,0.,-1.)); #418697=DIRECTION('ref_axis',(1.,0.,0.)); #418698=DIRECTION('center_axis',(0.,0.,1.)); #418699=DIRECTION('ref_axis',(1.,0.,0.)); #418700=DIRECTION('center_axis',(0.,0.,1.)); #418701=DIRECTION('ref_axis',(1.,0.,0.)); #418702=DIRECTION('',(0.,0.,1.)); #418703=DIRECTION('center_axis',(0.,0.,-1.)); #418704=DIRECTION('ref_axis',(1.,0.,0.)); #418705=DIRECTION('center_axis',(0.,0.,1.)); #418706=DIRECTION('ref_axis',(1.,0.,0.)); #418707=DIRECTION('center_axis',(0.,0.,1.)); #418708=DIRECTION('ref_axis',(1.,0.,0.)); #418709=DIRECTION('',(0.,0.,1.)); #418710=DIRECTION('center_axis',(0.,0.,-1.)); #418711=DIRECTION('ref_axis',(1.,0.,0.)); #418712=DIRECTION('center_axis',(0.,0.,1.)); #418713=DIRECTION('ref_axis',(1.,0.,0.)); #418714=DIRECTION('center_axis',(0.,0.,1.)); #418715=DIRECTION('ref_axis',(1.,0.,0.)); #418716=DIRECTION('',(0.,0.,1.)); #418717=DIRECTION('center_axis',(0.,0.,-1.)); #418718=DIRECTION('ref_axis',(1.,0.,0.)); #418719=DIRECTION('center_axis',(0.,0.,1.)); #418720=DIRECTION('ref_axis',(1.,0.,0.)); #418721=DIRECTION('center_axis',(0.,0.,1.)); #418722=DIRECTION('ref_axis',(1.,0.,0.)); #418723=DIRECTION('',(0.,0.,1.)); #418724=DIRECTION('center_axis',(0.,0.,-1.)); #418725=DIRECTION('ref_axis',(1.,0.,0.)); #418726=DIRECTION('center_axis',(0.,0.,1.)); #418727=DIRECTION('ref_axis',(1.,0.,0.)); #418728=DIRECTION('center_axis',(0.,0.,1.)); #418729=DIRECTION('ref_axis',(1.,0.,0.)); #418730=DIRECTION('',(0.,0.,1.)); #418731=DIRECTION('center_axis',(0.,0.,-1.)); #418732=DIRECTION('ref_axis',(1.,0.,0.)); #418733=DIRECTION('center_axis',(0.,0.,1.)); #418734=DIRECTION('ref_axis',(1.,0.,0.)); #418735=DIRECTION('center_axis',(0.,0.,1.)); #418736=DIRECTION('ref_axis',(1.,0.,0.)); #418737=DIRECTION('',(0.,0.,1.)); #418738=DIRECTION('center_axis',(0.,0.,-1.)); #418739=DIRECTION('ref_axis',(1.,0.,0.)); #418740=DIRECTION('center_axis',(0.,0.,1.)); #418741=DIRECTION('ref_axis',(1.,0.,0.)); #418742=DIRECTION('center_axis',(0.,0.,1.)); #418743=DIRECTION('ref_axis',(1.,0.,0.)); #418744=DIRECTION('',(0.,0.,1.)); #418745=DIRECTION('center_axis',(0.,0.,-1.)); #418746=DIRECTION('ref_axis',(1.,0.,0.)); #418747=DIRECTION('center_axis',(0.,0.,1.)); #418748=DIRECTION('ref_axis',(1.,0.,0.)); #418749=DIRECTION('center_axis',(0.,0.,1.)); #418750=DIRECTION('ref_axis',(1.,0.,0.)); #418751=DIRECTION('',(0.,0.,1.)); #418752=DIRECTION('center_axis',(0.,0.,-1.)); #418753=DIRECTION('ref_axis',(1.,0.,0.)); #418754=DIRECTION('center_axis',(0.,0.,1.)); #418755=DIRECTION('ref_axis',(1.,0.,0.)); #418756=DIRECTION('center_axis',(0.,0.,1.)); #418757=DIRECTION('ref_axis',(1.,0.,0.)); #418758=DIRECTION('',(0.,0.,1.)); #418759=DIRECTION('center_axis',(0.,0.,-1.)); #418760=DIRECTION('ref_axis',(1.,0.,0.)); #418761=DIRECTION('center_axis',(0.,0.,1.)); #418762=DIRECTION('ref_axis',(1.,0.,0.)); #418763=DIRECTION('center_axis',(0.,0.,1.)); #418764=DIRECTION('ref_axis',(1.,0.,0.)); #418765=DIRECTION('',(0.,0.,1.)); #418766=DIRECTION('center_axis',(0.,0.,-1.)); #418767=DIRECTION('ref_axis',(1.,0.,0.)); #418768=DIRECTION('center_axis',(0.,0.,1.)); #418769=DIRECTION('ref_axis',(1.,0.,0.)); #418770=DIRECTION('center_axis',(0.,0.,1.)); #418771=DIRECTION('ref_axis',(1.,0.,0.)); #418772=DIRECTION('',(0.,0.,1.)); #418773=DIRECTION('center_axis',(0.,0.,-1.)); #418774=DIRECTION('ref_axis',(1.,0.,0.)); #418775=DIRECTION('center_axis',(0.,0.,1.)); #418776=DIRECTION('ref_axis',(1.,0.,0.)); #418777=DIRECTION('center_axis',(0.,0.,1.)); #418778=DIRECTION('ref_axis',(1.,0.,0.)); #418779=DIRECTION('',(0.,0.,1.)); #418780=DIRECTION('center_axis',(0.,0.,-1.)); #418781=DIRECTION('ref_axis',(1.,0.,0.)); #418782=DIRECTION('center_axis',(0.,0.,1.)); #418783=DIRECTION('ref_axis',(1.,0.,0.)); #418784=DIRECTION('center_axis',(0.,0.,1.)); #418785=DIRECTION('ref_axis',(1.,0.,0.)); #418786=DIRECTION('',(0.,0.,1.)); #418787=DIRECTION('center_axis',(0.,0.,-1.)); #418788=DIRECTION('ref_axis',(1.,0.,0.)); #418789=DIRECTION('center_axis',(0.,0.,1.)); #418790=DIRECTION('ref_axis',(1.,0.,0.)); #418791=DIRECTION('center_axis',(0.,0.,1.)); #418792=DIRECTION('ref_axis',(1.,0.,0.)); #418793=DIRECTION('',(0.,0.,1.)); #418794=DIRECTION('center_axis',(0.,0.,-1.)); #418795=DIRECTION('ref_axis',(1.,0.,0.)); #418796=DIRECTION('center_axis',(0.,0.,1.)); #418797=DIRECTION('ref_axis',(1.,0.,0.)); #418798=DIRECTION('center_axis',(0.,0.,1.)); #418799=DIRECTION('ref_axis',(1.,0.,0.)); #418800=DIRECTION('',(0.,0.,1.)); #418801=DIRECTION('center_axis',(0.,0.,-1.)); #418802=DIRECTION('ref_axis',(1.,0.,0.)); #418803=DIRECTION('center_axis',(0.,0.,1.)); #418804=DIRECTION('ref_axis',(1.,0.,0.)); #418805=DIRECTION('center_axis',(0.,0.,1.)); #418806=DIRECTION('ref_axis',(1.,0.,0.)); #418807=DIRECTION('',(0.,0.,1.)); #418808=DIRECTION('center_axis',(0.,0.,-1.)); #418809=DIRECTION('ref_axis',(1.,0.,0.)); #418810=DIRECTION('center_axis',(0.,0.,1.)); #418811=DIRECTION('ref_axis',(1.,0.,0.)); #418812=DIRECTION('center_axis',(0.,0.,1.)); #418813=DIRECTION('ref_axis',(1.,0.,0.)); #418814=DIRECTION('',(0.,0.,1.)); #418815=DIRECTION('center_axis',(0.,0.,-1.)); #418816=DIRECTION('ref_axis',(1.,0.,0.)); #418817=DIRECTION('center_axis',(0.,0.,1.)); #418818=DIRECTION('ref_axis',(1.,0.,0.)); #418819=DIRECTION('center_axis',(0.,0.,1.)); #418820=DIRECTION('ref_axis',(1.,0.,0.)); #418821=DIRECTION('',(0.,0.,1.)); #418822=DIRECTION('center_axis',(0.,0.,-1.)); #418823=DIRECTION('ref_axis',(1.,0.,0.)); #418824=DIRECTION('center_axis',(0.,0.,1.)); #418825=DIRECTION('ref_axis',(1.,0.,0.)); #418826=DIRECTION('center_axis',(0.,0.,1.)); #418827=DIRECTION('ref_axis',(1.,0.,0.)); #418828=DIRECTION('',(0.,0.,1.)); #418829=DIRECTION('center_axis',(0.,0.,-1.)); #418830=DIRECTION('ref_axis',(1.,0.,0.)); #418831=DIRECTION('center_axis',(0.,0.,1.)); #418832=DIRECTION('ref_axis',(1.,0.,0.)); #418833=DIRECTION('center_axis',(0.,0.,1.)); #418834=DIRECTION('ref_axis',(1.,0.,0.)); #418835=DIRECTION('',(0.,0.,1.)); #418836=DIRECTION('center_axis',(0.,0.,-1.)); #418837=DIRECTION('ref_axis',(1.,0.,0.)); #418838=DIRECTION('center_axis',(0.,0.,1.)); #418839=DIRECTION('ref_axis',(1.,0.,0.)); #418840=DIRECTION('center_axis',(0.,0.,1.)); #418841=DIRECTION('ref_axis',(1.,0.,0.)); #418842=DIRECTION('',(0.,0.,1.)); #418843=DIRECTION('center_axis',(0.,0.,-1.)); #418844=DIRECTION('ref_axis',(1.,0.,0.)); #418845=DIRECTION('center_axis',(0.,0.,1.)); #418846=DIRECTION('ref_axis',(1.,0.,0.)); #418847=DIRECTION('center_axis',(0.,0.,1.)); #418848=DIRECTION('ref_axis',(1.,0.,0.)); #418849=DIRECTION('',(0.,0.,1.)); #418850=DIRECTION('center_axis',(0.,0.,-1.)); #418851=DIRECTION('ref_axis',(1.,0.,0.)); #418852=DIRECTION('center_axis',(0.,0.,1.)); #418853=DIRECTION('ref_axis',(1.,0.,0.)); #418854=DIRECTION('center_axis',(0.,0.,1.)); #418855=DIRECTION('ref_axis',(1.,0.,0.)); #418856=DIRECTION('',(0.,0.,1.)); #418857=DIRECTION('center_axis',(0.,0.,-1.)); #418858=DIRECTION('ref_axis',(1.,0.,0.)); #418859=DIRECTION('center_axis',(0.,0.,1.)); #418860=DIRECTION('ref_axis',(1.,0.,0.)); #418861=DIRECTION('center_axis',(0.,0.,1.)); #418862=DIRECTION('ref_axis',(1.,0.,0.)); #418863=DIRECTION('',(0.,0.,1.)); #418864=DIRECTION('center_axis',(0.,0.,-1.)); #418865=DIRECTION('ref_axis',(1.,0.,0.)); #418866=DIRECTION('center_axis',(0.,0.,1.)); #418867=DIRECTION('ref_axis',(1.,0.,0.)); #418868=DIRECTION('center_axis',(0.,0.,1.)); #418869=DIRECTION('ref_axis',(1.,0.,0.)); #418870=DIRECTION('',(0.,0.,1.)); #418871=DIRECTION('center_axis',(0.,0.,-1.)); #418872=DIRECTION('ref_axis',(1.,0.,0.)); #418873=DIRECTION('center_axis',(0.,0.,1.)); #418874=DIRECTION('ref_axis',(1.,0.,0.)); #418875=DIRECTION('center_axis',(0.,0.,1.)); #418876=DIRECTION('ref_axis',(1.,0.,0.)); #418877=DIRECTION('',(0.,0.,1.)); #418878=DIRECTION('center_axis',(0.,0.,-1.)); #418879=DIRECTION('ref_axis',(1.,0.,0.)); #418880=DIRECTION('center_axis',(0.,0.,1.)); #418881=DIRECTION('ref_axis',(1.,0.,0.)); #418882=DIRECTION('center_axis',(0.,0.,1.)); #418883=DIRECTION('ref_axis',(1.,0.,0.)); #418884=DIRECTION('',(0.,0.,1.)); #418885=DIRECTION('center_axis',(0.,0.,-1.)); #418886=DIRECTION('ref_axis',(1.,0.,0.)); #418887=DIRECTION('center_axis',(0.,0.,1.)); #418888=DIRECTION('ref_axis',(1.,0.,0.)); #418889=DIRECTION('center_axis',(0.,0.,1.)); #418890=DIRECTION('ref_axis',(1.,0.,0.)); #418891=DIRECTION('',(0.,0.,1.)); #418892=DIRECTION('center_axis',(0.,0.,-1.)); #418893=DIRECTION('ref_axis',(1.,0.,0.)); #418894=DIRECTION('center_axis',(0.,0.,1.)); #418895=DIRECTION('ref_axis',(1.,0.,0.)); #418896=DIRECTION('center_axis',(0.,0.,1.)); #418897=DIRECTION('ref_axis',(1.,0.,0.)); #418898=DIRECTION('',(0.,0.,1.)); #418899=DIRECTION('center_axis',(0.,0.,-1.)); #418900=DIRECTION('ref_axis',(1.,0.,0.)); #418901=DIRECTION('center_axis',(0.,0.,1.)); #418902=DIRECTION('ref_axis',(1.,0.,0.)); #418903=DIRECTION('center_axis',(0.,0.,1.)); #418904=DIRECTION('ref_axis',(1.,0.,0.)); #418905=DIRECTION('',(0.,0.,1.)); #418906=DIRECTION('center_axis',(0.,0.,-1.)); #418907=DIRECTION('ref_axis',(1.,0.,0.)); #418908=DIRECTION('center_axis',(0.,0.,1.)); #418909=DIRECTION('ref_axis',(1.,0.,0.)); #418910=DIRECTION('center_axis',(0.,0.,1.)); #418911=DIRECTION('ref_axis',(1.,0.,0.)); #418912=DIRECTION('',(0.,0.,1.)); #418913=DIRECTION('center_axis',(0.,0.,-1.)); #418914=DIRECTION('ref_axis',(1.,0.,0.)); #418915=DIRECTION('center_axis',(0.,0.,1.)); #418916=DIRECTION('ref_axis',(1.,0.,0.)); #418917=DIRECTION('center_axis',(0.,0.,1.)); #418918=DIRECTION('ref_axis',(1.,0.,0.)); #418919=DIRECTION('',(0.,0.,1.)); #418920=DIRECTION('center_axis',(0.,0.,-1.)); #418921=DIRECTION('ref_axis',(1.,0.,0.)); #418922=DIRECTION('center_axis',(0.,0.,1.)); #418923=DIRECTION('ref_axis',(1.,0.,0.)); #418924=DIRECTION('center_axis',(0.,0.,1.)); #418925=DIRECTION('ref_axis',(1.,0.,0.)); #418926=DIRECTION('',(0.,0.,1.)); #418927=DIRECTION('center_axis',(0.,0.,-1.)); #418928=DIRECTION('ref_axis',(1.,0.,0.)); #418929=DIRECTION('center_axis',(0.,0.,1.)); #418930=DIRECTION('ref_axis',(1.,0.,0.)); #418931=DIRECTION('center_axis',(0.,0.,1.)); #418932=DIRECTION('ref_axis',(1.,0.,0.)); #418933=DIRECTION('',(0.,0.,1.)); #418934=DIRECTION('center_axis',(0.,0.,-1.)); #418935=DIRECTION('ref_axis',(1.,0.,0.)); #418936=DIRECTION('center_axis',(0.,0.,1.)); #418937=DIRECTION('ref_axis',(1.,0.,0.)); #418938=DIRECTION('center_axis',(0.,0.,1.)); #418939=DIRECTION('ref_axis',(1.,0.,0.)); #418940=DIRECTION('',(0.,0.,1.)); #418941=DIRECTION('center_axis',(0.,0.,-1.)); #418942=DIRECTION('ref_axis',(1.,0.,0.)); #418943=DIRECTION('center_axis',(0.,0.,1.)); #418944=DIRECTION('ref_axis',(1.,0.,0.)); #418945=DIRECTION('center_axis',(0.,0.,1.)); #418946=DIRECTION('ref_axis',(1.,0.,0.)); #418947=DIRECTION('',(0.,0.,1.)); #418948=DIRECTION('center_axis',(0.,0.,-1.)); #418949=DIRECTION('ref_axis',(1.,0.,0.)); #418950=DIRECTION('center_axis',(0.,0.,1.)); #418951=DIRECTION('ref_axis',(1.,0.,0.)); #418952=DIRECTION('center_axis',(0.,0.,1.)); #418953=DIRECTION('ref_axis',(1.,0.,0.)); #418954=DIRECTION('',(0.,0.,1.)); #418955=DIRECTION('center_axis',(0.,0.,-1.)); #418956=DIRECTION('ref_axis',(1.,0.,0.)); #418957=DIRECTION('center_axis',(0.,0.,1.)); #418958=DIRECTION('ref_axis',(1.,0.,0.)); #418959=DIRECTION('center_axis',(0.,0.,1.)); #418960=DIRECTION('ref_axis',(1.,0.,0.)); #418961=DIRECTION('',(0.,0.,1.)); #418962=DIRECTION('center_axis',(0.,0.,-1.)); #418963=DIRECTION('ref_axis',(1.,0.,0.)); #418964=DIRECTION('center_axis',(0.,0.,1.)); #418965=DIRECTION('ref_axis',(1.,0.,0.)); #418966=DIRECTION('center_axis',(0.,0.,1.)); #418967=DIRECTION('ref_axis',(1.,0.,0.)); #418968=DIRECTION('',(0.,0.,1.)); #418969=DIRECTION('center_axis',(0.,0.,-1.)); #418970=DIRECTION('ref_axis',(1.,0.,0.)); #418971=DIRECTION('center_axis',(0.,0.,1.)); #418972=DIRECTION('ref_axis',(1.,0.,0.)); #418973=DIRECTION('center_axis',(0.,0.,1.)); #418974=DIRECTION('ref_axis',(1.,0.,0.)); #418975=DIRECTION('',(0.,0.,1.)); #418976=DIRECTION('center_axis',(0.,0.,-1.)); #418977=DIRECTION('ref_axis',(1.,0.,0.)); #418978=DIRECTION('center_axis',(0.,0.,1.)); #418979=DIRECTION('ref_axis',(1.,0.,0.)); #418980=DIRECTION('center_axis',(0.,0.,1.)); #418981=DIRECTION('ref_axis',(1.,0.,0.)); #418982=DIRECTION('',(0.,0.,1.)); #418983=DIRECTION('center_axis',(0.,0.,-1.)); #418984=DIRECTION('ref_axis',(1.,0.,0.)); #418985=DIRECTION('center_axis',(0.,0.,1.)); #418986=DIRECTION('ref_axis',(1.,0.,0.)); #418987=DIRECTION('center_axis',(0.,0.,1.)); #418988=DIRECTION('ref_axis',(1.,0.,0.)); #418989=DIRECTION('',(0.,0.,1.)); #418990=DIRECTION('center_axis',(0.,0.,-1.)); #418991=DIRECTION('ref_axis',(1.,0.,0.)); #418992=DIRECTION('center_axis',(0.,0.,1.)); #418993=DIRECTION('ref_axis',(1.,0.,0.)); #418994=DIRECTION('center_axis',(0.,0.,1.)); #418995=DIRECTION('ref_axis',(1.,0.,0.)); #418996=DIRECTION('',(0.,0.,1.)); #418997=DIRECTION('center_axis',(0.,0.,-1.)); #418998=DIRECTION('ref_axis',(1.,0.,0.)); #418999=DIRECTION('center_axis',(0.,0.,1.)); #419000=DIRECTION('ref_axis',(1.,0.,0.)); #419001=DIRECTION('center_axis',(0.,0.,1.)); #419002=DIRECTION('ref_axis',(1.,0.,0.)); #419003=DIRECTION('',(0.,0.,1.)); #419004=DIRECTION('center_axis',(0.,0.,-1.)); #419005=DIRECTION('ref_axis',(1.,0.,0.)); #419006=DIRECTION('center_axis',(0.,0.,1.)); #419007=DIRECTION('ref_axis',(1.,0.,0.)); #419008=DIRECTION('center_axis',(0.,0.,1.)); #419009=DIRECTION('ref_axis',(1.,0.,0.)); #419010=DIRECTION('',(0.,0.,1.)); #419011=DIRECTION('center_axis',(0.,0.,-1.)); #419012=DIRECTION('ref_axis',(1.,0.,0.)); #419013=DIRECTION('center_axis',(0.,0.,1.)); #419014=DIRECTION('ref_axis',(1.,0.,0.)); #419015=DIRECTION('center_axis',(0.,0.,1.)); #419016=DIRECTION('ref_axis',(1.,0.,0.)); #419017=DIRECTION('',(0.,0.,1.)); #419018=DIRECTION('center_axis',(0.,0.,-1.)); #419019=DIRECTION('ref_axis',(1.,0.,0.)); #419020=DIRECTION('center_axis',(0.,0.,1.)); #419021=DIRECTION('ref_axis',(1.,0.,0.)); #419022=DIRECTION('center_axis',(0.,0.,1.)); #419023=DIRECTION('ref_axis',(1.,0.,0.)); #419024=DIRECTION('',(0.,0.,1.)); #419025=DIRECTION('center_axis',(0.,0.,-1.)); #419026=DIRECTION('ref_axis',(1.,0.,0.)); #419027=DIRECTION('center_axis',(0.,0.,1.)); #419028=DIRECTION('ref_axis',(1.,0.,0.)); #419029=DIRECTION('center_axis',(0.,0.,1.)); #419030=DIRECTION('ref_axis',(1.,0.,0.)); #419031=DIRECTION('',(0.,0.,1.)); #419032=DIRECTION('center_axis',(0.,0.,-1.)); #419033=DIRECTION('ref_axis',(1.,0.,0.)); #419034=DIRECTION('center_axis',(0.,0.,1.)); #419035=DIRECTION('ref_axis',(1.,0.,0.)); #419036=DIRECTION('center_axis',(0.,0.,1.)); #419037=DIRECTION('ref_axis',(1.,0.,0.)); #419038=DIRECTION('',(0.,0.,1.)); #419039=DIRECTION('center_axis',(0.,0.,-1.)); #419040=DIRECTION('ref_axis',(1.,0.,0.)); #419041=DIRECTION('center_axis',(0.,0.,1.)); #419042=DIRECTION('ref_axis',(1.,0.,0.)); #419043=DIRECTION('center_axis',(0.,0.,1.)); #419044=DIRECTION('ref_axis',(1.,0.,0.)); #419045=DIRECTION('',(0.,0.,1.)); #419046=DIRECTION('center_axis',(0.,0.,-1.)); #419047=DIRECTION('ref_axis',(1.,0.,0.)); #419048=DIRECTION('center_axis',(0.,0.,1.)); #419049=DIRECTION('ref_axis',(1.,0.,0.)); #419050=DIRECTION('center_axis',(0.,0.,1.)); #419051=DIRECTION('ref_axis',(1.,0.,0.)); #419052=DIRECTION('',(0.,0.,1.)); #419053=DIRECTION('center_axis',(0.,0.,-1.)); #419054=DIRECTION('ref_axis',(1.,0.,0.)); #419055=DIRECTION('center_axis',(0.,0.,1.)); #419056=DIRECTION('ref_axis',(1.,0.,0.)); #419057=DIRECTION('center_axis',(0.,0.,1.)); #419058=DIRECTION('ref_axis',(1.,0.,0.)); #419059=DIRECTION('',(0.,0.,1.)); #419060=DIRECTION('center_axis',(0.,0.,-1.)); #419061=DIRECTION('ref_axis',(1.,0.,0.)); #419062=DIRECTION('center_axis',(0.,0.,1.)); #419063=DIRECTION('ref_axis',(1.,0.,0.)); #419064=DIRECTION('center_axis',(0.,0.,1.)); #419065=DIRECTION('ref_axis',(1.,0.,0.)); #419066=DIRECTION('',(0.,0.,1.)); #419067=DIRECTION('center_axis',(0.,0.,-1.)); #419068=DIRECTION('ref_axis',(1.,0.,0.)); #419069=DIRECTION('center_axis',(0.,0.,1.)); #419070=DIRECTION('ref_axis',(1.,0.,0.)); #419071=DIRECTION('center_axis',(0.,0.,1.)); #419072=DIRECTION('ref_axis',(1.,0.,0.)); #419073=DIRECTION('',(0.,0.,1.)); #419074=DIRECTION('center_axis',(0.,0.,-1.)); #419075=DIRECTION('ref_axis',(1.,0.,0.)); #419076=DIRECTION('center_axis',(0.,0.,1.)); #419077=DIRECTION('ref_axis',(1.,0.,0.)); #419078=DIRECTION('center_axis',(0.,0.,1.)); #419079=DIRECTION('ref_axis',(1.,0.,0.)); #419080=DIRECTION('',(0.,0.,1.)); #419081=DIRECTION('center_axis',(0.,0.,-1.)); #419082=DIRECTION('ref_axis',(1.,0.,0.)); #419083=DIRECTION('center_axis',(0.,0.,1.)); #419084=DIRECTION('ref_axis',(1.,0.,0.)); #419085=DIRECTION('center_axis',(0.,0.,1.)); #419086=DIRECTION('ref_axis',(1.,0.,0.)); #419087=DIRECTION('',(0.,0.,1.)); #419088=DIRECTION('center_axis',(0.,0.,-1.)); #419089=DIRECTION('ref_axis',(1.,0.,0.)); #419090=DIRECTION('center_axis',(0.,0.,1.)); #419091=DIRECTION('ref_axis',(1.,0.,0.)); #419092=DIRECTION('center_axis',(0.,0.,1.)); #419093=DIRECTION('ref_axis',(1.,0.,0.)); #419094=DIRECTION('',(0.,0.,1.)); #419095=DIRECTION('center_axis',(0.,0.,-1.)); #419096=DIRECTION('ref_axis',(1.,0.,0.)); #419097=DIRECTION('center_axis',(0.,0.,1.)); #419098=DIRECTION('ref_axis',(1.,0.,0.)); #419099=DIRECTION('center_axis',(0.,0.,1.)); #419100=DIRECTION('ref_axis',(1.,0.,0.)); #419101=DIRECTION('',(0.,0.,1.)); #419102=DIRECTION('center_axis',(0.,0.,-1.)); #419103=DIRECTION('ref_axis',(1.,0.,0.)); #419104=DIRECTION('center_axis',(0.,0.,1.)); #419105=DIRECTION('ref_axis',(1.,0.,0.)); #419106=DIRECTION('center_axis',(0.,0.,1.)); #419107=DIRECTION('ref_axis',(1.,0.,0.)); #419108=DIRECTION('',(0.,0.,1.)); #419109=DIRECTION('center_axis',(0.,0.,-1.)); #419110=DIRECTION('ref_axis',(1.,0.,0.)); #419111=DIRECTION('center_axis',(0.,0.,1.)); #419112=DIRECTION('ref_axis',(1.,0.,0.)); #419113=DIRECTION('center_axis',(0.,0.,1.)); #419114=DIRECTION('ref_axis',(1.,0.,0.)); #419115=DIRECTION('',(0.,0.,1.)); #419116=DIRECTION('center_axis',(0.,0.,-1.)); #419117=DIRECTION('ref_axis',(1.,0.,0.)); #419118=DIRECTION('center_axis',(0.,0.,1.)); #419119=DIRECTION('ref_axis',(1.,0.,0.)); #419120=DIRECTION('center_axis',(0.,0.,1.)); #419121=DIRECTION('ref_axis',(1.,0.,0.)); #419122=DIRECTION('',(0.,0.,1.)); #419123=DIRECTION('center_axis',(0.,0.,-1.)); #419124=DIRECTION('ref_axis',(1.,0.,0.)); #419125=DIRECTION('center_axis',(0.,0.,1.)); #419126=DIRECTION('ref_axis',(1.,0.,0.)); #419127=DIRECTION('center_axis',(0.,0.,1.)); #419128=DIRECTION('ref_axis',(1.,0.,0.)); #419129=DIRECTION('',(0.,0.,1.)); #419130=DIRECTION('center_axis',(0.,0.,-1.)); #419131=DIRECTION('ref_axis',(1.,0.,0.)); #419132=DIRECTION('center_axis',(0.,0.,1.)); #419133=DIRECTION('ref_axis',(1.,0.,0.)); #419134=DIRECTION('center_axis',(0.,0.,1.)); #419135=DIRECTION('ref_axis',(1.,0.,0.)); #419136=DIRECTION('',(0.,0.,1.)); #419137=DIRECTION('center_axis',(0.,0.,-1.)); #419138=DIRECTION('ref_axis',(1.,0.,0.)); #419139=DIRECTION('center_axis',(0.,0.,1.)); #419140=DIRECTION('ref_axis',(1.,0.,0.)); #419141=DIRECTION('center_axis',(0.,0.,1.)); #419142=DIRECTION('ref_axis',(1.,0.,0.)); #419143=DIRECTION('',(0.,0.,1.)); #419144=DIRECTION('center_axis',(0.,0.,-1.)); #419145=DIRECTION('ref_axis',(1.,0.,0.)); #419146=DIRECTION('center_axis',(0.,0.,1.)); #419147=DIRECTION('ref_axis',(1.,0.,0.)); #419148=DIRECTION('center_axis',(0.,0.,1.)); #419149=DIRECTION('ref_axis',(1.,0.,0.)); #419150=DIRECTION('',(0.,0.,1.)); #419151=DIRECTION('center_axis',(0.,0.,-1.)); #419152=DIRECTION('ref_axis',(1.,0.,0.)); #419153=DIRECTION('center_axis',(0.,0.,1.)); #419154=DIRECTION('ref_axis',(1.,0.,0.)); #419155=DIRECTION('center_axis',(0.,0.,1.)); #419156=DIRECTION('ref_axis',(1.,0.,0.)); #419157=DIRECTION('',(0.,0.,1.)); #419158=DIRECTION('center_axis',(0.,0.,-1.)); #419159=DIRECTION('ref_axis',(1.,0.,0.)); #419160=DIRECTION('center_axis',(0.,0.,1.)); #419161=DIRECTION('ref_axis',(1.,0.,0.)); #419162=DIRECTION('center_axis',(0.,0.,1.)); #419163=DIRECTION('ref_axis',(1.,0.,0.)); #419164=DIRECTION('',(0.,0.,1.)); #419165=DIRECTION('center_axis',(0.,0.,-1.)); #419166=DIRECTION('ref_axis',(1.,0.,0.)); #419167=DIRECTION('center_axis',(0.,0.,1.)); #419168=DIRECTION('ref_axis',(1.,0.,0.)); #419169=DIRECTION('center_axis',(0.,0.,1.)); #419170=DIRECTION('ref_axis',(1.,0.,0.)); #419171=DIRECTION('',(0.,0.,1.)); #419172=DIRECTION('center_axis',(0.,0.,-1.)); #419173=DIRECTION('ref_axis',(1.,0.,0.)); #419174=DIRECTION('center_axis',(0.,0.,1.)); #419175=DIRECTION('ref_axis',(1.,0.,0.)); #419176=DIRECTION('center_axis',(0.,0.,1.)); #419177=DIRECTION('ref_axis',(1.,0.,0.)); #419178=DIRECTION('',(0.,0.,1.)); #419179=DIRECTION('center_axis',(0.,0.,-1.)); #419180=DIRECTION('ref_axis',(1.,0.,0.)); #419181=DIRECTION('center_axis',(0.,0.,1.)); #419182=DIRECTION('ref_axis',(1.,0.,0.)); #419183=DIRECTION('center_axis',(0.,0.,1.)); #419184=DIRECTION('ref_axis',(1.,0.,0.)); #419185=DIRECTION('',(0.,0.,1.)); #419186=DIRECTION('center_axis',(0.,0.,-1.)); #419187=DIRECTION('ref_axis',(1.,0.,0.)); #419188=DIRECTION('center_axis',(0.,0.,1.)); #419189=DIRECTION('ref_axis',(1.,0.,0.)); #419190=DIRECTION('center_axis',(0.,0.,1.)); #419191=DIRECTION('ref_axis',(1.,0.,0.)); #419192=DIRECTION('',(0.,0.,1.)); #419193=DIRECTION('center_axis',(0.,0.,-1.)); #419194=DIRECTION('ref_axis',(1.,0.,0.)); #419195=DIRECTION('center_axis',(0.,0.,1.)); #419196=DIRECTION('ref_axis',(1.,0.,0.)); #419197=DIRECTION('center_axis',(0.,0.,1.)); #419198=DIRECTION('ref_axis',(1.,0.,0.)); #419199=DIRECTION('',(0.,0.,1.)); #419200=DIRECTION('center_axis',(0.,0.,-1.)); #419201=DIRECTION('ref_axis',(1.,0.,0.)); #419202=DIRECTION('center_axis',(0.,0.,1.)); #419203=DIRECTION('ref_axis',(1.,0.,0.)); #419204=DIRECTION('center_axis',(0.,0.,1.)); #419205=DIRECTION('ref_axis',(1.,0.,0.)); #419206=DIRECTION('',(0.,0.,1.)); #419207=DIRECTION('center_axis',(0.,0.,-1.)); #419208=DIRECTION('ref_axis',(1.,0.,0.)); #419209=DIRECTION('center_axis',(0.,0.,1.)); #419210=DIRECTION('ref_axis',(1.,0.,0.)); #419211=DIRECTION('center_axis',(0.,0.,1.)); #419212=DIRECTION('ref_axis',(1.,0.,0.)); #419213=DIRECTION('',(0.,0.,1.)); #419214=DIRECTION('center_axis',(0.,0.,-1.)); #419215=DIRECTION('ref_axis',(1.,0.,0.)); #419216=DIRECTION('center_axis',(0.,0.,1.)); #419217=DIRECTION('ref_axis',(1.,0.,0.)); #419218=DIRECTION('center_axis',(0.,0.,1.)); #419219=DIRECTION('ref_axis',(1.,0.,0.)); #419220=DIRECTION('',(0.,0.,1.)); #419221=DIRECTION('center_axis',(0.,0.,-1.)); #419222=DIRECTION('ref_axis',(1.,0.,0.)); #419223=DIRECTION('center_axis',(0.,0.,1.)); #419224=DIRECTION('ref_axis',(1.,0.,0.)); #419225=DIRECTION('center_axis',(0.,0.,1.)); #419226=DIRECTION('ref_axis',(1.,0.,0.)); #419227=DIRECTION('',(0.,0.,1.)); #419228=DIRECTION('center_axis',(0.,0.,-1.)); #419229=DIRECTION('ref_axis',(1.,0.,0.)); #419230=DIRECTION('center_axis',(0.,0.,1.)); #419231=DIRECTION('ref_axis',(1.,0.,0.)); #419232=DIRECTION('center_axis',(0.,0.,1.)); #419233=DIRECTION('ref_axis',(1.,0.,0.)); #419234=DIRECTION('',(0.,0.,1.)); #419235=DIRECTION('center_axis',(0.,0.,-1.)); #419236=DIRECTION('ref_axis',(1.,0.,0.)); #419237=DIRECTION('center_axis',(0.,0.,1.)); #419238=DIRECTION('ref_axis',(1.,0.,0.)); #419239=DIRECTION('center_axis',(0.,0.,1.)); #419240=DIRECTION('ref_axis',(1.,0.,0.)); #419241=DIRECTION('',(0.,0.,1.)); #419242=DIRECTION('center_axis',(0.,0.,-1.)); #419243=DIRECTION('ref_axis',(1.,0.,0.)); #419244=DIRECTION('center_axis',(0.,0.,1.)); #419245=DIRECTION('ref_axis',(1.,0.,0.)); #419246=DIRECTION('center_axis',(0.,0.,1.)); #419247=DIRECTION('ref_axis',(1.,0.,0.)); #419248=DIRECTION('',(0.,0.,1.)); #419249=DIRECTION('center_axis',(0.,0.,-1.)); #419250=DIRECTION('ref_axis',(1.,0.,0.)); #419251=DIRECTION('center_axis',(0.,0.,1.)); #419252=DIRECTION('ref_axis',(1.,0.,0.)); #419253=DIRECTION('center_axis',(0.,0.,1.)); #419254=DIRECTION('ref_axis',(1.,0.,0.)); #419255=DIRECTION('',(0.,0.,1.)); #419256=DIRECTION('center_axis',(0.,0.,-1.)); #419257=DIRECTION('ref_axis',(1.,0.,0.)); #419258=DIRECTION('center_axis',(0.,0.,1.)); #419259=DIRECTION('ref_axis',(1.,0.,0.)); #419260=DIRECTION('center_axis',(0.,0.,1.)); #419261=DIRECTION('ref_axis',(1.,0.,0.)); #419262=DIRECTION('',(0.,0.,1.)); #419263=DIRECTION('center_axis',(0.,0.,-1.)); #419264=DIRECTION('ref_axis',(1.,0.,0.)); #419265=DIRECTION('center_axis',(0.,0.,1.)); #419266=DIRECTION('ref_axis',(1.,0.,0.)); #419267=DIRECTION('center_axis',(0.,0.,1.)); #419268=DIRECTION('ref_axis',(1.,0.,0.)); #419269=DIRECTION('',(0.,0.,1.)); #419270=DIRECTION('center_axis',(0.,0.,-1.)); #419271=DIRECTION('ref_axis',(1.,0.,0.)); #419272=DIRECTION('center_axis',(0.,0.,1.)); #419273=DIRECTION('ref_axis',(1.,0.,0.)); #419274=DIRECTION('center_axis',(0.,0.,1.)); #419275=DIRECTION('ref_axis',(1.,0.,0.)); #419276=DIRECTION('',(0.,0.,1.)); #419277=DIRECTION('center_axis',(0.,0.,-1.)); #419278=DIRECTION('ref_axis',(1.,0.,0.)); #419279=DIRECTION('center_axis',(0.,0.,1.)); #419280=DIRECTION('ref_axis',(1.,0.,0.)); #419281=DIRECTION('center_axis',(0.,0.,1.)); #419282=DIRECTION('ref_axis',(1.,0.,0.)); #419283=DIRECTION('',(0.,0.,1.)); #419284=DIRECTION('center_axis',(0.,0.,-1.)); #419285=DIRECTION('ref_axis',(1.,0.,0.)); #419286=DIRECTION('center_axis',(0.,0.,1.)); #419287=DIRECTION('ref_axis',(1.,0.,0.)); #419288=DIRECTION('center_axis',(0.,0.,1.)); #419289=DIRECTION('ref_axis',(1.,0.,0.)); #419290=DIRECTION('',(0.,0.,1.)); #419291=DIRECTION('center_axis',(0.,0.,-1.)); #419292=DIRECTION('ref_axis',(1.,0.,0.)); #419293=DIRECTION('center_axis',(0.,0.,1.)); #419294=DIRECTION('ref_axis',(1.,0.,0.)); #419295=DIRECTION('center_axis',(0.,0.,1.)); #419296=DIRECTION('ref_axis',(1.,0.,0.)); #419297=DIRECTION('',(0.,0.,1.)); #419298=DIRECTION('center_axis',(0.,0.,-1.)); #419299=DIRECTION('ref_axis',(1.,0.,0.)); #419300=DIRECTION('center_axis',(0.,0.,1.)); #419301=DIRECTION('ref_axis',(1.,0.,0.)); #419302=DIRECTION('center_axis',(0.,0.,1.)); #419303=DIRECTION('ref_axis',(1.,0.,0.)); #419304=DIRECTION('',(0.,0.,1.)); #419305=DIRECTION('center_axis',(0.,0.,-1.)); #419306=DIRECTION('ref_axis',(1.,0.,0.)); #419307=DIRECTION('center_axis',(0.,0.,1.)); #419308=DIRECTION('ref_axis',(1.,0.,0.)); #419309=DIRECTION('center_axis',(0.,0.,1.)); #419310=DIRECTION('ref_axis',(1.,0.,0.)); #419311=DIRECTION('',(0.,0.,1.)); #419312=DIRECTION('center_axis',(0.,0.,-1.)); #419313=DIRECTION('ref_axis',(1.,0.,0.)); #419314=DIRECTION('center_axis',(0.,0.,1.)); #419315=DIRECTION('ref_axis',(1.,0.,0.)); #419316=DIRECTION('center_axis',(0.,0.,1.)); #419317=DIRECTION('ref_axis',(1.,0.,0.)); #419318=DIRECTION('',(0.,0.,1.)); #419319=DIRECTION('center_axis',(0.,0.,-1.)); #419320=DIRECTION('ref_axis',(1.,0.,0.)); #419321=DIRECTION('center_axis',(0.,0.,1.)); #419322=DIRECTION('ref_axis',(1.,0.,0.)); #419323=DIRECTION('center_axis',(0.,0.,1.)); #419324=DIRECTION('ref_axis',(1.,0.,0.)); #419325=DIRECTION('',(0.,0.,1.)); #419326=DIRECTION('center_axis',(0.,0.,-1.)); #419327=DIRECTION('ref_axis',(1.,0.,0.)); #419328=DIRECTION('center_axis',(0.,0.,1.)); #419329=DIRECTION('ref_axis',(1.,0.,0.)); #419330=DIRECTION('center_axis',(0.,0.,1.)); #419331=DIRECTION('ref_axis',(1.,0.,0.)); #419332=DIRECTION('',(0.,0.,1.)); #419333=DIRECTION('center_axis',(0.,0.,-1.)); #419334=DIRECTION('ref_axis',(1.,0.,0.)); #419335=DIRECTION('center_axis',(0.,0.,1.)); #419336=DIRECTION('ref_axis',(1.,0.,0.)); #419337=DIRECTION('center_axis',(0.,0.,1.)); #419338=DIRECTION('ref_axis',(1.,0.,0.)); #419339=DIRECTION('',(0.,0.,1.)); #419340=DIRECTION('center_axis',(0.,0.,-1.)); #419341=DIRECTION('ref_axis',(1.,0.,0.)); #419342=DIRECTION('center_axis',(0.,0.,1.)); #419343=DIRECTION('ref_axis',(1.,0.,0.)); #419344=DIRECTION('center_axis',(0.,0.,1.)); #419345=DIRECTION('ref_axis',(1.,0.,0.)); #419346=DIRECTION('',(0.,0.,1.)); #419347=DIRECTION('center_axis',(0.,0.,-1.)); #419348=DIRECTION('ref_axis',(1.,0.,0.)); #419349=DIRECTION('center_axis',(0.,0.,1.)); #419350=DIRECTION('ref_axis',(1.,0.,0.)); #419351=DIRECTION('center_axis',(0.,0.,1.)); #419352=DIRECTION('ref_axis',(1.,0.,0.)); #419353=DIRECTION('',(0.,0.,1.)); #419354=DIRECTION('center_axis',(0.,0.,-1.)); #419355=DIRECTION('ref_axis',(1.,0.,0.)); #419356=DIRECTION('center_axis',(0.,0.,1.)); #419357=DIRECTION('ref_axis',(1.,0.,0.)); #419358=DIRECTION('center_axis',(0.,0.,1.)); #419359=DIRECTION('ref_axis',(1.,0.,0.)); #419360=DIRECTION('',(0.,0.,1.)); #419361=DIRECTION('center_axis',(0.,0.,-1.)); #419362=DIRECTION('ref_axis',(1.,0.,0.)); #419363=DIRECTION('center_axis',(0.,0.,1.)); #419364=DIRECTION('ref_axis',(1.,0.,0.)); #419365=DIRECTION('center_axis',(0.,0.,1.)); #419366=DIRECTION('ref_axis',(1.,0.,0.)); #419367=DIRECTION('',(0.,0.,1.)); #419368=DIRECTION('center_axis',(0.,0.,-1.)); #419369=DIRECTION('ref_axis',(1.,0.,0.)); #419370=DIRECTION('center_axis',(0.,0.,1.)); #419371=DIRECTION('ref_axis',(1.,0.,0.)); #419372=DIRECTION('center_axis',(0.,0.,1.)); #419373=DIRECTION('ref_axis',(1.,0.,0.)); #419374=DIRECTION('',(0.,0.,1.)); #419375=DIRECTION('center_axis',(0.,0.,-1.)); #419376=DIRECTION('ref_axis',(1.,0.,0.)); #419377=DIRECTION('center_axis',(0.,0.,1.)); #419378=DIRECTION('ref_axis',(1.,0.,0.)); #419379=DIRECTION('center_axis',(0.,0.,1.)); #419380=DIRECTION('ref_axis',(1.,0.,0.)); #419381=DIRECTION('',(0.,0.,1.)); #419382=DIRECTION('center_axis',(0.,0.,-1.)); #419383=DIRECTION('ref_axis',(1.,0.,0.)); #419384=DIRECTION('center_axis',(0.,0.,1.)); #419385=DIRECTION('ref_axis',(1.,0.,0.)); #419386=DIRECTION('center_axis',(0.,0.,1.)); #419387=DIRECTION('ref_axis',(1.,0.,0.)); #419388=DIRECTION('',(0.,0.,1.)); #419389=DIRECTION('center_axis',(0.,0.,-1.)); #419390=DIRECTION('ref_axis',(1.,0.,0.)); #419391=DIRECTION('center_axis',(0.,0.,1.)); #419392=DIRECTION('ref_axis',(1.,0.,0.)); #419393=DIRECTION('center_axis',(0.,0.,1.)); #419394=DIRECTION('ref_axis',(1.,0.,0.)); #419395=DIRECTION('',(0.,0.,1.)); #419396=DIRECTION('center_axis',(0.,0.,-1.)); #419397=DIRECTION('ref_axis',(1.,0.,0.)); #419398=DIRECTION('center_axis',(0.,0.,1.)); #419399=DIRECTION('ref_axis',(1.,0.,0.)); #419400=DIRECTION('center_axis',(0.,0.,1.)); #419401=DIRECTION('ref_axis',(1.,0.,0.)); #419402=DIRECTION('',(0.,0.,1.)); #419403=DIRECTION('center_axis',(0.,0.,-1.)); #419404=DIRECTION('ref_axis',(1.,0.,0.)); #419405=DIRECTION('center_axis',(0.,0.,1.)); #419406=DIRECTION('ref_axis',(1.,0.,0.)); #419407=DIRECTION('center_axis',(0.,0.,1.)); #419408=DIRECTION('ref_axis',(1.,0.,0.)); #419409=DIRECTION('',(0.,0.,1.)); #419410=DIRECTION('center_axis',(0.,0.,-1.)); #419411=DIRECTION('ref_axis',(1.,0.,0.)); #419412=DIRECTION('center_axis',(0.,0.,1.)); #419413=DIRECTION('ref_axis',(1.,0.,0.)); #419414=DIRECTION('center_axis',(0.,0.,1.)); #419415=DIRECTION('ref_axis',(1.,0.,0.)); #419416=DIRECTION('',(0.,0.,1.)); #419417=DIRECTION('center_axis',(0.,0.,-1.)); #419418=DIRECTION('ref_axis',(1.,0.,0.)); #419419=DIRECTION('center_axis',(0.,0.,1.)); #419420=DIRECTION('ref_axis',(1.,0.,0.)); #419421=DIRECTION('center_axis',(0.,0.,1.)); #419422=DIRECTION('ref_axis',(1.,0.,0.)); #419423=DIRECTION('',(0.,0.,1.)); #419424=DIRECTION('center_axis',(0.,0.,-1.)); #419425=DIRECTION('ref_axis',(1.,0.,0.)); #419426=DIRECTION('center_axis',(0.,0.,1.)); #419427=DIRECTION('ref_axis',(1.,0.,0.)); #419428=DIRECTION('center_axis',(0.,0.,1.)); #419429=DIRECTION('ref_axis',(1.,0.,0.)); #419430=DIRECTION('',(0.,0.,1.)); #419431=DIRECTION('center_axis',(0.,0.,-1.)); #419432=DIRECTION('ref_axis',(1.,0.,0.)); #419433=DIRECTION('center_axis',(0.,0.,1.)); #419434=DIRECTION('ref_axis',(1.,0.,0.)); #419435=DIRECTION('center_axis',(0.,0.,1.)); #419436=DIRECTION('ref_axis',(1.,0.,0.)); #419437=DIRECTION('',(0.,0.,1.)); #419438=DIRECTION('center_axis',(0.,0.,-1.)); #419439=DIRECTION('ref_axis',(1.,0.,0.)); #419440=DIRECTION('center_axis',(0.,0.,1.)); #419441=DIRECTION('ref_axis',(1.,0.,0.)); #419442=DIRECTION('center_axis',(0.,0.,1.)); #419443=DIRECTION('ref_axis',(1.,0.,0.)); #419444=DIRECTION('',(0.,0.,1.)); #419445=DIRECTION('center_axis',(0.,0.,-1.)); #419446=DIRECTION('ref_axis',(1.,0.,0.)); #419447=DIRECTION('center_axis',(0.,0.,1.)); #419448=DIRECTION('ref_axis',(1.,0.,0.)); #419449=DIRECTION('center_axis',(0.,0.,1.)); #419450=DIRECTION('ref_axis',(1.,0.,0.)); #419451=DIRECTION('',(0.,0.,1.)); #419452=DIRECTION('center_axis',(0.,0.,-1.)); #419453=DIRECTION('ref_axis',(1.,0.,0.)); #419454=DIRECTION('center_axis',(0.,0.,1.)); #419455=DIRECTION('ref_axis',(1.,0.,0.)); #419456=DIRECTION('center_axis',(0.,0.,1.)); #419457=DIRECTION('ref_axis',(1.,0.,0.)); #419458=DIRECTION('',(0.,0.,1.)); #419459=DIRECTION('center_axis',(0.,0.,-1.)); #419460=DIRECTION('ref_axis',(1.,0.,0.)); #419461=DIRECTION('center_axis',(0.,0.,1.)); #419462=DIRECTION('ref_axis',(1.,0.,0.)); #419463=DIRECTION('center_axis',(0.,0.,1.)); #419464=DIRECTION('ref_axis',(1.,0.,0.)); #419465=DIRECTION('',(0.,0.,1.)); #419466=DIRECTION('center_axis',(0.,0.,-1.)); #419467=DIRECTION('ref_axis',(1.,0.,0.)); #419468=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #419469=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #419470=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #419471=DIRECTION('',(0.,0.,1.)); #419472=DIRECTION('',(5.55111499297693E-15,1.,0.)); #419473=DIRECTION('',(0.,0.,1.)); #419474=DIRECTION('center_axis',(0.,0.,1.)); #419475=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #419476=DIRECTION('center_axis',(0.,0.,-1.)); #419477=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #419478=DIRECTION('center_axis',(0.,0.,1.)); #419479=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #419480=DIRECTION('',(0.,0.,1.)); #419481=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #419482=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #419483=DIRECTION('',(5.55111499297693E-15,1.,0.)); #419484=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #419485=DIRECTION('',(0.,0.,1.)); #419486=DIRECTION('center_axis',(0.,0.,1.)); #419487=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #419488=DIRECTION('center_axis',(0.,0.,-1.)); #419489=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #419490=DIRECTION('center_axis',(0.,0.,1.)); #419491=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #419492=DIRECTION('center_axis',(0.,0.,1.)); #419493=DIRECTION('ref_axis',(1.,0.,0.)); #419494=DIRECTION('center_axis',(0.,0.,1.)); #419495=DIRECTION('ref_axis',(1.,0.,0.)); #419496=DIRECTION('',(0.,0.,1.)); #419497=DIRECTION('center_axis',(0.,0.,-1.)); #419498=DIRECTION('ref_axis',(1.,0.,0.)); #419499=DIRECTION('center_axis',(7.40148643419025E-15,1.,0.)); #419500=DIRECTION('ref_axis',(-1.,7.40148643419025E-15,0.)); #419501=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #419502=DIRECTION('',(0.,0.,1.)); #419503=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #419504=DIRECTION('',(0.,0.,1.)); #419505=DIRECTION('center_axis',(0.,0.,1.)); #419506=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #419507=DIRECTION('center_axis',(0.,0.,-1.)); #419508=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #419509=DIRECTION('center_axis',(0.,0.,1.)); #419510=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #419511=DIRECTION('',(0.,0.,1.)); #419512=DIRECTION('center_axis',(-7.40148643419025E-15,-1.,0.)); #419513=DIRECTION('ref_axis',(1.,-7.40148643419025E-15,0.)); #419514=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #419515=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #419516=DIRECTION('',(0.,0.,1.)); #419517=DIRECTION('center_axis',(0.,0.,1.)); #419518=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #419519=DIRECTION('center_axis',(0.,0.,-1.)); #419520=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #419521=DIRECTION('center_axis',(0.,0.,1.)); #419522=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #419523=DIRECTION('center_axis',(0.,0.,1.)); #419524=DIRECTION('ref_axis',(1.,0.,0.)); #419525=DIRECTION('center_axis',(0.,0.,1.)); #419526=DIRECTION('ref_axis',(1.,0.,0.)); #419527=DIRECTION('',(0.,0.,1.)); #419528=DIRECTION('center_axis',(0.,0.,-1.)); #419529=DIRECTION('ref_axis',(1.,0.,0.)); #419530=DIRECTION('center_axis',(0.,0.,1.)); #419531=DIRECTION('ref_axis',(1.,0.,0.)); #419532=DIRECTION('center_axis',(0.,0.,1.)); #419533=DIRECTION('ref_axis',(1.,0.,0.)); #419534=DIRECTION('',(0.,0.,1.)); #419535=DIRECTION('center_axis',(0.,0.,-1.)); #419536=DIRECTION('ref_axis',(1.,0.,0.)); #419537=DIRECTION('center_axis',(0.,0.,1.)); #419538=DIRECTION('ref_axis',(1.,0.,0.)); #419539=DIRECTION('center_axis',(0.,0.,1.)); #419540=DIRECTION('ref_axis',(1.,0.,0.)); #419541=DIRECTION('',(0.,0.,1.)); #419542=DIRECTION('center_axis',(0.,0.,-1.)); #419543=DIRECTION('ref_axis',(1.,0.,0.)); #419544=DIRECTION('center_axis',(7.40148643419025E-15,1.,0.)); #419545=DIRECTION('ref_axis',(-1.,7.40148643419025E-15,0.)); #419546=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #419547=DIRECTION('',(0.,0.,1.)); #419548=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #419549=DIRECTION('',(0.,0.,1.)); #419550=DIRECTION('center_axis',(0.,0.,1.)); #419551=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #419552=DIRECTION('center_axis',(0.,0.,-1.)); #419553=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #419554=DIRECTION('center_axis',(0.,0.,1.)); #419555=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #419556=DIRECTION('',(0.,0.,1.)); #419557=DIRECTION('center_axis',(-7.40148643419025E-15,-1.,0.)); #419558=DIRECTION('ref_axis',(1.,-7.40148643419025E-15,0.)); #419559=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #419560=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #419561=DIRECTION('',(0.,0.,1.)); #419562=DIRECTION('center_axis',(0.,0.,1.)); #419563=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #419564=DIRECTION('center_axis',(0.,0.,-1.)); #419565=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #419566=DIRECTION('center_axis',(0.,0.,1.)); #419567=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #419568=DIRECTION('center_axis',(0.,0.,1.)); #419569=DIRECTION('ref_axis',(1.,0.,0.)); #419570=DIRECTION('center_axis',(0.,0.,1.)); #419571=DIRECTION('ref_axis',(1.,0.,0.)); #419572=DIRECTION('',(0.,0.,1.)); #419573=DIRECTION('center_axis',(0.,0.,-1.)); #419574=DIRECTION('ref_axis',(1.,0.,0.)); #419575=DIRECTION('center_axis',(0.,0.,1.)); #419576=DIRECTION('ref_axis',(1.,0.,0.)); #419577=DIRECTION('center_axis',(0.,0.,1.)); #419578=DIRECTION('ref_axis',(1.,0.,0.)); #419579=DIRECTION('',(0.,0.,1.)); #419580=DIRECTION('center_axis',(0.,0.,-1.)); #419581=DIRECTION('ref_axis',(1.,0.,0.)); #419582=DIRECTION('center_axis',(0.,0.,1.)); #419583=DIRECTION('ref_axis',(1.,0.,0.)); #419584=DIRECTION('center_axis',(0.,0.,1.)); #419585=DIRECTION('ref_axis',(1.,0.,0.)); #419586=DIRECTION('',(0.,0.,1.)); #419587=DIRECTION('center_axis',(0.,0.,-1.)); #419588=DIRECTION('ref_axis',(1.,0.,0.)); #419589=DIRECTION('center_axis',(0.,0.,1.)); #419590=DIRECTION('ref_axis',(1.,0.,0.)); #419591=DIRECTION('center_axis',(0.,0.,1.)); #419592=DIRECTION('ref_axis',(1.,0.,0.)); #419593=DIRECTION('',(0.,0.,1.)); #419594=DIRECTION('center_axis',(0.,0.,-1.)); #419595=DIRECTION('ref_axis',(1.,0.,0.)); #419596=DIRECTION('center_axis',(0.,0.,1.)); #419597=DIRECTION('ref_axis',(1.,0.,0.)); #419598=DIRECTION('center_axis',(0.,0.,1.)); #419599=DIRECTION('ref_axis',(1.,0.,0.)); #419600=DIRECTION('',(0.,0.,1.)); #419601=DIRECTION('center_axis',(0.,0.,-1.)); #419602=DIRECTION('ref_axis',(1.,0.,0.)); #419603=DIRECTION('center_axis',(0.,0.,1.)); #419604=DIRECTION('ref_axis',(1.,0.,0.)); #419605=DIRECTION('center_axis',(0.,0.,1.)); #419606=DIRECTION('ref_axis',(1.,0.,0.)); #419607=DIRECTION('',(0.,0.,1.)); #419608=DIRECTION('center_axis',(0.,0.,-1.)); #419609=DIRECTION('ref_axis',(1.,0.,0.)); #419610=DIRECTION('center_axis',(0.,0.,1.)); #419611=DIRECTION('ref_axis',(1.,0.,0.)); #419612=DIRECTION('center_axis',(0.,0.,1.)); #419613=DIRECTION('ref_axis',(1.,0.,0.)); #419614=DIRECTION('',(0.,0.,1.)); #419615=DIRECTION('center_axis',(0.,0.,-1.)); #419616=DIRECTION('ref_axis',(1.,0.,0.)); #419617=DIRECTION('center_axis',(0.,0.,1.)); #419618=DIRECTION('ref_axis',(1.,0.,0.)); #419619=DIRECTION('center_axis',(0.,0.,1.)); #419620=DIRECTION('ref_axis',(1.,0.,0.)); #419621=DIRECTION('',(0.,0.,1.)); #419622=DIRECTION('center_axis',(0.,0.,-1.)); #419623=DIRECTION('ref_axis',(1.,0.,0.)); #419624=DIRECTION('center_axis',(0.,0.,1.)); #419625=DIRECTION('ref_axis',(1.,0.,0.)); #419626=DIRECTION('center_axis',(0.,0.,1.)); #419627=DIRECTION('ref_axis',(1.,0.,0.)); #419628=DIRECTION('',(0.,0.,1.)); #419629=DIRECTION('center_axis',(0.,0.,-1.)); #419630=DIRECTION('ref_axis',(1.,0.,0.)); #419631=DIRECTION('center_axis',(0.,0.,1.)); #419632=DIRECTION('ref_axis',(1.,0.,0.)); #419633=DIRECTION('center_axis',(0.,0.,1.)); #419634=DIRECTION('ref_axis',(1.,0.,0.)); #419635=DIRECTION('',(0.,0.,1.)); #419636=DIRECTION('center_axis',(0.,0.,-1.)); #419637=DIRECTION('ref_axis',(1.,0.,0.)); #419638=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #419639=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #419640=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #419641=DIRECTION('',(0.,0.,1.)); #419642=DIRECTION('',(5.55111499297693E-15,1.,0.)); #419643=DIRECTION('',(0.,0.,1.)); #419644=DIRECTION('center_axis',(0.,0.,1.)); #419645=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #419646=DIRECTION('center_axis',(0.,0.,-1.)); #419647=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #419648=DIRECTION('center_axis',(0.,0.,1.)); #419649=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #419650=DIRECTION('',(0.,0.,1.)); #419651=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #419652=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #419653=DIRECTION('',(5.55111499297693E-15,1.,0.)); #419654=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #419655=DIRECTION('',(0.,0.,1.)); #419656=DIRECTION('center_axis',(0.,0.,1.)); #419657=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #419658=DIRECTION('center_axis',(0.,0.,-1.)); #419659=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #419660=DIRECTION('center_axis',(0.,0.,1.)); #419661=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #419662=DIRECTION('center_axis',(0.,0.,1.)); #419663=DIRECTION('ref_axis',(1.,0.,0.)); #419664=DIRECTION('center_axis',(0.,0.,1.)); #419665=DIRECTION('ref_axis',(1.,0.,0.)); #419666=DIRECTION('',(0.,0.,1.)); #419667=DIRECTION('center_axis',(0.,0.,-1.)); #419668=DIRECTION('ref_axis',(1.,0.,0.)); #419669=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #419670=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #419671=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #419672=DIRECTION('',(0.,0.,1.)); #419673=DIRECTION('',(5.55111499297693E-15,1.,0.)); #419674=DIRECTION('',(0.,0.,1.)); #419675=DIRECTION('center_axis',(0.,0.,1.)); #419676=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #419677=DIRECTION('center_axis',(0.,0.,-1.)); #419678=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #419679=DIRECTION('center_axis',(0.,0.,1.)); #419680=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #419681=DIRECTION('',(0.,0.,1.)); #419682=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #419683=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #419684=DIRECTION('',(5.55111499297693E-15,1.,0.)); #419685=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #419686=DIRECTION('',(0.,0.,1.)); #419687=DIRECTION('center_axis',(0.,0.,1.)); #419688=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #419689=DIRECTION('center_axis',(0.,0.,-1.)); #419690=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #419691=DIRECTION('center_axis',(0.,0.,1.)); #419692=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #419693=DIRECTION('center_axis',(0.,0.,1.)); #419694=DIRECTION('ref_axis',(1.,0.,0.)); #419695=DIRECTION('center_axis',(0.,0.,1.)); #419696=DIRECTION('ref_axis',(1.,0.,0.)); #419697=DIRECTION('',(0.,0.,1.)); #419698=DIRECTION('center_axis',(0.,0.,-1.)); #419699=DIRECTION('ref_axis',(1.,0.,0.)); #419700=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #419701=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #419702=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #419703=DIRECTION('',(0.,0.,1.)); #419704=DIRECTION('',(5.55111499297693E-15,1.,0.)); #419705=DIRECTION('',(0.,0.,1.)); #419706=DIRECTION('center_axis',(0.,0.,1.)); #419707=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #419708=DIRECTION('center_axis',(0.,0.,-1.)); #419709=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #419710=DIRECTION('center_axis',(0.,0.,1.)); #419711=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #419712=DIRECTION('',(0.,0.,1.)); #419713=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #419714=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #419715=DIRECTION('',(5.55111499297693E-15,1.,0.)); #419716=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #419717=DIRECTION('',(0.,0.,1.)); #419718=DIRECTION('center_axis',(0.,0.,1.)); #419719=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #419720=DIRECTION('center_axis',(0.,0.,-1.)); #419721=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #419722=DIRECTION('center_axis',(0.,0.,1.)); #419723=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #419724=DIRECTION('center_axis',(0.,0.,1.)); #419725=DIRECTION('ref_axis',(1.,0.,0.)); #419726=DIRECTION('center_axis',(0.,0.,1.)); #419727=DIRECTION('ref_axis',(1.,0.,0.)); #419728=DIRECTION('',(0.,0.,1.)); #419729=DIRECTION('center_axis',(0.,0.,-1.)); #419730=DIRECTION('ref_axis',(1.,0.,0.)); #419731=DIRECTION('center_axis',(0.,0.,1.)); #419732=DIRECTION('ref_axis',(1.,0.,0.)); #419733=DIRECTION('center_axis',(0.,0.,1.)); #419734=DIRECTION('ref_axis',(1.,0.,0.)); #419735=DIRECTION('',(0.,0.,1.)); #419736=DIRECTION('center_axis',(0.,0.,-1.)); #419737=DIRECTION('ref_axis',(1.,0.,0.)); #419738=DIRECTION('center_axis',(0.,0.,1.)); #419739=DIRECTION('ref_axis',(1.,0.,0.)); #419740=DIRECTION('center_axis',(0.,0.,1.)); #419741=DIRECTION('ref_axis',(1.,0.,0.)); #419742=DIRECTION('',(0.,0.,1.)); #419743=DIRECTION('center_axis',(0.,0.,-1.)); #419744=DIRECTION('ref_axis',(1.,0.,0.)); #419745=DIRECTION('center_axis',(0.,0.,1.)); #419746=DIRECTION('ref_axis',(1.,0.,0.)); #419747=DIRECTION('center_axis',(0.,0.,1.)); #419748=DIRECTION('ref_axis',(1.,0.,0.)); #419749=DIRECTION('',(0.,0.,1.)); #419750=DIRECTION('center_axis',(0.,0.,-1.)); #419751=DIRECTION('ref_axis',(1.,0.,0.)); #419752=DIRECTION('center_axis',(0.,0.,1.)); #419753=DIRECTION('ref_axis',(1.,0.,0.)); #419754=DIRECTION('center_axis',(0.,0.,1.)); #419755=DIRECTION('ref_axis',(1.,0.,0.)); #419756=DIRECTION('',(0.,0.,1.)); #419757=DIRECTION('center_axis',(0.,0.,-1.)); #419758=DIRECTION('ref_axis',(1.,0.,0.)); #419759=DIRECTION('center_axis',(0.,0.,1.)); #419760=DIRECTION('ref_axis',(1.,0.,0.)); #419761=DIRECTION('center_axis',(0.,0.,1.)); #419762=DIRECTION('ref_axis',(1.,0.,0.)); #419763=DIRECTION('',(0.,0.,1.)); #419764=DIRECTION('center_axis',(0.,0.,-1.)); #419765=DIRECTION('ref_axis',(1.,0.,0.)); #419766=DIRECTION('center_axis',(0.,0.,1.)); #419767=DIRECTION('ref_axis',(1.,0.,0.)); #419768=DIRECTION('center_axis',(0.,0.,1.)); #419769=DIRECTION('ref_axis',(1.,0.,0.)); #419770=DIRECTION('',(0.,0.,1.)); #419771=DIRECTION('center_axis',(0.,0.,-1.)); #419772=DIRECTION('ref_axis',(1.,0.,0.)); #419773=DIRECTION('center_axis',(0.,0.,1.)); #419774=DIRECTION('ref_axis',(1.,0.,0.)); #419775=DIRECTION('center_axis',(0.,0.,1.)); #419776=DIRECTION('ref_axis',(1.,0.,0.)); #419777=DIRECTION('',(0.,0.,1.)); #419778=DIRECTION('center_axis',(0.,0.,-1.)); #419779=DIRECTION('ref_axis',(1.,0.,0.)); #419780=DIRECTION('center_axis',(0.,0.,1.)); #419781=DIRECTION('ref_axis',(1.,0.,0.)); #419782=DIRECTION('center_axis',(0.,0.,1.)); #419783=DIRECTION('ref_axis',(1.,0.,0.)); #419784=DIRECTION('',(0.,0.,1.)); #419785=DIRECTION('center_axis',(0.,0.,-1.)); #419786=DIRECTION('ref_axis',(1.,0.,0.)); #419787=DIRECTION('center_axis',(0.,0.,1.)); #419788=DIRECTION('ref_axis',(1.,0.,0.)); #419789=DIRECTION('center_axis',(0.,0.,1.)); #419790=DIRECTION('ref_axis',(1.,0.,0.)); #419791=DIRECTION('',(0.,0.,1.)); #419792=DIRECTION('center_axis',(0.,0.,-1.)); #419793=DIRECTION('ref_axis',(1.,0.,0.)); #419794=DIRECTION('center_axis',(0.,0.,1.)); #419795=DIRECTION('ref_axis',(1.,0.,0.)); #419796=DIRECTION('center_axis',(0.,0.,1.)); #419797=DIRECTION('ref_axis',(1.,0.,0.)); #419798=DIRECTION('',(0.,0.,1.)); #419799=DIRECTION('center_axis',(0.,0.,-1.)); #419800=DIRECTION('ref_axis',(1.,0.,0.)); #419801=DIRECTION('center_axis',(0.,0.,1.)); #419802=DIRECTION('ref_axis',(1.,0.,0.)); #419803=DIRECTION('center_axis',(0.,0.,1.)); #419804=DIRECTION('ref_axis',(1.,0.,0.)); #419805=DIRECTION('',(0.,0.,1.)); #419806=DIRECTION('center_axis',(0.,0.,-1.)); #419807=DIRECTION('ref_axis',(1.,0.,0.)); #419808=DIRECTION('center_axis',(0.,0.,1.)); #419809=DIRECTION('ref_axis',(1.,0.,0.)); #419810=DIRECTION('center_axis',(0.,0.,1.)); #419811=DIRECTION('ref_axis',(1.,0.,0.)); #419812=DIRECTION('',(0.,0.,1.)); #419813=DIRECTION('center_axis',(0.,0.,-1.)); #419814=DIRECTION('ref_axis',(1.,0.,0.)); #419815=DIRECTION('center_axis',(0.,0.,1.)); #419816=DIRECTION('ref_axis',(1.,0.,0.)); #419817=DIRECTION('center_axis',(0.,0.,1.)); #419818=DIRECTION('ref_axis',(1.,0.,0.)); #419819=DIRECTION('',(0.,0.,1.)); #419820=DIRECTION('center_axis',(0.,0.,-1.)); #419821=DIRECTION('ref_axis',(1.,0.,0.)); #419822=DIRECTION('center_axis',(0.,0.,1.)); #419823=DIRECTION('ref_axis',(1.,0.,0.)); #419824=DIRECTION('center_axis',(0.,0.,1.)); #419825=DIRECTION('ref_axis',(1.,0.,0.)); #419826=DIRECTION('',(0.,0.,1.)); #419827=DIRECTION('center_axis',(0.,0.,-1.)); #419828=DIRECTION('ref_axis',(1.,0.,0.)); #419829=DIRECTION('center_axis',(0.,0.,1.)); #419830=DIRECTION('ref_axis',(1.,0.,0.)); #419831=DIRECTION('center_axis',(0.,0.,1.)); #419832=DIRECTION('ref_axis',(1.,0.,0.)); #419833=DIRECTION('',(0.,0.,1.)); #419834=DIRECTION('center_axis',(0.,0.,-1.)); #419835=DIRECTION('ref_axis',(1.,0.,0.)); #419836=DIRECTION('center_axis',(0.,0.,1.)); #419837=DIRECTION('ref_axis',(1.,0.,0.)); #419838=DIRECTION('center_axis',(0.,0.,1.)); #419839=DIRECTION('ref_axis',(1.,0.,0.)); #419840=DIRECTION('',(0.,0.,1.)); #419841=DIRECTION('center_axis',(0.,0.,-1.)); #419842=DIRECTION('ref_axis',(1.,0.,0.)); #419843=DIRECTION('center_axis',(0.,0.,1.)); #419844=DIRECTION('ref_axis',(1.,0.,0.)); #419845=DIRECTION('center_axis',(0.,0.,1.)); #419846=DIRECTION('ref_axis',(1.,0.,0.)); #419847=DIRECTION('',(0.,0.,1.)); #419848=DIRECTION('center_axis',(0.,0.,-1.)); #419849=DIRECTION('ref_axis',(1.,0.,0.)); #419850=DIRECTION('center_axis',(0.,0.,1.)); #419851=DIRECTION('ref_axis',(1.,0.,0.)); #419852=DIRECTION('center_axis',(0.,0.,1.)); #419853=DIRECTION('ref_axis',(1.,0.,0.)); #419854=DIRECTION('',(0.,0.,1.)); #419855=DIRECTION('center_axis',(0.,0.,-1.)); #419856=DIRECTION('ref_axis',(1.,0.,0.)); #419857=DIRECTION('center_axis',(0.,0.,1.)); #419858=DIRECTION('ref_axis',(1.,0.,0.)); #419859=DIRECTION('center_axis',(0.,0.,1.)); #419860=DIRECTION('ref_axis',(1.,0.,0.)); #419861=DIRECTION('',(0.,0.,1.)); #419862=DIRECTION('center_axis',(0.,0.,-1.)); #419863=DIRECTION('ref_axis',(1.,0.,0.)); #419864=DIRECTION('center_axis',(0.,0.,1.)); #419865=DIRECTION('ref_axis',(1.,0.,0.)); #419866=DIRECTION('center_axis',(0.,0.,1.)); #419867=DIRECTION('ref_axis',(1.,0.,0.)); #419868=DIRECTION('',(0.,0.,1.)); #419869=DIRECTION('center_axis',(0.,0.,-1.)); #419870=DIRECTION('ref_axis',(1.,0.,0.)); #419871=DIRECTION('center_axis',(0.,0.,1.)); #419872=DIRECTION('ref_axis',(1.,0.,0.)); #419873=DIRECTION('center_axis',(0.,0.,1.)); #419874=DIRECTION('ref_axis',(1.,0.,0.)); #419875=DIRECTION('',(0.,0.,1.)); #419876=DIRECTION('center_axis',(0.,0.,-1.)); #419877=DIRECTION('ref_axis',(1.,0.,0.)); #419878=DIRECTION('center_axis',(0.,0.,1.)); #419879=DIRECTION('ref_axis',(1.,0.,0.)); #419880=DIRECTION('center_axis',(0.,0.,1.)); #419881=DIRECTION('ref_axis',(1.,0.,0.)); #419882=DIRECTION('',(0.,0.,1.)); #419883=DIRECTION('center_axis',(0.,0.,-1.)); #419884=DIRECTION('ref_axis',(1.,0.,0.)); #419885=DIRECTION('center_axis',(0.,0.,1.)); #419886=DIRECTION('ref_axis',(1.,0.,0.)); #419887=DIRECTION('center_axis',(0.,0.,1.)); #419888=DIRECTION('ref_axis',(1.,0.,0.)); #419889=DIRECTION('',(0.,0.,1.)); #419890=DIRECTION('center_axis',(0.,0.,-1.)); #419891=DIRECTION('ref_axis',(1.,0.,0.)); #419892=DIRECTION('center_axis',(0.,0.,1.)); #419893=DIRECTION('ref_axis',(1.,0.,0.)); #419894=DIRECTION('center_axis',(0.,0.,1.)); #419895=DIRECTION('ref_axis',(1.,0.,0.)); #419896=DIRECTION('',(0.,0.,1.)); #419897=DIRECTION('center_axis',(0.,0.,-1.)); #419898=DIRECTION('ref_axis',(1.,0.,0.)); #419899=DIRECTION('center_axis',(0.,0.,1.)); #419900=DIRECTION('ref_axis',(1.,0.,0.)); #419901=DIRECTION('center_axis',(0.,0.,1.)); #419902=DIRECTION('ref_axis',(1.,0.,0.)); #419903=DIRECTION('',(0.,0.,1.)); #419904=DIRECTION('center_axis',(0.,0.,-1.)); #419905=DIRECTION('ref_axis',(1.,0.,0.)); #419906=DIRECTION('center_axis',(0.,0.,1.)); #419907=DIRECTION('ref_axis',(1.,0.,0.)); #419908=DIRECTION('center_axis',(0.,0.,1.)); #419909=DIRECTION('ref_axis',(1.,0.,0.)); #419910=DIRECTION('',(0.,0.,1.)); #419911=DIRECTION('center_axis',(0.,0.,-1.)); #419912=DIRECTION('ref_axis',(1.,0.,0.)); #419913=DIRECTION('center_axis',(0.,0.,1.)); #419914=DIRECTION('ref_axis',(1.,0.,0.)); #419915=DIRECTION('center_axis',(0.,0.,1.)); #419916=DIRECTION('ref_axis',(1.,0.,0.)); #419917=DIRECTION('',(0.,0.,1.)); #419918=DIRECTION('center_axis',(0.,0.,-1.)); #419919=DIRECTION('ref_axis',(1.,0.,0.)); #419920=DIRECTION('center_axis',(0.,0.,1.)); #419921=DIRECTION('ref_axis',(1.,0.,0.)); #419922=DIRECTION('center_axis',(0.,0.,1.)); #419923=DIRECTION('ref_axis',(1.,0.,0.)); #419924=DIRECTION('',(0.,0.,1.)); #419925=DIRECTION('center_axis',(0.,0.,-1.)); #419926=DIRECTION('ref_axis',(1.,0.,0.)); #419927=DIRECTION('center_axis',(0.,0.,1.)); #419928=DIRECTION('ref_axis',(1.,0.,0.)); #419929=DIRECTION('center_axis',(0.,0.,1.)); #419930=DIRECTION('ref_axis',(1.,0.,0.)); #419931=DIRECTION('',(0.,0.,1.)); #419932=DIRECTION('center_axis',(0.,0.,-1.)); #419933=DIRECTION('ref_axis',(1.,0.,0.)); #419934=DIRECTION('center_axis',(0.,0.,1.)); #419935=DIRECTION('ref_axis',(1.,0.,0.)); #419936=DIRECTION('center_axis',(0.,0.,1.)); #419937=DIRECTION('ref_axis',(1.,0.,0.)); #419938=DIRECTION('',(0.,0.,1.)); #419939=DIRECTION('center_axis',(0.,0.,-1.)); #419940=DIRECTION('ref_axis',(1.,0.,0.)); #419941=DIRECTION('center_axis',(0.,0.,1.)); #419942=DIRECTION('ref_axis',(1.,0.,0.)); #419943=DIRECTION('center_axis',(0.,0.,1.)); #419944=DIRECTION('ref_axis',(1.,0.,0.)); #419945=DIRECTION('',(0.,0.,1.)); #419946=DIRECTION('center_axis',(0.,0.,-1.)); #419947=DIRECTION('ref_axis',(1.,0.,0.)); #419948=DIRECTION('center_axis',(0.,0.,1.)); #419949=DIRECTION('ref_axis',(1.,0.,0.)); #419950=DIRECTION('center_axis',(0.,0.,1.)); #419951=DIRECTION('ref_axis',(1.,0.,0.)); #419952=DIRECTION('',(0.,0.,1.)); #419953=DIRECTION('center_axis',(0.,0.,-1.)); #419954=DIRECTION('ref_axis',(1.,0.,0.)); #419955=DIRECTION('center_axis',(0.,0.,1.)); #419956=DIRECTION('ref_axis',(1.,0.,0.)); #419957=DIRECTION('center_axis',(0.,0.,1.)); #419958=DIRECTION('ref_axis',(1.,0.,0.)); #419959=DIRECTION('',(0.,0.,1.)); #419960=DIRECTION('center_axis',(0.,0.,-1.)); #419961=DIRECTION('ref_axis',(1.,0.,0.)); #419962=DIRECTION('center_axis',(0.,0.,1.)); #419963=DIRECTION('ref_axis',(1.,0.,0.)); #419964=DIRECTION('center_axis',(0.,0.,1.)); #419965=DIRECTION('ref_axis',(1.,0.,0.)); #419966=DIRECTION('',(0.,0.,1.)); #419967=DIRECTION('center_axis',(0.,0.,-1.)); #419968=DIRECTION('ref_axis',(1.,0.,0.)); #419969=DIRECTION('center_axis',(0.,0.,1.)); #419970=DIRECTION('ref_axis',(1.,0.,0.)); #419971=DIRECTION('center_axis',(0.,0.,1.)); #419972=DIRECTION('ref_axis',(1.,0.,0.)); #419973=DIRECTION('',(0.,0.,1.)); #419974=DIRECTION('center_axis',(0.,0.,-1.)); #419975=DIRECTION('ref_axis',(1.,0.,0.)); #419976=DIRECTION('center_axis',(0.,0.,1.)); #419977=DIRECTION('ref_axis',(1.,0.,0.)); #419978=DIRECTION('center_axis',(0.,0.,1.)); #419979=DIRECTION('ref_axis',(1.,0.,0.)); #419980=DIRECTION('',(0.,0.,1.)); #419981=DIRECTION('center_axis',(0.,0.,-1.)); #419982=DIRECTION('ref_axis',(1.,0.,0.)); #419983=DIRECTION('center_axis',(0.,0.,1.)); #419984=DIRECTION('ref_axis',(1.,0.,0.)); #419985=DIRECTION('center_axis',(0.,0.,1.)); #419986=DIRECTION('ref_axis',(1.,0.,0.)); #419987=DIRECTION('',(0.,0.,1.)); #419988=DIRECTION('center_axis',(0.,0.,-1.)); #419989=DIRECTION('ref_axis',(1.,0.,0.)); #419990=DIRECTION('center_axis',(0.,0.,1.)); #419991=DIRECTION('ref_axis',(1.,0.,0.)); #419992=DIRECTION('center_axis',(0.,0.,1.)); #419993=DIRECTION('ref_axis',(1.,0.,0.)); #419994=DIRECTION('',(0.,0.,1.)); #419995=DIRECTION('center_axis',(0.,0.,-1.)); #419996=DIRECTION('ref_axis',(1.,0.,0.)); #419997=DIRECTION('center_axis',(0.,0.,1.)); #419998=DIRECTION('ref_axis',(1.,0.,0.)); #419999=DIRECTION('center_axis',(0.,0.,1.)); #420000=DIRECTION('ref_axis',(1.,0.,0.)); #420001=DIRECTION('',(0.,0.,1.)); #420002=DIRECTION('center_axis',(0.,0.,-1.)); #420003=DIRECTION('ref_axis',(1.,0.,0.)); #420004=DIRECTION('center_axis',(0.,0.,1.)); #420005=DIRECTION('ref_axis',(1.,0.,0.)); #420006=DIRECTION('center_axis',(0.,0.,1.)); #420007=DIRECTION('ref_axis',(1.,0.,0.)); #420008=DIRECTION('',(0.,0.,1.)); #420009=DIRECTION('center_axis',(0.,0.,-1.)); #420010=DIRECTION('ref_axis',(1.,0.,0.)); #420011=DIRECTION('center_axis',(0.,0.,1.)); #420012=DIRECTION('ref_axis',(1.,0.,0.)); #420013=DIRECTION('center_axis',(0.,0.,1.)); #420014=DIRECTION('ref_axis',(1.,0.,0.)); #420015=DIRECTION('',(0.,0.,1.)); #420016=DIRECTION('center_axis',(0.,0.,-1.)); #420017=DIRECTION('ref_axis',(1.,0.,0.)); #420018=DIRECTION('center_axis',(0.,0.,1.)); #420019=DIRECTION('ref_axis',(1.,0.,0.)); #420020=DIRECTION('center_axis',(0.,0.,1.)); #420021=DIRECTION('ref_axis',(1.,0.,0.)); #420022=DIRECTION('',(0.,0.,1.)); #420023=DIRECTION('center_axis',(0.,0.,-1.)); #420024=DIRECTION('ref_axis',(1.,0.,0.)); #420025=DIRECTION('center_axis',(0.,0.,1.)); #420026=DIRECTION('ref_axis',(1.,0.,0.)); #420027=DIRECTION('center_axis',(0.,0.,1.)); #420028=DIRECTION('ref_axis',(1.,0.,0.)); #420029=DIRECTION('',(0.,0.,1.)); #420030=DIRECTION('center_axis',(0.,0.,-1.)); #420031=DIRECTION('ref_axis',(1.,0.,0.)); #420032=DIRECTION('center_axis',(0.,0.,1.)); #420033=DIRECTION('ref_axis',(1.,0.,0.)); #420034=DIRECTION('center_axis',(0.,0.,1.)); #420035=DIRECTION('ref_axis',(1.,0.,0.)); #420036=DIRECTION('',(0.,0.,1.)); #420037=DIRECTION('center_axis',(0.,0.,-1.)); #420038=DIRECTION('ref_axis',(1.,0.,0.)); #420039=DIRECTION('center_axis',(0.,0.,1.)); #420040=DIRECTION('ref_axis',(1.,0.,0.)); #420041=DIRECTION('center_axis',(0.,0.,1.)); #420042=DIRECTION('ref_axis',(1.,0.,0.)); #420043=DIRECTION('',(0.,0.,1.)); #420044=DIRECTION('center_axis',(0.,0.,-1.)); #420045=DIRECTION('ref_axis',(1.,0.,0.)); #420046=DIRECTION('center_axis',(0.,0.,1.)); #420047=DIRECTION('ref_axis',(1.,0.,0.)); #420048=DIRECTION('center_axis',(0.,0.,1.)); #420049=DIRECTION('ref_axis',(1.,0.,0.)); #420050=DIRECTION('',(0.,0.,1.)); #420051=DIRECTION('center_axis',(0.,0.,-1.)); #420052=DIRECTION('ref_axis',(1.,0.,0.)); #420053=DIRECTION('center_axis',(0.,0.,1.)); #420054=DIRECTION('ref_axis',(1.,0.,0.)); #420055=DIRECTION('center_axis',(0.,0.,1.)); #420056=DIRECTION('ref_axis',(1.,0.,0.)); #420057=DIRECTION('',(0.,0.,1.)); #420058=DIRECTION('center_axis',(0.,0.,-1.)); #420059=DIRECTION('ref_axis',(1.,0.,0.)); #420060=DIRECTION('center_axis',(0.,0.,1.)); #420061=DIRECTION('ref_axis',(1.,0.,0.)); #420062=DIRECTION('center_axis',(0.,0.,1.)); #420063=DIRECTION('ref_axis',(1.,0.,0.)); #420064=DIRECTION('',(0.,0.,1.)); #420065=DIRECTION('center_axis',(0.,0.,-1.)); #420066=DIRECTION('ref_axis',(1.,0.,0.)); #420067=DIRECTION('center_axis',(0.,0.,1.)); #420068=DIRECTION('ref_axis',(1.,0.,0.)); #420069=DIRECTION('center_axis',(0.,0.,1.)); #420070=DIRECTION('ref_axis',(1.,0.,0.)); #420071=DIRECTION('',(0.,0.,1.)); #420072=DIRECTION('center_axis',(0.,0.,-1.)); #420073=DIRECTION('ref_axis',(1.,0.,0.)); #420074=DIRECTION('center_axis',(0.,0.,1.)); #420075=DIRECTION('ref_axis',(1.,0.,0.)); #420076=DIRECTION('center_axis',(0.,0.,1.)); #420077=DIRECTION('ref_axis',(1.,0.,0.)); #420078=DIRECTION('',(0.,0.,1.)); #420079=DIRECTION('center_axis',(0.,0.,-1.)); #420080=DIRECTION('ref_axis',(1.,0.,0.)); #420081=DIRECTION('center_axis',(0.,0.,1.)); #420082=DIRECTION('ref_axis',(1.,0.,0.)); #420083=DIRECTION('center_axis',(0.,0.,1.)); #420084=DIRECTION('ref_axis',(1.,0.,0.)); #420085=DIRECTION('',(0.,0.,1.)); #420086=DIRECTION('center_axis',(0.,0.,-1.)); #420087=DIRECTION('ref_axis',(1.,0.,0.)); #420088=DIRECTION('center_axis',(0.,0.,1.)); #420089=DIRECTION('ref_axis',(1.,0.,0.)); #420090=DIRECTION('center_axis',(0.,0.,1.)); #420091=DIRECTION('ref_axis',(1.,0.,0.)); #420092=DIRECTION('',(0.,0.,1.)); #420093=DIRECTION('center_axis',(0.,0.,-1.)); #420094=DIRECTION('ref_axis',(1.,0.,0.)); #420095=DIRECTION('center_axis',(0.,0.,1.)); #420096=DIRECTION('ref_axis',(1.,0.,0.)); #420097=DIRECTION('center_axis',(0.,0.,1.)); #420098=DIRECTION('ref_axis',(1.,0.,0.)); #420099=DIRECTION('',(0.,0.,1.)); #420100=DIRECTION('center_axis',(0.,0.,-1.)); #420101=DIRECTION('ref_axis',(1.,0.,0.)); #420102=DIRECTION('center_axis',(0.,0.,1.)); #420103=DIRECTION('ref_axis',(1.,0.,0.)); #420104=DIRECTION('center_axis',(0.,0.,1.)); #420105=DIRECTION('ref_axis',(1.,0.,0.)); #420106=DIRECTION('',(0.,0.,1.)); #420107=DIRECTION('center_axis',(0.,0.,-1.)); #420108=DIRECTION('ref_axis',(1.,0.,0.)); #420109=DIRECTION('center_axis',(0.,0.,1.)); #420110=DIRECTION('ref_axis',(1.,0.,0.)); #420111=DIRECTION('center_axis',(0.,0.,1.)); #420112=DIRECTION('ref_axis',(1.,0.,0.)); #420113=DIRECTION('',(0.,0.,1.)); #420114=DIRECTION('center_axis',(0.,0.,-1.)); #420115=DIRECTION('ref_axis',(1.,0.,0.)); #420116=DIRECTION('center_axis',(0.,0.,1.)); #420117=DIRECTION('ref_axis',(1.,0.,0.)); #420118=DIRECTION('center_axis',(0.,0.,1.)); #420119=DIRECTION('ref_axis',(1.,0.,0.)); #420120=DIRECTION('',(0.,0.,1.)); #420121=DIRECTION('center_axis',(0.,0.,-1.)); #420122=DIRECTION('ref_axis',(1.,0.,0.)); #420123=DIRECTION('center_axis',(0.,0.,1.)); #420124=DIRECTION('ref_axis',(1.,0.,0.)); #420125=DIRECTION('center_axis',(0.,0.,1.)); #420126=DIRECTION('ref_axis',(1.,0.,0.)); #420127=DIRECTION('',(0.,0.,1.)); #420128=DIRECTION('center_axis',(0.,0.,-1.)); #420129=DIRECTION('ref_axis',(1.,0.,0.)); #420130=DIRECTION('center_axis',(0.,0.,1.)); #420131=DIRECTION('ref_axis',(1.,0.,0.)); #420132=DIRECTION('center_axis',(0.,0.,1.)); #420133=DIRECTION('ref_axis',(1.,0.,0.)); #420134=DIRECTION('',(0.,0.,1.)); #420135=DIRECTION('center_axis',(0.,0.,-1.)); #420136=DIRECTION('ref_axis',(1.,0.,0.)); #420137=DIRECTION('center_axis',(0.,0.,1.)); #420138=DIRECTION('ref_axis',(1.,0.,0.)); #420139=DIRECTION('center_axis',(0.,0.,1.)); #420140=DIRECTION('ref_axis',(1.,0.,0.)); #420141=DIRECTION('',(0.,0.,1.)); #420142=DIRECTION('center_axis',(0.,0.,-1.)); #420143=DIRECTION('ref_axis',(1.,0.,0.)); #420144=DIRECTION('center_axis',(0.,0.,1.)); #420145=DIRECTION('ref_axis',(1.,0.,0.)); #420146=DIRECTION('center_axis',(0.,0.,1.)); #420147=DIRECTION('ref_axis',(1.,0.,0.)); #420148=DIRECTION('',(0.,0.,1.)); #420149=DIRECTION('center_axis',(0.,0.,-1.)); #420150=DIRECTION('ref_axis',(1.,0.,0.)); #420151=DIRECTION('center_axis',(0.,0.,1.)); #420152=DIRECTION('ref_axis',(1.,0.,0.)); #420153=DIRECTION('center_axis',(0.,0.,1.)); #420154=DIRECTION('ref_axis',(1.,0.,0.)); #420155=DIRECTION('',(0.,0.,1.)); #420156=DIRECTION('center_axis',(0.,0.,-1.)); #420157=DIRECTION('ref_axis',(1.,0.,0.)); #420158=DIRECTION('center_axis',(0.,0.,1.)); #420159=DIRECTION('ref_axis',(1.,0.,0.)); #420160=DIRECTION('center_axis',(0.,0.,1.)); #420161=DIRECTION('ref_axis',(1.,0.,0.)); #420162=DIRECTION('',(0.,0.,1.)); #420163=DIRECTION('center_axis',(0.,0.,-1.)); #420164=DIRECTION('ref_axis',(1.,0.,0.)); #420165=DIRECTION('center_axis',(0.,0.,1.)); #420166=DIRECTION('ref_axis',(1.,0.,0.)); #420167=DIRECTION('center_axis',(0.,0.,1.)); #420168=DIRECTION('ref_axis',(1.,0.,0.)); #420169=DIRECTION('',(0.,0.,1.)); #420170=DIRECTION('center_axis',(0.,0.,-1.)); #420171=DIRECTION('ref_axis',(1.,0.,0.)); #420172=DIRECTION('center_axis',(0.,0.,1.)); #420173=DIRECTION('ref_axis',(1.,0.,0.)); #420174=DIRECTION('center_axis',(0.,0.,1.)); #420175=DIRECTION('ref_axis',(1.,0.,0.)); #420176=DIRECTION('',(0.,0.,1.)); #420177=DIRECTION('center_axis',(0.,0.,-1.)); #420178=DIRECTION('ref_axis',(1.,0.,0.)); #420179=DIRECTION('center_axis',(0.,0.,1.)); #420180=DIRECTION('ref_axis',(1.,0.,0.)); #420181=DIRECTION('center_axis',(0.,0.,1.)); #420182=DIRECTION('ref_axis',(1.,0.,0.)); #420183=DIRECTION('',(0.,0.,1.)); #420184=DIRECTION('center_axis',(0.,0.,-1.)); #420185=DIRECTION('ref_axis',(1.,0.,0.)); #420186=DIRECTION('center_axis',(0.,0.,1.)); #420187=DIRECTION('ref_axis',(1.,0.,0.)); #420188=DIRECTION('center_axis',(0.,0.,1.)); #420189=DIRECTION('ref_axis',(1.,0.,0.)); #420190=DIRECTION('',(0.,0.,1.)); #420191=DIRECTION('center_axis',(0.,0.,-1.)); #420192=DIRECTION('ref_axis',(1.,0.,0.)); #420193=DIRECTION('center_axis',(0.,0.,1.)); #420194=DIRECTION('ref_axis',(1.,0.,0.)); #420195=DIRECTION('center_axis',(0.,0.,1.)); #420196=DIRECTION('ref_axis',(1.,0.,0.)); #420197=DIRECTION('',(0.,0.,1.)); #420198=DIRECTION('center_axis',(0.,0.,-1.)); #420199=DIRECTION('ref_axis',(1.,0.,0.)); #420200=DIRECTION('center_axis',(0.,0.,1.)); #420201=DIRECTION('ref_axis',(1.,0.,0.)); #420202=DIRECTION('center_axis',(0.,0.,1.)); #420203=DIRECTION('ref_axis',(1.,0.,0.)); #420204=DIRECTION('',(0.,0.,1.)); #420205=DIRECTION('center_axis',(0.,0.,-1.)); #420206=DIRECTION('ref_axis',(1.,0.,0.)); #420207=DIRECTION('center_axis',(0.,0.,1.)); #420208=DIRECTION('ref_axis',(1.,0.,0.)); #420209=DIRECTION('center_axis',(0.,0.,1.)); #420210=DIRECTION('ref_axis',(1.,0.,0.)); #420211=DIRECTION('',(0.,0.,1.)); #420212=DIRECTION('center_axis',(0.,0.,-1.)); #420213=DIRECTION('ref_axis',(1.,0.,0.)); #420214=DIRECTION('center_axis',(0.,0.,1.)); #420215=DIRECTION('ref_axis',(1.,0.,0.)); #420216=DIRECTION('center_axis',(0.,0.,1.)); #420217=DIRECTION('ref_axis',(1.,0.,0.)); #420218=DIRECTION('',(0.,0.,1.)); #420219=DIRECTION('center_axis',(0.,0.,-1.)); #420220=DIRECTION('ref_axis',(1.,0.,0.)); #420221=DIRECTION('center_axis',(0.,0.,1.)); #420222=DIRECTION('ref_axis',(1.,0.,0.)); #420223=DIRECTION('center_axis',(0.,0.,1.)); #420224=DIRECTION('ref_axis',(1.,0.,0.)); #420225=DIRECTION('',(0.,0.,1.)); #420226=DIRECTION('center_axis',(0.,0.,-1.)); #420227=DIRECTION('ref_axis',(1.,0.,0.)); #420228=DIRECTION('center_axis',(0.,0.,1.)); #420229=DIRECTION('ref_axis',(1.,0.,0.)); #420230=DIRECTION('center_axis',(0.,0.,1.)); #420231=DIRECTION('ref_axis',(1.,0.,0.)); #420232=DIRECTION('',(0.,0.,1.)); #420233=DIRECTION('center_axis',(0.,0.,-1.)); #420234=DIRECTION('ref_axis',(1.,0.,0.)); #420235=DIRECTION('center_axis',(0.,0.,1.)); #420236=DIRECTION('ref_axis',(1.,0.,0.)); #420237=DIRECTION('center_axis',(0.,0.,1.)); #420238=DIRECTION('ref_axis',(1.,0.,0.)); #420239=DIRECTION('',(0.,0.,1.)); #420240=DIRECTION('center_axis',(0.,0.,-1.)); #420241=DIRECTION('ref_axis',(1.,0.,0.)); #420242=DIRECTION('center_axis',(0.,0.,1.)); #420243=DIRECTION('ref_axis',(1.,0.,0.)); #420244=DIRECTION('center_axis',(0.,0.,1.)); #420245=DIRECTION('ref_axis',(1.,0.,0.)); #420246=DIRECTION('',(0.,0.,1.)); #420247=DIRECTION('center_axis',(0.,0.,-1.)); #420248=DIRECTION('ref_axis',(1.,0.,0.)); #420249=DIRECTION('center_axis',(0.,0.,1.)); #420250=DIRECTION('ref_axis',(1.,0.,0.)); #420251=DIRECTION('center_axis',(0.,0.,1.)); #420252=DIRECTION('ref_axis',(1.,0.,0.)); #420253=DIRECTION('',(0.,0.,1.)); #420254=DIRECTION('center_axis',(0.,0.,-1.)); #420255=DIRECTION('ref_axis',(1.,0.,0.)); #420256=DIRECTION('center_axis',(0.,0.,1.)); #420257=DIRECTION('ref_axis',(1.,0.,0.)); #420258=DIRECTION('center_axis',(0.,0.,1.)); #420259=DIRECTION('ref_axis',(1.,0.,0.)); #420260=DIRECTION('',(0.,0.,1.)); #420261=DIRECTION('center_axis',(0.,0.,-1.)); #420262=DIRECTION('ref_axis',(1.,0.,0.)); #420263=DIRECTION('center_axis',(0.,0.,1.)); #420264=DIRECTION('ref_axis',(1.,0.,0.)); #420265=DIRECTION('center_axis',(0.,0.,1.)); #420266=DIRECTION('ref_axis',(1.,0.,0.)); #420267=DIRECTION('',(0.,0.,1.)); #420268=DIRECTION('center_axis',(0.,0.,-1.)); #420269=DIRECTION('ref_axis',(1.,0.,0.)); #420270=DIRECTION('center_axis',(0.,0.,1.)); #420271=DIRECTION('ref_axis',(1.,0.,0.)); #420272=DIRECTION('center_axis',(0.,0.,1.)); #420273=DIRECTION('ref_axis',(1.,0.,0.)); #420274=DIRECTION('',(0.,0.,1.)); #420275=DIRECTION('center_axis',(0.,0.,-1.)); #420276=DIRECTION('ref_axis',(1.,0.,0.)); #420277=DIRECTION('center_axis',(0.,0.,1.)); #420278=DIRECTION('ref_axis',(1.,0.,0.)); #420279=DIRECTION('center_axis',(0.,0.,1.)); #420280=DIRECTION('ref_axis',(1.,0.,0.)); #420281=DIRECTION('',(0.,0.,1.)); #420282=DIRECTION('center_axis',(0.,0.,-1.)); #420283=DIRECTION('ref_axis',(1.,0.,0.)); #420284=DIRECTION('center_axis',(0.,0.,1.)); #420285=DIRECTION('ref_axis',(1.,0.,0.)); #420286=DIRECTION('center_axis',(0.,0.,1.)); #420287=DIRECTION('ref_axis',(1.,0.,0.)); #420288=DIRECTION('',(0.,0.,1.)); #420289=DIRECTION('center_axis',(0.,0.,-1.)); #420290=DIRECTION('ref_axis',(1.,0.,0.)); #420291=DIRECTION('center_axis',(0.,0.,1.)); #420292=DIRECTION('ref_axis',(1.,0.,0.)); #420293=DIRECTION('center_axis',(0.,0.,1.)); #420294=DIRECTION('ref_axis',(1.,0.,0.)); #420295=DIRECTION('',(0.,0.,1.)); #420296=DIRECTION('center_axis',(0.,0.,-1.)); #420297=DIRECTION('ref_axis',(1.,0.,0.)); #420298=DIRECTION('center_axis',(0.,0.,1.)); #420299=DIRECTION('ref_axis',(1.,0.,0.)); #420300=DIRECTION('center_axis',(0.,0.,1.)); #420301=DIRECTION('ref_axis',(1.,0.,0.)); #420302=DIRECTION('',(0.,0.,1.)); #420303=DIRECTION('center_axis',(0.,0.,-1.)); #420304=DIRECTION('ref_axis',(1.,0.,0.)); #420305=DIRECTION('center_axis',(0.,0.,1.)); #420306=DIRECTION('ref_axis',(1.,0.,0.)); #420307=DIRECTION('center_axis',(0.,0.,1.)); #420308=DIRECTION('ref_axis',(1.,0.,0.)); #420309=DIRECTION('',(0.,0.,1.)); #420310=DIRECTION('center_axis',(0.,0.,-1.)); #420311=DIRECTION('ref_axis',(1.,0.,0.)); #420312=DIRECTION('center_axis',(0.,0.,1.)); #420313=DIRECTION('ref_axis',(1.,0.,0.)); #420314=DIRECTION('center_axis',(0.,0.,1.)); #420315=DIRECTION('ref_axis',(1.,0.,0.)); #420316=DIRECTION('',(0.,0.,1.)); #420317=DIRECTION('center_axis',(0.,0.,-1.)); #420318=DIRECTION('ref_axis',(1.,0.,0.)); #420319=DIRECTION('center_axis',(0.,0.,1.)); #420320=DIRECTION('ref_axis',(1.,0.,0.)); #420321=DIRECTION('center_axis',(0.,0.,1.)); #420322=DIRECTION('ref_axis',(1.,0.,0.)); #420323=DIRECTION('',(0.,0.,1.)); #420324=DIRECTION('center_axis',(0.,0.,-1.)); #420325=DIRECTION('ref_axis',(1.,0.,0.)); #420326=DIRECTION('center_axis',(0.,0.,1.)); #420327=DIRECTION('ref_axis',(1.,0.,0.)); #420328=DIRECTION('center_axis',(0.,0.,1.)); #420329=DIRECTION('ref_axis',(1.,0.,0.)); #420330=DIRECTION('',(0.,0.,1.)); #420331=DIRECTION('center_axis',(0.,0.,-1.)); #420332=DIRECTION('ref_axis',(1.,0.,0.)); #420333=DIRECTION('center_axis',(0.,0.,1.)); #420334=DIRECTION('ref_axis',(1.,0.,0.)); #420335=DIRECTION('center_axis',(0.,0.,1.)); #420336=DIRECTION('ref_axis',(1.,0.,0.)); #420337=DIRECTION('',(0.,0.,1.)); #420338=DIRECTION('center_axis',(0.,0.,-1.)); #420339=DIRECTION('ref_axis',(1.,0.,0.)); #420340=DIRECTION('center_axis',(0.,0.,1.)); #420341=DIRECTION('ref_axis',(1.,0.,0.)); #420342=DIRECTION('center_axis',(0.,0.,1.)); #420343=DIRECTION('ref_axis',(1.,0.,0.)); #420344=DIRECTION('',(0.,0.,1.)); #420345=DIRECTION('center_axis',(0.,0.,-1.)); #420346=DIRECTION('ref_axis',(1.,0.,0.)); #420347=DIRECTION('center_axis',(0.,0.,1.)); #420348=DIRECTION('ref_axis',(1.,0.,0.)); #420349=DIRECTION('center_axis',(0.,0.,1.)); #420350=DIRECTION('ref_axis',(1.,0.,0.)); #420351=DIRECTION('',(0.,0.,1.)); #420352=DIRECTION('center_axis',(0.,0.,-1.)); #420353=DIRECTION('ref_axis',(1.,0.,0.)); #420354=DIRECTION('center_axis',(0.,0.,1.)); #420355=DIRECTION('ref_axis',(1.,0.,0.)); #420356=DIRECTION('center_axis',(0.,0.,1.)); #420357=DIRECTION('ref_axis',(1.,0.,0.)); #420358=DIRECTION('',(0.,0.,1.)); #420359=DIRECTION('center_axis',(0.,0.,-1.)); #420360=DIRECTION('ref_axis',(1.,0.,0.)); #420361=DIRECTION('center_axis',(0.,0.,1.)); #420362=DIRECTION('ref_axis',(1.,0.,0.)); #420363=DIRECTION('center_axis',(0.,0.,1.)); #420364=DIRECTION('ref_axis',(1.,0.,0.)); #420365=DIRECTION('',(0.,0.,1.)); #420366=DIRECTION('center_axis',(0.,0.,-1.)); #420367=DIRECTION('ref_axis',(1.,0.,0.)); #420368=DIRECTION('center_axis',(0.,0.,1.)); #420369=DIRECTION('ref_axis',(1.,0.,0.)); #420370=DIRECTION('center_axis',(0.,0.,1.)); #420371=DIRECTION('ref_axis',(1.,0.,0.)); #420372=DIRECTION('',(0.,0.,1.)); #420373=DIRECTION('center_axis',(0.,0.,-1.)); #420374=DIRECTION('ref_axis',(1.,0.,0.)); #420375=DIRECTION('center_axis',(0.,0.,1.)); #420376=DIRECTION('ref_axis',(1.,0.,0.)); #420377=DIRECTION('center_axis',(0.,0.,1.)); #420378=DIRECTION('ref_axis',(1.,0.,0.)); #420379=DIRECTION('',(0.,0.,1.)); #420380=DIRECTION('center_axis',(0.,0.,-1.)); #420381=DIRECTION('ref_axis',(1.,0.,0.)); #420382=DIRECTION('center_axis',(0.,0.,1.)); #420383=DIRECTION('ref_axis',(1.,0.,0.)); #420384=DIRECTION('center_axis',(0.,0.,1.)); #420385=DIRECTION('ref_axis',(1.,0.,0.)); #420386=DIRECTION('',(0.,0.,1.)); #420387=DIRECTION('center_axis',(0.,0.,-1.)); #420388=DIRECTION('ref_axis',(1.,0.,0.)); #420389=DIRECTION('center_axis',(0.,0.,1.)); #420390=DIRECTION('ref_axis',(1.,0.,0.)); #420391=DIRECTION('center_axis',(0.,0.,1.)); #420392=DIRECTION('ref_axis',(1.,0.,0.)); #420393=DIRECTION('',(0.,0.,1.)); #420394=DIRECTION('center_axis',(0.,0.,-1.)); #420395=DIRECTION('ref_axis',(1.,0.,0.)); #420396=DIRECTION('center_axis',(0.,0.,1.)); #420397=DIRECTION('ref_axis',(1.,0.,0.)); #420398=DIRECTION('center_axis',(0.,0.,1.)); #420399=DIRECTION('ref_axis',(1.,0.,0.)); #420400=DIRECTION('',(0.,0.,1.)); #420401=DIRECTION('center_axis',(0.,0.,-1.)); #420402=DIRECTION('ref_axis',(1.,0.,0.)); #420403=DIRECTION('center_axis',(0.,0.,1.)); #420404=DIRECTION('ref_axis',(1.,0.,0.)); #420405=DIRECTION('center_axis',(0.,0.,1.)); #420406=DIRECTION('ref_axis',(1.,0.,0.)); #420407=DIRECTION('',(0.,0.,1.)); #420408=DIRECTION('center_axis',(0.,0.,-1.)); #420409=DIRECTION('ref_axis',(1.,0.,0.)); #420410=DIRECTION('center_axis',(0.,0.,1.)); #420411=DIRECTION('ref_axis',(1.,0.,0.)); #420412=DIRECTION('center_axis',(0.,0.,1.)); #420413=DIRECTION('ref_axis',(1.,0.,0.)); #420414=DIRECTION('',(0.,0.,1.)); #420415=DIRECTION('center_axis',(0.,0.,-1.)); #420416=DIRECTION('ref_axis',(1.,0.,0.)); #420417=DIRECTION('center_axis',(0.,0.,1.)); #420418=DIRECTION('ref_axis',(1.,0.,0.)); #420419=DIRECTION('center_axis',(0.,0.,1.)); #420420=DIRECTION('ref_axis',(1.,0.,0.)); #420421=DIRECTION('',(0.,0.,1.)); #420422=DIRECTION('center_axis',(0.,0.,-1.)); #420423=DIRECTION('ref_axis',(1.,0.,0.)); #420424=DIRECTION('center_axis',(0.,0.,1.)); #420425=DIRECTION('ref_axis',(1.,0.,0.)); #420426=DIRECTION('center_axis',(0.,0.,1.)); #420427=DIRECTION('ref_axis',(1.,0.,0.)); #420428=DIRECTION('',(0.,0.,1.)); #420429=DIRECTION('center_axis',(0.,0.,-1.)); #420430=DIRECTION('ref_axis',(1.,0.,0.)); #420431=DIRECTION('center_axis',(0.,0.,1.)); #420432=DIRECTION('ref_axis',(1.,0.,0.)); #420433=DIRECTION('center_axis',(0.,0.,1.)); #420434=DIRECTION('ref_axis',(1.,0.,0.)); #420435=DIRECTION('',(0.,0.,1.)); #420436=DIRECTION('center_axis',(0.,0.,-1.)); #420437=DIRECTION('ref_axis',(1.,0.,0.)); #420438=DIRECTION('center_axis',(0.,0.,1.)); #420439=DIRECTION('ref_axis',(1.,0.,0.)); #420440=DIRECTION('center_axis',(0.,0.,1.)); #420441=DIRECTION('ref_axis',(1.,0.,0.)); #420442=DIRECTION('',(0.,0.,1.)); #420443=DIRECTION('center_axis',(0.,0.,-1.)); #420444=DIRECTION('ref_axis',(1.,0.,0.)); #420445=DIRECTION('center_axis',(0.,0.,1.)); #420446=DIRECTION('ref_axis',(1.,0.,0.)); #420447=DIRECTION('center_axis',(0.,0.,1.)); #420448=DIRECTION('ref_axis',(1.,0.,0.)); #420449=DIRECTION('',(0.,0.,1.)); #420450=DIRECTION('center_axis',(0.,0.,-1.)); #420451=DIRECTION('ref_axis',(1.,0.,0.)); #420452=DIRECTION('center_axis',(0.,0.,1.)); #420453=DIRECTION('ref_axis',(1.,0.,0.)); #420454=DIRECTION('center_axis',(0.,0.,1.)); #420455=DIRECTION('ref_axis',(1.,0.,0.)); #420456=DIRECTION('',(0.,0.,1.)); #420457=DIRECTION('center_axis',(0.,0.,-1.)); #420458=DIRECTION('ref_axis',(1.,0.,0.)); #420459=DIRECTION('center_axis',(0.,0.,1.)); #420460=DIRECTION('ref_axis',(1.,0.,0.)); #420461=DIRECTION('center_axis',(0.,0.,1.)); #420462=DIRECTION('ref_axis',(1.,0.,0.)); #420463=DIRECTION('',(0.,0.,1.)); #420464=DIRECTION('center_axis',(0.,0.,-1.)); #420465=DIRECTION('ref_axis',(1.,0.,0.)); #420466=DIRECTION('center_axis',(0.,0.,1.)); #420467=DIRECTION('ref_axis',(1.,0.,0.)); #420468=DIRECTION('center_axis',(0.,0.,1.)); #420469=DIRECTION('ref_axis',(1.,0.,0.)); #420470=DIRECTION('',(0.,0.,1.)); #420471=DIRECTION('center_axis',(0.,0.,-1.)); #420472=DIRECTION('ref_axis',(1.,0.,0.)); #420473=DIRECTION('center_axis',(0.,0.,1.)); #420474=DIRECTION('ref_axis',(1.,0.,0.)); #420475=DIRECTION('center_axis',(0.,0.,1.)); #420476=DIRECTION('ref_axis',(1.,0.,0.)); #420477=DIRECTION('',(0.,0.,1.)); #420478=DIRECTION('center_axis',(0.,0.,-1.)); #420479=DIRECTION('ref_axis',(1.,0.,0.)); #420480=DIRECTION('center_axis',(0.,0.,1.)); #420481=DIRECTION('ref_axis',(1.,0.,0.)); #420482=DIRECTION('center_axis',(0.,0.,1.)); #420483=DIRECTION('ref_axis',(1.,0.,0.)); #420484=DIRECTION('',(0.,0.,1.)); #420485=DIRECTION('center_axis',(0.,0.,-1.)); #420486=DIRECTION('ref_axis',(1.,0.,0.)); #420487=DIRECTION('center_axis',(0.,0.,1.)); #420488=DIRECTION('ref_axis',(1.,0.,0.)); #420489=DIRECTION('center_axis',(0.,0.,1.)); #420490=DIRECTION('ref_axis',(1.,0.,0.)); #420491=DIRECTION('',(0.,0.,1.)); #420492=DIRECTION('center_axis',(0.,0.,-1.)); #420493=DIRECTION('ref_axis',(1.,0.,0.)); #420494=DIRECTION('center_axis',(0.,0.,1.)); #420495=DIRECTION('ref_axis',(1.,0.,0.)); #420496=DIRECTION('center_axis',(0.,0.,1.)); #420497=DIRECTION('ref_axis',(1.,0.,0.)); #420498=DIRECTION('',(0.,0.,1.)); #420499=DIRECTION('center_axis',(0.,0.,-1.)); #420500=DIRECTION('ref_axis',(1.,0.,0.)); #420501=DIRECTION('center_axis',(0.,0.,1.)); #420502=DIRECTION('ref_axis',(1.,0.,0.)); #420503=DIRECTION('center_axis',(0.,0.,1.)); #420504=DIRECTION('ref_axis',(1.,0.,0.)); #420505=DIRECTION('',(0.,0.,1.)); #420506=DIRECTION('center_axis',(0.,0.,-1.)); #420507=DIRECTION('ref_axis',(1.,0.,0.)); #420508=DIRECTION('center_axis',(0.,0.,1.)); #420509=DIRECTION('ref_axis',(1.,0.,0.)); #420510=DIRECTION('center_axis',(0.,0.,1.)); #420511=DIRECTION('ref_axis',(1.,0.,0.)); #420512=DIRECTION('',(0.,0.,1.)); #420513=DIRECTION('center_axis',(0.,0.,-1.)); #420514=DIRECTION('ref_axis',(1.,0.,0.)); #420515=DIRECTION('center_axis',(0.,0.,1.)); #420516=DIRECTION('ref_axis',(1.,0.,0.)); #420517=DIRECTION('center_axis',(0.,0.,1.)); #420518=DIRECTION('ref_axis',(1.,0.,0.)); #420519=DIRECTION('',(0.,0.,1.)); #420520=DIRECTION('center_axis',(0.,0.,-1.)); #420521=DIRECTION('ref_axis',(1.,0.,0.)); #420522=DIRECTION('center_axis',(0.,0.,1.)); #420523=DIRECTION('ref_axis',(1.,0.,0.)); #420524=DIRECTION('center_axis',(0.,0.,1.)); #420525=DIRECTION('ref_axis',(1.,0.,0.)); #420526=DIRECTION('',(0.,0.,1.)); #420527=DIRECTION('center_axis',(0.,0.,-1.)); #420528=DIRECTION('ref_axis',(1.,0.,0.)); #420529=DIRECTION('center_axis',(0.,0.,1.)); #420530=DIRECTION('ref_axis',(1.,0.,0.)); #420531=DIRECTION('center_axis',(0.,0.,1.)); #420532=DIRECTION('ref_axis',(1.,0.,0.)); #420533=DIRECTION('',(0.,0.,1.)); #420534=DIRECTION('center_axis',(0.,0.,-1.)); #420535=DIRECTION('ref_axis',(1.,0.,0.)); #420536=DIRECTION('center_axis',(0.,0.,1.)); #420537=DIRECTION('ref_axis',(1.,0.,0.)); #420538=DIRECTION('center_axis',(0.,0.,1.)); #420539=DIRECTION('ref_axis',(1.,0.,0.)); #420540=DIRECTION('',(0.,0.,1.)); #420541=DIRECTION('center_axis',(0.,0.,-1.)); #420542=DIRECTION('ref_axis',(1.,0.,0.)); #420543=DIRECTION('center_axis',(0.,0.,1.)); #420544=DIRECTION('ref_axis',(1.,0.,0.)); #420545=DIRECTION('center_axis',(0.,0.,1.)); #420546=DIRECTION('ref_axis',(1.,0.,0.)); #420547=DIRECTION('',(0.,0.,1.)); #420548=DIRECTION('center_axis',(0.,0.,-1.)); #420549=DIRECTION('ref_axis',(1.,0.,0.)); #420550=DIRECTION('center_axis',(0.,0.,1.)); #420551=DIRECTION('ref_axis',(1.,0.,0.)); #420552=DIRECTION('center_axis',(0.,0.,1.)); #420553=DIRECTION('ref_axis',(1.,0.,0.)); #420554=DIRECTION('',(0.,0.,1.)); #420555=DIRECTION('center_axis',(0.,0.,-1.)); #420556=DIRECTION('ref_axis',(1.,0.,0.)); #420557=DIRECTION('center_axis',(0.,0.,1.)); #420558=DIRECTION('ref_axis',(1.,0.,0.)); #420559=DIRECTION('center_axis',(0.,0.,1.)); #420560=DIRECTION('ref_axis',(1.,0.,0.)); #420561=DIRECTION('',(0.,0.,1.)); #420562=DIRECTION('center_axis',(0.,0.,-1.)); #420563=DIRECTION('ref_axis',(1.,0.,0.)); #420564=DIRECTION('center_axis',(0.,0.,1.)); #420565=DIRECTION('ref_axis',(1.,0.,0.)); #420566=DIRECTION('center_axis',(0.,0.,1.)); #420567=DIRECTION('ref_axis',(1.,0.,0.)); #420568=DIRECTION('',(0.,0.,1.)); #420569=DIRECTION('center_axis',(0.,0.,-1.)); #420570=DIRECTION('ref_axis',(1.,0.,0.)); #420571=DIRECTION('center_axis',(0.,0.,1.)); #420572=DIRECTION('ref_axis',(1.,0.,0.)); #420573=DIRECTION('center_axis',(0.,0.,1.)); #420574=DIRECTION('ref_axis',(1.,0.,0.)); #420575=DIRECTION('',(0.,0.,1.)); #420576=DIRECTION('center_axis',(0.,0.,-1.)); #420577=DIRECTION('ref_axis',(1.,0.,0.)); #420578=DIRECTION('center_axis',(0.,0.,1.)); #420579=DIRECTION('ref_axis',(1.,0.,0.)); #420580=DIRECTION('center_axis',(0.,0.,1.)); #420581=DIRECTION('ref_axis',(1.,0.,0.)); #420582=DIRECTION('',(0.,0.,1.)); #420583=DIRECTION('center_axis',(0.,0.,-1.)); #420584=DIRECTION('ref_axis',(1.,0.,0.)); #420585=DIRECTION('center_axis',(0.,0.,1.)); #420586=DIRECTION('ref_axis',(1.,0.,0.)); #420587=DIRECTION('center_axis',(0.,0.,1.)); #420588=DIRECTION('ref_axis',(1.,0.,0.)); #420589=DIRECTION('',(0.,0.,1.)); #420590=DIRECTION('center_axis',(0.,0.,-1.)); #420591=DIRECTION('ref_axis',(1.,0.,0.)); #420592=DIRECTION('center_axis',(0.,0.,1.)); #420593=DIRECTION('ref_axis',(1.,0.,0.)); #420594=DIRECTION('center_axis',(0.,0.,1.)); #420595=DIRECTION('ref_axis',(1.,0.,0.)); #420596=DIRECTION('',(0.,0.,1.)); #420597=DIRECTION('center_axis',(0.,0.,-1.)); #420598=DIRECTION('ref_axis',(1.,0.,0.)); #420599=DIRECTION('center_axis',(0.,0.,1.)); #420600=DIRECTION('ref_axis',(1.,0.,0.)); #420601=DIRECTION('center_axis',(0.,0.,1.)); #420602=DIRECTION('ref_axis',(1.,0.,0.)); #420603=DIRECTION('',(0.,0.,1.)); #420604=DIRECTION('center_axis',(0.,0.,-1.)); #420605=DIRECTION('ref_axis',(1.,0.,0.)); #420606=DIRECTION('center_axis',(0.,0.,1.)); #420607=DIRECTION('ref_axis',(1.,0.,0.)); #420608=DIRECTION('center_axis',(0.,0.,1.)); #420609=DIRECTION('ref_axis',(1.,0.,0.)); #420610=DIRECTION('',(0.,0.,1.)); #420611=DIRECTION('center_axis',(0.,0.,-1.)); #420612=DIRECTION('ref_axis',(1.,0.,0.)); #420613=DIRECTION('center_axis',(0.,0.,1.)); #420614=DIRECTION('ref_axis',(1.,0.,0.)); #420615=DIRECTION('center_axis',(0.,0.,1.)); #420616=DIRECTION('ref_axis',(1.,0.,0.)); #420617=DIRECTION('',(0.,0.,1.)); #420618=DIRECTION('center_axis',(0.,0.,-1.)); #420619=DIRECTION('ref_axis',(1.,0.,0.)); #420620=DIRECTION('center_axis',(0.,0.,1.)); #420621=DIRECTION('ref_axis',(1.,0.,0.)); #420622=DIRECTION('center_axis',(0.,0.,1.)); #420623=DIRECTION('ref_axis',(1.,0.,0.)); #420624=DIRECTION('',(0.,0.,1.)); #420625=DIRECTION('center_axis',(0.,0.,-1.)); #420626=DIRECTION('ref_axis',(1.,0.,0.)); #420627=DIRECTION('center_axis',(0.,0.,1.)); #420628=DIRECTION('ref_axis',(1.,0.,0.)); #420629=DIRECTION('center_axis',(0.,0.,1.)); #420630=DIRECTION('ref_axis',(1.,0.,0.)); #420631=DIRECTION('',(0.,0.,1.)); #420632=DIRECTION('center_axis',(0.,0.,-1.)); #420633=DIRECTION('ref_axis',(1.,0.,0.)); #420634=DIRECTION('center_axis',(0.,0.,1.)); #420635=DIRECTION('ref_axis',(1.,0.,0.)); #420636=DIRECTION('center_axis',(0.,0.,1.)); #420637=DIRECTION('ref_axis',(1.,0.,0.)); #420638=DIRECTION('',(0.,0.,1.)); #420639=DIRECTION('center_axis',(0.,0.,-1.)); #420640=DIRECTION('ref_axis',(1.,0.,0.)); #420641=DIRECTION('center_axis',(0.,0.,1.)); #420642=DIRECTION('ref_axis',(1.,0.,0.)); #420643=DIRECTION('center_axis',(0.,0.,1.)); #420644=DIRECTION('ref_axis',(1.,0.,0.)); #420645=DIRECTION('',(0.,0.,1.)); #420646=DIRECTION('center_axis',(0.,0.,-1.)); #420647=DIRECTION('ref_axis',(1.,0.,0.)); #420648=DIRECTION('center_axis',(0.,0.,1.)); #420649=DIRECTION('ref_axis',(1.,0.,0.)); #420650=DIRECTION('center_axis',(0.,0.,1.)); #420651=DIRECTION('ref_axis',(1.,0.,0.)); #420652=DIRECTION('',(0.,0.,-1.)); #420653=DIRECTION('center_axis',(0.,0.,1.)); #420654=DIRECTION('ref_axis',(1.,0.,0.)); #420655=DIRECTION('center_axis',(0.,0.,1.)); #420656=DIRECTION('ref_axis',(1.,0.,0.)); #420657=DIRECTION('center_axis',(0.,0.,1.)); #420658=DIRECTION('ref_axis',(1.,0.,0.)); #420659=DIRECTION('axis',(0.,0.,1.)); #420660=DIRECTION('refdir',(1.,0.,0.)); #420661=DIRECTION('center_axis',(0.,0.,-1.)); #420662=DIRECTION('ref_axis',(1.,0.,0.)); #420663=DIRECTION('center_axis',(0.,0.,-1.)); #420664=DIRECTION('ref_axis',(1.,0.,0.)); #420665=DIRECTION('',(0.,0.,-1.)); #420666=DIRECTION('center_axis',(0.,0.,1.)); #420667=DIRECTION('ref_axis',(1.,0.,0.)); #420668=DIRECTION('center_axis',(0.,0.,-1.)); #420669=DIRECTION('ref_axis',(1.,0.,0.)); #420670=DIRECTION('center_axis',(0.,0.,-1.)); #420671=DIRECTION('ref_axis',(1.,0.,0.)); #420672=DIRECTION('',(0.,0.,-1.)); #420673=DIRECTION('center_axis',(0.,0.,1.)); #420674=DIRECTION('ref_axis',(1.,0.,0.)); #420675=DIRECTION('center_axis',(0.,0.,1.)); #420676=DIRECTION('ref_axis',(1.,0.,0.)); #420677=DIRECTION('center_axis',(0.,0.,1.)); #420678=DIRECTION('ref_axis',(1.,0.,0.)); #420679=DIRECTION('',(0.,0.,1.)); #420680=DIRECTION('center_axis',(0.,0.,-1.)); #420681=DIRECTION('ref_axis',(1.,0.,0.)); #420682=DIRECTION('center_axis',(0.,0.,1.)); #420683=DIRECTION('ref_axis',(1.,0.,0.)); #420684=DIRECTION('center_axis',(0.,0.,1.)); #420685=DIRECTION('ref_axis',(1.,0.,0.)); #420686=DIRECTION('',(0.,0.,1.)); #420687=DIRECTION('center_axis',(0.,0.,-1.)); #420688=DIRECTION('ref_axis',(1.,0.,0.)); #420689=DIRECTION('center_axis',(0.,0.,1.)); #420690=DIRECTION('ref_axis',(1.,0.,0.)); #420691=DIRECTION('center_axis',(0.,0.,1.)); #420692=DIRECTION('ref_axis',(1.,0.,0.)); #420693=DIRECTION('',(0.,0.,1.)); #420694=DIRECTION('center_axis',(0.,0.,-1.)); #420695=DIRECTION('ref_axis',(1.,0.,0.)); #420696=DIRECTION('center_axis',(0.,0.,1.)); #420697=DIRECTION('ref_axis',(1.,0.,0.)); #420698=DIRECTION('center_axis',(0.,0.,1.)); #420699=DIRECTION('ref_axis',(1.,0.,0.)); #420700=DIRECTION('',(0.,0.,1.)); #420701=DIRECTION('center_axis',(0.,0.,-1.)); #420702=DIRECTION('ref_axis',(1.,0.,0.)); #420703=DIRECTION('center_axis',(0.,0.,1.)); #420704=DIRECTION('ref_axis',(1.,0.,0.)); #420705=DIRECTION('center_axis',(0.,0.,1.)); #420706=DIRECTION('ref_axis',(1.,0.,0.)); #420707=DIRECTION('',(0.,0.,1.)); #420708=DIRECTION('center_axis',(0.,0.,-1.)); #420709=DIRECTION('ref_axis',(1.,0.,0.)); #420710=DIRECTION('center_axis',(0.,0.,1.)); #420711=DIRECTION('ref_axis',(1.,0.,0.)); #420712=DIRECTION('center_axis',(0.,0.,1.)); #420713=DIRECTION('ref_axis',(1.,0.,0.)); #420714=DIRECTION('',(0.,0.,1.)); #420715=DIRECTION('center_axis',(0.,0.,-1.)); #420716=DIRECTION('ref_axis',(1.,0.,0.)); #420717=DIRECTION('center_axis',(0.,0.,1.)); #420718=DIRECTION('ref_axis',(1.,0.,0.)); #420719=DIRECTION('center_axis',(0.,0.,1.)); #420720=DIRECTION('ref_axis',(1.,0.,0.)); #420721=DIRECTION('',(0.,0.,1.)); #420722=DIRECTION('center_axis',(0.,0.,-1.)); #420723=DIRECTION('ref_axis',(1.,0.,0.)); #420724=DIRECTION('center_axis',(0.,0.,1.)); #420725=DIRECTION('ref_axis',(1.,0.,0.)); #420726=DIRECTION('center_axis',(0.,0.,1.)); #420727=DIRECTION('ref_axis',(1.,0.,0.)); #420728=DIRECTION('',(0.,0.,1.)); #420729=DIRECTION('center_axis',(0.,0.,-1.)); #420730=DIRECTION('ref_axis',(1.,0.,0.)); #420731=DIRECTION('center_axis',(0.,0.,1.)); #420732=DIRECTION('ref_axis',(1.,0.,0.)); #420733=DIRECTION('center_axis',(0.,0.,1.)); #420734=DIRECTION('ref_axis',(1.,0.,0.)); #420735=DIRECTION('',(0.,0.,1.)); #420736=DIRECTION('center_axis',(0.,0.,-1.)); #420737=DIRECTION('ref_axis',(1.,0.,0.)); #420738=DIRECTION('center_axis',(0.,0.,1.)); #420739=DIRECTION('ref_axis',(1.,0.,0.)); #420740=DIRECTION('center_axis',(0.,0.,1.)); #420741=DIRECTION('ref_axis',(1.,0.,0.)); #420742=DIRECTION('',(0.,0.,1.)); #420743=DIRECTION('center_axis',(0.,0.,-1.)); #420744=DIRECTION('ref_axis',(1.,0.,0.)); #420745=DIRECTION('center_axis',(0.,0.,1.)); #420746=DIRECTION('ref_axis',(1.,0.,0.)); #420747=DIRECTION('center_axis',(0.,0.,1.)); #420748=DIRECTION('ref_axis',(1.,0.,0.)); #420749=DIRECTION('',(0.,0.,1.)); #420750=DIRECTION('center_axis',(0.,0.,-1.)); #420751=DIRECTION('ref_axis',(1.,0.,0.)); #420752=DIRECTION('center_axis',(0.,0.,1.)); #420753=DIRECTION('ref_axis',(1.,0.,0.)); #420754=DIRECTION('center_axis',(0.,0.,1.)); #420755=DIRECTION('ref_axis',(1.,0.,0.)); #420756=DIRECTION('',(0.,0.,1.)); #420757=DIRECTION('center_axis',(0.,0.,-1.)); #420758=DIRECTION('ref_axis',(1.,0.,0.)); #420759=DIRECTION('center_axis',(0.,0.,1.)); #420760=DIRECTION('ref_axis',(1.,0.,0.)); #420761=DIRECTION('center_axis',(0.,0.,1.)); #420762=DIRECTION('ref_axis',(1.,0.,0.)); #420763=DIRECTION('',(0.,0.,1.)); #420764=DIRECTION('center_axis',(0.,0.,-1.)); #420765=DIRECTION('ref_axis',(1.,0.,0.)); #420766=DIRECTION('center_axis',(0.,0.,1.)); #420767=DIRECTION('ref_axis',(1.,0.,0.)); #420768=DIRECTION('center_axis',(0.,0.,1.)); #420769=DIRECTION('ref_axis',(1.,0.,0.)); #420770=DIRECTION('',(0.,0.,1.)); #420771=DIRECTION('center_axis',(0.,0.,-1.)); #420772=DIRECTION('ref_axis',(1.,0.,0.)); #420773=DIRECTION('center_axis',(0.,0.,1.)); #420774=DIRECTION('ref_axis',(1.,0.,0.)); #420775=DIRECTION('center_axis',(0.,0.,1.)); #420776=DIRECTION('ref_axis',(1.,0.,0.)); #420777=DIRECTION('',(0.,0.,1.)); #420778=DIRECTION('center_axis',(0.,0.,-1.)); #420779=DIRECTION('ref_axis',(1.,0.,0.)); #420780=DIRECTION('center_axis',(0.,0.,1.)); #420781=DIRECTION('ref_axis',(1.,0.,0.)); #420782=DIRECTION('center_axis',(0.,0.,1.)); #420783=DIRECTION('ref_axis',(1.,0.,0.)); #420784=DIRECTION('',(0.,0.,1.)); #420785=DIRECTION('center_axis',(0.,0.,-1.)); #420786=DIRECTION('ref_axis',(1.,0.,0.)); #420787=DIRECTION('center_axis',(0.,0.,1.)); #420788=DIRECTION('ref_axis',(1.,0.,0.)); #420789=DIRECTION('center_axis',(0.,0.,1.)); #420790=DIRECTION('ref_axis',(1.,0.,0.)); #420791=DIRECTION('',(0.,0.,1.)); #420792=DIRECTION('center_axis',(0.,0.,-1.)); #420793=DIRECTION('ref_axis',(1.,0.,0.)); #420794=DIRECTION('center_axis',(0.,0.,1.)); #420795=DIRECTION('ref_axis',(1.,0.,0.)); #420796=DIRECTION('center_axis',(0.,0.,1.)); #420797=DIRECTION('ref_axis',(1.,0.,0.)); #420798=DIRECTION('',(0.,0.,1.)); #420799=DIRECTION('center_axis',(0.,0.,-1.)); #420800=DIRECTION('ref_axis',(1.,0.,0.)); #420801=DIRECTION('center_axis',(0.,0.,1.)); #420802=DIRECTION('ref_axis',(1.,0.,0.)); #420803=DIRECTION('center_axis',(0.,0.,1.)); #420804=DIRECTION('ref_axis',(1.,0.,0.)); #420805=DIRECTION('',(0.,0.,1.)); #420806=DIRECTION('center_axis',(0.,0.,-1.)); #420807=DIRECTION('ref_axis',(1.,0.,0.)); #420808=DIRECTION('center_axis',(0.,0.,1.)); #420809=DIRECTION('ref_axis',(1.,0.,0.)); #420810=DIRECTION('center_axis',(0.,0.,1.)); #420811=DIRECTION('ref_axis',(1.,0.,0.)); #420812=DIRECTION('',(0.,0.,1.)); #420813=DIRECTION('center_axis',(0.,0.,-1.)); #420814=DIRECTION('ref_axis',(1.,0.,0.)); #420815=DIRECTION('center_axis',(0.,0.,1.)); #420816=DIRECTION('ref_axis',(1.,0.,0.)); #420817=DIRECTION('center_axis',(0.,0.,1.)); #420818=DIRECTION('ref_axis',(1.,0.,0.)); #420819=DIRECTION('',(0.,0.,1.)); #420820=DIRECTION('center_axis',(0.,0.,-1.)); #420821=DIRECTION('ref_axis',(1.,0.,0.)); #420822=DIRECTION('center_axis',(0.,0.,1.)); #420823=DIRECTION('ref_axis',(1.,0.,0.)); #420824=DIRECTION('center_axis',(0.,0.,1.)); #420825=DIRECTION('ref_axis',(1.,0.,0.)); #420826=DIRECTION('',(0.,0.,1.)); #420827=DIRECTION('center_axis',(0.,0.,-1.)); #420828=DIRECTION('ref_axis',(1.,0.,0.)); #420829=DIRECTION('center_axis',(0.,0.,1.)); #420830=DIRECTION('ref_axis',(1.,0.,0.)); #420831=DIRECTION('center_axis',(0.,0.,1.)); #420832=DIRECTION('ref_axis',(1.,0.,0.)); #420833=DIRECTION('',(0.,0.,1.)); #420834=DIRECTION('center_axis',(0.,0.,-1.)); #420835=DIRECTION('ref_axis',(1.,0.,0.)); #420836=DIRECTION('center_axis',(0.,0.,1.)); #420837=DIRECTION('ref_axis',(1.,0.,0.)); #420838=DIRECTION('center_axis',(0.,0.,1.)); #420839=DIRECTION('ref_axis',(1.,0.,0.)); #420840=DIRECTION('',(0.,0.,1.)); #420841=DIRECTION('center_axis',(0.,0.,-1.)); #420842=DIRECTION('ref_axis',(1.,0.,0.)); #420843=DIRECTION('center_axis',(0.,0.,1.)); #420844=DIRECTION('ref_axis',(1.,0.,0.)); #420845=DIRECTION('center_axis',(0.,0.,1.)); #420846=DIRECTION('ref_axis',(1.,0.,0.)); #420847=DIRECTION('',(0.,0.,1.)); #420848=DIRECTION('center_axis',(0.,0.,-1.)); #420849=DIRECTION('ref_axis',(1.,0.,0.)); #420850=DIRECTION('center_axis',(0.,0.,1.)); #420851=DIRECTION('ref_axis',(1.,0.,0.)); #420852=DIRECTION('center_axis',(0.,0.,1.)); #420853=DIRECTION('ref_axis',(1.,0.,0.)); #420854=DIRECTION('',(0.,0.,1.)); #420855=DIRECTION('center_axis',(0.,0.,-1.)); #420856=DIRECTION('ref_axis',(1.,0.,0.)); #420857=DIRECTION('center_axis',(0.,0.,1.)); #420858=DIRECTION('ref_axis',(1.,0.,0.)); #420859=DIRECTION('center_axis',(0.,0.,1.)); #420860=DIRECTION('ref_axis',(1.,0.,0.)); #420861=DIRECTION('',(0.,0.,1.)); #420862=DIRECTION('center_axis',(0.,0.,-1.)); #420863=DIRECTION('ref_axis',(1.,0.,0.)); #420864=DIRECTION('center_axis',(0.,0.,1.)); #420865=DIRECTION('ref_axis',(1.,0.,0.)); #420866=DIRECTION('center_axis',(0.,0.,1.)); #420867=DIRECTION('ref_axis',(1.,0.,0.)); #420868=DIRECTION('',(0.,0.,1.)); #420869=DIRECTION('center_axis',(0.,0.,-1.)); #420870=DIRECTION('ref_axis',(1.,0.,0.)); #420871=DIRECTION('center_axis',(0.,0.,1.)); #420872=DIRECTION('ref_axis',(1.,0.,0.)); #420873=DIRECTION('center_axis',(0.,0.,1.)); #420874=DIRECTION('ref_axis',(1.,0.,0.)); #420875=DIRECTION('',(0.,0.,1.)); #420876=DIRECTION('center_axis',(0.,0.,-1.)); #420877=DIRECTION('ref_axis',(1.,0.,0.)); #420878=DIRECTION('center_axis',(0.,0.,1.)); #420879=DIRECTION('ref_axis',(1.,0.,0.)); #420880=DIRECTION('center_axis',(0.,0.,1.)); #420881=DIRECTION('ref_axis',(1.,0.,0.)); #420882=DIRECTION('',(0.,0.,1.)); #420883=DIRECTION('center_axis',(0.,0.,-1.)); #420884=DIRECTION('ref_axis',(1.,0.,0.)); #420885=DIRECTION('center_axis',(0.,0.,1.)); #420886=DIRECTION('ref_axis',(1.,0.,0.)); #420887=DIRECTION('center_axis',(0.,0.,1.)); #420888=DIRECTION('ref_axis',(1.,0.,0.)); #420889=DIRECTION('',(0.,0.,1.)); #420890=DIRECTION('center_axis',(0.,0.,-1.)); #420891=DIRECTION('ref_axis',(1.,0.,0.)); #420892=DIRECTION('center_axis',(0.,0.,1.)); #420893=DIRECTION('ref_axis',(1.,0.,0.)); #420894=DIRECTION('center_axis',(0.,0.,1.)); #420895=DIRECTION('ref_axis',(1.,0.,0.)); #420896=DIRECTION('',(0.,0.,1.)); #420897=DIRECTION('center_axis',(0.,0.,-1.)); #420898=DIRECTION('ref_axis',(1.,0.,0.)); #420899=DIRECTION('center_axis',(0.,0.,1.)); #420900=DIRECTION('ref_axis',(1.,0.,0.)); #420901=DIRECTION('center_axis',(0.,0.,1.)); #420902=DIRECTION('ref_axis',(1.,0.,0.)); #420903=DIRECTION('',(0.,0.,1.)); #420904=DIRECTION('center_axis',(0.,0.,-1.)); #420905=DIRECTION('ref_axis',(1.,0.,0.)); #420906=DIRECTION('center_axis',(0.,0.,1.)); #420907=DIRECTION('ref_axis',(1.,0.,0.)); #420908=DIRECTION('center_axis',(0.,0.,1.)); #420909=DIRECTION('ref_axis',(1.,0.,0.)); #420910=DIRECTION('',(0.,0.,1.)); #420911=DIRECTION('center_axis',(0.,0.,-1.)); #420912=DIRECTION('ref_axis',(1.,0.,0.)); #420913=DIRECTION('center_axis',(0.,0.,1.)); #420914=DIRECTION('ref_axis',(1.,0.,0.)); #420915=DIRECTION('center_axis',(0.,0.,1.)); #420916=DIRECTION('ref_axis',(1.,0.,0.)); #420917=DIRECTION('',(0.,0.,1.)); #420918=DIRECTION('center_axis',(0.,0.,-1.)); #420919=DIRECTION('ref_axis',(1.,0.,0.)); #420920=DIRECTION('center_axis',(0.,0.,1.)); #420921=DIRECTION('ref_axis',(1.,0.,0.)); #420922=DIRECTION('center_axis',(0.,0.,1.)); #420923=DIRECTION('ref_axis',(1.,0.,0.)); #420924=DIRECTION('',(0.,0.,1.)); #420925=DIRECTION('center_axis',(0.,0.,-1.)); #420926=DIRECTION('ref_axis',(1.,0.,0.)); #420927=DIRECTION('center_axis',(0.,0.,1.)); #420928=DIRECTION('ref_axis',(1.,0.,0.)); #420929=DIRECTION('center_axis',(0.,0.,1.)); #420930=DIRECTION('ref_axis',(1.,0.,0.)); #420931=DIRECTION('',(0.,0.,1.)); #420932=DIRECTION('center_axis',(0.,0.,-1.)); #420933=DIRECTION('ref_axis',(1.,0.,0.)); #420934=DIRECTION('center_axis',(0.,0.,1.)); #420935=DIRECTION('ref_axis',(1.,0.,0.)); #420936=DIRECTION('center_axis',(0.,0.,1.)); #420937=DIRECTION('ref_axis',(1.,0.,0.)); #420938=DIRECTION('',(0.,0.,1.)); #420939=DIRECTION('center_axis',(0.,0.,-1.)); #420940=DIRECTION('ref_axis',(1.,0.,0.)); #420941=DIRECTION('center_axis',(0.,0.,1.)); #420942=DIRECTION('ref_axis',(1.,0.,0.)); #420943=DIRECTION('center_axis',(0.,0.,1.)); #420944=DIRECTION('ref_axis',(1.,0.,0.)); #420945=DIRECTION('',(0.,0.,1.)); #420946=DIRECTION('center_axis',(0.,0.,-1.)); #420947=DIRECTION('ref_axis',(1.,0.,0.)); #420948=DIRECTION('center_axis',(0.,0.,1.)); #420949=DIRECTION('ref_axis',(1.,0.,0.)); #420950=DIRECTION('center_axis',(0.,0.,1.)); #420951=DIRECTION('ref_axis',(1.,0.,0.)); #420952=DIRECTION('',(0.,0.,1.)); #420953=DIRECTION('center_axis',(0.,0.,-1.)); #420954=DIRECTION('ref_axis',(1.,0.,0.)); #420955=DIRECTION('center_axis',(0.,0.,1.)); #420956=DIRECTION('ref_axis',(1.,0.,0.)); #420957=DIRECTION('center_axis',(0.,0.,1.)); #420958=DIRECTION('ref_axis',(1.,0.,0.)); #420959=DIRECTION('',(0.,0.,1.)); #420960=DIRECTION('center_axis',(0.,0.,-1.)); #420961=DIRECTION('ref_axis',(1.,0.,0.)); #420962=DIRECTION('center_axis',(0.,0.,1.)); #420963=DIRECTION('ref_axis',(1.,0.,0.)); #420964=DIRECTION('center_axis',(0.,0.,1.)); #420965=DIRECTION('ref_axis',(1.,0.,0.)); #420966=DIRECTION('',(0.,0.,1.)); #420967=DIRECTION('center_axis',(0.,0.,-1.)); #420968=DIRECTION('ref_axis',(1.,0.,0.)); #420969=DIRECTION('center_axis',(0.,0.,1.)); #420970=DIRECTION('ref_axis',(1.,0.,0.)); #420971=DIRECTION('center_axis',(0.,0.,1.)); #420972=DIRECTION('ref_axis',(1.,0.,0.)); #420973=DIRECTION('',(0.,0.,1.)); #420974=DIRECTION('center_axis',(0.,0.,-1.)); #420975=DIRECTION('ref_axis',(1.,0.,0.)); #420976=DIRECTION('center_axis',(0.,0.,1.)); #420977=DIRECTION('ref_axis',(1.,0.,0.)); #420978=DIRECTION('center_axis',(0.,0.,1.)); #420979=DIRECTION('ref_axis',(1.,0.,0.)); #420980=DIRECTION('',(0.,0.,1.)); #420981=DIRECTION('center_axis',(0.,0.,-1.)); #420982=DIRECTION('ref_axis',(1.,0.,0.)); #420983=DIRECTION('center_axis',(0.,0.,1.)); #420984=DIRECTION('ref_axis',(1.,0.,0.)); #420985=DIRECTION('center_axis',(0.,0.,1.)); #420986=DIRECTION('ref_axis',(1.,0.,0.)); #420987=DIRECTION('',(0.,0.,1.)); #420988=DIRECTION('center_axis',(0.,0.,-1.)); #420989=DIRECTION('ref_axis',(1.,0.,0.)); #420990=DIRECTION('center_axis',(0.,0.,1.)); #420991=DIRECTION('ref_axis',(1.,0.,0.)); #420992=DIRECTION('center_axis',(0.,0.,1.)); #420993=DIRECTION('ref_axis',(1.,0.,0.)); #420994=DIRECTION('',(0.,0.,1.)); #420995=DIRECTION('center_axis',(0.,0.,-1.)); #420996=DIRECTION('ref_axis',(1.,0.,0.)); #420997=DIRECTION('center_axis',(0.,0.,1.)); #420998=DIRECTION('ref_axis',(1.,0.,0.)); #420999=DIRECTION('center_axis',(0.,0.,1.)); #421000=DIRECTION('ref_axis',(1.,0.,0.)); #421001=DIRECTION('',(0.,0.,1.)); #421002=DIRECTION('center_axis',(0.,0.,-1.)); #421003=DIRECTION('ref_axis',(1.,0.,0.)); #421004=DIRECTION('center_axis',(0.,0.,1.)); #421005=DIRECTION('ref_axis',(1.,0.,0.)); #421006=DIRECTION('center_axis',(0.,0.,1.)); #421007=DIRECTION('ref_axis',(1.,0.,0.)); #421008=DIRECTION('',(0.,0.,1.)); #421009=DIRECTION('center_axis',(0.,0.,-1.)); #421010=DIRECTION('ref_axis',(1.,0.,0.)); #421011=DIRECTION('center_axis',(0.,0.,1.)); #421012=DIRECTION('ref_axis',(1.,0.,0.)); #421013=DIRECTION('center_axis',(0.,0.,1.)); #421014=DIRECTION('ref_axis',(1.,0.,0.)); #421015=DIRECTION('',(0.,0.,1.)); #421016=DIRECTION('center_axis',(0.,0.,-1.)); #421017=DIRECTION('ref_axis',(1.,0.,0.)); #421018=DIRECTION('center_axis',(0.,0.,1.)); #421019=DIRECTION('ref_axis',(1.,0.,0.)); #421020=DIRECTION('center_axis',(0.,0.,1.)); #421021=DIRECTION('ref_axis',(1.,0.,0.)); #421022=DIRECTION('',(0.,0.,1.)); #421023=DIRECTION('center_axis',(0.,0.,-1.)); #421024=DIRECTION('ref_axis',(1.,0.,0.)); #421025=DIRECTION('center_axis',(0.,0.,1.)); #421026=DIRECTION('ref_axis',(1.,0.,0.)); #421027=DIRECTION('center_axis',(0.,0.,1.)); #421028=DIRECTION('ref_axis',(1.,0.,0.)); #421029=DIRECTION('',(0.,0.,1.)); #421030=DIRECTION('center_axis',(0.,0.,-1.)); #421031=DIRECTION('ref_axis',(1.,0.,0.)); #421032=DIRECTION('center_axis',(0.,0.,1.)); #421033=DIRECTION('ref_axis',(1.,0.,0.)); #421034=DIRECTION('center_axis',(0.,0.,1.)); #421035=DIRECTION('ref_axis',(1.,0.,0.)); #421036=DIRECTION('',(0.,0.,1.)); #421037=DIRECTION('center_axis',(0.,0.,-1.)); #421038=DIRECTION('ref_axis',(1.,0.,0.)); #421039=DIRECTION('center_axis',(0.,0.,1.)); #421040=DIRECTION('ref_axis',(1.,0.,0.)); #421041=DIRECTION('center_axis',(0.,0.,1.)); #421042=DIRECTION('ref_axis',(1.,0.,0.)); #421043=DIRECTION('',(0.,0.,1.)); #421044=DIRECTION('center_axis',(0.,0.,-1.)); #421045=DIRECTION('ref_axis',(1.,0.,0.)); #421046=DIRECTION('center_axis',(0.,0.,1.)); #421047=DIRECTION('ref_axis',(1.,0.,0.)); #421048=DIRECTION('center_axis',(0.,0.,1.)); #421049=DIRECTION('ref_axis',(1.,0.,0.)); #421050=DIRECTION('',(0.,0.,1.)); #421051=DIRECTION('center_axis',(0.,0.,-1.)); #421052=DIRECTION('ref_axis',(1.,0.,0.)); #421053=DIRECTION('center_axis',(0.,0.,1.)); #421054=DIRECTION('ref_axis',(1.,0.,0.)); #421055=DIRECTION('center_axis',(0.,0.,1.)); #421056=DIRECTION('ref_axis',(1.,0.,0.)); #421057=DIRECTION('',(0.,0.,1.)); #421058=DIRECTION('center_axis',(0.,0.,-1.)); #421059=DIRECTION('ref_axis',(1.,0.,0.)); #421060=DIRECTION('center_axis',(0.,0.,1.)); #421061=DIRECTION('ref_axis',(1.,0.,0.)); #421062=DIRECTION('center_axis',(0.,0.,1.)); #421063=DIRECTION('ref_axis',(1.,0.,0.)); #421064=DIRECTION('',(0.,0.,1.)); #421065=DIRECTION('center_axis',(0.,0.,-1.)); #421066=DIRECTION('ref_axis',(1.,0.,0.)); #421067=DIRECTION('center_axis',(0.,0.,1.)); #421068=DIRECTION('ref_axis',(1.,0.,0.)); #421069=DIRECTION('center_axis',(0.,0.,1.)); #421070=DIRECTION('ref_axis',(1.,0.,0.)); #421071=DIRECTION('',(0.,0.,1.)); #421072=DIRECTION('center_axis',(0.,0.,-1.)); #421073=DIRECTION('ref_axis',(1.,0.,0.)); #421074=DIRECTION('center_axis',(0.,0.,1.)); #421075=DIRECTION('ref_axis',(1.,0.,0.)); #421076=DIRECTION('center_axis',(0.,0.,1.)); #421077=DIRECTION('ref_axis',(1.,0.,0.)); #421078=DIRECTION('',(0.,0.,1.)); #421079=DIRECTION('center_axis',(0.,0.,-1.)); #421080=DIRECTION('ref_axis',(1.,0.,0.)); #421081=DIRECTION('center_axis',(0.,0.,1.)); #421082=DIRECTION('ref_axis',(1.,0.,0.)); #421083=DIRECTION('center_axis',(0.,0.,1.)); #421084=DIRECTION('ref_axis',(1.,0.,0.)); #421085=DIRECTION('',(0.,0.,1.)); #421086=DIRECTION('center_axis',(0.,0.,-1.)); #421087=DIRECTION('ref_axis',(1.,0.,0.)); #421088=DIRECTION('center_axis',(0.,0.,1.)); #421089=DIRECTION('ref_axis',(1.,0.,0.)); #421090=DIRECTION('center_axis',(0.,0.,1.)); #421091=DIRECTION('ref_axis',(1.,0.,0.)); #421092=DIRECTION('',(0.,0.,1.)); #421093=DIRECTION('center_axis',(0.,0.,-1.)); #421094=DIRECTION('ref_axis',(1.,0.,0.)); #421095=DIRECTION('center_axis',(0.,0.,1.)); #421096=DIRECTION('ref_axis',(1.,0.,0.)); #421097=DIRECTION('center_axis',(0.,0.,1.)); #421098=DIRECTION('ref_axis',(1.,0.,0.)); #421099=DIRECTION('',(0.,0.,1.)); #421100=DIRECTION('center_axis',(0.,0.,-1.)); #421101=DIRECTION('ref_axis',(1.,0.,0.)); #421102=DIRECTION('center_axis',(0.,0.,1.)); #421103=DIRECTION('ref_axis',(1.,0.,0.)); #421104=DIRECTION('center_axis',(0.,0.,1.)); #421105=DIRECTION('ref_axis',(1.,0.,0.)); #421106=DIRECTION('',(0.,0.,1.)); #421107=DIRECTION('center_axis',(0.,0.,-1.)); #421108=DIRECTION('ref_axis',(1.,0.,0.)); #421109=DIRECTION('center_axis',(0.,0.,1.)); #421110=DIRECTION('ref_axis',(1.,0.,0.)); #421111=DIRECTION('center_axis',(0.,0.,1.)); #421112=DIRECTION('ref_axis',(1.,0.,0.)); #421113=DIRECTION('',(0.,0.,1.)); #421114=DIRECTION('center_axis',(0.,0.,-1.)); #421115=DIRECTION('ref_axis',(1.,0.,0.)); #421116=DIRECTION('center_axis',(0.,0.,1.)); #421117=DIRECTION('ref_axis',(1.,0.,0.)); #421118=DIRECTION('center_axis',(0.,0.,1.)); #421119=DIRECTION('ref_axis',(1.,0.,0.)); #421120=DIRECTION('',(0.,0.,1.)); #421121=DIRECTION('center_axis',(0.,0.,-1.)); #421122=DIRECTION('ref_axis',(1.,0.,0.)); #421123=DIRECTION('center_axis',(0.,0.,1.)); #421124=DIRECTION('ref_axis',(1.,0.,0.)); #421125=DIRECTION('center_axis',(0.,0.,1.)); #421126=DIRECTION('ref_axis',(1.,0.,0.)); #421127=DIRECTION('',(0.,0.,1.)); #421128=DIRECTION('center_axis',(0.,0.,-1.)); #421129=DIRECTION('ref_axis',(1.,0.,0.)); #421130=DIRECTION('center_axis',(0.,0.,1.)); #421131=DIRECTION('ref_axis',(1.,0.,0.)); #421132=DIRECTION('center_axis',(0.,0.,1.)); #421133=DIRECTION('ref_axis',(1.,0.,0.)); #421134=DIRECTION('',(0.,0.,1.)); #421135=DIRECTION('center_axis',(0.,0.,-1.)); #421136=DIRECTION('ref_axis',(1.,0.,0.)); #421137=DIRECTION('center_axis',(0.,0.,1.)); #421138=DIRECTION('ref_axis',(1.,0.,0.)); #421139=DIRECTION('center_axis',(0.,0.,1.)); #421140=DIRECTION('ref_axis',(1.,0.,0.)); #421141=DIRECTION('',(0.,0.,1.)); #421142=DIRECTION('center_axis',(0.,0.,-1.)); #421143=DIRECTION('ref_axis',(1.,0.,0.)); #421144=DIRECTION('center_axis',(0.,0.,1.)); #421145=DIRECTION('ref_axis',(1.,0.,0.)); #421146=DIRECTION('center_axis',(0.,0.,1.)); #421147=DIRECTION('ref_axis',(1.,0.,0.)); #421148=DIRECTION('',(0.,0.,1.)); #421149=DIRECTION('center_axis',(0.,0.,-1.)); #421150=DIRECTION('ref_axis',(1.,0.,0.)); #421151=DIRECTION('center_axis',(0.,0.,1.)); #421152=DIRECTION('ref_axis',(1.,0.,0.)); #421153=DIRECTION('center_axis',(0.,0.,1.)); #421154=DIRECTION('ref_axis',(1.,0.,0.)); #421155=DIRECTION('',(0.,0.,1.)); #421156=DIRECTION('center_axis',(0.,0.,-1.)); #421157=DIRECTION('ref_axis',(1.,0.,0.)); #421158=DIRECTION('center_axis',(0.,0.,1.)); #421159=DIRECTION('ref_axis',(1.,0.,0.)); #421160=DIRECTION('center_axis',(0.,0.,1.)); #421161=DIRECTION('ref_axis',(1.,0.,0.)); #421162=DIRECTION('',(0.,0.,1.)); #421163=DIRECTION('center_axis',(0.,0.,-1.)); #421164=DIRECTION('ref_axis',(1.,0.,0.)); #421165=DIRECTION('center_axis',(0.,0.,1.)); #421166=DIRECTION('ref_axis',(1.,0.,0.)); #421167=DIRECTION('center_axis',(0.,0.,1.)); #421168=DIRECTION('ref_axis',(1.,0.,0.)); #421169=DIRECTION('',(0.,0.,1.)); #421170=DIRECTION('center_axis',(0.,0.,-1.)); #421171=DIRECTION('ref_axis',(1.,0.,0.)); #421172=DIRECTION('center_axis',(0.,0.,1.)); #421173=DIRECTION('ref_axis',(1.,0.,0.)); #421174=DIRECTION('center_axis',(0.,0.,1.)); #421175=DIRECTION('ref_axis',(1.,0.,0.)); #421176=DIRECTION('',(0.,0.,1.)); #421177=DIRECTION('center_axis',(0.,0.,-1.)); #421178=DIRECTION('ref_axis',(1.,0.,0.)); #421179=DIRECTION('center_axis',(0.,0.,1.)); #421180=DIRECTION('ref_axis',(1.,0.,0.)); #421181=DIRECTION('center_axis',(0.,0.,1.)); #421182=DIRECTION('ref_axis',(1.,0.,0.)); #421183=DIRECTION('',(0.,0.,1.)); #421184=DIRECTION('center_axis',(0.,0.,-1.)); #421185=DIRECTION('ref_axis',(1.,0.,0.)); #421186=DIRECTION('center_axis',(0.,0.,1.)); #421187=DIRECTION('ref_axis',(1.,0.,0.)); #421188=DIRECTION('center_axis',(0.,0.,1.)); #421189=DIRECTION('ref_axis',(1.,0.,0.)); #421190=DIRECTION('',(0.,0.,1.)); #421191=DIRECTION('center_axis',(0.,0.,-1.)); #421192=DIRECTION('ref_axis',(1.,0.,0.)); #421193=DIRECTION('center_axis',(0.,0.,1.)); #421194=DIRECTION('ref_axis',(1.,0.,0.)); #421195=DIRECTION('center_axis',(0.,0.,1.)); #421196=DIRECTION('ref_axis',(1.,0.,0.)); #421197=DIRECTION('',(0.,0.,1.)); #421198=DIRECTION('center_axis',(0.,0.,-1.)); #421199=DIRECTION('ref_axis',(1.,0.,0.)); #421200=DIRECTION('center_axis',(0.,0.,1.)); #421201=DIRECTION('ref_axis',(1.,0.,0.)); #421202=DIRECTION('center_axis',(0.,0.,1.)); #421203=DIRECTION('ref_axis',(1.,0.,0.)); #421204=DIRECTION('',(0.,0.,1.)); #421205=DIRECTION('center_axis',(0.,0.,-1.)); #421206=DIRECTION('ref_axis',(1.,0.,0.)); #421207=DIRECTION('center_axis',(0.,0.,1.)); #421208=DIRECTION('ref_axis',(1.,0.,0.)); #421209=DIRECTION('center_axis',(0.,0.,1.)); #421210=DIRECTION('ref_axis',(1.,0.,0.)); #421211=DIRECTION('',(0.,0.,1.)); #421212=DIRECTION('center_axis',(0.,0.,-1.)); #421213=DIRECTION('ref_axis',(1.,0.,0.)); #421214=DIRECTION('center_axis',(0.,0.,1.)); #421215=DIRECTION('ref_axis',(1.,0.,0.)); #421216=DIRECTION('center_axis',(0.,0.,1.)); #421217=DIRECTION('ref_axis',(1.,0.,0.)); #421218=DIRECTION('',(0.,0.,1.)); #421219=DIRECTION('center_axis',(0.,0.,-1.)); #421220=DIRECTION('ref_axis',(1.,0.,0.)); #421221=DIRECTION('center_axis',(0.,0.,1.)); #421222=DIRECTION('ref_axis',(1.,0.,0.)); #421223=DIRECTION('center_axis',(0.,0.,1.)); #421224=DIRECTION('ref_axis',(1.,0.,0.)); #421225=DIRECTION('',(0.,0.,1.)); #421226=DIRECTION('center_axis',(0.,0.,-1.)); #421227=DIRECTION('ref_axis',(1.,0.,0.)); #421228=DIRECTION('center_axis',(0.,0.,1.)); #421229=DIRECTION('ref_axis',(1.,0.,0.)); #421230=DIRECTION('center_axis',(0.,0.,1.)); #421231=DIRECTION('ref_axis',(1.,0.,0.)); #421232=DIRECTION('',(0.,0.,1.)); #421233=DIRECTION('center_axis',(0.,0.,-1.)); #421234=DIRECTION('ref_axis',(1.,0.,0.)); #421235=DIRECTION('center_axis',(0.,0.,1.)); #421236=DIRECTION('ref_axis',(1.,0.,0.)); #421237=DIRECTION('center_axis',(0.,0.,1.)); #421238=DIRECTION('ref_axis',(1.,0.,0.)); #421239=DIRECTION('',(0.,0.,1.)); #421240=DIRECTION('center_axis',(0.,0.,-1.)); #421241=DIRECTION('ref_axis',(1.,0.,0.)); #421242=DIRECTION('center_axis',(0.,0.,1.)); #421243=DIRECTION('ref_axis',(1.,0.,0.)); #421244=DIRECTION('center_axis',(0.,0.,1.)); #421245=DIRECTION('ref_axis',(1.,0.,0.)); #421246=DIRECTION('',(0.,0.,1.)); #421247=DIRECTION('center_axis',(0.,0.,-1.)); #421248=DIRECTION('ref_axis',(1.,0.,0.)); #421249=DIRECTION('center_axis',(0.,0.,1.)); #421250=DIRECTION('ref_axis',(1.,0.,0.)); #421251=DIRECTION('center_axis',(0.,0.,1.)); #421252=DIRECTION('ref_axis',(1.,0.,0.)); #421253=DIRECTION('',(0.,0.,1.)); #421254=DIRECTION('center_axis',(0.,0.,-1.)); #421255=DIRECTION('ref_axis',(1.,0.,0.)); #421256=DIRECTION('center_axis',(0.,0.,1.)); #421257=DIRECTION('ref_axis',(1.,0.,0.)); #421258=DIRECTION('center_axis',(0.,0.,1.)); #421259=DIRECTION('ref_axis',(1.,0.,0.)); #421260=DIRECTION('',(0.,0.,1.)); #421261=DIRECTION('center_axis',(0.,0.,-1.)); #421262=DIRECTION('ref_axis',(1.,0.,0.)); #421263=DIRECTION('center_axis',(0.,0.,1.)); #421264=DIRECTION('ref_axis',(1.,0.,0.)); #421265=DIRECTION('center_axis',(0.,0.,1.)); #421266=DIRECTION('ref_axis',(1.,0.,0.)); #421267=DIRECTION('',(0.,0.,1.)); #421268=DIRECTION('center_axis',(0.,0.,-1.)); #421269=DIRECTION('ref_axis',(1.,0.,0.)); #421270=DIRECTION('center_axis',(0.,0.,1.)); #421271=DIRECTION('ref_axis',(1.,0.,0.)); #421272=DIRECTION('center_axis',(0.,0.,1.)); #421273=DIRECTION('ref_axis',(1.,0.,0.)); #421274=DIRECTION('',(0.,0.,1.)); #421275=DIRECTION('center_axis',(0.,0.,-1.)); #421276=DIRECTION('ref_axis',(1.,0.,0.)); #421277=DIRECTION('center_axis',(0.,0.,1.)); #421278=DIRECTION('ref_axis',(1.,0.,0.)); #421279=DIRECTION('center_axis',(0.,0.,1.)); #421280=DIRECTION('ref_axis',(1.,0.,0.)); #421281=DIRECTION('',(0.,0.,1.)); #421282=DIRECTION('center_axis',(0.,0.,-1.)); #421283=DIRECTION('ref_axis',(1.,0.,0.)); #421284=DIRECTION('center_axis',(0.,0.,1.)); #421285=DIRECTION('ref_axis',(1.,0.,0.)); #421286=DIRECTION('center_axis',(0.,0.,1.)); #421287=DIRECTION('ref_axis',(1.,0.,0.)); #421288=DIRECTION('',(0.,0.,1.)); #421289=DIRECTION('center_axis',(0.,0.,-1.)); #421290=DIRECTION('ref_axis',(1.,0.,0.)); #421291=DIRECTION('center_axis',(0.,0.,1.)); #421292=DIRECTION('ref_axis',(1.,0.,0.)); #421293=DIRECTION('center_axis',(0.,0.,1.)); #421294=DIRECTION('ref_axis',(1.,0.,0.)); #421295=DIRECTION('',(0.,0.,1.)); #421296=DIRECTION('center_axis',(0.,0.,-1.)); #421297=DIRECTION('ref_axis',(1.,0.,0.)); #421298=DIRECTION('center_axis',(0.,0.,1.)); #421299=DIRECTION('ref_axis',(1.,0.,0.)); #421300=DIRECTION('center_axis',(0.,0.,1.)); #421301=DIRECTION('ref_axis',(1.,0.,0.)); #421302=DIRECTION('',(0.,0.,1.)); #421303=DIRECTION('center_axis',(0.,0.,-1.)); #421304=DIRECTION('ref_axis',(1.,0.,0.)); #421305=DIRECTION('center_axis',(0.,0.,1.)); #421306=DIRECTION('ref_axis',(1.,0.,0.)); #421307=DIRECTION('center_axis',(0.,0.,1.)); #421308=DIRECTION('ref_axis',(1.,0.,0.)); #421309=DIRECTION('',(0.,0.,1.)); #421310=DIRECTION('center_axis',(0.,0.,-1.)); #421311=DIRECTION('ref_axis',(1.,0.,0.)); #421312=DIRECTION('center_axis',(0.,0.,1.)); #421313=DIRECTION('ref_axis',(1.,0.,0.)); #421314=DIRECTION('center_axis',(0.,0.,1.)); #421315=DIRECTION('ref_axis',(1.,0.,0.)); #421316=DIRECTION('',(0.,0.,1.)); #421317=DIRECTION('center_axis',(0.,0.,-1.)); #421318=DIRECTION('ref_axis',(1.,0.,0.)); #421319=DIRECTION('center_axis',(0.,0.,1.)); #421320=DIRECTION('ref_axis',(1.,0.,0.)); #421321=DIRECTION('center_axis',(0.,0.,1.)); #421322=DIRECTION('ref_axis',(1.,0.,0.)); #421323=DIRECTION('',(0.,0.,1.)); #421324=DIRECTION('center_axis',(0.,0.,-1.)); #421325=DIRECTION('ref_axis',(1.,0.,0.)); #421326=DIRECTION('center_axis',(0.,0.,1.)); #421327=DIRECTION('ref_axis',(1.,0.,0.)); #421328=DIRECTION('center_axis',(0.,0.,1.)); #421329=DIRECTION('ref_axis',(1.,0.,0.)); #421330=DIRECTION('',(0.,0.,1.)); #421331=DIRECTION('center_axis',(0.,0.,-1.)); #421332=DIRECTION('ref_axis',(1.,0.,0.)); #421333=DIRECTION('center_axis',(0.,0.,1.)); #421334=DIRECTION('ref_axis',(1.,0.,0.)); #421335=DIRECTION('center_axis',(0.,0.,1.)); #421336=DIRECTION('ref_axis',(1.,0.,0.)); #421337=DIRECTION('',(0.,0.,1.)); #421338=DIRECTION('center_axis',(0.,0.,-1.)); #421339=DIRECTION('ref_axis',(1.,0.,0.)); #421340=DIRECTION('center_axis',(0.,0.,1.)); #421341=DIRECTION('ref_axis',(1.,0.,0.)); #421342=DIRECTION('center_axis',(0.,0.,1.)); #421343=DIRECTION('ref_axis',(1.,0.,0.)); #421344=DIRECTION('',(0.,0.,1.)); #421345=DIRECTION('center_axis',(0.,0.,-1.)); #421346=DIRECTION('ref_axis',(1.,0.,0.)); #421347=DIRECTION('center_axis',(0.,0.,1.)); #421348=DIRECTION('ref_axis',(1.,0.,0.)); #421349=DIRECTION('center_axis',(0.,0.,1.)); #421350=DIRECTION('ref_axis',(1.,0.,0.)); #421351=DIRECTION('',(0.,0.,1.)); #421352=DIRECTION('center_axis',(0.,0.,-1.)); #421353=DIRECTION('ref_axis',(1.,0.,0.)); #421354=DIRECTION('center_axis',(0.,0.,1.)); #421355=DIRECTION('ref_axis',(1.,0.,0.)); #421356=DIRECTION('center_axis',(0.,0.,1.)); #421357=DIRECTION('ref_axis',(1.,0.,0.)); #421358=DIRECTION('',(0.,0.,1.)); #421359=DIRECTION('center_axis',(0.,0.,-1.)); #421360=DIRECTION('ref_axis',(1.,0.,0.)); #421361=DIRECTION('center_axis',(0.,0.,1.)); #421362=DIRECTION('ref_axis',(1.,0.,0.)); #421363=DIRECTION('center_axis',(0.,0.,1.)); #421364=DIRECTION('ref_axis',(1.,0.,0.)); #421365=DIRECTION('',(0.,0.,1.)); #421366=DIRECTION('center_axis',(0.,0.,-1.)); #421367=DIRECTION('ref_axis',(1.,0.,0.)); #421368=DIRECTION('center_axis',(0.,0.,1.)); #421369=DIRECTION('ref_axis',(1.,0.,0.)); #421370=DIRECTION('center_axis',(0.,0.,1.)); #421371=DIRECTION('ref_axis',(1.,0.,0.)); #421372=DIRECTION('',(0.,0.,1.)); #421373=DIRECTION('center_axis',(0.,0.,-1.)); #421374=DIRECTION('ref_axis',(1.,0.,0.)); #421375=DIRECTION('center_axis',(0.,0.,1.)); #421376=DIRECTION('ref_axis',(1.,0.,0.)); #421377=DIRECTION('center_axis',(0.,0.,1.)); #421378=DIRECTION('ref_axis',(1.,0.,0.)); #421379=DIRECTION('',(0.,0.,1.)); #421380=DIRECTION('center_axis',(0.,0.,-1.)); #421381=DIRECTION('ref_axis',(1.,0.,0.)); #421382=DIRECTION('center_axis',(0.,0.,1.)); #421383=DIRECTION('ref_axis',(1.,0.,0.)); #421384=DIRECTION('center_axis',(0.,0.,1.)); #421385=DIRECTION('ref_axis',(1.,0.,0.)); #421386=DIRECTION('',(0.,0.,1.)); #421387=DIRECTION('center_axis',(0.,0.,-1.)); #421388=DIRECTION('ref_axis',(1.,0.,0.)); #421389=DIRECTION('center_axis',(0.,0.,1.)); #421390=DIRECTION('ref_axis',(1.,0.,0.)); #421391=DIRECTION('center_axis',(0.,0.,1.)); #421392=DIRECTION('ref_axis',(1.,0.,0.)); #421393=DIRECTION('',(0.,0.,1.)); #421394=DIRECTION('center_axis',(0.,0.,-1.)); #421395=DIRECTION('ref_axis',(1.,0.,0.)); #421396=DIRECTION('center_axis',(0.,0.,1.)); #421397=DIRECTION('ref_axis',(1.,0.,0.)); #421398=DIRECTION('center_axis',(0.,0.,1.)); #421399=DIRECTION('ref_axis',(1.,0.,0.)); #421400=DIRECTION('',(0.,0.,1.)); #421401=DIRECTION('center_axis',(0.,0.,-1.)); #421402=DIRECTION('ref_axis',(1.,0.,0.)); #421403=DIRECTION('center_axis',(0.,0.,1.)); #421404=DIRECTION('ref_axis',(1.,0.,0.)); #421405=DIRECTION('center_axis',(0.,0.,1.)); #421406=DIRECTION('ref_axis',(1.,0.,0.)); #421407=DIRECTION('',(0.,0.,1.)); #421408=DIRECTION('center_axis',(0.,0.,-1.)); #421409=DIRECTION('ref_axis',(1.,0.,0.)); #421410=DIRECTION('center_axis',(0.,0.,1.)); #421411=DIRECTION('ref_axis',(1.,0.,0.)); #421412=DIRECTION('center_axis',(0.,0.,1.)); #421413=DIRECTION('ref_axis',(1.,0.,0.)); #421414=DIRECTION('',(0.,0.,1.)); #421415=DIRECTION('center_axis',(0.,0.,-1.)); #421416=DIRECTION('ref_axis',(1.,0.,0.)); #421417=DIRECTION('center_axis',(0.,0.,1.)); #421418=DIRECTION('ref_axis',(1.,0.,0.)); #421419=DIRECTION('center_axis',(0.,0.,1.)); #421420=DIRECTION('ref_axis',(1.,0.,0.)); #421421=DIRECTION('',(0.,0.,1.)); #421422=DIRECTION('center_axis',(0.,0.,-1.)); #421423=DIRECTION('ref_axis',(1.,0.,0.)); #421424=DIRECTION('center_axis',(0.,0.,1.)); #421425=DIRECTION('ref_axis',(1.,0.,0.)); #421426=DIRECTION('center_axis',(0.,0.,1.)); #421427=DIRECTION('ref_axis',(1.,0.,0.)); #421428=DIRECTION('',(0.,0.,1.)); #421429=DIRECTION('center_axis',(0.,0.,-1.)); #421430=DIRECTION('ref_axis',(1.,0.,0.)); #421431=DIRECTION('center_axis',(0.,0.,1.)); #421432=DIRECTION('ref_axis',(1.,0.,0.)); #421433=DIRECTION('center_axis',(0.,0.,1.)); #421434=DIRECTION('ref_axis',(1.,0.,0.)); #421435=DIRECTION('',(0.,0.,1.)); #421436=DIRECTION('center_axis',(0.,0.,-1.)); #421437=DIRECTION('ref_axis',(1.,0.,0.)); #421438=DIRECTION('center_axis',(0.,0.,1.)); #421439=DIRECTION('ref_axis',(1.,0.,0.)); #421440=DIRECTION('center_axis',(0.,0.,1.)); #421441=DIRECTION('ref_axis',(1.,0.,0.)); #421442=DIRECTION('',(0.,0.,1.)); #421443=DIRECTION('center_axis',(0.,0.,-1.)); #421444=DIRECTION('ref_axis',(1.,0.,0.)); #421445=DIRECTION('center_axis',(0.,0.,1.)); #421446=DIRECTION('ref_axis',(1.,0.,0.)); #421447=DIRECTION('center_axis',(0.,0.,1.)); #421448=DIRECTION('ref_axis',(1.,0.,0.)); #421449=DIRECTION('',(0.,0.,1.)); #421450=DIRECTION('center_axis',(0.,0.,-1.)); #421451=DIRECTION('ref_axis',(1.,0.,0.)); #421452=DIRECTION('center_axis',(0.,0.,1.)); #421453=DIRECTION('ref_axis',(1.,0.,0.)); #421454=DIRECTION('center_axis',(0.,0.,1.)); #421455=DIRECTION('ref_axis',(1.,0.,0.)); #421456=DIRECTION('',(0.,0.,1.)); #421457=DIRECTION('center_axis',(0.,0.,-1.)); #421458=DIRECTION('ref_axis',(1.,0.,0.)); #421459=DIRECTION('center_axis',(0.,0.,1.)); #421460=DIRECTION('ref_axis',(1.,0.,0.)); #421461=DIRECTION('center_axis',(0.,0.,1.)); #421462=DIRECTION('ref_axis',(1.,0.,0.)); #421463=DIRECTION('',(0.,0.,1.)); #421464=DIRECTION('center_axis',(0.,0.,-1.)); #421465=DIRECTION('ref_axis',(1.,0.,0.)); #421466=DIRECTION('center_axis',(0.,0.,1.)); #421467=DIRECTION('ref_axis',(1.,0.,0.)); #421468=DIRECTION('center_axis',(0.,0.,1.)); #421469=DIRECTION('ref_axis',(1.,0.,0.)); #421470=DIRECTION('',(0.,0.,1.)); #421471=DIRECTION('center_axis',(0.,0.,-1.)); #421472=DIRECTION('ref_axis',(1.,0.,0.)); #421473=DIRECTION('center_axis',(0.,0.,1.)); #421474=DIRECTION('ref_axis',(1.,0.,0.)); #421475=DIRECTION('center_axis',(0.,0.,1.)); #421476=DIRECTION('ref_axis',(1.,0.,0.)); #421477=DIRECTION('',(0.,0.,1.)); #421478=DIRECTION('center_axis',(0.,0.,-1.)); #421479=DIRECTION('ref_axis',(1.,0.,0.)); #421480=DIRECTION('center_axis',(0.,0.,1.)); #421481=DIRECTION('ref_axis',(1.,0.,0.)); #421482=DIRECTION('center_axis',(0.,0.,1.)); #421483=DIRECTION('ref_axis',(1.,0.,0.)); #421484=DIRECTION('',(0.,0.,1.)); #421485=DIRECTION('center_axis',(0.,0.,-1.)); #421486=DIRECTION('ref_axis',(1.,0.,0.)); #421487=DIRECTION('center_axis',(0.,0.,1.)); #421488=DIRECTION('ref_axis',(1.,0.,0.)); #421489=DIRECTION('center_axis',(0.,0.,1.)); #421490=DIRECTION('ref_axis',(1.,0.,0.)); #421491=DIRECTION('',(0.,0.,1.)); #421492=DIRECTION('center_axis',(0.,0.,-1.)); #421493=DIRECTION('ref_axis',(1.,0.,0.)); #421494=DIRECTION('center_axis',(0.,0.,1.)); #421495=DIRECTION('ref_axis',(1.,0.,0.)); #421496=DIRECTION('center_axis',(0.,0.,1.)); #421497=DIRECTION('ref_axis',(1.,0.,0.)); #421498=DIRECTION('',(0.,0.,1.)); #421499=DIRECTION('center_axis',(0.,0.,-1.)); #421500=DIRECTION('ref_axis',(1.,0.,0.)); #421501=DIRECTION('center_axis',(0.,0.,1.)); #421502=DIRECTION('ref_axis',(1.,0.,0.)); #421503=DIRECTION('center_axis',(0.,0.,1.)); #421504=DIRECTION('ref_axis',(1.,0.,0.)); #421505=DIRECTION('',(0.,0.,1.)); #421506=DIRECTION('center_axis',(0.,0.,-1.)); #421507=DIRECTION('ref_axis',(1.,0.,0.)); #421508=DIRECTION('center_axis',(0.,0.,1.)); #421509=DIRECTION('ref_axis',(1.,0.,0.)); #421510=DIRECTION('center_axis',(0.,0.,1.)); #421511=DIRECTION('ref_axis',(1.,0.,0.)); #421512=DIRECTION('',(0.,0.,1.)); #421513=DIRECTION('center_axis',(0.,0.,-1.)); #421514=DIRECTION('ref_axis',(1.,0.,0.)); #421515=DIRECTION('center_axis',(0.,0.,1.)); #421516=DIRECTION('ref_axis',(1.,0.,0.)); #421517=DIRECTION('center_axis',(0.,0.,1.)); #421518=DIRECTION('ref_axis',(1.,0.,0.)); #421519=DIRECTION('',(0.,0.,1.)); #421520=DIRECTION('center_axis',(0.,0.,-1.)); #421521=DIRECTION('ref_axis',(1.,0.,0.)); #421522=DIRECTION('center_axis',(0.,0.,1.)); #421523=DIRECTION('ref_axis',(1.,0.,0.)); #421524=DIRECTION('center_axis',(0.,0.,1.)); #421525=DIRECTION('ref_axis',(1.,0.,0.)); #421526=DIRECTION('',(0.,0.,1.)); #421527=DIRECTION('center_axis',(0.,0.,-1.)); #421528=DIRECTION('ref_axis',(1.,0.,0.)); #421529=DIRECTION('center_axis',(0.,0.,1.)); #421530=DIRECTION('ref_axis',(1.,0.,0.)); #421531=DIRECTION('center_axis',(0.,0.,1.)); #421532=DIRECTION('ref_axis',(1.,0.,0.)); #421533=DIRECTION('',(0.,0.,1.)); #421534=DIRECTION('center_axis',(0.,0.,-1.)); #421535=DIRECTION('ref_axis',(1.,0.,0.)); #421536=DIRECTION('center_axis',(0.,0.,1.)); #421537=DIRECTION('ref_axis',(1.,0.,0.)); #421538=DIRECTION('center_axis',(0.,0.,1.)); #421539=DIRECTION('ref_axis',(1.,0.,0.)); #421540=DIRECTION('',(0.,0.,1.)); #421541=DIRECTION('center_axis',(0.,0.,-1.)); #421542=DIRECTION('ref_axis',(1.,0.,0.)); #421543=DIRECTION('center_axis',(0.,0.,1.)); #421544=DIRECTION('ref_axis',(1.,0.,0.)); #421545=DIRECTION('center_axis',(0.,0.,1.)); #421546=DIRECTION('ref_axis',(1.,0.,0.)); #421547=DIRECTION('',(0.,0.,1.)); #421548=DIRECTION('center_axis',(0.,0.,-1.)); #421549=DIRECTION('ref_axis',(1.,0.,0.)); #421550=DIRECTION('center_axis',(0.,0.,1.)); #421551=DIRECTION('ref_axis',(1.,0.,0.)); #421552=DIRECTION('center_axis',(0.,0.,1.)); #421553=DIRECTION('ref_axis',(1.,0.,0.)); #421554=DIRECTION('',(0.,0.,1.)); #421555=DIRECTION('center_axis',(0.,0.,-1.)); #421556=DIRECTION('ref_axis',(1.,0.,0.)); #421557=DIRECTION('center_axis',(0.,0.,1.)); #421558=DIRECTION('ref_axis',(1.,0.,0.)); #421559=DIRECTION('center_axis',(0.,0.,1.)); #421560=DIRECTION('ref_axis',(1.,0.,0.)); #421561=DIRECTION('',(0.,0.,1.)); #421562=DIRECTION('center_axis',(0.,0.,-1.)); #421563=DIRECTION('ref_axis',(1.,0.,0.)); #421564=DIRECTION('center_axis',(0.,0.,1.)); #421565=DIRECTION('ref_axis',(1.,0.,0.)); #421566=DIRECTION('center_axis',(0.,0.,1.)); #421567=DIRECTION('ref_axis',(1.,0.,0.)); #421568=DIRECTION('',(0.,0.,1.)); #421569=DIRECTION('center_axis',(0.,0.,-1.)); #421570=DIRECTION('ref_axis',(1.,0.,0.)); #421571=DIRECTION('center_axis',(0.,0.,1.)); #421572=DIRECTION('ref_axis',(1.,0.,0.)); #421573=DIRECTION('center_axis',(0.,0.,1.)); #421574=DIRECTION('ref_axis',(1.,0.,0.)); #421575=DIRECTION('',(0.,0.,1.)); #421576=DIRECTION('center_axis',(0.,0.,-1.)); #421577=DIRECTION('ref_axis',(1.,0.,0.)); #421578=DIRECTION('center_axis',(0.,0.,1.)); #421579=DIRECTION('ref_axis',(1.,0.,0.)); #421580=DIRECTION('center_axis',(0.,0.,1.)); #421581=DIRECTION('ref_axis',(1.,0.,0.)); #421582=DIRECTION('',(0.,0.,1.)); #421583=DIRECTION('center_axis',(0.,0.,-1.)); #421584=DIRECTION('ref_axis',(1.,0.,0.)); #421585=DIRECTION('center_axis',(0.,0.,1.)); #421586=DIRECTION('ref_axis',(1.,0.,0.)); #421587=DIRECTION('center_axis',(0.,0.,1.)); #421588=DIRECTION('ref_axis',(1.,0.,0.)); #421589=DIRECTION('',(0.,0.,1.)); #421590=DIRECTION('center_axis',(0.,0.,-1.)); #421591=DIRECTION('ref_axis',(1.,0.,0.)); #421592=DIRECTION('center_axis',(0.,0.,1.)); #421593=DIRECTION('ref_axis',(1.,0.,0.)); #421594=DIRECTION('center_axis',(0.,0.,1.)); #421595=DIRECTION('ref_axis',(1.,0.,0.)); #421596=DIRECTION('',(0.,0.,1.)); #421597=DIRECTION('center_axis',(0.,0.,-1.)); #421598=DIRECTION('ref_axis',(1.,0.,0.)); #421599=DIRECTION('center_axis',(0.,0.,1.)); #421600=DIRECTION('ref_axis',(1.,0.,0.)); #421601=DIRECTION('center_axis',(0.,0.,1.)); #421602=DIRECTION('ref_axis',(1.,0.,0.)); #421603=DIRECTION('',(0.,0.,1.)); #421604=DIRECTION('center_axis',(0.,0.,-1.)); #421605=DIRECTION('ref_axis',(1.,0.,0.)); #421606=DIRECTION('center_axis',(0.,0.,1.)); #421607=DIRECTION('ref_axis',(1.,0.,0.)); #421608=DIRECTION('center_axis',(0.,0.,1.)); #421609=DIRECTION('ref_axis',(1.,0.,0.)); #421610=DIRECTION('',(0.,0.,1.)); #421611=DIRECTION('center_axis',(0.,0.,-1.)); #421612=DIRECTION('ref_axis',(1.,0.,0.)); #421613=DIRECTION('center_axis',(0.,0.,1.)); #421614=DIRECTION('ref_axis',(1.,0.,0.)); #421615=DIRECTION('center_axis',(0.,0.,1.)); #421616=DIRECTION('ref_axis',(1.,0.,0.)); #421617=DIRECTION('',(0.,0.,1.)); #421618=DIRECTION('center_axis',(0.,0.,-1.)); #421619=DIRECTION('ref_axis',(1.,0.,0.)); #421620=DIRECTION('center_axis',(0.,0.,1.)); #421621=DIRECTION('ref_axis',(1.,0.,0.)); #421622=DIRECTION('center_axis',(0.,0.,1.)); #421623=DIRECTION('ref_axis',(1.,0.,0.)); #421624=DIRECTION('',(0.,0.,1.)); #421625=DIRECTION('center_axis',(0.,0.,-1.)); #421626=DIRECTION('ref_axis',(1.,0.,0.)); #421627=DIRECTION('center_axis',(0.,0.,1.)); #421628=DIRECTION('ref_axis',(1.,0.,0.)); #421629=DIRECTION('center_axis',(0.,0.,1.)); #421630=DIRECTION('ref_axis',(1.,0.,0.)); #421631=DIRECTION('',(0.,0.,1.)); #421632=DIRECTION('center_axis',(0.,0.,-1.)); #421633=DIRECTION('ref_axis',(1.,0.,0.)); #421634=DIRECTION('center_axis',(0.,0.,1.)); #421635=DIRECTION('ref_axis',(1.,0.,0.)); #421636=DIRECTION('center_axis',(0.,0.,1.)); #421637=DIRECTION('ref_axis',(1.,0.,0.)); #421638=DIRECTION('',(0.,0.,1.)); #421639=DIRECTION('center_axis',(0.,0.,-1.)); #421640=DIRECTION('ref_axis',(1.,0.,0.)); #421641=DIRECTION('center_axis',(0.,0.,1.)); #421642=DIRECTION('ref_axis',(1.,0.,0.)); #421643=DIRECTION('center_axis',(0.,0.,1.)); #421644=DIRECTION('ref_axis',(1.,0.,0.)); #421645=DIRECTION('',(0.,0.,1.)); #421646=DIRECTION('center_axis',(0.,0.,-1.)); #421647=DIRECTION('ref_axis',(1.,0.,0.)); #421648=DIRECTION('center_axis',(0.,0.,1.)); #421649=DIRECTION('ref_axis',(1.,0.,0.)); #421650=DIRECTION('center_axis',(0.,0.,1.)); #421651=DIRECTION('ref_axis',(1.,0.,0.)); #421652=DIRECTION('',(0.,0.,1.)); #421653=DIRECTION('center_axis',(0.,0.,-1.)); #421654=DIRECTION('ref_axis',(1.,0.,0.)); #421655=DIRECTION('center_axis',(0.,0.,1.)); #421656=DIRECTION('ref_axis',(1.,0.,0.)); #421657=DIRECTION('center_axis',(0.,0.,1.)); #421658=DIRECTION('ref_axis',(1.,0.,0.)); #421659=DIRECTION('',(0.,0.,1.)); #421660=DIRECTION('center_axis',(0.,0.,-1.)); #421661=DIRECTION('ref_axis',(1.,0.,0.)); #421662=DIRECTION('center_axis',(0.,0.,1.)); #421663=DIRECTION('ref_axis',(1.,0.,0.)); #421664=DIRECTION('center_axis',(0.,0.,1.)); #421665=DIRECTION('ref_axis',(1.,0.,0.)); #421666=DIRECTION('',(0.,0.,1.)); #421667=DIRECTION('center_axis',(0.,0.,-1.)); #421668=DIRECTION('ref_axis',(1.,0.,0.)); #421669=DIRECTION('center_axis',(0.,0.,1.)); #421670=DIRECTION('ref_axis',(1.,0.,0.)); #421671=DIRECTION('center_axis',(0.,0.,1.)); #421672=DIRECTION('ref_axis',(1.,0.,0.)); #421673=DIRECTION('',(0.,0.,1.)); #421674=DIRECTION('center_axis',(0.,0.,-1.)); #421675=DIRECTION('ref_axis',(1.,0.,0.)); #421676=DIRECTION('center_axis',(0.,0.,1.)); #421677=DIRECTION('ref_axis',(1.,0.,0.)); #421678=DIRECTION('center_axis',(0.,0.,1.)); #421679=DIRECTION('ref_axis',(1.,0.,0.)); #421680=DIRECTION('',(0.,0.,1.)); #421681=DIRECTION('center_axis',(0.,0.,-1.)); #421682=DIRECTION('ref_axis',(1.,0.,0.)); #421683=DIRECTION('center_axis',(0.,0.,1.)); #421684=DIRECTION('ref_axis',(1.,0.,0.)); #421685=DIRECTION('center_axis',(0.,0.,1.)); #421686=DIRECTION('ref_axis',(1.,0.,0.)); #421687=DIRECTION('',(0.,0.,1.)); #421688=DIRECTION('center_axis',(0.,0.,-1.)); #421689=DIRECTION('ref_axis',(1.,0.,0.)); #421690=DIRECTION('center_axis',(0.,0.,1.)); #421691=DIRECTION('ref_axis',(1.,0.,0.)); #421692=DIRECTION('center_axis',(0.,0.,1.)); #421693=DIRECTION('ref_axis',(1.,0.,0.)); #421694=DIRECTION('',(0.,0.,1.)); #421695=DIRECTION('center_axis',(0.,0.,-1.)); #421696=DIRECTION('ref_axis',(1.,0.,0.)); #421697=DIRECTION('center_axis',(0.,0.,1.)); #421698=DIRECTION('ref_axis',(1.,0.,0.)); #421699=DIRECTION('center_axis',(0.,0.,1.)); #421700=DIRECTION('ref_axis',(1.,0.,0.)); #421701=DIRECTION('',(0.,0.,1.)); #421702=DIRECTION('center_axis',(0.,0.,-1.)); #421703=DIRECTION('ref_axis',(1.,0.,0.)); #421704=DIRECTION('center_axis',(0.,0.,1.)); #421705=DIRECTION('ref_axis',(1.,0.,0.)); #421706=DIRECTION('center_axis',(0.,0.,1.)); #421707=DIRECTION('ref_axis',(1.,0.,0.)); #421708=DIRECTION('',(0.,0.,1.)); #421709=DIRECTION('center_axis',(0.,0.,-1.)); #421710=DIRECTION('ref_axis',(1.,0.,0.)); #421711=DIRECTION('center_axis',(0.,0.,1.)); #421712=DIRECTION('ref_axis',(1.,0.,0.)); #421713=DIRECTION('center_axis',(0.,0.,1.)); #421714=DIRECTION('ref_axis',(1.,0.,0.)); #421715=DIRECTION('',(0.,0.,1.)); #421716=DIRECTION('center_axis',(0.,0.,-1.)); #421717=DIRECTION('ref_axis',(1.,0.,0.)); #421718=DIRECTION('center_axis',(0.,0.,1.)); #421719=DIRECTION('ref_axis',(1.,0.,0.)); #421720=DIRECTION('center_axis',(0.,0.,1.)); #421721=DIRECTION('ref_axis',(1.,0.,0.)); #421722=DIRECTION('',(0.,0.,1.)); #421723=DIRECTION('center_axis',(0.,0.,-1.)); #421724=DIRECTION('ref_axis',(1.,0.,0.)); #421725=DIRECTION('center_axis',(0.,0.,1.)); #421726=DIRECTION('ref_axis',(1.,0.,0.)); #421727=DIRECTION('center_axis',(0.,0.,1.)); #421728=DIRECTION('ref_axis',(1.,0.,0.)); #421729=DIRECTION('',(0.,0.,1.)); #421730=DIRECTION('center_axis',(0.,0.,-1.)); #421731=DIRECTION('ref_axis',(1.,0.,0.)); #421732=DIRECTION('center_axis',(0.,0.,1.)); #421733=DIRECTION('ref_axis',(1.,0.,0.)); #421734=DIRECTION('center_axis',(0.,0.,1.)); #421735=DIRECTION('ref_axis',(1.,0.,0.)); #421736=DIRECTION('',(0.,0.,1.)); #421737=DIRECTION('center_axis',(0.,0.,-1.)); #421738=DIRECTION('ref_axis',(1.,0.,0.)); #421739=DIRECTION('center_axis',(0.,0.,1.)); #421740=DIRECTION('ref_axis',(1.,0.,0.)); #421741=DIRECTION('center_axis',(0.,0.,1.)); #421742=DIRECTION('ref_axis',(1.,0.,0.)); #421743=DIRECTION('',(0.,0.,1.)); #421744=DIRECTION('center_axis',(0.,0.,-1.)); #421745=DIRECTION('ref_axis',(1.,0.,0.)); #421746=DIRECTION('center_axis',(0.,0.,1.)); #421747=DIRECTION('ref_axis',(1.,0.,0.)); #421748=DIRECTION('center_axis',(0.,0.,1.)); #421749=DIRECTION('ref_axis',(1.,0.,0.)); #421750=DIRECTION('',(0.,0.,1.)); #421751=DIRECTION('center_axis',(0.,0.,-1.)); #421752=DIRECTION('ref_axis',(1.,0.,0.)); #421753=DIRECTION('center_axis',(0.,0.,1.)); #421754=DIRECTION('ref_axis',(1.,0.,0.)); #421755=DIRECTION('center_axis',(0.,0.,1.)); #421756=DIRECTION('ref_axis',(1.,0.,0.)); #421757=DIRECTION('',(0.,0.,1.)); #421758=DIRECTION('center_axis',(0.,0.,-1.)); #421759=DIRECTION('ref_axis',(1.,0.,0.)); #421760=DIRECTION('center_axis',(0.,0.,1.)); #421761=DIRECTION('ref_axis',(1.,0.,0.)); #421762=DIRECTION('center_axis',(0.,0.,1.)); #421763=DIRECTION('ref_axis',(1.,0.,0.)); #421764=DIRECTION('',(0.,0.,1.)); #421765=DIRECTION('center_axis',(0.,0.,-1.)); #421766=DIRECTION('ref_axis',(1.,0.,0.)); #421767=DIRECTION('center_axis',(0.,0.,1.)); #421768=DIRECTION('ref_axis',(1.,0.,0.)); #421769=DIRECTION('center_axis',(0.,0.,1.)); #421770=DIRECTION('ref_axis',(1.,0.,0.)); #421771=DIRECTION('',(0.,0.,1.)); #421772=DIRECTION('center_axis',(0.,0.,-1.)); #421773=DIRECTION('ref_axis',(1.,0.,0.)); #421774=DIRECTION('center_axis',(0.,0.,1.)); #421775=DIRECTION('ref_axis',(1.,0.,0.)); #421776=DIRECTION('center_axis',(0.,0.,1.)); #421777=DIRECTION('ref_axis',(1.,0.,0.)); #421778=DIRECTION('',(0.,0.,1.)); #421779=DIRECTION('center_axis',(0.,0.,-1.)); #421780=DIRECTION('ref_axis',(1.,0.,0.)); #421781=DIRECTION('center_axis',(0.,0.,1.)); #421782=DIRECTION('ref_axis',(1.,0.,0.)); #421783=DIRECTION('center_axis',(0.,0.,1.)); #421784=DIRECTION('ref_axis',(1.,0.,0.)); #421785=DIRECTION('',(0.,0.,1.)); #421786=DIRECTION('center_axis',(0.,0.,-1.)); #421787=DIRECTION('ref_axis',(1.,0.,0.)); #421788=DIRECTION('center_axis',(0.,0.,1.)); #421789=DIRECTION('ref_axis',(1.,0.,0.)); #421790=DIRECTION('center_axis',(0.,0.,1.)); #421791=DIRECTION('ref_axis',(1.,0.,0.)); #421792=DIRECTION('',(0.,0.,1.)); #421793=DIRECTION('center_axis',(0.,0.,-1.)); #421794=DIRECTION('ref_axis',(1.,0.,0.)); #421795=DIRECTION('center_axis',(0.,0.,1.)); #421796=DIRECTION('ref_axis',(1.,0.,0.)); #421797=DIRECTION('center_axis',(0.,0.,1.)); #421798=DIRECTION('ref_axis',(1.,0.,0.)); #421799=DIRECTION('',(0.,0.,1.)); #421800=DIRECTION('center_axis',(0.,0.,-1.)); #421801=DIRECTION('ref_axis',(1.,0.,0.)); #421802=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #421803=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #421804=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #421805=DIRECTION('',(0.,0.,1.)); #421806=DIRECTION('',(5.55111499297693E-15,1.,0.)); #421807=DIRECTION('',(0.,0.,1.)); #421808=DIRECTION('center_axis',(0.,0.,1.)); #421809=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #421810=DIRECTION('center_axis',(0.,0.,-1.)); #421811=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #421812=DIRECTION('center_axis',(0.,0.,1.)); #421813=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #421814=DIRECTION('',(0.,0.,1.)); #421815=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #421816=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #421817=DIRECTION('',(5.55111499297693E-15,1.,0.)); #421818=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #421819=DIRECTION('',(0.,0.,1.)); #421820=DIRECTION('center_axis',(0.,0.,1.)); #421821=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #421822=DIRECTION('center_axis',(0.,0.,-1.)); #421823=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #421824=DIRECTION('center_axis',(0.,0.,1.)); #421825=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #421826=DIRECTION('center_axis',(0.,0.,1.)); #421827=DIRECTION('ref_axis',(1.,0.,0.)); #421828=DIRECTION('center_axis',(0.,0.,1.)); #421829=DIRECTION('ref_axis',(1.,0.,0.)); #421830=DIRECTION('',(0.,0.,1.)); #421831=DIRECTION('center_axis',(0.,0.,-1.)); #421832=DIRECTION('ref_axis',(1.,0.,0.)); #421833=DIRECTION('center_axis',(7.40148643419025E-15,1.,0.)); #421834=DIRECTION('ref_axis',(-1.,7.40148643419025E-15,0.)); #421835=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #421836=DIRECTION('',(0.,0.,1.)); #421837=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #421838=DIRECTION('',(0.,0.,1.)); #421839=DIRECTION('center_axis',(0.,0.,1.)); #421840=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #421841=DIRECTION('center_axis',(0.,0.,-1.)); #421842=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #421843=DIRECTION('center_axis',(0.,0.,1.)); #421844=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #421845=DIRECTION('',(0.,0.,1.)); #421846=DIRECTION('center_axis',(-7.40148643419025E-15,-1.,0.)); #421847=DIRECTION('ref_axis',(1.,-7.40148643419025E-15,0.)); #421848=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #421849=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #421850=DIRECTION('',(0.,0.,1.)); #421851=DIRECTION('center_axis',(0.,0.,1.)); #421852=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #421853=DIRECTION('center_axis',(0.,0.,-1.)); #421854=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #421855=DIRECTION('center_axis',(0.,0.,1.)); #421856=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #421857=DIRECTION('center_axis',(0.,0.,1.)); #421858=DIRECTION('ref_axis',(1.,0.,0.)); #421859=DIRECTION('center_axis',(0.,0.,1.)); #421860=DIRECTION('ref_axis',(1.,0.,0.)); #421861=DIRECTION('',(0.,0.,1.)); #421862=DIRECTION('center_axis',(0.,0.,-1.)); #421863=DIRECTION('ref_axis',(1.,0.,0.)); #421864=DIRECTION('center_axis',(0.,0.,1.)); #421865=DIRECTION('ref_axis',(1.,0.,0.)); #421866=DIRECTION('center_axis',(0.,0.,1.)); #421867=DIRECTION('ref_axis',(1.,0.,0.)); #421868=DIRECTION('',(0.,0.,1.)); #421869=DIRECTION('center_axis',(0.,0.,-1.)); #421870=DIRECTION('ref_axis',(1.,0.,0.)); #421871=DIRECTION('center_axis',(0.,0.,1.)); #421872=DIRECTION('ref_axis',(1.,0.,0.)); #421873=DIRECTION('center_axis',(0.,0.,1.)); #421874=DIRECTION('ref_axis',(1.,0.,0.)); #421875=DIRECTION('',(0.,0.,1.)); #421876=DIRECTION('center_axis',(0.,0.,-1.)); #421877=DIRECTION('ref_axis',(1.,0.,0.)); #421878=DIRECTION('center_axis',(7.40148643419025E-15,1.,0.)); #421879=DIRECTION('ref_axis',(-1.,7.40148643419025E-15,0.)); #421880=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #421881=DIRECTION('',(0.,0.,1.)); #421882=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #421883=DIRECTION('',(0.,0.,1.)); #421884=DIRECTION('center_axis',(0.,0.,1.)); #421885=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #421886=DIRECTION('center_axis',(0.,0.,-1.)); #421887=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #421888=DIRECTION('center_axis',(0.,0.,1.)); #421889=DIRECTION('ref_axis',(-2.67948996324207E-8,-1.,0.)); #421890=DIRECTION('',(0.,0.,1.)); #421891=DIRECTION('center_axis',(-7.40148643419025E-15,-1.,0.)); #421892=DIRECTION('ref_axis',(1.,-7.40148643419025E-15,0.)); #421893=DIRECTION('',(-1.,7.40148643419025E-15,0.)); #421894=DIRECTION('',(1.,-7.40148643419025E-15,0.)); #421895=DIRECTION('',(0.,0.,1.)); #421896=DIRECTION('center_axis',(0.,0.,1.)); #421897=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #421898=DIRECTION('center_axis',(0.,0.,-1.)); #421899=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #421900=DIRECTION('center_axis',(0.,0.,1.)); #421901=DIRECTION('ref_axis',(2.67948996324207E-8,1.,0.)); #421902=DIRECTION('center_axis',(0.,0.,1.)); #421903=DIRECTION('ref_axis',(1.,0.,0.)); #421904=DIRECTION('center_axis',(0.,0.,1.)); #421905=DIRECTION('ref_axis',(1.,0.,0.)); #421906=DIRECTION('',(0.,0.,1.)); #421907=DIRECTION('center_axis',(0.,0.,-1.)); #421908=DIRECTION('ref_axis',(1.,0.,0.)); #421909=DIRECTION('center_axis',(0.,0.,1.)); #421910=DIRECTION('ref_axis',(1.,0.,0.)); #421911=DIRECTION('center_axis',(0.,0.,1.)); #421912=DIRECTION('ref_axis',(1.,0.,0.)); #421913=DIRECTION('',(0.,0.,1.)); #421914=DIRECTION('center_axis',(0.,0.,-1.)); #421915=DIRECTION('ref_axis',(1.,0.,0.)); #421916=DIRECTION('center_axis',(0.,0.,1.)); #421917=DIRECTION('ref_axis',(1.,0.,0.)); #421918=DIRECTION('center_axis',(0.,0.,1.)); #421919=DIRECTION('ref_axis',(1.,0.,0.)); #421920=DIRECTION('',(0.,0.,1.)); #421921=DIRECTION('center_axis',(0.,0.,-1.)); #421922=DIRECTION('ref_axis',(1.,0.,0.)); #421923=DIRECTION('center_axis',(0.,0.,1.)); #421924=DIRECTION('ref_axis',(1.,0.,0.)); #421925=DIRECTION('center_axis',(0.,0.,1.)); #421926=DIRECTION('ref_axis',(1.,0.,0.)); #421927=DIRECTION('',(0.,0.,1.)); #421928=DIRECTION('center_axis',(0.,0.,-1.)); #421929=DIRECTION('ref_axis',(1.,0.,0.)); #421930=DIRECTION('center_axis',(0.,0.,1.)); #421931=DIRECTION('ref_axis',(1.,0.,0.)); #421932=DIRECTION('center_axis',(0.,0.,1.)); #421933=DIRECTION('ref_axis',(1.,0.,0.)); #421934=DIRECTION('',(0.,0.,1.)); #421935=DIRECTION('center_axis',(0.,0.,-1.)); #421936=DIRECTION('ref_axis',(1.,0.,0.)); #421937=DIRECTION('center_axis',(0.,0.,1.)); #421938=DIRECTION('ref_axis',(1.,0.,0.)); #421939=DIRECTION('center_axis',(0.,0.,1.)); #421940=DIRECTION('ref_axis',(1.,0.,0.)); #421941=DIRECTION('',(0.,0.,1.)); #421942=DIRECTION('center_axis',(0.,0.,-1.)); #421943=DIRECTION('ref_axis',(1.,0.,0.)); #421944=DIRECTION('center_axis',(0.,0.,1.)); #421945=DIRECTION('ref_axis',(1.,0.,0.)); #421946=DIRECTION('center_axis',(0.,0.,1.)); #421947=DIRECTION('ref_axis',(1.,0.,0.)); #421948=DIRECTION('',(0.,0.,1.)); #421949=DIRECTION('center_axis',(0.,0.,-1.)); #421950=DIRECTION('ref_axis',(1.,0.,0.)); #421951=DIRECTION('center_axis',(0.,0.,1.)); #421952=DIRECTION('ref_axis',(1.,0.,0.)); #421953=DIRECTION('center_axis',(0.,0.,1.)); #421954=DIRECTION('ref_axis',(1.,0.,0.)); #421955=DIRECTION('',(0.,0.,1.)); #421956=DIRECTION('center_axis',(0.,0.,-1.)); #421957=DIRECTION('ref_axis',(1.,0.,0.)); #421958=DIRECTION('center_axis',(0.,0.,1.)); #421959=DIRECTION('ref_axis',(1.,0.,0.)); #421960=DIRECTION('center_axis',(0.,0.,1.)); #421961=DIRECTION('ref_axis',(1.,0.,0.)); #421962=DIRECTION('',(0.,0.,1.)); #421963=DIRECTION('center_axis',(0.,0.,-1.)); #421964=DIRECTION('ref_axis',(1.,0.,0.)); #421965=DIRECTION('center_axis',(0.,0.,1.)); #421966=DIRECTION('ref_axis',(1.,0.,0.)); #421967=DIRECTION('center_axis',(0.,0.,1.)); #421968=DIRECTION('ref_axis',(1.,0.,0.)); #421969=DIRECTION('',(0.,0.,1.)); #421970=DIRECTION('center_axis',(0.,0.,-1.)); #421971=DIRECTION('ref_axis',(1.,0.,0.)); #421972=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #421973=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #421974=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #421975=DIRECTION('',(0.,0.,1.)); #421976=DIRECTION('',(5.55111499297693E-15,1.,0.)); #421977=DIRECTION('',(0.,0.,1.)); #421978=DIRECTION('center_axis',(0.,0.,1.)); #421979=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #421980=DIRECTION('center_axis',(0.,0.,-1.)); #421981=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #421982=DIRECTION('center_axis',(0.,0.,1.)); #421983=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #421984=DIRECTION('',(0.,0.,1.)); #421985=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #421986=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #421987=DIRECTION('',(5.55111499297693E-15,1.,0.)); #421988=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #421989=DIRECTION('',(0.,0.,1.)); #421990=DIRECTION('center_axis',(0.,0.,1.)); #421991=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #421992=DIRECTION('center_axis',(0.,0.,-1.)); #421993=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #421994=DIRECTION('center_axis',(0.,0.,1.)); #421995=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #421996=DIRECTION('center_axis',(0.,0.,1.)); #421997=DIRECTION('ref_axis',(1.,0.,0.)); #421998=DIRECTION('center_axis',(0.,0.,1.)); #421999=DIRECTION('ref_axis',(1.,0.,0.)); #422000=DIRECTION('',(0.,0.,1.)); #422001=DIRECTION('center_axis',(0.,0.,-1.)); #422002=DIRECTION('ref_axis',(1.,0.,0.)); #422003=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #422004=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #422005=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #422006=DIRECTION('',(0.,0.,1.)); #422007=DIRECTION('',(5.55111499297693E-15,1.,0.)); #422008=DIRECTION('',(0.,0.,1.)); #422009=DIRECTION('center_axis',(0.,0.,1.)); #422010=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #422011=DIRECTION('center_axis',(0.,0.,-1.)); #422012=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #422013=DIRECTION('center_axis',(0.,0.,1.)); #422014=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #422015=DIRECTION('',(0.,0.,1.)); #422016=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #422017=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #422018=DIRECTION('',(5.55111499297693E-15,1.,0.)); #422019=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #422020=DIRECTION('',(0.,0.,1.)); #422021=DIRECTION('center_axis',(0.,0.,1.)); #422022=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #422023=DIRECTION('center_axis',(0.,0.,-1.)); #422024=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #422025=DIRECTION('center_axis',(0.,0.,1.)); #422026=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #422027=DIRECTION('center_axis',(0.,0.,1.)); #422028=DIRECTION('ref_axis',(1.,0.,0.)); #422029=DIRECTION('center_axis',(0.,0.,1.)); #422030=DIRECTION('ref_axis',(1.,0.,0.)); #422031=DIRECTION('',(0.,0.,1.)); #422032=DIRECTION('center_axis',(0.,0.,-1.)); #422033=DIRECTION('ref_axis',(1.,0.,0.)); #422034=DIRECTION('center_axis',(1.,-5.55111499297693E-15,0.)); #422035=DIRECTION('ref_axis',(5.55111499297693E-15,1.,0.)); #422036=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #422037=DIRECTION('',(0.,0.,1.)); #422038=DIRECTION('',(5.55111499297693E-15,1.,0.)); #422039=DIRECTION('',(0.,0.,1.)); #422040=DIRECTION('center_axis',(0.,0.,1.)); #422041=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #422042=DIRECTION('center_axis',(0.,0.,-1.)); #422043=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #422044=DIRECTION('center_axis',(0.,0.,1.)); #422045=DIRECTION('ref_axis',(-1.,2.67948964603549E-8,0.)); #422046=DIRECTION('',(0.,0.,1.)); #422047=DIRECTION('center_axis',(-1.,5.55111499297693E-15,0.)); #422048=DIRECTION('ref_axis',(-5.55111499297693E-15,-1.,0.)); #422049=DIRECTION('',(5.55111499297693E-15,1.,0.)); #422050=DIRECTION('',(-5.55111499297693E-15,-1.,0.)); #422051=DIRECTION('',(0.,0.,1.)); #422052=DIRECTION('center_axis',(0.,0.,1.)); #422053=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #422054=DIRECTION('center_axis',(0.,0.,-1.)); #422055=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #422056=DIRECTION('center_axis',(0.,0.,1.)); #422057=DIRECTION('ref_axis',(1.,-2.67948964603549E-8,0.)); #422058=DIRECTION('center_axis',(0.,0.,1.)); #422059=DIRECTION('ref_axis',(1.,0.,0.)); #422060=DIRECTION('center_axis',(0.,0.,1.)); #422061=DIRECTION('ref_axis',(1.,0.,0.)); #422062=DIRECTION('',(0.,0.,1.)); #422063=DIRECTION('center_axis',(0.,0.,-1.)); #422064=DIRECTION('ref_axis',(1.,0.,0.)); #422065=DIRECTION('center_axis',(0.,0.,1.)); #422066=DIRECTION('ref_axis',(1.,0.,0.)); #422067=DIRECTION('center_axis',(0.,0.,1.)); #422068=DIRECTION('ref_axis',(1.,0.,0.)); #422069=DIRECTION('',(0.,0.,1.)); #422070=DIRECTION('center_axis',(0.,0.,-1.)); #422071=DIRECTION('ref_axis',(1.,0.,0.)); #422072=DIRECTION('center_axis',(0.,0.,1.)); #422073=DIRECTION('ref_axis',(1.,0.,0.)); #422074=DIRECTION('center_axis',(0.,0.,1.)); #422075=DIRECTION('ref_axis',(1.,0.,0.)); #422076=DIRECTION('',(0.,0.,1.)); #422077=DIRECTION('center_axis',(0.,0.,-1.)); #422078=DIRECTION('ref_axis',(1.,0.,0.)); #422079=DIRECTION('center_axis',(0.,0.,1.)); #422080=DIRECTION('ref_axis',(1.,0.,0.)); #422081=DIRECTION('center_axis',(0.,0.,1.)); #422082=DIRECTION('ref_axis',(1.,0.,0.)); #422083=DIRECTION('',(0.,0.,1.)); #422084=DIRECTION('center_axis',(0.,0.,-1.)); #422085=DIRECTION('ref_axis',(1.,0.,0.)); #422086=DIRECTION('center_axis',(0.,0.,1.)); #422087=DIRECTION('ref_axis',(1.,0.,0.)); #422088=DIRECTION('center_axis',(0.,0.,1.)); #422089=DIRECTION('ref_axis',(1.,0.,0.)); #422090=DIRECTION('',(0.,0.,1.)); #422091=DIRECTION('center_axis',(0.,0.,-1.)); #422092=DIRECTION('ref_axis',(1.,0.,0.)); #422093=DIRECTION('center_axis',(0.,0.,1.)); #422094=DIRECTION('ref_axis',(1.,0.,0.)); #422095=DIRECTION('center_axis',(0.,0.,1.)); #422096=DIRECTION('ref_axis',(1.,0.,0.)); #422097=DIRECTION('',(0.,0.,1.)); #422098=DIRECTION('center_axis',(0.,0.,-1.)); #422099=DIRECTION('ref_axis',(1.,0.,0.)); #422100=DIRECTION('center_axis',(0.,0.,1.)); #422101=DIRECTION('ref_axis',(1.,0.,0.)); #422102=DIRECTION('center_axis',(0.,0.,1.)); #422103=DIRECTION('ref_axis',(1.,0.,0.)); #422104=DIRECTION('',(0.,0.,1.)); #422105=DIRECTION('center_axis',(0.,0.,-1.)); #422106=DIRECTION('ref_axis',(1.,0.,0.)); #422107=DIRECTION('center_axis',(0.,0.,1.)); #422108=DIRECTION('ref_axis',(1.,0.,0.)); #422109=DIRECTION('center_axis',(0.,0.,1.)); #422110=DIRECTION('ref_axis',(1.,0.,0.)); #422111=DIRECTION('',(0.,0.,1.)); #422112=DIRECTION('center_axis',(0.,0.,-1.)); #422113=DIRECTION('ref_axis',(1.,0.,0.)); #422114=DIRECTION('center_axis',(0.,0.,1.)); #422115=DIRECTION('ref_axis',(1.,0.,0.)); #422116=DIRECTION('center_axis',(0.,0.,1.)); #422117=DIRECTION('ref_axis',(1.,0.,0.)); #422118=DIRECTION('',(0.,0.,1.)); #422119=DIRECTION('center_axis',(0.,0.,-1.)); #422120=DIRECTION('ref_axis',(1.,0.,0.)); #422121=DIRECTION('center_axis',(0.,0.,1.)); #422122=DIRECTION('ref_axis',(1.,0.,0.)); #422123=DIRECTION('center_axis',(0.,0.,1.)); #422124=DIRECTION('ref_axis',(1.,0.,0.)); #422125=DIRECTION('',(0.,0.,1.)); #422126=DIRECTION('center_axis',(0.,0.,-1.)); #422127=DIRECTION('ref_axis',(1.,0.,0.)); #422128=DIRECTION('center_axis',(0.,0.,1.)); #422129=DIRECTION('ref_axis',(1.,0.,0.)); #422130=DIRECTION('center_axis',(0.,0.,1.)); #422131=DIRECTION('ref_axis',(1.,0.,0.)); #422132=DIRECTION('',(0.,0.,1.)); #422133=DIRECTION('center_axis',(0.,0.,-1.)); #422134=DIRECTION('ref_axis',(1.,0.,0.)); #422135=DIRECTION('center_axis',(0.,0.,1.)); #422136=DIRECTION('ref_axis',(1.,0.,0.)); #422137=DIRECTION('center_axis',(0.,0.,1.)); #422138=DIRECTION('ref_axis',(1.,0.,0.)); #422139=DIRECTION('',(0.,0.,1.)); #422140=DIRECTION('center_axis',(0.,0.,-1.)); #422141=DIRECTION('ref_axis',(1.,0.,0.)); #422142=DIRECTION('center_axis',(0.,0.,1.)); #422143=DIRECTION('ref_axis',(1.,0.,0.)); #422144=DIRECTION('center_axis',(0.,0.,1.)); #422145=DIRECTION('ref_axis',(1.,0.,0.)); #422146=DIRECTION('',(0.,0.,1.)); #422147=DIRECTION('center_axis',(0.,0.,-1.)); #422148=DIRECTION('ref_axis',(1.,0.,0.)); #422149=DIRECTION('center_axis',(0.,0.,1.)); #422150=DIRECTION('ref_axis',(1.,0.,0.)); #422151=DIRECTION('center_axis',(0.,0.,1.)); #422152=DIRECTION('ref_axis',(1.,0.,0.)); #422153=DIRECTION('',(0.,0.,1.)); #422154=DIRECTION('center_axis',(0.,0.,-1.)); #422155=DIRECTION('ref_axis',(1.,0.,0.)); #422156=DIRECTION('center_axis',(0.,0.,1.)); #422157=DIRECTION('ref_axis',(1.,0.,0.)); #422158=DIRECTION('center_axis',(0.,0.,1.)); #422159=DIRECTION('ref_axis',(1.,0.,0.)); #422160=DIRECTION('',(0.,0.,1.)); #422161=DIRECTION('center_axis',(0.,0.,-1.)); #422162=DIRECTION('ref_axis',(1.,0.,0.)); #422163=DIRECTION('center_axis',(0.,0.,1.)); #422164=DIRECTION('ref_axis',(1.,0.,0.)); #422165=DIRECTION('center_axis',(0.,0.,1.)); #422166=DIRECTION('ref_axis',(1.,0.,0.)); #422167=DIRECTION('',(0.,0.,1.)); #422168=DIRECTION('center_axis',(0.,0.,-1.)); #422169=DIRECTION('ref_axis',(1.,0.,0.)); #422170=DIRECTION('center_axis',(0.,0.,1.)); #422171=DIRECTION('ref_axis',(1.,0.,0.)); #422172=DIRECTION('center_axis',(0.,0.,1.)); #422173=DIRECTION('ref_axis',(1.,0.,0.)); #422174=DIRECTION('',(0.,0.,1.)); #422175=DIRECTION('center_axis',(0.,0.,-1.)); #422176=DIRECTION('ref_axis',(1.,0.,0.)); #422177=DIRECTION('center_axis',(0.,0.,1.)); #422178=DIRECTION('ref_axis',(1.,0.,0.)); #422179=DIRECTION('center_axis',(0.,0.,1.)); #422180=DIRECTION('ref_axis',(1.,0.,0.)); #422181=DIRECTION('',(0.,0.,1.)); #422182=DIRECTION('center_axis',(0.,0.,-1.)); #422183=DIRECTION('ref_axis',(1.,0.,0.)); #422184=DIRECTION('center_axis',(0.,0.,1.)); #422185=DIRECTION('ref_axis',(1.,0.,0.)); #422186=DIRECTION('center_axis',(0.,0.,1.)); #422187=DIRECTION('ref_axis',(1.,0.,0.)); #422188=DIRECTION('',(0.,0.,1.)); #422189=DIRECTION('center_axis',(0.,0.,-1.)); #422190=DIRECTION('ref_axis',(1.,0.,0.)); #422191=DIRECTION('center_axis',(0.,0.,1.)); #422192=DIRECTION('ref_axis',(1.,0.,0.)); #422193=DIRECTION('center_axis',(0.,0.,1.)); #422194=DIRECTION('ref_axis',(1.,0.,0.)); #422195=DIRECTION('',(0.,0.,1.)); #422196=DIRECTION('center_axis',(0.,0.,-1.)); #422197=DIRECTION('ref_axis',(1.,0.,0.)); #422198=DIRECTION('center_axis',(0.,0.,1.)); #422199=DIRECTION('ref_axis',(1.,0.,0.)); #422200=DIRECTION('center_axis',(0.,0.,1.)); #422201=DIRECTION('ref_axis',(1.,0.,0.)); #422202=DIRECTION('',(0.,0.,1.)); #422203=DIRECTION('center_axis',(0.,0.,-1.)); #422204=DIRECTION('ref_axis',(1.,0.,0.)); #422205=DIRECTION('center_axis',(0.,0.,1.)); #422206=DIRECTION('ref_axis',(1.,0.,0.)); #422207=DIRECTION('center_axis',(0.,0.,1.)); #422208=DIRECTION('ref_axis',(1.,0.,0.)); #422209=DIRECTION('',(0.,0.,1.)); #422210=DIRECTION('center_axis',(0.,0.,-1.)); #422211=DIRECTION('ref_axis',(1.,0.,0.)); #422212=DIRECTION('center_axis',(0.,0.,1.)); #422213=DIRECTION('ref_axis',(1.,0.,0.)); #422214=DIRECTION('center_axis',(0.,0.,1.)); #422215=DIRECTION('ref_axis',(1.,0.,0.)); #422216=DIRECTION('',(0.,0.,1.)); #422217=DIRECTION('center_axis',(0.,0.,-1.)); #422218=DIRECTION('ref_axis',(1.,0.,0.)); #422219=DIRECTION('center_axis',(0.,0.,1.)); #422220=DIRECTION('ref_axis',(1.,0.,0.)); #422221=DIRECTION('center_axis',(0.,0.,1.)); #422222=DIRECTION('ref_axis',(1.,0.,0.)); #422223=DIRECTION('',(0.,0.,1.)); #422224=DIRECTION('center_axis',(0.,0.,-1.)); #422225=DIRECTION('ref_axis',(1.,0.,0.)); #422226=DIRECTION('center_axis',(0.,0.,1.)); #422227=DIRECTION('ref_axis',(1.,0.,0.)); #422228=DIRECTION('center_axis',(0.,0.,1.)); #422229=DIRECTION('ref_axis',(1.,0.,0.)); #422230=DIRECTION('',(0.,0.,1.)); #422231=DIRECTION('center_axis',(0.,0.,-1.)); #422232=DIRECTION('ref_axis',(1.,0.,0.)); #422233=DIRECTION('center_axis',(0.,0.,1.)); #422234=DIRECTION('ref_axis',(1.,0.,0.)); #422235=DIRECTION('center_axis',(0.,0.,1.)); #422236=DIRECTION('ref_axis',(1.,0.,0.)); #422237=DIRECTION('',(0.,0.,1.)); #422238=DIRECTION('center_axis',(0.,0.,-1.)); #422239=DIRECTION('ref_axis',(1.,0.,0.)); #422240=DIRECTION('center_axis',(0.,0.,1.)); #422241=DIRECTION('ref_axis',(1.,0.,0.)); #422242=DIRECTION('center_axis',(0.,0.,1.)); #422243=DIRECTION('ref_axis',(1.,0.,0.)); #422244=DIRECTION('',(0.,0.,1.)); #422245=DIRECTION('center_axis',(0.,0.,-1.)); #422246=DIRECTION('ref_axis',(1.,0.,0.)); #422247=DIRECTION('center_axis',(0.,0.,1.)); #422248=DIRECTION('ref_axis',(1.,0.,0.)); #422249=DIRECTION('center_axis',(0.,0.,1.)); #422250=DIRECTION('ref_axis',(1.,0.,0.)); #422251=DIRECTION('',(0.,0.,1.)); #422252=DIRECTION('center_axis',(0.,0.,-1.)); #422253=DIRECTION('ref_axis',(1.,0.,0.)); #422254=DIRECTION('center_axis',(0.,0.,1.)); #422255=DIRECTION('ref_axis',(1.,0.,0.)); #422256=DIRECTION('center_axis',(0.,0.,1.)); #422257=DIRECTION('ref_axis',(1.,0.,0.)); #422258=DIRECTION('',(0.,0.,1.)); #422259=DIRECTION('center_axis',(0.,0.,-1.)); #422260=DIRECTION('ref_axis',(1.,0.,0.)); #422261=DIRECTION('center_axis',(0.,0.,1.)); #422262=DIRECTION('ref_axis',(1.,0.,0.)); #422263=DIRECTION('center_axis',(0.,0.,1.)); #422264=DIRECTION('ref_axis',(1.,0.,0.)); #422265=DIRECTION('',(0.,0.,1.)); #422266=DIRECTION('center_axis',(0.,0.,-1.)); #422267=DIRECTION('ref_axis',(1.,0.,0.)); #422268=DIRECTION('center_axis',(0.,0.,1.)); #422269=DIRECTION('ref_axis',(1.,0.,0.)); #422270=DIRECTION('center_axis',(0.,0.,1.)); #422271=DIRECTION('ref_axis',(1.,0.,0.)); #422272=DIRECTION('',(0.,0.,1.)); #422273=DIRECTION('center_axis',(0.,0.,-1.)); #422274=DIRECTION('ref_axis',(1.,0.,0.)); #422275=DIRECTION('center_axis',(0.,0.,1.)); #422276=DIRECTION('ref_axis',(1.,0.,0.)); #422277=DIRECTION('center_axis',(0.,0.,1.)); #422278=DIRECTION('ref_axis',(1.,0.,0.)); #422279=DIRECTION('',(0.,0.,1.)); #422280=DIRECTION('center_axis',(0.,0.,-1.)); #422281=DIRECTION('ref_axis',(1.,0.,0.)); #422282=DIRECTION('center_axis',(0.,0.,1.)); #422283=DIRECTION('ref_axis',(1.,0.,0.)); #422284=DIRECTION('center_axis',(0.,0.,1.)); #422285=DIRECTION('ref_axis',(1.,0.,0.)); #422286=DIRECTION('',(0.,0.,1.)); #422287=DIRECTION('center_axis',(0.,0.,-1.)); #422288=DIRECTION('ref_axis',(1.,0.,0.)); #422289=DIRECTION('center_axis',(0.,0.,1.)); #422290=DIRECTION('ref_axis',(1.,0.,0.)); #422291=DIRECTION('center_axis',(0.,0.,1.)); #422292=DIRECTION('ref_axis',(1.,0.,0.)); #422293=DIRECTION('',(0.,0.,1.)); #422294=DIRECTION('center_axis',(0.,0.,-1.)); #422295=DIRECTION('ref_axis',(1.,0.,0.)); #422296=DIRECTION('center_axis',(0.,0.,1.)); #422297=DIRECTION('ref_axis',(1.,0.,0.)); #422298=DIRECTION('center_axis',(0.,0.,1.)); #422299=DIRECTION('ref_axis',(1.,0.,0.)); #422300=DIRECTION('',(0.,0.,1.)); #422301=DIRECTION('center_axis',(0.,0.,-1.)); #422302=DIRECTION('ref_axis',(1.,0.,0.)); #422303=DIRECTION('center_axis',(0.,0.,1.)); #422304=DIRECTION('ref_axis',(1.,0.,0.)); #422305=DIRECTION('center_axis',(0.,0.,1.)); #422306=DIRECTION('ref_axis',(1.,0.,0.)); #422307=DIRECTION('',(0.,0.,1.)); #422308=DIRECTION('center_axis',(0.,0.,-1.)); #422309=DIRECTION('ref_axis',(1.,0.,0.)); #422310=DIRECTION('center_axis',(0.,0.,1.)); #422311=DIRECTION('ref_axis',(1.,0.,0.)); #422312=DIRECTION('center_axis',(0.,0.,1.)); #422313=DIRECTION('ref_axis',(1.,0.,0.)); #422314=DIRECTION('',(0.,0.,1.)); #422315=DIRECTION('center_axis',(0.,0.,-1.)); #422316=DIRECTION('ref_axis',(1.,0.,0.)); #422317=DIRECTION('center_axis',(0.,0.,1.)); #422318=DIRECTION('ref_axis',(1.,0.,0.)); #422319=DIRECTION('center_axis',(0.,0.,1.)); #422320=DIRECTION('ref_axis',(1.,0.,0.)); #422321=DIRECTION('',(0.,0.,1.)); #422322=DIRECTION('center_axis',(0.,0.,-1.)); #422323=DIRECTION('ref_axis',(1.,0.,0.)); #422324=DIRECTION('center_axis',(0.,0.,1.)); #422325=DIRECTION('ref_axis',(1.,0.,0.)); #422326=DIRECTION('center_axis',(0.,0.,1.)); #422327=DIRECTION('ref_axis',(1.,0.,0.)); #422328=DIRECTION('',(0.,0.,1.)); #422329=DIRECTION('center_axis',(0.,0.,-1.)); #422330=DIRECTION('ref_axis',(1.,0.,0.)); #422331=DIRECTION('center_axis',(0.,0.,1.)); #422332=DIRECTION('ref_axis',(1.,0.,0.)); #422333=DIRECTION('center_axis',(0.,0.,1.)); #422334=DIRECTION('ref_axis',(1.,0.,0.)); #422335=DIRECTION('',(0.,0.,1.)); #422336=DIRECTION('center_axis',(0.,0.,-1.)); #422337=DIRECTION('ref_axis',(1.,0.,0.)); #422338=DIRECTION('center_axis',(0.,0.,1.)); #422339=DIRECTION('ref_axis',(1.,0.,0.)); #422340=DIRECTION('center_axis',(0.,0.,1.)); #422341=DIRECTION('ref_axis',(1.,0.,0.)); #422342=DIRECTION('',(0.,0.,1.)); #422343=DIRECTION('center_axis',(0.,0.,-1.)); #422344=DIRECTION('ref_axis',(1.,0.,0.)); #422345=DIRECTION('center_axis',(0.,0.,1.)); #422346=DIRECTION('ref_axis',(1.,0.,0.)); #422347=DIRECTION('center_axis',(0.,0.,1.)); #422348=DIRECTION('ref_axis',(1.,0.,0.)); #422349=DIRECTION('',(0.,0.,1.)); #422350=DIRECTION('center_axis',(0.,0.,-1.)); #422351=DIRECTION('ref_axis',(1.,0.,0.)); #422352=DIRECTION('center_axis',(0.,0.,1.)); #422353=DIRECTION('ref_axis',(1.,0.,0.)); #422354=DIRECTION('center_axis',(0.,0.,1.)); #422355=DIRECTION('ref_axis',(1.,0.,0.)); #422356=DIRECTION('',(0.,0.,1.)); #422357=DIRECTION('center_axis',(0.,0.,-1.)); #422358=DIRECTION('ref_axis',(1.,0.,0.)); #422359=DIRECTION('center_axis',(0.,0.,1.)); #422360=DIRECTION('ref_axis',(1.,0.,0.)); #422361=DIRECTION('center_axis',(0.,0.,1.)); #422362=DIRECTION('ref_axis',(1.,0.,0.)); #422363=DIRECTION('',(0.,0.,1.)); #422364=DIRECTION('center_axis',(0.,0.,-1.)); #422365=DIRECTION('ref_axis',(1.,0.,0.)); #422366=DIRECTION('center_axis',(0.,0.,1.)); #422367=DIRECTION('ref_axis',(1.,0.,0.)); #422368=DIRECTION('center_axis',(0.,0.,1.)); #422369=DIRECTION('ref_axis',(1.,0.,0.)); #422370=DIRECTION('',(0.,0.,1.)); #422371=DIRECTION('center_axis',(0.,0.,-1.)); #422372=DIRECTION('ref_axis',(1.,0.,0.)); #422373=DIRECTION('center_axis',(0.,0.,1.)); #422374=DIRECTION('ref_axis',(1.,0.,0.)); #422375=DIRECTION('center_axis',(0.,0.,1.)); #422376=DIRECTION('ref_axis',(1.,0.,0.)); #422377=DIRECTION('',(0.,0.,1.)); #422378=DIRECTION('center_axis',(0.,0.,-1.)); #422379=DIRECTION('ref_axis',(1.,0.,0.)); #422380=DIRECTION('center_axis',(0.,0.,1.)); #422381=DIRECTION('ref_axis',(1.,0.,0.)); #422382=DIRECTION('center_axis',(0.,0.,1.)); #422383=DIRECTION('ref_axis',(1.,0.,0.)); #422384=DIRECTION('',(0.,0.,1.)); #422385=DIRECTION('center_axis',(0.,0.,-1.)); #422386=DIRECTION('ref_axis',(1.,0.,0.)); #422387=DIRECTION('center_axis',(0.,0.,1.)); #422388=DIRECTION('ref_axis',(1.,0.,0.)); #422389=DIRECTION('center_axis',(0.,0.,1.)); #422390=DIRECTION('ref_axis',(1.,0.,0.)); #422391=DIRECTION('',(0.,0.,1.)); #422392=DIRECTION('center_axis',(0.,0.,-1.)); #422393=DIRECTION('ref_axis',(1.,0.,0.)); #422394=DIRECTION('center_axis',(0.,0.,1.)); #422395=DIRECTION('ref_axis',(1.,0.,0.)); #422396=DIRECTION('center_axis',(0.,0.,1.)); #422397=DIRECTION('ref_axis',(1.,0.,0.)); #422398=DIRECTION('',(0.,0.,1.)); #422399=DIRECTION('center_axis',(0.,0.,-1.)); #422400=DIRECTION('ref_axis',(1.,0.,0.)); #422401=DIRECTION('center_axis',(0.,0.,1.)); #422402=DIRECTION('ref_axis',(1.,0.,0.)); #422403=DIRECTION('center_axis',(0.,0.,1.)); #422404=DIRECTION('ref_axis',(1.,0.,0.)); #422405=DIRECTION('',(0.,0.,1.)); #422406=DIRECTION('center_axis',(0.,0.,-1.)); #422407=DIRECTION('ref_axis',(1.,0.,0.)); #422408=DIRECTION('center_axis',(0.,0.,1.)); #422409=DIRECTION('ref_axis',(1.,0.,0.)); #422410=DIRECTION('center_axis',(0.,0.,1.)); #422411=DIRECTION('ref_axis',(1.,0.,0.)); #422412=DIRECTION('',(0.,0.,1.)); #422413=DIRECTION('center_axis',(0.,0.,-1.)); #422414=DIRECTION('ref_axis',(1.,0.,0.)); #422415=DIRECTION('center_axis',(0.,0.,1.)); #422416=DIRECTION('ref_axis',(1.,0.,0.)); #422417=DIRECTION('center_axis',(0.,0.,1.)); #422418=DIRECTION('ref_axis',(1.,0.,0.)); #422419=DIRECTION('',(0.,0.,1.)); #422420=DIRECTION('center_axis',(0.,0.,-1.)); #422421=DIRECTION('ref_axis',(1.,0.,0.)); #422422=DIRECTION('center_axis',(0.,0.,1.)); #422423=DIRECTION('ref_axis',(1.,0.,0.)); #422424=DIRECTION('center_axis',(0.,0.,1.)); #422425=DIRECTION('ref_axis',(1.,0.,0.)); #422426=DIRECTION('',(0.,0.,1.)); #422427=DIRECTION('center_axis',(0.,0.,-1.)); #422428=DIRECTION('ref_axis',(1.,0.,0.)); #422429=DIRECTION('center_axis',(0.,0.,1.)); #422430=DIRECTION('ref_axis',(1.,0.,0.)); #422431=DIRECTION('center_axis',(0.,0.,1.)); #422432=DIRECTION('ref_axis',(1.,0.,0.)); #422433=DIRECTION('',(0.,0.,1.)); #422434=DIRECTION('center_axis',(0.,0.,-1.)); #422435=DIRECTION('ref_axis',(1.,0.,0.)); #422436=DIRECTION('center_axis',(0.,0.,1.)); #422437=DIRECTION('ref_axis',(1.,0.,0.)); #422438=DIRECTION('center_axis',(0.,0.,1.)); #422439=DIRECTION('ref_axis',(1.,0.,0.)); #422440=DIRECTION('',(0.,0.,1.)); #422441=DIRECTION('center_axis',(0.,0.,-1.)); #422442=DIRECTION('ref_axis',(1.,0.,0.)); #422443=DIRECTION('center_axis',(0.,0.,1.)); #422444=DIRECTION('ref_axis',(1.,0.,0.)); #422445=DIRECTION('center_axis',(0.,0.,1.)); #422446=DIRECTION('ref_axis',(1.,0.,0.)); #422447=DIRECTION('',(0.,0.,1.)); #422448=DIRECTION('center_axis',(0.,0.,-1.)); #422449=DIRECTION('ref_axis',(1.,0.,0.)); #422450=DIRECTION('center_axis',(0.,0.,1.)); #422451=DIRECTION('ref_axis',(1.,0.,0.)); #422452=DIRECTION('center_axis',(0.,0.,1.)); #422453=DIRECTION('ref_axis',(1.,0.,0.)); #422454=DIRECTION('',(0.,0.,1.)); #422455=DIRECTION('center_axis',(0.,0.,-1.)); #422456=DIRECTION('ref_axis',(1.,0.,0.)); #422457=DIRECTION('center_axis',(0.,0.,1.)); #422458=DIRECTION('ref_axis',(1.,0.,0.)); #422459=DIRECTION('center_axis',(0.,0.,1.)); #422460=DIRECTION('ref_axis',(1.,0.,0.)); #422461=DIRECTION('',(0.,0.,1.)); #422462=DIRECTION('center_axis',(0.,0.,-1.)); #422463=DIRECTION('ref_axis',(1.,0.,0.)); #422464=DIRECTION('center_axis',(0.,0.,1.)); #422465=DIRECTION('ref_axis',(1.,0.,0.)); #422466=DIRECTION('center_axis',(0.,0.,1.)); #422467=DIRECTION('ref_axis',(1.,0.,0.)); #422468=DIRECTION('',(0.,0.,1.)); #422469=DIRECTION('center_axis',(0.,0.,-1.)); #422470=DIRECTION('ref_axis',(1.,0.,0.)); #422471=DIRECTION('center_axis',(0.,0.,1.)); #422472=DIRECTION('ref_axis',(1.,0.,0.)); #422473=DIRECTION('center_axis',(0.,0.,1.)); #422474=DIRECTION('ref_axis',(1.,0.,0.)); #422475=DIRECTION('',(0.,0.,1.)); #422476=DIRECTION('center_axis',(0.,0.,-1.)); #422477=DIRECTION('ref_axis',(1.,0.,0.)); #422478=DIRECTION('center_axis',(0.,0.,1.)); #422479=DIRECTION('ref_axis',(1.,0.,0.)); #422480=DIRECTION('center_axis',(0.,0.,1.)); #422481=DIRECTION('ref_axis',(1.,0.,0.)); #422482=DIRECTION('',(0.,0.,1.)); #422483=DIRECTION('center_axis',(0.,0.,-1.)); #422484=DIRECTION('ref_axis',(1.,0.,0.)); #422485=DIRECTION('center_axis',(0.,0.,1.)); #422486=DIRECTION('ref_axis',(1.,0.,0.)); #422487=DIRECTION('center_axis',(0.,0.,1.)); #422488=DIRECTION('ref_axis',(1.,0.,0.)); #422489=DIRECTION('',(0.,0.,1.)); #422490=DIRECTION('center_axis',(0.,0.,-1.)); #422491=DIRECTION('ref_axis',(1.,0.,0.)); #422492=DIRECTION('center_axis',(0.,0.,1.)); #422493=DIRECTION('ref_axis',(1.,0.,0.)); #422494=DIRECTION('center_axis',(0.,0.,1.)); #422495=DIRECTION('ref_axis',(1.,0.,0.)); #422496=DIRECTION('',(0.,0.,1.)); #422497=DIRECTION('center_axis',(0.,0.,-1.)); #422498=DIRECTION('ref_axis',(1.,0.,0.)); #422499=DIRECTION('center_axis',(0.,0.,1.)); #422500=DIRECTION('ref_axis',(1.,0.,0.)); #422501=DIRECTION('center_axis',(0.,0.,1.)); #422502=DIRECTION('ref_axis',(1.,0.,0.)); #422503=DIRECTION('',(0.,0.,1.)); #422504=DIRECTION('center_axis',(0.,0.,-1.)); #422505=DIRECTION('ref_axis',(1.,0.,0.)); #422506=DIRECTION('center_axis',(0.,0.,1.)); #422507=DIRECTION('ref_axis',(1.,0.,0.)); #422508=DIRECTION('center_axis',(0.,0.,1.)); #422509=DIRECTION('ref_axis',(1.,0.,0.)); #422510=DIRECTION('',(0.,0.,1.)); #422511=DIRECTION('center_axis',(0.,0.,-1.)); #422512=DIRECTION('ref_axis',(1.,0.,0.)); #422513=DIRECTION('center_axis',(0.,0.,1.)); #422514=DIRECTION('ref_axis',(1.,0.,0.)); #422515=DIRECTION('center_axis',(0.,0.,1.)); #422516=DIRECTION('ref_axis',(1.,0.,0.)); #422517=DIRECTION('',(0.,0.,1.)); #422518=DIRECTION('center_axis',(0.,0.,-1.)); #422519=DIRECTION('ref_axis',(1.,0.,0.)); #422520=DIRECTION('center_axis',(0.,0.,1.)); #422521=DIRECTION('ref_axis',(1.,0.,0.)); #422522=DIRECTION('center_axis',(0.,0.,1.)); #422523=DIRECTION('ref_axis',(1.,0.,0.)); #422524=DIRECTION('',(0.,0.,1.)); #422525=DIRECTION('center_axis',(0.,0.,-1.)); #422526=DIRECTION('ref_axis',(1.,0.,0.)); #422527=DIRECTION('center_axis',(0.,0.,1.)); #422528=DIRECTION('ref_axis',(1.,0.,0.)); #422529=DIRECTION('center_axis',(0.,0.,1.)); #422530=DIRECTION('ref_axis',(1.,0.,0.)); #422531=DIRECTION('',(0.,0.,1.)); #422532=DIRECTION('center_axis',(0.,0.,-1.)); #422533=DIRECTION('ref_axis',(1.,0.,0.)); #422534=DIRECTION('center_axis',(0.,0.,1.)); #422535=DIRECTION('ref_axis',(1.,0.,0.)); #422536=DIRECTION('center_axis',(0.,0.,1.)); #422537=DIRECTION('ref_axis',(1.,0.,0.)); #422538=DIRECTION('',(0.,0.,1.)); #422539=DIRECTION('center_axis',(0.,0.,-1.)); #422540=DIRECTION('ref_axis',(1.,0.,0.)); #422541=DIRECTION('center_axis',(0.,0.,1.)); #422542=DIRECTION('ref_axis',(1.,0.,0.)); #422543=DIRECTION('center_axis',(0.,0.,1.)); #422544=DIRECTION('ref_axis',(1.,0.,0.)); #422545=DIRECTION('',(0.,0.,1.)); #422546=DIRECTION('center_axis',(0.,0.,-1.)); #422547=DIRECTION('ref_axis',(1.,0.,0.)); #422548=DIRECTION('center_axis',(0.,0.,1.)); #422549=DIRECTION('ref_axis',(1.,0.,0.)); #422550=DIRECTION('center_axis',(0.,0.,1.)); #422551=DIRECTION('ref_axis',(1.,0.,0.)); #422552=DIRECTION('',(0.,0.,1.)); #422553=DIRECTION('center_axis',(0.,0.,-1.)); #422554=DIRECTION('ref_axis',(1.,0.,0.)); #422555=DIRECTION('center_axis',(0.,0.,1.)); #422556=DIRECTION('ref_axis',(1.,0.,0.)); #422557=DIRECTION('center_axis',(0.,0.,1.)); #422558=DIRECTION('ref_axis',(1.,0.,0.)); #422559=DIRECTION('',(0.,0.,1.)); #422560=DIRECTION('center_axis',(0.,0.,-1.)); #422561=DIRECTION('ref_axis',(1.,0.,0.)); #422562=DIRECTION('center_axis',(0.,0.,1.)); #422563=DIRECTION('ref_axis',(1.,0.,0.)); #422564=DIRECTION('center_axis',(0.,0.,1.)); #422565=DIRECTION('ref_axis',(1.,0.,0.)); #422566=DIRECTION('',(0.,0.,1.)); #422567=DIRECTION('center_axis',(0.,0.,-1.)); #422568=DIRECTION('ref_axis',(1.,0.,0.)); #422569=DIRECTION('center_axis',(0.,0.,1.)); #422570=DIRECTION('ref_axis',(1.,0.,0.)); #422571=DIRECTION('center_axis',(0.,0.,1.)); #422572=DIRECTION('ref_axis',(1.,0.,0.)); #422573=DIRECTION('',(0.,0.,1.)); #422574=DIRECTION('center_axis',(0.,0.,-1.)); #422575=DIRECTION('ref_axis',(1.,0.,0.)); #422576=DIRECTION('center_axis',(0.,0.,1.)); #422577=DIRECTION('ref_axis',(1.,0.,0.)); #422578=DIRECTION('center_axis',(0.,0.,1.)); #422579=DIRECTION('ref_axis',(1.,0.,0.)); #422580=DIRECTION('',(0.,0.,1.)); #422581=DIRECTION('center_axis',(0.,0.,-1.)); #422582=DIRECTION('ref_axis',(1.,0.,0.)); #422583=DIRECTION('center_axis',(0.,0.,1.)); #422584=DIRECTION('ref_axis',(1.,0.,0.)); #422585=DIRECTION('center_axis',(0.,0.,1.)); #422586=DIRECTION('ref_axis',(1.,0.,0.)); #422587=DIRECTION('',(0.,0.,1.)); #422588=DIRECTION('center_axis',(0.,0.,-1.)); #422589=DIRECTION('ref_axis',(1.,0.,0.)); #422590=DIRECTION('center_axis',(0.,0.,1.)); #422591=DIRECTION('ref_axis',(1.,0.,0.)); #422592=DIRECTION('center_axis',(0.,0.,1.)); #422593=DIRECTION('ref_axis',(1.,0.,0.)); #422594=DIRECTION('',(0.,0.,1.)); #422595=DIRECTION('center_axis',(0.,0.,-1.)); #422596=DIRECTION('ref_axis',(1.,0.,0.)); #422597=DIRECTION('center_axis',(0.,0.,1.)); #422598=DIRECTION('ref_axis',(1.,0.,0.)); #422599=DIRECTION('center_axis',(0.,0.,1.)); #422600=DIRECTION('ref_axis',(1.,0.,0.)); #422601=DIRECTION('',(0.,0.,1.)); #422602=DIRECTION('center_axis',(0.,0.,-1.)); #422603=DIRECTION('ref_axis',(1.,0.,0.)); #422604=DIRECTION('center_axis',(0.,0.,1.)); #422605=DIRECTION('ref_axis',(1.,0.,0.)); #422606=DIRECTION('center_axis',(0.,0.,1.)); #422607=DIRECTION('ref_axis',(1.,0.,0.)); #422608=DIRECTION('',(0.,0.,1.)); #422609=DIRECTION('center_axis',(0.,0.,-1.)); #422610=DIRECTION('ref_axis',(1.,0.,0.)); #422611=DIRECTION('center_axis',(0.,0.,1.)); #422612=DIRECTION('ref_axis',(1.,0.,0.)); #422613=DIRECTION('center_axis',(0.,0.,1.)); #422614=DIRECTION('ref_axis',(1.,0.,0.)); #422615=DIRECTION('',(0.,0.,1.)); #422616=DIRECTION('center_axis',(0.,0.,-1.)); #422617=DIRECTION('ref_axis',(1.,0.,0.)); #422618=DIRECTION('center_axis',(0.,0.,1.)); #422619=DIRECTION('ref_axis',(1.,0.,0.)); #422620=DIRECTION('center_axis',(0.,0.,1.)); #422621=DIRECTION('ref_axis',(1.,0.,0.)); #422622=DIRECTION('',(0.,0.,1.)); #422623=DIRECTION('center_axis',(0.,0.,-1.)); #422624=DIRECTION('ref_axis',(1.,0.,0.)); #422625=DIRECTION('center_axis',(0.,0.,1.)); #422626=DIRECTION('ref_axis',(1.,0.,0.)); #422627=DIRECTION('center_axis',(0.,0.,1.)); #422628=DIRECTION('ref_axis',(1.,0.,0.)); #422629=DIRECTION('',(0.,0.,1.)); #422630=DIRECTION('center_axis',(0.,0.,-1.)); #422631=DIRECTION('ref_axis',(1.,0.,0.)); #422632=DIRECTION('center_axis',(0.,0.,1.)); #422633=DIRECTION('ref_axis',(1.,0.,0.)); #422634=DIRECTION('center_axis',(0.,0.,1.)); #422635=DIRECTION('ref_axis',(1.,0.,0.)); #422636=DIRECTION('',(0.,0.,1.)); #422637=DIRECTION('center_axis',(0.,0.,-1.)); #422638=DIRECTION('ref_axis',(1.,0.,0.)); #422639=DIRECTION('center_axis',(0.,0.,1.)); #422640=DIRECTION('ref_axis',(1.,0.,0.)); #422641=DIRECTION('center_axis',(0.,0.,1.)); #422642=DIRECTION('ref_axis',(1.,0.,0.)); #422643=DIRECTION('',(0.,0.,1.)); #422644=DIRECTION('center_axis',(0.,0.,-1.)); #422645=DIRECTION('ref_axis',(1.,0.,0.)); #422646=DIRECTION('center_axis',(0.,0.,1.)); #422647=DIRECTION('ref_axis',(1.,0.,0.)); #422648=DIRECTION('center_axis',(0.,0.,1.)); #422649=DIRECTION('ref_axis',(1.,0.,0.)); #422650=DIRECTION('',(0.,0.,1.)); #422651=DIRECTION('center_axis',(0.,0.,-1.)); #422652=DIRECTION('ref_axis',(1.,0.,0.)); #422653=DIRECTION('center_axis',(0.,0.,1.)); #422654=DIRECTION('ref_axis',(1.,0.,0.)); #422655=DIRECTION('center_axis',(0.,0.,1.)); #422656=DIRECTION('ref_axis',(1.,0.,0.)); #422657=DIRECTION('',(0.,0.,1.)); #422658=DIRECTION('center_axis',(0.,0.,-1.)); #422659=DIRECTION('ref_axis',(1.,0.,0.)); #422660=DIRECTION('center_axis',(0.,0.,1.)); #422661=DIRECTION('ref_axis',(1.,0.,0.)); #422662=DIRECTION('center_axis',(0.,0.,1.)); #422663=DIRECTION('ref_axis',(1.,0.,0.)); #422664=DIRECTION('',(0.,0.,1.)); #422665=DIRECTION('center_axis',(0.,0.,-1.)); #422666=DIRECTION('ref_axis',(1.,0.,0.)); #422667=DIRECTION('center_axis',(0.,0.,1.)); #422668=DIRECTION('ref_axis',(1.,0.,0.)); #422669=DIRECTION('center_axis',(0.,0.,1.)); #422670=DIRECTION('ref_axis',(1.,0.,0.)); #422671=DIRECTION('',(0.,0.,1.)); #422672=DIRECTION('center_axis',(0.,0.,-1.)); #422673=DIRECTION('ref_axis',(1.,0.,0.)); #422674=DIRECTION('center_axis',(0.,0.,1.)); #422675=DIRECTION('ref_axis',(1.,0.,0.)); #422676=DIRECTION('center_axis',(0.,0.,1.)); #422677=DIRECTION('ref_axis',(1.,0.,0.)); #422678=DIRECTION('',(0.,0.,1.)); #422679=DIRECTION('center_axis',(0.,0.,-1.)); #422680=DIRECTION('ref_axis',(1.,0.,0.)); #422681=DIRECTION('center_axis',(0.,0.,1.)); #422682=DIRECTION('ref_axis',(1.,0.,0.)); #422683=DIRECTION('center_axis',(0.,0.,1.)); #422684=DIRECTION('ref_axis',(1.,0.,0.)); #422685=DIRECTION('',(0.,0.,1.)); #422686=DIRECTION('center_axis',(0.,0.,-1.)); #422687=DIRECTION('ref_axis',(1.,0.,0.)); #422688=DIRECTION('center_axis',(0.,0.,1.)); #422689=DIRECTION('ref_axis',(1.,0.,0.)); #422690=DIRECTION('center_axis',(0.,0.,1.)); #422691=DIRECTION('ref_axis',(1.,0.,0.)); #422692=DIRECTION('',(0.,0.,1.)); #422693=DIRECTION('center_axis',(0.,0.,-1.)); #422694=DIRECTION('ref_axis',(1.,0.,0.)); #422695=DIRECTION('center_axis',(0.,0.,1.)); #422696=DIRECTION('ref_axis',(1.,0.,0.)); #422697=DIRECTION('center_axis',(0.,0.,1.)); #422698=DIRECTION('ref_axis',(1.,0.,0.)); #422699=DIRECTION('',(0.,0.,1.)); #422700=DIRECTION('center_axis',(0.,0.,-1.)); #422701=DIRECTION('ref_axis',(1.,0.,0.)); #422702=DIRECTION('center_axis',(0.,0.,1.)); #422703=DIRECTION('ref_axis',(1.,0.,0.)); #422704=DIRECTION('center_axis',(0.,0.,1.)); #422705=DIRECTION('ref_axis',(1.,0.,0.)); #422706=DIRECTION('',(0.,0.,1.)); #422707=DIRECTION('center_axis',(0.,0.,-1.)); #422708=DIRECTION('ref_axis',(1.,0.,0.)); #422709=DIRECTION('center_axis',(0.,0.,1.)); #422710=DIRECTION('ref_axis',(1.,0.,0.)); #422711=DIRECTION('center_axis',(0.,0.,1.)); #422712=DIRECTION('ref_axis',(1.,0.,0.)); #422713=DIRECTION('',(0.,0.,1.)); #422714=DIRECTION('center_axis',(0.,0.,-1.)); #422715=DIRECTION('ref_axis',(1.,0.,0.)); #422716=DIRECTION('center_axis',(0.,0.,1.)); #422717=DIRECTION('ref_axis',(1.,0.,0.)); #422718=DIRECTION('center_axis',(0.,0.,1.)); #422719=DIRECTION('ref_axis',(1.,0.,0.)); #422720=DIRECTION('',(0.,0.,1.)); #422721=DIRECTION('center_axis',(0.,0.,-1.)); #422722=DIRECTION('ref_axis',(1.,0.,0.)); #422723=DIRECTION('center_axis',(0.,0.,1.)); #422724=DIRECTION('ref_axis',(1.,0.,0.)); #422725=DIRECTION('center_axis',(0.,0.,1.)); #422726=DIRECTION('ref_axis',(1.,0.,0.)); #422727=DIRECTION('',(0.,0.,1.)); #422728=DIRECTION('center_axis',(0.,0.,-1.)); #422729=DIRECTION('ref_axis',(1.,0.,0.)); #422730=DIRECTION('center_axis',(0.,0.,1.)); #422731=DIRECTION('ref_axis',(1.,0.,0.)); #422732=DIRECTION('center_axis',(0.,0.,1.)); #422733=DIRECTION('ref_axis',(1.,0.,0.)); #422734=DIRECTION('',(0.,0.,1.)); #422735=DIRECTION('center_axis',(0.,0.,-1.)); #422736=DIRECTION('ref_axis',(1.,0.,0.)); #422737=DIRECTION('center_axis',(0.,0.,1.)); #422738=DIRECTION('ref_axis',(1.,0.,0.)); #422739=DIRECTION('center_axis',(0.,0.,1.)); #422740=DIRECTION('ref_axis',(1.,0.,0.)); #422741=DIRECTION('',(0.,0.,1.)); #422742=DIRECTION('center_axis',(0.,0.,-1.)); #422743=DIRECTION('ref_axis',(1.,0.,0.)); #422744=DIRECTION('center_axis',(0.,0.,1.)); #422745=DIRECTION('ref_axis',(1.,0.,0.)); #422746=DIRECTION('center_axis',(0.,0.,1.)); #422747=DIRECTION('ref_axis',(1.,0.,0.)); #422748=DIRECTION('',(0.,0.,1.)); #422749=DIRECTION('center_axis',(0.,0.,-1.)); #422750=DIRECTION('ref_axis',(1.,0.,0.)); #422751=DIRECTION('center_axis',(0.,0.,1.)); #422752=DIRECTION('ref_axis',(1.,0.,0.)); #422753=DIRECTION('center_axis',(0.,0.,1.)); #422754=DIRECTION('ref_axis',(1.,0.,0.)); #422755=DIRECTION('',(0.,0.,1.)); #422756=DIRECTION('center_axis',(0.,0.,-1.)); #422757=DIRECTION('ref_axis',(1.,0.,0.)); #422758=DIRECTION('center_axis',(0.,0.,1.)); #422759=DIRECTION('ref_axis',(1.,0.,0.)); #422760=DIRECTION('center_axis',(0.,0.,1.)); #422761=DIRECTION('ref_axis',(1.,0.,0.)); #422762=DIRECTION('',(0.,0.,1.)); #422763=DIRECTION('center_axis',(0.,0.,-1.)); #422764=DIRECTION('ref_axis',(1.,0.,0.)); #422765=DIRECTION('center_axis',(0.,0.,1.)); #422766=DIRECTION('ref_axis',(1.,0.,0.)); #422767=DIRECTION('center_axis',(0.,0.,1.)); #422768=DIRECTION('ref_axis',(1.,0.,0.)); #422769=DIRECTION('',(0.,0.,1.)); #422770=DIRECTION('center_axis',(0.,0.,-1.)); #422771=DIRECTION('ref_axis',(1.,0.,0.)); #422772=DIRECTION('center_axis',(0.,0.,1.)); #422773=DIRECTION('ref_axis',(1.,0.,0.)); #422774=DIRECTION('center_axis',(0.,0.,1.)); #422775=DIRECTION('ref_axis',(1.,0.,0.)); #422776=DIRECTION('',(0.,0.,1.)); #422777=DIRECTION('center_axis',(0.,0.,-1.)); #422778=DIRECTION('ref_axis',(1.,0.,0.)); #422779=DIRECTION('center_axis',(0.,0.,1.)); #422780=DIRECTION('ref_axis',(1.,0.,0.)); #422781=DIRECTION('center_axis',(0.,0.,1.)); #422782=DIRECTION('ref_axis',(1.,0.,0.)); #422783=DIRECTION('',(0.,0.,1.)); #422784=DIRECTION('center_axis',(0.,0.,-1.)); #422785=DIRECTION('ref_axis',(1.,0.,0.)); #422786=DIRECTION('center_axis',(0.,0.,1.)); #422787=DIRECTION('ref_axis',(1.,0.,0.)); #422788=DIRECTION('center_axis',(0.,0.,1.)); #422789=DIRECTION('ref_axis',(1.,0.,0.)); #422790=DIRECTION('',(0.,0.,1.)); #422791=DIRECTION('center_axis',(0.,0.,-1.)); #422792=DIRECTION('ref_axis',(1.,0.,0.)); #422793=DIRECTION('center_axis',(0.,0.,1.)); #422794=DIRECTION('ref_axis',(1.,0.,0.)); #422795=DIRECTION('center_axis',(0.,0.,1.)); #422796=DIRECTION('ref_axis',(1.,0.,0.)); #422797=DIRECTION('',(0.,0.,1.)); #422798=DIRECTION('center_axis',(0.,0.,-1.)); #422799=DIRECTION('ref_axis',(1.,0.,0.)); #422800=DIRECTION('center_axis',(0.,0.,1.)); #422801=DIRECTION('ref_axis',(1.,0.,0.)); #422802=DIRECTION('center_axis',(0.,0.,1.)); #422803=DIRECTION('ref_axis',(1.,0.,0.)); #422804=DIRECTION('',(0.,0.,1.)); #422805=DIRECTION('center_axis',(0.,0.,-1.)); #422806=DIRECTION('ref_axis',(1.,0.,0.)); #422807=DIRECTION('center_axis',(0.,0.,1.)); #422808=DIRECTION('ref_axis',(1.,0.,0.)); #422809=DIRECTION('center_axis',(0.,0.,1.)); #422810=DIRECTION('ref_axis',(1.,0.,0.)); #422811=DIRECTION('',(0.,0.,1.)); #422812=DIRECTION('center_axis',(0.,0.,-1.)); #422813=DIRECTION('ref_axis',(1.,0.,0.)); #422814=DIRECTION('center_axis',(0.,0.,1.)); #422815=DIRECTION('ref_axis',(1.,0.,0.)); #422816=DIRECTION('center_axis',(0.,0.,1.)); #422817=DIRECTION('ref_axis',(1.,0.,0.)); #422818=DIRECTION('',(0.,0.,1.)); #422819=DIRECTION('center_axis',(0.,0.,-1.)); #422820=DIRECTION('ref_axis',(1.,0.,0.)); #422821=DIRECTION('center_axis',(0.,0.,1.)); #422822=DIRECTION('ref_axis',(1.,0.,0.)); #422823=DIRECTION('center_axis',(0.,0.,1.)); #422824=DIRECTION('ref_axis',(1.,0.,0.)); #422825=DIRECTION('',(0.,0.,1.)); #422826=DIRECTION('center_axis',(0.,0.,-1.)); #422827=DIRECTION('ref_axis',(1.,0.,0.)); #422828=DIRECTION('center_axis',(0.,0.,1.)); #422829=DIRECTION('ref_axis',(1.,0.,0.)); #422830=DIRECTION('center_axis',(0.,0.,1.)); #422831=DIRECTION('ref_axis',(1.,0.,0.)); #422832=DIRECTION('',(0.,0.,1.)); #422833=DIRECTION('center_axis',(0.,0.,-1.)); #422834=DIRECTION('ref_axis',(1.,0.,0.)); #422835=DIRECTION('center_axis',(0.,0.,1.)); #422836=DIRECTION('ref_axis',(1.,0.,0.)); #422837=DIRECTION('center_axis',(0.,0.,1.)); #422838=DIRECTION('ref_axis',(1.,0.,0.)); #422839=DIRECTION('',(0.,0.,1.)); #422840=DIRECTION('center_axis',(0.,0.,-1.)); #422841=DIRECTION('ref_axis',(1.,0.,0.)); #422842=DIRECTION('center_axis',(0.,0.,1.)); #422843=DIRECTION('ref_axis',(1.,0.,0.)); #422844=DIRECTION('center_axis',(0.,0.,1.)); #422845=DIRECTION('ref_axis',(1.,0.,0.)); #422846=DIRECTION('',(0.,0.,1.)); #422847=DIRECTION('center_axis',(0.,0.,-1.)); #422848=DIRECTION('ref_axis',(1.,0.,0.)); #422849=DIRECTION('center_axis',(0.,0.,1.)); #422850=DIRECTION('ref_axis',(1.,0.,0.)); #422851=DIRECTION('center_axis',(0.,0.,1.)); #422852=DIRECTION('ref_axis',(1.,0.,0.)); #422853=DIRECTION('',(0.,0.,1.)); #422854=DIRECTION('center_axis',(0.,0.,-1.)); #422855=DIRECTION('ref_axis',(1.,0.,0.)); #422856=DIRECTION('center_axis',(0.,0.,1.)); #422857=DIRECTION('ref_axis',(1.,0.,0.)); #422858=DIRECTION('center_axis',(0.,0.,1.)); #422859=DIRECTION('ref_axis',(1.,0.,0.)); #422860=DIRECTION('',(0.,0.,1.)); #422861=DIRECTION('center_axis',(0.,0.,-1.)); #422862=DIRECTION('ref_axis',(1.,0.,0.)); #422863=DIRECTION('center_axis',(0.,0.,1.)); #422864=DIRECTION('ref_axis',(1.,0.,0.)); #422865=DIRECTION('center_axis',(0.,0.,1.)); #422866=DIRECTION('ref_axis',(1.,0.,0.)); #422867=DIRECTION('',(0.,0.,1.)); #422868=DIRECTION('center_axis',(0.,0.,-1.)); #422869=DIRECTION('ref_axis',(1.,0.,0.)); #422870=DIRECTION('center_axis',(0.,0.,1.)); #422871=DIRECTION('ref_axis',(1.,0.,0.)); #422872=DIRECTION('center_axis',(0.,0.,1.)); #422873=DIRECTION('ref_axis',(1.,0.,0.)); #422874=DIRECTION('',(0.,0.,1.)); #422875=DIRECTION('center_axis',(0.,0.,-1.)); #422876=DIRECTION('ref_axis',(1.,0.,0.)); #422877=DIRECTION('center_axis',(0.,0.,1.)); #422878=DIRECTION('ref_axis',(1.,0.,0.)); #422879=DIRECTION('center_axis',(0.,0.,1.)); #422880=DIRECTION('ref_axis',(1.,0.,0.)); #422881=DIRECTION('',(0.,0.,1.)); #422882=DIRECTION('center_axis',(0.,0.,-1.)); #422883=DIRECTION('ref_axis',(1.,0.,0.)); #422884=DIRECTION('center_axis',(0.,0.,1.)); #422885=DIRECTION('ref_axis',(1.,0.,0.)); #422886=DIRECTION('center_axis',(0.,0.,1.)); #422887=DIRECTION('ref_axis',(1.,0.,0.)); #422888=DIRECTION('',(0.,0.,1.)); #422889=DIRECTION('center_axis',(0.,0.,-1.)); #422890=DIRECTION('ref_axis',(1.,0.,0.)); #422891=DIRECTION('center_axis',(0.,0.,1.)); #422892=DIRECTION('ref_axis',(1.,0.,0.)); #422893=DIRECTION('center_axis',(0.,0.,1.)); #422894=DIRECTION('ref_axis',(1.,0.,0.)); #422895=DIRECTION('',(0.,0.,1.)); #422896=DIRECTION('center_axis',(0.,0.,-1.)); #422897=DIRECTION('ref_axis',(1.,0.,0.)); #422898=DIRECTION('center_axis',(0.,0.,1.)); #422899=DIRECTION('ref_axis',(1.,0.,0.)); #422900=DIRECTION('center_axis',(0.,0.,1.)); #422901=DIRECTION('ref_axis',(1.,0.,0.)); #422902=DIRECTION('',(0.,0.,1.)); #422903=DIRECTION('center_axis',(0.,0.,-1.)); #422904=DIRECTION('ref_axis',(1.,0.,0.)); #422905=DIRECTION('center_axis',(0.,0.,1.)); #422906=DIRECTION('ref_axis',(1.,0.,0.)); #422907=DIRECTION('center_axis',(0.,0.,1.)); #422908=DIRECTION('ref_axis',(1.,0.,0.)); #422909=DIRECTION('',(0.,0.,1.)); #422910=DIRECTION('center_axis',(0.,0.,-1.)); #422911=DIRECTION('ref_axis',(1.,0.,0.)); #422912=DIRECTION('center_axis',(0.,0.,1.)); #422913=DIRECTION('ref_axis',(1.,0.,0.)); #422914=DIRECTION('center_axis',(0.,0.,1.)); #422915=DIRECTION('ref_axis',(1.,0.,0.)); #422916=DIRECTION('',(0.,0.,1.)); #422917=DIRECTION('center_axis',(0.,0.,-1.)); #422918=DIRECTION('ref_axis',(1.,0.,0.)); #422919=DIRECTION('center_axis',(0.,0.,1.)); #422920=DIRECTION('ref_axis',(1.,0.,0.)); #422921=DIRECTION('center_axis',(0.,0.,1.)); #422922=DIRECTION('ref_axis',(1.,0.,0.)); #422923=DIRECTION('',(0.,0.,1.)); #422924=DIRECTION('center_axis',(0.,0.,-1.)); #422925=DIRECTION('ref_axis',(1.,0.,0.)); #422926=DIRECTION('center_axis',(0.,0.,1.)); #422927=DIRECTION('ref_axis',(1.,0.,0.)); #422928=DIRECTION('center_axis',(0.,0.,1.)); #422929=DIRECTION('ref_axis',(1.,0.,0.)); #422930=DIRECTION('',(0.,0.,1.)); #422931=DIRECTION('center_axis',(0.,0.,-1.)); #422932=DIRECTION('ref_axis',(1.,0.,0.)); #422933=DIRECTION('center_axis',(0.,0.,1.)); #422934=DIRECTION('ref_axis',(1.,0.,0.)); #422935=DIRECTION('center_axis',(0.,0.,1.)); #422936=DIRECTION('ref_axis',(1.,0.,0.)); #422937=DIRECTION('',(0.,0.,1.)); #422938=DIRECTION('center_axis',(0.,0.,-1.)); #422939=DIRECTION('ref_axis',(1.,0.,0.)); #422940=DIRECTION('center_axis',(0.,0.,1.)); #422941=DIRECTION('ref_axis',(1.,0.,0.)); #422942=DIRECTION('center_axis',(0.,0.,1.)); #422943=DIRECTION('ref_axis',(1.,0.,0.)); #422944=DIRECTION('',(0.,0.,1.)); #422945=DIRECTION('center_axis',(0.,0.,-1.)); #422946=DIRECTION('ref_axis',(1.,0.,0.)); #422947=DIRECTION('center_axis',(0.,0.,1.)); #422948=DIRECTION('ref_axis',(1.,0.,0.)); #422949=DIRECTION('center_axis',(0.,0.,1.)); #422950=DIRECTION('ref_axis',(1.,0.,0.)); #422951=DIRECTION('',(0.,0.,1.)); #422952=DIRECTION('center_axis',(0.,0.,-1.)); #422953=DIRECTION('ref_axis',(1.,0.,0.)); #422954=DIRECTION('center_axis',(0.,0.,1.)); #422955=DIRECTION('ref_axis',(1.,0.,0.)); #422956=DIRECTION('center_axis',(0.,0.,1.)); #422957=DIRECTION('ref_axis',(1.,0.,0.)); #422958=DIRECTION('',(0.,0.,1.)); #422959=DIRECTION('center_axis',(0.,0.,-1.)); #422960=DIRECTION('ref_axis',(1.,0.,0.)); #422961=DIRECTION('center_axis',(0.,0.,1.)); #422962=DIRECTION('ref_axis',(1.,0.,0.)); #422963=DIRECTION('center_axis',(0.,0.,1.)); #422964=DIRECTION('ref_axis',(1.,0.,0.)); #422965=DIRECTION('',(0.,0.,1.)); #422966=DIRECTION('center_axis',(0.,0.,-1.)); #422967=DIRECTION('ref_axis',(1.,0.,0.)); #422968=DIRECTION('center_axis',(0.,0.,1.)); #422969=DIRECTION('ref_axis',(1.,0.,0.)); #422970=DIRECTION('center_axis',(0.,0.,1.)); #422971=DIRECTION('ref_axis',(1.,0.,0.)); #422972=DIRECTION('',(0.,0.,1.)); #422973=DIRECTION('center_axis',(0.,0.,-1.)); #422974=DIRECTION('ref_axis',(1.,0.,0.)); #422975=DIRECTION('center_axis',(0.,0.,1.)); #422976=DIRECTION('ref_axis',(1.,0.,0.)); #422977=DIRECTION('center_axis',(0.,0.,1.)); #422978=DIRECTION('ref_axis',(1.,0.,0.)); #422979=DIRECTION('',(0.,0.,1.)); #422980=DIRECTION('center_axis',(0.,0.,-1.)); #422981=DIRECTION('ref_axis',(1.,0.,0.)); #422982=DIRECTION('center_axis',(0.,0.,-1.)); #422983=DIRECTION('ref_axis',(1.,0.,0.)); #422984=DIRECTION('center_axis',(0.,0.,-1.)); #422985=DIRECTION('ref_axis',(1.,0.,0.)); #422986=DIRECTION('',(0.,0.,1.)); #422987=DIRECTION('center_axis',(0.,0.,1.)); #422988=DIRECTION('ref_axis',(1.,0.,0.)); #422989=DIRECTION('center_axis',(0.,0.,1.)); #422990=DIRECTION('ref_axis',(1.,0.,0.)); #422991=DIRECTION('center_axis',(0.,0.,1.)); #422992=DIRECTION('ref_axis',(1.,0.,0.)); #422993=DIRECTION('axis',(0.,0.,1.)); #422994=DIRECTION('refdir',(1.,0.,0.)); #422995=DIRECTION('axis',(0.,0.,1.)); #422996=DIRECTION('refdir',(1.,0.,0.)); #422997=DIRECTION('axis',(0.,0.,1.)); #422998=DIRECTION('refdir',(1.,0.,0.)); #422999=DIRECTION('center_axis',(0.707106781186548,7.40655039324652E-15, 0.707106781186547)); #423000=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); #423001=DIRECTION('',(-0.707106781186547,-2.46885013108216E-15,0.707106781186548)); #423002=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #423003=DIRECTION('',(0.707106781186547,2.46885013108216E-15,-0.707106781186548)); #423004=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423005=DIRECTION('center_axis',(2.438088387898E-29,-3.491481338843E-15, -1.)); #423006=DIRECTION('ref_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #423007=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423008=DIRECTION('',(-6.99353086378051E-15,1.,-3.491481338843E-15)); #423009=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423010=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #423011=DIRECTION('ref_axis',(1.,6.982962677686E-15,0.)); #423012=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #423013=DIRECTION('',(1.,6.982962677686E-15,0.)); #423014=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #423015=DIRECTION('',(1.,6.982962677686E-15,0.)); #423016=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #423017=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423018=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #423019=DIRECTION('',(1.,6.982962677686E-15,0.)); #423020=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #423021=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423022=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #423023=DIRECTION('',(1.,6.982962677686E-15,0.)); #423024=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #423025=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423026=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #423027=DIRECTION('',(1.,6.982962677686E-15,0.)); #423028=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #423029=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423030=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #423031=DIRECTION('',(1.,6.982962677686E-15,0.)); #423032=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #423033=DIRECTION('',(1.,6.982962677686E-15,0.)); #423034=DIRECTION('',(-2.44177825035122E-29,3.491481338843E-15,1.)); #423035=DIRECTION('',(-0.707106781186548,-7.40655039324652E-15,-0.707106781186548)); #423036=DIRECTION('center_axis',(-0.707106781186548,-2.47632296713444E-15, 0.707106781186548)); #423037=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #423038=DIRECTION('',(0.707106781186548,7.40655039324652E-15,0.707106781186548)); #423039=DIRECTION('',(6.99353086378051E-15,-1.,3.491481338843E-15)); #423040=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #423041=DIRECTION('ref_axis',(1.,6.982962677686E-15,0.)); #423042=DIRECTION('',(2.44177825035122E-29,-3.491481338843E-15,-1.)); #423043=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423044=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #423045=DIRECTION('center_axis',(1.,6.99353086378051E-15,0.)); #423046=DIRECTION('ref_axis',(0.,0.,-1.)); #423047=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423048=DIRECTION('',(2.44177825035122E-29,-3.491481338843E-15,-1.)); #423049=DIRECTION('',(-4.94517309821662E-15,0.707106781186548,-0.707106781186548)); #423050=DIRECTION('',(2.50496492831706E-29,-3.581831519884E-15,-1.)); #423051=DIRECTION('',(4.94517309821662E-15,-0.707106781186548,-0.707106781186548)); #423052=DIRECTION('center_axis',(2.438088387898E-29,-3.491481338843E-15, -1.)); #423053=DIRECTION('ref_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #423054=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423055=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423056=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423057=DIRECTION('',(1.,6.982962677686E-15,0.)); #423058=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423059=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #423060=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423061=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #423062=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423063=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #423064=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423065=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #423066=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423067=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #423068=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423069=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #423070=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423071=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #423072=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423073=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #423074=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423075=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #423076=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423077=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423078=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #423079=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #423080=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423081=DIRECTION('',(1.,6.982962677686E-15,0.)); #423082=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423083=DIRECTION('',(1.,6.982962677686E-15,0.)); #423084=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #423085=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423086=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423087=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #423088=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #423089=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423090=DIRECTION('',(1.,6.982962677686E-15,0.)); #423091=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423092=DIRECTION('',(1.,6.982962677686E-15,0.)); #423093=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #423094=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423095=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423096=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #423097=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #423098=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423099=DIRECTION('',(1.,6.982962677686E-15,0.)); #423100=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423101=DIRECTION('',(1.,6.982962677686E-15,0.)); #423102=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #423103=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423104=DIRECTION('',(1.,6.982962677686E-15,0.)); #423105=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423106=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #423107=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #423108=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423109=DIRECTION('',(1.,6.982962677686E-15,0.)); #423110=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423111=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #423112=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #423113=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423114=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423115=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #423116=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #423117=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423118=DIRECTION('',(1.,6.982962677686E-15,0.)); #423119=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423120=DIRECTION('',(1.,6.982962677686E-15,0.)); #423121=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #423122=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423123=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #423124=DIRECTION('',(1.,6.982962677686E-15,0.)); #423125=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423126=DIRECTION('center_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423127=DIRECTION('ref_axis',(-1.,-6.982962677686E-15,0.)); #423128=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #423129=DIRECTION('',(1.,6.982962677686E-15,0.)); #423130=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #423131=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #423132=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #423133=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #423134=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #423135=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #423136=DIRECTION('',(1.,6.982962677686E-15,0.)); #423137=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #423138=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #423139=DIRECTION('',(2.438088387898E-29,-3.491481338843E-15,-1.)); #423140=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #423141=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423142=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #423143=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #423144=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #423145=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423146=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #423147=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423148=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #423149=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423150=DIRECTION('',(4.93770026216184E-15,-0.707106781186548,-0.707106781186548)); #423151=DIRECTION('',(2.501179582111E-29,-3.581831519884E-15,-1.)); #423152=DIRECTION('',(-4.93770026216184E-15,0.707106781186548,-0.707106781186548)); #423153=DIRECTION('',(-2.438088387898E-29,3.491481338843E-15,1.)); #423154=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #423155=DIRECTION('ref_axis',(1.,6.982962677686E-15,0.)); #423156=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423157=DIRECTION('center_axis',(-4.93770026216184E-15,0.707106781186548, 0.707106781186548)); #423158=DIRECTION('ref_axis',(-4.93770026216184E-15,0.707106781186548,-0.707106781186548)); #423159=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423160=DIRECTION('center_axis',(-6.982962677686E-15,1.,-3.581831519884E-15)); #423161=DIRECTION('ref_axis',(2.501179582111E-29,-3.581831519884E-15,-1.)); #423162=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423163=DIRECTION('center_axis',(-4.93770026216184E-15,0.707106781186548, -0.707106781186548)); #423164=DIRECTION('ref_axis',(4.93770026216184E-15,-0.707106781186548,-0.707106781186548)); #423165=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #423166=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423167=DIRECTION('center_axis',(-1.,-6.982962677686E-15,0.)); #423168=DIRECTION('ref_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #423169=DIRECTION('center_axis',(1.491720474706E-29,-2.136228623236E-15, -1.)); #423170=DIRECTION('ref_axis',(6.982962677686E-15,-1.,2.136228623236E-15)); #423171=DIRECTION('',(-6.982962677686E-15,1.,-2.136228623236E-15)); #423172=DIRECTION('',(1.,6.982962677686E-15,0.)); #423173=DIRECTION('',(6.982962677686E-15,-1.,2.136228623236E-15)); #423174=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423175=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #423176=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423177=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #423178=DIRECTION('',(-6.982962677686E-15,1.,-2.136228623236E-15)); #423179=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #423180=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #423181=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423182=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #423183=DIRECTION('',(6.982962677686E-15,-1.,2.136228623236E-15)); #423184=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #423185=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #423186=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #423187=DIRECTION('',(1.,6.982962677686E-15,0.)); #423188=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #423189=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #423190=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423191=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #423192=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #423193=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #423194=DIRECTION('',(1.,6.982962677686E-15,0.)); #423195=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #423196=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #423197=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #423198=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #423199=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423200=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #423201=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #423202=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423203=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423204=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #423205=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423206=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #423207=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #423208=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423209=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #423210=DIRECTION('',(1.,6.982962677686E-15,0.)); #423211=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423212=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423213=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, 0.342020143325932)); #423214=DIRECTION('ref_axis',(1.,6.98296267768545E-15,-1.99581809020916E-28)); #423215=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #423216=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #423217=DIRECTION('center_axis',(-0.939692620785813,-7.29247171933955E-15, -0.342020143325932)); #423218=DIRECTION('ref_axis',(6.98296267768519E-15,-1.,2.1362286232357E-15)); #423219=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #423220=DIRECTION('center_axis',(-0.939692620785813,-5.83120527954884E-15, 0.342020143325932)); #423221=DIRECTION('ref_axis',(-6.98296267768666E-15,1.,-2.13622862323576E-15)); #423222=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #423223=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, -0.342020143325932)); #423224=DIRECTION('ref_axis',(-1.,-6.98296267768545E-15,-1.99187378568306E-28)); #423225=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, 0.342020143325932)); #423226=DIRECTION('ref_axis',(-1.,-6.98296267768545E-15,1.98792948115695E-28)); #423227=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #423228=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #423229=DIRECTION('center_axis',(-0.939692620785813,-5.36768155151393E-15, 0.342020143325932)); #423230=DIRECTION('ref_axis',(-6.98296267768652E-15,1.,-3.49148133884281E-15)); #423231=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #423232=DIRECTION('center_axis',(-0.939692620785813,-7.75599544737346E-15, -0.342020143325932)); #423233=DIRECTION('ref_axis',(6.98296267768439E-15,-1.,3.49148133884241E-15)); #423234=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #423235=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, -0.342020143325932)); #423236=DIRECTION('ref_axis',(1.,6.98296267768545E-15,1.99187378568306E-28)); #423237=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #423238=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423239=DIRECTION('center_axis',(-1.,-6.982962677686E-15,0.)); #423240=DIRECTION('ref_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #423241=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #423242=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423243=DIRECTION('center_axis',(-1.,-6.982962677686E-15,0.)); #423244=DIRECTION('ref_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #423245=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #423246=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #423247=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423248=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423249=DIRECTION('center_axis',(-1.,-6.982962677686E-15,0.)); #423250=DIRECTION('ref_axis',(6.982962677686E-15,-1.,3.491481338843E-15)); #423251=DIRECTION('center_axis',(1.491720474706E-29,-2.136228623236E-15, -1.)); #423252=DIRECTION('ref_axis',(6.982962677686E-15,-1.,2.136228623236E-15)); #423253=DIRECTION('',(-6.982962677686E-15,1.,-2.136228623236E-15)); #423254=DIRECTION('',(1.,6.982962677686E-15,0.)); #423255=DIRECTION('',(6.982962677686E-15,-1.,2.136228623236E-15)); #423256=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423257=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #423258=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423259=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #423260=DIRECTION('',(-6.982962677686E-15,1.,-2.136228623236E-15)); #423261=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #423262=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #423263=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423264=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #423265=DIRECTION('',(6.982962677686E-15,-1.,2.136228623236E-15)); #423266=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #423267=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #423268=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #423269=DIRECTION('',(1.,6.982962677686E-15,0.)); #423270=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #423271=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #423272=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423273=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #423274=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #423275=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #423276=DIRECTION('',(1.,6.982962677686E-15,0.)); #423277=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #423278=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #423279=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #423280=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #423281=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423282=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #423283=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #423284=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423285=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423286=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #423287=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423288=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #423289=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #423290=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423291=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #423292=DIRECTION('',(1.,6.982962677686E-15,0.)); #423293=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423294=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423295=DIRECTION('center_axis',(1.491720474706E-29,-2.136228623236E-15, -1.)); #423296=DIRECTION('ref_axis',(6.982962677686E-15,-1.,2.136228623236E-15)); #423297=DIRECTION('',(-6.982962677686E-15,1.,-2.136228623236E-15)); #423298=DIRECTION('',(1.,6.982962677686E-15,0.)); #423299=DIRECTION('',(6.982962677686E-15,-1.,2.136228623236E-15)); #423300=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423301=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #423302=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423303=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #423304=DIRECTION('',(-6.982962677686E-15,1.,-2.136228623236E-15)); #423305=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #423306=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #423307=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423308=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #423309=DIRECTION('',(6.982962677686E-15,-1.,2.136228623236E-15)); #423310=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #423311=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #423312=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #423313=DIRECTION('',(1.,6.982962677686E-15,0.)); #423314=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #423315=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #423316=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423317=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #423318=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #423319=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #423320=DIRECTION('',(1.,6.982962677686E-15,0.)); #423321=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #423322=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #423323=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #423324=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #423325=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423326=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #423327=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #423328=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423329=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423330=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #423331=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423332=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #423333=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #423334=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423335=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #423336=DIRECTION('',(1.,6.982962677686E-15,0.)); #423337=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423338=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423339=DIRECTION('center_axis',(1.491720474706E-29,-2.136228623236E-15, -1.)); #423340=DIRECTION('ref_axis',(6.982962677686E-15,-1.,2.136228623236E-15)); #423341=DIRECTION('',(-6.982962677686E-15,1.,-2.136228623236E-15)); #423342=DIRECTION('',(1.,6.982962677686E-15,0.)); #423343=DIRECTION('',(6.982962677686E-15,-1.,2.136228623236E-15)); #423344=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423345=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #423346=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423347=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #423348=DIRECTION('',(-6.982962677686E-15,1.,-2.136228623236E-15)); #423349=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #423350=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #423351=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423352=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #423353=DIRECTION('',(6.982962677686E-15,-1.,2.136228623236E-15)); #423354=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #423355=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #423356=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #423357=DIRECTION('',(1.,6.982962677686E-15,0.)); #423358=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #423359=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #423360=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423361=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #423362=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #423363=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #423364=DIRECTION('',(1.,6.982962677686E-15,0.)); #423365=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #423366=DIRECTION('center_axis',(6.982962677686E-15,-1.,3.610944355997E-15)); #423367=DIRECTION('ref_axis',(-2.521508966913E-29,3.610944355997E-15,1.)); #423368=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #423369=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423370=DIRECTION('',(2.521508966913E-29,-3.610944355997E-15,-1.)); #423371=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #423372=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423373=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423374=DIRECTION('center_axis',(1.,6.982962677686E-15,0.)); #423375=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423376=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #423377=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #423378=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423379=DIRECTION('',(6.982962677686E-15,-1.,3.491481338843E-15)); #423380=DIRECTION('',(1.,6.982962677686E-15,0.)); #423381=DIRECTION('',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423382=DIRECTION('',(-1.,-6.982962677686E-15,0.)); #423383=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, 0.342020143325932)); #423384=DIRECTION('ref_axis',(1.,6.98296267768545E-15,-1.99581809020916E-28)); #423385=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #423386=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #423387=DIRECTION('center_axis',(-0.939692620785813,-7.29247171933955E-15, -0.342020143325932)); #423388=DIRECTION('ref_axis',(6.98296267768519E-15,-1.,2.1362286232357E-15)); #423389=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #423390=DIRECTION('center_axis',(-0.939692620785813,-5.83120527954884E-15, 0.342020143325932)); #423391=DIRECTION('ref_axis',(-6.98296267768666E-15,1.,-2.13622862323576E-15)); #423392=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #423393=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, -0.342020143325932)); #423394=DIRECTION('ref_axis',(-1.,-6.98296267768545E-15,-1.99187378568306E-28)); #423395=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, 0.342020143325932)); #423396=DIRECTION('ref_axis',(-1.,-6.98296267768545E-15,1.98792948115695E-28)); #423397=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #423398=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #423399=DIRECTION('center_axis',(-0.939692620785813,-5.36768155151393E-15, 0.342020143325932)); #423400=DIRECTION('ref_axis',(-6.98296267768652E-15,1.,-3.49148133884281E-15)); #423401=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #423402=DIRECTION('center_axis',(-0.939692620785813,-7.75599544737346E-15, -0.342020143325932)); #423403=DIRECTION('ref_axis',(6.98296267768439E-15,-1.,3.49148133884241E-15)); #423404=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #423405=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, -0.342020143325932)); #423406=DIRECTION('ref_axis',(1.,6.98296267768545E-15,1.99187378568306E-28)); #423407=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, 0.342020143325932)); #423408=DIRECTION('ref_axis',(1.,6.98296267768545E-15,-1.99581809020916E-28)); #423409=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #423410=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #423411=DIRECTION('center_axis',(-0.939692620785813,-7.29247171933955E-15, -0.342020143325932)); #423412=DIRECTION('ref_axis',(6.98296267768519E-15,-1.,2.1362286232357E-15)); #423413=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #423414=DIRECTION('center_axis',(-0.939692620785813,-5.83120527954884E-15, 0.342020143325932)); #423415=DIRECTION('ref_axis',(-6.98296267768666E-15,1.,-2.13622862323576E-15)); #423416=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #423417=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, -0.342020143325932)); #423418=DIRECTION('ref_axis',(-1.,-6.98296267768545E-15,-1.99187378568306E-28)); #423419=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, 0.342020143325932)); #423420=DIRECTION('ref_axis',(-1.,-6.98296267768545E-15,1.98792948115695E-28)); #423421=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #423422=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #423423=DIRECTION('center_axis',(-0.939692620785813,-5.36768155151393E-15, 0.342020143325932)); #423424=DIRECTION('ref_axis',(-6.98296267768652E-15,1.,-3.49148133884281E-15)); #423425=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #423426=DIRECTION('center_axis',(-0.939692620785813,-7.75599544737346E-15, -0.342020143325932)); #423427=DIRECTION('ref_axis',(6.98296267768439E-15,-1.,3.49148133884241E-15)); #423428=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #423429=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, -0.342020143325932)); #423430=DIRECTION('ref_axis',(1.,6.98296267768545E-15,1.98792948115695E-28)); #423431=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, 0.342020143325932)); #423432=DIRECTION('ref_axis',(1.,6.98296267768545E-15,-1.99581809020916E-28)); #423433=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #423434=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #423435=DIRECTION('center_axis',(-0.939692620785813,-7.29247171933955E-15, -0.342020143325932)); #423436=DIRECTION('ref_axis',(6.98296267768519E-15,-1.,2.1362286232357E-15)); #423437=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #423438=DIRECTION('center_axis',(-0.939692620785813,-5.83120527954884E-15, 0.342020143325932)); #423439=DIRECTION('ref_axis',(-6.98296267768666E-15,1.,-2.13622862323576E-15)); #423440=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #423441=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, -0.342020143325932)); #423442=DIRECTION('ref_axis',(-1.,-6.98296267768545E-15,-1.99187378568306E-28)); #423443=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, 0.342020143325932)); #423444=DIRECTION('ref_axis',(-1.,-6.98296267768545E-15,1.98792948115695E-28)); #423445=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #423446=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #423447=DIRECTION('center_axis',(-0.939692620785813,-5.36768155151393E-15, 0.342020143325932)); #423448=DIRECTION('ref_axis',(-6.98296267768652E-15,1.,-3.49148133884281E-15)); #423449=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #423450=DIRECTION('center_axis',(-0.939692620785813,-7.75599544737346E-15, -0.342020143325932)); #423451=DIRECTION('ref_axis',(6.98296267768439E-15,-1.,3.49148133884241E-15)); #423452=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #423453=DIRECTION('center_axis',(-6.56183849944369E-15,0.939692620785813, -0.342020143325932)); #423454=DIRECTION('ref_axis',(1.,6.98296267768545E-15,1.98792948115695E-28)); #423455=DIRECTION('center_axis',(-2.438088387898E-29,3.491481338843E-15, 1.)); #423456=DIRECTION('ref_axis',(-6.982962677686E-15,1.,-3.491481338843E-15)); #423457=DIRECTION('',(0.,0.,1.)); #423458=DIRECTION('',(1.,0.,0.)); #423459=DIRECTION('axis',(0.,0.,1.)); #423460=DIRECTION('refdir',(1.,0.,0.)); #423461=DIRECTION('axis',(0.,0.,1.)); #423462=DIRECTION('refdir',(1.,0.,0.)); #423463=DIRECTION('center_axis',(-0.408248290463862,-0.408248290463862, -0.816496580927727)); #423464=DIRECTION('ref_axis',(-0.577350269189628,-0.577350269189624,0.577350269189626)); #423465=DIRECTION('center_axis',(0.,-1.,0.)); #423466=DIRECTION('ref_axis',(-1.,0.,0.)); #423467=DIRECTION('center_axis',(0.,0.,1.)); #423468=DIRECTION('ref_axis',(0.,-1.,0.)); #423469=DIRECTION('center_axis',(-1.,0.,0.)); #423470=DIRECTION('ref_axis',(0.,0.,1.)); #423471=DIRECTION('center_axis',(0.408248290463867,-0.408248290463863,-0.816496580927724)); #423472=DIRECTION('ref_axis',(0.577350269189625,-0.577350269189625,0.577350269189627)); #423473=DIRECTION('center_axis',(1.,0.,0.)); #423474=DIRECTION('ref_axis',(0.,-1.,0.)); #423475=DIRECTION('center_axis',(0.,0.,1.)); #423476=DIRECTION('ref_axis',(1.,0.,0.)); #423477=DIRECTION('center_axis',(0.,-1.,0.)); #423478=DIRECTION('ref_axis',(0.,0.,1.)); #423479=DIRECTION('center_axis',(0.408248290463862,0.408248290463862,-0.816496580927727)); #423480=DIRECTION('ref_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189625)); #423481=DIRECTION('center_axis',(0.,0.,-1.)); #423482=DIRECTION('ref_axis',(-1.,0.,0.)); #423483=DIRECTION('center_axis',(0.,-1.,0.)); #423484=DIRECTION('ref_axis',(0.,0.,-1.)); #423485=DIRECTION('center_axis',(-1.,0.,0.)); #423486=DIRECTION('ref_axis',(0.,-1.,0.)); #423487=DIRECTION('center_axis',(-0.408248290463867,0.408248290463863,-0.816496580927724)); #423488=DIRECTION('ref_axis',(-0.577350269189625,0.577350269189625,0.577350269189627)); #423489=DIRECTION('center_axis',(-1.,0.,0.)); #423490=DIRECTION('ref_axis',(0.,1.,0.)); #423491=DIRECTION('center_axis',(0.,0.,1.)); #423492=DIRECTION('ref_axis',(-1.,0.,0.)); #423493=DIRECTION('center_axis',(0.,1.,0.)); #423494=DIRECTION('ref_axis',(0.,0.,1.)); #423495=DIRECTION('center_axis',(-0.408248290463862,0.408248290463862,-0.816496580927727)); #423496=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189625)); #423497=DIRECTION('center_axis',(0.,0.,-1.)); #423498=DIRECTION('ref_axis',(0.,-1.,0.)); #423499=DIRECTION('center_axis',(1.,0.,0.)); #423500=DIRECTION('ref_axis',(0.,0.,-1.)); #423501=DIRECTION('center_axis',(0.,-1.,0.)); #423502=DIRECTION('ref_axis',(1.,0.,0.)); #423503=DIRECTION('center_axis',(1.,0.,0.)); #423504=DIRECTION('ref_axis',(0.,-0.707106781186545,-0.70710678118655)); #423505=DIRECTION('',(-1.,0.,0.)); #423506=DIRECTION('',(1.,0.,0.)); #423507=DIRECTION('center_axis',(0.408248290463862,0.408248290463862,-0.816496580927727)); #423508=DIRECTION('ref_axis',(0.577350269189628,0.577350269189624,0.577350269189626)); #423509=DIRECTION('center_axis',(0.,1.,0.)); #423510=DIRECTION('ref_axis',(1.,0.,0.)); #423511=DIRECTION('center_axis',(0.,0.,1.)); #423512=DIRECTION('ref_axis',(0.,1.,0.)); #423513=DIRECTION('center_axis',(1.,0.,0.)); #423514=DIRECTION('ref_axis',(0.,0.,1.)); #423515=DIRECTION('center_axis',(0.408248290463866,-0.408248290463863,-0.816496580927725)); #423516=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,-0.577350269189626)); #423517=DIRECTION('center_axis',(-1.,0.,0.)); #423518=DIRECTION('ref_axis',(0.,0.,-1.)); #423519=DIRECTION('center_axis',(0.,1.,0.)); #423520=DIRECTION('ref_axis',(-1.,0.,0.)); #423521=DIRECTION('center_axis',(0.,0.,-1.)); #423522=DIRECTION('ref_axis',(0.,1.,0.)); #423523=DIRECTION('center_axis',(0.,-1.,0.)); #423524=DIRECTION('ref_axis',(-0.707106781186545,0.,-0.70710678118655)); #423525=DIRECTION('',(0.,1.,0.)); #423526=DIRECTION('',(0.,-1.,0.)); #423527=DIRECTION('center_axis',(0.,0.,1.)); #423528=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #423529=DIRECTION('',(0.,0.,-1.)); #423530=DIRECTION('',(0.,0.,1.)); #423531=DIRECTION('center_axis',(-0.408248290463862,-0.408248290463862, -0.816496580927727)); #423532=DIRECTION('ref_axis',(0.577350269189626,0.577350269189626,-0.577350269189625)); #423533=DIRECTION('center_axis',(0.,0.,-1.)); #423534=DIRECTION('ref_axis',(1.,0.,0.)); #423535=DIRECTION('center_axis',(0.,1.,0.)); #423536=DIRECTION('ref_axis',(0.,0.,-1.)); #423537=DIRECTION('center_axis',(1.,0.,0.)); #423538=DIRECTION('ref_axis',(0.,1.,0.)); #423539=DIRECTION('center_axis',(0.,-1.,0.)); #423540=DIRECTION('ref_axis',(0.707106781186552,0.,-0.707106781186544)); #423541=DIRECTION('',(0.,1.,0.)); #423542=DIRECTION('',(0.,-1.,0.)); #423543=DIRECTION('center_axis',(-1.,0.,0.)); #423544=DIRECTION('ref_axis',(0.,0.707106781186545,-0.70710678118655)); #423545=DIRECTION('',(1.,0.,0.)); #423546=DIRECTION('',(-1.,0.,0.)); #423547=DIRECTION('center_axis',(0.,0.,1.)); #423548=DIRECTION('ref_axis',(0.707106781186554,0.707106781186541,0.)); #423549=DIRECTION('',(0.,0.,-1.)); #423550=DIRECTION('',(0.,0.,1.)); #423551=DIRECTION('center_axis',(-1.,0.,0.)); #423552=DIRECTION('ref_axis',(0.,0.707106781186545,0.707106781186551)); #423553=DIRECTION('',(1.,0.,0.)); #423554=DIRECTION('',(-1.,0.,0.)); #423555=DIRECTION('center_axis',(0.,1.,0.)); #423556=DIRECTION('ref_axis',(0.707106781186551,0.,0.707106781186545)); #423557=DIRECTION('',(0.,-1.,0.)); #423558=DIRECTION('',(0.,1.,0.)); #423559=DIRECTION('center_axis',(0.,0.,1.)); #423560=DIRECTION('ref_axis',(0.707106781186554,-0.707106781186541,0.)); #423561=DIRECTION('',(0.,0.,-1.)); #423562=DIRECTION('',(0.,0.,1.)); #423563=DIRECTION('center_axis',(0.,-1.,0.)); #423564=DIRECTION('ref_axis',(-0.707106781186545,0.,0.707106781186551)); #423565=DIRECTION('',(0.,1.,0.)); #423566=DIRECTION('',(0.,-1.,0.)); #423567=DIRECTION('center_axis',(0.,0.,1.)); #423568=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #423569=DIRECTION('',(0.,0.,-1.)); #423570=DIRECTION('',(0.,0.,1.)); #423571=DIRECTION('center_axis',(1.,0.,0.)); #423572=DIRECTION('ref_axis',(0.,-0.707106781186545,0.707106781186551)); #423573=DIRECTION('',(-1.,0.,0.)); #423574=DIRECTION('',(1.,0.,0.)); #423575=DIRECTION('center_axis',(0.,-1.,0.)); #423576=DIRECTION('ref_axis',(1.,0.,0.)); #423577=DIRECTION('center_axis',(0.,0.,1.)); #423578=DIRECTION('ref_axis',(1.,0.,0.)); #423579=DIRECTION('center_axis',(-1.,0.,0.)); #423580=DIRECTION('ref_axis',(0.,-1.,0.)); #423581=DIRECTION('center_axis',(0.,1.,0.)); #423582=DIRECTION('ref_axis',(-1.,0.,0.)); #423583=DIRECTION('center_axis',(1.,0.,0.)); #423584=DIRECTION('ref_axis',(0.,1.,0.)); #423585=DIRECTION('center_axis',(0.,0.,1.)); #423586=DIRECTION('ref_axis',(1.,0.,0.)); #423587=DIRECTION('center_axis',(-0.408248290463862,-0.408248290463862, -0.816496580927727)); #423588=DIRECTION('ref_axis',(-0.577350269189628,-0.577350269189624,0.577350269189626)); #423589=DIRECTION('center_axis',(0.,-1.,0.)); #423590=DIRECTION('ref_axis',(-1.,0.,0.)); #423591=DIRECTION('center_axis',(0.,0.,1.)); #423592=DIRECTION('ref_axis',(0.,-1.,0.)); #423593=DIRECTION('center_axis',(-1.,0.,0.)); #423594=DIRECTION('ref_axis',(0.,0.,1.)); #423595=DIRECTION('center_axis',(0.408248290463867,-0.408248290463863,-0.816496580927724)); #423596=DIRECTION('ref_axis',(0.577350269189625,-0.577350269189625,0.577350269189627)); #423597=DIRECTION('center_axis',(1.,0.,0.)); #423598=DIRECTION('ref_axis',(0.,-1.,0.)); #423599=DIRECTION('center_axis',(0.,0.,1.)); #423600=DIRECTION('ref_axis',(1.,0.,0.)); #423601=DIRECTION('center_axis',(0.,-1.,0.)); #423602=DIRECTION('ref_axis',(0.,0.,1.)); #423603=DIRECTION('center_axis',(0.408248290463861,-0.816496580927725,0.408248290463867)); #423604=DIRECTION('ref_axis',(-0.57735026918962,-0.577350269189627,-0.57735026918963)); #423605=DIRECTION('center_axis',(0.,0.,-1.)); #423606=DIRECTION('ref_axis',(-1.,0.,0.)); #423607=DIRECTION('center_axis',(0.,-1.,0.)); #423608=DIRECTION('ref_axis',(0.,0.,-1.)); #423609=DIRECTION('center_axis',(-1.,0.,8.67361737988404E-15)); #423610=DIRECTION('ref_axis',(0.,-1.,0.)); #423611=DIRECTION('center_axis',(-0.408248290463867,0.408248290463863,-0.816496580927724)); #423612=DIRECTION('ref_axis',(-0.577350269189625,0.577350269189625,0.577350269189627)); #423613=DIRECTION('center_axis',(-1.,0.,0.)); #423614=DIRECTION('ref_axis',(0.,1.,0.)); #423615=DIRECTION('center_axis',(0.,0.,1.)); #423616=DIRECTION('ref_axis',(-1.,0.,0.)); #423617=DIRECTION('center_axis',(0.,1.,0.)); #423618=DIRECTION('ref_axis',(0.,0.,1.)); #423619=DIRECTION('center_axis',(-0.408248290463862,0.408248290463862,-0.816496580927727)); #423620=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189625)); #423621=DIRECTION('center_axis',(0.,0.,-1.)); #423622=DIRECTION('ref_axis',(0.,-1.,0.)); #423623=DIRECTION('center_axis',(1.,0.,0.)); #423624=DIRECTION('ref_axis',(0.,0.,-1.)); #423625=DIRECTION('center_axis',(0.,-1.,0.)); #423626=DIRECTION('ref_axis',(1.,0.,0.)); #423627=DIRECTION('center_axis',(1.,0.,0.)); #423628=DIRECTION('ref_axis',(0.,-0.707106781186545,-0.70710678118655)); #423629=DIRECTION('',(-1.,0.,0.)); #423630=DIRECTION('',(1.,0.,0.)); #423631=DIRECTION('center_axis',(0.408248290463862,0.408248290463862,-0.816496580927727)); #423632=DIRECTION('ref_axis',(0.577350269189628,0.577350269189624,0.577350269189626)); #423633=DIRECTION('center_axis',(0.,1.,0.)); #423634=DIRECTION('ref_axis',(1.,0.,0.)); #423635=DIRECTION('center_axis',(0.,0.,1.)); #423636=DIRECTION('ref_axis',(0.,1.,0.)); #423637=DIRECTION('center_axis',(1.,0.,0.)); #423638=DIRECTION('ref_axis',(0.,0.,1.)); #423639=DIRECTION('center_axis',(-0.408248290463864,-0.816496580927724, -0.408248290463867)); #423640=DIRECTION('ref_axis',(-0.577350269189621,0.577350269189628,-0.577350269189628)); #423641=DIRECTION('center_axis',(-1.,8.67361737988405E-15,0.)); #423642=DIRECTION('ref_axis',(0.,0.,-1.)); #423643=DIRECTION('center_axis',(0.,1.,0.)); #423644=DIRECTION('ref_axis',(-1.,0.,0.)); #423645=DIRECTION('center_axis',(0.,0.,-1.)); #423646=DIRECTION('ref_axis',(0.,1.,0.)); #423647=DIRECTION('center_axis',(0.,-1.,0.)); #423648=DIRECTION('ref_axis',(-0.707106781186545,0.,-0.70710678118655)); #423649=DIRECTION('',(0.,1.,0.)); #423650=DIRECTION('',(0.,-1.,0.)); #423651=DIRECTION('center_axis',(0.,0.,1.)); #423652=DIRECTION('ref_axis',(-0.707106781186554,0.707106781186541,0.)); #423653=DIRECTION('',(0.,0.,-1.)); #423654=DIRECTION('',(0.,0.,1.)); #423655=DIRECTION('center_axis',(-0.408248290463862,-0.408248290463862, -0.816496580927727)); #423656=DIRECTION('ref_axis',(0.577350269189626,0.577350269189626,-0.577350269189625)); #423657=DIRECTION('center_axis',(0.,0.,-1.)); #423658=DIRECTION('ref_axis',(1.,0.,0.)); #423659=DIRECTION('center_axis',(0.,1.,0.)); #423660=DIRECTION('ref_axis',(0.,0.,-1.)); #423661=DIRECTION('center_axis',(1.,0.,0.)); #423662=DIRECTION('ref_axis',(0.,1.,0.)); #423663=DIRECTION('center_axis',(0.,1.,0.)); #423664=DIRECTION('ref_axis',(0.707106781186545,0.,-0.70710678118655)); #423665=DIRECTION('',(0.,-1.,0.)); #423666=DIRECTION('',(0.,1.,0.)); #423667=DIRECTION('center_axis',(-1.,0.,0.)); #423668=DIRECTION('ref_axis',(0.,0.707106781186545,-0.70710678118655)); #423669=DIRECTION('',(1.,0.,0.)); #423670=DIRECTION('',(-1.,0.,0.)); #423671=DIRECTION('center_axis',(0.,0.,1.)); #423672=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #423673=DIRECTION('',(0.,0.,-1.)); #423674=DIRECTION('',(0.,0.,1.)); #423675=DIRECTION('center_axis',(-1.,0.,0.)); #423676=DIRECTION('ref_axis',(0.,0.707106781186545,0.707106781186551)); #423677=DIRECTION('',(1.,0.,0.)); #423678=DIRECTION('',(-1.,0.,0.)); #423679=DIRECTION('center_axis',(0.,1.,0.)); #423680=DIRECTION('ref_axis',(0.707106781186545,0.,0.707106781186551)); #423681=DIRECTION('',(0.,-1.,0.)); #423682=DIRECTION('',(0.,1.,0.)); #423683=DIRECTION('center_axis',(0.,0.,1.)); #423684=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #423685=DIRECTION('',(0.,0.,-1.)); #423686=DIRECTION('',(0.,0.,1.)); #423687=DIRECTION('center_axis',(0.,-1.,0.)); #423688=DIRECTION('ref_axis',(-0.707106781186551,0.,0.707106781186545)); #423689=DIRECTION('',(0.,1.,0.)); #423690=DIRECTION('',(0.,-1.,0.)); #423691=DIRECTION('center_axis',(0.,0.,1.)); #423692=DIRECTION('ref_axis',(-0.707106781186554,-0.707106781186541,0.)); #423693=DIRECTION('',(0.,0.,-1.)); #423694=DIRECTION('',(0.,0.,1.)); #423695=DIRECTION('center_axis',(1.,0.,0.)); #423696=DIRECTION('ref_axis',(0.,-0.707106781186545,0.707106781186551)); #423697=DIRECTION('',(-1.,0.,0.)); #423698=DIRECTION('',(1.,0.,0.)); #423699=DIRECTION('center_axis',(0.,-1.,0.)); #423700=DIRECTION('ref_axis',(1.,0.,0.)); #423701=DIRECTION('center_axis',(0.,0.,1.)); #423702=DIRECTION('ref_axis',(1.,0.,0.)); #423703=DIRECTION('center_axis',(-1.,0.,0.)); #423704=DIRECTION('ref_axis',(0.,-1.,0.)); #423705=DIRECTION('center_axis',(0.,1.,0.)); #423706=DIRECTION('ref_axis',(-1.,0.,0.)); #423707=DIRECTION('center_axis',(1.,0.,0.)); #423708=DIRECTION('ref_axis',(0.,1.,0.)); #423709=DIRECTION('center_axis',(0.,0.,1.)); #423710=DIRECTION('ref_axis',(1.,0.,0.)); #423711=DIRECTION('center_axis',(1.,0.,0.)); #423712=DIRECTION('ref_axis',(0.,1.,0.)); #423713=DIRECTION('',(0.,-1.,0.)); #423714=DIRECTION('',(0.,0.,1.)); #423715=DIRECTION('',(0.,1.,0.)); #423716=DIRECTION('',(0.,0.,1.)); #423717=DIRECTION('center_axis',(0.,-1.,0.)); #423718=DIRECTION('ref_axis',(1.,0.,0.)); #423719=DIRECTION('',(1.,0.,0.)); #423720=DIRECTION('',(1.,0.,0.)); #423721=DIRECTION('',(0.,0.,1.)); #423722=DIRECTION('center_axis',(-1.,0.,0.)); #423723=DIRECTION('ref_axis',(0.,-1.,0.)); #423724=DIRECTION('',(0.,-1.,0.)); #423725=DIRECTION('',(0.,-1.,0.)); #423726=DIRECTION('',(0.,0.,1.)); #423727=DIRECTION('center_axis',(0.,1.,0.)); #423728=DIRECTION('ref_axis',(-1.,0.,0.)); #423729=DIRECTION('',(-1.,0.,0.)); #423730=DIRECTION('',(-1.,0.,0.)); #423731=DIRECTION('center_axis',(0.,0.,1.)); #423732=DIRECTION('ref_axis',(1.,0.,0.)); #423733=DIRECTION('center_axis',(0.,0.,1.)); #423734=DIRECTION('ref_axis',(1.,0.,0.)); #423735=DIRECTION('center_axis',(-2.02605534853945E-32,3.94310329911601E-32, 1.)); #423736=DIRECTION('ref_axis',(1.,0.,2.02605534853945E-32)); #423737=DIRECTION('',(0.,-1.,0.)); #423738=DIRECTION('',(1.,0.,0.)); #423739=DIRECTION('',(0.,1.,0.)); #423740=DIRECTION('',(-1.,0.,0.)); #423741=DIRECTION('center_axis',(8.50450386111117E-33,1.35921895148378E-48, 1.)); #423742=DIRECTION('ref_axis',(1.,0.,-8.50450386111117E-33)); #423743=DIRECTION('',(0.,-1.,0.)); #423744=DIRECTION('',(-1.,0.,0.)); #423745=DIRECTION('',(0.,1.,0.)); #423746=DIRECTION('',(1.,0.,0.)); #423747=DIRECTION('center_axis',(-0.932798134405597,-1.98402869123086E-16, -0.360399279202162)); #423748=DIRECTION('ref_axis',(-0.360399279202162,0.,0.932798134405597)); #423749=DIRECTION('',(-0.354013048499967,-0.187418672735276,0.916269066705794)); #423750=DIRECTION('',(-0.354013048499967,0.187418672735276,0.916269066705794)); #423751=DIRECTION('center_axis',(0.,0.979714932282565,-0.200396236148706)); #423752=DIRECTION('ref_axis',(0.,0.200396236148706,0.979714932282566)); #423753=DIRECTION('',(0.354013048499966,0.187418672735276,0.916269066705795)); #423754=DIRECTION('center_axis',(0.932798134405597,1.98402869123086E-16, -0.360399279202162)); #423755=DIRECTION('ref_axis',(-0.360399279202162,0.,-0.932798134405597)); #423756=DIRECTION('',(0.354013048499967,-0.187418672735276,0.916269066705794)); #423757=DIRECTION('center_axis',(0.,-0.979714932282565,-0.200396236148706)); #423758=DIRECTION('ref_axis',(0.,0.200396236148706,-0.979714932282566)); #423759=DIRECTION('center_axis',(0.,-1.,0.)); #423760=DIRECTION('ref_axis',(1.,0.,0.)); #423761=DIRECTION('',(1.,0.,0.)); #423762=DIRECTION('',(0.,0.,1.)); #423763=DIRECTION('',(1.,0.,0.)); #423764=DIRECTION('',(0.,0.,1.)); #423765=DIRECTION('center_axis',(-1.,0.,0.)); #423766=DIRECTION('ref_axis',(0.,-1.,0.)); #423767=DIRECTION('',(0.,-1.,0.)); #423768=DIRECTION('',(0.,-1.,0.)); #423769=DIRECTION('',(0.,0.,1.)); #423770=DIRECTION('center_axis',(0.,1.,0.)); #423771=DIRECTION('ref_axis',(-1.,0.,0.)); #423772=DIRECTION('',(-1.,0.,0.)); #423773=DIRECTION('',(-1.,0.,0.)); #423774=DIRECTION('',(0.,0.,1.)); #423775=DIRECTION('center_axis',(1.,0.,0.)); #423776=DIRECTION('ref_axis',(0.,1.,0.)); #423777=DIRECTION('',(0.,1.,0.)); #423778=DIRECTION('',(0.,1.,0.)); #423779=DIRECTION('center_axis',(0.,0.,1.)); #423780=DIRECTION('ref_axis',(1.,0.,0.)); #423781=DIRECTION('center_axis',(0.,0.,1.)); #423782=DIRECTION('ref_axis',(1.,0.,0.)); #423783=DIRECTION('',(0.,0.,1.)); #423784=DIRECTION('',(1.,0.,0.)); #423785=DIRECTION('axis',(0.,0.,1.)); #423786=DIRECTION('refdir',(1.,0.,0.)); #423787=DIRECTION('axis',(0.,0.,1.)); #423788=DIRECTION('refdir',(1.,0.,0.)); #423789=DIRECTION('axis',(0.,0.,1.)); #423790=DIRECTION('refdir',(1.,0.,0.)); #423791=DIRECTION('axis',(0.,0.,1.)); #423792=DIRECTION('refdir',(1.,0.,0.)); #423793=DIRECTION('center_axis',(-7.10886483625716E-17,0.716873494897815, 0.697203264703337)); #423794=DIRECTION('ref_axis',(1.23259516440783E-32,-0.697203264703337,0.716873494897815)); #423795=DIRECTION('',(0.,0.697203264703337,-0.716873494897815)); #423796=DIRECTION('',(-1.,0.,0.)); #423797=DIRECTION('',(-7.30942759152496E-17,-0.697203264703337,0.716873494897815)); #423798=DIRECTION('',(1.,0.,1.01962586754123E-16)); #423799=DIRECTION('',(-7.30942759152496E-17,-0.697203264703337,0.716873494897815)); #423800=DIRECTION('',(1.,0.,1.01962586754123E-16)); #423801=DIRECTION('',(1.48865442512566E-16,0.697203264703337,-0.716873494897815)); #423802=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #423803=DIRECTION('',(2.96089828786853E-16,-0.697203264703337,0.716873494897815)); #423804=DIRECTION('',(-1.,0.,0.)); #423805=DIRECTION('',(-1.37807766057711E-17,-0.697203264703337,0.716873494897815)); #423806=DIRECTION('',(1.,0.,1.01962586754123E-16)); #423807=DIRECTION('',(6.89038830288553E-17,0.697203264703337,-0.716873494897815)); #423808=DIRECTION('',(-1.,0.,-3.70034871155462E-17)); #423809=DIRECTION('',(0.,-0.697203264703337,0.716873494897815)); #423810=DIRECTION('',(1.,0.,1.01962586754123E-16)); #423811=DIRECTION('center_axis',(-1.,0.,0.)); #423812=DIRECTION('ref_axis',(0.,0.,1.)); #423813=DIRECTION('',(0.,1.,0.)); #423814=DIRECTION('',(1.12352556734249E-16,0.,1.)); #423815=DIRECTION('',(0.,1.,0.)); #423816=DIRECTION('',(0.,0.,1.)); #423817=DIRECTION('center_axis',(0.,1.,0.)); #423818=DIRECTION('ref_axis',(0.,0.,1.)); #423819=DIRECTION('center_axis',(0.,-1.,0.)); #423820=DIRECTION('ref_axis',(0.,0.,-1.)); #423821=DIRECTION('',(0.,1.,0.)); #423822=DIRECTION('center_axis',(0.,-1.,0.)); #423823=DIRECTION('ref_axis',(1.,0.,0.)); #423824=DIRECTION('',(0.,1.,0.)); #423825=DIRECTION('center_axis',(0.,-1.,0.)); #423826=DIRECTION('ref_axis',(0.,0.,-1.)); #423827=DIRECTION('',(0.,1.,0.)); #423828=DIRECTION('center_axis',(0.,1.,0.)); #423829=DIRECTION('ref_axis',(0.,0.,1.)); #423830=DIRECTION('',(0.,1.,0.)); #423831=DIRECTION('center_axis',(0.,1.,0.)); #423832=DIRECTION('ref_axis',(0.,0.,1.)); #423833=DIRECTION('center_axis',(0.,-1.,0.)); #423834=DIRECTION('ref_axis',(0.,0.,-1.)); #423835=DIRECTION('',(0.,1.,0.)); #423836=DIRECTION('center_axis',(0.,1.,0.)); #423837=DIRECTION('ref_axis',(0.,0.,1.)); #423838=DIRECTION('',(0.,1.,0.)); #423839=DIRECTION('center_axis',(0.,-1.,0.)); #423840=DIRECTION('ref_axis',(0.,0.,-1.)); #423841=DIRECTION('',(0.,1.,0.)); #423842=DIRECTION('center_axis',(0.,-1.,0.)); #423843=DIRECTION('ref_axis',(1.,0.,0.)); #423844=DIRECTION('',(0.,1.,0.)); #423845=DIRECTION('center_axis',(1.,0.,0.)); #423846=DIRECTION('ref_axis',(0.,0.,-1.)); #423847=DIRECTION('',(0.,0.,-1.)); #423848=DIRECTION('',(0.,0.,-1.)); #423849=DIRECTION('center_axis',(1.,0.,0.)); #423850=DIRECTION('ref_axis',(0.,0.,-1.)); #423851=DIRECTION('',(0.,0.,1.)); #423852=DIRECTION('',(0.,0.,1.)); #423853=DIRECTION('center_axis',(1.01962586754123E-16,0.,1.)); #423854=DIRECTION('ref_axis',(1.,0.,-1.01962586754123E-16)); #423855=DIRECTION('',(1.,0.,0.)); #423856=DIRECTION('',(0.,1.,0.)); #423857=DIRECTION('',(-1.,0.,1.01962586754123E-16)); #423858=DIRECTION('',(0.,-1.,0.)); #423859=DIRECTION('',(1.,0.,-1.01962586754123E-16)); #423860=DIRECTION('',(0.,-1.,0.)); #423861=DIRECTION('',(1.,0.,-1.66800334228539E-16)); #423862=DIRECTION('',(0.,-1.,0.)); #423863=DIRECTION('',(1.,0.,-1.01962586754123E-16)); #423864=DIRECTION('',(0.,-1.,0.)); #423865=DIRECTION('',(-1.,0.,-1.66800334228539E-16)); #423866=DIRECTION('',(0.,-1.,0.)); #423867=DIRECTION('',(1.,0.,-1.01962586754123E-16)); #423868=DIRECTION('',(0.,-1.,0.)); #423869=DIRECTION('',(-1.,0.,1.01962586754123E-16)); #423870=DIRECTION('',(0.,1.,0.)); #423871=DIRECTION('center_axis',(7.20984365211618E-17,0.707106781186548, 0.707106781186548)); #423872=DIRECTION('ref_axis',(1.,2.83496887813801E-31,-1.01962586754123E-16)); #423873=DIRECTION('',(0.,0.707106781186548,-0.707106781186548)); #423874=DIRECTION('',(0.,-0.707106781186548,0.707106781186548)); #423875=DIRECTION('',(1.,0.,0.)); #423876=DIRECTION('center_axis',(0.,1.,0.)); #423877=DIRECTION('ref_axis',(0.,0.,1.)); #423878=DIRECTION('',(-1.,0.,0.)); #423879=DIRECTION('',(0.,0.,-1.)); #423880=DIRECTION('',(-1.,0.,-3.70034871155462E-17)); #423881=DIRECTION('',(1.53012403374971E-16,0.,1.)); #423882=DIRECTION('',(-1.,0.,0.)); #423883=DIRECTION('',(-0.173648177666929,0.,0.984807753012208)); #423884=DIRECTION('',(-1.,0.,0.)); #423885=DIRECTION('',(-0.17364817766693,0.,-0.984807753012208)); #423886=DIRECTION('',(-1.,0.,1.12937726300573E-16)); #423887=DIRECTION('',(-0.17364817766693,0.,0.984807753012208)); #423888=DIRECTION('',(-1.,0.,-3.87215061601966E-16)); #423889=DIRECTION('',(0.,0.,1.)); #423890=DIRECTION('',(-0.522833804229875,0.,0.852434638640709)); #423891=DIRECTION('',(-1.,0.,1.71362893848849E-15)); #423892=DIRECTION('',(-0.522833804229874,0.,-0.85243463864071)); #423893=DIRECTION('',(0.,0.,-1.)); #423894=DIRECTION('',(-0.4226182617407,0.,-0.90630778703665)); #423895=DIRECTION('',(-1.,0.,0.)); #423896=DIRECTION('',(-0.17364817766693,0.,0.984807753012208)); #423897=DIRECTION('center_axis',(0.,-1.,0.)); #423898=DIRECTION('ref_axis',(0.,0.,-1.)); #423899=DIRECTION('',(0.,0.,-1.)); #423900=DIRECTION('center_axis',(0.,-1.,0.)); #423901=DIRECTION('ref_axis',(0.,0.,-1.)); #423902=DIRECTION('',(0.,0.,-1.)); #423903=DIRECTION('',(1.,0.,1.01962586754123E-16)); #423904=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #423905=DIRECTION('center_axis',(0.,-1.,0.)); #423906=DIRECTION('ref_axis',(0.,0.,-1.)); #423907=DIRECTION('center_axis',(0.,-1.,0.)); #423908=DIRECTION('ref_axis',(0.,0.,-1.)); #423909=DIRECTION('',(2.04483226766084E-16,0.,-1.)); #423910=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #423911=DIRECTION('',(1.,0.,1.01962586754123E-16)); #423912=DIRECTION('',(0.,0.,1.)); #423913=DIRECTION('center_axis',(0.,-1.,0.)); #423914=DIRECTION('ref_axis',(0.,0.,-1.)); #423915=DIRECTION('center_axis',(0.,-1.,0.)); #423916=DIRECTION('ref_axis',(0.,0.,-1.)); #423917=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #423918=DIRECTION('center_axis',(0.,-1.,0.)); #423919=DIRECTION('ref_axis',(0.,0.,-1.)); #423920=DIRECTION('',(0.,-0.707106781186548,0.707106781186547)); #423921=DIRECTION('center_axis',(0.,1.,0.)); #423922=DIRECTION('ref_axis',(0.,0.,1.)); #423923=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #423924=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #423925=DIRECTION('',(0.,1.,0.)); #423926=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #423927=DIRECTION('',(0.,1.,0.)); #423928=DIRECTION('',(1.,0.,1.01962586754123E-16)); #423929=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #423930=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #423931=DIRECTION('',(0.,1.,0.)); #423932=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #423933=DIRECTION('',(0.,1.,0.)); #423934=DIRECTION('',(1.,0.,1.01962586754123E-16)); #423935=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #423936=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #423937=DIRECTION('',(0.,1.,0.)); #423938=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #423939=DIRECTION('',(0.,1.,0.)); #423940=DIRECTION('',(1.,0.,1.01962586754123E-16)); #423941=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #423942=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #423943=DIRECTION('',(0.,1.,0.)); #423944=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #423945=DIRECTION('',(0.,1.,0.)); #423946=DIRECTION('',(1.,0.,1.01962586754123E-16)); #423947=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #423948=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #423949=DIRECTION('',(0.,1.,0.)); #423950=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #423951=DIRECTION('',(0.,1.,0.)); #423952=DIRECTION('',(1.,0.,1.01962586754123E-16)); #423953=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #423954=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #423955=DIRECTION('',(0.,1.,0.)); #423956=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #423957=DIRECTION('',(0.,1.,0.)); #423958=DIRECTION('',(1.,0.,1.01962586754123E-16)); #423959=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #423960=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #423961=DIRECTION('',(0.,1.,0.)); #423962=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #423963=DIRECTION('',(0.,1.,0.)); #423964=DIRECTION('',(1.,0.,1.01962586754123E-16)); #423965=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #423966=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #423967=DIRECTION('',(0.,1.,0.)); #423968=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #423969=DIRECTION('',(0.,1.,0.)); #423970=DIRECTION('',(1.,0.,1.01962586754123E-16)); #423971=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #423972=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #423973=DIRECTION('',(0.,1.,0.)); #423974=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #423975=DIRECTION('',(0.,1.,0.)); #423976=DIRECTION('',(1.,0.,1.01962586754123E-16)); #423977=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #423978=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #423979=DIRECTION('',(0.,1.,0.)); #423980=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #423981=DIRECTION('',(0.,1.,0.)); #423982=DIRECTION('',(1.,0.,1.01962586754123E-16)); #423983=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #423984=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #423985=DIRECTION('',(0.,1.,0.)); #423986=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #423987=DIRECTION('',(0.,1.,0.)); #423988=DIRECTION('',(1.,0.,1.01962586754123E-16)); #423989=DIRECTION('center_axis',(1.01962586754123E-16,2.71050543121376E-17, -1.)); #423990=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #423991=DIRECTION('',(0.,1.,0.)); #423992=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #423993=DIRECTION('',(0.,1.,0.)); #423994=DIRECTION('',(1.,0.,1.01962586754123E-16)); #423995=DIRECTION('center_axis',(-1.,0.,0.)); #423996=DIRECTION('ref_axis',(0.,0.,1.)); #423997=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #423998=DIRECTION('',(0.,1.,0.)); #423999=DIRECTION('',(0.,0.,1.)); #424000=DIRECTION('center_axis',(-0.707106781186548,0.,-0.707106781186548)); #424001=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #424002=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #424003=DIRECTION('',(0.,1.,0.)); #424004=DIRECTION('',(-0.707106781186548,0.,0.707106781186548)); #424005=DIRECTION('center_axis',(0.707106781186547,0.,-0.707106781186548)); #424006=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #424007=DIRECTION('',(0.,1.,0.)); #424008=DIRECTION('',(-0.577350269189626,0.577350269189625,-0.577350269189626)); #424009=DIRECTION('',(0.,1.,0.)); #424010=DIRECTION('',(-0.707106781186548,0.,-0.707106781186547)); #424011=DIRECTION('center_axis',(1.,0.,4.33680868994199E-15)); #424012=DIRECTION('ref_axis',(4.33680868994199E-15,0.,-1.)); #424013=DIRECTION('',(4.27086760731181E-15,0.173720365850368,-0.984795021559723)); #424014=DIRECTION('',(4.33680868994199E-15,0.,-1.)); #424015=DIRECTION('center_axis',(-1.,0.,0.)); #424016=DIRECTION('ref_axis',(0.,0.,1.)); #424017=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #424018=DIRECTION('',(0.,1.,0.)); #424019=DIRECTION('',(0.,0.,1.)); #424020=DIRECTION('center_axis',(-0.707106781186548,0.,-0.707106781186547)); #424021=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186548)); #424022=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #424023=DIRECTION('',(0.,1.,0.)); #424024=DIRECTION('',(-0.707106781186547,0.,0.707106781186548)); #424025=DIRECTION('center_axis',(0.707106781186547,0.,-0.707106781186548)); #424026=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #424027=DIRECTION('',(0.,1.,0.)); #424028=DIRECTION('',(-0.577350269189626,0.577350269189625,-0.577350269189626)); #424029=DIRECTION('',(0.,1.,0.)); #424030=DIRECTION('',(-0.707106781186548,0.,-0.707106781186547)); #424031=DIRECTION('center_axis',(1.,0.,0.)); #424032=DIRECTION('ref_axis',(0.,0.,-1.)); #424033=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #424034=DIRECTION('',(0.,0.,-1.)); #424035=DIRECTION('center_axis',(-1.,0.,0.)); #424036=DIRECTION('ref_axis',(0.,0.,1.)); #424037=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #424038=DIRECTION('',(0.,1.,0.)); #424039=DIRECTION('',(0.,0.,1.)); #424040=DIRECTION('center_axis',(-0.707106781186549,0.,-0.707106781186546)); #424041=DIRECTION('ref_axis',(-0.707106781186546,0.,0.707106781186549)); #424042=DIRECTION('',(-0.577350269189625,-0.577350269189626,0.577350269189627)); #424043=DIRECTION('',(0.,1.,0.)); #424044=DIRECTION('',(-0.707106781186546,0.,0.707106781186549)); #424045=DIRECTION('center_axis',(0.707106781186547,0.,-0.707106781186548)); #424046=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #424047=DIRECTION('',(0.,1.,0.)); #424048=DIRECTION('',(-0.577350269189626,0.577350269189625,-0.577350269189626)); #424049=DIRECTION('',(0.,1.,0.)); #424050=DIRECTION('',(-0.707106781186548,0.,-0.707106781186547)); #424051=DIRECTION('center_axis',(1.,0.,0.)); #424052=DIRECTION('ref_axis',(0.,0.,-1.)); #424053=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #424054=DIRECTION('',(0.,0.,-1.)); #424055=DIRECTION('center_axis',(-1.,0.,0.)); #424056=DIRECTION('ref_axis',(0.,0.,1.)); #424057=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #424058=DIRECTION('',(0.,1.,0.)); #424059=DIRECTION('',(0.,0.,1.)); #424060=DIRECTION('center_axis',(-0.707106781186547,0.,-0.707106781186548)); #424061=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186547)); #424062=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #424063=DIRECTION('',(0.,1.,0.)); #424064=DIRECTION('',(-0.707106781186548,0.,0.707106781186547)); #424065=DIRECTION('center_axis',(0.707106781186547,0.,-0.707106781186548)); #424066=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #424067=DIRECTION('',(0.,1.,0.)); #424068=DIRECTION('',(-0.577350269189626,0.577350269189625,-0.577350269189626)); #424069=DIRECTION('',(0.,1.,0.)); #424070=DIRECTION('',(-0.707106781186548,0.,-0.707106781186547)); #424071=DIRECTION('center_axis',(1.,0.,0.)); #424072=DIRECTION('ref_axis',(0.,0.,-1.)); #424073=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #424074=DIRECTION('',(0.,0.,-1.)); #424075=DIRECTION('center_axis',(-1.,0.,0.)); #424076=DIRECTION('ref_axis',(0.,0.,1.)); #424077=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #424078=DIRECTION('',(0.,1.,0.)); #424079=DIRECTION('',(0.,0.,1.)); #424080=DIRECTION('center_axis',(-0.707106781186548,0.,-0.707106781186548)); #424081=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #424082=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #424083=DIRECTION('',(0.,1.,0.)); #424084=DIRECTION('',(-0.707106781186548,0.,0.707106781186548)); #424085=DIRECTION('center_axis',(0.707106781186548,0.,-0.707106781186547)); #424086=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #424087=DIRECTION('',(0.,1.,0.)); #424088=DIRECTION('',(-0.577350269189626,0.577350269189626,-0.577350269189626)); #424089=DIRECTION('',(0.,1.,0.)); #424090=DIRECTION('',(-0.707106781186547,0.,-0.707106781186548)); #424091=DIRECTION('center_axis',(1.,0.,0.)); #424092=DIRECTION('ref_axis',(0.,0.,-1.)); #424093=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #424094=DIRECTION('',(0.,0.,-1.)); #424095=DIRECTION('center_axis',(-1.,0.,0.)); #424096=DIRECTION('ref_axis',(0.,0.,1.)); #424097=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #424098=DIRECTION('',(0.,1.,0.)); #424099=DIRECTION('',(0.,0.,1.)); #424100=DIRECTION('center_axis',(-0.707106781186547,0.,-0.707106781186548)); #424101=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186547)); #424102=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #424103=DIRECTION('',(0.,1.,0.)); #424104=DIRECTION('',(-0.707106781186548,0.,0.707106781186547)); #424105=DIRECTION('center_axis',(0.707106781186547,0.,-0.707106781186548)); #424106=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #424107=DIRECTION('',(0.,1.,0.)); #424108=DIRECTION('',(-0.577350269189626,0.577350269189625,-0.577350269189626)); #424109=DIRECTION('',(0.,1.,0.)); #424110=DIRECTION('',(-0.707106781186548,0.,-0.707106781186547)); #424111=DIRECTION('center_axis',(1.,0.,0.)); #424112=DIRECTION('ref_axis',(0.,0.,-1.)); #424113=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #424114=DIRECTION('',(0.,0.,-1.)); #424115=DIRECTION('center_axis',(-1.,0.,0.)); #424116=DIRECTION('ref_axis',(0.,0.,1.)); #424117=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #424118=DIRECTION('',(0.,1.,0.)); #424119=DIRECTION('',(0.,0.,1.)); #424120=DIRECTION('center_axis',(-0.707106781186545,0.,-0.70710678118655)); #424121=DIRECTION('ref_axis',(-0.70710678118655,0.,0.707106781186545)); #424122=DIRECTION('',(-0.577350269189629,-0.577350269189624,0.577350269189624)); #424123=DIRECTION('',(0.,1.,0.)); #424124=DIRECTION('',(-0.70710678118655,0.,0.707106781186545)); #424125=DIRECTION('center_axis',(-1.,0.,0.)); #424126=DIRECTION('ref_axis',(0.,0.,1.)); #424127=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #424128=DIRECTION('',(0.,0.,1.)); #424129=DIRECTION('',(0.,1.,0.)); #424130=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #424131=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #424132=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626)); #424133=DIRECTION('',(0.707106781186548,0.,0.707106781186548)); #424134=DIRECTION('',(0.,1.,0.)); #424135=DIRECTION('center_axis',(0.707106781186547,0.,0.707106781186548)); #424136=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #424137=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626)); #424138=DIRECTION('',(0.,1.,0.)); #424139=DIRECTION('',(0.707106781186548,0.,-0.707106781186547)); #424140=DIRECTION('',(0.,1.,0.)); #424141=DIRECTION('center_axis',(1.,0.,-4.33680868994199E-15)); #424142=DIRECTION('ref_axis',(-4.33680868994199E-15,0.,-1.)); #424143=DIRECTION('',(-4.27086760731181E-15,0.173720365850368,-0.984795021559723)); #424144=DIRECTION('',(-4.33680868994199E-15,0.,-1.)); #424145=DIRECTION('center_axis',(-1.,0.,0.)); #424146=DIRECTION('ref_axis',(0.,0.,1.)); #424147=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #424148=DIRECTION('',(0.,0.,1.)); #424149=DIRECTION('',(0.,1.,0.)); #424150=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186547)); #424151=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186548)); #424152=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626)); #424153=DIRECTION('',(0.707106781186547,0.,0.707106781186548)); #424154=DIRECTION('',(0.,1.,0.)); #424155=DIRECTION('center_axis',(0.707106781186547,0.,0.707106781186548)); #424156=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #424157=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626)); #424158=DIRECTION('',(0.,1.,0.)); #424159=DIRECTION('',(0.707106781186548,0.,-0.707106781186547)); #424160=DIRECTION('',(0.,1.,0.)); #424161=DIRECTION('center_axis',(1.,0.,0.)); #424162=DIRECTION('ref_axis',(0.,0.,-1.)); #424163=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #424164=DIRECTION('',(0.,0.,-1.)); #424165=DIRECTION('center_axis',(-1.,0.,0.)); #424166=DIRECTION('ref_axis',(0.,0.,1.)); #424167=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #424168=DIRECTION('',(0.,0.,1.)); #424169=DIRECTION('',(0.,1.,0.)); #424170=DIRECTION('center_axis',(-0.707106781186549,0.,0.707106781186546)); #424171=DIRECTION('ref_axis',(0.707106781186546,0.,0.707106781186549)); #424172=DIRECTION('',(0.577350269189625,-0.577350269189626,0.577350269189627)); #424173=DIRECTION('',(0.707106781186546,0.,0.707106781186549)); #424174=DIRECTION('',(0.,1.,0.)); #424175=DIRECTION('center_axis',(0.707106781186547,0.,0.707106781186548)); #424176=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #424177=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626)); #424178=DIRECTION('',(0.,1.,0.)); #424179=DIRECTION('',(0.707106781186548,0.,-0.707106781186547)); #424180=DIRECTION('',(0.,1.,0.)); #424181=DIRECTION('center_axis',(1.,0.,0.)); #424182=DIRECTION('ref_axis',(0.,0.,-1.)); #424183=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #424184=DIRECTION('',(0.,0.,-1.)); #424185=DIRECTION('center_axis',(-1.,0.,0.)); #424186=DIRECTION('ref_axis',(0.,0.,1.)); #424187=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #424188=DIRECTION('',(0.,0.,1.)); #424189=DIRECTION('',(0.,1.,0.)); #424190=DIRECTION('center_axis',(-0.707106781186547,0.,0.707106781186548)); #424191=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186547)); #424192=DIRECTION('',(0.577350269189626,-0.577350269189625,0.577350269189626)); #424193=DIRECTION('',(0.707106781186548,0.,0.707106781186547)); #424194=DIRECTION('',(0.,1.,0.)); #424195=DIRECTION('center_axis',(0.707106781186547,0.,0.707106781186548)); #424196=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #424197=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626)); #424198=DIRECTION('',(0.,1.,0.)); #424199=DIRECTION('',(0.707106781186548,0.,-0.707106781186547)); #424200=DIRECTION('',(0.,1.,0.)); #424201=DIRECTION('center_axis',(1.,0.,0.)); #424202=DIRECTION('ref_axis',(0.,0.,-1.)); #424203=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #424204=DIRECTION('',(0.,0.,-1.)); #424205=DIRECTION('center_axis',(-1.,0.,0.)); #424206=DIRECTION('ref_axis',(0.,0.,1.)); #424207=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #424208=DIRECTION('',(0.,0.,1.)); #424209=DIRECTION('',(0.,1.,0.)); #424210=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #424211=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #424212=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626)); #424213=DIRECTION('',(0.707106781186548,0.,0.707106781186548)); #424214=DIRECTION('',(0.,1.,0.)); #424215=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186547)); #424216=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); #424217=DIRECTION('',(0.577350269189626,0.577350269189626,-0.577350269189626)); #424218=DIRECTION('',(0.,1.,0.)); #424219=DIRECTION('',(0.707106781186547,0.,-0.707106781186548)); #424220=DIRECTION('',(0.,1.,0.)); #424221=DIRECTION('center_axis',(1.,0.,0.)); #424222=DIRECTION('ref_axis',(0.,0.,-1.)); #424223=DIRECTION('',(0.,0.173720365850368,-0.984795021559723)); #424224=DIRECTION('',(0.,0.,-1.)); #424225=DIRECTION('center_axis',(-1.,0.,0.)); #424226=DIRECTION('ref_axis',(0.,0.,1.)); #424227=DIRECTION('',(0.,-0.173720365850368,0.984795021559723)); #424228=DIRECTION('',(0.,0.,1.)); #424229=DIRECTION('',(0.,1.,0.)); #424230=DIRECTION('center_axis',(-0.707106781186545,0.,0.70710678118655)); #424231=DIRECTION('ref_axis',(0.70710678118655,0.,0.707106781186545)); #424232=DIRECTION('',(0.577350269189629,-0.577350269189624,0.577350269189624)); #424233=DIRECTION('',(0.70710678118655,0.,0.707106781186545)); #424234=DIRECTION('',(0.,1.,0.)); #424235=DIRECTION('center_axis',(1.,0.,1.01962586754123E-16)); #424236=DIRECTION('ref_axis',(1.01962586754123E-16,0.,-1.)); #424237=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #424238=DIRECTION('',(0.,1.,0.)); #424239=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #424240=DIRECTION('',(0.,-1.,0.)); #424241=DIRECTION('center_axis',(-1.20466908053945E-16,-1.,-9.91978355124293E-33)); #424242=DIRECTION('ref_axis',(1.,-1.20466908053945E-16,0.)); #424243=DIRECTION('',(-1.,0.,0.)); #424244=DIRECTION('',(8.23444687963674E-17,0.,-1.)); #424245=DIRECTION('',(-1.,1.20466908053945E-16,-7.83760606616027E-17)); #424246=DIRECTION('',(8.23444687963674E-17,0.,-1.)); #424247=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #424248=DIRECTION('center_axis',(1.,0.,1.01962586754123E-16)); #424249=DIRECTION('ref_axis',(1.01962586754123E-16,0.,-1.)); #424250=DIRECTION('',(0.,1.,0.)); #424251=DIRECTION('',(0.,-1.,0.)); #424252=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #424253=DIRECTION('center_axis',(0.,1.,0.)); #424254=DIRECTION('ref_axis',(0.,0.,1.)); #424255=DIRECTION('',(-1.,0.,0.)); #424256=DIRECTION('',(-1.,0.,0.)); #424257=DIRECTION('',(-1.,0.,0.)); #424258=DIRECTION('',(-1.,0.,0.)); #424259=DIRECTION('',(-1.,0.,0.)); #424260=DIRECTION('',(-1.,0.,0.)); #424261=DIRECTION('',(1.,0.,0.)); #424262=DIRECTION('',(1.,0.,0.)); #424263=DIRECTION('',(1.,0.,0.)); #424264=DIRECTION('',(1.,0.,0.)); #424265=DIRECTION('',(1.,0.,0.)); #424266=DIRECTION('',(-1.,0.,0.)); #424267=DIRECTION('',(1.,0.,0.)); #424268=DIRECTION('center_axis',(1.01962586754123E-16,0.,-1.)); #424269=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #424270=DIRECTION('',(0.,-1.,0.)); #424271=DIRECTION('',(0.,1.,0.)); #424272=DIRECTION('',(1.,2.25875452601147E-16,1.01962586754123E-16)); #424273=DIRECTION('',(0.,-1.,0.)); #424274=DIRECTION('',(0.707106781186547,0.707106781186548,7.20984365211617E-17)); #424275=DIRECTION('center_axis',(1.01962586754123E-16,0.,-1.)); #424276=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #424277=DIRECTION('',(0.707106781186547,-0.707106781186548,7.20984365211617E-17)); #424278=DIRECTION('',(0.,-1.,0.)); #424279=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #424280=DIRECTION('',(-2.16840434497101E-15,-1.,-2.21096116142123E-31)); #424281=DIRECTION('',(0.,1.,0.)); #424282=DIRECTION('center_axis',(0.,-1.,0.)); #424283=DIRECTION('ref_axis',(0.,0.,-1.)); #424284=DIRECTION('',(0.,-1.,0.)); #424285=DIRECTION('center_axis',(-2.25875452601147E-16,1.,-2.30308454314712E-32)); #424286=DIRECTION('ref_axis',(-1.,-2.25875452601147E-16,0.)); #424287=DIRECTION('',(0.,-1.,0.)); #424288=DIRECTION('center_axis',(0.,-1.,0.)); #424289=DIRECTION('ref_axis',(0.,0.,-1.)); #424290=DIRECTION('center_axis',(1.01962586754123E-16,0.,-1.)); #424291=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #424292=DIRECTION('',(-1.,1.30104260698261E-16,-1.01962586754123E-16)); #424293=DIRECTION('',(0.,1.,0.)); #424294=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #424295=DIRECTION('center_axis',(1.01962586754123E-16,0.,-1.)); #424296=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #424297=DIRECTION('',(-3.99582355041204E-16,1.,-4.07424505413054E-32)); #424298=DIRECTION('',(-1.,1.95156391047391E-15,-1.01962586754123E-16)); #424299=DIRECTION('',(0.,-1.,0.)); #424300=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #424301=DIRECTION('center_axis',(1.01962586754123E-16,0.,-1.)); #424302=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #424303=DIRECTION('',(1.,0.,1.01962586754123E-16)); #424304=DIRECTION('',(-0.702780204718795,-0.711407045126353,-7.16572875927202E-17)); #424305=DIRECTION('',(-1.,1.23830197365096E-16,-1.01962586754123E-16)); #424306=DIRECTION('',(0.,-1.,0.)); #424307=DIRECTION('',(1.,0.,1.01962586754123E-16)); #424308=DIRECTION('',(0.,1.,0.)); #424309=DIRECTION('',(-1.,1.23830197365096E-16,-1.01962586754123E-16)); #424310=DIRECTION('',(-0.70278020471879,0.711407045126358,-7.16572875927197E-17)); #424311=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #424312=DIRECTION('',(-0.816950214783727,-0.576708198801458,-8.32983571486849E-17)); #424313=DIRECTION('',(-4.8186763221578E-16,-1.,-4.91324702538051E-32)); #424314=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #424315=DIRECTION('',(0.,-1.,0.)); #424316=DIRECTION('',(0.816950214783727,-0.576708198801458,8.32983571486849E-17)); #424317=DIRECTION('center_axis',(0.,-1.,0.)); #424318=DIRECTION('ref_axis',(0.,0.,-1.)); #424319=DIRECTION('',(1.,0.,1.12352556734249E-16)); #424320=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #424321=DIRECTION('',(1.,0.,1.01962586754123E-16)); #424322=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #424323=DIRECTION('center_axis',(-7.20984365211619E-17,0.707106781186547, 0.707106781186549)); #424324=DIRECTION('ref_axis',(1.,-2.95822839457879E-31,1.01962586754123E-16)); #424325=DIRECTION('',(-7.20984365211617E-17,-0.707106781186549,0.707106781186546)); #424326=DIRECTION('',(1.,0.,1.01962586754123E-16)); #424327=DIRECTION('',(0.,0.707106781186548,-0.707106781186547)); #424328=DIRECTION('center_axis',(0.,-1.,0.)); #424329=DIRECTION('ref_axis',(0.,0.,-1.)); #424330=DIRECTION('',(1.,0.,1.12352556734249E-16)); #424331=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #424332=DIRECTION('',(1.,0.,1.01962586754123E-16)); #424333=DIRECTION('center_axis',(0.,-1.,0.)); #424334=DIRECTION('ref_axis',(0.,0.,-1.)); #424335=DIRECTION('',(0.,0.,1.)); #424336=DIRECTION('center_axis',(0.,-1.,0.)); #424337=DIRECTION('ref_axis',(0.,0.,-1.)); #424338=DIRECTION('',(-1.,0.,1.01962586754123E-16)); #424339=DIRECTION('',(0.,0.,1.)); #424340=DIRECTION('',(-1.,0.,1.12352556734249E-16)); #424341=DIRECTION('center_axis',(0.,-1.,0.)); #424342=DIRECTION('ref_axis',(1.,0.,0.)); #424343=DIRECTION('center_axis',(0.,-1.,0.)); #424344=DIRECTION('ref_axis',(-1.,0.,0.)); #424345=DIRECTION('center_axis',(-7.20984365211619E-17,0.707106781186547, 0.707106781186549)); #424346=DIRECTION('ref_axis',(1.,-2.95822839457879E-31,1.01962586754123E-16)); #424347=DIRECTION('',(2.10448956370965E-16,-0.707106781186549,0.707106781186546)); #424348=DIRECTION('',(0.,0.707106781186549,-0.707106781186546)); #424349=DIRECTION('center_axis',(-7.20984365211619E-17,0.707106781186547, 0.707106781186549)); #424350=DIRECTION('ref_axis',(1.,-2.95822839457879E-31,1.01962586754123E-16)); #424351=DIRECTION('',(7.20984365211617E-17,0.707106781186549,-0.707106781186546)); #424352=DIRECTION('',(4.12830306895245E-16,0.707106781186549,-0.707106781186546)); #424353=DIRECTION('center_axis',(0.,-1.,0.)); #424354=DIRECTION('ref_axis',(0.,0.,-1.)); #424355=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #424356=DIRECTION('',(1.,0.,1.12352556734249E-16)); #424357=DIRECTION('',(-1.,0.,1.12352556734249E-16)); #424358=DIRECTION('',(0.,0.,1.)); #424359=DIRECTION('',(-1.,0.,1.01962586754123E-16)); #424360=DIRECTION('center_axis',(0.,-1.,0.)); #424361=DIRECTION('ref_axis',(0.,0.,-1.)); #424362=DIRECTION('',(0.,0.,-1.)); #424363=DIRECTION('center_axis',(0.,-1.,0.)); #424364=DIRECTION('ref_axis',(0.,0.,-1.)); #424365=DIRECTION('center_axis',(0.,-1.,0.)); #424366=DIRECTION('ref_axis',(0.,0.,-1.)); #424367=DIRECTION('',(-1.,0.,1.01962586754123E-16)); #424368=DIRECTION('',(0.,0.,-1.)); #424369=DIRECTION('',(-1.,0.,1.12352556734249E-16)); #424370=DIRECTION('',(0.,0.,-1.)); #424371=DIRECTION('center_axis',(-7.20984365211619E-17,0.707106781186547, -0.707106781186549)); #424372=DIRECTION('ref_axis',(-1.,2.95822839457879E-31,1.01962586754123E-16)); #424373=DIRECTION('',(0.,-0.707106781186549,-0.707106781186546)); #424374=DIRECTION('',(0.,-0.707106781186549,-0.707106781186546)); #424375=DIRECTION('center_axis',(-7.20984365211619E-17,0.707106781186547, -0.707106781186549)); #424376=DIRECTION('ref_axis',(-1.,2.95822839457879E-31,1.01962586754123E-16)); #424377=DIRECTION('',(0.,0.707106781186549,0.707106781186546)); #424378=DIRECTION('',(0.,0.707106781186549,0.707106781186546)); #424379=DIRECTION('center_axis',(-7.20984365211619E-17,0.707106781186547, -0.707106781186549)); #424380=DIRECTION('ref_axis',(-1.,2.95822839457879E-31,1.01962586754123E-16)); #424381=DIRECTION('',(0.,-0.707106781186549,-0.707106781186546)); #424382=DIRECTION('',(0.,-0.707106781186549,-0.707106781186546)); #424383=DIRECTION('center_axis',(0.,-1.,0.)); #424384=DIRECTION('ref_axis',(0.,0.,-1.)); #424385=DIRECTION('center_axis',(0.,-1.,0.)); #424386=DIRECTION('ref_axis',(0.,0.,-1.)); #424387=DIRECTION('',(0.,-1.,0.)); #424388=DIRECTION('center_axis',(0.,-1.,0.)); #424389=DIRECTION('ref_axis',(0.,0.,-1.)); #424390=DIRECTION('center_axis',(1.,0.,0.)); #424391=DIRECTION('ref_axis',(0.,0.,-1.)); #424392=DIRECTION('',(0.,0.,1.)); #424393=DIRECTION('',(0.,-1.,0.)); #424394=DIRECTION('',(0.,0.,-1.)); #424395=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #424396=DIRECTION('center_axis',(0.,-1.,0.)); #424397=DIRECTION('ref_axis',(0.,0.,-1.)); #424398=DIRECTION('center_axis',(0.,-1.,0.)); #424399=DIRECTION('ref_axis',(0.,0.,-1.)); #424400=DIRECTION('center_axis',(0.,-1.,0.)); #424401=DIRECTION('ref_axis',(0.,0.,-1.)); #424402=DIRECTION('center_axis',(0.,-1.,0.)); #424403=DIRECTION('ref_axis',(0.,0.,-1.)); #424404=DIRECTION('',(0.,-1.,0.)); #424405=DIRECTION('center_axis',(0.,-1.,0.)); #424406=DIRECTION('ref_axis',(0.,0.,-1.)); #424407=DIRECTION('center_axis',(-1.,0.,0.)); #424408=DIRECTION('ref_axis',(0.,0.,1.)); #424409=DIRECTION('',(0.,0.,-1.)); #424410=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #424411=DIRECTION('',(0.,0.,1.)); #424412=DIRECTION('center_axis',(-1.12352556734249E-16,0.,1.)); #424413=DIRECTION('ref_axis',(1.,0.,1.12352556734249E-16)); #424414=DIRECTION('',(0.,1.,0.)); #424415=DIRECTION('',(-1.,0.,-1.12352556734249E-16)); #424416=DIRECTION('',(0.,1.,0.)); #424417=DIRECTION('',(1.,0.,1.01962586754123E-16)); #424418=DIRECTION('',(0.816950214783727,-0.576708198801458,8.32983571486849E-17)); #424419=DIRECTION('',(0.,-1.,0.)); #424420=DIRECTION('',(-4.8186763221578E-16,-1.,-4.91324702538051E-32)); #424421=DIRECTION('',(-0.816950214783727,-0.576708198801458,-8.32983571486849E-17)); #424422=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #424423=DIRECTION('',(-3.99582355041204E-16,1.,-4.07424505413054E-32)); #424424=DIRECTION('center_axis',(0.,1.,0.)); #424425=DIRECTION('ref_axis',(0.,0.,1.)); #424426=DIRECTION('center_axis',(0.,1.,0.)); #424427=DIRECTION('ref_axis',(0.,0.,1.)); #424428=DIRECTION('',(0.,1.,0.)); #424429=DIRECTION('center_axis',(0.,-1.,0.)); #424430=DIRECTION('ref_axis',(0.,0.,-1.)); #424431=DIRECTION('',(0.,1.,0.)); #424432=DIRECTION('center_axis',(0.,-1.,0.)); #424433=DIRECTION('ref_axis',(0.,0.,-1.)); #424434=DIRECTION('center_axis',(-1.,0.,0.)); #424435=DIRECTION('ref_axis',(0.,0.,1.)); #424436=DIRECTION('',(1.12352556734249E-16,0.,1.)); #424437=DIRECTION('',(0.,1.,0.)); #424438=DIRECTION('',(0.,0.,-1.)); #424439=DIRECTION('center_axis',(0.,1.,0.)); #424440=DIRECTION('ref_axis',(0.,0.,1.)); #424441=DIRECTION('',(0.,1.,0.)); #424442=DIRECTION('center_axis',(0.,1.,0.)); #424443=DIRECTION('ref_axis',(0.,0.,1.)); #424444=DIRECTION('',(0.,1.,0.)); #424445=DIRECTION('center_axis',(0.,-1.,0.)); #424446=DIRECTION('ref_axis',(0.,0.,-1.)); #424447=DIRECTION('center_axis',(0.,-1.,0.)); #424448=DIRECTION('ref_axis',(0.,0.,-1.)); #424449=DIRECTION('center_axis',(-1.12352556734249E-16,0.,-1.)); #424450=DIRECTION('ref_axis',(-1.,0.,1.12352556734249E-16)); #424451=DIRECTION('',(1.,0.,-1.12352556734249E-16)); #424452=DIRECTION('',(0.,-1.,0.)); #424453=DIRECTION('',(1.,0.,8.34001671142696E-17)); #424454=DIRECTION('',(0.,-1.,0.)); #424455=DIRECTION('',(0.,-1.,0.)); #424456=DIRECTION('',(-1.,0.,8.34001671142696E-17)); #424457=DIRECTION('',(0.,-1.,0.)); #424458=DIRECTION('center_axis',(0.,-1.,0.)); #424459=DIRECTION('ref_axis',(0.,0.,-1.)); #424460=DIRECTION('',(0.,0.,1.)); #424461=DIRECTION('center_axis',(0.,-1.,0.)); #424462=DIRECTION('ref_axis',(0.,0.,-1.)); #424463=DIRECTION('',(-1.,0.,1.12352556734249E-16)); #424464=DIRECTION('center_axis',(0.,-1.,0.)); #424465=DIRECTION('ref_axis',(0.,0.,-1.)); #424466=DIRECTION('',(0.,0.,-1.)); #424467=DIRECTION('center_axis',(0.,-1.,0.)); #424468=DIRECTION('ref_axis',(0.,0.,-1.)); #424469=DIRECTION('',(1.,0.,1.12352556734249E-16)); #424470=DIRECTION('center_axis',(0.,-1.,0.)); #424471=DIRECTION('ref_axis',(0.,0.,-1.)); #424472=DIRECTION('',(1.,0.,0.)); #424473=DIRECTION('',(0.,0.,-1.)); #424474=DIRECTION('',(1.,0.,0.)); #424475=DIRECTION('',(0.,0.,-1.)); #424476=DIRECTION('center_axis',(-1.,0.,0.)); #424477=DIRECTION('ref_axis',(0.,0.,1.)); #424478=DIRECTION('',(0.,1.,0.)); #424479=DIRECTION('',(0.,0.,-1.)); #424480=DIRECTION('',(0.,1.,0.)); #424481=DIRECTION('center_axis',(1.,0.,0.)); #424482=DIRECTION('ref_axis',(0.,0.,-1.)); #424483=DIRECTION('',(0.,-1.,0.)); #424484=DIRECTION('',(0.,-1.,0.)); #424485=DIRECTION('',(0.,0.,-1.)); #424486=DIRECTION('center_axis',(0.,0.,-1.)); #424487=DIRECTION('ref_axis',(-1.,0.,0.)); #424488=DIRECTION('center_axis',(0.,0.,1.)); #424489=DIRECTION('ref_axis',(1.,0.,0.)); #424490=DIRECTION('center_axis',(0.,0.,1.)); #424491=DIRECTION('ref_axis',(1.,0.,0.)); #424492=DIRECTION('',(0.,0.,-1.)); #424493=DIRECTION('center_axis',(1.,0.,0.)); #424494=DIRECTION('ref_axis',(0.,0.,-1.)); #424495=DIRECTION('',(0.,-1.,0.)); #424496=DIRECTION('',(0.,-1.,0.)); #424497=DIRECTION('',(0.,0.,-1.)); #424498=DIRECTION('center_axis',(0.959365501571271,-0.28216632399155,0.)); #424499=DIRECTION('ref_axis',(0.28216632399155,0.959365501571271,0.)); #424500=DIRECTION('',(-0.28216632399155,-0.959365501571271,0.)); #424501=DIRECTION('',(0.,0.,-1.)); #424502=DIRECTION('',(-0.28216632399155,-0.959365501571271,0.)); #424503=DIRECTION('center_axis',(0.,-1.,0.)); #424504=DIRECTION('ref_axis',(0.,0.,-1.)); #424505=DIRECTION('',(1.,0.,0.)); #424506=DIRECTION('',(0.,0.,-1.)); #424507=DIRECTION('',(-1.,0.,0.)); #424508=DIRECTION('',(0.,0.,-1.)); #424509=DIRECTION('center_axis',(-0.95936550157128,-0.282166323991518,0.)); #424510=DIRECTION('ref_axis',(0.282166323991518,-0.95936550157128,0.)); #424511=DIRECTION('',(-0.282166323991518,0.95936550157128,0.)); #424512=DIRECTION('',(0.,0.,-1.)); #424513=DIRECTION('',(-0.282166323991518,0.95936550157128,0.)); #424514=DIRECTION('',(0.,0.,-1.)); #424515=DIRECTION('center_axis',(-1.,-6.99485272571307E-14,0.)); #424516=DIRECTION('ref_axis',(6.99485272571307E-14,-1.,0.)); #424517=DIRECTION('',(-6.99485272571307E-14,1.,0.)); #424518=DIRECTION('',(-6.99485272571307E-14,1.,0.)); #424519=DIRECTION('',(0.,0.,-1.)); #424520=DIRECTION('center_axis',(0.,0.,-1.)); #424521=DIRECTION('ref_axis',(-1.,0.,0.)); #424522=DIRECTION('center_axis',(0.,0.,1.)); #424523=DIRECTION('ref_axis',(-1.,0.,0.)); #424524=DIRECTION('center_axis',(0.,0.,1.)); #424525=DIRECTION('ref_axis',(-1.,0.,0.)); #424526=DIRECTION('center_axis',(0.,0.,1.)); #424527=DIRECTION('ref_axis',(1.,0.,0.)); #424528=DIRECTION('',(-0.282166323991518,0.95936550157128,0.)); #424529=DIRECTION('',(1.,0.,0.)); #424530=DIRECTION('',(-0.28216632399155,-0.959365501571271,0.)); #424531=DIRECTION('center_axis',(0.,0.,1.)); #424532=DIRECTION('ref_axis',(1.,0.,0.)); #424533=DIRECTION('',(-0.28216632399155,-0.959365501571271,0.)); #424534=DIRECTION('',(-1.,0.,0.)); #424535=DIRECTION('',(-0.282166323991518,0.95936550157128,0.)); #424536=DIRECTION('center_axis',(0.,1.,0.)); #424537=DIRECTION('ref_axis',(0.,0.,1.)); #424538=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #424539=DIRECTION('',(0.,0.707106781186547,-0.707106781186548)); #424540=DIRECTION('center_axis',(7.94452547504339E-17,-0.707106781186547, -0.707106781186548)); #424541=DIRECTION('ref_axis',(-1.,-7.39557098644699E-32,-1.12352556734249E-16)); #424542=DIRECTION('',(0.,0.707106781186548,-0.707106781186547)); #424543=DIRECTION('center_axis',(-0.707106781186547,-0.707106781186548, 0.)); #424544=DIRECTION('ref_axis',(0.,0.,1.)); #424545=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #424546=DIRECTION('center_axis',(0.,1.,0.)); #424547=DIRECTION('ref_axis',(0.,0.,1.)); #424548=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #424549=DIRECTION('center_axis',(0.,1.,0.)); #424550=DIRECTION('ref_axis',(0.,0.,1.)); #424551=DIRECTION('',(0.,-0.707106781186548,-0.707106781186548)); #424552=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #424553=DIRECTION('ref_axis',(0.,0.,-1.)); #424554=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #424555=DIRECTION('center_axis',(7.94452547504339E-17,-0.707106781186548, 0.707106781186548)); #424556=DIRECTION('ref_axis',(1.,1.72563323017096E-31,-1.12352556734249E-16)); #424557=DIRECTION('',(0.,-0.707106781186548,-0.707106781186548)); #424558=DIRECTION('center_axis',(0.,1.,0.)); #424559=DIRECTION('ref_axis',(0.,0.,1.)); #424560=DIRECTION('center_axis',(0.,1.,0.)); #424561=DIRECTION('ref_axis',(0.,0.,1.)); #424562=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #424563=DIRECTION('center_axis',(0.707106781186549,0.707106781186547,0.)); #424564=DIRECTION('ref_axis',(0.,0.,-1.)); #424565=DIRECTION('',(0.707106781186546,-0.707106781186549,0.)); #424566=DIRECTION('center_axis',(0.,1.,0.)); #424567=DIRECTION('ref_axis',(0.,0.,1.)); #424568=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #424569=DIRECTION('center_axis',(0.,1.,0.)); #424570=DIRECTION('ref_axis',(0.,0.,1.)); #424571=DIRECTION('center_axis',(-0.707106781186549,0.707106781186547,0.)); #424572=DIRECTION('ref_axis',(0.,0.,1.)); #424573=DIRECTION('',(0.707106781186546,0.707106781186549,0.)); #424574=DIRECTION('center_axis',(0.,1.,0.)); #424575=DIRECTION('ref_axis',(0.,0.,1.)); #424576=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #424577=DIRECTION('ref_axis',(1.,0.,0.)); #424578=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #424579=DIRECTION('ref_axis',(0.,0.707106781186549,-0.707106781186546)); #424580=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #424581=DIRECTION('ref_axis',(0.,0.707106781186549,-0.707106781186546)); #424582=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548)); #424583=DIRECTION('ref_axis',(-1.,0.,0.)); #424584=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548)); #424585=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #424586=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548)); #424587=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #424588=DIRECTION('center_axis',(0.,0.,-1.)); #424589=DIRECTION('ref_axis',(-1.,0.,0.)); #424590=DIRECTION('center_axis',(0.,0.,-1.)); #424591=DIRECTION('ref_axis',(-1.,0.,0.)); #424592=DIRECTION('center_axis',(1.,0.,0.)); #424593=DIRECTION('ref_axis',(0.,0.,-1.)); #424594=DIRECTION('',(0.,0.,1.)); #424595=DIRECTION('center_axis',(-1.,0.,0.)); #424596=DIRECTION('ref_axis',(0.,0.,1.)); #424597=DIRECTION('',(0.,0.,-1.)); #424598=DIRECTION('center_axis',(0.,-1.,0.)); #424599=DIRECTION('ref_axis',(0.,0.,-1.)); #424600=DIRECTION('center_axis',(1.,0.,0.)); #424601=DIRECTION('ref_axis',(0.,0.,-1.)); #424602=DIRECTION('',(0.,0.,1.)); #424603=DIRECTION('center_axis',(-1.,0.,0.)); #424604=DIRECTION('ref_axis',(0.,0.,1.)); #424605=DIRECTION('',(0.,0.,-1.)); #424606=DIRECTION('center_axis',(0.,1.,0.)); #424607=DIRECTION('ref_axis',(0.,0.,1.)); #424608=DIRECTION('center_axis',(-1.,-3.99582355041204E-16,-1.01962586754123E-16)); #424609=DIRECTION('ref_axis',(3.99582355041204E-16,-1.,0.)); #424610=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #424611=DIRECTION('center_axis',(0.,-1.,0.)); #424612=DIRECTION('ref_axis',(0.,0.,-1.)); #424613=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #424614=DIRECTION('',(-1.,1.95156391047391E-15,-1.01962586754123E-16)); #424615=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #424616=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #424617=DIRECTION('center_axis',(0.576708198801458,-0.816950214783727,5.88026597521075E-17)); #424618=DIRECTION('ref_axis',(0.816950214783727,0.576708198801458,0.)); #424619=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #424620=DIRECTION('center_axis',(1.,-4.8186763221578E-16,1.01962586754123E-16)); #424621=DIRECTION('ref_axis',(4.8186763221578E-16,1.,0.)); #424622=DIRECTION('center_axis',(0.,1.,0.)); #424623=DIRECTION('ref_axis',(0.,0.,1.)); #424624=DIRECTION('',(-0.696145836073751,0.,-0.717900393451054)); #424625=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #424626=DIRECTION('',(-1.,1.23830197365096E-16,-1.01962586754123E-16)); #424627=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #424628=DIRECTION('',(-0.69614583607375,0.,0.717900393451055)); #424629=DIRECTION('',(1.,0.,1.01962586754123E-16)); #424630=DIRECTION('center_axis',(0.,-1.,0.)); #424631=DIRECTION('ref_axis',(0.,0.,-1.)); #424632=DIRECTION('',(0.69614583607375,0.,-0.717900393451055)); #424633=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #424634=DIRECTION('',(0.696145836073751,0.,0.717900393451054)); #424635=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #424636=DIRECTION('center_axis',(1.01962586754123E-16,0.,-1.)); #424637=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #424638=DIRECTION('',(0.,1.,0.)); #424639=DIRECTION('',(0.,-1.,0.)); #424640=DIRECTION('center_axis',(-1.,0.,-1.01962586754123E-16)); #424641=DIRECTION('ref_axis',(-1.01962586754123E-16,0.,1.)); #424642=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #424643=DIRECTION('center_axis',(0.,1.,0.)); #424644=DIRECTION('ref_axis',(0.,0.,1.)); #424645=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #424646=DIRECTION('',(-1.,1.30104260698261E-16,-1.01962586754123E-16)); #424647=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #424648=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #424649=DIRECTION('center_axis',(0.576708198801458,0.816950214783727,5.88026597521075E-17)); #424650=DIRECTION('ref_axis',(-0.816950214783727,0.576708198801458,0.)); #424651=DIRECTION('',(1.01962586754123E-16,0.,-1.)); #424652=DIRECTION('center_axis',(1.,0.,1.01962586754123E-16)); #424653=DIRECTION('ref_axis',(1.01962586754123E-16,0.,-1.)); #424654=DIRECTION('center_axis',(-0.717900393451055,0.,-0.69614583607375)); #424655=DIRECTION('ref_axis',(0.,1.,0.)); #424656=DIRECTION('',(-0.565508731074882,0.583180878920974,0.583180878920972)); #424657=DIRECTION('center_axis',(0.717900393451054,0.,-0.696145836073751)); #424658=DIRECTION('ref_axis',(0.,-1.,0.)); #424659=DIRECTION('',(-0.565508731074882,-0.583180878920974,-0.583180878920972)); #424660=DIRECTION('center_axis',(7.20984365211619E-17,0.707106781186546, -0.707106781186549)); #424661=DIRECTION('ref_axis',(1.,2.83496887813801E-31,1.01962586754123E-16)); #424662=DIRECTION('center_axis',(-0.711407045126353,0.702780204718795,-7.25369025561898E-17)); #424663=DIRECTION('ref_axis',(-0.702780204718795,-0.711407045126353,6.16297582203915E-33)); #424664=DIRECTION('',(-0.702780204718795,-0.711407045126353,-7.16572875927202E-17)); #424665=DIRECTION('center_axis',(1.,0.,1.01962586754123E-16)); #424666=DIRECTION('ref_axis',(1.01962586754123E-16,0.,-1.)); #424667=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #424668=DIRECTION('',(0.,1.,0.)); #424669=DIRECTION('center_axis',(-1.03902708196528E-15,-1.,-1.05941888984767E-31)); #424670=DIRECTION('ref_axis',(1.,-1.03902708196528E-15,0.)); #424671=DIRECTION('',(8.23444687963674E-17,0.,-1.)); #424672=DIRECTION('',(1.,0.,7.83760606616027E-17)); #424673=DIRECTION('',(8.23444687963674E-17,0.,-1.)); #424674=DIRECTION('',(-1.01962586754123E-16,0.,1.)); #424675=DIRECTION('',(1.,-1.03902708196528E-15,1.01962586754123E-16)); #424676=DIRECTION('center_axis',(-1.,2.16840434497101E-15,-1.01962586754123E-16)); #424677=DIRECTION('ref_axis',(-2.16840434497101E-15,-1.,0.)); #424678=DIRECTION('',(-2.16840434497101E-15,-1.,-2.21096116142123E-31)); #424679=DIRECTION('center_axis',(0.711407045126358,0.70278020471879,7.25369025561903E-17)); #424680=DIRECTION('ref_axis',(-0.70278020471879,0.711407045126358,0.)); #424681=DIRECTION('',(-0.70278020471879,0.711407045126358,-7.16572875927197E-17)); #424682=DIRECTION('center_axis',(1.01962586754123E-16,0.,-1.)); #424683=DIRECTION('ref_axis',(-1.,0.,-1.01962586754123E-16)); #424684=DIRECTION('center_axis',(1.20466908053945E-16,0.,-1.)); #424685=DIRECTION('ref_axis',(1.,0.,1.20466908053945E-16)); #424686=DIRECTION('center_axis',(-1.20466908053945E-16,0.,1.)); #424687=DIRECTION('ref_axis',(1.,0.,1.20466908053945E-16)); #424688=DIRECTION('center_axis',(-1.20466908053945E-16,0.,1.)); #424689=DIRECTION('ref_axis',(1.,0.,1.20466908053945E-16)); #424690=DIRECTION('',(1.20466908053945E-16,0.,-1.)); #424691=DIRECTION('center_axis',(0.,0.,1.)); #424692=DIRECTION('ref_axis',(1.,0.,0.)); #424693=DIRECTION('center_axis',(-1.20466908053945E-16,0.,1.)); #424694=DIRECTION('ref_axis',(1.,0.,1.20466908053945E-16)); #424695=DIRECTION('center_axis',(-1.20466908053945E-16,0.,1.)); #424696=DIRECTION('ref_axis',(1.,0.,1.20466908053945E-16)); #424697=DIRECTION('center_axis',(-1.20466908053945E-16,0.,1.)); #424698=DIRECTION('ref_axis',(1.,0.,1.20466908053945E-16)); #424699=DIRECTION('',(1.20466908053945E-16,0.,-1.)); #424700=DIRECTION('center_axis',(0.,0.,-1.)); #424701=DIRECTION('ref_axis',(-1.,0.,0.)); #424702=DIRECTION('center_axis',(1.20466908053945E-16,0.,-1.)); #424703=DIRECTION('ref_axis',(-1.,0.,-1.20466908053945E-16)); #424704=DIRECTION('center_axis',(1.20466908053945E-16,0.,-1.)); #424705=DIRECTION('ref_axis',(-1.,0.,-1.20466908053945E-16)); #424706=DIRECTION('center_axis',(0.,0.,1.)); #424707=DIRECTION('ref_axis',(1.,0.,0.)); #424708=DIRECTION('',(0.707106781186546,8.65956056235492E-17,-0.707106781186548)); #424709=DIRECTION('center_axis',(0.,0.,1.)); #424710=DIRECTION('ref_axis',(1.,0.,0.)); #424711=DIRECTION('',(0.707106781186547,8.65956056235492E-17,-0.707106781186548)); #424712=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.)); #424713=DIRECTION('ref_axis',(0.,0.,1.)); #424714=DIRECTION('center_axis',(7.20984365211618E-17,0.707106781186548, 0.707106781186548)); #424715=DIRECTION('ref_axis',(1.,2.83496887813801E-31,-1.01962586754123E-16)); #424716=DIRECTION('',(2.8794242566824E-16,-0.707106781186548,0.707106781186548)); #424717=DIRECTION('',(1.,0.,-9.63735264431562E-15)); #424718=DIRECTION('center_axis',(0.,-1.,0.)); #424719=DIRECTION('ref_axis',(0.,0.,-1.)); #424720=DIRECTION('center_axis',(0.,1.,0.)); #424721=DIRECTION('ref_axis',(0.,0.,1.)); #424722=DIRECTION('center_axis',(0.,-1.,0.)); #424723=DIRECTION('ref_axis',(0.,0.,-1.)); #424724=DIRECTION('',(0.707106781186547,-0.707106781186548,0.)); #424725=DIRECTION('center_axis',(0.707106781186548,0.707106781186547,0.)); #424726=DIRECTION('ref_axis',(0.,0.,-1.)); #424727=DIRECTION('center_axis',(1.,0.,8.23444687963674E-17)); #424728=DIRECTION('ref_axis',(8.23444687963674E-17,0.,-1.)); #424729=DIRECTION('',(0.,-1.,0.)); #424730=DIRECTION('center_axis',(-1.,0.,-8.23444687963674E-17)); #424731=DIRECTION('ref_axis',(-8.23444687963674E-17,0.,1.)); #424732=DIRECTION('',(0.,1.,0.)); #424733=DIRECTION('center_axis',(-7.83760606616027E-17,0.,1.)); #424734=DIRECTION('ref_axis',(1.,0.,7.83760606616027E-17)); #424735=DIRECTION('center_axis',(0.,0.,-1.)); #424736=DIRECTION('ref_axis',(-1.,0.,0.)); #424737=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #424738=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #424739=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #424740=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #424741=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #424742=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #424743=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #424744=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #424745=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #424746=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #424747=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #424748=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #424749=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #424750=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #424751=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #424752=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #424753=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #424754=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #424755=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #424756=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #424757=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #424758=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #424759=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #424760=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #424761=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #424762=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #424763=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #424764=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #424765=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #424766=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #424767=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #424768=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #424769=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #424770=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #424771=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #424772=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #424773=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #424774=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #424775=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #424776=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #424777=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #424778=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #424779=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #424780=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #424781=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #424782=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #424783=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #424784=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #424785=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #424786=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #424787=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #424788=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #424789=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #424790=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #424791=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #424792=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #424793=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #424794=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #424795=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #424796=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #424797=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #424798=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #424799=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #424800=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #424801=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #424802=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #424803=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #424804=DIRECTION('center_axis',(1.7712977873976E-17,-0.984795021559723, -0.173720365850368)); #424805=DIRECTION('ref_axis',(0.,0.173720365850368,-0.984795021559723)); #424806=DIRECTION('',(-1.,0.,-1.01962586754123E-16)); #424807=DIRECTION('center_axis',(7.20984365211618E-17,-0.707106781186548, -0.707106781186547)); #424808=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #424809=DIRECTION('center_axis',(1.,0.,0.)); #424810=DIRECTION('ref_axis',(0.,0.,-1.)); #424811=DIRECTION('',(0.,1.,0.)); #424812=DIRECTION('',(0.,-0.707106781186547,0.707106781186548)); #424813=DIRECTION('',(1.92234427745657E-17,0.,-1.)); #424814=DIRECTION('',(0.,1.,0.)); #424815=DIRECTION('',(0.,0.,-1.)); #424816=DIRECTION('center_axis',(0.,0.,-1.)); #424817=DIRECTION('ref_axis',(-1.,0.,0.)); #424818=DIRECTION('',(0.,1.,0.)); #424819=DIRECTION('',(-1.,0.,0.)); #424820=DIRECTION('center_axis',(-1.,0.,4.07212083562631E-16)); #424821=DIRECTION('ref_axis',(4.07212083562631E-16,0.,1.)); #424822=DIRECTION('',(-4.13029399042097E-16,0.,-1.)); #424823=DIRECTION('',(0.,0.,1.)); #424824=DIRECTION('',(0.,1.,0.)); #424825=DIRECTION('',(4.07212083562631E-16,0.,1.)); #424826=DIRECTION('center_axis',(1.,0.,0.)); #424827=DIRECTION('ref_axis',(0.,0.,-1.)); #424828=DIRECTION('',(0.,0.,-1.)); #424829=DIRECTION('',(0.,1.,0.)); #424830=DIRECTION('',(0.,1.,0.)); #424831=DIRECTION('',(0.,0.,-1.)); #424832=DIRECTION('center_axis',(3.70034871155462E-17,0.,-1.)); #424833=DIRECTION('ref_axis',(-1.,0.,-3.70034871155462E-17)); #424834=DIRECTION('',(0.,1.,0.)); #424835=DIRECTION('center_axis',(-1.,0.,1.53012403374971E-16)); #424836=DIRECTION('ref_axis',(1.53012403374971E-16,0.,1.)); #424837=DIRECTION('',(-9.61172138728285E-17,0.,1.)); #424838=DIRECTION('',(-1.08196108032093E-16,0.707106781186547,-0.707106781186548)); #424839=DIRECTION('',(0.,1.,0.)); #424840=DIRECTION('center_axis',(-1.59441495953751E-16,0.,-1.)); #424841=DIRECTION('ref_axis',(-1.,0.,1.59441495953751E-16)); #424842=DIRECTION('',(-1.,0.,1.59441495953751E-16)); #424843=DIRECTION('',(-1.,0.,0.)); #424844=DIRECTION('',(0.,1.,0.)); #424845=DIRECTION('',(-1.,0.,0.)); #424846=DIRECTION('',(0.,1.,0.)); #424847=DIRECTION('center_axis',(0.,1.,0.)); #424848=DIRECTION('ref_axis',(0.,0.,1.)); #424849=DIRECTION('',(-1.,0.,0.)); #424850=DIRECTION('',(-0.17364817766693,0.,-0.984807753012208)); #424851=DIRECTION('',(-1.,0.,0.)); #424852=DIRECTION('',(-0.4226182617407,0.,0.90630778703665)); #424853=DIRECTION('',(0.,0.,1.)); #424854=DIRECTION('',(-0.522833804229874,0.,0.85243463864071)); #424855=DIRECTION('',(-1.,0.,-1.71362893848849E-15)); #424856=DIRECTION('',(-0.522833804229875,0.,-0.852434638640709)); #424857=DIRECTION('',(0.,0.,-1.)); #424858=DIRECTION('',(-1.,0.,3.87215061601966E-16)); #424859=DIRECTION('',(-0.17364817766693,0.,-0.984807753012208)); #424860=DIRECTION('',(-1.,0.,-1.12937726300573E-16)); #424861=DIRECTION('',(-0.17364817766693,0.,0.984807753012208)); #424862=DIRECTION('',(-1.,0.,0.)); #424863=DIRECTION('',(-0.173648177666929,0.,-0.984807753012208)); #424864=DIRECTION('center_axis',(1.,0.,2.04483226766084E-16)); #424865=DIRECTION('ref_axis',(2.04483226766084E-16,0.,-1.)); #424866=DIRECTION('',(2.04483226766084E-16,0.,-1.)); #424867=DIRECTION('',(0.,1.,0.)); #424868=DIRECTION('center_axis',(0.,0.,1.)); #424869=DIRECTION('ref_axis',(1.,0.,0.)); #424870=DIRECTION('',(1.,0.,0.)); #424871=DIRECTION('',(0.,1.,0.)); #424872=DIRECTION('center_axis',(-1.,0.,-2.04483226766084E-16)); #424873=DIRECTION('ref_axis',(-2.04483226766084E-16,0.,1.)); #424874=DIRECTION('',(-2.0765929215138E-16,0.,1.)); #424875=DIRECTION('',(-2.04483226766084E-16,0.,1.)); #424876=DIRECTION('center_axis',(0.,-1.,0.)); #424877=DIRECTION('ref_axis',(0.,0.,-1.)); #424878=DIRECTION('center_axis',(1.,0.,0.)); #424879=DIRECTION('ref_axis',(0.,0.,-1.)); #424880=DIRECTION('',(0.,1.,0.)); #424881=DIRECTION('',(0.,0.,-1.)); #424882=DIRECTION('center_axis',(0.,0.,-1.)); #424883=DIRECTION('ref_axis',(-1.,0.,0.)); #424884=DIRECTION('',(0.,1.,0.)); #424885=DIRECTION('',(-1.,0.,0.)); #424886=DIRECTION('center_axis',(-1.,0.,0.)); #424887=DIRECTION('ref_axis',(0.,0.,1.)); #424888=DIRECTION('',(0.,0.,1.)); #424889=DIRECTION('',(0.,0.,1.)); #424890=DIRECTION('center_axis',(0.,1.,0.)); #424891=DIRECTION('ref_axis',(0.,0.,1.)); #424892=DIRECTION('center_axis',(0.,0.,-1.)); #424893=DIRECTION('ref_axis',(-1.,0.,0.)); #424894=DIRECTION('',(0.,1.,0.)); #424895=DIRECTION('',(-1.,0.,0.)); #424896=DIRECTION('center_axis',(-0.984807753012208,0.,-0.17364817766693)); #424897=DIRECTION('ref_axis',(-0.17364817766693,0.,0.984807753012208)); #424898=DIRECTION('',(0.,1.,0.)); #424899=DIRECTION('',(-0.17364817766693,0.,0.984807753012208)); #424900=DIRECTION('center_axis',(0.,0.,-1.)); #424901=DIRECTION('ref_axis',(-1.,0.,0.)); #424902=DIRECTION('',(0.,1.,0.)); #424903=DIRECTION('',(-1.,0.,0.)); #424904=DIRECTION('center_axis',(0.90630778703665,0.,-0.4226182617407)); #424905=DIRECTION('ref_axis',(-0.4226182617407,0.,-0.90630778703665)); #424906=DIRECTION('',(0.,1.,0.)); #424907=DIRECTION('',(-0.4226182617407,0.,-0.90630778703665)); #424908=DIRECTION('center_axis',(1.,0.,0.)); #424909=DIRECTION('ref_axis',(0.,0.,-1.)); #424910=DIRECTION('',(0.,1.,0.)); #424911=DIRECTION('',(0.,0.,-1.)); #424912=DIRECTION('center_axis',(0.85243463864071,0.,-0.522833804229874)); #424913=DIRECTION('ref_axis',(-0.522833804229874,0.,-0.85243463864071)); #424914=DIRECTION('',(0.,1.,0.)); #424915=DIRECTION('',(-0.522833804229874,0.,-0.85243463864071)); #424916=DIRECTION('center_axis',(-1.71362893848849E-15,0.,-1.)); #424917=DIRECTION('ref_axis',(-1.,0.,1.71362893848849E-15)); #424918=DIRECTION('',(0.,1.,0.)); #424919=DIRECTION('',(-1.,0.,1.71362893848849E-15)); #424920=DIRECTION('center_axis',(-0.852434638640709,0.,-0.522833804229875)); #424921=DIRECTION('ref_axis',(-0.522833804229875,0.,0.852434638640709)); #424922=DIRECTION('',(0.,1.,0.)); #424923=DIRECTION('',(-0.522833804229875,0.,0.852434638640709)); #424924=DIRECTION('center_axis',(-1.,0.,0.)); #424925=DIRECTION('ref_axis',(0.,0.,1.)); #424926=DIRECTION('',(0.,1.,0.)); #424927=DIRECTION('',(0.,0.,1.)); #424928=DIRECTION('center_axis',(3.87215061601966E-16,0.,-1.)); #424929=DIRECTION('ref_axis',(-1.,0.,-3.87215061601966E-16)); #424930=DIRECTION('',(0.,1.,0.)); #424931=DIRECTION('',(-1.,0.,-3.87215061601966E-16)); #424932=DIRECTION('center_axis',(-0.984807753012208,0.,-0.17364817766693)); #424933=DIRECTION('ref_axis',(-0.17364817766693,0.,0.984807753012208)); #424934=DIRECTION('',(0.,1.,0.)); #424935=DIRECTION('',(-0.17364817766693,0.,0.984807753012208)); #424936=DIRECTION('center_axis',(-1.12937726300573E-16,0.,-1.)); #424937=DIRECTION('ref_axis',(-1.,0.,1.12937726300573E-16)); #424938=DIRECTION('',(0.,1.,0.)); #424939=DIRECTION('',(-1.,0.,1.12937726300573E-16)); #424940=DIRECTION('center_axis',(0.984807753012208,0.,-0.17364817766693)); #424941=DIRECTION('ref_axis',(-0.17364817766693,0.,-0.984807753012208)); #424942=DIRECTION('',(0.,1.,0.)); #424943=DIRECTION('',(-0.17364817766693,0.,-0.984807753012208)); #424944=DIRECTION('center_axis',(0.,0.,-1.)); #424945=DIRECTION('ref_axis',(-1.,0.,0.)); #424946=DIRECTION('',(0.,1.,0.)); #424947=DIRECTION('',(-1.,0.,0.)); #424948=DIRECTION('center_axis',(-0.984807753012208,0.,-0.173648177666929)); #424949=DIRECTION('ref_axis',(-0.173648177666929,0.,0.984807753012208)); #424950=DIRECTION('',(-0.173648177666929,0.,0.984807753012208)); #424951=DIRECTION('center_axis',(0.984807753012208,0.,-0.173648177666929)); #424952=DIRECTION('ref_axis',(-0.173648177666929,0.,-0.984807753012208)); #424953=DIRECTION('',(0.,1.,0.)); #424954=DIRECTION('',(-0.173648177666929,0.,-0.984807753012208)); #424955=DIRECTION('center_axis',(0.,0.,-1.)); #424956=DIRECTION('ref_axis',(-1.,0.,0.)); #424957=DIRECTION('',(0.,1.,0.)); #424958=DIRECTION('',(-1.,0.,0.)); #424959=DIRECTION('center_axis',(-0.984807753012208,0.,-0.17364817766693)); #424960=DIRECTION('ref_axis',(-0.17364817766693,0.,0.984807753012208)); #424961=DIRECTION('',(0.,1.,0.)); #424962=DIRECTION('',(-0.17364817766693,0.,0.984807753012208)); #424963=DIRECTION('center_axis',(1.12937726300573E-16,0.,-1.)); #424964=DIRECTION('ref_axis',(-1.,0.,-1.12937726300573E-16)); #424965=DIRECTION('',(0.,1.,0.)); #424966=DIRECTION('',(-1.,0.,-1.12937726300573E-16)); #424967=DIRECTION('center_axis',(0.984807753012208,0.,-0.17364817766693)); #424968=DIRECTION('ref_axis',(-0.17364817766693,0.,-0.984807753012208)); #424969=DIRECTION('',(0.,1.,0.)); #424970=DIRECTION('',(-0.17364817766693,0.,-0.984807753012208)); #424971=DIRECTION('center_axis',(-3.87215061601966E-16,0.,-1.)); #424972=DIRECTION('ref_axis',(-1.,0.,3.87215061601966E-16)); #424973=DIRECTION('',(0.,1.,0.)); #424974=DIRECTION('',(-1.,0.,3.87215061601966E-16)); #424975=DIRECTION('center_axis',(1.,0.,0.)); #424976=DIRECTION('ref_axis',(0.,0.,-1.)); #424977=DIRECTION('',(0.,1.,0.)); #424978=DIRECTION('',(0.,0.,-1.)); #424979=DIRECTION('center_axis',(0.852434638640709,0.,-0.522833804229875)); #424980=DIRECTION('ref_axis',(-0.522833804229875,0.,-0.852434638640709)); #424981=DIRECTION('',(0.,1.,0.)); #424982=DIRECTION('',(-0.522833804229875,0.,-0.852434638640709)); #424983=DIRECTION('center_axis',(1.71362893848849E-15,0.,-1.)); #424984=DIRECTION('ref_axis',(-1.,0.,-1.71362893848849E-15)); #424985=DIRECTION('',(0.,1.,0.)); #424986=DIRECTION('',(-1.,0.,-1.71362893848849E-15)); #424987=DIRECTION('center_axis',(-0.85243463864071,0.,-0.522833804229874)); #424988=DIRECTION('ref_axis',(-0.522833804229874,0.,0.85243463864071)); #424989=DIRECTION('',(0.,1.,0.)); #424990=DIRECTION('',(-0.522833804229874,0.,0.85243463864071)); #424991=DIRECTION('center_axis',(-1.,0.,0.)); #424992=DIRECTION('ref_axis',(0.,0.,1.)); #424993=DIRECTION('',(0.,1.,0.)); #424994=DIRECTION('',(0.,0.,1.)); #424995=DIRECTION('center_axis',(-0.90630778703665,0.,-0.4226182617407)); #424996=DIRECTION('ref_axis',(-0.4226182617407,0.,0.90630778703665)); #424997=DIRECTION('',(0.,1.,0.)); #424998=DIRECTION('',(-0.4226182617407,0.,0.90630778703665)); #424999=DIRECTION('center_axis',(0.,0.,-1.)); #425000=DIRECTION('ref_axis',(-1.,0.,0.)); #425001=DIRECTION('',(0.,1.,0.)); #425002=DIRECTION('',(-1.,0.,0.)); #425003=DIRECTION('center_axis',(0.984807753012208,0.,-0.17364817766693)); #425004=DIRECTION('ref_axis',(-0.17364817766693,0.,-0.984807753012208)); #425005=DIRECTION('',(0.,1.,0.)); #425006=DIRECTION('',(-0.17364817766693,0.,-0.984807753012208)); #425007=DIRECTION('center_axis',(0.,0.,-1.)); #425008=DIRECTION('ref_axis',(-1.,0.,0.)); #425009=DIRECTION('',(-1.,0.,0.)); #425010=DIRECTION('center_axis',(0.,1.,0.)); #425011=DIRECTION('ref_axis',(0.,0.,1.)); #425012=DIRECTION('center_axis',(0.,-1.,0.)); #425013=DIRECTION('ref_axis',(0.,0.,-1.)); #425014=DIRECTION('',(1.12352556734249E-16,0.,1.)); #425015=DIRECTION('center_axis',(-1.,0.,1.12352556734249E-16)); #425016=DIRECTION('ref_axis',(1.12352556734249E-16,0.,1.)); #425017=DIRECTION('',(1.12352556734249E-16,0.,1.)); #425018=DIRECTION('center_axis',(0.,1.,0.)); #425019=DIRECTION('ref_axis',(0.,0.,1.)); #425020=DIRECTION('center_axis',(0.,1.,0.)); #425021=DIRECTION('ref_axis',(0.,0.,1.)); #425022=DIRECTION('',(1.12352556734249E-16,0.,1.)); #425023=DIRECTION('center_axis',(0.,-1.,0.)); #425024=DIRECTION('ref_axis',(0.,0.,-1.)); #425025=DIRECTION('',(1.12352556734249E-16,0.,1.)); #425026=DIRECTION('center_axis',(-1.,0.,1.12352556734249E-16)); #425027=DIRECTION('ref_axis',(1.12352556734249E-16,0.,1.)); #425028=DIRECTION('center_axis',(0.,1.,0.)); #425029=DIRECTION('ref_axis',(0.,0.,1.)); #425030=DIRECTION('center_axis',(0.,-1.,0.)); #425031=DIRECTION('ref_axis',(0.,0.,-1.)); #425032=DIRECTION('',(1.,0.,-1.59441495953751E-16)); #425033=DIRECTION('center_axis',(0.,-1.,0.)); #425034=DIRECTION('ref_axis',(0.,0.,-1.)); #425035=DIRECTION('center_axis',(-1.12742162991424E-16,-0.707106781186548, -0.707106781186547)); #425036=DIRECTION('ref_axis',(-1.,-2.95822839457879E-31,1.5944149595375E-16)); #425037=DIRECTION('axis',(0.,0.,1.)); #425038=DIRECTION('refdir',(1.,0.,0.)); #425039=DIRECTION('center_axis',(0.883572899969473,0.468293636983822,0.)); #425040=DIRECTION('ref_axis',(-0.468293636983822,0.883572899969473,0.)); #425041=DIRECTION('',(-0.468293636983822,0.883572899969473,0.)); #425042=DIRECTION('',(0.,0.,1.)); #425043=DIRECTION('',(-0.468293636983822,0.883572899969473,0.)); #425044=DIRECTION('',(0.,0.,1.)); #425045=DIRECTION('center_axis',(1.,-3.27971157176865E-15,0.)); #425046=DIRECTION('ref_axis',(3.27971157176865E-15,1.,0.)); #425047=DIRECTION('',(3.27971157176865E-15,1.,0.)); #425048=DIRECTION('center_axis',(-1.,0.,0.)); #425049=DIRECTION('ref_axis',(0.,0.,1.)); #425050=DIRECTION('',(0.,-3.01823612255331E-16,1.)); #425051=DIRECTION('center_axis',(-1.,0.,0.)); #425052=DIRECTION('ref_axis',(0.,0.,1.)); #425053=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #425054=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #425055=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #425056=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #425057=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #425058=DIRECTION('',(7.62674925284688E-31,3.01823612255331E-16,-1.)); #425059=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #425060=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #425061=DIRECTION('',(3.27971157176865E-15,1.,0.)); #425062=DIRECTION('center_axis',(-1.,-1.0842021724855E-16,0.)); #425063=DIRECTION('ref_axis',(1.0842021724855E-16,-1.,0.)); #425064=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #425065=DIRECTION('',(0.,0.,1.)); #425066=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #425067=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #425068=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #425069=DIRECTION('',(7.62674925284688E-31,3.01823612255331E-16,-1.)); #425070=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #425071=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #425072=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #425073=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #425074=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #425075=DIRECTION('center_axis',(-1.,0.,0.)); #425076=DIRECTION('ref_axis',(0.,0.,1.)); #425077=DIRECTION('',(0.,-3.01823612255331E-16,1.)); #425078=DIRECTION('center_axis',(-1.,0.,0.)); #425079=DIRECTION('ref_axis',(0.,0.,1.)); #425080=DIRECTION('center_axis',(-0.842271400661511,-0.539053696423368, 0.)); #425081=DIRECTION('ref_axis',(0.539053696423368,-0.842271400661511,0.)); #425082=DIRECTION('',(0.539053696423367,-0.842271400661511,0.)); #425083=DIRECTION('',(0.,0.,1.)); #425084=DIRECTION('',(0.539053696423367,-0.842271400661511,0.)); #425085=DIRECTION('center_axis',(-1.,1.19669113960872E-16,0.)); #425086=DIRECTION('ref_axis',(-1.19669113960872E-16,-1.,0.)); #425087=DIRECTION('',(0.,0.,1.)); #425088=DIRECTION('',(8.46188419803187E-17,0.707106781186549,-0.707106781186546)); #425089=DIRECTION('',(-1.19669113960872E-16,-1.,0.)); #425090=DIRECTION('',(-1.19669113960872E-16,-1.,0.)); #425091=DIRECTION('',(8.46188419803187E-17,0.707106781186548,0.707106781186547)); #425092=DIRECTION('center_axis',(0.,1.,0.)); #425093=DIRECTION('ref_axis',(0.,0.,1.)); #425094=DIRECTION('',(0.,0.,1.)); #425095=DIRECTION('',(1.,0.,0.)); #425096=DIRECTION('',(-1.,0.,0.)); #425097=DIRECTION('center_axis',(1.,1.19669113960872E-16,0.)); #425098=DIRECTION('ref_axis',(-1.19669113960872E-16,1.,0.)); #425099=DIRECTION('',(-1.19669113960872E-16,1.,0.)); #425100=DIRECTION('',(8.46188419803187E-17,-0.707106781186549,0.707106781186546)); #425101=DIRECTION('',(8.46188419803187E-17,-0.707106781186548,-0.707106781186547)); #425102=DIRECTION('',(-1.19669113960872E-16,1.,0.)); #425103=DIRECTION('center_axis',(0.,0.,-1.)); #425104=DIRECTION('ref_axis',(-1.,0.,0.)); #425105=DIRECTION('',(-1.,0.,0.)); #425106=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #425107=DIRECTION('center_axis',(0.,0.,-1.)); #425108=DIRECTION('ref_axis',(-1.,0.,0.)); #425109=DIRECTION('',(1.,0.,0.)); #425110=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #425111=DIRECTION('center_axis',(0.,1.,2.25875452601147E-16)); #425112=DIRECTION('ref_axis',(-1.,0.,0.)); #425113=DIRECTION('',(1.,0.,0.)); #425114=DIRECTION('',(-1.,0.,0.)); #425115=DIRECTION('center_axis',(-1.,0.,0.)); #425116=DIRECTION('ref_axis',(0.,0.,1.)); #425117=DIRECTION('',(-1.,0.,0.)); #425118=DIRECTION('center_axis',(0.,1.,3.01823612255331E-16)); #425119=DIRECTION('ref_axis',(0.,-3.01823612255331E-16,1.)); #425120=DIRECTION('',(-1.,0.,0.)); #425121=DIRECTION('center_axis',(-1.,0.,0.)); #425122=DIRECTION('ref_axis',(0.,0.,1.)); #425123=DIRECTION('',(-1.,0.,0.)); #425124=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #425125=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #425126=DIRECTION('center_axis',(-1.,0.,0.)); #425127=DIRECTION('ref_axis',(0.,0.,1.)); #425128=DIRECTION('',(1.,0.,0.)); #425129=DIRECTION('center_axis',(0.,1.,3.01823612255331E-16)); #425130=DIRECTION('ref_axis',(0.,-3.01823612255331E-16,1.)); #425131=DIRECTION('',(-1.,0.,0.)); #425132=DIRECTION('center_axis',(-1.,0.,0.)); #425133=DIRECTION('ref_axis',(0.,0.,1.)); #425134=DIRECTION('',(1.,0.,0.)); #425135=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #425136=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #425137=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186549)); #425138=DIRECTION('ref_axis',(1.,0.,0.)); #425139=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548)); #425140=DIRECTION('ref_axis',(-1.,0.,0.)); #425141=DIRECTION('axis',(0.,0.,1.)); #425142=DIRECTION('refdir',(1.,0.,0.)); #425143=DIRECTION('center_axis',(0.896212431824827,0.44362515375329,0.)); #425144=DIRECTION('ref_axis',(-0.44362515375329,0.896212431824827,0.)); #425145=DIRECTION('',(-0.44362515375329,0.896212431824827,0.)); #425146=DIRECTION('',(0.,0.,1.)); #425147=DIRECTION('',(-0.44362515375329,0.896212431824827,0.)); #425148=DIRECTION('',(0.,0.,1.)); #425149=DIRECTION('center_axis',(1.,-3.27971157176865E-15,0.)); #425150=DIRECTION('ref_axis',(3.27971157176865E-15,1.,0.)); #425151=DIRECTION('',(3.27971157176865E-15,1.,0.)); #425152=DIRECTION('center_axis',(-1.,0.,0.)); #425153=DIRECTION('ref_axis',(0.,0.,1.)); #425154=DIRECTION('',(0.,-2.91792413476968E-16,1.)); #425155=DIRECTION('center_axis',(-1.,0.,0.)); #425156=DIRECTION('ref_axis',(0.,0.,1.)); #425157=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #425158=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #425159=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #425160=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #425161=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #425162=DIRECTION('',(7.37751108078545E-31,2.91792413476968E-16,-1.)); #425163=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #425164=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #425165=DIRECTION('',(3.27971157176865E-15,1.,0.)); #425166=DIRECTION('center_axis',(-1.,-1.0842021724855E-16,0.)); #425167=DIRECTION('ref_axis',(1.0842021724855E-16,-1.,0.)); #425168=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #425169=DIRECTION('',(0.,0.,1.)); #425170=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #425171=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #425172=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #425173=DIRECTION('',(7.37751108078545E-31,2.91792413476968E-16,-1.)); #425174=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #425175=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #425176=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #425177=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #425178=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #425179=DIRECTION('center_axis',(-1.,0.,0.)); #425180=DIRECTION('ref_axis',(0.,0.,1.)); #425181=DIRECTION('',(0.,-2.91792413476968E-16,1.)); #425182=DIRECTION('center_axis',(-1.,0.,0.)); #425183=DIRECTION('ref_axis',(0.,0.,1.)); #425184=DIRECTION('center_axis',(-0.892634794614373,-0.450780571280259, 0.)); #425185=DIRECTION('ref_axis',(0.450780571280259,-0.892634794614373,0.)); #425186=DIRECTION('',(0.450780571280259,-0.892634794614373,0.)); #425187=DIRECTION('',(0.,0.,1.)); #425188=DIRECTION('',(0.450780571280259,-0.892634794614373,0.)); #425189=DIRECTION('center_axis',(-1.,1.35187303302432E-16,0.)); #425190=DIRECTION('ref_axis',(-1.35187303302432E-16,-1.,0.)); #425191=DIRECTION('',(0.,0.,1.)); #425192=DIRECTION('',(9.55918588954724E-17,0.707106781186549,-0.707106781186546)); #425193=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #425194=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #425195=DIRECTION('',(9.55918588954724E-17,0.707106781186549,0.707106781186546)); #425196=DIRECTION('center_axis',(0.,1.,0.)); #425197=DIRECTION('ref_axis',(0.,0.,1.)); #425198=DIRECTION('',(0.,0.,1.)); #425199=DIRECTION('',(1.,0.,0.)); #425200=DIRECTION('',(-1.,0.,0.)); #425201=DIRECTION('center_axis',(1.,1.35187303302432E-16,0.)); #425202=DIRECTION('ref_axis',(-1.35187303302432E-16,1.,0.)); #425203=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #425204=DIRECTION('',(9.55918588954724E-17,-0.707106781186549,0.707106781186546)); #425205=DIRECTION('',(9.55918588954724E-17,-0.707106781186549,-0.707106781186546)); #425206=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #425207=DIRECTION('center_axis',(0.,0.,-1.)); #425208=DIRECTION('ref_axis',(-1.,0.,0.)); #425209=DIRECTION('',(-1.,0.,0.)); #425210=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #425211=DIRECTION('center_axis',(0.,0.,-1.)); #425212=DIRECTION('ref_axis',(-1.,0.,0.)); #425213=DIRECTION('',(1.,0.,0.)); #425214=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #425215=DIRECTION('center_axis',(0.,1.,2.25875452601147E-16)); #425216=DIRECTION('ref_axis',(-1.,0.,0.)); #425217=DIRECTION('',(1.,0.,0.)); #425218=DIRECTION('',(-1.,0.,0.)); #425219=DIRECTION('center_axis',(-1.,0.,0.)); #425220=DIRECTION('ref_axis',(0.,0.,1.)); #425221=DIRECTION('',(-1.,0.,0.)); #425222=DIRECTION('center_axis',(0.,1.,2.91792413476968E-16)); #425223=DIRECTION('ref_axis',(0.,-2.91792413476968E-16,1.)); #425224=DIRECTION('',(-1.,0.,0.)); #425225=DIRECTION('center_axis',(-1.,0.,0.)); #425226=DIRECTION('ref_axis',(0.,0.,1.)); #425227=DIRECTION('',(-1.,0.,0.)); #425228=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #425229=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #425230=DIRECTION('center_axis',(-1.,0.,0.)); #425231=DIRECTION('ref_axis',(0.,0.,1.)); #425232=DIRECTION('',(1.,0.,0.)); #425233=DIRECTION('center_axis',(0.,1.,2.91792413476968E-16)); #425234=DIRECTION('ref_axis',(0.,-2.91792413476968E-16,1.)); #425235=DIRECTION('',(-1.,0.,0.)); #425236=DIRECTION('center_axis',(-1.,0.,0.)); #425237=DIRECTION('ref_axis',(0.,0.,1.)); #425238=DIRECTION('',(1.,0.,0.)); #425239=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #425240=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #425241=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #425242=DIRECTION('ref_axis',(1.,0.,0.)); #425243=DIRECTION('center_axis',(0.,0.707106781186546,-0.707106781186549)); #425244=DIRECTION('ref_axis',(-1.,0.,0.)); #425245=DIRECTION('axis',(0.,0.,1.)); #425246=DIRECTION('refdir',(1.,0.,0.)); #425247=DIRECTION('center_axis',(0.965429082558387,0.260665852290766,0.)); #425248=DIRECTION('ref_axis',(-0.260665852290766,0.965429082558387,0.)); #425249=DIRECTION('',(-0.260665852290766,0.965429082558387,0.)); #425250=DIRECTION('',(0.,0.,1.)); #425251=DIRECTION('',(-0.260665852290766,0.965429082558387,0.)); #425252=DIRECTION('',(0.,0.,1.)); #425253=DIRECTION('center_axis',(1.,-3.27971157176865E-15,0.)); #425254=DIRECTION('ref_axis',(3.27971157176865E-15,1.,0.)); #425255=DIRECTION('',(3.27971157176865E-15,1.,0.)); #425256=DIRECTION('center_axis',(-1.,0.,0.)); #425257=DIRECTION('ref_axis',(0.,0.,1.)); #425258=DIRECTION('',(0.,-2.91792413476968E-16,1.)); #425259=DIRECTION('center_axis',(-1.,0.,0.)); #425260=DIRECTION('ref_axis',(0.,0.,1.)); #425261=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #425262=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #425263=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #425264=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #425265=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #425266=DIRECTION('',(7.37751108078545E-31,2.91792413476968E-16,-1.)); #425267=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #425268=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #425269=DIRECTION('',(3.27971157176865E-15,1.,0.)); #425270=DIRECTION('center_axis',(-1.,-1.0842021724855E-16,0.)); #425271=DIRECTION('ref_axis',(1.0842021724855E-16,-1.,0.)); #425272=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #425273=DIRECTION('',(0.,0.,1.)); #425274=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #425275=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #425276=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #425277=DIRECTION('',(7.37751108078545E-31,2.91792413476968E-16,-1.)); #425278=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #425279=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #425280=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #425281=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #425282=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #425283=DIRECTION('center_axis',(-1.,0.,0.)); #425284=DIRECTION('ref_axis',(0.,0.,1.)); #425285=DIRECTION('',(0.,-2.91792413476968E-16,1.)); #425286=DIRECTION('center_axis',(-1.,0.,0.)); #425287=DIRECTION('ref_axis',(0.,0.,1.)); #425288=DIRECTION('center_axis',(-0.934783580588349,-0.355217760623573, 0.)); #425289=DIRECTION('ref_axis',(0.355217760623573,-0.934783580588349,0.)); #425290=DIRECTION('',(0.355217760623573,-0.934783580588349,0.)); #425291=DIRECTION('',(0.,0.,1.)); #425292=DIRECTION('',(0.355217760623573,-0.934783580588349,0.)); #425293=DIRECTION('center_axis',(-1.,1.19669113960872E-16,0.)); #425294=DIRECTION('ref_axis',(-1.19669113960872E-16,-1.,0.)); #425295=DIRECTION('',(0.,0.,1.)); #425296=DIRECTION('',(8.46188419803187E-17,0.707106781186549,-0.707106781186546)); #425297=DIRECTION('',(-1.19669113960872E-16,-1.,0.)); #425298=DIRECTION('',(-1.19669113960872E-16,-1.,0.)); #425299=DIRECTION('',(8.46188419803187E-17,0.707106781186548,0.707106781186547)); #425300=DIRECTION('center_axis',(0.,1.,0.)); #425301=DIRECTION('ref_axis',(0.,0.,1.)); #425302=DIRECTION('',(0.,0.,1.)); #425303=DIRECTION('',(1.,0.,0.)); #425304=DIRECTION('',(-1.,0.,0.)); #425305=DIRECTION('center_axis',(1.,1.19669113960872E-16,0.)); #425306=DIRECTION('ref_axis',(-1.19669113960872E-16,1.,0.)); #425307=DIRECTION('',(-1.19669113960872E-16,1.,0.)); #425308=DIRECTION('',(8.46188419803187E-17,-0.707106781186549,0.707106781186546)); #425309=DIRECTION('',(8.46188419803187E-17,-0.707106781186548,-0.707106781186547)); #425310=DIRECTION('',(-1.19669113960872E-16,1.,0.)); #425311=DIRECTION('center_axis',(0.,0.,-1.)); #425312=DIRECTION('ref_axis',(-1.,0.,0.)); #425313=DIRECTION('',(-1.,0.,0.)); #425314=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #425315=DIRECTION('center_axis',(0.,0.,-1.)); #425316=DIRECTION('ref_axis',(-1.,0.,0.)); #425317=DIRECTION('',(1.,0.,0.)); #425318=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #425319=DIRECTION('center_axis',(0.,1.,2.25875452601147E-16)); #425320=DIRECTION('ref_axis',(-1.,0.,0.)); #425321=DIRECTION('',(1.,0.,0.)); #425322=DIRECTION('',(-1.,0.,0.)); #425323=DIRECTION('center_axis',(-1.,0.,0.)); #425324=DIRECTION('ref_axis',(0.,0.,1.)); #425325=DIRECTION('',(-1.,0.,0.)); #425326=DIRECTION('center_axis',(0.,1.,2.91792413476968E-16)); #425327=DIRECTION('ref_axis',(0.,-2.91792413476968E-16,1.)); #425328=DIRECTION('',(-1.,0.,0.)); #425329=DIRECTION('center_axis',(-1.,0.,0.)); #425330=DIRECTION('ref_axis',(0.,0.,1.)); #425331=DIRECTION('',(-1.,0.,0.)); #425332=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #425333=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #425334=DIRECTION('center_axis',(-1.,0.,0.)); #425335=DIRECTION('ref_axis',(0.,0.,1.)); #425336=DIRECTION('',(1.,0.,0.)); #425337=DIRECTION('center_axis',(0.,1.,2.91792413476968E-16)); #425338=DIRECTION('ref_axis',(0.,-2.91792413476968E-16,1.)); #425339=DIRECTION('',(-1.,0.,0.)); #425340=DIRECTION('center_axis',(-1.,0.,0.)); #425341=DIRECTION('ref_axis',(0.,0.,1.)); #425342=DIRECTION('',(1.,0.,0.)); #425343=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #425344=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #425345=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186549)); #425346=DIRECTION('ref_axis',(1.,0.,0.)); #425347=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548)); #425348=DIRECTION('ref_axis',(-1.,0.,0.)); #425349=DIRECTION('axis',(0.,0.,1.)); #425350=DIRECTION('refdir',(1.,0.,0.)); #425351=DIRECTION('center_axis',(0.708876752278901,0.705332368517507,0.)); #425352=DIRECTION('ref_axis',(-0.705332368517507,0.708876752278901,0.)); #425353=DIRECTION('',(-0.705332368517508,0.708876752278901,0.)); #425354=DIRECTION('',(0.,0.,1.)); #425355=DIRECTION('',(-0.705332368517508,0.708876752278901,0.)); #425356=DIRECTION('',(0.,0.,1.)); #425357=DIRECTION('center_axis',(1.,-3.27971157176865E-15,0.)); #425358=DIRECTION('ref_axis',(3.27971157176865E-15,1.,0.)); #425359=DIRECTION('',(3.27971157176865E-15,1.,0.)); #425360=DIRECTION('center_axis',(-1.,0.,0.)); #425361=DIRECTION('ref_axis',(0.,0.,1.)); #425362=DIRECTION('',(0.,-2.91792413476968E-16,1.)); #425363=DIRECTION('center_axis',(-1.,0.,0.)); #425364=DIRECTION('ref_axis',(0.,0.,1.)); #425365=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #425366=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #425367=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #425368=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #425369=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #425370=DIRECTION('',(7.37751108078545E-31,2.91792413476968E-16,-1.)); #425371=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #425372=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #425373=DIRECTION('',(3.27971157176865E-15,1.,0.)); #425374=DIRECTION('center_axis',(-1.,-1.0842021724855E-16,0.)); #425375=DIRECTION('ref_axis',(1.0842021724855E-16,-1.,0.)); #425376=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #425377=DIRECTION('',(0.,0.,1.)); #425378=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #425379=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #425380=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #425381=DIRECTION('',(7.37751108078545E-31,2.91792413476968E-16,-1.)); #425382=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #425383=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #425384=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #425385=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #425386=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #425387=DIRECTION('center_axis',(-1.,0.,0.)); #425388=DIRECTION('ref_axis',(0.,0.,1.)); #425389=DIRECTION('',(0.,-2.91792413476968E-16,1.)); #425390=DIRECTION('center_axis',(-1.,0.,0.)); #425391=DIRECTION('ref_axis',(0.,0.,1.)); #425392=DIRECTION('center_axis',(-0.705341229421806,-0.708867935568915, 0.)); #425393=DIRECTION('ref_axis',(0.708867935568915,-0.705341229421806,0.)); #425394=DIRECTION('',(0.708867935568915,-0.705341229421806,0.)); #425395=DIRECTION('',(0.,0.,1.)); #425396=DIRECTION('',(0.708867935568915,-0.705341229421806,0.)); #425397=DIRECTION('center_axis',(-1.,1.08149842641946E-16,0.)); #425398=DIRECTION('ref_axis',(-1.08149842641946E-16,-1.,0.)); #425399=DIRECTION('',(0.,0.,1.)); #425400=DIRECTION('',(7.64734871163779E-17,0.707106781186549,-0.707106781186546)); #425401=DIRECTION('',(-1.08149842641946E-16,-1.,0.)); #425402=DIRECTION('',(-1.08149842641946E-16,-1.,0.)); #425403=DIRECTION('',(7.64734871163779E-17,0.707106781186549,0.707106781186546)); #425404=DIRECTION('center_axis',(0.,1.,0.)); #425405=DIRECTION('ref_axis',(0.,0.,1.)); #425406=DIRECTION('',(0.,0.,1.)); #425407=DIRECTION('',(1.,0.,0.)); #425408=DIRECTION('',(-1.,0.,0.)); #425409=DIRECTION('center_axis',(1.,1.08149842641946E-16,0.)); #425410=DIRECTION('ref_axis',(-1.08149842641946E-16,1.,0.)); #425411=DIRECTION('',(-1.08149842641946E-16,1.,0.)); #425412=DIRECTION('',(7.64734871163779E-17,-0.707106781186549,0.707106781186546)); #425413=DIRECTION('',(7.64734871163779E-17,-0.707106781186549,-0.707106781186546)); #425414=DIRECTION('',(-1.08149842641946E-16,1.,0.)); #425415=DIRECTION('center_axis',(0.,0.,-1.)); #425416=DIRECTION('ref_axis',(-1.,0.,0.)); #425417=DIRECTION('',(-1.,0.,0.)); #425418=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #425419=DIRECTION('center_axis',(0.,0.,-1.)); #425420=DIRECTION('ref_axis',(-1.,0.,0.)); #425421=DIRECTION('',(1.,0.,0.)); #425422=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #425423=DIRECTION('center_axis',(0.,1.,2.25875452601147E-16)); #425424=DIRECTION('ref_axis',(-1.,0.,0.)); #425425=DIRECTION('',(1.,0.,0.)); #425426=DIRECTION('',(-1.,0.,0.)); #425427=DIRECTION('center_axis',(-1.,0.,0.)); #425428=DIRECTION('ref_axis',(0.,0.,1.)); #425429=DIRECTION('',(-1.,0.,0.)); #425430=DIRECTION('center_axis',(0.,1.,2.91792413476968E-16)); #425431=DIRECTION('ref_axis',(0.,-2.91792413476968E-16,1.)); #425432=DIRECTION('',(-1.,0.,0.)); #425433=DIRECTION('center_axis',(-1.,0.,0.)); #425434=DIRECTION('ref_axis',(0.,0.,1.)); #425435=DIRECTION('',(-1.,0.,0.)); #425436=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #425437=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #425438=DIRECTION('center_axis',(-1.,0.,0.)); #425439=DIRECTION('ref_axis',(0.,0.,1.)); #425440=DIRECTION('',(1.,0.,0.)); #425441=DIRECTION('center_axis',(0.,1.,2.91792413476968E-16)); #425442=DIRECTION('ref_axis',(0.,-2.91792413476968E-16,1.)); #425443=DIRECTION('',(-1.,0.,0.)); #425444=DIRECTION('center_axis',(-1.,0.,0.)); #425445=DIRECTION('ref_axis',(0.,0.,1.)); #425446=DIRECTION('',(1.,0.,0.)); #425447=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #425448=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #425449=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #425450=DIRECTION('ref_axis',(1.,0.,0.)); #425451=DIRECTION('center_axis',(0.,0.707106781186546,-0.707106781186549)); #425452=DIRECTION('ref_axis',(-1.,0.,0.)); #425453=DIRECTION('axis',(0.,0.,1.)); #425454=DIRECTION('refdir',(1.,0.,0.)); #425455=DIRECTION('center_axis',(0.896212431824827,0.44362515375329,0.)); #425456=DIRECTION('ref_axis',(-0.44362515375329,0.896212431824827,0.)); #425457=DIRECTION('',(-0.44362515375329,0.896212431824827,0.)); #425458=DIRECTION('',(0.,0.,1.)); #425459=DIRECTION('',(-0.44362515375329,0.896212431824827,0.)); #425460=DIRECTION('',(0.,0.,1.)); #425461=DIRECTION('center_axis',(1.,-3.27971157176865E-15,0.)); #425462=DIRECTION('ref_axis',(3.27971157176865E-15,1.,0.)); #425463=DIRECTION('',(3.27971157176865E-15,1.,0.)); #425464=DIRECTION('center_axis',(-1.,0.,0.)); #425465=DIRECTION('ref_axis',(0.,0.,1.)); #425466=DIRECTION('',(0.,-3.01823612255331E-16,1.)); #425467=DIRECTION('center_axis',(-1.,0.,0.)); #425468=DIRECTION('ref_axis',(0.,0.,1.)); #425469=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #425470=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #425471=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #425472=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #425473=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #425474=DIRECTION('',(7.62674925284688E-31,3.01823612255331E-16,-1.)); #425475=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #425476=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #425477=DIRECTION('',(3.27971157176865E-15,1.,0.)); #425478=DIRECTION('center_axis',(-1.,-1.0842021724855E-16,0.)); #425479=DIRECTION('ref_axis',(1.0842021724855E-16,-1.,0.)); #425480=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #425481=DIRECTION('',(0.,0.,1.)); #425482=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #425483=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #425484=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #425485=DIRECTION('',(7.62674925284688E-31,3.01823612255331E-16,-1.)); #425486=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #425487=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #425488=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #425489=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #425490=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #425491=DIRECTION('center_axis',(-1.,0.,0.)); #425492=DIRECTION('ref_axis',(0.,0.,1.)); #425493=DIRECTION('',(0.,-3.01823612255331E-16,1.)); #425494=DIRECTION('center_axis',(-1.,0.,0.)); #425495=DIRECTION('ref_axis',(0.,0.,1.)); #425496=DIRECTION('center_axis',(-0.892634794614373,-0.450780571280259, 0.)); #425497=DIRECTION('ref_axis',(0.450780571280259,-0.892634794614373,0.)); #425498=DIRECTION('',(0.450780571280259,-0.892634794614373,0.)); #425499=DIRECTION('',(0.,0.,1.)); #425500=DIRECTION('',(0.450780571280259,-0.892634794614373,0.)); #425501=DIRECTION('center_axis',(-1.,1.35187303302432E-16,0.)); #425502=DIRECTION('ref_axis',(-1.35187303302432E-16,-1.,0.)); #425503=DIRECTION('',(0.,0.,1.)); #425504=DIRECTION('',(9.55918588954724E-17,0.707106781186549,-0.707106781186546)); #425505=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #425506=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #425507=DIRECTION('',(9.55918588954724E-17,0.707106781186549,0.707106781186546)); #425508=DIRECTION('center_axis',(0.,1.,0.)); #425509=DIRECTION('ref_axis',(0.,0.,1.)); #425510=DIRECTION('',(0.,0.,1.)); #425511=DIRECTION('',(1.,0.,0.)); #425512=DIRECTION('',(-1.,0.,0.)); #425513=DIRECTION('center_axis',(1.,1.35187303302432E-16,0.)); #425514=DIRECTION('ref_axis',(-1.35187303302432E-16,1.,0.)); #425515=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #425516=DIRECTION('',(9.55918588954724E-17,-0.707106781186549,0.707106781186546)); #425517=DIRECTION('',(9.55918588954724E-17,-0.707106781186549,-0.707106781186546)); #425518=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #425519=DIRECTION('center_axis',(0.,0.,-1.)); #425520=DIRECTION('ref_axis',(-1.,0.,0.)); #425521=DIRECTION('',(-1.,0.,0.)); #425522=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #425523=DIRECTION('center_axis',(0.,0.,-1.)); #425524=DIRECTION('ref_axis',(-1.,0.,0.)); #425525=DIRECTION('',(1.,0.,0.)); #425526=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #425527=DIRECTION('center_axis',(0.,1.,2.25875452601147E-16)); #425528=DIRECTION('ref_axis',(-1.,0.,0.)); #425529=DIRECTION('',(1.,0.,0.)); #425530=DIRECTION('',(-1.,0.,0.)); #425531=DIRECTION('center_axis',(-1.,0.,0.)); #425532=DIRECTION('ref_axis',(0.,0.,1.)); #425533=DIRECTION('',(-1.,0.,0.)); #425534=DIRECTION('center_axis',(0.,1.,3.01823612255331E-16)); #425535=DIRECTION('ref_axis',(0.,-3.01823612255331E-16,1.)); #425536=DIRECTION('',(-1.,0.,0.)); #425537=DIRECTION('center_axis',(-1.,0.,0.)); #425538=DIRECTION('ref_axis',(0.,0.,1.)); #425539=DIRECTION('',(-1.,0.,0.)); #425540=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #425541=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #425542=DIRECTION('center_axis',(-1.,0.,0.)); #425543=DIRECTION('ref_axis',(0.,0.,1.)); #425544=DIRECTION('',(1.,0.,0.)); #425545=DIRECTION('center_axis',(0.,1.,3.01823612255331E-16)); #425546=DIRECTION('ref_axis',(0.,-3.01823612255331E-16,1.)); #425547=DIRECTION('',(-1.,0.,0.)); #425548=DIRECTION('center_axis',(-1.,0.,0.)); #425549=DIRECTION('ref_axis',(0.,0.,1.)); #425550=DIRECTION('',(1.,0.,0.)); #425551=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #425552=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #425553=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #425554=DIRECTION('ref_axis',(1.,0.,0.)); #425555=DIRECTION('center_axis',(0.,0.707106781186546,-0.707106781186549)); #425556=DIRECTION('ref_axis',(-1.,0.,0.)); #425557=DIRECTION('axis',(0.,0.,1.)); #425558=DIRECTION('refdir',(1.,0.,0.)); #425559=DIRECTION('center_axis',(0.632376489912261,0.774661200142519,0.)); #425560=DIRECTION('ref_axis',(-0.774661200142519,0.632376489912261,0.)); #425561=DIRECTION('',(-0.77466120014252,0.63237648991226,0.)); #425562=DIRECTION('',(0.,0.,1.)); #425563=DIRECTION('',(-0.77466120014252,0.63237648991226,0.)); #425564=DIRECTION('',(0.,0.,1.)); #425565=DIRECTION('center_axis',(1.,-3.27971157176865E-15,0.)); #425566=DIRECTION('ref_axis',(3.27971157176865E-15,1.,0.)); #425567=DIRECTION('',(3.27971157176865E-15,1.,0.)); #425568=DIRECTION('center_axis',(-1.,0.,0.)); #425569=DIRECTION('ref_axis',(0.,0.,1.)); #425570=DIRECTION('',(0.,-3.01823612255331E-16,1.)); #425571=DIRECTION('center_axis',(-1.,0.,0.)); #425572=DIRECTION('ref_axis',(0.,0.,1.)); #425573=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #425574=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #425575=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #425576=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #425577=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #425578=DIRECTION('',(7.62674925284688E-31,3.01823612255331E-16,-1.)); #425579=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #425580=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #425581=DIRECTION('',(3.27971157176865E-15,1.,0.)); #425582=DIRECTION('center_axis',(-1.,-1.0842021724855E-16,0.)); #425583=DIRECTION('ref_axis',(1.0842021724855E-16,-1.,0.)); #425584=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #425585=DIRECTION('',(0.,0.,1.)); #425586=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #425587=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #425588=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #425589=DIRECTION('',(7.62674925284688E-31,3.01823612255331E-16,-1.)); #425590=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #425591=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #425592=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #425593=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #425594=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #425595=DIRECTION('center_axis',(-1.,0.,0.)); #425596=DIRECTION('ref_axis',(0.,0.,1.)); #425597=DIRECTION('',(0.,-3.01823612255331E-16,1.)); #425598=DIRECTION('center_axis',(-1.,0.,0.)); #425599=DIRECTION('ref_axis',(0.,0.,1.)); #425600=DIRECTION('center_axis',(-0.59952027595186,-0.800359568395734,0.)); #425601=DIRECTION('ref_axis',(0.800359568395734,-0.59952027595186,0.)); #425602=DIRECTION('',(0.800359568395734,-0.59952027595186,0.)); #425603=DIRECTION('',(0.,0.,1.)); #425604=DIRECTION('',(0.800359568395734,-0.59952027595186,0.)); #425605=DIRECTION('center_axis',(-1.,1.03503787349451E-16,0.)); #425606=DIRECTION('ref_axis',(-1.03503787349451E-16,-1.,0.)); #425607=DIRECTION('',(0.,0.,1.)); #425608=DIRECTION('',(7.31882299132876E-17,0.707106781186549,-0.707106781186546)); #425609=DIRECTION('',(-1.03503787349451E-16,-1.,0.)); #425610=DIRECTION('',(-1.03503787349451E-16,-1.,0.)); #425611=DIRECTION('',(7.31882299132876E-17,0.707106781186548,0.707106781186547)); #425612=DIRECTION('center_axis',(0.,1.,0.)); #425613=DIRECTION('ref_axis',(0.,0.,1.)); #425614=DIRECTION('',(0.,0.,1.)); #425615=DIRECTION('',(1.,0.,0.)); #425616=DIRECTION('',(-1.,0.,0.)); #425617=DIRECTION('center_axis',(1.,1.03503787349451E-16,0.)); #425618=DIRECTION('ref_axis',(-1.03503787349451E-16,1.,0.)); #425619=DIRECTION('',(-1.03503787349451E-16,1.,0.)); #425620=DIRECTION('',(7.31882299132876E-17,-0.707106781186549,0.707106781186546)); #425621=DIRECTION('',(7.31882299132876E-17,-0.707106781186548,-0.707106781186547)); #425622=DIRECTION('',(-1.03503787349451E-16,1.,0.)); #425623=DIRECTION('center_axis',(0.,0.,-1.)); #425624=DIRECTION('ref_axis',(-1.,0.,0.)); #425625=DIRECTION('',(-1.,0.,0.)); #425626=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #425627=DIRECTION('center_axis',(0.,0.,-1.)); #425628=DIRECTION('ref_axis',(-1.,0.,0.)); #425629=DIRECTION('',(1.,0.,0.)); #425630=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #425631=DIRECTION('center_axis',(0.,1.,2.25875452601147E-16)); #425632=DIRECTION('ref_axis',(-1.,0.,0.)); #425633=DIRECTION('',(1.,0.,0.)); #425634=DIRECTION('',(-1.,0.,0.)); #425635=DIRECTION('center_axis',(-1.,0.,0.)); #425636=DIRECTION('ref_axis',(0.,0.,1.)); #425637=DIRECTION('',(-1.,0.,0.)); #425638=DIRECTION('center_axis',(0.,1.,3.01823612255331E-16)); #425639=DIRECTION('ref_axis',(0.,-3.01823612255331E-16,1.)); #425640=DIRECTION('',(-1.,0.,0.)); #425641=DIRECTION('center_axis',(-1.,0.,0.)); #425642=DIRECTION('ref_axis',(0.,0.,1.)); #425643=DIRECTION('',(-1.,0.,0.)); #425644=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #425645=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #425646=DIRECTION('center_axis',(-1.,0.,0.)); #425647=DIRECTION('ref_axis',(0.,0.,1.)); #425648=DIRECTION('',(1.,0.,0.)); #425649=DIRECTION('center_axis',(0.,1.,3.01823612255331E-16)); #425650=DIRECTION('ref_axis',(0.,-3.01823612255331E-16,1.)); #425651=DIRECTION('',(-1.,0.,0.)); #425652=DIRECTION('center_axis',(-1.,0.,0.)); #425653=DIRECTION('ref_axis',(0.,0.,1.)); #425654=DIRECTION('',(1.,0.,0.)); #425655=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #425656=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #425657=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186549)); #425658=DIRECTION('ref_axis',(1.,0.,0.)); #425659=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548)); #425660=DIRECTION('ref_axis',(-1.,0.,0.)); #425661=DIRECTION('axis',(0.,0.,1.)); #425662=DIRECTION('refdir',(1.,0.,0.)); #425663=DIRECTION('center_axis',(0.719587420499984,0.694401860782486,0.)); #425664=DIRECTION('ref_axis',(-0.694401860782486,0.719587420499984,0.)); #425665=DIRECTION('',(-0.694401860782486,0.719587420499984,0.)); #425666=DIRECTION('',(0.,0.,1.)); #425667=DIRECTION('',(-0.694401860782486,0.719587420499984,0.)); #425668=DIRECTION('',(0.,0.,1.)); #425669=DIRECTION('center_axis',(1.,-3.27971157176865E-15,0.)); #425670=DIRECTION('ref_axis',(3.27971157176865E-15,1.,0.)); #425671=DIRECTION('',(3.27971157176865E-15,1.,0.)); #425672=DIRECTION('center_axis',(-1.,0.,0.)); #425673=DIRECTION('ref_axis',(0.,0.,1.)); #425674=DIRECTION('',(0.,-2.91792413476968E-16,1.)); #425675=DIRECTION('center_axis',(-1.,0.,0.)); #425676=DIRECTION('ref_axis',(0.,0.,1.)); #425677=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #425678=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #425679=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #425680=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #425681=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #425682=DIRECTION('',(7.37751108078545E-31,2.91792413476968E-16,-1.)); #425683=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #425684=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #425685=DIRECTION('',(3.27971157176865E-15,1.,0.)); #425686=DIRECTION('center_axis',(-1.,-1.0842021724855E-16,0.)); #425687=DIRECTION('ref_axis',(1.0842021724855E-16,-1.,0.)); #425688=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #425689=DIRECTION('',(0.,0.,1.)); #425690=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #425691=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #425692=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #425693=DIRECTION('',(7.37751108078545E-31,2.91792413476968E-16,-1.)); #425694=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #425695=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #425696=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #425697=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #425698=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #425699=DIRECTION('center_axis',(-1.,0.,0.)); #425700=DIRECTION('ref_axis',(0.,0.,1.)); #425701=DIRECTION('',(0.,-2.91792413476968E-16,1.)); #425702=DIRECTION('center_axis',(-1.,0.,0.)); #425703=DIRECTION('ref_axis',(0.,0.,1.)); #425704=DIRECTION('center_axis',(-0.681103942477287,-0.732186738163084, 0.)); #425705=DIRECTION('ref_axis',(0.732186738163084,-0.681103942477287,0.)); #425706=DIRECTION('',(0.732186738163084,-0.681103942477287,0.)); #425707=DIRECTION('',(0.,0.,1.)); #425708=DIRECTION('',(0.732186738163084,-0.681103942477287,0.)); #425709=DIRECTION('center_axis',(-1.,1.03503787349451E-16,0.)); #425710=DIRECTION('ref_axis',(-1.03503787349451E-16,-1.,0.)); #425711=DIRECTION('',(0.,0.,1.)); #425712=DIRECTION('',(7.31882299132876E-17,0.707106781186549,-0.707106781186546)); #425713=DIRECTION('',(-1.03503787349451E-16,-1.,0.)); #425714=DIRECTION('',(-1.03503787349451E-16,-1.,0.)); #425715=DIRECTION('',(7.31882299132876E-17,0.707106781186548,0.707106781186547)); #425716=DIRECTION('center_axis',(0.,1.,0.)); #425717=DIRECTION('ref_axis',(0.,0.,1.)); #425718=DIRECTION('',(0.,0.,1.)); #425719=DIRECTION('',(1.,0.,0.)); #425720=DIRECTION('',(-1.,0.,0.)); #425721=DIRECTION('center_axis',(1.,1.03503787349451E-16,0.)); #425722=DIRECTION('ref_axis',(-1.03503787349451E-16,1.,0.)); #425723=DIRECTION('',(-1.03503787349451E-16,1.,0.)); #425724=DIRECTION('',(7.31882299132876E-17,-0.707106781186549,0.707106781186546)); #425725=DIRECTION('',(7.31882299132876E-17,-0.707106781186548,-0.707106781186547)); #425726=DIRECTION('',(-1.03503787349451E-16,1.,0.)); #425727=DIRECTION('center_axis',(0.,0.,-1.)); #425728=DIRECTION('ref_axis',(-1.,0.,0.)); #425729=DIRECTION('',(-1.,0.,0.)); #425730=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #425731=DIRECTION('center_axis',(0.,0.,-1.)); #425732=DIRECTION('ref_axis',(-1.,0.,0.)); #425733=DIRECTION('',(1.,0.,0.)); #425734=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #425735=DIRECTION('center_axis',(0.,1.,2.25875452601147E-16)); #425736=DIRECTION('ref_axis',(-1.,0.,0.)); #425737=DIRECTION('',(1.,0.,0.)); #425738=DIRECTION('',(-1.,0.,0.)); #425739=DIRECTION('center_axis',(-1.,0.,0.)); #425740=DIRECTION('ref_axis',(0.,0.,1.)); #425741=DIRECTION('',(-1.,0.,0.)); #425742=DIRECTION('center_axis',(0.,1.,2.91792413476968E-16)); #425743=DIRECTION('ref_axis',(0.,-2.91792413476968E-16,1.)); #425744=DIRECTION('',(-1.,0.,0.)); #425745=DIRECTION('center_axis',(-1.,0.,0.)); #425746=DIRECTION('ref_axis',(0.,0.,1.)); #425747=DIRECTION('',(-1.,0.,0.)); #425748=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #425749=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #425750=DIRECTION('center_axis',(-1.,0.,0.)); #425751=DIRECTION('ref_axis',(0.,0.,1.)); #425752=DIRECTION('',(1.,0.,0.)); #425753=DIRECTION('center_axis',(0.,1.,2.91792413476968E-16)); #425754=DIRECTION('ref_axis',(0.,-2.91792413476968E-16,1.)); #425755=DIRECTION('',(-1.,0.,0.)); #425756=DIRECTION('center_axis',(-1.,0.,0.)); #425757=DIRECTION('ref_axis',(0.,0.,1.)); #425758=DIRECTION('',(1.,0.,0.)); #425759=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #425760=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #425761=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186549)); #425762=DIRECTION('ref_axis',(1.,0.,0.)); #425763=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548)); #425764=DIRECTION('ref_axis',(-1.,0.,0.)); #425765=DIRECTION('axis',(0.,0.,1.)); #425766=DIRECTION('refdir',(1.,0.,0.)); #425767=DIRECTION('center_axis',(0.883572899969473,-0.468293636983822,0.)); #425768=DIRECTION('ref_axis',(-0.468293636983822,-0.883572899969473,0.)); #425769=DIRECTION('',(-0.468293636983822,-0.883572899969473,0.)); #425770=DIRECTION('',(0.,0.,1.)); #425771=DIRECTION('',(-0.468293636983822,-0.883572899969473,0.)); #425772=DIRECTION('',(0.,0.,1.)); #425773=DIRECTION('center_axis',(1.,3.27971157176865E-15,0.)); #425774=DIRECTION('ref_axis',(3.27971157176865E-15,-1.,0.)); #425775=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #425776=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #425777=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #425778=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #425779=DIRECTION('',(7.62674925284688E-31,-3.01823612255331E-16,-1.)); #425780=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #425781=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #425782=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #425783=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #425784=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #425785=DIRECTION('center_axis',(1.,0.,0.)); #425786=DIRECTION('ref_axis',(0.,0.,1.)); #425787=DIRECTION('',(0.,3.01823612255331E-16,1.)); #425788=DIRECTION('center_axis',(1.,0.,0.)); #425789=DIRECTION('ref_axis',(0.,0.,1.)); #425790=DIRECTION('center_axis',(-1.,1.0842021724855E-16,0.)); #425791=DIRECTION('ref_axis',(1.0842021724855E-16,1.,0.)); #425792=DIRECTION('',(1.0842021724855E-16,1.,0.)); #425793=DIRECTION('center_axis',(1.,0.,0.)); #425794=DIRECTION('ref_axis',(0.,0.,1.)); #425795=DIRECTION('',(0.,3.01823612255331E-16,1.)); #425796=DIRECTION('center_axis',(1.,0.,0.)); #425797=DIRECTION('ref_axis',(0.,0.,1.)); #425798=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #425799=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #425800=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #425801=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #425802=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #425803=DIRECTION('',(7.62674925284688E-31,-3.01823612255331E-16,-1.)); #425804=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #425805=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #425806=DIRECTION('',(1.0842021724855E-16,1.,0.)); #425807=DIRECTION('',(0.,0.,1.)); #425808=DIRECTION('center_axis',(-0.842271400661511,0.539053696423368,0.)); #425809=DIRECTION('ref_axis',(0.539053696423368,0.842271400661511,0.)); #425810=DIRECTION('',(0.539053696423367,0.842271400661511,0.)); #425811=DIRECTION('',(0.539053696423367,0.842271400661511,0.)); #425812=DIRECTION('',(0.,0.,1.)); #425813=DIRECTION('center_axis',(-1.,-1.19669113960872E-16,0.)); #425814=DIRECTION('ref_axis',(-1.19669113960872E-16,1.,0.)); #425815=DIRECTION('',(0.,0.,1.)); #425816=DIRECTION('',(8.46188419803187E-17,-0.707106781186548,0.707106781186547)); #425817=DIRECTION('',(-1.19669113960872E-16,1.,0.)); #425818=DIRECTION('',(-1.19669113960872E-16,1.,0.)); #425819=DIRECTION('',(8.46188419803187E-17,-0.707106781186549,-0.707106781186546)); #425820=DIRECTION('center_axis',(0.,-1.,0.)); #425821=DIRECTION('ref_axis',(0.,0.,1.)); #425822=DIRECTION('',(0.,0.,1.)); #425823=DIRECTION('',(-1.,0.,0.)); #425824=DIRECTION('',(1.,0.,0.)); #425825=DIRECTION('center_axis',(1.,-1.19669113960872E-16,0.)); #425826=DIRECTION('ref_axis',(-1.19669113960872E-16,-1.,0.)); #425827=DIRECTION('',(-1.19669113960872E-16,-1.,0.)); #425828=DIRECTION('',(-1.19669113960872E-16,-1.,0.)); #425829=DIRECTION('',(8.46188419803187E-17,0.707106781186548,-0.707106781186547)); #425830=DIRECTION('',(8.46188419803187E-17,0.707106781186549,0.707106781186546)); #425831=DIRECTION('center_axis',(0.,0.,-1.)); #425832=DIRECTION('ref_axis',(-1.,0.,0.)); #425833=DIRECTION('',(1.,1.58112816820803E-15,0.)); #425834=DIRECTION('',(-1.,0.,0.)); #425835=DIRECTION('center_axis',(0.,0.,-1.)); #425836=DIRECTION('ref_axis',(-1.,0.,0.)); #425837=DIRECTION('',(1.,1.58112816820803E-15,0.)); #425838=DIRECTION('',(1.,0.,0.)); #425839=DIRECTION('center_axis',(0.,-1.,2.25875452601147E-16)); #425840=DIRECTION('ref_axis',(-1.,0.,0.)); #425841=DIRECTION('',(-1.,0.,0.)); #425842=DIRECTION('',(1.,0.,0.)); #425843=DIRECTION('center_axis',(-1.,0.,0.)); #425844=DIRECTION('ref_axis',(0.,0.,1.)); #425845=DIRECTION('',(-1.,0.,0.)); #425846=DIRECTION('center_axis',(0.,-1.,3.01823612255331E-16)); #425847=DIRECTION('ref_axis',(0.,3.01823612255331E-16,1.)); #425848=DIRECTION('',(-1.,0.,0.)); #425849=DIRECTION('center_axis',(-1.,0.,0.)); #425850=DIRECTION('ref_axis',(0.,0.,1.)); #425851=DIRECTION('',(-1.,0.,0.)); #425852=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #425853=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #425854=DIRECTION('center_axis',(-1.,0.,0.)); #425855=DIRECTION('ref_axis',(0.,0.,1.)); #425856=DIRECTION('',(1.,0.,0.)); #425857=DIRECTION('center_axis',(0.,-1.,3.01823612255331E-16)); #425858=DIRECTION('ref_axis',(0.,3.01823612255331E-16,1.)); #425859=DIRECTION('',(-1.,0.,0.)); #425860=DIRECTION('center_axis',(-1.,0.,0.)); #425861=DIRECTION('ref_axis',(0.,0.,1.)); #425862=DIRECTION('',(1.,0.,0.)); #425863=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #425864=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #425865=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186549)); #425866=DIRECTION('ref_axis',(1.,0.,0.)); #425867=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186548)); #425868=DIRECTION('ref_axis',(-1.,0.,0.)); #425869=DIRECTION('axis',(0.,0.,1.)); #425870=DIRECTION('refdir',(1.,0.,0.)); #425871=DIRECTION('center_axis',(0.965429082558387,-0.260665852290766,0.)); #425872=DIRECTION('ref_axis',(-0.260665852290766,-0.965429082558387,0.)); #425873=DIRECTION('',(-0.260665852290766,-0.965429082558387,0.)); #425874=DIRECTION('',(0.,0.,1.)); #425875=DIRECTION('',(-0.260665852290766,-0.965429082558387,0.)); #425876=DIRECTION('',(0.,0.,1.)); #425877=DIRECTION('center_axis',(1.,3.27971157176865E-15,0.)); #425878=DIRECTION('ref_axis',(3.27971157176865E-15,-1.,0.)); #425879=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #425880=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #425881=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #425882=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #425883=DIRECTION('',(7.37751108078545E-31,-2.91792413476968E-16,-1.)); #425884=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #425885=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #425886=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #425887=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #425888=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #425889=DIRECTION('center_axis',(1.,0.,0.)); #425890=DIRECTION('ref_axis',(0.,0.,1.)); #425891=DIRECTION('',(0.,2.91792413476968E-16,1.)); #425892=DIRECTION('center_axis',(1.,0.,0.)); #425893=DIRECTION('ref_axis',(0.,0.,1.)); #425894=DIRECTION('center_axis',(-1.,1.0842021724855E-16,0.)); #425895=DIRECTION('ref_axis',(1.0842021724855E-16,1.,0.)); #425896=DIRECTION('',(1.0842021724855E-16,1.,0.)); #425897=DIRECTION('center_axis',(1.,0.,0.)); #425898=DIRECTION('ref_axis',(0.,0.,1.)); #425899=DIRECTION('',(0.,2.91792413476968E-16,1.)); #425900=DIRECTION('center_axis',(1.,0.,0.)); #425901=DIRECTION('ref_axis',(0.,0.,1.)); #425902=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #425903=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #425904=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #425905=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #425906=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #425907=DIRECTION('',(7.37751108078545E-31,-2.91792413476968E-16,-1.)); #425908=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #425909=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #425910=DIRECTION('',(1.0842021724855E-16,1.,0.)); #425911=DIRECTION('',(0.,0.,1.)); #425912=DIRECTION('center_axis',(-0.934783580588349,0.355217760623573,0.)); #425913=DIRECTION('ref_axis',(0.355217760623573,0.934783580588349,0.)); #425914=DIRECTION('',(0.355217760623573,0.934783580588349,0.)); #425915=DIRECTION('',(0.355217760623573,0.934783580588349,0.)); #425916=DIRECTION('',(0.,0.,1.)); #425917=DIRECTION('center_axis',(-1.,-1.19669113960872E-16,0.)); #425918=DIRECTION('ref_axis',(-1.19669113960872E-16,1.,0.)); #425919=DIRECTION('',(0.,0.,1.)); #425920=DIRECTION('',(8.46188419803187E-17,-0.707106781186548,0.707106781186547)); #425921=DIRECTION('',(-1.19669113960872E-16,1.,0.)); #425922=DIRECTION('',(-1.19669113960872E-16,1.,0.)); #425923=DIRECTION('',(8.46188419803187E-17,-0.707106781186549,-0.707106781186546)); #425924=DIRECTION('center_axis',(0.,-1.,0.)); #425925=DIRECTION('ref_axis',(0.,0.,1.)); #425926=DIRECTION('',(0.,0.,1.)); #425927=DIRECTION('',(-1.,0.,0.)); #425928=DIRECTION('',(1.,0.,0.)); #425929=DIRECTION('center_axis',(1.,-1.19669113960872E-16,0.)); #425930=DIRECTION('ref_axis',(-1.19669113960872E-16,-1.,0.)); #425931=DIRECTION('',(-1.19669113960872E-16,-1.,0.)); #425932=DIRECTION('',(-1.19669113960872E-16,-1.,0.)); #425933=DIRECTION('',(8.46188419803187E-17,0.707106781186548,-0.707106781186547)); #425934=DIRECTION('',(8.46188419803187E-17,0.707106781186549,0.707106781186546)); #425935=DIRECTION('center_axis',(0.,0.,-1.)); #425936=DIRECTION('ref_axis',(-1.,0.,0.)); #425937=DIRECTION('',(1.,1.58112816820803E-15,0.)); #425938=DIRECTION('',(-1.,0.,0.)); #425939=DIRECTION('center_axis',(0.,0.,-1.)); #425940=DIRECTION('ref_axis',(-1.,0.,0.)); #425941=DIRECTION('',(1.,1.58112816820803E-15,0.)); #425942=DIRECTION('',(1.,0.,0.)); #425943=DIRECTION('center_axis',(0.,-1.,2.25875452601147E-16)); #425944=DIRECTION('ref_axis',(-1.,0.,0.)); #425945=DIRECTION('',(-1.,0.,0.)); #425946=DIRECTION('',(1.,0.,0.)); #425947=DIRECTION('center_axis',(-1.,0.,0.)); #425948=DIRECTION('ref_axis',(0.,0.,1.)); #425949=DIRECTION('',(-1.,0.,0.)); #425950=DIRECTION('center_axis',(0.,-1.,2.91792413476968E-16)); #425951=DIRECTION('ref_axis',(0.,2.91792413476968E-16,1.)); #425952=DIRECTION('',(-1.,0.,0.)); #425953=DIRECTION('center_axis',(-1.,0.,0.)); #425954=DIRECTION('ref_axis',(0.,0.,1.)); #425955=DIRECTION('',(-1.,0.,0.)); #425956=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #425957=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #425958=DIRECTION('center_axis',(-1.,0.,0.)); #425959=DIRECTION('ref_axis',(0.,0.,1.)); #425960=DIRECTION('',(1.,0.,0.)); #425961=DIRECTION('center_axis',(0.,-1.,2.91792413476968E-16)); #425962=DIRECTION('ref_axis',(0.,2.91792413476968E-16,1.)); #425963=DIRECTION('',(-1.,0.,0.)); #425964=DIRECTION('center_axis',(-1.,0.,0.)); #425965=DIRECTION('ref_axis',(0.,0.,1.)); #425966=DIRECTION('',(1.,0.,0.)); #425967=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #425968=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #425969=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186549)); #425970=DIRECTION('ref_axis',(1.,0.,0.)); #425971=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186548)); #425972=DIRECTION('ref_axis',(-1.,0.,0.)); #425973=DIRECTION('axis',(0.,0.,1.)); #425974=DIRECTION('refdir',(1.,0.,0.)); #425975=DIRECTION('center_axis',(0.632376489912261,-0.774661200142519,0.)); #425976=DIRECTION('ref_axis',(-0.774661200142519,-0.632376489912261,0.)); #425977=DIRECTION('',(-0.77466120014252,-0.63237648991226,0.)); #425978=DIRECTION('',(0.,0.,1.)); #425979=DIRECTION('',(-0.77466120014252,-0.63237648991226,0.)); #425980=DIRECTION('',(0.,0.,1.)); #425981=DIRECTION('center_axis',(1.,3.27971157176865E-15,0.)); #425982=DIRECTION('ref_axis',(3.27971157176865E-15,-1.,0.)); #425983=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #425984=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #425985=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #425986=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #425987=DIRECTION('',(7.62674925284688E-31,-3.01823612255331E-16,-1.)); #425988=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #425989=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #425990=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #425991=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #425992=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #425993=DIRECTION('center_axis',(1.,0.,0.)); #425994=DIRECTION('ref_axis',(0.,0.,1.)); #425995=DIRECTION('',(0.,3.01823612255331E-16,1.)); #425996=DIRECTION('center_axis',(1.,0.,0.)); #425997=DIRECTION('ref_axis',(0.,0.,1.)); #425998=DIRECTION('center_axis',(-1.,1.0842021724855E-16,0.)); #425999=DIRECTION('ref_axis',(1.0842021724855E-16,1.,0.)); #426000=DIRECTION('',(1.0842021724855E-16,1.,0.)); #426001=DIRECTION('center_axis',(1.,0.,0.)); #426002=DIRECTION('ref_axis',(0.,0.,1.)); #426003=DIRECTION('',(0.,3.01823612255331E-16,1.)); #426004=DIRECTION('center_axis',(1.,0.,0.)); #426005=DIRECTION('ref_axis',(0.,0.,1.)); #426006=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #426007=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #426008=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #426009=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #426010=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #426011=DIRECTION('',(7.62674925284688E-31,-3.01823612255331E-16,-1.)); #426012=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #426013=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #426014=DIRECTION('',(1.0842021724855E-16,1.,0.)); #426015=DIRECTION('',(0.,0.,1.)); #426016=DIRECTION('center_axis',(-0.59952027595186,0.800359568395734,0.)); #426017=DIRECTION('ref_axis',(0.800359568395734,0.59952027595186,0.)); #426018=DIRECTION('',(0.800359568395734,0.59952027595186,0.)); #426019=DIRECTION('',(0.800359568395734,0.59952027595186,0.)); #426020=DIRECTION('',(0.,0.,1.)); #426021=DIRECTION('center_axis',(-1.,-1.03503787349451E-16,0.)); #426022=DIRECTION('ref_axis',(-1.03503787349451E-16,1.,0.)); #426023=DIRECTION('',(0.,0.,1.)); #426024=DIRECTION('',(7.31882299132876E-17,-0.707106781186548,0.707106781186547)); #426025=DIRECTION('',(-1.03503787349451E-16,1.,0.)); #426026=DIRECTION('',(-1.03503787349451E-16,1.,0.)); #426027=DIRECTION('',(7.31882299132876E-17,-0.707106781186549,-0.707106781186546)); #426028=DIRECTION('center_axis',(0.,-1.,0.)); #426029=DIRECTION('ref_axis',(0.,0.,1.)); #426030=DIRECTION('',(0.,0.,1.)); #426031=DIRECTION('',(-1.,0.,0.)); #426032=DIRECTION('',(1.,0.,0.)); #426033=DIRECTION('center_axis',(1.,-1.03503787349451E-16,0.)); #426034=DIRECTION('ref_axis',(-1.03503787349451E-16,-1.,0.)); #426035=DIRECTION('',(-1.03503787349451E-16,-1.,0.)); #426036=DIRECTION('',(-1.03503787349451E-16,-1.,0.)); #426037=DIRECTION('',(7.31882299132876E-17,0.707106781186548,-0.707106781186547)); #426038=DIRECTION('',(7.31882299132876E-17,0.707106781186549,0.707106781186546)); #426039=DIRECTION('center_axis',(0.,0.,-1.)); #426040=DIRECTION('ref_axis',(-1.,0.,0.)); #426041=DIRECTION('',(1.,1.58112816820803E-15,0.)); #426042=DIRECTION('',(-1.,0.,0.)); #426043=DIRECTION('center_axis',(0.,0.,-1.)); #426044=DIRECTION('ref_axis',(-1.,0.,0.)); #426045=DIRECTION('',(1.,1.58112816820803E-15,0.)); #426046=DIRECTION('',(1.,0.,0.)); #426047=DIRECTION('center_axis',(0.,-1.,2.25875452601147E-16)); #426048=DIRECTION('ref_axis',(-1.,0.,0.)); #426049=DIRECTION('',(-1.,0.,0.)); #426050=DIRECTION('',(1.,0.,0.)); #426051=DIRECTION('center_axis',(-1.,0.,0.)); #426052=DIRECTION('ref_axis',(0.,0.,1.)); #426053=DIRECTION('',(-1.,0.,0.)); #426054=DIRECTION('center_axis',(0.,-1.,3.01823612255331E-16)); #426055=DIRECTION('ref_axis',(0.,3.01823612255331E-16,1.)); #426056=DIRECTION('',(-1.,0.,0.)); #426057=DIRECTION('center_axis',(-1.,0.,0.)); #426058=DIRECTION('ref_axis',(0.,0.,1.)); #426059=DIRECTION('',(-1.,0.,0.)); #426060=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #426061=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #426062=DIRECTION('center_axis',(-1.,0.,0.)); #426063=DIRECTION('ref_axis',(0.,0.,1.)); #426064=DIRECTION('',(1.,0.,0.)); #426065=DIRECTION('center_axis',(0.,-1.,3.01823612255331E-16)); #426066=DIRECTION('ref_axis',(0.,3.01823612255331E-16,1.)); #426067=DIRECTION('',(-1.,0.,0.)); #426068=DIRECTION('center_axis',(-1.,0.,0.)); #426069=DIRECTION('ref_axis',(0.,0.,1.)); #426070=DIRECTION('',(1.,0.,0.)); #426071=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #426072=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #426073=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186549)); #426074=DIRECTION('ref_axis',(1.,0.,0.)); #426075=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186548)); #426076=DIRECTION('ref_axis',(-1.,0.,0.)); #426077=DIRECTION('axis',(0.,0.,1.)); #426078=DIRECTION('refdir',(1.,0.,0.)); #426079=DIRECTION('center_axis',(0.719587420499984,-0.694401860782486,0.)); #426080=DIRECTION('ref_axis',(-0.694401860782486,-0.719587420499984,0.)); #426081=DIRECTION('',(-0.694401860782486,-0.719587420499984,0.)); #426082=DIRECTION('',(0.,0.,1.)); #426083=DIRECTION('',(-0.694401860782486,-0.719587420499984,0.)); #426084=DIRECTION('',(0.,0.,1.)); #426085=DIRECTION('center_axis',(1.,3.27971157176865E-15,0.)); #426086=DIRECTION('ref_axis',(3.27971157176865E-15,-1.,0.)); #426087=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #426088=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #426089=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #426090=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #426091=DIRECTION('',(7.37751108078545E-31,-2.91792413476968E-16,-1.)); #426092=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #426093=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #426094=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #426095=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #426096=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #426097=DIRECTION('center_axis',(1.,0.,0.)); #426098=DIRECTION('ref_axis',(0.,0.,1.)); #426099=DIRECTION('',(0.,2.91792413476968E-16,1.)); #426100=DIRECTION('center_axis',(1.,0.,0.)); #426101=DIRECTION('ref_axis',(0.,0.,1.)); #426102=DIRECTION('center_axis',(-1.,1.0842021724855E-16,0.)); #426103=DIRECTION('ref_axis',(1.0842021724855E-16,1.,0.)); #426104=DIRECTION('',(1.0842021724855E-16,1.,0.)); #426105=DIRECTION('center_axis',(1.,0.,0.)); #426106=DIRECTION('ref_axis',(0.,0.,1.)); #426107=DIRECTION('',(0.,2.91792413476968E-16,1.)); #426108=DIRECTION('center_axis',(1.,0.,0.)); #426109=DIRECTION('ref_axis',(0.,0.,1.)); #426110=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #426111=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #426112=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #426113=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #426114=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #426115=DIRECTION('',(7.37751108078545E-31,-2.91792413476968E-16,-1.)); #426116=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #426117=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #426118=DIRECTION('',(1.0842021724855E-16,1.,0.)); #426119=DIRECTION('',(0.,0.,1.)); #426120=DIRECTION('center_axis',(-0.681103942477287,0.732186738163084,0.)); #426121=DIRECTION('ref_axis',(0.732186738163084,0.681103942477287,0.)); #426122=DIRECTION('',(0.732186738163084,0.681103942477287,0.)); #426123=DIRECTION('',(0.732186738163084,0.681103942477287,0.)); #426124=DIRECTION('',(0.,0.,1.)); #426125=DIRECTION('center_axis',(-1.,-1.03503787349451E-16,0.)); #426126=DIRECTION('ref_axis',(-1.03503787349451E-16,1.,0.)); #426127=DIRECTION('',(0.,0.,1.)); #426128=DIRECTION('',(7.31882299132876E-17,-0.707106781186548,0.707106781186547)); #426129=DIRECTION('',(-1.03503787349451E-16,1.,0.)); #426130=DIRECTION('',(-1.03503787349451E-16,1.,0.)); #426131=DIRECTION('',(7.31882299132876E-17,-0.707106781186549,-0.707106781186546)); #426132=DIRECTION('center_axis',(0.,-1.,0.)); #426133=DIRECTION('ref_axis',(0.,0.,1.)); #426134=DIRECTION('',(0.,0.,1.)); #426135=DIRECTION('',(-1.,0.,0.)); #426136=DIRECTION('',(1.,0.,0.)); #426137=DIRECTION('center_axis',(1.,-1.03503787349451E-16,0.)); #426138=DIRECTION('ref_axis',(-1.03503787349451E-16,-1.,0.)); #426139=DIRECTION('',(-1.03503787349451E-16,-1.,0.)); #426140=DIRECTION('',(-1.03503787349451E-16,-1.,0.)); #426141=DIRECTION('',(7.31882299132876E-17,0.707106781186548,-0.707106781186547)); #426142=DIRECTION('',(7.31882299132876E-17,0.707106781186549,0.707106781186546)); #426143=DIRECTION('center_axis',(0.,0.,-1.)); #426144=DIRECTION('ref_axis',(-1.,0.,0.)); #426145=DIRECTION('',(1.,1.58112816820803E-15,0.)); #426146=DIRECTION('',(-1.,0.,0.)); #426147=DIRECTION('center_axis',(0.,0.,-1.)); #426148=DIRECTION('ref_axis',(-1.,0.,0.)); #426149=DIRECTION('',(1.,1.58112816820803E-15,0.)); #426150=DIRECTION('',(1.,0.,0.)); #426151=DIRECTION('center_axis',(0.,-1.,2.25875452601147E-16)); #426152=DIRECTION('ref_axis',(-1.,0.,0.)); #426153=DIRECTION('',(-1.,0.,0.)); #426154=DIRECTION('',(1.,0.,0.)); #426155=DIRECTION('center_axis',(-1.,0.,0.)); #426156=DIRECTION('ref_axis',(0.,0.,1.)); #426157=DIRECTION('',(-1.,0.,0.)); #426158=DIRECTION('center_axis',(0.,-1.,2.91792413476968E-16)); #426159=DIRECTION('ref_axis',(0.,2.91792413476968E-16,1.)); #426160=DIRECTION('',(-1.,0.,0.)); #426161=DIRECTION('center_axis',(-1.,0.,0.)); #426162=DIRECTION('ref_axis',(0.,0.,1.)); #426163=DIRECTION('',(-1.,0.,0.)); #426164=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #426165=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #426166=DIRECTION('center_axis',(-1.,0.,0.)); #426167=DIRECTION('ref_axis',(0.,0.,1.)); #426168=DIRECTION('',(1.,0.,0.)); #426169=DIRECTION('center_axis',(0.,-1.,2.91792413476968E-16)); #426170=DIRECTION('ref_axis',(0.,2.91792413476968E-16,1.)); #426171=DIRECTION('',(-1.,0.,0.)); #426172=DIRECTION('center_axis',(-1.,0.,0.)); #426173=DIRECTION('ref_axis',(0.,0.,1.)); #426174=DIRECTION('',(1.,0.,0.)); #426175=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #426176=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #426177=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186549)); #426178=DIRECTION('ref_axis',(1.,0.,0.)); #426179=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186548)); #426180=DIRECTION('ref_axis',(-1.,0.,0.)); #426181=DIRECTION('axis',(0.,0.,1.)); #426182=DIRECTION('refdir',(1.,0.,0.)); #426183=DIRECTION('center_axis',(0.896212431824827,-0.44362515375329,0.)); #426184=DIRECTION('ref_axis',(-0.44362515375329,-0.896212431824827,0.)); #426185=DIRECTION('',(-0.44362515375329,-0.896212431824827,0.)); #426186=DIRECTION('',(0.,0.,1.)); #426187=DIRECTION('',(-0.44362515375329,-0.896212431824827,0.)); #426188=DIRECTION('',(0.,0.,1.)); #426189=DIRECTION('center_axis',(1.,3.27971157176865E-15,0.)); #426190=DIRECTION('ref_axis',(3.27971157176865E-15,-1.,0.)); #426191=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #426192=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #426193=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #426194=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #426195=DIRECTION('',(7.62674925284688E-31,-3.01823612255331E-16,-1.)); #426196=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #426197=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #426198=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #426199=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #426200=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #426201=DIRECTION('center_axis',(1.,0.,0.)); #426202=DIRECTION('ref_axis',(0.,0.,1.)); #426203=DIRECTION('',(0.,3.01823612255331E-16,1.)); #426204=DIRECTION('center_axis',(1.,0.,0.)); #426205=DIRECTION('ref_axis',(0.,0.,1.)); #426206=DIRECTION('center_axis',(-1.,1.0842021724855E-16,0.)); #426207=DIRECTION('ref_axis',(1.0842021724855E-16,1.,0.)); #426208=DIRECTION('',(1.0842021724855E-16,1.,0.)); #426209=DIRECTION('center_axis',(1.,0.,0.)); #426210=DIRECTION('ref_axis',(0.,0.,1.)); #426211=DIRECTION('',(0.,3.01823612255331E-16,1.)); #426212=DIRECTION('center_axis',(1.,0.,0.)); #426213=DIRECTION('ref_axis',(0.,0.,1.)); #426214=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #426215=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #426216=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #426217=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #426218=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #426219=DIRECTION('',(7.62674925284688E-31,-3.01823612255331E-16,-1.)); #426220=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #426221=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #426222=DIRECTION('',(1.0842021724855E-16,1.,0.)); #426223=DIRECTION('',(0.,0.,1.)); #426224=DIRECTION('center_axis',(-0.892634794614373,0.450780571280259,0.)); #426225=DIRECTION('ref_axis',(0.450780571280259,0.892634794614373,0.)); #426226=DIRECTION('',(0.450780571280259,0.892634794614373,0.)); #426227=DIRECTION('',(0.450780571280259,0.892634794614373,0.)); #426228=DIRECTION('',(0.,0.,1.)); #426229=DIRECTION('center_axis',(-1.,-1.35187303302432E-16,0.)); #426230=DIRECTION('ref_axis',(-1.35187303302432E-16,1.,0.)); #426231=DIRECTION('',(0.,0.,1.)); #426232=DIRECTION('',(9.55918588954724E-17,-0.707106781186549,0.707106781186546)); #426233=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #426234=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #426235=DIRECTION('',(9.55918588954724E-17,-0.707106781186549,-0.707106781186546)); #426236=DIRECTION('center_axis',(0.,-1.,0.)); #426237=DIRECTION('ref_axis',(0.,0.,1.)); #426238=DIRECTION('',(0.,0.,1.)); #426239=DIRECTION('',(-1.,0.,0.)); #426240=DIRECTION('',(1.,0.,0.)); #426241=DIRECTION('center_axis',(1.,-1.35187303302432E-16,0.)); #426242=DIRECTION('ref_axis',(-1.35187303302432E-16,-1.,0.)); #426243=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #426244=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #426245=DIRECTION('',(9.55918588954724E-17,0.707106781186549,-0.707106781186546)); #426246=DIRECTION('',(9.55918588954724E-17,0.707106781186549,0.707106781186546)); #426247=DIRECTION('center_axis',(0.,0.,-1.)); #426248=DIRECTION('ref_axis',(-1.,0.,0.)); #426249=DIRECTION('',(1.,1.58112816820803E-15,0.)); #426250=DIRECTION('',(-1.,0.,0.)); #426251=DIRECTION('center_axis',(0.,0.,-1.)); #426252=DIRECTION('ref_axis',(-1.,0.,0.)); #426253=DIRECTION('',(1.,1.58112816820803E-15,0.)); #426254=DIRECTION('',(1.,0.,0.)); #426255=DIRECTION('center_axis',(0.,-1.,2.25875452601147E-16)); #426256=DIRECTION('ref_axis',(-1.,0.,0.)); #426257=DIRECTION('',(-1.,0.,0.)); #426258=DIRECTION('',(1.,0.,0.)); #426259=DIRECTION('center_axis',(-1.,0.,0.)); #426260=DIRECTION('ref_axis',(0.,0.,1.)); #426261=DIRECTION('',(-1.,0.,0.)); #426262=DIRECTION('center_axis',(0.,-1.,3.01823612255331E-16)); #426263=DIRECTION('ref_axis',(0.,3.01823612255331E-16,1.)); #426264=DIRECTION('',(-1.,0.,0.)); #426265=DIRECTION('center_axis',(-1.,0.,0.)); #426266=DIRECTION('ref_axis',(0.,0.,1.)); #426267=DIRECTION('',(-1.,0.,0.)); #426268=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #426269=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #426270=DIRECTION('center_axis',(-1.,0.,0.)); #426271=DIRECTION('ref_axis',(0.,0.,1.)); #426272=DIRECTION('',(1.,0.,0.)); #426273=DIRECTION('center_axis',(0.,-1.,3.01823612255331E-16)); #426274=DIRECTION('ref_axis',(0.,3.01823612255331E-16,1.)); #426275=DIRECTION('',(-1.,0.,0.)); #426276=DIRECTION('center_axis',(-1.,0.,0.)); #426277=DIRECTION('ref_axis',(0.,0.,1.)); #426278=DIRECTION('',(1.,0.,0.)); #426279=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #426280=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #426281=DIRECTION('center_axis',(0.,0.707106781186546,-0.707106781186549)); #426282=DIRECTION('ref_axis',(1.,0.,0.)); #426283=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #426284=DIRECTION('ref_axis',(-1.,0.,0.)); #426285=DIRECTION('axis',(0.,0.,1.)); #426286=DIRECTION('refdir',(1.,0.,0.)); #426287=DIRECTION('center_axis',(0.708876752278901,-0.705332368517507,0.)); #426288=DIRECTION('ref_axis',(-0.705332368517507,-0.708876752278901,0.)); #426289=DIRECTION('',(-0.705332368517508,-0.708876752278901,0.)); #426290=DIRECTION('',(0.,0.,1.)); #426291=DIRECTION('',(-0.705332368517508,-0.708876752278901,0.)); #426292=DIRECTION('',(0.,0.,1.)); #426293=DIRECTION('center_axis',(1.,3.27971157176865E-15,0.)); #426294=DIRECTION('ref_axis',(3.27971157176865E-15,-1.,0.)); #426295=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #426296=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #426297=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #426298=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #426299=DIRECTION('',(7.37751108078545E-31,-2.91792413476968E-16,-1.)); #426300=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #426301=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #426302=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #426303=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #426304=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #426305=DIRECTION('center_axis',(1.,0.,0.)); #426306=DIRECTION('ref_axis',(0.,0.,1.)); #426307=DIRECTION('',(0.,2.91792413476968E-16,1.)); #426308=DIRECTION('center_axis',(1.,0.,0.)); #426309=DIRECTION('ref_axis',(0.,0.,1.)); #426310=DIRECTION('center_axis',(-1.,1.0842021724855E-16,0.)); #426311=DIRECTION('ref_axis',(1.0842021724855E-16,1.,0.)); #426312=DIRECTION('',(1.0842021724855E-16,1.,0.)); #426313=DIRECTION('center_axis',(1.,0.,0.)); #426314=DIRECTION('ref_axis',(0.,0.,1.)); #426315=DIRECTION('',(0.,2.91792413476968E-16,1.)); #426316=DIRECTION('center_axis',(1.,0.,0.)); #426317=DIRECTION('ref_axis',(0.,0.,1.)); #426318=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #426319=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #426320=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #426321=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #426322=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #426323=DIRECTION('',(7.37751108078545E-31,-2.91792413476968E-16,-1.)); #426324=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #426325=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #426326=DIRECTION('',(1.0842021724855E-16,1.,0.)); #426327=DIRECTION('',(0.,0.,1.)); #426328=DIRECTION('center_axis',(-0.705341229421806,0.708867935568915,0.)); #426329=DIRECTION('ref_axis',(0.708867935568915,0.705341229421806,0.)); #426330=DIRECTION('',(0.708867935568915,0.705341229421806,0.)); #426331=DIRECTION('',(0.708867935568915,0.705341229421806,0.)); #426332=DIRECTION('',(0.,0.,1.)); #426333=DIRECTION('center_axis',(-1.,-1.08149842641946E-16,0.)); #426334=DIRECTION('ref_axis',(-1.08149842641946E-16,1.,0.)); #426335=DIRECTION('',(0.,0.,1.)); #426336=DIRECTION('',(7.64734871163779E-17,-0.707106781186549,0.707106781186546)); #426337=DIRECTION('',(-1.08149842641946E-16,1.,0.)); #426338=DIRECTION('',(-1.08149842641946E-16,1.,0.)); #426339=DIRECTION('',(7.64734871163779E-17,-0.707106781186549,-0.707106781186546)); #426340=DIRECTION('center_axis',(0.,-1.,0.)); #426341=DIRECTION('ref_axis',(0.,0.,1.)); #426342=DIRECTION('',(0.,0.,1.)); #426343=DIRECTION('',(-1.,0.,0.)); #426344=DIRECTION('',(1.,0.,0.)); #426345=DIRECTION('center_axis',(1.,-1.08149842641946E-16,0.)); #426346=DIRECTION('ref_axis',(-1.08149842641946E-16,-1.,0.)); #426347=DIRECTION('',(-1.08149842641946E-16,-1.,0.)); #426348=DIRECTION('',(-1.08149842641946E-16,-1.,0.)); #426349=DIRECTION('',(7.64734871163779E-17,0.707106781186549,-0.707106781186546)); #426350=DIRECTION('',(7.64734871163779E-17,0.707106781186549,0.707106781186546)); #426351=DIRECTION('center_axis',(0.,0.,-1.)); #426352=DIRECTION('ref_axis',(-1.,0.,0.)); #426353=DIRECTION('',(1.,1.58112816820803E-15,0.)); #426354=DIRECTION('',(-1.,0.,0.)); #426355=DIRECTION('center_axis',(0.,0.,-1.)); #426356=DIRECTION('ref_axis',(-1.,0.,0.)); #426357=DIRECTION('',(1.,1.58112816820803E-15,0.)); #426358=DIRECTION('',(1.,0.,0.)); #426359=DIRECTION('center_axis',(0.,-1.,2.25875452601147E-16)); #426360=DIRECTION('ref_axis',(-1.,0.,0.)); #426361=DIRECTION('',(-1.,0.,0.)); #426362=DIRECTION('',(1.,0.,0.)); #426363=DIRECTION('center_axis',(-1.,0.,0.)); #426364=DIRECTION('ref_axis',(0.,0.,1.)); #426365=DIRECTION('',(-1.,0.,0.)); #426366=DIRECTION('center_axis',(0.,-1.,2.91792413476968E-16)); #426367=DIRECTION('ref_axis',(0.,2.91792413476968E-16,1.)); #426368=DIRECTION('',(-1.,0.,0.)); #426369=DIRECTION('center_axis',(-1.,0.,0.)); #426370=DIRECTION('ref_axis',(0.,0.,1.)); #426371=DIRECTION('',(-1.,0.,0.)); #426372=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #426373=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #426374=DIRECTION('center_axis',(-1.,0.,0.)); #426375=DIRECTION('ref_axis',(0.,0.,1.)); #426376=DIRECTION('',(1.,0.,0.)); #426377=DIRECTION('center_axis',(0.,-1.,2.91792413476968E-16)); #426378=DIRECTION('ref_axis',(0.,2.91792413476968E-16,1.)); #426379=DIRECTION('',(-1.,0.,0.)); #426380=DIRECTION('center_axis',(-1.,0.,0.)); #426381=DIRECTION('ref_axis',(0.,0.,1.)); #426382=DIRECTION('',(1.,0.,0.)); #426383=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #426384=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #426385=DIRECTION('center_axis',(0.,0.707106781186546,-0.707106781186549)); #426386=DIRECTION('ref_axis',(1.,0.,0.)); #426387=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #426388=DIRECTION('ref_axis',(-1.,0.,0.)); #426389=DIRECTION('axis',(0.,0.,1.)); #426390=DIRECTION('refdir',(1.,0.,0.)); #426391=DIRECTION('center_axis',(0.896212431824827,-0.44362515375329,0.)); #426392=DIRECTION('ref_axis',(-0.44362515375329,-0.896212431824827,0.)); #426393=DIRECTION('',(-0.44362515375329,-0.896212431824827,0.)); #426394=DIRECTION('',(0.,0.,1.)); #426395=DIRECTION('',(-0.44362515375329,-0.896212431824827,0.)); #426396=DIRECTION('',(0.,0.,1.)); #426397=DIRECTION('center_axis',(1.,3.27971157176865E-15,0.)); #426398=DIRECTION('ref_axis',(3.27971157176865E-15,-1.,0.)); #426399=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #426400=DIRECTION('',(3.27971157176865E-15,-1.,0.)); #426401=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #426402=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #426403=DIRECTION('',(7.37751108078545E-31,-2.91792413476968E-16,-1.)); #426404=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #426405=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #426406=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #426407=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #426408=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #426409=DIRECTION('center_axis',(1.,0.,0.)); #426410=DIRECTION('ref_axis',(0.,0.,1.)); #426411=DIRECTION('',(0.,2.91792413476968E-16,1.)); #426412=DIRECTION('center_axis',(1.,0.,0.)); #426413=DIRECTION('ref_axis',(0.,0.,1.)); #426414=DIRECTION('center_axis',(-1.,1.0842021724855E-16,0.)); #426415=DIRECTION('ref_axis',(1.0842021724855E-16,1.,0.)); #426416=DIRECTION('',(1.0842021724855E-16,1.,0.)); #426417=DIRECTION('center_axis',(1.,0.,0.)); #426418=DIRECTION('ref_axis',(0.,0.,1.)); #426419=DIRECTION('',(0.,2.91792413476968E-16,1.)); #426420=DIRECTION('center_axis',(1.,0.,0.)); #426421=DIRECTION('ref_axis',(0.,0.,1.)); #426422=DIRECTION('',(0.,1.,-2.25875452601147E-16)); #426423=DIRECTION('',(0.,-2.25875452601147E-16,-1.)); #426424=DIRECTION('',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #426425=DIRECTION('center_axis',(-1.,-2.48462997861262E-15,-1.27549300219432E-32)); #426426=DIRECTION('ref_axis',(2.48462997861262E-15,-1.,2.25875452601147E-16)); #426427=DIRECTION('',(7.37751108078545E-31,-2.91792413476968E-16,-1.)); #426428=DIRECTION('center_axis',(1.,2.48462997861262E-15,1.27549300219432E-32)); #426429=DIRECTION('ref_axis',(-2.48462997861262E-15,1.,-2.25875452601147E-16)); #426430=DIRECTION('',(1.0842021724855E-16,1.,0.)); #426431=DIRECTION('',(0.,0.,1.)); #426432=DIRECTION('center_axis',(-0.892634794614373,0.450780571280259,0.)); #426433=DIRECTION('ref_axis',(0.450780571280259,0.892634794614373,0.)); #426434=DIRECTION('',(0.450780571280259,0.892634794614373,0.)); #426435=DIRECTION('',(0.450780571280259,0.892634794614373,0.)); #426436=DIRECTION('',(0.,0.,1.)); #426437=DIRECTION('center_axis',(-1.,-1.35187303302432E-16,0.)); #426438=DIRECTION('ref_axis',(-1.35187303302432E-16,1.,0.)); #426439=DIRECTION('',(0.,0.,1.)); #426440=DIRECTION('',(9.55918588954724E-17,-0.707106781186549,0.707106781186546)); #426441=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #426442=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #426443=DIRECTION('',(9.55918588954724E-17,-0.707106781186549,-0.707106781186546)); #426444=DIRECTION('center_axis',(0.,-1.,0.)); #426445=DIRECTION('ref_axis',(0.,0.,1.)); #426446=DIRECTION('',(0.,0.,1.)); #426447=DIRECTION('',(-1.,0.,0.)); #426448=DIRECTION('',(1.,0.,0.)); #426449=DIRECTION('center_axis',(1.,-1.35187303302432E-16,0.)); #426450=DIRECTION('ref_axis',(-1.35187303302432E-16,-1.,0.)); #426451=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #426452=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #426453=DIRECTION('',(9.55918588954724E-17,0.707106781186549,-0.707106781186546)); #426454=DIRECTION('',(9.55918588954724E-17,0.707106781186549,0.707106781186546)); #426455=DIRECTION('center_axis',(0.,0.,-1.)); #426456=DIRECTION('ref_axis',(-1.,0.,0.)); #426457=DIRECTION('',(1.,1.58112816820803E-15,0.)); #426458=DIRECTION('',(-1.,0.,0.)); #426459=DIRECTION('center_axis',(0.,0.,-1.)); #426460=DIRECTION('ref_axis',(-1.,0.,0.)); #426461=DIRECTION('',(1.,1.58112816820803E-15,0.)); #426462=DIRECTION('',(1.,0.,0.)); #426463=DIRECTION('center_axis',(0.,-1.,2.25875452601147E-16)); #426464=DIRECTION('ref_axis',(-1.,0.,0.)); #426465=DIRECTION('',(-1.,0.,0.)); #426466=DIRECTION('',(1.,0.,0.)); #426467=DIRECTION('center_axis',(-1.,0.,0.)); #426468=DIRECTION('ref_axis',(0.,0.,1.)); #426469=DIRECTION('',(-1.,0.,0.)); #426470=DIRECTION('center_axis',(0.,-1.,2.91792413476968E-16)); #426471=DIRECTION('ref_axis',(0.,2.91792413476968E-16,1.)); #426472=DIRECTION('',(-1.,0.,0.)); #426473=DIRECTION('center_axis',(-1.,0.,0.)); #426474=DIRECTION('ref_axis',(0.,0.,1.)); #426475=DIRECTION('',(-1.,0.,0.)); #426476=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #426477=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #426478=DIRECTION('center_axis',(-1.,0.,0.)); #426479=DIRECTION('ref_axis',(0.,0.,1.)); #426480=DIRECTION('',(1.,0.,0.)); #426481=DIRECTION('center_axis',(0.,-1.,2.91792413476968E-16)); #426482=DIRECTION('ref_axis',(0.,2.91792413476968E-16,1.)); #426483=DIRECTION('',(-1.,0.,0.)); #426484=DIRECTION('center_axis',(-1.,0.,0.)); #426485=DIRECTION('ref_axis',(0.,0.,1.)); #426486=DIRECTION('',(1.,0.,0.)); #426487=DIRECTION('center_axis',(0.,2.25875452601147E-16,1.)); #426488=DIRECTION('ref_axis',(0.,1.,-2.25875452601147E-16)); #426489=DIRECTION('center_axis',(0.,0.707106781186546,-0.707106781186549)); #426490=DIRECTION('ref_axis',(1.,0.,0.)); #426491=DIRECTION('center_axis',(0.,-0.707106781186546,-0.707106781186549)); #426492=DIRECTION('ref_axis',(-1.,0.,0.)); #426493=DIRECTION('axis',(0.,0.,1.)); #426494=DIRECTION('refdir',(1.,0.,0.)); #426495=DIRECTION('center_axis',(0.997558967141627,0.,0.0698291276999173)); #426496=DIRECTION('ref_axis',(0.0698291276999173,0.,-0.997558967141627)); #426497=DIRECTION('',(0.0698291276999173,0.,-0.997558967141627)); #426498=DIRECTION('',(0.,1.,0.)); #426499=DIRECTION('',(0.0698291276999173,0.,-0.997558967141627)); #426500=DIRECTION('',(0.,1.,0.)); #426501=DIRECTION('center_axis',(0.,0.,-1.)); #426502=DIRECTION('ref_axis',(-1.,0.,0.)); #426503=DIRECTION('',(-1.,0.,0.)); #426504=DIRECTION('',(0.,1.,0.)); #426505=DIRECTION('',(-1.,0.,0.)); #426506=DIRECTION('center_axis',(-0.997558967141627,0.,0.069829127699917)); #426507=DIRECTION('ref_axis',(0.069829127699917,0.,0.997558967141627)); #426508=DIRECTION('',(0.069829127699917,0.,0.997558967141627)); #426509=DIRECTION('',(0.,1.,0.)); #426510=DIRECTION('',(0.069829127699917,0.,0.997558967141627)); #426511=DIRECTION('center_axis',(-5.42101086242751E-15,0.,-1.)); #426512=DIRECTION('ref_axis',(-1.,0.,5.42101086242751E-15)); #426513=DIRECTION('',(-1.,0.,5.42101086242751E-15)); #426514=DIRECTION('',(0.,1.,0.)); #426515=DIRECTION('',(-1.,0.,5.42101086242751E-15)); #426516=DIRECTION('center_axis',(0.,1.,0.)); #426517=DIRECTION('ref_axis',(0.,0.,1.)); #426518=DIRECTION('center_axis',(0.,-1.,0.)); #426519=DIRECTION('ref_axis',(-1.,0.,0.)); #426520=DIRECTION('',(0.,1.,0.)); #426521=DIRECTION('center_axis',(0.,-1.,0.)); #426522=DIRECTION('ref_axis',(-1.,0.,0.)); #426523=DIRECTION('center_axis',(0.956304755963036,0.,-0.292371704722736)); #426524=DIRECTION('ref_axis',(-0.292371704722736,0.,-0.956304755963036)); #426525=DIRECTION('',(-0.292371704722736,0.,-0.956304755963036)); #426526=DIRECTION('',(0.,1.,0.)); #426527=DIRECTION('',(-0.292371704722736,0.,-0.956304755963036)); #426528=DIRECTION('center_axis',(1.,0.,1.2513388369406E-15)); #426529=DIRECTION('ref_axis',(1.2513388369406E-15,0.,-1.)); #426530=DIRECTION('',(1.2513388369406E-15,0.,-1.)); #426531=DIRECTION('',(0.,1.,0.)); #426532=DIRECTION('',(1.2513388369406E-15,0.,-1.)); #426533=DIRECTION('center_axis',(1.44560289664736E-14,0.,1.)); #426534=DIRECTION('ref_axis',(1.,0.,-1.44560289664736E-14)); #426535=DIRECTION('',(1.,0.,-1.44560289664736E-14)); #426536=DIRECTION('',(0.,1.,0.)); #426537=DIRECTION('',(1.,0.,-1.44560289664736E-14)); #426538=DIRECTION('center_axis',(0.,1.,0.)); #426539=DIRECTION('ref_axis',(0.,0.,1.)); #426540=DIRECTION('center_axis',(0.,1.,0.)); #426541=DIRECTION('ref_axis',(-1.,0.,0.)); #426542=DIRECTION('',(0.,1.,0.)); #426543=DIRECTION('center_axis',(0.,1.,0.)); #426544=DIRECTION('ref_axis',(-1.,0.,0.)); #426545=DIRECTION('center_axis',(1.,0.,-2.68209620251688E-16)); #426546=DIRECTION('ref_axis',(-2.68209620251688E-16,0.,-1.)); #426547=DIRECTION('',(-2.68209620251688E-16,0.,-1.)); #426548=DIRECTION('',(0.,1.,0.)); #426549=DIRECTION('',(-2.68209620251688E-16,0.,-1.)); #426550=DIRECTION('center_axis',(0.,1.,0.)); #426551=DIRECTION('ref_axis',(0.,0.,1.)); #426552=DIRECTION('center_axis',(0.,1.,0.)); #426553=DIRECTION('ref_axis',(-1.,0.,0.)); #426554=DIRECTION('',(0.,1.,0.)); #426555=DIRECTION('center_axis',(0.,1.,0.)); #426556=DIRECTION('ref_axis',(-1.,0.,0.)); #426557=DIRECTION('center_axis',(0.,1.,0.)); #426558=DIRECTION('ref_axis',(0.,0.,1.)); #426559=DIRECTION('center_axis',(0.,-1.,0.)); #426560=DIRECTION('ref_axis',(1.,0.,0.)); #426561=DIRECTION('',(0.,1.,0.)); #426562=DIRECTION('center_axis',(0.,-1.,0.)); #426563=DIRECTION('ref_axis',(1.,0.,0.)); #426564=DIRECTION('center_axis',(1.,0.,0.)); #426565=DIRECTION('ref_axis',(0.,0.,-1.)); #426566=DIRECTION('',(0.,0.,-1.)); #426567=DIRECTION('',(0.,1.,0.)); #426568=DIRECTION('',(0.,0.,-1.)); #426569=DIRECTION('center_axis',(0.,1.,0.)); #426570=DIRECTION('ref_axis',(0.,0.,1.)); #426571=DIRECTION('center_axis',(0.,1.,0.)); #426572=DIRECTION('ref_axis',(-1.,0.,0.)); #426573=DIRECTION('',(0.,1.,0.)); #426574=DIRECTION('center_axis',(0.,1.,0.)); #426575=DIRECTION('ref_axis',(-1.,0.,0.)); #426576=DIRECTION('center_axis',(1.,0.,1.58689772421089E-14)); #426577=DIRECTION('ref_axis',(1.58689772421089E-14,0.,-1.)); #426578=DIRECTION('',(1.58689772421089E-14,0.,-1.)); #426579=DIRECTION('',(0.,1.,0.)); #426580=DIRECTION('',(1.58689772421089E-14,0.,-1.)); #426581=DIRECTION('center_axis',(0.,1.,0.)); #426582=DIRECTION('ref_axis',(0.,0.,1.)); #426583=DIRECTION('center_axis',(0.,1.,0.)); #426584=DIRECTION('ref_axis',(-1.,0.,0.)); #426585=DIRECTION('',(0.,1.,0.)); #426586=DIRECTION('center_axis',(0.,1.,0.)); #426587=DIRECTION('ref_axis',(-1.,0.,0.)); #426588=DIRECTION('center_axis',(1.,0.,-8.35954740612944E-15)); #426589=DIRECTION('ref_axis',(-8.35954740612944E-15,0.,-1.)); #426590=DIRECTION('',(-8.35954740612944E-15,0.,-1.)); #426591=DIRECTION('',(0.,1.,0.)); #426592=DIRECTION('',(-8.35954740612944E-15,0.,-1.)); #426593=DIRECTION('center_axis',(0.,0.,-1.)); #426594=DIRECTION('ref_axis',(-1.,0.,0.)); #426595=DIRECTION('',(-1.,0.,0.)); #426596=DIRECTION('',(0.,1.,0.)); #426597=DIRECTION('',(-1.,0.,0.)); #426598=DIRECTION('center_axis',(1.,0.,0.)); #426599=DIRECTION('ref_axis',(0.,0.,-1.)); #426600=DIRECTION('',(0.,0.,-1.)); #426601=DIRECTION('',(0.,1.,0.)); #426602=DIRECTION('',(0.,0.,-1.)); #426603=DIRECTION('center_axis',(0.,0.,1.)); #426604=DIRECTION('ref_axis',(1.,0.,0.)); #426605=DIRECTION('',(1.,0.,0.)); #426606=DIRECTION('',(0.,1.,0.)); #426607=DIRECTION('',(1.,0.,0.)); #426608=DIRECTION('center_axis',(-1.,0.,0.)); #426609=DIRECTION('ref_axis',(0.,0.,1.)); #426610=DIRECTION('',(0.,0.,1.)); #426611=DIRECTION('',(0.,1.,0.)); #426612=DIRECTION('',(0.,0.,1.)); #426613=DIRECTION('center_axis',(0.,0.,-1.)); #426614=DIRECTION('ref_axis',(-1.,0.,0.)); #426615=DIRECTION('',(-1.,0.,0.)); #426616=DIRECTION('',(0.,1.,0.)); #426617=DIRECTION('',(-1.,0.,0.)); #426618=DIRECTION('center_axis',(-1.,0.,-8.35954740612944E-15)); #426619=DIRECTION('ref_axis',(-8.35954740612944E-15,0.,1.)); #426620=DIRECTION('',(-8.35954740612944E-15,0.,1.)); #426621=DIRECTION('',(0.,1.,0.)); #426622=DIRECTION('',(-8.35954740612944E-15,0.,1.)); #426623=DIRECTION('center_axis',(0.,1.,0.)); #426624=DIRECTION('ref_axis',(0.,0.,1.)); #426625=DIRECTION('center_axis',(0.,1.,0.)); #426626=DIRECTION('ref_axis',(1.,0.,0.)); #426627=DIRECTION('',(0.,1.,0.)); #426628=DIRECTION('center_axis',(0.,1.,0.)); #426629=DIRECTION('ref_axis',(1.,0.,0.)); #426630=DIRECTION('center_axis',(-1.,0.,1.58689772421089E-14)); #426631=DIRECTION('ref_axis',(1.58689772421089E-14,0.,1.)); #426632=DIRECTION('',(1.58689772421089E-14,0.,1.)); #426633=DIRECTION('',(0.,1.,0.)); #426634=DIRECTION('',(1.58689772421089E-14,0.,1.)); #426635=DIRECTION('center_axis',(0.,1.,0.)); #426636=DIRECTION('ref_axis',(0.,0.,1.)); #426637=DIRECTION('center_axis',(0.,1.,0.)); #426638=DIRECTION('ref_axis',(1.,0.,0.)); #426639=DIRECTION('',(0.,1.,0.)); #426640=DIRECTION('center_axis',(0.,1.,0.)); #426641=DIRECTION('ref_axis',(1.,0.,0.)); #426642=DIRECTION('center_axis',(-1.,0.,0.)); #426643=DIRECTION('ref_axis',(0.,0.,1.)); #426644=DIRECTION('',(0.,0.,1.)); #426645=DIRECTION('',(0.,1.,0.)); #426646=DIRECTION('',(0.,0.,1.)); #426647=DIRECTION('center_axis',(0.,1.,0.)); #426648=DIRECTION('ref_axis',(0.,0.,1.)); #426649=DIRECTION('center_axis',(0.,-1.,0.)); #426650=DIRECTION('ref_axis',(-1.,0.,0.)); #426651=DIRECTION('',(0.,1.,0.)); #426652=DIRECTION('center_axis',(0.,-1.,0.)); #426653=DIRECTION('ref_axis',(-1.,0.,0.)); #426654=DIRECTION('center_axis',(0.,1.,0.)); #426655=DIRECTION('ref_axis',(0.,0.,1.)); #426656=DIRECTION('center_axis',(0.,1.,0.)); #426657=DIRECTION('ref_axis',(1.,0.,0.)); #426658=DIRECTION('',(0.,1.,0.)); #426659=DIRECTION('center_axis',(0.,1.,0.)); #426660=DIRECTION('ref_axis',(1.,0.,0.)); #426661=DIRECTION('center_axis',(-1.,0.,-2.68209620251688E-16)); #426662=DIRECTION('ref_axis',(-2.68209620251688E-16,0.,1.)); #426663=DIRECTION('',(-2.68209620251688E-16,0.,1.)); #426664=DIRECTION('',(0.,1.,0.)); #426665=DIRECTION('',(-2.68209620251688E-16,0.,1.)); #426666=DIRECTION('center_axis',(0.,1.,0.)); #426667=DIRECTION('ref_axis',(0.,0.,1.)); #426668=DIRECTION('center_axis',(0.,1.,0.)); #426669=DIRECTION('ref_axis',(1.,0.,0.)); #426670=DIRECTION('',(0.,1.,0.)); #426671=DIRECTION('center_axis',(0.,1.,0.)); #426672=DIRECTION('ref_axis',(1.,0.,0.)); #426673=DIRECTION('center_axis',(-1.44560289664736E-14,0.,1.)); #426674=DIRECTION('ref_axis',(1.,0.,1.44560289664736E-14)); #426675=DIRECTION('',(1.,0.,1.44560289664736E-14)); #426676=DIRECTION('',(0.,1.,0.)); #426677=DIRECTION('',(1.,0.,1.44560289664736E-14)); #426678=DIRECTION('center_axis',(-1.,0.,1.2513388369406E-15)); #426679=DIRECTION('ref_axis',(1.2513388369406E-15,0.,1.)); #426680=DIRECTION('',(1.2513388369406E-15,0.,1.)); #426681=DIRECTION('',(0.,1.,0.)); #426682=DIRECTION('',(1.2513388369406E-15,0.,1.)); #426683=DIRECTION('center_axis',(-0.956304755963036,0.,-0.292371704722736)); #426684=DIRECTION('ref_axis',(-0.292371704722736,0.,0.956304755963036)); #426685=DIRECTION('',(-0.292371704722736,0.,0.956304755963036)); #426686=DIRECTION('',(0.,1.,0.)); #426687=DIRECTION('',(-0.292371704722736,0.,0.956304755963036)); #426688=DIRECTION('center_axis',(0.,1.,0.)); #426689=DIRECTION('ref_axis',(0.,0.,1.)); #426690=DIRECTION('center_axis',(0.,-1.,0.)); #426691=DIRECTION('ref_axis',(-1.,0.,0.)); #426692=DIRECTION('',(0.,1.,0.)); #426693=DIRECTION('center_axis',(0.,-1.,0.)); #426694=DIRECTION('ref_axis',(-1.,0.,0.)); #426695=DIRECTION('center_axis',(5.42101086242751E-15,0.,-1.)); #426696=DIRECTION('ref_axis',(-1.,0.,-5.42101086242751E-15)); #426697=DIRECTION('',(-1.,0.,-5.42101086242751E-15)); #426698=DIRECTION('',(-1.,0.,-5.42101086242751E-15)); #426699=DIRECTION('center_axis',(0.,-1.,0.)); #426700=DIRECTION('ref_axis',(0.,0.,-1.)); #426701=DIRECTION('center_axis',(0.,-1.,0.)); #426702=DIRECTION('ref_axis',(0.,0.,-1.)); #426703=DIRECTION('axis',(0.,0.,1.)); #426704=DIRECTION('refdir',(1.,0.,0.)); #426705=DIRECTION('center_axis',(-1.,0.,-1.61821219773956E-16)); #426706=DIRECTION('ref_axis',(0.,-1.,0.)); #426707=DIRECTION('',(0.,-1.,0.)); #426708=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #426709=DIRECTION('',(0.,1.,0.)); #426710=DIRECTION('',(-1.61821219773956E-16,0.,1.)); #426711=DIRECTION('center_axis',(-1.,0.,-1.61821219773956E-16)); #426712=DIRECTION('ref_axis',(0.,-1.,0.)); #426713=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #426714=DIRECTION('',(0.,-1.,0.)); #426715=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #426716=DIRECTION('',(0.,1.,0.)); #426717=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #426718=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426719=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #426720=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426721=DIRECTION('',(-0.901531566476721,-0.432713340037062,1.05955824708761E-16)); #426722=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #426723=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426724=DIRECTION('',(-4.52510150421121E-16,1.,5.31829255456175E-32)); #426725=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #426726=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426727=DIRECTION('',(0.901531566476721,-0.432713340037061,-1.05955824708761E-16)); #426728=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #426729=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426730=DIRECTION('',(0.,-1.,0.)); #426731=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #426732=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426733=DIRECTION('',(0.901531566476721,0.432713340037062,-1.05955824708761E-16)); #426734=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #426735=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426736=DIRECTION('',(-9.11094262592861E-17,-1.,1.07079715863659E-32)); #426737=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #426738=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426739=DIRECTION('',(-0.901531566476721,0.432713340037062,1.05955824708761E-16)); #426740=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #426741=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426742=DIRECTION('',(0.,-1.,0.)); #426743=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #426744=DIRECTION('',(0.,-1.,0.)); #426745=DIRECTION('',(1.,-2.15761626365275E-16,-1.17528690784337E-16)); #426746=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #426747=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426748=DIRECTION('',(-1.58243987929475E-16,-1.,1.85982087258435E-32)); #426749=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #426750=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #426751=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426752=DIRECTION('',(-1.97127667724637E-16,-1.,2.31681567050463E-32)); #426753=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #426754=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426755=DIRECTION('',(-1.,0.,1.17528690784337E-16)); #426756=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #426757=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426758=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #426759=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426760=DIRECTION('',(0.,-1.,0.)); #426761=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #426762=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426763=DIRECTION('',(1.,-5.70632722360792E-16,-1.17528690784337E-16)); #426764=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #426765=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426766=DIRECTION('',(0.706312443883352,-0.707900227161658,-8.30119768142955E-17)); #426767=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #426768=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426769=DIRECTION('',(1.,-6.78686805937718E-16,-1.17528690784337E-16)); #426770=DIRECTION('',(-1.81867846984379E-16,-1.,2.13746899518401E-32)); #426771=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #426772=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426773=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #426774=DIRECTION('',(0.,-1.,0.)); #426775=DIRECTION('',(-1.,0.,0.)); #426776=DIRECTION('',(0.,1.,0.)); #426777=DIRECTION('',(0.,-1.,0.)); #426778=DIRECTION('',(0.,1.,0.)); #426779=DIRECTION('',(1.,8.470329472543E-17,0.)); #426780=DIRECTION('',(0.,-1.,0.)); #426781=DIRECTION('',(0.,-1.,0.)); #426782=DIRECTION('',(0.,-1.,0.)); #426783=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #426784=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426785=DIRECTION('',(0.901531566476721,0.432713340037062,-1.05955824708761E-16)); #426786=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #426787=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426788=DIRECTION('',(0.,1.,0.)); #426789=DIRECTION('',(-1.,0.,1.17528690784337E-16)); #426790=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #426791=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #426792=DIRECTION('',(-1.81867846984379E-16,-1.,2.13746899518401E-32)); #426793=DIRECTION('',(-1.,6.78686805937718E-16,1.17528690784337E-16)); #426794=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #426795=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426796=DIRECTION('',(-0.706312443883352,0.707900227161658,8.30119768142955E-17)); #426797=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #426798=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426799=DIRECTION('',(-1.,5.70632722360792E-16,1.17528690784337E-16)); #426800=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #426801=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426802=DIRECTION('',(0.,-1.,0.)); #426803=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #426804=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426805=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #426806=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426807=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #426808=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #426809=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426810=DIRECTION('',(1.97127667724637E-16,1.,-2.31681567050463E-32)); #426811=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #426812=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426813=DIRECTION('',(-1.,0.,1.17528690784337E-16)); #426814=DIRECTION('',(1.58243987929475E-16,1.,-1.85982087258435E-32)); #426815=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #426816=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426817=DIRECTION('',(-1.,2.15761626365275E-16,1.17528690784337E-16)); #426818=DIRECTION('',(0.,-1.,0.)); #426819=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #426820=DIRECTION('',(0.,1.,0.)); #426821=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #426822=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426823=DIRECTION('',(0.901531566476721,-0.432713340037062,-1.05955824708761E-16)); #426824=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #426825=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #426826=DIRECTION('',(9.11094262592861E-17,1.,-1.07079715863659E-32)); #426827=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #426828=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426829=DIRECTION('',(-0.901531566476721,-0.432713340037062,1.05955824708761E-16)); #426830=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #426831=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426832=DIRECTION('',(0.,1.,0.)); #426833=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #426834=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426835=DIRECTION('',(-0.901531566476721,0.432713340037061,1.05955824708761E-16)); #426836=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #426837=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426838=DIRECTION('',(4.52510150421121E-16,-1.,-5.31829255456175E-32)); #426839=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #426840=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #426841=DIRECTION('',(0.,1.,0.)); #426842=DIRECTION('',(-1.,0.,0.)); #426843=DIRECTION('',(0.,-1.,0.)); #426844=DIRECTION('',(0.,-1.,0.)); #426845=DIRECTION('',(0.,-1.,0.)); #426846=DIRECTION('',(1.,8.470329472543E-17,0.)); #426847=DIRECTION('',(0.,1.,0.)); #426848=DIRECTION('',(0.,-1.,0.)); #426849=DIRECTION('center_axis',(-1.,0.,1.17528690784337E-16)); #426850=DIRECTION('ref_axis',(0.,-1.,0.)); #426851=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #426852=DIRECTION('',(1.17528690784337E-16,0.,1.)); #426853=DIRECTION('center_axis',(-1.,0.,1.17528690784337E-16)); #426854=DIRECTION('ref_axis',(0.,-1.,0.)); #426855=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #426856=DIRECTION('',(1.17528690784337E-16,0.,1.)); #426857=DIRECTION('',(8.31053342375812E-17,0.707106781186548,0.707106781186547)); #426858=DIRECTION('center_axis',(0.,0.,1.)); #426859=DIRECTION('ref_axis',(1.,0.,0.)); #426860=DIRECTION('center_axis',(0.,0.,-1.)); #426861=DIRECTION('ref_axis',(1.,0.,0.)); #426862=DIRECTION('',(0.,1.92747052886312E-15,1.)); #426863=DIRECTION('',(0.,1.92747052886312E-15,1.)); #426864=DIRECTION('center_axis',(0.,0.,-1.)); #426865=DIRECTION('ref_axis',(1.,0.,0.)); #426866=DIRECTION('',(0.,0.,1.)); #426867=DIRECTION('center_axis',(0.,0.,1.)); #426868=DIRECTION('ref_axis',(1.,0.,0.)); #426869=DIRECTION('center_axis',(0.,0.,-1.)); #426870=DIRECTION('ref_axis',(1.,0.,0.)); #426871=DIRECTION('',(0.,0.,1.)); #426872=DIRECTION('',(0.,0.,1.)); #426873=DIRECTION('center_axis',(0.,0.,-1.)); #426874=DIRECTION('ref_axis',(1.,0.,0.)); #426875=DIRECTION('',(0.,0.,1.)); #426876=DIRECTION('center_axis',(0.,0.,-1.)); #426877=DIRECTION('ref_axis',(-1.,0.,0.)); #426878=DIRECTION('center_axis',(0.,0.,-1.)); #426879=DIRECTION('ref_axis',(-1.,0.,0.)); #426880=DIRECTION('',(1.,8.31258950216367E-17,0.)); #426881=DIRECTION('',(1.,0.,0.)); #426882=DIRECTION('center_axis',(0.,0.,-1.)); #426883=DIRECTION('ref_axis',(1.,0.,0.)); #426884=DIRECTION('',(1.,8.31258950216367E-17,0.)); #426885=DIRECTION('',(1.,0.,0.)); #426886=DIRECTION('',(0.,-1.,0.)); #426887=DIRECTION('',(-1.,0.,0.)); #426888=DIRECTION('',(0.,-1.,0.)); #426889=DIRECTION('',(1.,0.,0.)); #426890=DIRECTION('',(0.,-1.,0.)); #426891=DIRECTION('',(0.,-1.,0.)); #426892=DIRECTION('',(0.,-1.,0.)); #426893=DIRECTION('',(1.,0.,0.)); #426894=DIRECTION('',(0.,1.,0.)); #426895=DIRECTION('',(0.,-1.,0.)); #426896=DIRECTION('',(0.,1.,0.)); #426897=DIRECTION('',(-1.,-8.470329472543E-17,0.)); #426898=DIRECTION('',(1.,0.,0.)); #426899=DIRECTION('',(0.,1.,0.)); #426900=DIRECTION('',(0.,-1.,0.)); #426901=DIRECTION('',(0.,1.,0.)); #426902=DIRECTION('',(-1.,8.470329472543E-17,0.)); #426903=DIRECTION('',(0.,-1.,0.)); #426904=DIRECTION('',(0.,-1.,0.)); #426905=DIRECTION('',(0.,-1.,0.)); #426906=DIRECTION('center_axis',(1.,0.,0.)); #426907=DIRECTION('ref_axis',(0.,0.,-1.)); #426908=DIRECTION('',(0.,0.,-1.)); #426909=DIRECTION('center_axis',(1.,0.,0.)); #426910=DIRECTION('ref_axis',(0.,0.,-1.)); #426911=DIRECTION('',(0.,-8.70845118462252E-16,-1.)); #426912=DIRECTION('center_axis',(1.,0.,0.)); #426913=DIRECTION('ref_axis',(0.,1.,0.)); #426914=DIRECTION('center_axis',(-1.,0.,0.)); #426915=DIRECTION('ref_axis',(0.,-1.,0.)); #426916=DIRECTION('',(0.,0.,-1.)); #426917=DIRECTION('center_axis',(1.,0.,0.)); #426918=DIRECTION('ref_axis',(0.,1.,0.)); #426919=DIRECTION('',(0.,0.434612234054019,-0.90061768026759)); #426920=DIRECTION('center_axis',(1.,0.,0.)); #426921=DIRECTION('ref_axis',(0.,1.,0.)); #426922=DIRECTION('',(0.,1.,0.)); #426923=DIRECTION('center_axis',(1.,0.,0.)); #426924=DIRECTION('ref_axis',(0.,1.,0.)); #426925=DIRECTION('',(0.,0.434612234054018,0.90061768026759)); #426926=DIRECTION('center_axis',(1.,0.,0.)); #426927=DIRECTION('ref_axis',(0.,-1.,0.)); #426928=DIRECTION('',(0.,9.63735264431559E-16,1.)); #426929=DIRECTION('',(0.,-0.697742347072106,0.716348809660705)); #426930=DIRECTION('',(0.,0.,1.)); #426931=DIRECTION('center_axis',(1.,0.,0.)); #426932=DIRECTION('ref_axis',(0.,1.,0.)); #426933=DIRECTION('center_axis',(-1.,0.,0.)); #426934=DIRECTION('ref_axis',(0.,1.,0.)); #426935=DIRECTION('',(0.,0.,-1.)); #426936=DIRECTION('',(0.,-1.,0.)); #426937=DIRECTION('',(0.,0.,-1.)); #426938=DIRECTION('',(0.,-1.,0.)); #426939=DIRECTION('',(0.,-2.53581814682099E-32,1.)); #426940=DIRECTION('center_axis',(1.,0.,0.)); #426941=DIRECTION('ref_axis',(0.,0.,-1.)); #426942=DIRECTION('',(0.,-1.04905870583987E-16,1.)); #426943=DIRECTION('center_axis',(-1.,0.,0.)); #426944=DIRECTION('ref_axis',(0.,1.,0.)); #426945=DIRECTION('',(0.,-0.338875305623472,0.940831295843521)); #426946=DIRECTION('center_axis',(-1.,0.,0.)); #426947=DIRECTION('ref_axis',(0.,1.,0.)); #426948=DIRECTION('',(0.,-1.,-1.87378280388019E-15)); #426949=DIRECTION('center_axis',(-1.,0.,0.)); #426950=DIRECTION('ref_axis',(0.,1.,0.)); #426951=DIRECTION('',(0.,-0.338875305623466,-0.940831295843523)); #426952=DIRECTION('center_axis',(-1.,0.,0.)); #426953=DIRECTION('ref_axis',(0.,1.,0.)); #426954=DIRECTION('',(0.,0.,-1.)); #426955=DIRECTION('center_axis',(-1.,0.,0.)); #426956=DIRECTION('ref_axis',(0.,-1.,0.)); #426957=DIRECTION('center_axis',(1.,0.,0.)); #426958=DIRECTION('ref_axis',(0.,1.,0.)); #426959=DIRECTION('center_axis',(-1.,0.,0.)); #426960=DIRECTION('ref_axis',(0.,1.,0.)); #426961=DIRECTION('',(0.,-1.,1.41725774181112E-15)); #426962=DIRECTION('center_axis',(-1.,0.,0.)); #426963=DIRECTION('ref_axis',(0.,1.,0.)); #426964=DIRECTION('',(0.,-1.80700362080917E-17,-1.)); #426965=DIRECTION('center_axis',(1.,0.,0.)); #426966=DIRECTION('ref_axis',(0.,0.,-1.)); #426967=DIRECTION('',(0.,1.0426138798441E-32,-1.)); #426968=DIRECTION('',(0.,-1.,0.)); #426969=DIRECTION('',(6.12323399573677E-17,0.,-1.)); #426970=DIRECTION('',(1.32219777132379E-16,1.,8.09612634245719E-33)); #426971=DIRECTION('',(6.12323399573677E-17,0.,-1.)); #426972=DIRECTION('',(1.32219777132379E-16,1.,8.09612634245719E-33)); #426973=DIRECTION('center_axis',(6.78686805937718E-16,-1.,-7.97651717544631E-32)); #426974=DIRECTION('ref_axis',(1.,6.78686805937718E-16,0.)); #426975=DIRECTION('',(1.,6.78686805937718E-16,-1.17528690784337E-16)); #426976=DIRECTION('center_axis',(-5.8860153673351E-32,-1.,-9.52482186350414E-48)); #426977=DIRECTION('ref_axis',(1.,-5.8860153673351E-32,0.)); #426978=DIRECTION('',(0.866025403784439,6.78686805937718E-16,0.5)); #426979=DIRECTION('',(0.5,0.,-0.866025403784439)); #426980=DIRECTION('',(-0.866025403784439,-6.78686805937718E-16,-0.5)); #426981=DIRECTION('center_axis',(5.8860153673351E-32,1.,9.52482186350414E-48)); #426982=DIRECTION('ref_axis',(-1.61821219773956E-16,1.58006247289443E-62, 1.)); #426983=DIRECTION('',(-1.,-6.78686805937718E-16,1.17528690784337E-16)); #426984=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #426985=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #426986=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #426987=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #426988=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #426989=DIRECTION('',(-1.81867846984379E-16,1.,-2.13746899518401E-32)); #426990=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #426991=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #426992=DIRECTION('',(0.706312443883352,0.707900227161658,1.14296341210728E-16)); #426993=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #426994=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #426995=DIRECTION('',(1.,5.70632722360792E-16,1.61821219773956E-16)); #426996=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #426997=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #426998=DIRECTION('',(0.,-1.,0.)); #426999=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #427000=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #427001=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #427002=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #427003=DIRECTION('',(1.,0.,1.61821219773956E-16)); #427004=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #427005=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #427006=DIRECTION('',(0.,-1.,0.)); #427007=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #427008=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #427009=DIRECTION('',(-1.,0.,-1.61821219773956E-16)); #427010=DIRECTION('',(0.,-1.,0.)); #427011=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #427012=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #427013=DIRECTION('',(-1.,-2.15761626365275E-16,-1.61821219773956E-16)); #427014=DIRECTION('',(0.,-1.,0.)); #427015=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #427016=DIRECTION('',(0.,-1.,0.)); #427017=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #427018=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #427019=DIRECTION('',(-0.901531566476721,0.432713340037062,-1.45886937751988E-16)); #427020=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #427021=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #427022=DIRECTION('',(0.,-1.,0.)); #427023=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #427024=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #427025=DIRECTION('',(0.901531566476721,0.432713340037061,1.45886937751988E-16)); #427026=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #427027=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #427028=DIRECTION('center_axis',(1.76772093340028E-16,0.,-1.)); #427029=DIRECTION('ref_axis',(1.,0.,1.76772093340028E-16)); #427030=DIRECTION('',(0.901531566476721,-0.432713340037061,1.59365622218205E-16)); #427031=DIRECTION('center_axis',(-1.76772093340028E-16,0.,1.)); #427032=DIRECTION('ref_axis',(-1.,0.,-1.76772093340028E-16)); #427033=DIRECTION('',(-3.64437705037144E-16,1.,-6.44224160114516E-32)); #427034=DIRECTION('center_axis',(-1.76772093340028E-16,0.,1.)); #427035=DIRECTION('ref_axis',(1.,0.,1.76772093340028E-16)); #427036=DIRECTION('',(-0.901531566476721,-0.432713340037062,-1.59365622218204E-16)); #427037=DIRECTION('center_axis',(1.76772093340028E-16,0.,-1.)); #427038=DIRECTION('ref_axis',(1.,0.,1.76772093340028E-16)); #427039=DIRECTION('',(1.,0.,1.61821219773956E-16)); #427040=DIRECTION('',(0.,-1.,0.)); #427041=DIRECTION('',(0.,-1.,0.)); #427042=DIRECTION('',(0.,-1.,0.)); #427043=DIRECTION('',(-1.,0.,0.)); #427044=DIRECTION('',(0.,1.,0.)); #427045=DIRECTION('',(0.,-1.,0.)); #427046=DIRECTION('',(0.,1.,0.)); #427047=DIRECTION('',(1.,-8.470329472543E-17,0.)); #427048=DIRECTION('center_axis',(1.,0.,0.)); #427049=DIRECTION('ref_axis',(0.,0.,-1.)); #427050=DIRECTION('',(2.11181198884419E-15,0.,-1.)); #427051=DIRECTION('',(0.,0.,-1.)); #427052=DIRECTION('',(0.,-1.,0.)); #427053=DIRECTION('center_axis',(-1.,0.,0.)); #427054=DIRECTION('ref_axis',(0.,0.,1.)); #427055=DIRECTION('',(-2.11181198884419E-15,0.,-1.)); #427056=DIRECTION('',(0.,0.,-1.)); #427057=DIRECTION('',(0.,1.,0.)); #427058=DIRECTION('center_axis',(1.,0.,0.)); #427059=DIRECTION('ref_axis',(0.,0.,-1.)); #427060=DIRECTION('',(1.58385899163314E-15,0.,1.)); #427061=DIRECTION('',(0.,0.,1.)); #427062=DIRECTION('',(0.,1.,0.)); #427063=DIRECTION('center_axis',(-1.,0.,0.)); #427064=DIRECTION('ref_axis',(0.,0.,1.)); #427065=DIRECTION('',(-2.11181198884419E-15,0.,1.)); #427066=DIRECTION('',(0.,0.,1.)); #427067=DIRECTION('',(0.,-1.,0.)); #427068=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #427069=DIRECTION('ref_axis',(0.,0.,1.)); #427070=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #427071=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #427072=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #427073=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #427074=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #427075=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #427076=DIRECTION('',(0.,0.,1.)); #427077=DIRECTION('',(-0.679586185331775,-0.679586185331775,-0.276270218106138)); #427078=DIRECTION('',(0.,0.,-1.)); #427079=DIRECTION('center_axis',(0.,1.,0.)); #427080=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #427081=DIRECTION('',(-1.,0.,0.)); #427082=DIRECTION('center_axis',(0.,-1.,0.)); #427083=DIRECTION('ref_axis',(0.,0.,-1.)); #427084=DIRECTION('',(-1.,0.,0.)); #427085=DIRECTION('',(0.,0.,1.)); #427086=DIRECTION('center_axis',(0.,1.,0.)); #427087=DIRECTION('ref_axis',(1.,0.,0.)); #427088=DIRECTION('center_axis',(-1.,0.,0.)); #427089=DIRECTION('ref_axis',(0.,0.,1.)); #427090=DIRECTION('',(0.,-1.,0.)); #427091=DIRECTION('',(0.,0.,1.)); #427092=DIRECTION('',(0.,-1.,0.)); #427093=DIRECTION('',(0.,0.,1.)); #427094=DIRECTION('center_axis',(1.,0.,0.)); #427095=DIRECTION('ref_axis',(0.,1.,0.)); #427096=DIRECTION('center_axis',(-1.,0.,0.)); #427097=DIRECTION('ref_axis',(0.,-1.,0.)); #427098=DIRECTION('',(0.,0.,-1.)); #427099=DIRECTION('',(0.,0.697742347072106,-0.716348809660705)); #427100=DIRECTION('',(0.,-9.63735264431559E-16,-1.)); #427101=DIRECTION('center_axis',(-1.,0.,0.)); #427102=DIRECTION('ref_axis',(0.,1.,0.)); #427103=DIRECTION('',(0.,-0.434612234054018,-0.90061768026759)); #427104=DIRECTION('center_axis',(-1.,0.,0.)); #427105=DIRECTION('ref_axis',(0.,1.,0.)); #427106=DIRECTION('',(0.,-1.,0.)); #427107=DIRECTION('center_axis',(-1.,0.,0.)); #427108=DIRECTION('ref_axis',(0.,1.,0.)); #427109=DIRECTION('',(0.,-0.434612234054019,0.90061768026759)); #427110=DIRECTION('center_axis',(-1.,0.,0.)); #427111=DIRECTION('ref_axis',(0.,1.,0.)); #427112=DIRECTION('',(0.,0.,1.)); #427113=DIRECTION('center_axis',(1.,0.,0.)); #427114=DIRECTION('ref_axis',(0.,1.,0.)); #427115=DIRECTION('center_axis',(-1.,0.,0.)); #427116=DIRECTION('ref_axis',(0.,1.,0.)); #427117=DIRECTION('',(0.,8.70845118462252E-16,1.)); #427118=DIRECTION('center_axis',(-1.,0.,0.)); #427119=DIRECTION('ref_axis',(0.,0.,1.)); #427120=DIRECTION('',(0.,0.,1.)); #427121=DIRECTION('',(0.,-1.,0.)); #427122=DIRECTION('',(0.,-1.0426138798441E-32,-1.)); #427123=DIRECTION('center_axis',(-1.,0.,0.)); #427124=DIRECTION('ref_axis',(0.,0.,1.)); #427125=DIRECTION('',(0.,1.80700362080917E-17,1.)); #427126=DIRECTION('center_axis',(1.,0.,0.)); #427127=DIRECTION('ref_axis',(0.,-1.,0.)); #427128=DIRECTION('',(0.,1.,-1.41725774181112E-15)); #427129=DIRECTION('center_axis',(1.,0.,0.)); #427130=DIRECTION('ref_axis',(0.,1.,0.)); #427131=DIRECTION('center_axis',(-1.,0.,0.)); #427132=DIRECTION('ref_axis',(0.,1.,0.)); #427133=DIRECTION('center_axis',(1.,0.,0.)); #427134=DIRECTION('ref_axis',(0.,1.,0.)); #427135=DIRECTION('',(0.,0.,1.)); #427136=DIRECTION('center_axis',(1.,0.,0.)); #427137=DIRECTION('ref_axis',(0.,-1.,0.)); #427138=DIRECTION('',(0.,0.338875305623466,0.940831295843523)); #427139=DIRECTION('center_axis',(1.,0.,0.)); #427140=DIRECTION('ref_axis',(0.,1.,0.)); #427141=DIRECTION('',(0.,1.,1.87378280388019E-15)); #427142=DIRECTION('center_axis',(1.,0.,0.)); #427143=DIRECTION('ref_axis',(0.,1.,0.)); #427144=DIRECTION('',(0.,0.338875305623472,-0.940831295843521)); #427145=DIRECTION('center_axis',(1.,0.,0.)); #427146=DIRECTION('ref_axis',(0.,1.,0.)); #427147=DIRECTION('',(0.,1.04905870583987E-16,-1.)); #427148=DIRECTION('center_axis',(-1.,0.,0.)); #427149=DIRECTION('ref_axis',(0.,0.,1.)); #427150=DIRECTION('',(0.,2.53581814682099E-32,1.)); #427151=DIRECTION('',(-6.12323399573677E-17,0.,-1.)); #427152=DIRECTION('',(1.32219777132379E-16,-1.,-8.09612634245719E-33)); #427153=DIRECTION('',(-6.12323399573677E-17,0.,-1.)); #427154=DIRECTION('',(1.32219777132379E-16,-1.,-8.09612634245719E-33)); #427155=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #427156=DIRECTION('ref_axis',(0.824321232027378,-0.56612234228024,-9.68813951859095E-17)); #427157=DIRECTION('',(0.,0.,-1.)); #427158=DIRECTION('',(0.,0.,-1.)); #427159=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427160=DIRECTION('center_axis',(-1.,0.,0.)); #427161=DIRECTION('ref_axis',(0.,0.,1.)); #427162=DIRECTION('',(-1.58385899163314E-15,0.,-1.)); #427163=DIRECTION('',(0.,0.,-1.)); #427164=DIRECTION('center_axis',(1.,0.,0.)); #427165=DIRECTION('ref_axis',(0.,0.,-1.)); #427166=DIRECTION('',(2.11181198884419E-15,0.,-1.)); #427167=DIRECTION('',(0.,0.,-1.)); #427168=DIRECTION('center_axis',(-1.,0.,0.)); #427169=DIRECTION('ref_axis',(0.,0.,1.)); #427170=DIRECTION('',(-2.11181198884419E-15,0.,1.)); #427171=DIRECTION('',(0.,0.,1.)); #427172=DIRECTION('',(0.,-1.,0.)); #427173=DIRECTION('center_axis',(1.,0.,0.)); #427174=DIRECTION('ref_axis',(0.,0.,-1.)); #427175=DIRECTION('',(2.11181198884419E-15,0.,1.)); #427176=DIRECTION('',(0.,0.,1.)); #427177=DIRECTION('',(0.,1.,0.)); #427178=DIRECTION('center_axis',(-1.,0.,0.)); #427179=DIRECTION('ref_axis',(0.,0.,1.)); #427180=DIRECTION('',(0.,0.,1.)); #427181=DIRECTION('',(0.,-1.,0.)); #427182=DIRECTION('',(0.,0.,1.)); #427183=DIRECTION('',(0.,1.,0.)); #427184=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427185=DIRECTION('center_axis',(-1.,0.,0.)); #427186=DIRECTION('ref_axis',(0.,0.,1.)); #427187=DIRECTION('',(0.,1.04905870583987E-16,-1.)); #427188=DIRECTION('center_axis',(1.,0.,0.)); #427189=DIRECTION('ref_axis',(0.,1.,0.)); #427190=DIRECTION('',(0.,0.338875305623472,-0.940831295843521)); #427191=DIRECTION('center_axis',(1.,0.,0.)); #427192=DIRECTION('ref_axis',(0.,1.,0.)); #427193=DIRECTION('',(0.,1.,1.87378280388019E-15)); #427194=DIRECTION('center_axis',(1.,0.,0.)); #427195=DIRECTION('ref_axis',(0.,1.,0.)); #427196=DIRECTION('',(0.,0.338875305623466,0.940831295843523)); #427197=DIRECTION('center_axis',(1.,0.,0.)); #427198=DIRECTION('ref_axis',(0.,-1.,0.)); #427199=DIRECTION('',(0.,0.,1.)); #427200=DIRECTION('center_axis',(1.,0.,0.)); #427201=DIRECTION('ref_axis',(0.,1.,0.)); #427202=DIRECTION('center_axis',(-1.,0.,0.)); #427203=DIRECTION('ref_axis',(0.,1.,0.)); #427204=DIRECTION('center_axis',(1.,0.,0.)); #427205=DIRECTION('ref_axis',(0.,1.,0.)); #427206=DIRECTION('',(0.,1.,-1.41725774181112E-15)); #427207=DIRECTION('center_axis',(1.,0.,0.)); #427208=DIRECTION('ref_axis',(0.,-1.,0.)); #427209=DIRECTION('',(0.,1.80700362080917E-17,1.)); #427210=DIRECTION('center_axis',(-1.,0.,0.)); #427211=DIRECTION('ref_axis',(0.,0.,1.)); #427212=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427213=DIRECTION('',(0.,1.,0.)); #427214=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427215=DIRECTION('center_axis',(-1.,0.,0.)); #427216=DIRECTION('ref_axis',(0.,0.,1.)); #427217=DIRECTION('',(0.,8.70845118462252E-16,1.)); #427218=DIRECTION('center_axis',(-1.,0.,0.)); #427219=DIRECTION('ref_axis',(0.,1.,0.)); #427220=DIRECTION('center_axis',(1.,0.,0.)); #427221=DIRECTION('ref_axis',(0.,1.,0.)); #427222=DIRECTION('',(0.,0.,1.)); #427223=DIRECTION('center_axis',(-1.,0.,0.)); #427224=DIRECTION('ref_axis',(0.,1.,0.)); #427225=DIRECTION('',(0.,-0.434612234054019,0.90061768026759)); #427226=DIRECTION('center_axis',(-1.,0.,0.)); #427227=DIRECTION('ref_axis',(0.,1.,0.)); #427228=DIRECTION('',(0.,-1.,0.)); #427229=DIRECTION('center_axis',(-1.,0.,0.)); #427230=DIRECTION('ref_axis',(0.,1.,0.)); #427231=DIRECTION('',(0.,-0.434612234054018,-0.90061768026759)); #427232=DIRECTION('center_axis',(-1.,0.,0.)); #427233=DIRECTION('ref_axis',(0.,1.,0.)); #427234=DIRECTION('',(0.,-9.63735264431559E-16,-1.)); #427235=DIRECTION('',(0.,-0.697742347072106,0.716348809660705)); #427236=DIRECTION('',(0.,-1.,8.70845118462252E-16)); #427237=DIRECTION('',(0.,-8.70845118462252E-16,-1.)); #427238=DIRECTION('',(0.,-1.,8.70845118462252E-16)); #427239=DIRECTION('center_axis',(-1.,0.,0.)); #427240=DIRECTION('ref_axis',(0.,0.,1.)); #427241=DIRECTION('',(0.,-8.70845118462252E-16,-1.)); #427242=DIRECTION('center_axis',(-1.,0.,0.)); #427243=DIRECTION('ref_axis',(0.,0.,1.)); #427244=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #427245=DIRECTION('ref_axis',(0.,0.,-1.)); #427246=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #427247=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #427248=DIRECTION('',(-0.679586185331775,0.679586185331775,0.276270218106137)); #427249=DIRECTION('',(0.,0.,-1.)); #427250=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #427251=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #427252=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #427253=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #427254=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #427255=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #427256=DIRECTION('',(-1.81867846984379E-16,1.,-2.13746899518401E-32)); #427257=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #427258=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #427259=DIRECTION('',(-1.,0.,1.17528690784337E-16)); #427260=DIRECTION('center_axis',(1.76772093340028E-16,0.,-1.)); #427261=DIRECTION('ref_axis',(1.,0.,1.76772093340028E-16)); #427262=DIRECTION('',(-0.901531566476721,-0.432713340037062,-1.59365622218204E-16)); #427263=DIRECTION('center_axis',(-1.76772093340028E-16,0.,1.)); #427264=DIRECTION('ref_axis',(1.,0.,1.76772093340028E-16)); #427265=DIRECTION('',(-3.64437705037144E-16,1.,-6.44224160114516E-32)); #427266=DIRECTION('center_axis',(-1.76772093340028E-16,0.,1.)); #427267=DIRECTION('ref_axis',(-1.,0.,-1.76772093340028E-16)); #427268=DIRECTION('',(0.901531566476721,-0.432713340037061,1.59365622218205E-16)); #427269=DIRECTION('center_axis',(1.76772093340028E-16,0.,-1.)); #427270=DIRECTION('ref_axis',(1.,0.,1.76772093340028E-16)); #427271=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #427272=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #427273=DIRECTION('',(0.901531566476721,0.432713340037061,1.45886937751988E-16)); #427274=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #427275=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #427276=DIRECTION('',(0.,-1.,0.)); #427277=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #427278=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #427279=DIRECTION('',(-0.901531566476721,0.432713340037062,-1.45886937751988E-16)); #427280=DIRECTION('center_axis',(-1.61821219773956E-16,0.,1.)); #427281=DIRECTION('ref_axis',(1.,0.,1.61821219773956E-16)); #427282=DIRECTION('',(0.,1.,0.)); #427283=DIRECTION('',(1.,0.,1.61821219773956E-16)); #427284=DIRECTION('',(0.,1.,0.)); #427285=DIRECTION('',(1.,2.15761626365275E-16,-1.17528690784337E-16)); #427286=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #427287=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #427288=DIRECTION('',(0.,1.,0.)); #427289=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #427290=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #427291=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #427292=DIRECTION('',(0.,1.,0.)); #427293=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #427294=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #427295=DIRECTION('',(-1.,0.,1.17528690784337E-16)); #427296=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #427297=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #427298=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #427299=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #427300=DIRECTION('',(0.,1.,0.)); #427301=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #427302=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #427303=DIRECTION('',(-1.,-5.70632722360792E-16,1.17528690784337E-16)); #427304=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #427305=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #427306=DIRECTION('',(-0.706312443883352,-0.707900227161658,8.30119768142955E-17)); #427307=DIRECTION('center_axis',(1.17528690784337E-16,0.,1.)); #427308=DIRECTION('ref_axis',(1.,0.,-1.17528690784337E-16)); #427309=DIRECTION('',(-1.,0.,0.)); #427310=DIRECTION('',(0.,-1.,0.)); #427311=DIRECTION('',(0.,-1.,0.)); #427312=DIRECTION('',(1.,-8.470329472543E-17,0.)); #427313=DIRECTION('',(0.,1.,0.)); #427314=DIRECTION('',(0.,-1.,0.)); #427315=DIRECTION('center_axis',(0.,-1.,0.)); #427316=DIRECTION('ref_axis',(0.,0.,-1.)); #427317=DIRECTION('center_axis',(0.,-1.,0.)); #427318=DIRECTION('ref_axis',(0.,0.,-1.)); #427319=DIRECTION('center_axis',(-2.15761626365275E-16,1.,2.53581814682099E-32)); #427320=DIRECTION('ref_axis',(-1.,-2.15761626365275E-16,0.)); #427321=DIRECTION('center_axis',(0.,-1.,0.)); #427322=DIRECTION('ref_axis',(0.,0.,-1.)); #427323=DIRECTION('center_axis',(8.87114348748494E-17,-1.,-1.0426138798441E-32)); #427324=DIRECTION('ref_axis',(1.,8.87114348748494E-17,0.)); #427325=DIRECTION('center_axis',(0.,-1.,0.)); #427326=DIRECTION('ref_axis',(0.,0.,-1.)); #427327=DIRECTION('center_axis',(0.,-1.,0.)); #427328=DIRECTION('ref_axis',(0.,0.,-1.)); #427329=DIRECTION('center_axis',(-2.15761626365275E-16,1.,2.53581814682099E-32)); #427330=DIRECTION('ref_axis',(-1.,-2.15761626365275E-16,0.)); #427331=DIRECTION('center_axis',(0.,-1.,0.)); #427332=DIRECTION('ref_axis',(0.,0.,-1.)); #427333=DIRECTION('',(0.,-1.,0.)); #427334=DIRECTION('center_axis',(0.,-1.,0.)); #427335=DIRECTION('ref_axis',(0.,0.,-1.)); #427336=DIRECTION('center_axis',(8.87114348748494E-17,-1.,-1.0426138798441E-32)); #427337=DIRECTION('ref_axis',(1.,8.87114348748494E-17,0.)); #427338=DIRECTION('',(0.,-1.,0.)); #427339=DIRECTION('center_axis',(0.,-1.,0.)); #427340=DIRECTION('ref_axis',(0.,0.,-1.)); #427341=DIRECTION('center_axis',(0.,-1.,0.)); #427342=DIRECTION('ref_axis',(0.,0.,-1.)); #427343=DIRECTION('center_axis',(0.,-1.,0.)); #427344=DIRECTION('ref_axis',(0.,0.,-1.)); #427345=DIRECTION('center_axis',(-2.15761626365275E-16,-1.,2.53581814682099E-32)); #427346=DIRECTION('ref_axis',(1.,-2.15761626365275E-16,0.)); #427347=DIRECTION('center_axis',(0.,-1.,0.)); #427348=DIRECTION('ref_axis',(0.,0.,-1.)); #427349=DIRECTION('center_axis',(-2.15761626365275E-16,-1.,2.53581814682099E-32)); #427350=DIRECTION('ref_axis',(1.,-2.15761626365275E-16,0.)); #427351=DIRECTION('center_axis',(0.,-1.,0.)); #427352=DIRECTION('ref_axis',(0.,0.,-1.)); #427353=DIRECTION('center_axis',(-1.,0.,1.17528690784337E-16)); #427354=DIRECTION('ref_axis',(0.,-1.,0.)); #427355=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427356=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427357=DIRECTION('center_axis',(-1.,0.,-1.61821219773956E-16)); #427358=DIRECTION('ref_axis',(0.,-1.,0.)); #427359=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #427360=DIRECTION('',(-1.14424881842043E-16,0.707106781186548,0.707106781186547)); #427361=DIRECTION('',(-1.61821219773956E-16,0.,1.)); #427362=DIRECTION('center_axis',(0.,1.,0.)); #427363=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #427364=DIRECTION('',(1.,0.,0.)); #427365=DIRECTION('center_axis',(0.,1.,0.)); #427366=DIRECTION('ref_axis',(-1.,0.,0.)); #427367=DIRECTION('',(-1.,0.,0.)); #427368=DIRECTION('center_axis',(0.,-1.,0.)); #427369=DIRECTION('ref_axis',(0.,0.,-1.)); #427370=DIRECTION('center_axis',(0.,1.,0.)); #427371=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #427372=DIRECTION('',(0.,0.,1.)); #427373=DIRECTION('',(-1.,0.,0.)); #427374=DIRECTION('center_axis',(0.,1.,0.)); #427375=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #427376=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #427377=DIRECTION('center_axis',(0.,1.,0.)); #427378=DIRECTION('ref_axis',(0.,0.,1.)); #427379=DIRECTION('',(0.,0.,-1.)); #427380=DIRECTION('center_axis',(0.,1.,0.)); #427381=DIRECTION('ref_axis',(0.,0.,1.)); #427382=DIRECTION('',(-1.,0.,0.)); #427383=DIRECTION('center_axis',(0.,1.,0.)); #427384=DIRECTION('ref_axis',(0.,0.,1.)); #427385=DIRECTION('',(0.,0.,1.)); #427386=DIRECTION('center_axis',(0.,1.,0.)); #427387=DIRECTION('ref_axis',(0.,0.,1.)); #427388=DIRECTION('',(1.,0.,-1.17528690784337E-16)); #427389=DIRECTION('center_axis',(0.,-1.,0.)); #427390=DIRECTION('ref_axis',(0.,0.,-1.)); #427391=DIRECTION('',(-1.,0.,0.)); #427392=DIRECTION('center_axis',(0.,-1.,0.)); #427393=DIRECTION('ref_axis',(0.,0.,-1.)); #427394=DIRECTION('',(0.,0.,-1.)); #427395=DIRECTION('center_axis',(0.,-1.,0.)); #427396=DIRECTION('ref_axis',(0.,0.,-1.)); #427397=DIRECTION('center_axis',(0.,-1.,0.)); #427398=DIRECTION('ref_axis',(0.,0.,-1.)); #427399=DIRECTION('center_axis',(0.,-1.,0.)); #427400=DIRECTION('ref_axis',(0.,0.,-1.)); #427401=DIRECTION('center_axis',(0.,-1.,0.)); #427402=DIRECTION('ref_axis',(0.,0.,-1.)); #427403=DIRECTION('center_axis',(0.,-1.,0.)); #427404=DIRECTION('ref_axis',(0.,0.,-1.)); #427405=DIRECTION('center_axis',(8.87114348748494E-17,1.,-1.0426138798441E-32)); #427406=DIRECTION('ref_axis',(-1.,8.87114348748494E-17,0.)); #427407=DIRECTION('center_axis',(0.,-1.,0.)); #427408=DIRECTION('ref_axis',(0.,0.,-1.)); #427409=DIRECTION('center_axis',(1.,0.,0.)); #427410=DIRECTION('ref_axis',(0.,0.,-1.)); #427411=DIRECTION('',(0.,1.,0.)); #427412=DIRECTION('',(0.,0.697742347072106,-0.716348809660705)); #427413=DIRECTION('',(0.,9.63735264431559E-16,1.)); #427414=DIRECTION('center_axis',(1.,0.,0.)); #427415=DIRECTION('ref_axis',(0.,-1.,0.)); #427416=DIRECTION('',(0.,0.434612234054018,0.90061768026759)); #427417=DIRECTION('center_axis',(1.,0.,0.)); #427418=DIRECTION('ref_axis',(0.,1.,0.)); #427419=DIRECTION('',(0.,1.,0.)); #427420=DIRECTION('center_axis',(1.,0.,0.)); #427421=DIRECTION('ref_axis',(0.,1.,0.)); #427422=DIRECTION('',(0.,0.434612234054019,-0.90061768026759)); #427423=DIRECTION('center_axis',(1.,0.,0.)); #427424=DIRECTION('ref_axis',(0.,1.,0.)); #427425=DIRECTION('',(0.,0.,-1.)); #427426=DIRECTION('center_axis',(-1.,0.,0.)); #427427=DIRECTION('ref_axis',(0.,-1.,0.)); #427428=DIRECTION('center_axis',(1.,0.,0.)); #427429=DIRECTION('ref_axis',(0.,1.,0.)); #427430=DIRECTION('',(0.,-8.70845118462252E-16,-1.)); #427431=DIRECTION('center_axis',(1.,0.,0.)); #427432=DIRECTION('ref_axis',(0.,0.,-1.)); #427433=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427434=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427435=DIRECTION('center_axis',(1.,0.,0.)); #427436=DIRECTION('ref_axis',(0.,0.,-1.)); #427437=DIRECTION('',(0.,-1.80700362080917E-17,-1.)); #427438=DIRECTION('center_axis',(-1.,0.,0.)); #427439=DIRECTION('ref_axis',(0.,1.,0.)); #427440=DIRECTION('',(0.,-1.,1.41725774181112E-15)); #427441=DIRECTION('center_axis',(-1.,0.,0.)); #427442=DIRECTION('ref_axis',(0.,1.,0.)); #427443=DIRECTION('center_axis',(1.,0.,0.)); #427444=DIRECTION('ref_axis',(0.,1.,0.)); #427445=DIRECTION('center_axis',(-1.,0.,0.)); #427446=DIRECTION('ref_axis',(0.,-1.,0.)); #427447=DIRECTION('',(0.,0.,-1.)); #427448=DIRECTION('center_axis',(-1.,0.,0.)); #427449=DIRECTION('ref_axis',(0.,1.,0.)); #427450=DIRECTION('',(0.,-0.338875305623466,-0.940831295843523)); #427451=DIRECTION('center_axis',(-1.,0.,0.)); #427452=DIRECTION('ref_axis',(0.,1.,0.)); #427453=DIRECTION('',(0.,-1.,-1.87378280388019E-15)); #427454=DIRECTION('center_axis',(-1.,0.,0.)); #427455=DIRECTION('ref_axis',(0.,1.,0.)); #427456=DIRECTION('',(0.,-0.338875305623472,0.940831295843521)); #427457=DIRECTION('center_axis',(-1.,0.,0.)); #427458=DIRECTION('ref_axis',(0.,1.,0.)); #427459=DIRECTION('',(0.,-1.04905870583987E-16,1.)); #427460=DIRECTION('center_axis',(1.,0.,0.)); #427461=DIRECTION('ref_axis',(0.,0.,-1.)); #427462=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427463=DIRECTION('',(0.,-1.,8.70845118462252E-16)); #427464=DIRECTION('',(0.,8.70845118462252E-16,1.)); #427465=DIRECTION('',(0.,-1.,8.70845118462252E-16)); #427466=DIRECTION('center_axis',(1.,0.,0.)); #427467=DIRECTION('ref_axis',(0.,0.,-1.)); #427468=DIRECTION('',(0.,8.70845118462252E-16,1.)); #427469=DIRECTION('center_axis',(1.,0.,0.)); #427470=DIRECTION('ref_axis',(0.,0.,-1.)); #427471=DIRECTION('center_axis',(0.,-1.,0.)); #427472=DIRECTION('ref_axis',(0.,0.,-1.)); #427473=DIRECTION('',(0.,-1.,0.)); #427474=DIRECTION('center_axis',(0.,0.,-1.)); #427475=DIRECTION('ref_axis',(-1.,0.,0.)); #427476=DIRECTION('',(1.,8.31258950216367E-17,0.)); #427477=DIRECTION('center_axis',(0.,0.,-1.)); #427478=DIRECTION('ref_axis',(-1.,0.,0.)); #427479=DIRECTION('',(0.,1.,0.)); #427480=DIRECTION('',(1.,8.31258950216367E-17,0.)); #427481=DIRECTION('center_axis',(0.,0.,-1.)); #427482=DIRECTION('ref_axis',(1.,0.,0.)); #427483=DIRECTION('',(1.,0.,0.)); #427484=DIRECTION('',(0.,-1.,0.)); #427485=DIRECTION('',(0.,-1.,0.)); #427486=DIRECTION('',(-1.,-8.470329472543E-17,0.)); #427487=DIRECTION('',(0.,1.,0.)); #427488=DIRECTION('',(0.,-1.,0.)); #427489=DIRECTION('',(1.,0.,0.)); #427490=DIRECTION('',(0.,-1.,0.)); #427491=DIRECTION('',(0.,-1.,0.)); #427492=DIRECTION('',(-1.,8.470329472543E-17,0.)); #427493=DIRECTION('',(0.,1.,0.)); #427494=DIRECTION('',(0.,-1.,0.)); #427495=DIRECTION('center_axis',(0.,-1.,0.)); #427496=DIRECTION('ref_axis',(0.,0.,-1.)); #427497=DIRECTION('center_axis',(0.,-1.,0.)); #427498=DIRECTION('ref_axis',(0.,0.,-1.)); #427499=DIRECTION('center_axis',(8.87114348748494E-17,1.,-1.0426138798441E-32)); #427500=DIRECTION('ref_axis',(-1.,8.87114348748494E-17,0.)); #427501=DIRECTION('center_axis',(0.,-1.,0.)); #427502=DIRECTION('ref_axis',(0.,0.,-1.)); #427503=DIRECTION('center_axis',(0.,0.,1.)); #427504=DIRECTION('ref_axis',(1.,0.,0.)); #427505=DIRECTION('',(0.,1.92747052886312E-15,1.)); #427506=DIRECTION('',(0.,1.92747052886312E-15,1.)); #427507=DIRECTION('center_axis',(0.,0.,1.)); #427508=DIRECTION('ref_axis',(1.,0.,0.)); #427509=DIRECTION('',(0.,0.,1.)); #427510=DIRECTION('',(0.,0.,1.)); #427511=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #427512=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #427513=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427514=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427515=DIRECTION('center_axis',(0.,-1.,0.)); #427516=DIRECTION('ref_axis',(0.,0.,-1.)); #427517=DIRECTION('',(-1.,0.,1.17528690784337E-16)); #427518=DIRECTION('center_axis',(-6.78686805937718E-16,-1.,7.97651717544631E-32)); #427519=DIRECTION('ref_axis',(1.,-6.78686805937718E-16,0.)); #427520=DIRECTION('center_axis',(0.,1.,0.)); #427521=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #427522=DIRECTION('',(-0.866025403784439,6.78686805937718E-16,0.5)); #427523=DIRECTION('',(-0.5,0.,-0.866025403784439)); #427524=DIRECTION('',(0.866025403784439,-6.78686805937718E-16,-0.5)); #427525=DIRECTION('center_axis',(0.,1.,0.)); #427526=DIRECTION('ref_axis',(0.,0.,1.)); #427527=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427528=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #427529=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #427530=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427531=DIRECTION('center_axis',(-0.707900227161658,-0.706312443883352, 8.31985869042441E-17)); #427532=DIRECTION('ref_axis',(0.706312443883352,-0.707900227161658,0.)); #427533=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427534=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #427535=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #427536=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427537=DIRECTION('center_axis',(-5.70632722360792E-16,-1.,6.70657167777656E-32)); #427538=DIRECTION('ref_axis',(1.,-5.70632722360792E-16,0.)); #427539=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427540=DIRECTION('center_axis',(0.,-1.,0.)); #427541=DIRECTION('ref_axis',(0.,0.,-1.)); #427542=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #427543=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #427544=DIRECTION('center_axis',(5.70632722360792E-16,-1.,-6.70657167777656E-32)); #427545=DIRECTION('ref_axis',(1.,5.70632722360792E-16,0.)); #427546=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427547=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427548=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #427549=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #427550=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427551=DIRECTION('center_axis',(0.707900227161658,-0.706312443883352,-8.3198586904244E-17)); #427552=DIRECTION('ref_axis',(0.706312443883352,0.707900227161658,0.)); #427553=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427554=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #427555=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #427556=DIRECTION('center_axis',(0.,-1.,0.)); #427557=DIRECTION('ref_axis',(0.,0.,-1.)); #427558=DIRECTION('',(-1.,0.,1.17528690784337E-16)); #427559=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427560=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #427561=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #427562=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427563=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #427564=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #427565=DIRECTION('center_axis',(0.,-1.,0.)); #427566=DIRECTION('ref_axis',(0.,0.,-1.)); #427567=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427568=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #427569=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #427570=DIRECTION('',(5.60632478597147E-79,9.52482186350414E-48,-1.)); #427571=DIRECTION('',(5.60632478597147E-79,9.52482186350414E-48,-1.)); #427572=DIRECTION('center_axis',(-2.15761626365275E-16,-1.,2.53581814682099E-32)); #427573=DIRECTION('ref_axis',(1.,-2.15761626365275E-16,0.)); #427574=DIRECTION('',(-1.,2.15761626365275E-16,1.17528690784337E-16)); #427575=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427576=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #427577=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #427578=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427579=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427580=DIRECTION('center_axis',(8.87114348748494E-17,1.,-1.0426138798441E-32)); #427581=DIRECTION('ref_axis',(-1.,8.87114348748494E-17,0.)); #427582=DIRECTION('',(1.,-8.87114348748494E-17,-1.17528690784337E-16)); #427583=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #427584=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #427585=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427586=DIRECTION('center_axis',(-1.,1.58243987929475E-16,1.17528690784337E-16)); #427587=DIRECTION('ref_axis',(-1.58243987929475E-16,-1.,0.)); #427588=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427589=DIRECTION('center_axis',(0.,-1.,0.)); #427590=DIRECTION('ref_axis',(0.,0.,-1.)); #427591=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427592=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #427593=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #427594=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427595=DIRECTION('center_axis',(-1.,1.97127667724637E-16,1.17528690784337E-16)); #427596=DIRECTION('ref_axis',(-1.97127667724637E-16,-1.,0.)); #427597=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427598=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #427599=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #427600=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427601=DIRECTION('center_axis',(0.,1.,0.)); #427602=DIRECTION('ref_axis',(0.,0.,1.)); #427603=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427604=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #427605=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #427606=DIRECTION('center_axis',(-2.15761626365275E-16,1.,2.53581814682099E-32)); #427607=DIRECTION('ref_axis',(-1.,-2.15761626365275E-16,0.)); #427608=DIRECTION('',(1.,2.15761626365275E-16,-1.17528690784337E-16)); #427609=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427610=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #427611=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #427612=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427613=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427614=DIRECTION('center_axis',(8.87114348748494E-17,-1.,-1.0426138798441E-32)); #427615=DIRECTION('ref_axis',(1.,8.87114348748494E-17,0.)); #427616=DIRECTION('',(-1.,-8.87114348748494E-17,1.17528690784337E-16)); #427617=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #427618=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #427619=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427620=DIRECTION('center_axis',(-1.,0.,1.17528690784337E-16)); #427621=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #427622=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427623=DIRECTION('center_axis',(0.,1.,0.)); #427624=DIRECTION('ref_axis',(0.,0.,1.)); #427625=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427626=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #427627=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #427628=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427629=DIRECTION('center_axis',(-1.,0.,1.17528690784337E-16)); #427630=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #427631=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427632=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #427633=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #427634=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427635=DIRECTION('center_axis',(0.,-1.,0.)); #427636=DIRECTION('ref_axis',(0.,0.,-1.)); #427637=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #427638=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #427639=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #427640=DIRECTION('center_axis',(0.,-1.,-1.04905870583987E-16)); #427641=DIRECTION('ref_axis',(0.,1.04905870583987E-16,-1.)); #427642=DIRECTION('',(1.,1.2329449625327E-32,-1.17528690784337E-16)); #427643=DIRECTION('',(1.,0.,0.)); #427644=DIRECTION('center_axis',(1.,0.,0.)); #427645=DIRECTION('ref_axis',(0.,0.,-1.)); #427646=DIRECTION('',(1.,0.,0.)); #427647=DIRECTION('center_axis',(0.,-0.940831295843521,-0.338875305623472)); #427648=DIRECTION('ref_axis',(0.,0.338875305623472,-0.940831295843521)); #427649=DIRECTION('',(1.,0.,0.)); #427650=DIRECTION('center_axis',(1.,0.,0.)); #427651=DIRECTION('ref_axis',(0.,0.,-1.)); #427652=DIRECTION('',(1.,0.,0.)); #427653=DIRECTION('center_axis',(0.,1.87378280388019E-15,-1.)); #427654=DIRECTION('ref_axis',(0.,1.,1.87378280388019E-15)); #427655=DIRECTION('',(1.,0.,0.)); #427656=DIRECTION('center_axis',(1.,0.,0.)); #427657=DIRECTION('ref_axis',(0.,0.,-1.)); #427658=DIRECTION('',(1.,0.,0.)); #427659=DIRECTION('center_axis',(0.,0.940831295843523,-0.338875305623466)); #427660=DIRECTION('ref_axis',(0.,0.338875305623466,0.940831295843523)); #427661=DIRECTION('',(1.,0.,0.)); #427662=DIRECTION('center_axis',(1.,0.,0.)); #427663=DIRECTION('ref_axis',(0.,0.,-1.)); #427664=DIRECTION('',(1.,0.,0.)); #427665=DIRECTION('center_axis',(0.,1.,0.)); #427666=DIRECTION('ref_axis',(0.,0.,1.)); #427667=DIRECTION('',(1.,0.,0.)); #427668=DIRECTION('center_axis',(1.,0.,0.)); #427669=DIRECTION('ref_axis',(0.,0.,-1.)); #427670=DIRECTION('',(1.,0.,0.)); #427671=DIRECTION('center_axis',(1.,0.,0.)); #427672=DIRECTION('ref_axis',(0.,-0.714472947821408,0.699663066648074)); #427673=DIRECTION('',(1.,0.,0.)); #427674=DIRECTION('center_axis',(1.,0.,0.)); #427675=DIRECTION('ref_axis',(0.,0.,-1.)); #427676=DIRECTION('',(1.,0.,0.)); #427677=DIRECTION('center_axis',(0.,-1.41725774181112E-15,-1.)); #427678=DIRECTION('ref_axis',(0.,1.,-1.41725774181112E-15)); #427679=DIRECTION('',(1.,0.,0.)); #427680=DIRECTION('center_axis',(1.,0.,0.)); #427681=DIRECTION('ref_axis',(0.,0.,-1.)); #427682=DIRECTION('',(1.,0.,0.)); #427683=DIRECTION('center_axis',(0.,1.,-1.80700362080917E-17)); #427684=DIRECTION('ref_axis',(0.,1.80700362080917E-17,1.)); #427685=DIRECTION('',(1.,-2.12374769796258E-33,-1.17528690784337E-16)); #427686=DIRECTION('center_axis',(1.,0.,0.)); #427687=DIRECTION('ref_axis',(0.,0.,-1.)); #427688=DIRECTION('',(1.,0.,0.)); #427689=DIRECTION('center_axis',(1.,0.,0.)); #427690=DIRECTION('ref_axis',(0.,0.,-1.)); #427691=DIRECTION('',(1.,0.,0.)); #427692=DIRECTION('center_axis',(0.,-1.,0.)); #427693=DIRECTION('ref_axis',(0.,0.,-1.)); #427694=DIRECTION('',(1.,0.,0.)); #427695=DIRECTION('center_axis',(0.,-0.716348809660705,-0.697742347072106)); #427696=DIRECTION('ref_axis',(0.,0.697742347072106,-0.716348809660705)); #427697=DIRECTION('',(0.,0.697742347072106,-0.716348809660705)); #427698=DIRECTION('',(1.,0.,0.)); #427699=DIRECTION('center_axis',(0.,-1.,9.63735264431559E-16)); #427700=DIRECTION('ref_axis',(0.,-9.63735264431559E-16,-1.)); #427701=DIRECTION('',(-0.572219065214358,7.90360072656087E-16,0.820100811733049)); #427702=DIRECTION('',(1.,0.,0.)); #427703=DIRECTION('center_axis',(1.,0.,0.)); #427704=DIRECTION('ref_axis',(0.,0.,-1.)); #427705=DIRECTION('',(1.,0.,0.)); #427706=DIRECTION('center_axis',(0.,-0.90061768026759,0.434612234054018)); #427707=DIRECTION('ref_axis',(0.,-0.434612234054018,-0.90061768026759)); #427708=DIRECTION('',(1.,0.,0.)); #427709=DIRECTION('center_axis',(1.,0.,0.)); #427710=DIRECTION('ref_axis',(0.,0.,-1.)); #427711=DIRECTION('',(1.,0.,0.)); #427712=DIRECTION('center_axis',(0.,0.,1.)); #427713=DIRECTION('ref_axis',(1.,0.,0.)); #427714=DIRECTION('',(1.,0.,0.)); #427715=DIRECTION('center_axis',(1.,0.,0.)); #427716=DIRECTION('ref_axis',(0.,0.,-1.)); #427717=DIRECTION('',(1.,0.,0.)); #427718=DIRECTION('center_axis',(0.,0.90061768026759,0.434612234054019)); #427719=DIRECTION('ref_axis',(0.,-0.434612234054019,0.90061768026759)); #427720=DIRECTION('',(1.,0.,0.)); #427721=DIRECTION('center_axis',(1.,0.,0.)); #427722=DIRECTION('ref_axis',(0.,0.,-1.)); #427723=DIRECTION('',(1.,0.,0.)); #427724=DIRECTION('center_axis',(0.,1.,0.)); #427725=DIRECTION('ref_axis',(0.,0.,1.)); #427726=DIRECTION('',(1.,0.,0.)); #427727=DIRECTION('center_axis',(1.,0.,0.)); #427728=DIRECTION('ref_axis',(0.,0.,-1.)); #427729=DIRECTION('',(1.,0.,0.)); #427730=DIRECTION('center_axis',(1.,0.,0.)); #427731=DIRECTION('ref_axis',(0.,0.,-1.)); #427732=DIRECTION('',(1.,0.,0.)); #427733=DIRECTION('center_axis',(0.,1.,-8.70845118462252E-16)); #427734=DIRECTION('ref_axis',(0.,8.70845118462252E-16,1.)); #427735=DIRECTION('',(1.,-1.02349286648799E-31,-1.17528690784337E-16)); #427736=DIRECTION('center_axis',(-0.707106781186548,-0.506535101005996, -0.493378345135704)); #427737=DIRECTION('ref_axis',(1.38777878078145E-16,0.697742347072106,-0.716348809660705)); #427738=DIRECTION('center_axis',(-1.,0.,1.17528690784337E-16)); #427739=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #427740=DIRECTION('center_axis',(1.,-8.87114348748494E-17,-1.17528690784337E-16)); #427741=DIRECTION('ref_axis',(1.17528690784337E-16,1.22464679914735E-16, 1.)); #427742=DIRECTION('center_axis',(-1.,2.15761626365275E-16,1.17528690784337E-16)); #427743=DIRECTION('ref_axis',(-2.15761626365275E-16,-1.,0.)); #427744=DIRECTION('center_axis',(8.31053342375813E-17,-0.707106781186547, 0.707106781186548)); #427745=DIRECTION('ref_axis',(1.,-3.69778549322349E-31,-1.17528690784337E-16)); #427746=DIRECTION('',(0.,-0.707106781186548,-0.707106781186547)); #427747=DIRECTION('center_axis',(0.,-1.,0.)); #427748=DIRECTION('ref_axis',(0.,0.,-1.)); #427749=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #427750=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #427751=DIRECTION('ref_axis',(0.,0.,1.)); #427752=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #427753=DIRECTION('center_axis',(0.,-1.,0.)); #427754=DIRECTION('ref_axis',(0.,0.,-1.)); #427755=DIRECTION('',(0.,-0.707106781186547,0.707106781186547)); #427756=DIRECTION('center_axis',(0.,-0.707106781186548,-0.707106781186548)); #427757=DIRECTION('ref_axis',(-1.,0.,0.)); #427758=DIRECTION('',(0.,-0.707106781186547,0.707106781186547)); #427759=DIRECTION('center_axis',(0.,-1.,0.)); #427760=DIRECTION('ref_axis',(0.,0.,-1.)); #427761=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #427762=DIRECTION('center_axis',(0.707106781186548,-0.707106781186548,0.)); #427763=DIRECTION('ref_axis',(0.,0.,-1.)); #427764=DIRECTION('',(-0.707106781186548,-0.707106781186548,0.)); #427765=DIRECTION('center_axis',(0.,-1.,0.)); #427766=DIRECTION('ref_axis',(0.,0.,-1.)); #427767=DIRECTION('',(0.,-0.707106781186547,-0.707106781186547)); #427768=DIRECTION('center_axis',(8.31053342375813E-17,-0.707106781186547, 0.707106781186548)); #427769=DIRECTION('ref_axis',(1.,-3.69778549322349E-31,-1.17528690784337E-16)); #427770=DIRECTION('center_axis',(-1.,0.,0.)); #427771=DIRECTION('ref_axis',(0.,0.,1.)); #427772=DIRECTION('',(-2.11181198884419E-15,0.,1.)); #427773=DIRECTION('',(0.,0.,1.)); #427774=DIRECTION('center_axis',(0.,-1.,0.)); #427775=DIRECTION('ref_axis',(0.,0.,-1.)); #427776=DIRECTION('center_axis',(1.,0.,0.)); #427777=DIRECTION('ref_axis',(0.,0.,-1.)); #427778=DIRECTION('',(2.11181198884419E-15,0.,1.)); #427779=DIRECTION('',(0.,0.,1.)); #427780=DIRECTION('center_axis',(-8.470329472543E-17,1.,0.)); #427781=DIRECTION('ref_axis',(-1.,-8.470329472543E-17,0.)); #427782=DIRECTION('center_axis',(0.,-1.,0.)); #427783=DIRECTION('ref_axis',(0.,0.,-1.)); #427784=DIRECTION('center_axis',(0.,1.,0.)); #427785=DIRECTION('ref_axis',(-1.,0.,0.)); #427786=DIRECTION('',(0.389102662767433,0.,-0.92119439741419)); #427787=DIRECTION('center_axis',(0.,-1.,0.)); #427788=DIRECTION('ref_axis',(-1.,0.,0.)); #427789=DIRECTION('',(1.,0.,0.)); #427790=DIRECTION('center_axis',(0.,-1.,0.)); #427791=DIRECTION('ref_axis',(-1.,0.,0.)); #427792=DIRECTION('',(0.389102662767433,0.,0.92119439741419)); #427793=DIRECTION('center_axis',(0.,1.,0.)); #427794=DIRECTION('ref_axis',(-1.,0.,0.)); #427795=DIRECTION('center_axis',(0.,-1.,0.)); #427796=DIRECTION('ref_axis',(0.,0.,-1.)); #427797=DIRECTION('',(-0.389102662767433,0.,-0.92119439741419)); #427798=DIRECTION('center_axis',(0.,-1.,0.)); #427799=DIRECTION('ref_axis',(0.,0.,-1.)); #427800=DIRECTION('',(-1.,0.,0.)); #427801=DIRECTION('center_axis',(0.,-1.,0.)); #427802=DIRECTION('ref_axis',(0.,0.,-1.)); #427803=DIRECTION('',(-0.389102662767433,0.,0.92119439741419)); #427804=DIRECTION('center_axis',(0.,-1.,0.)); #427805=DIRECTION('ref_axis',(0.,0.,-1.)); #427806=DIRECTION('center_axis',(0.,1.,0.)); #427807=DIRECTION('ref_axis',(0.389102662767433,0.,-0.92119439741419)); #427808=DIRECTION('',(-0.389102662767433,0.,0.92119439741419)); #427809=DIRECTION('center_axis',(0.,-1.,0.)); #427810=DIRECTION('ref_axis',(0.,0.,-1.)); #427811=DIRECTION('',(-1.,0.,0.)); #427812=DIRECTION('center_axis',(0.,-1.,0.)); #427813=DIRECTION('ref_axis',(0.,0.,-1.)); #427814=DIRECTION('',(-0.389102662767433,0.,-0.92119439741419)); #427815=DIRECTION('center_axis',(0.,-1.,0.)); #427816=DIRECTION('ref_axis',(0.,0.,-1.)); #427817=DIRECTION('center_axis',(0.,1.,0.)); #427818=DIRECTION('ref_axis',(-1.,0.,0.)); #427819=DIRECTION('',(0.389102662767433,0.,0.92119439741419)); #427820=DIRECTION('center_axis',(0.,-1.,0.)); #427821=DIRECTION('ref_axis',(-1.,0.,0.)); #427822=DIRECTION('',(1.,0.,0.)); #427823=DIRECTION('center_axis',(0.,-1.,0.)); #427824=DIRECTION('ref_axis',(-1.,0.,0.)); #427825=DIRECTION('',(0.389102662767433,0.,-0.92119439741419)); #427826=DIRECTION('center_axis',(0.,1.,0.)); #427827=DIRECTION('ref_axis',(-1.,0.,0.)); #427828=DIRECTION('center_axis',(0.,-1.,0.)); #427829=DIRECTION('ref_axis',(0.,0.,-1.)); #427830=DIRECTION('center_axis',(0.,-1.,0.)); #427831=DIRECTION('ref_axis',(0.,0.,-1.)); #427832=DIRECTION('',(0.,-1.,0.)); #427833=DIRECTION('center_axis',(-0.92119439741419,0.,-0.389102662767433)); #427834=DIRECTION('ref_axis',(-0.389102662767433,0.,0.92119439741419)); #427835=DIRECTION('',(0.,-1.,0.)); #427836=DIRECTION('center_axis',(0.,-1.,0.)); #427837=DIRECTION('ref_axis',(0.,0.,-1.)); #427838=DIRECTION('',(0.,-1.,0.)); #427839=DIRECTION('center_axis',(0.,0.,-1.)); #427840=DIRECTION('ref_axis',(-1.,0.,0.)); #427841=DIRECTION('',(0.,-1.,0.)); #427842=DIRECTION('center_axis',(0.,-1.,0.)); #427843=DIRECTION('ref_axis',(0.,0.,-1.)); #427844=DIRECTION('',(0.,-1.,0.)); #427845=DIRECTION('center_axis',(0.92119439741419,0.,-0.389102662767433)); #427846=DIRECTION('ref_axis',(-0.389102662767433,0.,-0.92119439741419)); #427847=DIRECTION('',(0.,-1.,0.)); #427848=DIRECTION('center_axis',(0.,-1.,0.)); #427849=DIRECTION('ref_axis',(0.,0.,-1.)); #427850=DIRECTION('center_axis',(0.,-1.,0.)); #427851=DIRECTION('ref_axis',(0.,0.,-1.)); #427852=DIRECTION('',(0.,1.,0.)); #427853=DIRECTION('center_axis',(-0.92119439741419,0.,-0.389102662767433)); #427854=DIRECTION('ref_axis',(-0.389102662767433,0.,0.92119439741419)); #427855=DIRECTION('',(0.,-1.,0.)); #427856=DIRECTION('center_axis',(0.,-1.,0.)); #427857=DIRECTION('ref_axis',(0.,0.,-1.)); #427858=DIRECTION('',(0.,1.,0.)); #427859=DIRECTION('center_axis',(0.,0.,-1.)); #427860=DIRECTION('ref_axis',(-1.,0.,0.)); #427861=DIRECTION('',(0.,-1.,0.)); #427862=DIRECTION('center_axis',(0.,-1.,0.)); #427863=DIRECTION('ref_axis',(0.,0.,-1.)); #427864=DIRECTION('',(0.,1.,0.)); #427865=DIRECTION('center_axis',(0.92119439741419,0.,-0.389102662767433)); #427866=DIRECTION('ref_axis',(-0.389102662767433,0.,-0.92119439741419)); #427867=DIRECTION('',(0.,-1.,0.)); #427868=DIRECTION('center_axis',(0.,-1.,0.)); #427869=DIRECTION('ref_axis',(0.,0.,-1.)); #427870=DIRECTION('center_axis',(0.,-1.,0.)); #427871=DIRECTION('ref_axis',(0.,0.,-1.)); #427872=DIRECTION('',(0.,0.,-1.)); #427873=DIRECTION('center_axis',(-1.,0.,0.)); #427874=DIRECTION('ref_axis',(0.,0.,1.)); #427875=DIRECTION('',(-1.58385899163314E-15,0.,-1.)); #427876=DIRECTION('',(0.,0.,-1.)); #427877=DIRECTION('center_axis',(-8.470329472543E-17,1.,0.)); #427878=DIRECTION('ref_axis',(-1.,-8.470329472543E-17,0.)); #427879=DIRECTION('center_axis',(1.,0.,0.)); #427880=DIRECTION('ref_axis',(0.,0.,-1.)); #427881=DIRECTION('',(2.11181198884419E-15,0.,-1.)); #427882=DIRECTION('center_axis',(0.,-1.,0.)); #427883=DIRECTION('ref_axis',(0.,0.,-1.)); #427884=DIRECTION('center_axis',(0.,1.,0.)); #427885=DIRECTION('ref_axis',(-1.,0.,0.)); #427886=DIRECTION('',(-0.389102662767433,0.,0.92119439741419)); #427887=DIRECTION('center_axis',(0.,-1.,0.)); #427888=DIRECTION('ref_axis',(-1.,0.,0.)); #427889=DIRECTION('',(-1.,0.,0.)); #427890=DIRECTION('center_axis',(0.,-1.,0.)); #427891=DIRECTION('ref_axis',(-1.,0.,0.)); #427892=DIRECTION('',(-0.389102662767433,0.,-0.92119439741419)); #427893=DIRECTION('center_axis',(0.,1.,0.)); #427894=DIRECTION('ref_axis',(-1.,0.,0.)); #427895=DIRECTION('center_axis',(0.,-1.,0.)); #427896=DIRECTION('ref_axis',(0.,0.,-1.)); #427897=DIRECTION('',(0.389102662767433,0.,0.92119439741419)); #427898=DIRECTION('center_axis',(0.,-1.,0.)); #427899=DIRECTION('ref_axis',(0.,0.,-1.)); #427900=DIRECTION('',(1.,0.,0.)); #427901=DIRECTION('center_axis',(0.,-1.,0.)); #427902=DIRECTION('ref_axis',(0.,0.,-1.)); #427903=DIRECTION('',(0.389102662767433,0.,-0.92119439741419)); #427904=DIRECTION('center_axis',(0.,-1.,0.)); #427905=DIRECTION('ref_axis',(0.,0.,-1.)); #427906=DIRECTION('center_axis',(0.,1.,0.)); #427907=DIRECTION('ref_axis',(-0.389102662767433,0.,0.92119439741419)); #427908=DIRECTION('',(0.389102662767433,0.,-0.92119439741419)); #427909=DIRECTION('center_axis',(0.,-1.,0.)); #427910=DIRECTION('ref_axis',(0.,0.,-1.)); #427911=DIRECTION('',(1.,0.,0.)); #427912=DIRECTION('center_axis',(0.,-1.,0.)); #427913=DIRECTION('ref_axis',(0.,0.,-1.)); #427914=DIRECTION('',(0.389102662767433,0.,0.92119439741419)); #427915=DIRECTION('center_axis',(0.,-1.,0.)); #427916=DIRECTION('ref_axis',(0.,0.,-1.)); #427917=DIRECTION('center_axis',(0.,1.,0.)); #427918=DIRECTION('ref_axis',(-1.,0.,0.)); #427919=DIRECTION('',(-0.389102662767433,0.,-0.92119439741419)); #427920=DIRECTION('center_axis',(0.,-1.,0.)); #427921=DIRECTION('ref_axis',(-1.,0.,0.)); #427922=DIRECTION('',(-1.,0.,0.)); #427923=DIRECTION('center_axis',(0.,-1.,0.)); #427924=DIRECTION('ref_axis',(-1.,0.,0.)); #427925=DIRECTION('',(-0.389102662767433,0.,0.92119439741419)); #427926=DIRECTION('center_axis',(0.,1.,0.)); #427927=DIRECTION('ref_axis',(-1.,0.,0.)); #427928=DIRECTION('center_axis',(0.,-1.,0.)); #427929=DIRECTION('ref_axis',(0.,0.,-1.)); #427930=DIRECTION('center_axis',(0.,-1.,0.)); #427931=DIRECTION('ref_axis',(0.,0.,-1.)); #427932=DIRECTION('',(0.,-1.,0.)); #427933=DIRECTION('center_axis',(0.92119439741419,0.,0.389102662767433)); #427934=DIRECTION('ref_axis',(0.389102662767433,0.,-0.92119439741419)); #427935=DIRECTION('',(0.,-1.,0.)); #427936=DIRECTION('center_axis',(0.,-1.,0.)); #427937=DIRECTION('ref_axis',(0.,0.,-1.)); #427938=DIRECTION('',(0.,-1.,0.)); #427939=DIRECTION('center_axis',(0.,0.,1.)); #427940=DIRECTION('ref_axis',(1.,0.,0.)); #427941=DIRECTION('',(0.,-1.,0.)); #427942=DIRECTION('center_axis',(0.,-1.,0.)); #427943=DIRECTION('ref_axis',(0.,0.,-1.)); #427944=DIRECTION('',(0.,-1.,0.)); #427945=DIRECTION('center_axis',(-0.92119439741419,0.,0.389102662767433)); #427946=DIRECTION('ref_axis',(0.389102662767433,0.,0.92119439741419)); #427947=DIRECTION('',(0.,-1.,0.)); #427948=DIRECTION('center_axis',(0.,-1.,0.)); #427949=DIRECTION('ref_axis',(0.,0.,-1.)); #427950=DIRECTION('center_axis',(0.,-1.,0.)); #427951=DIRECTION('ref_axis',(0.,0.,-1.)); #427952=DIRECTION('',(0.,1.,0.)); #427953=DIRECTION('center_axis',(0.92119439741419,0.,0.389102662767433)); #427954=DIRECTION('ref_axis',(0.389102662767433,0.,-0.92119439741419)); #427955=DIRECTION('',(0.,-1.,0.)); #427956=DIRECTION('center_axis',(0.,-1.,0.)); #427957=DIRECTION('ref_axis',(0.,0.,-1.)); #427958=DIRECTION('',(0.,1.,0.)); #427959=DIRECTION('center_axis',(0.,0.,1.)); #427960=DIRECTION('ref_axis',(1.,0.,0.)); #427961=DIRECTION('',(0.,-1.,0.)); #427962=DIRECTION('center_axis',(0.,-1.,0.)); #427963=DIRECTION('ref_axis',(0.,0.,-1.)); #427964=DIRECTION('',(0.,1.,0.)); #427965=DIRECTION('center_axis',(-0.92119439741419,0.,0.389102662767433)); #427966=DIRECTION('ref_axis',(0.389102662767433,0.,0.92119439741419)); #427967=DIRECTION('',(0.,-1.,0.)); #427968=DIRECTION('center_axis',(0.,-1.,0.)); #427969=DIRECTION('ref_axis',(0.,0.,-1.)); #427970=DIRECTION('center_axis',(0.866025403784439,8.64686972952067E-16, -0.5)); #427971=DIRECTION('ref_axis',(-7.48840884897957E-16,1.,4.32343486476034E-16)); #427972=DIRECTION('',(-7.48840884897958E-16,1.,4.32343486476034E-16)); #427973=DIRECTION('',(-0.5,0.,-0.866025403784439)); #427974=DIRECTION('',(7.48840884897958E-16,-1.,-4.32343486476034E-16)); #427975=DIRECTION('',(-0.5,0.,-0.866025403784439)); #427976=DIRECTION('center_axis',(-0.5,0.,-0.866025403784439)); #427977=DIRECTION('ref_axis',(-0.866025403784439,0.,0.5)); #427978=DIRECTION('center_axis',(0.5,0.,0.866025403784439)); #427979=DIRECTION('ref_axis',(0.866025403784439,0.,-0.5)); #427980=DIRECTION('center_axis',(0.5,0.,0.866025403784439)); #427981=DIRECTION('ref_axis',(0.866025403784439,0.,-0.5)); #427982=DIRECTION('',(-0.5,0.,-0.866025403784439)); #427983=DIRECTION('center_axis',(8.02518482231389E-16,1.,-4.63334261745942E-16)); #427984=DIRECTION('ref_axis',(-0.866025403784439,9.26668523491884E-16,0.5)); #427985=DIRECTION('',(-0.866025403784439,9.26668523491884E-16,0.5)); #427986=DIRECTION('',(0.866025403784439,-9.26668523491884E-16,-0.5)); #427987=DIRECTION('',(-0.5,0.,-0.866025403784439)); #427988=DIRECTION('center_axis',(-0.5,0.,-0.866025403784439)); #427989=DIRECTION('ref_axis',(-0.866025403784439,0.,0.5)); #427990=DIRECTION('center_axis',(0.5,0.,0.866025403784439)); #427991=DIRECTION('ref_axis',(0.866025403784439,0.,-0.5)); #427992=DIRECTION('center_axis',(0.5,0.,0.866025403784439)); #427993=DIRECTION('ref_axis',(0.866025403784439,0.,-0.5)); #427994=DIRECTION('center_axis',(0.5,0.,0.866025403784439)); #427995=DIRECTION('ref_axis',(0.866025403784439,0.,-0.5)); #427996=DIRECTION('',(-1.57502175620053E-16,-1.,9.09339234921896E-17)); #427997=DIRECTION('center_axis',(-0.5,0.,-0.866025403784439)); #427998=DIRECTION('ref_axis',(-0.866025403784439,0.,0.5)); #427999=DIRECTION('center_axis',(-0.5,0.,-0.866025403784439)); #428000=DIRECTION('ref_axis',(-0.866025403784439,0.,0.5)); #428001=DIRECTION('',(-0.499999999999999,1.94485322829732E-18,-0.866025403784439)); #428002=DIRECTION('center_axis',(0.5,0.,0.866025403784439)); #428003=DIRECTION('ref_axis',(0.866025403784439,0.,-0.5)); #428004=DIRECTION('',(-0.499999999999999,1.94485322829732E-18,-0.866025403784439)); #428005=DIRECTION('center_axis',(0.5,0.,0.866025403784439)); #428006=DIRECTION('ref_axis',(0.866025403784439,0.,-0.5)); #428007=DIRECTION('',(-1.57502175620053E-16,-1.,9.09339234921896E-17)); #428008=DIRECTION('center_axis',(0.,1.,0.)); #428009=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #428010=DIRECTION('center_axis',(0.,1.,0.)); #428011=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #428012=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #428013=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #428014=DIRECTION('',(0.,-1.,8.70845118462252E-16)); #428015=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #428016=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #428017=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #428018=DIRECTION('ref_axis',(-1.,0.,0.)); #428019=DIRECTION('center_axis',(1.,0.,0.)); #428020=DIRECTION('ref_axis',(0.,0.,-1.)); #428021=DIRECTION('',(0.,-1.,8.70845118462252E-16)); #428022=DIRECTION('',(0.,8.70845118462252E-16,1.)); #428023=DIRECTION('',(0.,8.70845118462252E-16,1.)); #428024=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #428025=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #428026=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #428027=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #428028=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #428029=DIRECTION('ref_axis',(1.,0.,0.)); #428030=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #428031=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #428032=DIRECTION('center_axis',(0.,-1.,7.40148683083438E-16)); #428033=DIRECTION('ref_axis',(0.,0.,-1.)); #428034=DIRECTION('center_axis',(-7.2280144832367E-16,-7.2280144832367E-16, -1.)); #428035=DIRECTION('ref_axis',(-1.,0.,7.2280144832367E-16)); #428036=DIRECTION('center_axis',(0.,8.70845118462252E-16,1.)); #428037=DIRECTION('ref_axis',(0.,-1.,8.70845118462252E-16)); #428038=DIRECTION('center_axis',(0.,-7.2280144832367E-16,-1.)); #428039=DIRECTION('ref_axis',(0.,1.,-7.2280144832367E-16)); #428040=DIRECTION('center_axis',(0.,-1.,7.40148683083438E-16)); #428041=DIRECTION('ref_axis',(0.,0.,-1.)); #428042=DIRECTION('center_axis',(0.,-1.,0.)); #428043=DIRECTION('ref_axis',(0.,0.,-1.)); #428044=DIRECTION('',(-1.,0.,6.12323399573677E-17)); #428045=DIRECTION('',(-6.12323399573677E-17,0.,-1.)); #428046=DIRECTION('',(-1.,0.,6.12323399573677E-17)); #428047=DIRECTION('center_axis',(-1.80700362080917E-16,1.,1.10647060013582E-32)); #428048=DIRECTION('ref_axis',(-1.,-1.80700362080917E-16,0.)); #428049=DIRECTION('',(1.,1.80700362080917E-16,-6.12323399573677E-17)); #428050=DIRECTION('',(1.,1.80700362080917E-16,-6.12323399573677E-17)); #428051=DIRECTION('',(-6.12323399573677E-17,0.,-1.)); #428052=DIRECTION('center_axis',(-1.,0.,6.12323399573677E-17)); #428053=DIRECTION('ref_axis',(6.12323399573677E-17,0.,1.)); #428054=DIRECTION('',(0.,1.,0.)); #428055=DIRECTION('',(0.,1.,0.)); #428056=DIRECTION('center_axis',(6.12323399573677E-17,0.,1.)); #428057=DIRECTION('ref_axis',(1.,0.,-6.12323399573677E-17)); #428058=DIRECTION('center_axis',(6.12323399573677E-17,0.,1.)); #428059=DIRECTION('ref_axis',(1.,0.,-6.12323399573677E-17)); #428060=DIRECTION('center_axis',(0.,-1.,-1.04905870583987E-16)); #428061=DIRECTION('ref_axis',(0.,1.04905870583987E-16,-1.)); #428062=DIRECTION('',(-1.,-1.2329449625327E-32,1.17528690784337E-16)); #428063=DIRECTION('',(-1.,0.,0.)); #428064=DIRECTION('center_axis',(0.,1.,-1.80700362080917E-17)); #428065=DIRECTION('ref_axis',(0.,1.80700362080917E-17,1.)); #428066=DIRECTION('',(-1.,2.12374769796258E-33,1.17528690784337E-16)); #428067=DIRECTION('',(-1.,0.,0.)); #428068=DIRECTION('center_axis',(-1.,0.,0.)); #428069=DIRECTION('ref_axis',(0.,0.,1.)); #428070=DIRECTION('',(-1.,0.,0.)); #428071=DIRECTION('center_axis',(0.,-1.41725774181112E-15,-1.)); #428072=DIRECTION('ref_axis',(0.,1.,-1.41725774181112E-15)); #428073=DIRECTION('',(-1.,0.,0.)); #428074=DIRECTION('center_axis',(-1.,0.,0.)); #428075=DIRECTION('ref_axis',(0.,0.,1.)); #428076=DIRECTION('',(-1.,0.,0.)); #428077=DIRECTION('center_axis',(-1.,0.,0.)); #428078=DIRECTION('ref_axis',(0.,0.,1.)); #428079=DIRECTION('',(-1.,0.,0.)); #428080=DIRECTION('center_axis',(-1.,0.,0.)); #428081=DIRECTION('ref_axis',(0.,0.,1.)); #428082=DIRECTION('',(-1.,0.,0.)); #428083=DIRECTION('center_axis',(0.,1.,0.)); #428084=DIRECTION('ref_axis',(0.,0.,1.)); #428085=DIRECTION('',(-1.,0.,0.)); #428086=DIRECTION('center_axis',(-1.,0.,0.)); #428087=DIRECTION('ref_axis',(0.,0.,1.)); #428088=DIRECTION('',(-1.,0.,0.)); #428089=DIRECTION('center_axis',(0.,0.940831295843523,-0.338875305623466)); #428090=DIRECTION('ref_axis',(0.,0.338875305623466,0.940831295843523)); #428091=DIRECTION('',(-1.,0.,0.)); #428092=DIRECTION('center_axis',(-1.,0.,0.)); #428093=DIRECTION('ref_axis',(0.,0.,1.)); #428094=DIRECTION('',(-1.,0.,0.)); #428095=DIRECTION('center_axis',(0.,1.87378280388019E-15,-1.)); #428096=DIRECTION('ref_axis',(0.,1.,1.87378280388019E-15)); #428097=DIRECTION('',(-1.,0.,0.)); #428098=DIRECTION('center_axis',(-1.,0.,0.)); #428099=DIRECTION('ref_axis',(0.,0.,1.)); #428100=DIRECTION('',(-1.,0.,0.)); #428101=DIRECTION('center_axis',(0.,-0.940831295843521,-0.338875305623472)); #428102=DIRECTION('ref_axis',(0.,0.338875305623472,-0.940831295843521)); #428103=DIRECTION('',(-1.,0.,0.)); #428104=DIRECTION('center_axis',(-1.,0.,0.)); #428105=DIRECTION('ref_axis',(0.,0.,1.)); #428106=DIRECTION('center_axis',(0.,1.,-8.70845118462252E-16)); #428107=DIRECTION('ref_axis',(0.,8.70845118462252E-16,1.)); #428108=DIRECTION('',(-1.,1.02349286648799E-31,1.17528690784337E-16)); #428109=DIRECTION('',(-1.,0.,0.)); #428110=DIRECTION('center_axis',(-1.,0.,0.)); #428111=DIRECTION('ref_axis',(0.,0.,1.)); #428112=DIRECTION('',(-1.,0.,0.)); #428113=DIRECTION('center_axis',(-1.,0.,0.)); #428114=DIRECTION('ref_axis',(0.,0.,1.)); #428115=DIRECTION('',(-1.,0.,0.)); #428116=DIRECTION('center_axis',(0.,1.,0.)); #428117=DIRECTION('ref_axis',(0.,0.,1.)); #428118=DIRECTION('',(-1.,0.,0.)); #428119=DIRECTION('center_axis',(-1.,0.,0.)); #428120=DIRECTION('ref_axis',(0.,0.,1.)); #428121=DIRECTION('',(-1.,0.,0.)); #428122=DIRECTION('center_axis',(0.,0.90061768026759,0.434612234054019)); #428123=DIRECTION('ref_axis',(0.,-0.434612234054019,0.90061768026759)); #428124=DIRECTION('',(-1.,0.,0.)); #428125=DIRECTION('center_axis',(-1.,0.,0.)); #428126=DIRECTION('ref_axis',(0.,0.,1.)); #428127=DIRECTION('',(-1.,0.,0.)); #428128=DIRECTION('center_axis',(0.,0.,1.)); #428129=DIRECTION('ref_axis',(1.,0.,0.)); #428130=DIRECTION('',(-1.,0.,0.)); #428131=DIRECTION('center_axis',(-1.,0.,0.)); #428132=DIRECTION('ref_axis',(0.,0.,1.)); #428133=DIRECTION('',(-1.,0.,0.)); #428134=DIRECTION('center_axis',(0.,-0.90061768026759,0.434612234054018)); #428135=DIRECTION('ref_axis',(0.,-0.434612234054018,-0.90061768026759)); #428136=DIRECTION('',(-1.,0.,0.)); #428137=DIRECTION('center_axis',(-1.,0.,0.)); #428138=DIRECTION('ref_axis',(0.,0.,1.)); #428139=DIRECTION('',(-1.,0.,0.)); #428140=DIRECTION('center_axis',(0.,-1.,9.63735264431559E-16)); #428141=DIRECTION('ref_axis',(0.,-9.63735264431559E-16,-1.)); #428142=DIRECTION('',(-0.572219065214357,-7.90360072656087E-16,-0.82010081173305)); #428143=DIRECTION('',(-1.,0.,0.)); #428144=DIRECTION('center_axis',(0.,-0.716348809660705,-0.697742347072106)); #428145=DIRECTION('ref_axis',(0.,0.697742347072106,-0.716348809660705)); #428146=DIRECTION('',(0.,-0.697742347072106,0.716348809660705)); #428147=DIRECTION('',(-1.,0.,0.)); #428148=DIRECTION('center_axis',(0.,-1.,0.)); #428149=DIRECTION('ref_axis',(0.,0.,-1.)); #428150=DIRECTION('',(-1.,0.,0.)); #428151=DIRECTION('center_axis',(-1.,0.,0.)); #428152=DIRECTION('ref_axis',(0.,0.,1.)); #428153=DIRECTION('',(-1.,0.,0.)); #428154=DIRECTION('center_axis',(-1.,0.,0.)); #428155=DIRECTION('ref_axis',(0.,0.,1.)); #428156=DIRECTION('center_axis',(0.707106781186548,-0.506535101005996,-0.493378345135703)); #428157=DIRECTION('ref_axis',(-6.10622663543836E-16,-0.697742347072106, 0.716348809660705)); #428158=DIRECTION('center_axis',(-1.,0.,1.17528690784337E-16)); #428159=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #428160=DIRECTION('center_axis',(-1.,-8.87114348748494E-17,1.17528690784337E-16)); #428161=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #428162=DIRECTION('center_axis',(1.,2.15761626365275E-16,-1.17528690784337E-16)); #428163=DIRECTION('ref_axis',(-2.15761626365275E-16,1.,0.)); #428164=DIRECTION('center_axis',(0.,-1.,0.)); #428165=DIRECTION('ref_axis',(0.,0.,-1.)); #428166=DIRECTION('',(0.,0.,1.)); #428167=DIRECTION('center_axis',(1.,0.,0.)); #428168=DIRECTION('ref_axis',(0.,0.,-1.)); #428169=DIRECTION('',(1.58385899163314E-15,0.,1.)); #428170=DIRECTION('',(0.,0.,1.)); #428171=DIRECTION('center_axis',(8.470329472543E-17,1.,0.)); #428172=DIRECTION('ref_axis',(-1.,8.470329472543E-17,0.)); #428173=DIRECTION('center_axis',(-1.,0.,0.)); #428174=DIRECTION('ref_axis',(0.,0.,1.)); #428175=DIRECTION('',(-2.11181198884419E-15,0.,1.)); #428176=DIRECTION('center_axis',(0.,-1.,0.)); #428177=DIRECTION('ref_axis',(0.,0.,-1.)); #428178=DIRECTION('center_axis',(0.,1.,0.)); #428179=DIRECTION('ref_axis',(1.,0.,0.)); #428180=DIRECTION('',(0.389102662767433,0.,-0.92119439741419)); #428181=DIRECTION('center_axis',(0.,-1.,0.)); #428182=DIRECTION('ref_axis',(1.,0.,0.)); #428183=DIRECTION('',(1.,0.,0.)); #428184=DIRECTION('center_axis',(0.,-1.,0.)); #428185=DIRECTION('ref_axis',(1.,0.,0.)); #428186=DIRECTION('',(0.389102662767433,0.,0.92119439741419)); #428187=DIRECTION('center_axis',(0.,1.,0.)); #428188=DIRECTION('ref_axis',(1.,0.,0.)); #428189=DIRECTION('center_axis',(0.,-1.,0.)); #428190=DIRECTION('ref_axis',(0.,0.,-1.)); #428191=DIRECTION('',(-0.389102662767433,0.,-0.92119439741419)); #428192=DIRECTION('center_axis',(0.,-1.,0.)); #428193=DIRECTION('ref_axis',(0.,0.,-1.)); #428194=DIRECTION('',(-1.,0.,0.)); #428195=DIRECTION('center_axis',(0.,-1.,0.)); #428196=DIRECTION('ref_axis',(0.,0.,-1.)); #428197=DIRECTION('',(-0.389102662767433,0.,0.92119439741419)); #428198=DIRECTION('center_axis',(0.,-1.,0.)); #428199=DIRECTION('ref_axis',(0.,0.,-1.)); #428200=DIRECTION('center_axis',(0.,1.,0.)); #428201=DIRECTION('ref_axis',(0.389102662767433,0.,-0.92119439741419)); #428202=DIRECTION('',(-0.389102662767433,0.,0.92119439741419)); #428203=DIRECTION('center_axis',(0.,-1.,0.)); #428204=DIRECTION('ref_axis',(0.,0.,-1.)); #428205=DIRECTION('',(-1.,0.,0.)); #428206=DIRECTION('center_axis',(0.,-1.,0.)); #428207=DIRECTION('ref_axis',(0.,0.,-1.)); #428208=DIRECTION('',(-0.389102662767433,0.,-0.92119439741419)); #428209=DIRECTION('center_axis',(0.,-1.,0.)); #428210=DIRECTION('ref_axis',(0.,0.,-1.)); #428211=DIRECTION('center_axis',(0.,1.,0.)); #428212=DIRECTION('ref_axis',(1.,0.,0.)); #428213=DIRECTION('',(0.389102662767433,0.,0.92119439741419)); #428214=DIRECTION('center_axis',(0.,-1.,0.)); #428215=DIRECTION('ref_axis',(1.,0.,0.)); #428216=DIRECTION('',(1.,0.,0.)); #428217=DIRECTION('center_axis',(0.,-1.,0.)); #428218=DIRECTION('ref_axis',(1.,0.,0.)); #428219=DIRECTION('',(0.389102662767433,0.,-0.92119439741419)); #428220=DIRECTION('center_axis',(0.,1.,0.)); #428221=DIRECTION('ref_axis',(1.,0.,0.)); #428222=DIRECTION('center_axis',(0.,-1.,0.)); #428223=DIRECTION('ref_axis',(0.,0.,-1.)); #428224=DIRECTION('center_axis',(0.,-1.,0.)); #428225=DIRECTION('ref_axis',(0.,0.,-1.)); #428226=DIRECTION('',(0.,-1.,0.)); #428227=DIRECTION('center_axis',(-0.92119439741419,0.,-0.389102662767433)); #428228=DIRECTION('ref_axis',(-0.389102662767433,0.,0.92119439741419)); #428229=DIRECTION('',(0.,-1.,0.)); #428230=DIRECTION('center_axis',(0.,-1.,0.)); #428231=DIRECTION('ref_axis',(0.,0.,-1.)); #428232=DIRECTION('',(0.,-1.,0.)); #428233=DIRECTION('center_axis',(0.,0.,-1.)); #428234=DIRECTION('ref_axis',(-1.,0.,0.)); #428235=DIRECTION('',(0.,-1.,0.)); #428236=DIRECTION('center_axis',(0.,-1.,0.)); #428237=DIRECTION('ref_axis',(0.,0.,-1.)); #428238=DIRECTION('',(0.,-1.,0.)); #428239=DIRECTION('center_axis',(0.92119439741419,0.,-0.389102662767433)); #428240=DIRECTION('ref_axis',(-0.389102662767433,0.,-0.92119439741419)); #428241=DIRECTION('',(0.,-1.,0.)); #428242=DIRECTION('center_axis',(0.,-1.,0.)); #428243=DIRECTION('ref_axis',(0.,0.,-1.)); #428244=DIRECTION('center_axis',(0.,-1.,0.)); #428245=DIRECTION('ref_axis',(0.,0.,-1.)); #428246=DIRECTION('',(0.,1.,0.)); #428247=DIRECTION('center_axis',(-0.92119439741419,0.,-0.389102662767433)); #428248=DIRECTION('ref_axis',(-0.389102662767433,0.,0.92119439741419)); #428249=DIRECTION('',(0.,-1.,0.)); #428250=DIRECTION('center_axis',(0.,-1.,0.)); #428251=DIRECTION('ref_axis',(0.,0.,-1.)); #428252=DIRECTION('',(0.,1.,0.)); #428253=DIRECTION('center_axis',(0.,0.,-1.)); #428254=DIRECTION('ref_axis',(-1.,0.,0.)); #428255=DIRECTION('',(0.,-1.,0.)); #428256=DIRECTION('center_axis',(0.,-1.,0.)); #428257=DIRECTION('ref_axis',(0.,0.,-1.)); #428258=DIRECTION('',(0.,1.,0.)); #428259=DIRECTION('center_axis',(0.92119439741419,0.,-0.389102662767433)); #428260=DIRECTION('ref_axis',(-0.389102662767433,0.,-0.92119439741419)); #428261=DIRECTION('',(0.,-1.,0.)); #428262=DIRECTION('center_axis',(0.,-1.,0.)); #428263=DIRECTION('ref_axis',(0.,0.,-1.)); #428264=DIRECTION('center_axis',(1.,0.,0.)); #428265=DIRECTION('ref_axis',(0.,0.,-1.)); #428266=DIRECTION('',(2.11181198884419E-15,0.,-1.)); #428267=DIRECTION('',(0.,0.,-1.)); #428268=DIRECTION('center_axis',(0.,-1.,0.)); #428269=DIRECTION('ref_axis',(0.,0.,-1.)); #428270=DIRECTION('center_axis',(-1.,0.,0.)); #428271=DIRECTION('ref_axis',(0.,0.,1.)); #428272=DIRECTION('',(-2.11181198884419E-15,0.,-1.)); #428273=DIRECTION('',(0.,0.,-1.)); #428274=DIRECTION('center_axis',(8.470329472543E-17,1.,0.)); #428275=DIRECTION('ref_axis',(-1.,8.470329472543E-17,0.)); #428276=DIRECTION('center_axis',(0.,-1.,0.)); #428277=DIRECTION('ref_axis',(0.,0.,-1.)); #428278=DIRECTION('center_axis',(0.,1.,0.)); #428279=DIRECTION('ref_axis',(1.,0.,0.)); #428280=DIRECTION('',(-0.389102662767433,0.,0.92119439741419)); #428281=DIRECTION('center_axis',(0.,-1.,0.)); #428282=DIRECTION('ref_axis',(1.,0.,0.)); #428283=DIRECTION('',(-1.,0.,0.)); #428284=DIRECTION('center_axis',(0.,-1.,0.)); #428285=DIRECTION('ref_axis',(1.,0.,0.)); #428286=DIRECTION('',(-0.389102662767433,0.,-0.92119439741419)); #428287=DIRECTION('center_axis',(0.,1.,0.)); #428288=DIRECTION('ref_axis',(1.,0.,0.)); #428289=DIRECTION('center_axis',(0.,-1.,0.)); #428290=DIRECTION('ref_axis',(0.,0.,-1.)); #428291=DIRECTION('',(0.389102662767433,0.,0.92119439741419)); #428292=DIRECTION('center_axis',(0.,-1.,0.)); #428293=DIRECTION('ref_axis',(0.,0.,-1.)); #428294=DIRECTION('',(1.,0.,0.)); #428295=DIRECTION('center_axis',(0.,-1.,0.)); #428296=DIRECTION('ref_axis',(0.,0.,-1.)); #428297=DIRECTION('',(0.389102662767433,0.,-0.92119439741419)); #428298=DIRECTION('center_axis',(0.,-1.,0.)); #428299=DIRECTION('ref_axis',(0.,0.,-1.)); #428300=DIRECTION('center_axis',(0.,1.,0.)); #428301=DIRECTION('ref_axis',(-0.389102662767433,0.,0.92119439741419)); #428302=DIRECTION('',(0.389102662767433,0.,-0.92119439741419)); #428303=DIRECTION('center_axis',(0.,-1.,0.)); #428304=DIRECTION('ref_axis',(0.,0.,-1.)); #428305=DIRECTION('',(1.,0.,0.)); #428306=DIRECTION('center_axis',(0.,-1.,0.)); #428307=DIRECTION('ref_axis',(0.,0.,-1.)); #428308=DIRECTION('',(0.389102662767433,0.,0.92119439741419)); #428309=DIRECTION('center_axis',(0.,-1.,0.)); #428310=DIRECTION('ref_axis',(0.,0.,-1.)); #428311=DIRECTION('center_axis',(0.,1.,0.)); #428312=DIRECTION('ref_axis',(1.,0.,0.)); #428313=DIRECTION('',(-0.389102662767433,0.,-0.92119439741419)); #428314=DIRECTION('center_axis',(0.,-1.,0.)); #428315=DIRECTION('ref_axis',(1.,0.,0.)); #428316=DIRECTION('',(-1.,0.,0.)); #428317=DIRECTION('center_axis',(0.,-1.,0.)); #428318=DIRECTION('ref_axis',(1.,0.,0.)); #428319=DIRECTION('',(-0.389102662767433,0.,0.92119439741419)); #428320=DIRECTION('center_axis',(0.,1.,0.)); #428321=DIRECTION('ref_axis',(1.,0.,0.)); #428322=DIRECTION('center_axis',(0.,-1.,0.)); #428323=DIRECTION('ref_axis',(0.,0.,-1.)); #428324=DIRECTION('center_axis',(0.,-1.,0.)); #428325=DIRECTION('ref_axis',(0.,0.,-1.)); #428326=DIRECTION('',(0.,-1.,0.)); #428327=DIRECTION('center_axis',(0.92119439741419,0.,0.389102662767433)); #428328=DIRECTION('ref_axis',(0.389102662767433,0.,-0.92119439741419)); #428329=DIRECTION('',(0.,-1.,0.)); #428330=DIRECTION('center_axis',(0.,-1.,0.)); #428331=DIRECTION('ref_axis',(0.,0.,-1.)); #428332=DIRECTION('',(0.,-1.,0.)); #428333=DIRECTION('center_axis',(0.,0.,1.)); #428334=DIRECTION('ref_axis',(1.,0.,0.)); #428335=DIRECTION('',(0.,-1.,0.)); #428336=DIRECTION('center_axis',(0.,-1.,0.)); #428337=DIRECTION('ref_axis',(0.,0.,-1.)); #428338=DIRECTION('',(0.,-1.,0.)); #428339=DIRECTION('center_axis',(-0.92119439741419,0.,0.389102662767433)); #428340=DIRECTION('ref_axis',(0.389102662767433,0.,0.92119439741419)); #428341=DIRECTION('',(0.,-1.,0.)); #428342=DIRECTION('center_axis',(0.,-1.,0.)); #428343=DIRECTION('ref_axis',(0.,0.,-1.)); #428344=DIRECTION('center_axis',(0.,-1.,0.)); #428345=DIRECTION('ref_axis',(0.,0.,-1.)); #428346=DIRECTION('',(0.,1.,0.)); #428347=DIRECTION('center_axis',(0.92119439741419,0.,0.389102662767433)); #428348=DIRECTION('ref_axis',(0.389102662767433,0.,-0.92119439741419)); #428349=DIRECTION('',(0.,-1.,0.)); #428350=DIRECTION('center_axis',(0.,-1.,0.)); #428351=DIRECTION('ref_axis',(0.,0.,-1.)); #428352=DIRECTION('',(0.,1.,0.)); #428353=DIRECTION('center_axis',(0.,0.,1.)); #428354=DIRECTION('ref_axis',(1.,0.,0.)); #428355=DIRECTION('',(0.,-1.,0.)); #428356=DIRECTION('center_axis',(0.,-1.,0.)); #428357=DIRECTION('ref_axis',(0.,0.,-1.)); #428358=DIRECTION('',(0.,1.,0.)); #428359=DIRECTION('center_axis',(-0.92119439741419,0.,0.389102662767433)); #428360=DIRECTION('ref_axis',(0.389102662767433,0.,0.92119439741419)); #428361=DIRECTION('',(0.,-1.,0.)); #428362=DIRECTION('center_axis',(0.,-1.,0.)); #428363=DIRECTION('ref_axis',(0.,0.,-1.)); #428364=DIRECTION('center_axis',(0.5,0.,-0.866025403784439)); #428365=DIRECTION('ref_axis',(-0.866025403784439,0.,-0.5)); #428366=DIRECTION('center_axis',(-0.5,0.,0.866025403784439)); #428367=DIRECTION('ref_axis',(0.866025403784439,0.,0.5)); #428368=DIRECTION('',(0.5,0.,-0.866025403784439)); #428369=DIRECTION('center_axis',(-0.5,0.,0.866025403784439)); #428370=DIRECTION('ref_axis',(0.866025403784439,0.,0.5)); #428371=DIRECTION('',(0.5,0.,-0.866025403784439)); #428372=DIRECTION('center_axis',(-0.866025403784439,8.64686972952067E-16, -0.5)); #428373=DIRECTION('ref_axis',(-7.48840884897957E-16,-1.,-4.32343486476034E-16)); #428374=DIRECTION('',(-7.48840884897958E-16,-1.,-4.32343486476033E-16)); #428375=DIRECTION('',(7.48840884897958E-16,1.,4.32343486476033E-16)); #428376=DIRECTION('',(0.5,0.,-0.866025403784439)); #428377=DIRECTION('center_axis',(0.5,0.,-0.866025403784439)); #428378=DIRECTION('ref_axis',(0.671860342095719,0.630981965636656,0.387898749366797)); #428379=DIRECTION('center_axis',(-0.5,0.,0.866025403784439)); #428380=DIRECTION('ref_axis',(0.866025403784439,0.,0.5)); #428381=DIRECTION('center_axis',(-0.5,0.,0.866025403784439)); #428382=DIRECTION('ref_axis',(-0.866025403784439,0.,-0.5)); #428383=DIRECTION('center_axis',(-8.02518482231389E-16,1.,-4.63334261745942E-16)); #428384=DIRECTION('ref_axis',(-0.866025403784439,-9.26668523491884E-16, -0.5)); #428385=DIRECTION('',(0.5,0.,-0.866025403784439)); #428386=DIRECTION('',(0.866025403784439,9.26668523491884E-16,0.5)); #428387=DIRECTION('',(-0.866025403784439,-9.26668523491884E-16,-0.5)); #428388=DIRECTION('center_axis',(0.5,0.,-0.866025403784439)); #428389=DIRECTION('ref_axis',(-0.866025403784439,0.,-0.5)); #428390=DIRECTION('center_axis',(-0.5,0.,0.866025403784439)); #428391=DIRECTION('ref_axis',(0.866025403784439,0.,0.5)); #428392=DIRECTION('',(0.5,-2.94300768366755E-32,-0.866025403784439)); #428393=DIRECTION('',(0.5,-2.94300768366755E-32,-0.866025403784439)); #428394=DIRECTION('center_axis',(0.5,0.,-0.866025403784439)); #428395=DIRECTION('ref_axis',(0.866025403784439,0.,0.5)); #428396=DIRECTION('center_axis',(-0.5,0.,0.866025403784439)); #428397=DIRECTION('ref_axis',(0.866025403784439,0.,0.5)); #428398=DIRECTION('',(-1.57502175620053E-16,1.,-9.09339234921896E-17)); #428399=DIRECTION('center_axis',(-0.5,0.,0.866025403784439)); #428400=DIRECTION('ref_axis',(0.866025403784439,0.,0.5)); #428401=DIRECTION('',(-1.57502175620053E-16,1.,-9.09339234921896E-17)); #428402=DIRECTION('center_axis',(-5.8860153673351E-32,-1.,-9.52482186350414E-48)); #428403=DIRECTION('ref_axis',(-1.61821219773956E-16,1.58006247289443E-62, 1.)); #428404=DIRECTION('center_axis',(-5.8860153673351E-32,-1.,-9.52482186350414E-48)); #428405=DIRECTION('ref_axis',(-1.61821219773956E-16,1.58006247289443E-62, 1.)); #428406=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #428407=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #428408=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #428409=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #428410=DIRECTION('',(0.,-1.,8.70845118462252E-16)); #428411=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #428412=DIRECTION('ref_axis',(-1.,0.,0.)); #428413=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #428414=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #428415=DIRECTION('',(0.,-1.,8.70845118462252E-16)); #428416=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #428417=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #428418=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #428419=DIRECTION('ref_axis',(1.,0.,0.)); #428420=DIRECTION('center_axis',(-1.,0.,0.)); #428421=DIRECTION('ref_axis',(0.,0.,1.)); #428422=DIRECTION('',(0.,-8.70845118462252E-16,-1.)); #428423=DIRECTION('',(0.,-8.70845118462252E-16,-1.)); #428424=DIRECTION('center_axis',(0.,-1.,8.70845118462252E-16)); #428425=DIRECTION('ref_axis',(0.,-8.70845118462252E-16,-1.)); #428426=DIRECTION('center_axis',(0.,-1.,7.40148683083438E-16)); #428427=DIRECTION('ref_axis',(0.,0.,-1.)); #428428=DIRECTION('center_axis',(0.,-7.2280144832367E-16,-1.)); #428429=DIRECTION('ref_axis',(0.,1.,-7.2280144832367E-16)); #428430=DIRECTION('center_axis',(0.,-8.70845118462252E-16,-1.)); #428431=DIRECTION('ref_axis',(0.,1.,-8.70845118462252E-16)); #428432=DIRECTION('center_axis',(0.,-7.2280144832367E-16,-1.)); #428433=DIRECTION('ref_axis',(0.,1.,-7.2280144832367E-16)); #428434=DIRECTION('center_axis',(0.,-1.,7.40148683083438E-16)); #428435=DIRECTION('ref_axis',(0.,0.,-1.)); #428436=DIRECTION('center_axis',(0.,-1.,0.)); #428437=DIRECTION('ref_axis',(0.,0.,-1.)); #428438=DIRECTION('',(-1.,0.,-6.12323399573677E-17)); #428439=DIRECTION('',(-1.,0.,-6.12323399573677E-17)); #428440=DIRECTION('',(6.12323399573677E-17,0.,-1.)); #428441=DIRECTION('center_axis',(1.,0.,6.12323399573677E-17)); #428442=DIRECTION('ref_axis',(6.12323399573677E-17,0.,-1.)); #428443=DIRECTION('',(0.,-1.,0.)); #428444=DIRECTION('',(0.,-1.,0.)); #428445=DIRECTION('',(6.12323399573677E-17,0.,-1.)); #428446=DIRECTION('center_axis',(1.80700362080917E-16,1.,1.10647060013582E-32)); #428447=DIRECTION('ref_axis',(-1.,1.80700362080917E-16,0.)); #428448=DIRECTION('',(1.,-1.80700362080917E-16,6.12323399573677E-17)); #428449=DIRECTION('',(1.,-1.80700362080917E-16,6.12323399573677E-17)); #428450=DIRECTION('center_axis',(-6.12323399573677E-17,0.,1.)); #428451=DIRECTION('ref_axis',(1.,0.,6.12323399573677E-17)); #428452=DIRECTION('center_axis',(-6.12323399573677E-17,0.,1.)); #428453=DIRECTION('ref_axis',(1.,0.,6.12323399573677E-17)); #428454=DIRECTION('center_axis',(-6.12323399573677E-17,-1.,-6.12323399573677E-17)); #428455=DIRECTION('ref_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428456=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428457=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #428458=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428459=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #428460=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428461=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #428462=DIRECTION('center_axis',(-0.894427190999916,0.447213595499957,2.73839349132101E-17)); #428463=DIRECTION('ref_axis',(-0.447213595499957,-0.894427190999916,-5.47678698264203E-17)); #428464=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428465=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428466=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #428467=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #428468=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #428469=DIRECTION('',(-6.12323399573677E-17,-1.,-6.12323399573677E-17)); #428470=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428471=DIRECTION('',(-6.12323399573677E-17,-1.,-6.12323399573677E-17)); #428472=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428473=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428474=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #428475=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428476=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #428477=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428478=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #428479=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428480=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428481=DIRECTION('ref_axis',(-4.34020088631257E-17,-0.708808595153212, 0.705400861522674)); #428482=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #428483=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #428484=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #428485=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #428486=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428487=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428488=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #428489=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428490=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #428491=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428492=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #428493=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428494=DIRECTION('center_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #428495=DIRECTION('ref_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #428496=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #428497=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #428498=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428499=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428500=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #428501=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428502=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #428503=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428504=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #428505=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428506=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428507=DIRECTION('ref_axis',(-4.34020088631253E-17,-0.708808595153206, -0.70540086152268)); #428508=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #428509=DIRECTION('ref_axis',(-8.89496095518683E-48,-6.12323399573677E-17, 1.)); #428510=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #428511=DIRECTION('ref_axis',(-8.89496095518683E-48,-6.12323399573677E-17, 1.)); #428512=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428513=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428514=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #428515=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428516=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #428517=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428518=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #428519=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428520=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #428521=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #428522=DIRECTION('',(6.12323399573677E-17,1.,6.12323399573677E-17)); #428523=DIRECTION('',(6.12323399573677E-17,1.,6.12323399573677E-17)); #428524=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428525=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428526=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #428527=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #428528=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #428529=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #428530=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #428531=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428532=DIRECTION('center_axis',(-6.12323399573677E-17,-1.,-6.12323399573677E-17)); #428533=DIRECTION('ref_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428534=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #428535=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #428536=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428537=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428538=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #428539=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #428540=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #428541=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #428542=DIRECTION('ref_axis',(8.89496095518683E-48,6.12323399573677E-17, -1.)); #428543=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #428544=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #428545=DIRECTION('',(-6.12323399573677E-17,1.,6.12323399573677E-17)); #428546=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428547=DIRECTION('',(-6.12323399573677E-17,1.,6.12323399573677E-17)); #428548=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428549=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428550=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #428551=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428552=DIRECTION('ref_axis',(8.89496095518683E-48,-6.12323399573677E-17, 1.)); #428553=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428554=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428555=DIRECTION('ref_axis',(8.89496095518683E-48,-6.12323399573677E-17, 1.)); #428556=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428557=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #428558=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #428559=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #428560=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428561=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #428562=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #428563=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428564=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #428565=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428566=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #428567=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428568=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428569=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #428570=DIRECTION('center_axis',(-6.12323399573677E-17,1.,6.12323399573677E-17)); #428571=DIRECTION('ref_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428572=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #428573=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428574=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #428575=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428576=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #428577=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428578=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #428579=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428580=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428581=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #428582=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428583=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #428584=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #428585=DIRECTION('ref_axis',(8.89496095518683E-48,-6.12323399573677E-17, 1.)); #428586=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428587=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #428588=DIRECTION('ref_axis',(8.89496095518683E-48,-6.12323399573677E-17, 1.)); #428589=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428590=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #428591=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428592=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #428593=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428594=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428595=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #428596=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #428597=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #428598=DIRECTION('',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #428599=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428600=DIRECTION('',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #428601=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428602=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #428603=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #428604=DIRECTION('ref_axis',(8.89496095518683E-48,-6.12323399573677E-17, 1.)); #428605=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428606=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #428607=DIRECTION('ref_axis',(8.89496095518683E-48,-6.12323399573677E-17, 1.)); #428608=DIRECTION('center_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #428609=DIRECTION('ref_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #428610=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #428611=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428612=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #428613=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428614=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #428615=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #428616=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #428617=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #428618=DIRECTION('ref_axis',(-8.89496095518683E-48,6.12323399573677E-17, -1.)); #428619=DIRECTION('center_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #428620=DIRECTION('ref_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #428621=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428622=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #428623=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428624=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #428625=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428626=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #428627=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428628=DIRECTION('center_axis',(0.894427190999916,0.447213595499958,2.73839349132101E-17)); #428629=DIRECTION('ref_axis',(0.447213595499958,-0.894427190999916,-5.47678698264203E-17)); #428630=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428631=DIRECTION('ref_axis',(-6.12323399573677E-17,1.,6.12323399573677E-17)); #428632=DIRECTION('',(-0.0803254032550899,0.996768694127132,6.1034479537654E-17)); #428633=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428634=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428635=DIRECTION('',(-0.630914168390843,0.775852635571789,4.75072723381514E-17)); #428636=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #428637=DIRECTION('ref_axis',(1.,-6.12323399573677E-17,-3.74939945665465E-33)); #428638=DIRECTION('',(-0.447213595499957,-0.894427190999917,-5.47678698264203E-17)); #428639=DIRECTION('',(6.12323399573677E-17,1.,6.12323399573677E-17)); #428640=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #428641=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #428642=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #428643=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #428644=DIRECTION('',(6.12323399573677E-17,1.,6.12323399573677E-17)); #428645=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #428646=DIRECTION('ref_axis',(-6.12323399573677E-17,-1.,-6.12323399573677E-17)); #428647=DIRECTION('',(4.08122526502286E-32,7.27747006531333E-16,-1.)); #428648=DIRECTION('',(-6.12323399573677E-17,-1.,-6.12323399573677E-17)); #428649=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428650=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #428651=DIRECTION('center_axis',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428652=DIRECTION('ref_axis',(6.12323399573677E-17,1.,6.12323399573677E-17)); #428653=DIRECTION('',(-1.,6.12323399573677E-17,3.74939945665464E-33)); #428654=DIRECTION('center_axis',(-0.894427190999916,0.447213595499957,2.73839349132101E-17)); #428655=DIRECTION('ref_axis',(-0.447213595499957,-0.894427190999916,-5.47678698264203E-17)); #428656=DIRECTION('center_axis',(-0.894427190999916,0.447213595499957,2.73839349132101E-17)); #428657=DIRECTION('ref_axis',(1.23259516440783E-32,-6.12323399573677E-17, 1.)); #428658=DIRECTION('',(0.447213595499957,0.894427190999917,5.47678698264203E-17)); #428659=DIRECTION('',(0.,6.12323399573677E-17,-1.)); #428660=DIRECTION('center_axis',(1.,-6.12323399573677E-17,-3.74939945665464E-33)); #428661=DIRECTION('ref_axis',(-6.12323399573677E-17,-1.,-6.12323399573677E-17)); #428662=DIRECTION('',(-6.12323399573677E-17,-1.,-6.12323399573677E-17)); #428663=DIRECTION('',(4.08122526502286E-32,7.27747006531333E-16,-1.)); #428664=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #428665=DIRECTION('ref_axis',(-6.12323399573677E-17,1.,6.12323399573677E-17)); #428666=DIRECTION('',(-6.12323399573677E-17,1.,6.12323399573677E-17)); #428667=DIRECTION('',(0.,-6.12323399573677E-17,1.)); #428668=DIRECTION('',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #428669=DIRECTION('',(4.08122526502286E-32,-7.27747006531333E-16,1.)); #428670=DIRECTION('center_axis',(0.894427190999916,0.447213595499958,2.73839349132101E-17)); #428671=DIRECTION('ref_axis',(-5.54667823983524E-32,6.12323399573677E-17, -1.)); #428672=DIRECTION('',(-0.447213595499958,0.894427190999916,5.47678698264203E-17)); #428673=DIRECTION('',(0.447213595499958,-0.894427190999916,-5.47678698264203E-17)); #428674=DIRECTION('center_axis',(0.894427190999916,0.447213595499958,2.73839349132101E-17)); #428675=DIRECTION('ref_axis',(0.447213595499958,-0.894427190999916,-5.47678698264203E-17)); #428676=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428677=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #428678=DIRECTION('',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428679=DIRECTION('center_axis',(1.,6.12323399573677E-17,3.74939945665464E-33)); #428680=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #428681=DIRECTION('',(0.080325403255087,0.996768694127132,6.1034479537654E-17)); #428682=DIRECTION('',(-0.630914168390842,-0.77585263557179,-4.75072723381515E-17)); #428683=DIRECTION('center_axis',(-1.,-6.12323399573677E-17,-3.74939945665464E-33)); #428684=DIRECTION('ref_axis',(-6.12323399573677E-17,1.,6.12323399573677E-17)); #428685=DIRECTION('',(-6.12323399573677E-17,1.,6.12323399573677E-17)); #428686=DIRECTION('',(4.08122526502286E-32,-7.27747006531333E-16,1.)); #428687=DIRECTION('',(6.12323399573677E-17,-1.,-6.12323399573677E-17)); #428688=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #428689=DIRECTION('ref_axis',(-1.,-6.12323399573677E-17,-3.74939945665465E-33)); #428690=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #428691=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #428692=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #428693=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #428694=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428695=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428696=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #428697=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #428698=DIRECTION('',(6.66514666573965E-16,-6.12323399573677E-17,1.)); #428699=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #428700=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #428701=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #428702=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #428703=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428704=DIRECTION('',(1.,0.,0.)); #428705=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428706=DIRECTION('',(-1.,0.,0.)); #428707=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #428708=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #428709=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428710=DIRECTION('',(1.,0.,0.)); #428711=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428712=DIRECTION('',(-1.,0.,0.)); #428713=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #428714=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #428715=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #428716=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #428717=DIRECTION('',(6.66514666573965E-16,6.12323399573677E-17,-1.)); #428718=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #428719=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #428720=DIRECTION('',(1.,0.,0.)); #428721=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428722=DIRECTION('',(1.,0.,0.)); #428723=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428724=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428725=DIRECTION('ref_axis',(1.,0.,0.)); #428726=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #428727=DIRECTION('ref_axis',(1.,0.,0.)); #428728=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428729=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #428730=DIRECTION('ref_axis',(1.,0.,0.)); #428731=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428732=DIRECTION('ref_axis',(1.,0.,0.)); #428733=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428734=DIRECTION('ref_axis',(1.,0.,0.)); #428735=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428736=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428737=DIRECTION('ref_axis',(1.,0.,0.)); #428738=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428739=DIRECTION('ref_axis',(1.,0.,0.)); #428740=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428741=DIRECTION('ref_axis',(1.,0.,0.)); #428742=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428743=DIRECTION('ref_axis',(1.,0.,0.)); #428744=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #428745=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #428746=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #428747=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #428748=DIRECTION('',(6.66514666573965E-16,6.12323399573677E-17,-1.)); #428749=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #428750=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #428751=DIRECTION('',(-1.,0.,0.)); #428752=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428753=DIRECTION('',(-1.,0.,0.)); #428754=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428755=DIRECTION('ref_axis',(1.,0.,0.)); #428756=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428757=DIRECTION('ref_axis',(1.,0.,0.)); #428758=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428759=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428760=DIRECTION('ref_axis',(1.,0.,0.)); #428761=DIRECTION('center_axis',(0.766736137799706,3.93088585802465E-17, -0.641962378174913)); #428762=DIRECTION('ref_axis',(-0.641962378174913,4.69490478473507E-17,-0.766736137799706)); #428763=DIRECTION('',(-0.641962378174913,4.69490478473507E-17,-0.766736137799706)); #428764=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428765=DIRECTION('',(-0.641962378174913,4.69490478473507E-17,-0.766736137799706)); #428766=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428767=DIRECTION('ref_axis',(1.,0.,0.)); #428768=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #428769=DIRECTION('ref_axis',(1.,0.,0.)); #428770=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428771=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #428772=DIRECTION('ref_axis',(1.,0.,0.)); #428773=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #428774=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #428775=DIRECTION('',(-1.,0.,0.)); #428776=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428777=DIRECTION('',(-1.,0.,0.)); #428778=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428779=DIRECTION('ref_axis',(1.,0.,0.)); #428780=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428781=DIRECTION('ref_axis',(1.,0.,0.)); #428782=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428783=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428784=DIRECTION('ref_axis',(1.,0.,0.)); #428785=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428786=DIRECTION('ref_axis',(1.,0.,0.)); #428787=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #428788=DIRECTION('ref_axis',(-1.,0.,0.)); #428789=DIRECTION('center_axis',(0.,0.707106781186549,-0.707106781186547)); #428790=DIRECTION('ref_axis',(0.,0.707106781186546,0.707106781186549)); #428791=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #428792=DIRECTION('ref_axis',(-1.,0.,0.)); #428793=DIRECTION('center_axis',(0.,0.707106781186549,-0.707106781186547)); #428794=DIRECTION('ref_axis',(1.,0.,0.)); #428795=DIRECTION('center_axis',(0.,0.707106781186549,-0.707106781186547)); #428796=DIRECTION('ref_axis',(0.,0.707106781186546,0.707106781186549)); #428797=DIRECTION('',(-1.,0.,0.)); #428798=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428799=DIRECTION('ref_axis',(-0.232364067292044,-5.95563422961443E-17, 0.972628881039165)); #428800=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #428801=DIRECTION('ref_axis',(1.,0.,0.)); #428802=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428803=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #428804=DIRECTION('ref_axis',(1.,0.,0.)); #428805=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428806=DIRECTION('ref_axis',(1.,0.,0.)); #428807=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428808=DIRECTION('ref_axis',(1.,0.,0.)); #428809=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428810=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428811=DIRECTION('ref_axis',(1.,0.,0.)); #428812=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #428813=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #428814=DIRECTION('',(-1.,0.,0.)); #428815=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428816=DIRECTION('',(-1.,0.,0.)); #428817=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428818=DIRECTION('ref_axis',(1.,0.,0.)); #428819=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428820=DIRECTION('ref_axis',(1.,0.,0.)); #428821=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428822=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428823=DIRECTION('ref_axis',(1.,0.,0.)); #428824=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428825=DIRECTION('ref_axis',(1.,0.,0.)); #428826=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #428827=DIRECTION('ref_axis',(-1.,0.,0.)); #428828=DIRECTION('center_axis',(0.,0.707106781186549,-0.707106781186547)); #428829=DIRECTION('ref_axis',(0.,0.707106781186546,0.707106781186549)); #428830=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #428831=DIRECTION('ref_axis',(-1.,0.,0.)); #428832=DIRECTION('center_axis',(0.,0.707106781186549,-0.707106781186547)); #428833=DIRECTION('ref_axis',(1.,0.,0.)); #428834=DIRECTION('center_axis',(0.,0.707106781186549,-0.707106781186547)); #428835=DIRECTION('ref_axis',(0.,0.707106781186546,0.707106781186549)); #428836=DIRECTION('',(-1.,0.,0.)); #428837=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428838=DIRECTION('ref_axis',(-0.232364067292051,-5.95563422961442E-17, 0.972628881039164)); #428839=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #428840=DIRECTION('ref_axis',(1.,0.,0.)); #428841=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428842=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #428843=DIRECTION('ref_axis',(1.,0.,0.)); #428844=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428845=DIRECTION('ref_axis',(1.,0.,0.)); #428846=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428847=DIRECTION('ref_axis',(1.,0.,0.)); #428848=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428849=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428850=DIRECTION('ref_axis',(1.,0.,0.)); #428851=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #428852=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #428853=DIRECTION('',(-1.,0.,0.)); #428854=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428855=DIRECTION('',(-1.,0.,0.)); #428856=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428857=DIRECTION('ref_axis',(1.,0.,0.)); #428858=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #428859=DIRECTION('ref_axis',(1.,0.,0.)); #428860=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428861=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #428862=DIRECTION('ref_axis',(1.,0.,0.)); #428863=DIRECTION('center_axis',(-0.766736137799706,3.93088585802465E-17, -0.641962378174913)); #428864=DIRECTION('ref_axis',(-0.641962378174913,-4.69490478473507E-17, 0.766736137799706)); #428865=DIRECTION('',(-0.641962378174913,-4.69490478473507E-17,0.766736137799706)); #428866=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428867=DIRECTION('',(-0.641962378174913,-4.69490478473507E-17,0.766736137799706)); #428868=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428869=DIRECTION('ref_axis',(1.,0.,0.)); #428870=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428871=DIRECTION('ref_axis',(1.,0.,0.)); #428872=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428873=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428874=DIRECTION('ref_axis',(1.,0.,0.)); #428875=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #428876=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #428877=DIRECTION('',(-1.,0.,0.)); #428878=DIRECTION('',(-1.,0.,0.)); #428879=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #428880=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #428881=DIRECTION('center_axis',(0.,6.12323399573677E-17,-1.)); #428882=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #428883=DIRECTION('',(6.66514666573965E-16,-6.12323399573677E-17,1.)); #428884=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428885=DIRECTION('ref_axis',(1.,0.,0.)); #428886=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428887=DIRECTION('ref_axis',(1.,0.,0.)); #428888=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428889=DIRECTION('ref_axis',(1.,0.,0.)); #428890=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428891=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428892=DIRECTION('ref_axis',(1.,0.,0.)); #428893=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428894=DIRECTION('ref_axis',(1.,0.,0.)); #428895=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428896=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428897=DIRECTION('ref_axis',(1.,0.,0.)); #428898=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428899=DIRECTION('ref_axis',(1.,0.,0.)); #428900=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #428901=DIRECTION('ref_axis',(1.,0.,0.)); #428902=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428903=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #428904=DIRECTION('ref_axis',(1.,0.,0.)); #428905=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #428906=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #428907=DIRECTION('',(1.,0.,0.)); #428908=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428909=DIRECTION('',(1.,0.,0.)); #428910=DIRECTION('center_axis',(0.,-6.12323399573677E-17,1.)); #428911=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #428912=DIRECTION('',(1.,0.,0.)); #428913=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428914=DIRECTION('',(1.,0.,0.)); #428915=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428916=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428917=DIRECTION('ref_axis',(1.,0.,0.)); #428918=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #428919=DIRECTION('ref_axis',(1.,0.,0.)); #428920=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428921=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #428922=DIRECTION('ref_axis',(1.,0.,0.)); #428923=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428924=DIRECTION('ref_axis',(1.,0.,0.)); #428925=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #428926=DIRECTION('ref_axis',(-1.,0.,0.)); #428927=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #428928=DIRECTION('ref_axis',(-1.,0.,0.)); #428929=DIRECTION('',(0.,1.,6.12323399573677E-17)); #428930=DIRECTION('center_axis',(-1.,6.80904984698964E-32,-1.11200222819026E-15)); #428931=DIRECTION('ref_axis',(-1.11200222819026E-15,-6.12323399573677E-17, 1.)); #428932=DIRECTION('',(-1.11200222819026E-15,-6.12323399573677E-17,1.)); #428933=DIRECTION('center_axis',(-1.,0.,0.)); #428934=DIRECTION('ref_axis',(0.,0.,1.)); #428935=DIRECTION('',(0.,0.19945646869513,0.979906687953332)); #428936=DIRECTION('center_axis',(1.,0.,0.)); #428937=DIRECTION('ref_axis',(0.,0.,-1.)); #428938=DIRECTION('',(-1.11200222819026E-15,-6.12323399573677E-17,1.)); #428939=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #428940=DIRECTION('ref_axis',(-1.,0.,0.)); #428941=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #428942=DIRECTION('ref_axis',(-1.,0.,0.)); #428943=DIRECTION('',(1.,5.08999306322532E-33,-8.31258950216367E-17)); #428944=DIRECTION('',(-1.11200222819026E-15,6.12323399573677E-17,-1.)); #428945=DIRECTION('',(1.,5.08999306322532E-33,-8.31258950216367E-17)); #428946=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #428947=DIRECTION('ref_axis',(1.,0.,0.)); #428948=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428949=DIRECTION('ref_axis',(1.,0.,0.)); #428950=DIRECTION('',(-3.62227603288619E-30,1.,-1.92747052886312E-15)); #428951=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #428952=DIRECTION('ref_axis',(1.,0.,0.)); #428953=DIRECTION('',(-3.62227603288619E-30,1.,-1.92747052886312E-15)); #428954=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #428955=DIRECTION('ref_axis',(-1.,0.,0.)); #428956=DIRECTION('',(1.,5.08999306322532E-33,-8.31258950216367E-17)); #428957=DIRECTION('center_axis',(0.,-1.,-6.12323399573677E-17)); #428958=DIRECTION('ref_axis',(-1.,0.,0.)); #428959=DIRECTION('',(1.,5.08999306322532E-33,-8.31258950216367E-17)); #428960=DIRECTION('',(-1.11200222819026E-15,6.12323399573677E-17,-1.)); #428961=DIRECTION('center_axis',(0.,1.,6.12323399573677E-17)); #428962=DIRECTION('ref_axis',(1.,0.,0.)); #428963=DIRECTION('',(0.,1.,0.)); #428964=DIRECTION('',(0.,1.,0.)); #428965=DIRECTION('center_axis',(1.,6.80904984698964E-32,-1.11200222819026E-15)); #428966=DIRECTION('ref_axis',(-1.11200222819026E-15,6.12323399573677E-17, -1.)); #428967=DIRECTION('center_axis',(-1.,0.,0.)); #428968=DIRECTION('ref_axis',(0.,0.,-1.)); #428969=DIRECTION('',(0.,-0.19945646869513,-0.979906687953332)); #428970=DIRECTION('center_axis',(-1.,0.,0.)); #428971=DIRECTION('ref_axis',(0.,0.,1.)); #428972=DIRECTION('center_axis',(0.339799945429367,-0.93890094162551,-0.0547815562112893)); #428973=DIRECTION('ref_axis',(0.940364424215367,0.33819424037998,0.0365978885170823)); #428974=DIRECTION('',(0.,-0.998299153136683,-0.0582992353859162)); #428975=DIRECTION('center_axis',(0.734978321930246,-0.676939326426127,-0.0394970205248571)); #428976=DIRECTION('ref_axis',(-0.0113994639736623,0.0459043449844808,-0.998880795356813)); #428977=DIRECTION('center_axis',(-1.,0.,0.)); #428978=DIRECTION('ref_axis',(0.,0.,1.)); #428979=DIRECTION('center_axis',(-1.,0.,0.)); #428980=DIRECTION('ref_axis',(0.,0.,1.)); #428981=DIRECTION('',(0.,0.199456468695128,0.979906687953332)); #428982=DIRECTION('center_axis',(1.,0.,0.)); #428983=DIRECTION('ref_axis',(0.,0.,-1.)); #428984=DIRECTION('center_axis',(-1.,0.,0.)); #428985=DIRECTION('ref_axis',(0.,0.,-1.)); #428986=DIRECTION('center_axis',(-1.,0.,0.)); #428987=DIRECTION('ref_axis',(0.,0.,-1.)); #428988=DIRECTION('',(0.,-0.199456468695128,-0.979906687953332)); #428989=DIRECTION('center_axis',(1.,0.,0.)); #428990=DIRECTION('ref_axis',(0.,0.,-1.)); #428991=DIRECTION('center_axis',(-1.,0.,0.)); #428992=DIRECTION('ref_axis',(0.,0.,1.)); #428993=DIRECTION('',(0.,0.998299153136683,0.0582992353859162)); #428994=DIRECTION('center_axis',(-1.,0.,0.)); #428995=DIRECTION('ref_axis',(0.,0.,-1.)); #428996=DIRECTION('center_axis',(-0.339799945430312,-0.938900941625164, -0.0547815562113553)); #428997=DIRECTION('ref_axis',(0.940364424215158,-0.338194240381452,-0.0365978885088607)); #428998=DIRECTION('center_axis',(-0.734978321930261,-0.676939326426111, -0.0394970205248571)); #428999=DIRECTION('ref_axis',(0.0113994637719541,0.0459043452030322,-0.998880795349071)); #429000=DIRECTION('center_axis',(-1.,0.,0.)); #429001=DIRECTION('ref_axis',(0.,0.,-1.)); #429002=DIRECTION('center_axis',(-1.,0.,0.)); #429003=DIRECTION('ref_axis',(0.,0.,-1.)); #429004=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #429005=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #429006=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #429007=DIRECTION('center_axis',(0.432713340037062,0.901531566476721,-5.08562323394733E-17)); #429008=DIRECTION('ref_axis',(-0.901531566476721,0.432713340037062,0.)); #429009=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #429010=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #429011=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #429012=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #429013=DIRECTION('center_axis',(-1.,9.11094262592861E-17,1.17528690784337E-16)); #429014=DIRECTION('ref_axis',(1.17528690784337E-16,0.,1.)); #429015=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #429016=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #429017=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #429018=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #429019=DIRECTION('center_axis',(0.432713340037062,-0.901531566476721,-5.08562323394733E-17)); #429020=DIRECTION('ref_axis',(0.901531566476721,0.432713340037062,0.)); #429021=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #429022=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #429023=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #429024=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #429025=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #429026=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #429027=DIRECTION('center_axis',(-0.432713340037062,0.901531566476721,5.08562323394734E-17)); #429028=DIRECTION('ref_axis',(-0.901531566476721,-0.432713340037062,0.)); #429029=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #429030=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #429031=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #429032=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #429033=DIRECTION('center_axis',(1.,4.52510150421121E-16,-1.17528690784337E-16)); #429034=DIRECTION('ref_axis',(-4.52510150421121E-16,1.,0.)); #429035=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #429036=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #429037=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #429038=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #429039=DIRECTION('center_axis',(-0.432713340037061,-0.901531566476721, 5.08562323394732E-17)); #429040=DIRECTION('ref_axis',(0.901531566476721,-0.432713340037061,0.)); #429041=DIRECTION('',(-1.17528690784337E-16,0.,-1.)); #429042=DIRECTION('center_axis',(-1.17528690784337E-16,0.,-1.)); #429043=DIRECTION('ref_axis',(-1.,0.,1.17528690784337E-16)); #429044=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #429045=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #429046=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #429047=DIRECTION('center_axis',(-0.432713340037061,0.901531566476721,-7.00222004972597E-17)); #429048=DIRECTION('ref_axis',(-0.901531566476721,-0.432713340037061,-3.08148791101958E-33)); #429049=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #429050=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #429051=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #429052=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #429053=DIRECTION('center_axis',(1.,0.,1.61821219773956E-16)); #429054=DIRECTION('ref_axis',(1.61821219773956E-16,0.,-1.)); #429055=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #429056=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #429057=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #429058=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #429059=DIRECTION('center_axis',(-0.432713340037062,-0.901531566476721, -7.002220049726E-17)); #429060=DIRECTION('ref_axis',(0.901531566476721,-0.432713340037062,3.08148791101958E-32)); #429061=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #429062=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #429063=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #429064=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #429065=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #429066=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #429067=DIRECTION('center_axis',(0.432713340037061,0.901531566476721,7.00222004972597E-17)); #429068=DIRECTION('ref_axis',(-0.901531566476721,0.432713340037061,-3.08148791101958E-33)); #429069=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #429070=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #429071=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #429072=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #429073=DIRECTION('center_axis',(-1.,-3.64437705037144E-16,-1.61821219773956E-16)); #429074=DIRECTION('ref_axis',(3.64437705037144E-16,-1.,0.)); #429075=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #429076=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #429077=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #429078=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #429079=DIRECTION('center_axis',(0.432713340037062,-0.901531566476721,7.00222004972599E-17)); #429080=DIRECTION('ref_axis',(0.901531566476721,0.432713340037062,0.)); #429081=DIRECTION('',(1.61821219773956E-16,0.,-1.)); #429082=DIRECTION('center_axis',(1.61821219773956E-16,0.,-1.)); #429083=DIRECTION('ref_axis',(-1.,0.,-1.61821219773956E-16)); #429084=DIRECTION('axis',(0.,0.,1.)); #429085=DIRECTION('refdir',(1.,0.,0.)); #429086=DIRECTION('center_axis',(0.99998750023437,-0.00499993750117045, 0.)); #429087=DIRECTION('ref_axis',(0.00499993750117045,0.99998750023437,0.)); #429088=DIRECTION('',(0.00499993750117045,0.99998750023437,0.)); #429089=DIRECTION('',(0.,0.,1.)); #429090=DIRECTION('',(0.00499993750117045,0.99998750023437,0.)); #429091=DIRECTION('',(0.,0.,1.)); #429092=DIRECTION('center_axis',(1.,-3.27971157176865E-15,0.)); #429093=DIRECTION('ref_axis',(3.27971157176865E-15,1.,0.)); #429094=DIRECTION('',(3.27971157176865E-15,1.,0.)); #429095=DIRECTION('center_axis',(-1.,0.,0.)); #429096=DIRECTION('ref_axis',(0.,0.,1.)); #429097=DIRECTION('',(0.,-3.01823612255331E-16,1.)); #429098=DIRECTION('center_axis',(-1.,0.,0.)); #429099=DIRECTION('ref_axis',(0.,0.,1.)); #429100=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #429101=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #429102=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #429103=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #429104=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #429105=DIRECTION('',(7.62674925284688E-31,3.01823612255331E-16,-1.)); #429106=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #429107=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #429108=DIRECTION('',(3.27971157176865E-15,1.,0.)); #429109=DIRECTION('center_axis',(-1.,-1.0842021724855E-16,0.)); #429110=DIRECTION('ref_axis',(1.0842021724855E-16,-1.,0.)); #429111=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #429112=DIRECTION('',(0.,0.,1.)); #429113=DIRECTION('',(1.0842021724855E-16,-1.,0.)); #429114=DIRECTION('center_axis',(-1.,2.48462997861262E-15,-1.27549300219432E-32)); #429115=DIRECTION('ref_axis',(-2.48462997861262E-15,-1.,-2.25875452601147E-16)); #429116=DIRECTION('',(7.62674925284688E-31,3.01823612255331E-16,-1.)); #429117=DIRECTION('center_axis',(1.,-2.48462997861262E-15,1.27549300219432E-32)); #429118=DIRECTION('ref_axis',(2.48462997861262E-15,1.,2.25875452601147E-16)); #429119=DIRECTION('',(2.48462997861262E-15,1.,2.25875452601147E-16)); #429120=DIRECTION('',(0.,2.25875452601147E-16,-1.)); #429121=DIRECTION('',(0.,-1.,-2.25875452601147E-16)); #429122=DIRECTION('center_axis',(-1.,0.,0.)); #429123=DIRECTION('ref_axis',(0.,0.,1.)); #429124=DIRECTION('',(0.,-3.01823612255331E-16,1.)); #429125=DIRECTION('center_axis',(-1.,0.,0.)); #429126=DIRECTION('ref_axis',(0.,0.,1.)); #429127=DIRECTION('center_axis',(-0.99998750023437,-0.00499993750117207, 0.)); #429128=DIRECTION('ref_axis',(0.00499993750117207,-0.99998750023437,0.)); #429129=DIRECTION('',(0.00499993750117207,-0.99998750023437,0.)); #429130=DIRECTION('',(0.,0.,1.)); #429131=DIRECTION('',(0.00499993750117207,-0.99998750023437,0.)); #429132=DIRECTION('center_axis',(-1.,1.35187303302432E-16,0.)); #429133=DIRECTION('ref_axis',(-1.35187303302432E-16,-1.,0.)); #429134=DIRECTION('',(0.,0.,1.)); #429135=DIRECTION('',(9.55918588954723E-17,0.707106781186549,-0.707106781186546)); #429136=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #429137=DIRECTION('',(-1.35187303302432E-16,-1.,0.)); #429138=DIRECTION('',(9.55918588954723E-17,0.707106781186548,0.707106781186547)); #429139=DIRECTION('center_axis',(0.,1.,0.)); #429140=DIRECTION('ref_axis',(0.,0.,1.)); #429141=DIRECTION('',(0.,0.,1.)); #429142=DIRECTION('',(1.,0.,0.)); #429143=DIRECTION('',(-1.,0.,0.)); #429144=DIRECTION('center_axis',(1.,1.35187303302432E-16,0.)); #429145=DIRECTION('ref_axis',(-1.35187303302432E-16,1.,0.)); #429146=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #429147=DIRECTION('',(9.55918588954723E-17,-0.707106781186549,0.707106781186546)); #429148=DIRECTION('',(9.55918588954723E-17,-0.707106781186548,-0.707106781186547)); #429149=DIRECTION('',(-1.35187303302432E-16,1.,0.)); #429150=DIRECTION('center_axis',(0.,0.,-1.)); #429151=DIRECTION('ref_axis',(-1.,0.,0.)); #429152=DIRECTION('',(-1.,0.,0.)); #429153=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #429154=DIRECTION('center_axis',(0.,0.,-1.)); #429155=DIRECTION('ref_axis',(-1.,0.,0.)); #429156=DIRECTION('',(1.,0.,0.)); #429157=DIRECTION('',(1.,-1.58112816820803E-15,0.)); #429158=DIRECTION('center_axis',(0.,1.,2.25875452601147E-16)); #429159=DIRECTION('ref_axis',(-1.,0.,0.)); #429160=DIRECTION('',(1.,0.,0.)); #429161=DIRECTION('',(-1.,0.,0.)); #429162=DIRECTION('center_axis',(-1.,0.,0.)); #429163=DIRECTION('ref_axis',(0.,0.,1.)); #429164=DIRECTION('',(-1.,0.,0.)); #429165=DIRECTION('center_axis',(0.,1.,3.01823612255331E-16)); #429166=DIRECTION('ref_axis',(0.,-3.01823612255331E-16,1.)); #429167=DIRECTION('',(-1.,0.,0.)); #429168=DIRECTION('center_axis',(-1.,0.,0.)); #429169=DIRECTION('ref_axis',(0.,0.,1.)); #429170=DIRECTION('',(-1.,0.,0.)); #429171=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #429172=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #429173=DIRECTION('center_axis',(-1.,0.,0.)); #429174=DIRECTION('ref_axis',(0.,0.,1.)); #429175=DIRECTION('',(1.,0.,0.)); #429176=DIRECTION('center_axis',(0.,1.,3.01823612255331E-16)); #429177=DIRECTION('ref_axis',(0.,-3.01823612255331E-16,1.)); #429178=DIRECTION('',(-1.,0.,0.)); #429179=DIRECTION('center_axis',(-1.,0.,0.)); #429180=DIRECTION('ref_axis',(0.,0.,1.)); #429181=DIRECTION('',(1.,0.,0.)); #429182=DIRECTION('center_axis',(0.,-2.25875452601147E-16,1.)); #429183=DIRECTION('ref_axis',(0.,-1.,-2.25875452601147E-16)); #429184=DIRECTION('center_axis',(0.,-0.707106781186547,-0.707106781186549)); #429185=DIRECTION('ref_axis',(1.,0.,0.)); #429186=DIRECTION('center_axis',(0.,0.707106781186547,-0.707106781186548)); #429187=DIRECTION('ref_axis',(-1.,0.,0.)); #429188=DIRECTION('',(0.,0.,1.)); #429189=DIRECTION('',(1.,0.,0.)); #429190=DIRECTION('',(-7.93679993208159E-32,1.08694881798913E-15,1.)); #429191=DIRECTION('',(1.,-1.0842021724855E-16,1.97215226305252E-31)); #429192=DIRECTION('',(-9.16919761343973E-32,9.66963777567405E-16,1.)); #429193=DIRECTION('',(1.,3.27971157176865E-15,-3.07967031463455E-30)); #429194=DIRECTION('',(4.23704587765052E-33,2.77700021424954E-15,1.)); #429195=DIRECTION('',(1.,3.27971157176865E-15,-9.11199678335589E-30)); #429196=DIRECTION('',(6.80836812848299E-17,-4.44089209850069E-16,1.)); #429197=DIRECTION('',(1.,3.38150651353554E-15,-6.80836812848284E-17)); #429198=DIRECTION('',(1.1823118378709E-29,2.10942374678779E-15,1.)); #429199=DIRECTION('',(1.,-2.25645248027861E-15,-7.06330393331109E-30)); #429200=DIRECTION('',(-8.73390613065168E-16,6.98370759370329E-16,1.)); #429201=DIRECTION('',(1.,-2.91436602748403E-15,8.7339061306517E-16)); #429202=DIRECTION('',(4.33680868994199E-15,9.83880281668189E-16,1.)); #429203=DIRECTION('',(1.,3.27971157176865E-15,-4.33680868994199E-15)); #429204=DIRECTION('',(-1.27182698291844E-15,-2.02167565096131E-15,1.)); #429205=DIRECTION('',(1.,-1.47360374210741E-15,1.27182698291844E-15)); #429206=DIRECTION('',(7.93679993208154E-32,1.08694881798913E-15,1.)); #429207=DIRECTION('',(-1.,-6.67538261041712E-15,7.3351672373389E-30)); #429208=DIRECTION('',(-6.80836812848299E-17,-4.44089209850069E-16,1.)); #429209=DIRECTION('',(-1.,4.92831695200288E-16,-6.80836812848297E-17)); #429210=DIRECTION('',(-4.33680868994199E-15,9.83880281668189E-16,1.)); #429211=DIRECTION('',(-1.,7.25596478471811E-15,-4.336808689942E-15)); #429212=DIRECTION('',(1.27182698291844E-15,-2.02167565096131E-15,1.)); #429213=DIRECTION('',(-1.,-5.31019908555827E-15,1.27182698291843E-15)); #429214=DIRECTION('',(8.73390613065168E-16,6.98370759370329E-16,1.)); #429215=DIRECTION('',(-1.,-3.86943680018164E-15,8.73390613065171E-16)); #429216=DIRECTION('',(-1.1823118378709E-29,2.10942374678779E-15,1.)); #429217=DIRECTION('',(-1.,-4.52735034738706E-15,-2.27301804590279E-30)); #429218=DIRECTION('',(9.16919761343973E-32,9.66963777567405E-16,1.)); #429219=DIRECTION('',(-1.,2.81507268621749E-15,-2.63038134265729E-30)); #429220=DIRECTION('',(-1.80411241501588E-16,-1.,5.47511070677026E-16)); #429221=DIRECTION('',(-1.,1.80411241501588E-16,3.8282599880158E-17)); #429222=DIRECTION('',(-5.93452103896745E-16,9.78384040450918E-16,1.)); #429223=DIRECTION('',(1.,-1.14270645880125E-15,5.93452103896746E-16)); #429224=DIRECTION('',(4.23704587765052E-33,2.77700021424954E-15,1.)); #429225=DIRECTION('',(1.,3.27971157176865E-15,-9.11199678335589E-30)); #429226=DIRECTION('',(0.,0.,1.)); #429227=DIRECTION('',(1.,0.,0.)); #429228=DIRECTION('',(0.,0.,1.)); #429229=DIRECTION('',(1.,0.,0.)); #429230=DIRECTION('axis',(0.,0.,1.)); #429231=DIRECTION('refdir',(1.,0.,0.)); #429232=DIRECTION('axis',(0.,0.,1.)); #429233=DIRECTION('refdir',(1.,0.,0.)); #429234=DIRECTION('center_axis',(0.,-1.,0.)); #429235=DIRECTION('ref_axis',(0.,0.,-1.)); #429236=DIRECTION('',(-1.,0.,0.)); #429237=DIRECTION('',(0.,0.,-1.)); #429238=DIRECTION('',(1.,0.,0.)); #429239=DIRECTION('',(0.,0.,-1.)); #429240=DIRECTION('',(-1.,0.,0.)); #429241=DIRECTION('',(0.,0.,-1.)); #429242=DIRECTION('',(-1.,0.,0.)); #429243=DIRECTION('',(0.,0.,-1.)); #429244=DIRECTION('',(-1.,0.,0.)); #429245=DIRECTION('',(0.,0.,-1.)); #429246=DIRECTION('',(1.,0.,0.)); #429247=DIRECTION('',(0.,0.,-1.)); #429248=DIRECTION('center_axis',(0.,0.,1.)); #429249=DIRECTION('ref_axis',(1.,0.,0.)); #429250=DIRECTION('',(1.,0.,0.)); #429251=DIRECTION('',(0.,-1.,0.)); #429252=DIRECTION('',(1.,0.,0.)); #429253=DIRECTION('',(0.,-1.,0.)); #429254=DIRECTION('center_axis',(0.,0.,1.)); #429255=DIRECTION('ref_axis',(1.,0.,0.)); #429256=DIRECTION('',(0.,1.,0.)); #429257=DIRECTION('',(1.,0.,0.)); #429258=DIRECTION('',(0.,-1.,0.)); #429259=DIRECTION('',(1.,0.,0.)); #429260=DIRECTION('center_axis',(0.,0.,-1.)); #429261=DIRECTION('ref_axis',(-1.,0.,0.)); #429262=DIRECTION('',(1.445602896647E-16,1.,0.)); #429263=DIRECTION('',(1.,1.807003620809E-16,0.)); #429264=DIRECTION('',(-1.445602896647E-16,-1.,0.)); #429265=DIRECTION('',(-1.,1.807003620809E-16,0.)); #429266=DIRECTION('',(1.,0.,0.)); #429267=DIRECTION('',(0.,1.,0.)); #429268=DIRECTION('',(1.,0.,0.)); #429269=DIRECTION('',(0.,1.,0.)); #429270=DIRECTION('center_axis',(0.,0.,-1.)); #429271=DIRECTION('ref_axis',(-1.,0.,0.)); #429272=DIRECTION('',(1.445602896647E-16,1.,0.)); #429273=DIRECTION('',(1.,1.807003620809E-16,0.)); #429274=DIRECTION('',(-1.445602896647E-16,-1.,0.)); #429275=DIRECTION('',(-1.,1.807003620809E-16,0.)); #429276=DIRECTION('',(1.,0.,0.)); #429277=DIRECTION('',(0.,1.,0.)); #429278=DIRECTION('',(1.,0.,0.)); #429279=DIRECTION('',(0.,1.,0.)); #429280=DIRECTION('center_axis',(0.,0.,1.)); #429281=DIRECTION('ref_axis',(1.,0.,0.)); #429282=DIRECTION('',(1.,0.,0.)); #429283=DIRECTION('',(0.,1.,0.)); #429284=DIRECTION('',(-1.,0.,0.)); #429285=DIRECTION('',(0.,-1.,0.)); #429286=DIRECTION('',(-1.,0.,0.)); #429287=DIRECTION('',(0.,1.,0.)); #429288=DIRECTION('',(1.,0.,0.)); #429289=DIRECTION('',(1.807003620809E-16,-1.,0.)); #429290=DIRECTION('',(-1.,0.,0.)); #429291=DIRECTION('',(0.,1.,0.)); #429292=DIRECTION('',(1.,0.,0.)); #429293=DIRECTION('',(1.807003620809E-16,-1.,0.)); #429294=DIRECTION('center_axis',(1.,0.,0.)); #429295=DIRECTION('ref_axis',(0.,0.,-1.)); #429296=DIRECTION('',(0.,0.978147600733765,-0.20791169081795)); #429297=DIRECTION('',(0.,0.978147600733765,0.20791169081795)); #429298=DIRECTION('',(0.,-1.,0.)); #429299=DIRECTION('',(0.,0.,-1.)); #429300=DIRECTION('center_axis',(-1.,0.,0.)); #429301=DIRECTION('ref_axis',(0.,0.,1.)); #429302=DIRECTION('',(0.,-0.978147600733765,0.20791169081795)); #429303=DIRECTION('',(0.,0.,-1.)); #429304=DIRECTION('',(0.,1.,0.)); #429305=DIRECTION('',(0.,-0.978147600733765,-0.20791169081795)); #429306=DIRECTION('center_axis',(0.,0.,1.)); #429307=DIRECTION('ref_axis',(1.,0.,0.)); #429308=DIRECTION('',(1.,0.,0.)); #429309=DIRECTION('',(0.,1.,0.)); #429310=DIRECTION('center_axis',(1.,0.,0.)); #429311=DIRECTION('ref_axis',(0.,0.,-1.)); #429312=DIRECTION('',(0.,0.978147600733765,-0.20791169081795)); #429313=DIRECTION('',(0.,0.978147600733765,0.20791169081795)); #429314=DIRECTION('',(0.,-1.,0.)); #429315=DIRECTION('',(0.,0.,-1.)); #429316=DIRECTION('center_axis',(-1.,0.,0.)); #429317=DIRECTION('ref_axis',(0.,0.,1.)); #429318=DIRECTION('',(0.,-0.978147600733765,0.20791169081795)); #429319=DIRECTION('',(0.,0.,-1.)); #429320=DIRECTION('',(0.,-0.978147600733765,-0.20791169081795)); #429321=DIRECTION('center_axis',(0.,0.,1.)); #429322=DIRECTION('ref_axis',(1.,0.,0.)); #429323=DIRECTION('',(1.,0.,0.)); #429324=DIRECTION('',(0.,1.,0.)); #429325=DIRECTION('center_axis',(0.,0.,1.)); #429326=DIRECTION('ref_axis',(1.,0.,0.)); #429327=DIRECTION('',(-1.,-2.710505431214E-16,0.)); #429328=DIRECTION('',(0.,1.,0.)); #429329=DIRECTION('',(1.,0.,0.)); #429330=DIRECTION('',(0.,-1.,0.)); #429331=DIRECTION('',(-1.,0.,0.)); #429332=DIRECTION('',(0.,1.,0.)); #429333=DIRECTION('',(0.,-1.,0.)); #429334=DIRECTION('center_axis',(-1.,0.,0.)); #429335=DIRECTION('ref_axis',(0.,0.,1.)); #429336=DIRECTION('',(-8.673617379884E-16,1.,0.)); #429337=DIRECTION('center_axis',(-1.,0.,0.)); #429338=DIRECTION('ref_axis',(0.,0.,1.)); #429339=DIRECTION('',(0.,0.,1.)); #429340=DIRECTION('',(0.,0.,-1.)); #429341=DIRECTION('',(0.,0.,1.)); #429342=DIRECTION('center_axis',(1.,0.,0.)); #429343=DIRECTION('ref_axis',(0.,0.,-1.)); #429344=DIRECTION('',(0.,0.,1.)); #429345=DIRECTION('center_axis',(1.,0.,0.)); #429346=DIRECTION('ref_axis',(0.,0.,-1.)); #429347=DIRECTION('',(0.,-1.,0.)); #429348=DIRECTION('',(0.,0.,1.)); #429349=DIRECTION('',(0.,-1.,0.)); #429350=DIRECTION('',(0.,0.,-1.)); #429351=DIRECTION('center_axis',(0.,1.,0.)); #429352=DIRECTION('ref_axis',(0.,0.,1.)); #429353=DIRECTION('center_axis',(0.,-1.,0.)); #429354=DIRECTION('ref_axis',(0.,0.,1.)); #429355=DIRECTION('',(1.,0.,0.)); #429356=DIRECTION('center_axis',(0.,-1.,0.)); #429357=DIRECTION('ref_axis',(0.,0.,-1.)); #429358=DIRECTION('',(8.673617379884E-16,0.,-1.)); #429359=DIRECTION('',(-0.707106781186548,0.,-0.707106781186548)); #429360=DIRECTION('',(0.707106781186548,0.,-0.707106781186548)); #429361=DIRECTION('',(-1.084202172486E-15,0.,-1.)); #429362=DIRECTION('',(1.,0.,0.)); #429363=DIRECTION('',(-1.,0.,0.)); #429364=DIRECTION('',(-1.,0.,0.)); #429365=DIRECTION('',(-1.084202172486E-15,0.,1.)); #429366=DIRECTION('',(0.707106781186548,0.,0.707106781186548)); #429367=DIRECTION('',(-0.707106781186548,0.,0.707106781186548)); #429368=DIRECTION('',(8.673617379884E-16,0.,1.)); #429369=DIRECTION('center_axis',(0.,0.,1.)); #429370=DIRECTION('ref_axis',(1.,0.,0.)); #429371=DIRECTION('center_axis',(0.,-1.,0.)); #429372=DIRECTION('ref_axis',(0.,0.,-1.)); #429373=DIRECTION('center_axis',(0.,-1.,0.)); #429374=DIRECTION('ref_axis',(0.,0.,-1.)); #429375=DIRECTION('',(1.,0.,0.)); #429376=DIRECTION('center_axis',(0.,-1.,0.)); #429377=DIRECTION('ref_axis',(0.,0.,-1.)); #429378=DIRECTION('',(8.673617379884E-16,0.,1.)); #429379=DIRECTION('',(-0.707106781186548,0.,0.707106781186548)); #429380=DIRECTION('',(0.707106781186548,0.,0.707106781186548)); #429381=DIRECTION('',(-1.084202172486E-15,0.,1.)); #429382=DIRECTION('',(-1.,0.,0.)); #429383=DIRECTION('',(0.,0.,1.)); #429384=DIRECTION('',(0.,0.,1.)); #429385=DIRECTION('',(-1.,0.,0.)); #429386=DIRECTION('',(-1.084202172486E-15,0.,-1.)); #429387=DIRECTION('',(0.707106781186548,0.,-0.707106781186548)); #429388=DIRECTION('',(-0.707106781186548,0.,-0.707106781186548)); #429389=DIRECTION('',(8.673617379884E-16,0.,-1.)); #429390=DIRECTION('center_axis',(-1.,0.,0.)); #429391=DIRECTION('ref_axis',(0.,0.,1.)); #429392=DIRECTION('',(0.,0.,1.)); #429393=DIRECTION('',(0.,-1.,0.)); #429394=DIRECTION('',(0.,0.,1.)); #429395=DIRECTION('',(0.,1.,0.)); #429396=DIRECTION('center_axis',(-1.,0.,0.)); #429397=DIRECTION('ref_axis',(0.,0.,1.)); #429398=DIRECTION('center_axis',(0.,1.,0.)); #429399=DIRECTION('ref_axis',(0.,0.,1.)); #429400=DIRECTION('',(0.,0.,1.)); #429401=DIRECTION('',(-1.,0.,0.)); #429402=DIRECTION('center_axis',(1.,0.,0.)); #429403=DIRECTION('ref_axis',(0.,0.,-1.)); #429404=DIRECTION('',(0.,0.,1.)); #429405=DIRECTION('',(0.,1.,0.)); #429406=DIRECTION('center_axis',(1.,0.,0.)); #429407=DIRECTION('ref_axis',(0.,0.,-1.)); #429408=DIRECTION('',(8.673617379884E-16,-1.,0.)); #429409=DIRECTION('center_axis',(1.,0.,1.084202172486E-15)); #429410=DIRECTION('ref_axis',(1.084202172486E-15,0.,-1.)); #429411=DIRECTION('',(0.,-1.,0.)); #429412=DIRECTION('center_axis',(0.707106781186548,0.,-0.707106781186548)); #429413=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #429414=DIRECTION('',(0.,-1.,0.)); #429415=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186548)); #429416=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #429417=DIRECTION('',(0.,-1.,0.)); #429418=DIRECTION('center_axis',(1.,0.,-8.673617379884E-16)); #429419=DIRECTION('ref_axis',(-8.673617379884E-16,0.,-1.)); #429420=DIRECTION('',(0.,-1.,0.)); #429421=DIRECTION('center_axis',(0.,-1.,0.)); #429422=DIRECTION('ref_axis',(0.,0.,-1.)); #429423=DIRECTION('',(0.,-1.,0.)); #429424=DIRECTION('center_axis',(-1.,0.,1.084202172486E-15)); #429425=DIRECTION('ref_axis',(1.084202172486E-15,0.,1.)); #429426=DIRECTION('',(0.,-1.,0.)); #429427=DIRECTION('center_axis',(0.,0.,-1.)); #429428=DIRECTION('ref_axis',(-1.,0.,0.)); #429429=DIRECTION('',(0.,-1.,0.)); #429430=DIRECTION('center_axis',(0.,-1.,0.)); #429431=DIRECTION('ref_axis',(0.,0.,-1.)); #429432=DIRECTION('',(0.,-1.,0.)); #429433=DIRECTION('center_axis',(-1.,0.,-8.673617379884E-16)); #429434=DIRECTION('ref_axis',(-8.673617379884E-16,0.,1.)); #429435=DIRECTION('',(0.,-1.,0.)); #429436=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #429437=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #429438=DIRECTION('',(0.,-1.,0.)); #429439=DIRECTION('center_axis',(-0.707106781186548,0.,-0.707106781186548)); #429440=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #429441=DIRECTION('center_axis',(3.7569717811621E-17,0.20791169081795,0.978147600733765)); #429442=DIRECTION('ref_axis',(-1.,1.80700362080893E-16,-3.39764857069019E-29)); #429443=DIRECTION('center_axis',(3.7569717811621E-17,-0.20791169081795, 0.978147600733765)); #429444=DIRECTION('ref_axis',(1.,1.80700362080893E-16,3.39764857069019E-29)); #429445=DIRECTION('center_axis',(3.7569717811621E-17,0.20791169081795,0.978147600733765)); #429446=DIRECTION('ref_axis',(-1.,1.80700362080893E-16,-3.39764857069019E-29)); #429447=DIRECTION('center_axis',(3.7569717811621E-17,-0.20791169081795, 0.978147600733765)); #429448=DIRECTION('ref_axis',(1.,1.80700362080893E-16,3.39764857069019E-29)); #429449=DIRECTION('center_axis',(-1.,0.,0.)); #429450=DIRECTION('ref_axis',(0.,0.,1.)); #429451=DIRECTION('',(0.,0.,-1.)); #429452=DIRECTION('',(0.,-1.,0.)); #429453=DIRECTION('',(0.,0.,-1.)); #429454=DIRECTION('center_axis',(0.,-1.,0.)); #429455=DIRECTION('ref_axis',(0.,0.,-1.)); #429456=DIRECTION('',(0.,0.,-1.)); #429457=DIRECTION('',(1.,0.,0.)); #429458=DIRECTION('center_axis',(1.,1.807003620809E-16,0.)); #429459=DIRECTION('ref_axis',(-1.807003620809E-16,1.,0.)); #429460=DIRECTION('',(0.,0.,-1.)); #429461=DIRECTION('',(-1.807003620809E-16,1.,0.)); #429462=DIRECTION('center_axis',(0.,1.,0.)); #429463=DIRECTION('ref_axis',(0.,0.,1.)); #429464=DIRECTION('',(-1.,0.,0.)); #429465=DIRECTION('center_axis',(0.,0.,1.)); #429466=DIRECTION('ref_axis',(1.,0.,0.)); #429467=DIRECTION('',(1.807003620809E-16,-1.,0.)); #429468=DIRECTION('',(1.,0.,0.)); #429469=DIRECTION('',(0.,1.,0.)); #429470=DIRECTION('',(-1.,0.,0.)); #429471=DIRECTION('center_axis',(0.,0.939692620785813,0.342020143325932)); #429472=DIRECTION('ref_axis',(1.,0.,0.)); #429473=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #429474=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #429475=DIRECTION('center_axis',(-0.939692620785813,-1.69802796820766E-16, 0.342020143325932)); #429476=DIRECTION('ref_axis',(-1.80700362080919E-16,1.,6.80392530753123E-30)); #429477=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #429478=DIRECTION('center_axis',(0.939692620785813,0.,0.342020143325932)); #429479=DIRECTION('ref_axis',(0.,-1.,0.)); #429480=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #429481=DIRECTION('center_axis',(0.,-0.939692620785813,0.342020143325932)); #429482=DIRECTION('ref_axis',(-1.,0.,0.)); #429483=DIRECTION('center_axis',(-1.,0.,0.)); #429484=DIRECTION('ref_axis',(0.,0.,1.)); #429485=DIRECTION('',(0.,0.,-1.)); #429486=DIRECTION('',(0.,-1.,0.)); #429487=DIRECTION('',(0.,0.,-1.)); #429488=DIRECTION('center_axis',(0.,-1.,0.)); #429489=DIRECTION('ref_axis',(0.,0.,-1.)); #429490=DIRECTION('',(0.,0.,-1.)); #429491=DIRECTION('',(1.,0.,0.)); #429492=DIRECTION('center_axis',(1.,1.807003620809E-16,0.)); #429493=DIRECTION('ref_axis',(-1.807003620809E-16,1.,0.)); #429494=DIRECTION('',(0.,0.,-1.)); #429495=DIRECTION('',(-1.807003620809E-16,1.,0.)); #429496=DIRECTION('center_axis',(0.,1.,0.)); #429497=DIRECTION('ref_axis',(0.,0.,1.)); #429498=DIRECTION('',(-1.,0.,0.)); #429499=DIRECTION('center_axis',(0.,0.,1.)); #429500=DIRECTION('ref_axis',(1.,0.,0.)); #429501=DIRECTION('',(1.807003620809E-16,-1.,0.)); #429502=DIRECTION('',(1.,0.,0.)); #429503=DIRECTION('',(0.,1.,0.)); #429504=DIRECTION('',(-1.,0.,0.)); #429505=DIRECTION('center_axis',(0.,0.939692620785813,0.342020143325932)); #429506=DIRECTION('ref_axis',(1.,0.,0.)); #429507=DIRECTION('',(-0.323615577118035,-0.323615577118035,0.889126490716097)); #429508=DIRECTION('',(-0.323615577118035,0.323615577118035,-0.889126490716097)); #429509=DIRECTION('center_axis',(-0.939692620785813,-1.69802796820766E-16, 0.342020143325932)); #429510=DIRECTION('ref_axis',(-1.80700362080919E-16,1.,6.80392530753123E-30)); #429511=DIRECTION('',(-0.323615577118035,-0.323615577118035,-0.889126490716097)); #429512=DIRECTION('center_axis',(0.939692620785813,0.,0.342020143325932)); #429513=DIRECTION('ref_axis',(0.,-1.,0.)); #429514=DIRECTION('',(-0.323615577118035,0.323615577118035,0.889126490716097)); #429515=DIRECTION('center_axis',(0.,-0.939692620785813,0.342020143325932)); #429516=DIRECTION('ref_axis',(-1.,0.,0.)); #429517=DIRECTION('center_axis',(0.,1.,4.015563601798E-17)); #429518=DIRECTION('ref_axis',(0.,-4.015563601798E-17,1.)); #429519=DIRECTION('',(0.,4.015563601798E-17,-1.)); #429520=DIRECTION('',(1.,0.,0.)); #429521=DIRECTION('',(0.,4.015563601798E-17,-1.)); #429522=DIRECTION('center_axis',(0.,0.,-1.)); #429523=DIRECTION('ref_axis',(-1.,0.,0.)); #429524=DIRECTION('',(0.,-1.,0.)); #429525=DIRECTION('',(-1.,0.,0.)); #429526=DIRECTION('',(0.,1.,0.)); #429527=DIRECTION('',(1.,0.,0.)); #429528=DIRECTION('center_axis',(0.,1.,4.015563601798E-17)); #429529=DIRECTION('ref_axis',(0.,-4.015563601798E-17,1.)); #429530=DIRECTION('',(0.,4.015563601798E-17,-1.)); #429531=DIRECTION('',(-1.,0.,0.)); #429532=DIRECTION('',(0.,4.015563601798E-17,-1.)); #429533=DIRECTION('center_axis',(-1.,0.,0.)); #429534=DIRECTION('ref_axis',(0.,0.,1.)); #429535=DIRECTION('',(0.,1.,0.)); #429536=DIRECTION('center_axis',(-1.,0.,0.)); #429537=DIRECTION('ref_axis',(0.,0.,1.)); #429538=DIRECTION('',(0.,-1.,0.)); #429539=DIRECTION('center_axis',(0.,-0.939692620785813,0.342020143325932)); #429540=DIRECTION('ref_axis',(-1.,0.,0.)); #429541=DIRECTION('',(0.323615577118035,-0.323615577118035,-0.889126490716097)); #429542=DIRECTION('',(0.323615577118035,0.323615577118035,0.889126490716097)); #429543=DIRECTION('center_axis',(0.939692620785813,0.,-0.342020143325932)); #429544=DIRECTION('ref_axis',(0.,1.,0.)); #429545=DIRECTION('',(0.323615577118035,-0.323615577118035,0.889126490716097)); #429546=DIRECTION('center_axis',(0.939692620785813,0.,0.342020143325932)); #429547=DIRECTION('ref_axis',(0.,-1.,0.)); #429548=DIRECTION('',(0.323615577118035,0.323615577118035,-0.889126490716097)); #429549=DIRECTION('center_axis',(0.,-0.939692620785813,-0.342020143325932)); #429550=DIRECTION('ref_axis',(1.,0.,0.)); #429551=DIRECTION('center_axis',(0.,1.,4.015563601798E-17)); #429552=DIRECTION('ref_axis',(0.,-4.015563601798E-17,1.)); #429553=DIRECTION('',(0.,4.015563601798E-17,-1.)); #429554=DIRECTION('',(1.,0.,0.)); #429555=DIRECTION('',(0.,4.015563601798E-17,-1.)); #429556=DIRECTION('center_axis',(0.,0.,-1.)); #429557=DIRECTION('ref_axis',(-1.,0.,0.)); #429558=DIRECTION('',(0.,-1.,0.)); #429559=DIRECTION('',(-1.,0.,0.)); #429560=DIRECTION('',(0.,1.,0.)); #429561=DIRECTION('',(1.,0.,0.)); #429562=DIRECTION('center_axis',(0.,1.,4.015563601798E-17)); #429563=DIRECTION('ref_axis',(0.,-4.015563601798E-17,1.)); #429564=DIRECTION('',(0.,4.015563601798E-17,-1.)); #429565=DIRECTION('',(-1.,0.,0.)); #429566=DIRECTION('',(0.,4.015563601798E-17,-1.)); #429567=DIRECTION('center_axis',(-1.,0.,0.)); #429568=DIRECTION('ref_axis',(0.,0.,1.)); #429569=DIRECTION('',(0.,1.,0.)); #429570=DIRECTION('center_axis',(-1.,0.,0.)); #429571=DIRECTION('ref_axis',(0.,0.,1.)); #429572=DIRECTION('',(0.,-1.,0.)); #429573=DIRECTION('center_axis',(0.,-0.939692620785813,0.342020143325932)); #429574=DIRECTION('ref_axis',(-1.,0.,0.)); #429575=DIRECTION('',(0.323615577118035,-0.323615577118035,-0.889126490716097)); #429576=DIRECTION('',(0.323615577118035,0.323615577118035,0.889126490716097)); #429577=DIRECTION('center_axis',(0.939692620785813,0.,-0.342020143325932)); #429578=DIRECTION('ref_axis',(0.,1.,0.)); #429579=DIRECTION('',(0.323615577118035,-0.323615577118035,0.889126490716097)); #429580=DIRECTION('center_axis',(0.939692620785813,0.,0.342020143325932)); #429581=DIRECTION('ref_axis',(0.,-1.,0.)); #429582=DIRECTION('',(0.323615577118035,0.323615577118035,-0.889126490716097)); #429583=DIRECTION('center_axis',(0.,-0.939692620785813,-0.342020143325932)); #429584=DIRECTION('ref_axis',(1.,0.,0.)); #429585=DIRECTION('center_axis',(0.,1.,0.)); #429586=DIRECTION('ref_axis',(0.,0.,1.)); #429587=DIRECTION('',(-0.707106781186548,0.,-0.707106781186548)); #429588=DIRECTION('',(-1.,0.,0.)); #429589=DIRECTION('center_axis',(0.,0.,1.)); #429590=DIRECTION('ref_axis',(1.,0.,0.)); #429591=DIRECTION('',(1.,0.,0.)); #429592=DIRECTION('center_axis',(-2.710505431214E-16,1.,0.)); #429593=DIRECTION('ref_axis',(-1.,-2.710505431214E-16,0.)); #429594=DIRECTION('',(-0.707106781186548,-1.91661677085277E-16,0.707106781186548)); #429595=DIRECTION('',(-1.,-2.710505431214E-16,0.)); #429596=DIRECTION('center_axis',(0.,0.,1.)); #429597=DIRECTION('ref_axis',(1.,0.,0.)); #429598=DIRECTION('',(1.,0.,0.)); #429599=DIRECTION('center_axis',(1.,0.,0.)); #429600=DIRECTION('ref_axis',(0.,0.,-1.)); #429601=DIRECTION('center_axis',(1.,0.,0.)); #429602=DIRECTION('ref_axis',(0.,0.,-1.)); #429603=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #429604=DIRECTION('ref_axis',(0.,-1.,0.)); #429605=DIRECTION('',(0.577350269189626,0.577350269189626,0.577350269189626)); #429606=DIRECTION('center_axis',(0.,-0.707106781186548,0.707106781186548)); #429607=DIRECTION('ref_axis',(1.,0.,0.)); #429608=DIRECTION('',(-0.577350269189626,0.577350269189626,0.577350269189626)); #429609=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186548)); #429610=DIRECTION('ref_axis',(0.,1.,0.)); #429611=DIRECTION('',(0.,0.,1.)); #429612=DIRECTION('',(1.,0.,0.)); #429613=DIRECTION('axis',(0.,0.,1.)); #429614=DIRECTION('refdir',(1.,0.,0.)); #429615=DIRECTION('axis',(0.,0.,1.)); #429616=DIRECTION('refdir',(1.,0.,0.)); #429617=DIRECTION('center_axis',(-0.408248290463864,-0.408248290463863, -0.816496580927726)); #429618=DIRECTION('ref_axis',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #429619=DIRECTION('center_axis',(0.,-1.,0.)); #429620=DIRECTION('ref_axis',(-1.,0.,0.)); #429621=DIRECTION('center_axis',(0.,0.,1.)); #429622=DIRECTION('ref_axis',(0.,-1.,0.)); #429623=DIRECTION('center_axis',(-1.,0.,0.)); #429624=DIRECTION('ref_axis',(0.,0.,1.)); #429625=DIRECTION('center_axis',(0.408248290463864,-0.408248290463862,-0.816496580927726)); #429626=DIRECTION('ref_axis',(0.577350269189627,-0.577350269189625,0.577350269189625)); #429627=DIRECTION('center_axis',(1.,0.,0.)); #429628=DIRECTION('ref_axis',(0.,-1.,0.)); #429629=DIRECTION('center_axis',(0.,0.,1.)); #429630=DIRECTION('ref_axis',(1.,0.,0.)); #429631=DIRECTION('center_axis',(0.,-1.,0.)); #429632=DIRECTION('ref_axis',(0.,0.,1.)); #429633=DIRECTION('center_axis',(0.408248290463864,0.408248290463863,-0.816496580927726)); #429634=DIRECTION('ref_axis',(-0.577350269189627,-0.577350269189625,-0.577350269189626)); #429635=DIRECTION('center_axis',(0.,0.,-1.)); #429636=DIRECTION('ref_axis',(-1.,0.,0.)); #429637=DIRECTION('center_axis',(0.,-1.,0.)); #429638=DIRECTION('ref_axis',(0.,0.,-1.)); #429639=DIRECTION('center_axis',(-1.,0.,0.)); #429640=DIRECTION('ref_axis',(0.,-1.,0.)); #429641=DIRECTION('center_axis',(-0.408248290463864,0.408248290463862,-0.816496580927726)); #429642=DIRECTION('ref_axis',(-0.577350269189627,0.577350269189625,0.577350269189625)); #429643=DIRECTION('center_axis',(-1.,0.,0.)); #429644=DIRECTION('ref_axis',(0.,1.,0.)); #429645=DIRECTION('center_axis',(0.,0.,1.)); #429646=DIRECTION('ref_axis',(-1.,0.,0.)); #429647=DIRECTION('center_axis',(0.,1.,0.)); #429648=DIRECTION('ref_axis',(0.,0.,1.)); #429649=DIRECTION('center_axis',(-0.408248290463864,0.408248290463863,-0.816496580927726)); #429650=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189625,-0.577350269189626)); #429651=DIRECTION('center_axis',(0.,0.,-1.)); #429652=DIRECTION('ref_axis',(0.,-1.,0.)); #429653=DIRECTION('center_axis',(1.,0.,0.)); #429654=DIRECTION('ref_axis',(0.,0.,-1.)); #429655=DIRECTION('center_axis',(0.,-1.,0.)); #429656=DIRECTION('ref_axis',(1.,0.,0.)); #429657=DIRECTION('center_axis',(1.,0.,0.)); #429658=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #429659=DIRECTION('',(-1.,0.,0.)); #429660=DIRECTION('',(1.,0.,0.)); #429661=DIRECTION('center_axis',(0.408248290463863,0.408248290463863,-0.816496580927726)); #429662=DIRECTION('ref_axis',(0.577350269189627,0.577350269189625,0.577350269189625)); #429663=DIRECTION('center_axis',(0.,1.,0.)); #429664=DIRECTION('ref_axis',(1.,0.,0.)); #429665=DIRECTION('center_axis',(0.,0.,1.)); #429666=DIRECTION('ref_axis',(0.,1.,0.)); #429667=DIRECTION('center_axis',(1.,0.,0.)); #429668=DIRECTION('ref_axis',(0.,0.,1.)); #429669=DIRECTION('center_axis',(0.408248290463864,-0.408248290463862,-0.816496580927726)); #429670=DIRECTION('ref_axis',(-0.577350269189627,0.577350269189625,-0.577350269189625)); #429671=DIRECTION('center_axis',(-1.,0.,0.)); #429672=DIRECTION('ref_axis',(0.,0.,-1.)); #429673=DIRECTION('center_axis',(0.,1.,0.)); #429674=DIRECTION('ref_axis',(-1.,0.,0.)); #429675=DIRECTION('center_axis',(0.,0.,-1.)); #429676=DIRECTION('ref_axis',(0.,1.,0.)); #429677=DIRECTION('center_axis',(0.,-1.,0.)); #429678=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #429679=DIRECTION('',(0.,1.,0.)); #429680=DIRECTION('',(0.,-1.,0.)); #429681=DIRECTION('center_axis',(0.,0.,1.)); #429682=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #429683=DIRECTION('',(0.,0.,-1.)); #429684=DIRECTION('',(0.,0.,1.)); #429685=DIRECTION('center_axis',(-0.408248290463864,-0.408248290463863, -0.816496580927726)); #429686=DIRECTION('ref_axis',(0.577350269189626,0.577350269189625,-0.577350269189626)); #429687=DIRECTION('center_axis',(0.,0.,-1.)); #429688=DIRECTION('ref_axis',(1.,0.,0.)); #429689=DIRECTION('center_axis',(0.,1.,0.)); #429690=DIRECTION('ref_axis',(0.,0.,-1.)); #429691=DIRECTION('center_axis',(1.,0.,0.)); #429692=DIRECTION('ref_axis',(0.,1.,0.)); #429693=DIRECTION('center_axis',(0.,-1.,0.)); #429694=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #429695=DIRECTION('',(0.,1.,0.)); #429696=DIRECTION('',(0.,-1.,0.)); #429697=DIRECTION('center_axis',(-1.,0.,0.)); #429698=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #429699=DIRECTION('',(1.,0.,0.)); #429700=DIRECTION('',(-1.,0.,0.)); #429701=DIRECTION('center_axis',(0.,0.,1.)); #429702=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #429703=DIRECTION('',(0.,0.,-1.)); #429704=DIRECTION('',(0.,0.,1.)); #429705=DIRECTION('center_axis',(-1.,0.,0.)); #429706=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #429707=DIRECTION('',(1.,0.,0.)); #429708=DIRECTION('',(-1.,0.,0.)); #429709=DIRECTION('center_axis',(0.,1.,0.)); #429710=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #429711=DIRECTION('',(0.,-1.,0.)); #429712=DIRECTION('',(0.,1.,0.)); #429713=DIRECTION('center_axis',(0.,0.,1.)); #429714=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #429715=DIRECTION('',(0.,0.,-1.)); #429716=DIRECTION('',(0.,0.,1.)); #429717=DIRECTION('center_axis',(0.,-1.,0.)); #429718=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #429719=DIRECTION('',(0.,1.,0.)); #429720=DIRECTION('',(0.,-1.,0.)); #429721=DIRECTION('center_axis',(0.,0.,1.)); #429722=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #429723=DIRECTION('',(0.,0.,-1.)); #429724=DIRECTION('',(0.,0.,1.)); #429725=DIRECTION('center_axis',(1.,0.,0.)); #429726=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548)); #429727=DIRECTION('',(-1.,0.,0.)); #429728=DIRECTION('',(1.,0.,0.)); #429729=DIRECTION('center_axis',(0.,-1.,0.)); #429730=DIRECTION('ref_axis',(1.,0.,0.)); #429731=DIRECTION('center_axis',(0.,0.,1.)); #429732=DIRECTION('ref_axis',(1.,0.,0.)); #429733=DIRECTION('center_axis',(-1.,0.,0.)); #429734=DIRECTION('ref_axis',(0.,-1.,0.)); #429735=DIRECTION('center_axis',(0.,1.,0.)); #429736=DIRECTION('ref_axis',(-1.,0.,0.)); #429737=DIRECTION('center_axis',(1.,0.,0.)); #429738=DIRECTION('ref_axis',(0.,1.,0.)); #429739=DIRECTION('center_axis',(0.,0.,1.)); #429740=DIRECTION('ref_axis',(1.,0.,0.)); #429741=DIRECTION('center_axis',(-0.408248290463863,-0.408248290463863, -0.816496580927726)); #429742=DIRECTION('ref_axis',(-0.577350269189627,-0.577350269189625,0.577350269189625)); #429743=DIRECTION('center_axis',(0.,-1.,0.)); #429744=DIRECTION('ref_axis',(-1.,0.,0.)); #429745=DIRECTION('center_axis',(0.,0.,1.)); #429746=DIRECTION('ref_axis',(0.,-1.,0.)); #429747=DIRECTION('center_axis',(-1.,0.,0.)); #429748=DIRECTION('ref_axis',(0.,0.,1.)); #429749=DIRECTION('center_axis',(0.408248290463864,-0.408248290463862,-0.816496580927726)); #429750=DIRECTION('ref_axis',(0.577350269189627,-0.577350269189625,0.577350269189625)); #429751=DIRECTION('center_axis',(1.,0.,0.)); #429752=DIRECTION('ref_axis',(0.,-1.,0.)); #429753=DIRECTION('center_axis',(0.,0.,1.)); #429754=DIRECTION('ref_axis',(1.,0.,0.)); #429755=DIRECTION('center_axis',(0.,-1.,0.)); #429756=DIRECTION('ref_axis',(0.,0.,1.)); #429757=DIRECTION('center_axis',(0.408248290463864,0.408248290463863,-0.816496580927726)); #429758=DIRECTION('ref_axis',(-0.577350269189626,-0.577350269189625,-0.577350269189626)); #429759=DIRECTION('center_axis',(0.,0.,-1.)); #429760=DIRECTION('ref_axis',(-1.,0.,0.)); #429761=DIRECTION('center_axis',(0.,-1.,0.)); #429762=DIRECTION('ref_axis',(0.,0.,-1.)); #429763=DIRECTION('center_axis',(-1.,0.,0.)); #429764=DIRECTION('ref_axis',(0.,-1.,0.)); #429765=DIRECTION('center_axis',(-0.408248290463864,0.408248290463862,-0.816496580927726)); #429766=DIRECTION('ref_axis',(-0.577350269189627,0.577350269189625,0.577350269189625)); #429767=DIRECTION('center_axis',(-1.,0.,0.)); #429768=DIRECTION('ref_axis',(0.,1.,0.)); #429769=DIRECTION('center_axis',(0.,0.,1.)); #429770=DIRECTION('ref_axis',(-1.,0.,0.)); #429771=DIRECTION('center_axis',(0.,1.,0.)); #429772=DIRECTION('ref_axis',(0.,0.,1.)); #429773=DIRECTION('center_axis',(-0.408248290463864,0.408248290463863,-0.816496580927726)); #429774=DIRECTION('ref_axis',(0.577350269189627,-0.577350269189625,-0.577350269189626)); #429775=DIRECTION('center_axis',(0.,0.,-1.)); #429776=DIRECTION('ref_axis',(0.,-1.,0.)); #429777=DIRECTION('center_axis',(1.,0.,0.)); #429778=DIRECTION('ref_axis',(0.,0.,-1.)); #429779=DIRECTION('center_axis',(0.,-1.,0.)); #429780=DIRECTION('ref_axis',(1.,0.,0.)); #429781=DIRECTION('center_axis',(1.,0.,0.)); #429782=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #429783=DIRECTION('',(-1.,0.,0.)); #429784=DIRECTION('',(1.,0.,0.)); #429785=DIRECTION('center_axis',(0.408248290463864,0.408248290463863,-0.816496580927726)); #429786=DIRECTION('ref_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #429787=DIRECTION('center_axis',(0.,1.,0.)); #429788=DIRECTION('ref_axis',(1.,0.,0.)); #429789=DIRECTION('center_axis',(0.,0.,1.)); #429790=DIRECTION('ref_axis',(0.,1.,0.)); #429791=DIRECTION('center_axis',(1.,0.,0.)); #429792=DIRECTION('ref_axis',(0.,0.,1.)); #429793=DIRECTION('center_axis',(0.408248290463864,-0.408248290463862,-0.816496580927726)); #429794=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,-0.577350269189626)); #429795=DIRECTION('center_axis',(-1.,0.,0.)); #429796=DIRECTION('ref_axis',(0.,0.,-1.)); #429797=DIRECTION('center_axis',(0.,1.,0.)); #429798=DIRECTION('ref_axis',(-1.,0.,0.)); #429799=DIRECTION('center_axis',(0.,0.,-1.)); #429800=DIRECTION('ref_axis',(0.,1.,0.)); #429801=DIRECTION('center_axis',(0.,-1.,0.)); #429802=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #429803=DIRECTION('',(0.,1.,0.)); #429804=DIRECTION('',(0.,-1.,0.)); #429805=DIRECTION('center_axis',(0.,0.,1.)); #429806=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #429807=DIRECTION('',(0.,0.,-1.)); #429808=DIRECTION('',(0.,0.,1.)); #429809=DIRECTION('center_axis',(-0.408248290463864,-0.408248290463863, -0.816496580927726)); #429810=DIRECTION('ref_axis',(0.577350269189627,0.577350269189625,-0.577350269189626)); #429811=DIRECTION('center_axis',(0.,0.,-1.)); #429812=DIRECTION('ref_axis',(1.,0.,0.)); #429813=DIRECTION('center_axis',(0.,1.,0.)); #429814=DIRECTION('ref_axis',(0.,0.,-1.)); #429815=DIRECTION('center_axis',(1.,0.,0.)); #429816=DIRECTION('ref_axis',(0.,1.,0.)); #429817=DIRECTION('center_axis',(0.,1.,0.)); #429818=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #429819=DIRECTION('',(0.,-1.,0.)); #429820=DIRECTION('',(0.,1.,0.)); #429821=DIRECTION('center_axis',(-1.,0.,0.)); #429822=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #429823=DIRECTION('',(1.,0.,0.)); #429824=DIRECTION('',(-1.,0.,0.)); #429825=DIRECTION('center_axis',(0.,0.,1.)); #429826=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #429827=DIRECTION('',(0.,0.,-1.)); #429828=DIRECTION('',(0.,0.,1.)); #429829=DIRECTION('center_axis',(-1.,0.,0.)); #429830=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #429831=DIRECTION('',(1.,0.,0.)); #429832=DIRECTION('',(-1.,0.,0.)); #429833=DIRECTION('center_axis',(0.,1.,0.)); #429834=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #429835=DIRECTION('',(0.,-1.,0.)); #429836=DIRECTION('',(0.,1.,0.)); #429837=DIRECTION('center_axis',(0.,0.,1.)); #429838=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #429839=DIRECTION('',(0.,0.,-1.)); #429840=DIRECTION('',(0.,0.,1.)); #429841=DIRECTION('center_axis',(0.,-1.,0.)); #429842=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #429843=DIRECTION('',(0.,1.,0.)); #429844=DIRECTION('',(0.,-1.,0.)); #429845=DIRECTION('center_axis',(0.,0.,1.)); #429846=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #429847=DIRECTION('',(0.,0.,-1.)); #429848=DIRECTION('',(0.,0.,1.)); #429849=DIRECTION('center_axis',(1.,0.,0.)); #429850=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548)); #429851=DIRECTION('',(-1.,0.,0.)); #429852=DIRECTION('',(1.,0.,0.)); #429853=DIRECTION('center_axis',(0.,-1.,0.)); #429854=DIRECTION('ref_axis',(1.,0.,0.)); #429855=DIRECTION('center_axis',(0.,0.,1.)); #429856=DIRECTION('ref_axis',(1.,0.,0.)); #429857=DIRECTION('center_axis',(-1.,0.,0.)); #429858=DIRECTION('ref_axis',(0.,-1.,0.)); #429859=DIRECTION('center_axis',(0.,1.,0.)); #429860=DIRECTION('ref_axis',(-1.,0.,0.)); #429861=DIRECTION('center_axis',(1.,0.,0.)); #429862=DIRECTION('ref_axis',(0.,1.,0.)); #429863=DIRECTION('center_axis',(0.,0.,1.)); #429864=DIRECTION('ref_axis',(1.,0.,0.)); #429865=DIRECTION('center_axis',(1.,0.,0.)); #429866=DIRECTION('ref_axis',(0.,1.,0.)); #429867=DIRECTION('',(0.,-1.,0.)); #429868=DIRECTION('',(0.,0.,1.)); #429869=DIRECTION('',(0.,1.,0.)); #429870=DIRECTION('',(0.,0.,1.)); #429871=DIRECTION('center_axis',(0.,-1.,0.)); #429872=DIRECTION('ref_axis',(1.,0.,0.)); #429873=DIRECTION('',(1.,0.,0.)); #429874=DIRECTION('',(1.,0.,0.)); #429875=DIRECTION('',(0.,0.,1.)); #429876=DIRECTION('center_axis',(-1.,0.,0.)); #429877=DIRECTION('ref_axis',(0.,-1.,0.)); #429878=DIRECTION('',(0.,-1.,0.)); #429879=DIRECTION('',(0.,-1.,0.)); #429880=DIRECTION('',(0.,0.,1.)); #429881=DIRECTION('center_axis',(0.,1.,0.)); #429882=DIRECTION('ref_axis',(-1.,0.,0.)); #429883=DIRECTION('',(-1.,0.,0.)); #429884=DIRECTION('',(-1.,0.,0.)); #429885=DIRECTION('center_axis',(0.,0.,1.)); #429886=DIRECTION('ref_axis',(1.,0.,0.)); #429887=DIRECTION('center_axis',(0.,0.,1.)); #429888=DIRECTION('ref_axis',(1.,0.,0.)); #429889=DIRECTION('',(0.,0.,1.)); #429890=DIRECTION('',(1.,0.,0.)); #429891=DIRECTION('axis',(0.,0.,1.)); #429892=DIRECTION('refdir',(1.,0.,0.)); #429893=DIRECTION('axis',(0.,0.,1.)); #429894=DIRECTION('refdir',(1.,0.,0.)); #429895=DIRECTION('center_axis',(-0.816496580927727,0.408248290463863,-0.408248290463862)); #429896=DIRECTION('ref_axis',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #429897=DIRECTION('center_axis',(0.,-1.,0.)); #429898=DIRECTION('ref_axis',(-1.,0.,0.)); #429899=DIRECTION('center_axis',(0.,0.,1.)); #429900=DIRECTION('ref_axis',(0.,-1.,0.)); #429901=DIRECTION('center_axis',(-1.,0.,0.)); #429902=DIRECTION('ref_axis',(0.,0.,1.)); #429903=DIRECTION('center_axis',(-0.816496580927725,-0.408248290463864, 0.408248290463864)); #429904=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,0.577350269189626)); #429905=DIRECTION('center_axis',(1.,0.,0.)); #429906=DIRECTION('ref_axis',(0.,-1.,0.)); #429907=DIRECTION('center_axis',(0.,0.,1.)); #429908=DIRECTION('ref_axis',(1.,0.,0.)); #429909=DIRECTION('center_axis',(0.,-1.,0.)); #429910=DIRECTION('ref_axis',(0.,0.,1.)); #429911=DIRECTION('center_axis',(-0.816496580927726,0.408248290463863,0.408248290463863)); #429912=DIRECTION('ref_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #429913=DIRECTION('center_axis',(0.,0.,-1.)); #429914=DIRECTION('ref_axis',(-1.,0.,0.)); #429915=DIRECTION('center_axis',(0.,-1.,0.)); #429916=DIRECTION('ref_axis',(0.,0.,-1.)); #429917=DIRECTION('center_axis',(-1.,0.,0.)); #429918=DIRECTION('ref_axis',(0.,-1.,0.)); #429919=DIRECTION('center_axis',(-0.816496580927727,-0.408248290463863, -0.408248290463862)); #429920=DIRECTION('ref_axis',(-0.577350269189625,0.577350269189628,0.577350269189625)); #429921=DIRECTION('center_axis',(-1.,0.,0.)); #429922=DIRECTION('ref_axis',(0.,1.,0.)); #429923=DIRECTION('center_axis',(0.,0.,1.)); #429924=DIRECTION('ref_axis',(-1.,0.,0.)); #429925=DIRECTION('center_axis',(0.,1.,0.)); #429926=DIRECTION('ref_axis',(0.,0.,1.)); #429927=DIRECTION('center_axis',(-0.816496580927726,-0.408248290463863, -0.408248290463863)); #429928=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189625)); #429929=DIRECTION('center_axis',(0.,0.,-1.)); #429930=DIRECTION('ref_axis',(0.,-1.,0.)); #429931=DIRECTION('center_axis',(1.,0.,0.)); #429932=DIRECTION('ref_axis',(0.,0.,-1.)); #429933=DIRECTION('center_axis',(0.,-1.,0.)); #429934=DIRECTION('ref_axis',(1.,0.,0.)); #429935=DIRECTION('center_axis',(1.,0.,0.)); #429936=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #429937=DIRECTION('',(-1.,0.,0.)); #429938=DIRECTION('',(1.,0.,0.)); #429939=DIRECTION('center_axis',(0.408248290463863,0.408248290463862,-0.816496580927727)); #429940=DIRECTION('ref_axis',(0.577350269189628,0.577350269189626,0.577350269189623)); #429941=DIRECTION('center_axis',(0.,1.,0.)); #429942=DIRECTION('ref_axis',(1.,0.,0.)); #429943=DIRECTION('center_axis',(0.,0.,1.)); #429944=DIRECTION('ref_axis',(0.,1.,0.)); #429945=DIRECTION('center_axis',(1.,2.16840434497101E-15,0.)); #429946=DIRECTION('ref_axis',(0.,0.,1.)); #429947=DIRECTION('center_axis',(-0.816496580927727,-0.408248290463862, 0.408248290463862)); #429948=DIRECTION('ref_axis',(-0.577350269189625,0.577350269189626,-0.577350269189627)); #429949=DIRECTION('center_axis',(-1.,0.,0.)); #429950=DIRECTION('ref_axis',(0.,0.,-1.)); #429951=DIRECTION('center_axis',(0.,1.,0.)); #429952=DIRECTION('ref_axis',(-1.,0.,0.)); #429953=DIRECTION('center_axis',(0.,0.,-1.)); #429954=DIRECTION('ref_axis',(0.,1.,0.)); #429955=DIRECTION('center_axis',(0.,-1.,0.)); #429956=DIRECTION('ref_axis',(-0.707106781186545,0.,-0.70710678118655)); #429957=DIRECTION('',(0.,1.,0.)); #429958=DIRECTION('',(0.,-1.,0.)); #429959=DIRECTION('center_axis',(0.,0.,1.)); #429960=DIRECTION('ref_axis',(-0.707106781186545,0.707106781186551,0.)); #429961=DIRECTION('',(0.,0.,-1.)); #429962=DIRECTION('',(0.,0.,1.)); #429963=DIRECTION('center_axis',(-0.816496580927726,0.408248290463863,-0.408248290463863)); #429964=DIRECTION('ref_axis',(0.577350269189625,0.577350269189626,-0.577350269189626)); #429965=DIRECTION('center_axis',(0.,0.,-1.)); #429966=DIRECTION('ref_axis',(1.,0.,0.)); #429967=DIRECTION('center_axis',(0.,1.,0.)); #429968=DIRECTION('ref_axis',(0.,0.,-1.)); #429969=DIRECTION('center_axis',(1.,0.,2.16840434497101E-15)); #429970=DIRECTION('ref_axis',(0.,1.,0.)); #429971=DIRECTION('center_axis',(0.,-1.,0.)); #429972=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); #429973=DIRECTION('',(0.,1.,0.)); #429974=DIRECTION('',(0.,-1.,0.)); #429975=DIRECTION('center_axis',(-1.,0.,0.)); #429976=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #429977=DIRECTION('',(1.,0.,0.)); #429978=DIRECTION('',(-1.,0.,0.)); #429979=DIRECTION('center_axis',(0.,0.,1.)); #429980=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #429981=DIRECTION('',(0.,0.,-1.)); #429982=DIRECTION('',(0.,0.,1.)); #429983=DIRECTION('center_axis',(-1.,0.,0.)); #429984=DIRECTION('ref_axis',(0.,0.707106781186547,0.707106781186547)); #429985=DIRECTION('',(1.,0.,0.)); #429986=DIRECTION('',(-1.,0.,0.)); #429987=DIRECTION('center_axis',(0.,1.,0.)); #429988=DIRECTION('ref_axis',(0.707106781186546,0.,0.707106781186549)); #429989=DIRECTION('',(0.,-1.,0.)); #429990=DIRECTION('',(0.,1.,0.)); #429991=DIRECTION('center_axis',(0.,0.,1.)); #429992=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.)); #429993=DIRECTION('',(0.,0.,-1.)); #429994=DIRECTION('',(0.,0.,1.)); #429995=DIRECTION('center_axis',(0.,-1.,0.)); #429996=DIRECTION('ref_axis',(-0.707106781186545,0.,0.707106781186551)); #429997=DIRECTION('',(0.,1.,0.)); #429998=DIRECTION('',(0.,-1.,0.)); #429999=DIRECTION('center_axis',(0.,0.,1.)); #430000=DIRECTION('ref_axis',(-0.707106781186545,-0.707106781186551,0.)); #430001=DIRECTION('',(0.,0.,-1.)); #430002=DIRECTION('',(0.,0.,1.)); #430003=DIRECTION('center_axis',(1.,0.,0.)); #430004=DIRECTION('ref_axis',(0.,-0.707106781186547,0.707106781186547)); #430005=DIRECTION('',(-1.,0.,0.)); #430006=DIRECTION('',(1.,0.,0.)); #430007=DIRECTION('center_axis',(0.,-1.,0.)); #430008=DIRECTION('ref_axis',(1.,0.,0.)); #430009=DIRECTION('center_axis',(0.,0.,1.)); #430010=DIRECTION('ref_axis',(1.,0.,0.)); #430011=DIRECTION('center_axis',(-1.,0.,0.)); #430012=DIRECTION('ref_axis',(0.,-1.,0.)); #430013=DIRECTION('center_axis',(0.,1.,0.)); #430014=DIRECTION('ref_axis',(-1.,0.,0.)); #430015=DIRECTION('center_axis',(1.,0.,0.)); #430016=DIRECTION('ref_axis',(0.,1.,0.)); #430017=DIRECTION('center_axis',(0.,0.,1.)); #430018=DIRECTION('ref_axis',(1.,0.,0.)); #430019=DIRECTION('center_axis',(-0.408248290463863,-0.408248290463862, -0.816496580927727)); #430020=DIRECTION('ref_axis',(-0.577350269189628,-0.577350269189626,0.577350269189623)); #430021=DIRECTION('center_axis',(0.,-1.,0.)); #430022=DIRECTION('ref_axis',(-1.,0.,0.)); #430023=DIRECTION('center_axis',(0.,0.,1.)); #430024=DIRECTION('ref_axis',(0.,-1.,0.)); #430025=DIRECTION('center_axis',(-1.,-2.16840434497101E-15,0.)); #430026=DIRECTION('ref_axis',(0.,0.,1.)); #430027=DIRECTION('center_axis',(-0.816496580927727,-0.408248290463863, 0.408248290463862)); #430028=DIRECTION('ref_axis',(0.577350269189625,-0.577350269189628,0.577350269189625)); #430029=DIRECTION('center_axis',(1.,0.,0.)); #430030=DIRECTION('ref_axis',(0.,-1.,0.)); #430031=DIRECTION('center_axis',(0.,0.,1.)); #430032=DIRECTION('ref_axis',(1.,0.,0.)); #430033=DIRECTION('center_axis',(0.,-1.,0.)); #430034=DIRECTION('ref_axis',(0.,0.,1.)); #430035=DIRECTION('center_axis',(-0.816496580927728,0.408248290463861,0.408248290463861)); #430036=DIRECTION('ref_axis',(-0.577350269189623,-0.577350269189627,-0.577350269189627)); #430037=DIRECTION('center_axis',(0.,0.,-1.)); #430038=DIRECTION('ref_axis',(-1.,0.,0.)); #430039=DIRECTION('center_axis',(0.,-1.,0.)); #430040=DIRECTION('ref_axis',(0.,0.,-1.)); #430041=DIRECTION('center_axis',(-1.,0.,4.33680868994202E-15)); #430042=DIRECTION('ref_axis',(0.,-1.,0.)); #430043=DIRECTION('center_axis',(-0.816496580927725,-0.408248290463864, -0.408248290463864)); #430044=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,0.577350269189626)); #430045=DIRECTION('center_axis',(-1.,0.,0.)); #430046=DIRECTION('ref_axis',(0.,1.,0.)); #430047=DIRECTION('center_axis',(0.,0.,1.)); #430048=DIRECTION('ref_axis',(-1.,0.,0.)); #430049=DIRECTION('center_axis',(0.,1.,0.)); #430050=DIRECTION('ref_axis',(0.,0.,1.)); #430051=DIRECTION('center_axis',(-0.816496580927726,-0.408248290463863, -0.408248290463863)); #430052=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #430053=DIRECTION('center_axis',(0.,0.,-1.)); #430054=DIRECTION('ref_axis',(0.,-1.,0.)); #430055=DIRECTION('center_axis',(1.,0.,0.)); #430056=DIRECTION('ref_axis',(0.,0.,-1.)); #430057=DIRECTION('center_axis',(0.,-1.,0.)); #430058=DIRECTION('ref_axis',(1.,0.,0.)); #430059=DIRECTION('center_axis',(1.,0.,0.)); #430060=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #430061=DIRECTION('',(-1.,0.,0.)); #430062=DIRECTION('',(1.,0.,0.)); #430063=DIRECTION('center_axis',(-0.816496580927727,0.408248290463863,0.408248290463862)); #430064=DIRECTION('ref_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #430065=DIRECTION('center_axis',(0.,1.,0.)); #430066=DIRECTION('ref_axis',(1.,0.,0.)); #430067=DIRECTION('center_axis',(0.,0.,1.)); #430068=DIRECTION('ref_axis',(0.,1.,0.)); #430069=DIRECTION('center_axis',(1.,0.,0.)); #430070=DIRECTION('ref_axis',(0.,0.,1.)); #430071=DIRECTION('center_axis',(-0.816496580927727,-0.408248290463862, 0.408248290463862)); #430072=DIRECTION('ref_axis',(-0.577350269189624,0.577350269189627,-0.577350269189627)); #430073=DIRECTION('center_axis',(-1.,2.16840434497101E-15,0.)); #430074=DIRECTION('ref_axis',(0.,0.,-1.)); #430075=DIRECTION('center_axis',(0.,1.,0.)); #430076=DIRECTION('ref_axis',(-1.,0.,0.)); #430077=DIRECTION('center_axis',(0.,0.,-1.)); #430078=DIRECTION('ref_axis',(0.,1.,0.)); #430079=DIRECTION('center_axis',(0.,-1.,0.)); #430080=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #430081=DIRECTION('',(0.,1.,0.)); #430082=DIRECTION('',(0.,-1.,0.)); #430083=DIRECTION('center_axis',(0.,0.,1.)); #430084=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.)); #430085=DIRECTION('',(0.,0.,-1.)); #430086=DIRECTION('',(0.,0.,1.)); #430087=DIRECTION('center_axis',(-0.816496580927726,0.408248290463863,-0.408248290463863)); #430088=DIRECTION('ref_axis',(0.577350269189626,0.577350269189626,-0.577350269189626)); #430089=DIRECTION('center_axis',(0.,0.,-1.)); #430090=DIRECTION('ref_axis',(1.,0.,0.)); #430091=DIRECTION('center_axis',(0.,1.,0.)); #430092=DIRECTION('ref_axis',(0.,0.,-1.)); #430093=DIRECTION('center_axis',(1.,0.,0.)); #430094=DIRECTION('ref_axis',(0.,1.,0.)); #430095=DIRECTION('center_axis',(0.,1.,0.)); #430096=DIRECTION('ref_axis',(0.707106781186545,0.,-0.70710678118655)); #430097=DIRECTION('',(0.,-1.,0.)); #430098=DIRECTION('',(0.,1.,0.)); #430099=DIRECTION('center_axis',(-1.,0.,0.)); #430100=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #430101=DIRECTION('',(1.,0.,0.)); #430102=DIRECTION('',(-1.,0.,0.)); #430103=DIRECTION('center_axis',(0.,0.,1.)); #430104=DIRECTION('ref_axis',(0.707106781186545,0.707106781186551,0.)); #430105=DIRECTION('',(0.,0.,-1.)); #430106=DIRECTION('',(0.,0.,1.)); #430107=DIRECTION('center_axis',(-1.,0.,0.)); #430108=DIRECTION('ref_axis',(0.,0.707106781186547,0.707106781186547)); #430109=DIRECTION('',(1.,0.,0.)); #430110=DIRECTION('',(-1.,0.,0.)); #430111=DIRECTION('center_axis',(0.,1.,0.)); #430112=DIRECTION('ref_axis',(0.707106781186545,0.,0.707106781186551)); #430113=DIRECTION('',(0.,-1.,0.)); #430114=DIRECTION('',(0.,1.,0.)); #430115=DIRECTION('center_axis',(0.,0.,1.)); #430116=DIRECTION('ref_axis',(0.707106781186545,-0.707106781186551,0.)); #430117=DIRECTION('',(0.,0.,-1.)); #430118=DIRECTION('',(0.,0.,1.)); #430119=DIRECTION('center_axis',(0.,-1.,0.)); #430120=DIRECTION('ref_axis',(-0.707106781186546,0.,0.707106781186549)); #430121=DIRECTION('',(0.,1.,0.)); #430122=DIRECTION('',(0.,-1.,0.)); #430123=DIRECTION('center_axis',(0.,0.,1.)); #430124=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #430125=DIRECTION('',(0.,0.,-1.)); #430126=DIRECTION('',(0.,0.,1.)); #430127=DIRECTION('center_axis',(1.,0.,0.)); #430128=DIRECTION('ref_axis',(0.,-0.707106781186547,0.707106781186547)); #430129=DIRECTION('',(-1.,0.,0.)); #430130=DIRECTION('',(1.,0.,0.)); #430131=DIRECTION('center_axis',(0.,-1.,0.)); #430132=DIRECTION('ref_axis',(1.,0.,0.)); #430133=DIRECTION('center_axis',(0.,0.,1.)); #430134=DIRECTION('ref_axis',(1.,0.,0.)); #430135=DIRECTION('center_axis',(-1.,0.,0.)); #430136=DIRECTION('ref_axis',(0.,-1.,0.)); #430137=DIRECTION('center_axis',(0.,1.,0.)); #430138=DIRECTION('ref_axis',(-1.,0.,0.)); #430139=DIRECTION('center_axis',(1.,0.,0.)); #430140=DIRECTION('ref_axis',(0.,1.,0.)); #430141=DIRECTION('center_axis',(0.,0.,1.)); #430142=DIRECTION('ref_axis',(1.,0.,0.)); #430143=DIRECTION('center_axis',(1.,0.,0.)); #430144=DIRECTION('ref_axis',(0.,1.,0.)); #430145=DIRECTION('',(0.,-1.,0.)); #430146=DIRECTION('',(0.,0.,1.)); #430147=DIRECTION('',(0.,1.,0.)); #430148=DIRECTION('',(0.,0.,1.)); #430149=DIRECTION('center_axis',(0.,-1.,0.)); #430150=DIRECTION('ref_axis',(1.,0.,0.)); #430151=DIRECTION('',(1.,0.,0.)); #430152=DIRECTION('',(1.,0.,0.)); #430153=DIRECTION('',(0.,0.,1.)); #430154=DIRECTION('center_axis',(-1.,0.,0.)); #430155=DIRECTION('ref_axis',(0.,-1.,0.)); #430156=DIRECTION('',(0.,-1.,0.)); #430157=DIRECTION('',(0.,-1.,0.)); #430158=DIRECTION('',(0.,0.,1.)); #430159=DIRECTION('center_axis',(0.,1.,0.)); #430160=DIRECTION('ref_axis',(-1.,0.,0.)); #430161=DIRECTION('',(-1.,0.,0.)); #430162=DIRECTION('',(-1.,0.,0.)); #430163=DIRECTION('center_axis',(0.,0.,1.)); #430164=DIRECTION('ref_axis',(1.,0.,0.)); #430165=DIRECTION('center_axis',(0.,0.,1.)); #430166=DIRECTION('ref_axis',(1.,0.,0.)); #430167=DIRECTION('',(0.,0.,1.)); #430168=DIRECTION('',(1.,0.,0.)); #430169=DIRECTION('axis',(0.,0.,1.)); #430170=DIRECTION('refdir',(1.,0.,0.)); #430171=DIRECTION('axis',(0.,0.,1.)); #430172=DIRECTION('refdir',(1.,0.,0.)); #430173=DIRECTION('center_axis',(0.408248290463863,-0.816496580927726,-0.408248290463863)); #430174=DIRECTION('ref_axis',(-0.57735026918963,-0.577350269189624,0.577350269189624)); #430175=DIRECTION('center_axis',(0.,-1.,0.)); #430176=DIRECTION('ref_axis',(-1.,0.,0.)); #430177=DIRECTION('center_axis',(0.,0.,1.)); #430178=DIRECTION('ref_axis',(0.,-1.,0.)); #430179=DIRECTION('center_axis',(-1.,0.,0.)); #430180=DIRECTION('ref_axis',(0.,0.,1.)); #430181=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, -0.408248290463863)); #430182=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,0.577350269189626)); #430183=DIRECTION('center_axis',(1.,0.,0.)); #430184=DIRECTION('ref_axis',(0.,-1.,0.)); #430185=DIRECTION('center_axis',(0.,0.,1.)); #430186=DIRECTION('ref_axis',(1.,0.,0.)); #430187=DIRECTION('center_axis',(0.,-1.,0.)); #430188=DIRECTION('ref_axis',(0.,0.,1.)); #430189=DIRECTION('center_axis',(-0.816496580927733,0.408248290463858,0.408248290463854)); #430190=DIRECTION('ref_axis',(-0.577350269189618,-0.577350269189635,-0.577350269189624)); #430191=DIRECTION('center_axis',(0.,0.,-1.)); #430192=DIRECTION('ref_axis',(-1.,0.,0.)); #430193=DIRECTION('center_axis',(0.,-1.,0.)); #430194=DIRECTION('ref_axis',(0.,0.,-1.)); #430195=DIRECTION('center_axis',(-1.,0.,6.93889390390723E-15)); #430196=DIRECTION('ref_axis',(0.,-1.,0.)); #430197=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, 0.408248290463863)); #430198=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,0.577350269189626)); #430199=DIRECTION('center_axis',(-1.,0.,0.)); #430200=DIRECTION('ref_axis',(0.,1.,0.)); #430201=DIRECTION('center_axis',(0.,0.,1.)); #430202=DIRECTION('ref_axis',(-1.,0.,0.)); #430203=DIRECTION('center_axis',(0.,1.,0.)); #430204=DIRECTION('ref_axis',(0.,0.,1.)); #430205=DIRECTION('center_axis',(-0.816496580927725,-0.408248290463864, -0.408248290463863)); #430206=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #430207=DIRECTION('center_axis',(0.,0.,-1.)); #430208=DIRECTION('ref_axis',(0.,-1.,0.)); #430209=DIRECTION('center_axis',(1.,0.,0.)); #430210=DIRECTION('ref_axis',(0.,0.,-1.)); #430211=DIRECTION('center_axis',(0.,-1.,0.)); #430212=DIRECTION('ref_axis',(1.,0.,0.)); #430213=DIRECTION('center_axis',(1.,0.,0.)); #430214=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #430215=DIRECTION('',(-1.,0.,0.)); #430216=DIRECTION('',(1.,0.,0.)); #430217=DIRECTION('center_axis',(0.408248290463861,-0.816496580927727,0.408248290463864)); #430218=DIRECTION('ref_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #430219=DIRECTION('center_axis',(0.,1.,0.)); #430220=DIRECTION('ref_axis',(1.,0.,0.)); #430221=DIRECTION('center_axis',(0.,0.,1.)); #430222=DIRECTION('ref_axis',(0.,1.,0.)); #430223=DIRECTION('center_axis',(1.,-3.46944695195361E-15,0.)); #430224=DIRECTION('ref_axis',(0.,0.,1.)); #430225=DIRECTION('center_axis',(-0.816496580927729,-0.408248290463862, 0.40824829046386)); #430226=DIRECTION('ref_axis',(-0.577350269189622,0.577350269189628,-0.577350269189628)); #430227=DIRECTION('center_axis',(-1.,6.93889390390722E-15,0.)); #430228=DIRECTION('ref_axis',(0.,0.,-1.)); #430229=DIRECTION('center_axis',(0.,1.,0.)); #430230=DIRECTION('ref_axis',(-1.,0.,0.)); #430231=DIRECTION('center_axis',(0.,0.,-1.)); #430232=DIRECTION('ref_axis',(0.,1.,0.)); #430233=DIRECTION('center_axis',(0.,-1.,0.)); #430234=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #430235=DIRECTION('',(0.,1.,0.)); #430236=DIRECTION('',(0.,-1.,0.)); #430237=DIRECTION('center_axis',(0.,0.,1.)); #430238=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #430239=DIRECTION('',(0.,0.,-1.)); #430240=DIRECTION('',(0.,0.,1.)); #430241=DIRECTION('center_axis',(-0.816496580927728,0.408248290463864,-0.408248290463859)); #430242=DIRECTION('ref_axis',(0.577350269189625,0.57735026918963,-0.577350269189622)); #430243=DIRECTION('center_axis',(0.,0.,-1.)); #430244=DIRECTION('ref_axis',(1.,0.,0.)); #430245=DIRECTION('center_axis',(0.,1.,0.)); #430246=DIRECTION('ref_axis',(0.,0.,-1.)); #430247=DIRECTION('center_axis',(1.,0.,-3.46944695195361E-15)); #430248=DIRECTION('ref_axis',(0.,1.,0.)); #430249=DIRECTION('center_axis',(0.,-1.,0.)); #430250=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #430251=DIRECTION('',(0.,1.,0.)); #430252=DIRECTION('',(0.,-1.,0.)); #430253=DIRECTION('center_axis',(-1.,0.,0.)); #430254=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #430255=DIRECTION('',(1.,0.,0.)); #430256=DIRECTION('',(-1.,0.,0.)); #430257=DIRECTION('center_axis',(0.,0.,1.)); #430258=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #430259=DIRECTION('',(0.,0.,-1.)); #430260=DIRECTION('',(0.,0.,1.)); #430261=DIRECTION('center_axis',(-1.,0.,0.)); #430262=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #430263=DIRECTION('',(1.,0.,0.)); #430264=DIRECTION('',(-1.,0.,0.)); #430265=DIRECTION('center_axis',(0.,1.,0.)); #430266=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #430267=DIRECTION('',(0.,-1.,0.)); #430268=DIRECTION('',(0.,1.,0.)); #430269=DIRECTION('center_axis',(0.,0.,1.)); #430270=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #430271=DIRECTION('',(0.,0.,-1.)); #430272=DIRECTION('',(0.,0.,1.)); #430273=DIRECTION('center_axis',(0.,-1.,0.)); #430274=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #430275=DIRECTION('',(0.,1.,0.)); #430276=DIRECTION('',(0.,-1.,0.)); #430277=DIRECTION('center_axis',(0.,0.,1.)); #430278=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #430279=DIRECTION('',(0.,0.,-1.)); #430280=DIRECTION('',(0.,0.,1.)); #430281=DIRECTION('center_axis',(1.,0.,0.)); #430282=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548)); #430283=DIRECTION('',(-1.,0.,0.)); #430284=DIRECTION('',(1.,0.,0.)); #430285=DIRECTION('center_axis',(0.,-1.,0.)); #430286=DIRECTION('ref_axis',(1.,0.,0.)); #430287=DIRECTION('center_axis',(0.,0.,1.)); #430288=DIRECTION('ref_axis',(1.,0.,0.)); #430289=DIRECTION('center_axis',(-1.,0.,0.)); #430290=DIRECTION('ref_axis',(0.,-1.,0.)); #430291=DIRECTION('center_axis',(0.,1.,0.)); #430292=DIRECTION('ref_axis',(-1.,0.,0.)); #430293=DIRECTION('center_axis',(1.,0.,0.)); #430294=DIRECTION('ref_axis',(0.,1.,0.)); #430295=DIRECTION('center_axis',(0.,0.,1.)); #430296=DIRECTION('ref_axis',(1.,0.,0.)); #430297=DIRECTION('center_axis',(0.408248290463861,-0.816496580927727,-0.408248290463864)); #430298=DIRECTION('ref_axis',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #430299=DIRECTION('center_axis',(0.,-1.,0.)); #430300=DIRECTION('ref_axis',(-1.,0.,0.)); #430301=DIRECTION('center_axis',(0.,0.,1.)); #430302=DIRECTION('ref_axis',(0.,-1.,0.)); #430303=DIRECTION('center_axis',(-1.,3.46944695195361E-15,0.)); #430304=DIRECTION('ref_axis',(0.,0.,1.)); #430305=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, -0.408248290463863)); #430306=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,0.577350269189626)); #430307=DIRECTION('center_axis',(1.,0.,0.)); #430308=DIRECTION('ref_axis',(0.,-1.,0.)); #430309=DIRECTION('center_axis',(0.,0.,1.)); #430310=DIRECTION('ref_axis',(1.,0.,0.)); #430311=DIRECTION('center_axis',(0.,-1.,0.)); #430312=DIRECTION('ref_axis',(0.,0.,1.)); #430313=DIRECTION('center_axis',(-0.816496580927724,0.408248290463869,0.408248290463861)); #430314=DIRECTION('ref_axis',(-0.577350269189627,-0.57735026918963,-0.57735026918962)); #430315=DIRECTION('center_axis',(0.,0.,-1.)); #430316=DIRECTION('ref_axis',(-1.,0.,0.)); #430317=DIRECTION('center_axis',(0.,-1.,0.)); #430318=DIRECTION('ref_axis',(0.,0.,-1.)); #430319=DIRECTION('center_axis',(-1.,0.,-6.93889390390723E-15)); #430320=DIRECTION('ref_axis',(0.,-1.,0.)); #430321=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, 0.408248290463863)); #430322=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,0.577350269189626)); #430323=DIRECTION('center_axis',(-1.,0.,0.)); #430324=DIRECTION('ref_axis',(0.,1.,0.)); #430325=DIRECTION('center_axis',(0.,0.,1.)); #430326=DIRECTION('ref_axis',(-1.,0.,0.)); #430327=DIRECTION('center_axis',(0.,1.,0.)); #430328=DIRECTION('ref_axis',(0.,0.,1.)); #430329=DIRECTION('center_axis',(-0.816496580927729,-0.408248290463859, -0.40824829046386)); #430330=DIRECTION('ref_axis',(0.577350269189619,-0.57735026918963,-0.577350269189628)); #430331=DIRECTION('center_axis',(0.,0.,-1.)); #430332=DIRECTION('ref_axis',(0.,-1.,0.)); #430333=DIRECTION('center_axis',(1.,-6.93889390390722E-15,0.)); #430334=DIRECTION('ref_axis',(0.,0.,-1.)); #430335=DIRECTION('center_axis',(0.,-1.,0.)); #430336=DIRECTION('ref_axis',(1.,0.,0.)); #430337=DIRECTION('center_axis',(1.,0.,0.)); #430338=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #430339=DIRECTION('',(-1.,0.,0.)); #430340=DIRECTION('',(1.,0.,0.)); #430341=DIRECTION('center_axis',(0.408248290463863,-0.816496580927726,0.408248290463863)); #430342=DIRECTION('ref_axis',(0.57735026918963,0.577350269189624,0.577350269189624)); #430343=DIRECTION('center_axis',(0.,1.,0.)); #430344=DIRECTION('ref_axis',(1.,0.,0.)); #430345=DIRECTION('center_axis',(0.,0.,1.)); #430346=DIRECTION('ref_axis',(0.,1.,0.)); #430347=DIRECTION('center_axis',(1.,0.,0.)); #430348=DIRECTION('ref_axis',(0.,0.,1.)); #430349=DIRECTION('center_axis',(0.408248290463862,-0.408248290463862,-0.816496580927727)); #430350=DIRECTION('ref_axis',(-0.577350269189629,0.577350269189623,-0.577350269189625)); #430351=DIRECTION('center_axis',(-1.,-3.46944695195361E-15,0.)); #430352=DIRECTION('ref_axis',(0.,0.,-1.)); #430353=DIRECTION('center_axis',(0.,1.,0.)); #430354=DIRECTION('ref_axis',(-1.,0.,0.)); #430355=DIRECTION('center_axis',(0.,0.,-1.)); #430356=DIRECTION('ref_axis',(0.,1.,0.)); #430357=DIRECTION('center_axis',(0.,-1.,0.)); #430358=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #430359=DIRECTION('',(0.,1.,0.)); #430360=DIRECTION('',(0.,-1.,0.)); #430361=DIRECTION('center_axis',(0.,0.,1.)); #430362=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #430363=DIRECTION('',(0.,0.,-1.)); #430364=DIRECTION('',(0.,0.,1.)); #430365=DIRECTION('center_axis',(-0.816496580927733,0.408248290463858,-0.408248290463854)); #430366=DIRECTION('ref_axis',(0.577350269189618,0.577350269189635,-0.577350269189624)); #430367=DIRECTION('center_axis',(0.,0.,-1.)); #430368=DIRECTION('ref_axis',(1.,0.,0.)); #430369=DIRECTION('center_axis',(0.,1.,0.)); #430370=DIRECTION('ref_axis',(0.,0.,-1.)); #430371=DIRECTION('center_axis',(1.,0.,6.93889390390723E-15)); #430372=DIRECTION('ref_axis',(0.,1.,0.)); #430373=DIRECTION('center_axis',(0.,1.,0.)); #430374=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #430375=DIRECTION('',(0.,-1.,0.)); #430376=DIRECTION('',(0.,1.,0.)); #430377=DIRECTION('center_axis',(-1.,0.,0.)); #430378=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #430379=DIRECTION('',(1.,0.,0.)); #430380=DIRECTION('',(-1.,0.,0.)); #430381=DIRECTION('center_axis',(0.,0.,1.)); #430382=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #430383=DIRECTION('',(0.,0.,-1.)); #430384=DIRECTION('',(0.,0.,1.)); #430385=DIRECTION('center_axis',(-1.,0.,0.)); #430386=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #430387=DIRECTION('',(1.,0.,0.)); #430388=DIRECTION('',(-1.,0.,0.)); #430389=DIRECTION('center_axis',(0.,1.,0.)); #430390=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #430391=DIRECTION('',(0.,-1.,0.)); #430392=DIRECTION('',(0.,1.,0.)); #430393=DIRECTION('center_axis',(0.,0.,1.)); #430394=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #430395=DIRECTION('',(0.,0.,-1.)); #430396=DIRECTION('',(0.,0.,1.)); #430397=DIRECTION('center_axis',(0.,-1.,0.)); #430398=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #430399=DIRECTION('',(0.,1.,0.)); #430400=DIRECTION('',(0.,-1.,0.)); #430401=DIRECTION('center_axis',(0.,0.,1.)); #430402=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #430403=DIRECTION('',(0.,0.,-1.)); #430404=DIRECTION('',(0.,0.,1.)); #430405=DIRECTION('center_axis',(1.,0.,0.)); #430406=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548)); #430407=DIRECTION('',(-1.,0.,0.)); #430408=DIRECTION('',(1.,0.,0.)); #430409=DIRECTION('center_axis',(0.,-1.,0.)); #430410=DIRECTION('ref_axis',(1.,0.,0.)); #430411=DIRECTION('center_axis',(0.,0.,1.)); #430412=DIRECTION('ref_axis',(1.,0.,0.)); #430413=DIRECTION('center_axis',(-1.,0.,0.)); #430414=DIRECTION('ref_axis',(0.,-1.,0.)); #430415=DIRECTION('center_axis',(0.,1.,0.)); #430416=DIRECTION('ref_axis',(-1.,0.,0.)); #430417=DIRECTION('center_axis',(1.,0.,0.)); #430418=DIRECTION('ref_axis',(0.,1.,0.)); #430419=DIRECTION('center_axis',(0.,0.,1.)); #430420=DIRECTION('ref_axis',(1.,0.,0.)); #430421=DIRECTION('center_axis',(1.,0.,0.)); #430422=DIRECTION('ref_axis',(0.,1.,0.)); #430423=DIRECTION('',(0.,-1.,0.)); #430424=DIRECTION('',(0.,0.,1.)); #430425=DIRECTION('',(0.,1.,0.)); #430426=DIRECTION('',(0.,0.,1.)); #430427=DIRECTION('center_axis',(0.,-1.,0.)); #430428=DIRECTION('ref_axis',(1.,0.,0.)); #430429=DIRECTION('',(1.,0.,0.)); #430430=DIRECTION('',(1.,0.,0.)); #430431=DIRECTION('',(0.,0.,1.)); #430432=DIRECTION('center_axis',(-1.,0.,0.)); #430433=DIRECTION('ref_axis',(0.,-1.,0.)); #430434=DIRECTION('',(0.,-1.,0.)); #430435=DIRECTION('',(0.,-1.,0.)); #430436=DIRECTION('',(0.,0.,1.)); #430437=DIRECTION('center_axis',(0.,1.,0.)); #430438=DIRECTION('ref_axis',(-1.,0.,0.)); #430439=DIRECTION('',(-1.,0.,0.)); #430440=DIRECTION('',(-1.,0.,0.)); #430441=DIRECTION('center_axis',(0.,0.,1.)); #430442=DIRECTION('ref_axis',(1.,0.,0.)); #430443=DIRECTION('center_axis',(0.,0.,1.)); #430444=DIRECTION('ref_axis',(1.,0.,0.)); #430445=DIRECTION('',(0.,0.,1.)); #430446=DIRECTION('',(1.,0.,0.)); #430447=DIRECTION('axis',(0.,0.,1.)); #430448=DIRECTION('refdir',(1.,0.,0.)); #430449=DIRECTION('axis',(0.,0.,1.)); #430450=DIRECTION('refdir',(1.,0.,0.)); #430451=DIRECTION('center_axis',(0.,0.,-1.)); #430452=DIRECTION('ref_axis',(1.,0.,0.)); #430453=DIRECTION('center_axis',(0.,0.,-1.)); #430454=DIRECTION('ref_axis',(1.,0.,0.)); #430455=DIRECTION('',(0.,0.,-1.)); #430456=DIRECTION('center_axis',(0.,0.,-1.)); #430457=DIRECTION('ref_axis',(1.,0.,0.)); #430458=DIRECTION('center_axis',(0.,0.,1.)); #430459=DIRECTION('ref_axis',(1.,0.,0.)); #430460=DIRECTION('center_axis',(0.,0.,-1.)); #430461=DIRECTION('ref_axis',(-1.,0.,0.)); #430462=DIRECTION('center_axis',(0.,0.,1.)); #430463=DIRECTION('ref_axis',(1.,0.,0.)); #430464=DIRECTION('center_axis',(0.,0.,1.)); #430465=DIRECTION('ref_axis',(1.,0.,0.)); #430466=DIRECTION('center_axis',(0.,0.,1.)); #430467=DIRECTION('ref_axis',(1.,0.,0.)); #430468=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #430469=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #430470=DIRECTION('center_axis',(0.,0.,1.)); #430471=DIRECTION('ref_axis',(1.,0.,0.)); #430472=DIRECTION('center_axis',(0.,0.,1.)); #430473=DIRECTION('ref_axis',(1.,0.,0.)); #430474=DIRECTION('',(0.,0.,-1.)); #430475=DIRECTION('center_axis',(0.,0.,1.)); #430476=DIRECTION('ref_axis',(1.,0.,0.)); #430477=DIRECTION('center_axis',(0.,0.,1.)); #430478=DIRECTION('ref_axis',(1.,0.,0.)); #430479=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #430480=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #430481=DIRECTION('center_axis',(0.,0.,1.)); #430482=DIRECTION('ref_axis',(1.,0.,0.)); #430483=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #430484=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #430485=DIRECTION('center_axis',(0.,0.,1.)); #430486=DIRECTION('ref_axis',(1.,0.,0.)); #430487=DIRECTION('center_axis',(0.,0.,1.)); #430488=DIRECTION('ref_axis',(1.,0.,0.)); #430489=DIRECTION('center_axis',(0.,0.,1.)); #430490=DIRECTION('ref_axis',(1.,0.,0.)); #430491=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #430492=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #430493=DIRECTION('center_axis',(0.,0.,1.)); #430494=DIRECTION('ref_axis',(1.,0.,0.)); #430495=DIRECTION('center_axis',(0.,0.,1.)); #430496=DIRECTION('ref_axis',(1.,0.,0.)); #430497=DIRECTION('',(0.,0.,-1.)); #430498=DIRECTION('center_axis',(0.,0.,1.)); #430499=DIRECTION('ref_axis',(1.,0.,0.)); #430500=DIRECTION('center_axis',(0.,0.,1.)); #430501=DIRECTION('ref_axis',(1.,0.,0.)); #430502=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.)); #430503=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #430504=DIRECTION('center_axis',(0.,0.,1.)); #430505=DIRECTION('ref_axis',(1.,0.,0.)); #430506=DIRECTION('center_axis',(0.156434465040231,0.987688340595138,0.)); #430507=DIRECTION('ref_axis',(0.987688340595138,-0.156434465040231,0.)); #430508=DIRECTION('center_axis',(-0.156434465040231,-0.987688340595138, 0.)); #430509=DIRECTION('ref_axis',(0.251357811229301,-0.0398111662527533,0.967075137605972)); #430510=DIRECTION('center_axis',(0.156434465040231,0.987688340595138,0.)); #430511=DIRECTION('ref_axis',(-0.251357811229302,0.0398111662527534,-0.967075137605972)); #430512=DIRECTION('center_axis',(-0.156434465040231,-0.987688340595138, 0.)); #430513=DIRECTION('ref_axis',(0.987688340595138,-0.156434465040231,0.)); #430514=DIRECTION('',(0.,0.,1.)); #430515=DIRECTION('center_axis',(-0.156434465040231,-0.987688340595138, 0.)); #430516=DIRECTION('ref_axis',(0.,0.,1.)); #430517=DIRECTION('',(-0.987688340595138,0.156434465040231,0.)); #430518=DIRECTION('center_axis',(0.156434465040231,0.987688340595138,0.)); #430519=DIRECTION('ref_axis',(0.,0.,1.)); #430520=DIRECTION('',(0.,0.,-1.)); #430521=DIRECTION('center_axis',(0.156434465040231,0.987688340595138,0.)); #430522=DIRECTION('ref_axis',(0.987688340595138,-0.156434465040231,0.)); #430523=DIRECTION('center_axis',(-0.156434465040231,-0.987688340595138, 0.)); #430524=DIRECTION('ref_axis',(-0.246922085148784,0.0391086162600576,-0.968245836551854)); #430525=DIRECTION('center_axis',(0.156434465040231,0.987688340595138,0.)); #430526=DIRECTION('ref_axis',(0.246922085148784,-0.0391086162600577,0.968245836551854)); #430527=DIRECTION('',(-5.17408234786307E-16,8.19494136859355E-17,-1.)); #430528=DIRECTION('center_axis',(0.156434465040231,0.987688340595138,0.)); #430529=DIRECTION('ref_axis',(0.987688340595138,-0.156434465040231,-2.44902137784961E-16)); #430530=DIRECTION('',(0.987688340595138,-0.156434465040231,0.)); #430531=DIRECTION('center_axis',(-0.156434465040231,-0.987688340595138, 0.)); #430532=DIRECTION('ref_axis',(0.987688340595138,-0.156434465040231,0.)); #430533=DIRECTION('',(0.,0.,1.)); #430534=DIRECTION('center_axis',(0.,0.,1.)); #430535=DIRECTION('ref_axis',(1.,0.,0.)); #430536=DIRECTION('center_axis',(0.,0.,-1.)); #430537=DIRECTION('ref_axis',(1.,-2.41605739979525E-15,0.)); #430538=DIRECTION('center_axis',(0.156434465040231,-0.987688340595138,0.)); #430539=DIRECTION('ref_axis',(-0.251357811229302,-0.0398111662527534,-0.967075137605972)); #430540=DIRECTION('center_axis',(0.,0.,1.)); #430541=DIRECTION('ref_axis',(1.,2.41605739979525E-15,0.)); #430542=DIRECTION('center_axis',(0.,0.,1.)); #430543=DIRECTION('ref_axis',(1.,0.,0.)); #430544=DIRECTION('center_axis',(-0.156434465040231,0.987688340595138,0.)); #430545=DIRECTION('ref_axis',(0.987688340595138,0.156434465040231,0.)); #430546=DIRECTION('center_axis',(-2.10210788236898E-14,-1.65439478271922E-15, 1.)); #430547=DIRECTION('ref_axis',(1.,-2.24254650758595E-15,2.09954354188482E-14)); #430548=DIRECTION('center_axis',(0.,0.,1.)); #430549=DIRECTION('ref_axis',(1.,0.,0.)); #430550=DIRECTION('',(0.,0.,-1.)); #430551=DIRECTION('center_axis',(-1.68168630589519E-13,-1.32351582617538E-14, 1.)); #430552=DIRECTION('ref_axis',(1.,-2.24254650758595E-15,1.6822348874297E-13)); #430553=DIRECTION('center_axis',(0.,0.,1.)); #430554=DIRECTION('ref_axis',(1.,0.,0.)); #430555=DIRECTION('center_axis',(-0.156434465040231,0.987688340595138,0.)); #430556=DIRECTION('ref_axis',(0.,0.,1.)); #430557=DIRECTION('center_axis',(-1.73939455619841E-13,-1.36893320414264E-14, 1.)); #430558=DIRECTION('ref_axis',(1.,-6.0508723586757E-16,1.73996196269475E-13)); #430559=DIRECTION('center_axis',(0.,0.,1.)); #430560=DIRECTION('ref_axis',(1.,0.,0.)); #430561=DIRECTION('',(0.987688340595138,0.156434465040231,0.)); #430562=DIRECTION('center_axis',(-1.74786219703999E-13,-1.37559738201274E-14, 1.)); #430563=DIRECTION('ref_axis',(1.,-3.20906249511321E-16,1.74843236575853E-13)); #430564=DIRECTION('center_axis',(0.,0.,1.)); #430565=DIRECTION('ref_axis',(1.,0.,0.)); #430566=DIRECTION('center_axis',(0.156434465040231,-0.987688340595138,0.)); #430567=DIRECTION('ref_axis',(0.,0.,1.)); #430568=DIRECTION('center_axis',(-1.68960012380529E-13,-1.32974413594563E-14, 1.)); #430569=DIRECTION('ref_axis',(1.,1.19185707055348E-15,1.69015128689994E-13)); #430570=DIRECTION('center_axis',(0.,0.,1.)); #430571=DIRECTION('ref_axis',(1.,0.,0.)); #430572=DIRECTION('',(0.,0.,1.)); #430573=DIRECTION('center_axis',(-2.11200015475662E-14,-1.66218016993203E-15, 1.)); #430574=DIRECTION('ref_axis',(1.,1.19185707055348E-15,2.1094237467878E-14)); #430575=DIRECTION('center_axis',(0.,0.,1.)); #430576=DIRECTION('ref_axis',(1.,0.,0.)); #430577=DIRECTION('center_axis',(0.156434465040231,-0.987688340595138,0.)); #430578=DIRECTION('ref_axis',(0.987688340595138,0.156434465040231,0.)); #430579=DIRECTION('center_axis',(-2.16615400487854E-14,-1.70480017428924E-15, 1.)); #430580=DIRECTION('ref_axis',(1.,-1.57407185995123E-15,2.16351153516701E-14)); #430581=DIRECTION('center_axis',(0.,0.,1.)); #430582=DIRECTION('ref_axis',(1.,0.,0.)); #430583=DIRECTION('center_axis',(-0.156434465040231,0.987688340595138,0.)); #430584=DIRECTION('ref_axis',(-0.246922085148784,-0.0391086162600576,-0.968245836551854)); #430585=DIRECTION('center_axis',(0.,0.,1.)); #430586=DIRECTION('ref_axis',(1.,-1.57407185995123E-15,0.)); #430587=DIRECTION('center_axis',(0.,0.,1.)); #430588=DIRECTION('ref_axis',(1.,0.,0.)); #430589=DIRECTION('center_axis',(0.156434465040231,-0.987688340595138,0.)); #430590=DIRECTION('ref_axis',(0.246922085148784,0.0391086162600577,0.968245836551854)); #430591=DIRECTION('center_axis',(0.,0.,1.)); #430592=DIRECTION('ref_axis',(1.,1.19185707055348E-15,0.)); #430593=DIRECTION('center_axis',(0.,0.,1.)); #430594=DIRECTION('ref_axis',(1.,0.,0.)); #430595=DIRECTION('',(5.17408234786307E-16,8.19494136859355E-17,1.)); #430596=DIRECTION('center_axis',(2.04620423503529E-14,1.58430556709647E-15, 1.)); #430597=DIRECTION('ref_axis',(1.,-8.97974505211524E-16,-2.04615736119335E-14)); #430598=DIRECTION('center_axis',(0.,0.,1.)); #430599=DIRECTION('ref_axis',(1.,0.,0.)); #430600=DIRECTION('center_axis',(0.156434465040231,-0.987688340595138,0.)); #430601=DIRECTION('ref_axis',(0.987688340595138,0.156434465040231,-2.44902137784961E-16)); #430602=DIRECTION('center_axis',(2.18482774629999E-14,1.71949672751592E-15, 1.)); #430603=DIRECTION('ref_axis',(1.,-3.20906249511321E-16,-2.18482774629999E-14)); #430604=DIRECTION('center_axis',(0.,0.,-1.)); #430605=DIRECTION('ref_axis',(-1.,0.,0.)); #430606=DIRECTION('',(-0.987688340595138,-0.156434465040231,0.)); #430607=DIRECTION('center_axis',(2.44518319571531E-14,2.03184512807808E-15, 1.)); #430608=DIRECTION('ref_axis',(1.,-2.92458830669326E-15,-2.44518319571531E-14)); #430609=DIRECTION('center_axis',(0.,0.,1.)); #430610=DIRECTION('ref_axis',(1.,0.,0.)); #430611=DIRECTION('center_axis',(-0.156434465040231,0.987688340595138,0.)); #430612=DIRECTION('ref_axis',(0.987688340595138,0.156434465040231,0.)); #430613=DIRECTION('center_axis',(2.36405885081453E-14,1.96443418510905E-15, 1.)); #430614=DIRECTION('ref_axis',(1.,1.69003504919521E-15,-2.36401777674686E-14)); #430615=DIRECTION('center_axis',(0.,0.,1.)); #430616=DIRECTION('ref_axis',(1.,0.,0.)); #430617=DIRECTION('',(0.,0.,-1.)); #430618=DIRECTION('center_axis',(0.,0.,1.)); #430619=DIRECTION('ref_axis',(1.,1.69003504919521E-15,0.)); #430620=DIRECTION('center_axis',(0.,0.,1.)); #430621=DIRECTION('ref_axis',(1.,0.,0.)); #430622=DIRECTION('center_axis',(-0.156434465040231,0.987688340595138,0.)); #430623=DIRECTION('ref_axis',(0.251357811229301,0.0398111662527533,0.967075137605972)); #430624=DIRECTION('center_axis',(-0.156434465040231,0.987688340595138,0.)); #430625=DIRECTION('ref_axis',(0.987688340595138,0.156434465040231,0.)); #430626=DIRECTION('center_axis',(0.,0.,-1.)); #430627=DIRECTION('ref_axis',(1.,0.,0.)); #430628=DIRECTION('center_axis',(0.,0.,-1.)); #430629=DIRECTION('ref_axis',(1.,0.,0.)); #430630=DIRECTION('',(0.,0.,1.)); #430631=DIRECTION('center_axis',(0.,0.,1.)); #430632=DIRECTION('ref_axis',(1.,0.,0.)); #430633=DIRECTION('center_axis',(0.,0.,1.)); #430634=DIRECTION('ref_axis',(1.,0.,0.)); #430635=DIRECTION('center_axis',(0.,0.,1.)); #430636=DIRECTION('ref_axis',(1.,0.,0.)); #430637=DIRECTION('center_axis',(0.,0.,1.)); #430638=DIRECTION('ref_axis',(-1.,0.,0.)); #430639=DIRECTION('center_axis',(0.,0.,1.)); #430640=DIRECTION('ref_axis',(-1.,0.,0.)); #430641=DIRECTION('',(0.,0.,1.)); #430642=DIRECTION('center_axis',(0.,0.,-1.)); #430643=DIRECTION('ref_axis',(-1.,0.,0.)); #430644=DIRECTION('center_axis',(0.,0.,-1.)); #430645=DIRECTION('ref_axis',(-1.,0.,0.)); #430646=DIRECTION('center_axis',(0.,0.,-1.)); #430647=DIRECTION('ref_axis',(-1.,0.,0.)); #430648=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #430649=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #430650=DIRECTION('',(0.,0.,-1.)); #430651=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #430652=DIRECTION('',(0.,0.,1.)); #430653=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #430654=DIRECTION('center_axis',(0.,0.,-1.)); #430655=DIRECTION('ref_axis',(-1.,0.,0.)); #430656=DIRECTION('center_axis',(0.,0.,-1.)); #430657=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #430658=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #430659=DIRECTION('center_axis',(0.,0.,1.)); #430660=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #430661=DIRECTION('center_axis',(0.,0.,1.)); #430662=DIRECTION('ref_axis',(1.,0.,0.)); #430663=DIRECTION('',(0.,0.,-1.)); #430664=DIRECTION('center_axis',(0.,0.,1.)); #430665=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #430666=DIRECTION('center_axis',(0.,0.,1.)); #430667=DIRECTION('ref_axis',(1.,0.,0.)); #430668=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #430669=DIRECTION('center_axis',(0.,0.,1.)); #430670=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #430671=DIRECTION('center_axis',(0.,0.,1.)); #430672=DIRECTION('ref_axis',(1.,0.,0.)); #430673=DIRECTION('',(0.,0.,1.)); #430674=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #430675=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #430676=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #430677=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #430678=DIRECTION('',(0.,0.,-1.)); #430679=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #430680=DIRECTION('',(0.,0.,1.)); #430681=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #430682=DIRECTION('center_axis',(0.,0.,-1.)); #430683=DIRECTION('ref_axis',(-1.,0.,0.)); #430684=DIRECTION('center_axis',(0.,0.,-1.)); #430685=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #430686=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #430687=DIRECTION('center_axis',(0.,0.,1.)); #430688=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #430689=DIRECTION('center_axis',(0.,0.,1.)); #430690=DIRECTION('ref_axis',(1.,0.,0.)); #430691=DIRECTION('',(0.,0.,-1.)); #430692=DIRECTION('center_axis',(0.,0.,1.)); #430693=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #430694=DIRECTION('center_axis',(0.,0.,1.)); #430695=DIRECTION('ref_axis',(1.,0.,0.)); #430696=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #430697=DIRECTION('center_axis',(0.,0.,1.)); #430698=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #430699=DIRECTION('center_axis',(0.,0.,1.)); #430700=DIRECTION('ref_axis',(1.,0.,0.)); #430701=DIRECTION('',(0.,0.,1.)); #430702=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #430703=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #430704=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #430705=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #430706=DIRECTION('',(0.,0.,-1.)); #430707=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #430708=DIRECTION('',(0.,0.,1.)); #430709=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #430710=DIRECTION('center_axis',(0.,0.,-1.)); #430711=DIRECTION('ref_axis',(-1.,0.,0.)); #430712=DIRECTION('center_axis',(0.,0.,-1.)); #430713=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #430714=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #430715=DIRECTION('center_axis',(0.,0.,1.)); #430716=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #430717=DIRECTION('center_axis',(0.,0.,1.)); #430718=DIRECTION('ref_axis',(1.,0.,0.)); #430719=DIRECTION('',(0.,0.,-1.)); #430720=DIRECTION('center_axis',(0.,0.,1.)); #430721=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #430722=DIRECTION('center_axis',(0.,0.,1.)); #430723=DIRECTION('ref_axis',(1.,0.,0.)); #430724=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #430725=DIRECTION('center_axis',(0.,0.,1.)); #430726=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #430727=DIRECTION('center_axis',(0.,0.,1.)); #430728=DIRECTION('ref_axis',(1.,0.,0.)); #430729=DIRECTION('',(0.,0.,1.)); #430730=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #430731=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #430732=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #430733=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #430734=DIRECTION('',(0.,0.,-1.)); #430735=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #430736=DIRECTION('',(0.,0.,1.)); #430737=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #430738=DIRECTION('center_axis',(0.,0.,-1.)); #430739=DIRECTION('ref_axis',(-1.,0.,0.)); #430740=DIRECTION('center_axis',(0.,0.,-1.)); #430741=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #430742=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #430743=DIRECTION('center_axis',(0.,0.,1.)); #430744=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #430745=DIRECTION('center_axis',(0.,0.,1.)); #430746=DIRECTION('ref_axis',(1.,0.,0.)); #430747=DIRECTION('',(0.,0.,-1.)); #430748=DIRECTION('center_axis',(0.,0.,1.)); #430749=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #430750=DIRECTION('center_axis',(0.,0.,1.)); #430751=DIRECTION('ref_axis',(1.,0.,0.)); #430752=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #430753=DIRECTION('center_axis',(0.,0.,1.)); #430754=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #430755=DIRECTION('center_axis',(0.,0.,1.)); #430756=DIRECTION('ref_axis',(1.,0.,0.)); #430757=DIRECTION('',(0.,0.,1.)); #430758=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #430759=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #430760=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #430761=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #430762=DIRECTION('',(0.,0.,-1.)); #430763=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #430764=DIRECTION('',(0.,0.,1.)); #430765=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #430766=DIRECTION('center_axis',(0.,0.,-1.)); #430767=DIRECTION('ref_axis',(-1.,0.,0.)); #430768=DIRECTION('center_axis',(0.,0.,-1.)); #430769=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #430770=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #430771=DIRECTION('center_axis',(0.,0.,1.)); #430772=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #430773=DIRECTION('center_axis',(0.,0.,1.)); #430774=DIRECTION('ref_axis',(1.,0.,0.)); #430775=DIRECTION('',(0.,0.,-1.)); #430776=DIRECTION('center_axis',(0.,0.,1.)); #430777=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #430778=DIRECTION('center_axis',(0.,0.,1.)); #430779=DIRECTION('ref_axis',(1.,0.,0.)); #430780=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #430781=DIRECTION('center_axis',(0.,0.,1.)); #430782=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #430783=DIRECTION('center_axis',(0.,0.,1.)); #430784=DIRECTION('ref_axis',(1.,0.,0.)); #430785=DIRECTION('',(0.,0.,1.)); #430786=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #430787=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #430788=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #430789=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #430790=DIRECTION('',(0.,0.,-1.)); #430791=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #430792=DIRECTION('',(0.,0.,1.)); #430793=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #430794=DIRECTION('center_axis',(0.,0.,-1.)); #430795=DIRECTION('ref_axis',(-1.,0.,0.)); #430796=DIRECTION('center_axis',(0.,0.,-1.)); #430797=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #430798=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #430799=DIRECTION('center_axis',(0.,0.,1.)); #430800=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #430801=DIRECTION('center_axis',(0.,0.,1.)); #430802=DIRECTION('ref_axis',(1.,0.,0.)); #430803=DIRECTION('',(0.,0.,-1.)); #430804=DIRECTION('center_axis',(0.,0.,1.)); #430805=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #430806=DIRECTION('center_axis',(0.,0.,1.)); #430807=DIRECTION('ref_axis',(1.,0.,0.)); #430808=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #430809=DIRECTION('center_axis',(0.,0.,1.)); #430810=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #430811=DIRECTION('center_axis',(0.,0.,1.)); #430812=DIRECTION('ref_axis',(1.,0.,0.)); #430813=DIRECTION('',(0.,0.,1.)); #430814=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #430815=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #430816=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #430817=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #430818=DIRECTION('',(0.,0.,-1.)); #430819=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #430820=DIRECTION('',(0.,0.,1.)); #430821=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #430822=DIRECTION('center_axis',(0.,0.,-1.)); #430823=DIRECTION('ref_axis',(-1.,0.,0.)); #430824=DIRECTION('center_axis',(0.,0.,-1.)); #430825=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #430826=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #430827=DIRECTION('center_axis',(0.,0.,1.)); #430828=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #430829=DIRECTION('center_axis',(0.,0.,1.)); #430830=DIRECTION('ref_axis',(1.,0.,0.)); #430831=DIRECTION('',(0.,0.,-1.)); #430832=DIRECTION('center_axis',(0.,0.,1.)); #430833=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #430834=DIRECTION('center_axis',(0.,0.,1.)); #430835=DIRECTION('ref_axis',(1.,0.,0.)); #430836=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #430837=DIRECTION('center_axis',(0.,0.,1.)); #430838=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #430839=DIRECTION('center_axis',(0.,0.,1.)); #430840=DIRECTION('ref_axis',(1.,0.,0.)); #430841=DIRECTION('',(0.,0.,1.)); #430842=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #430843=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #430844=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #430845=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #430846=DIRECTION('',(0.,0.,-1.)); #430847=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #430848=DIRECTION('',(0.,0.,1.)); #430849=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #430850=DIRECTION('center_axis',(0.,0.,-1.)); #430851=DIRECTION('ref_axis',(-1.,0.,0.)); #430852=DIRECTION('center_axis',(0.,0.,-1.)); #430853=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #430854=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #430855=DIRECTION('center_axis',(0.,0.,1.)); #430856=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #430857=DIRECTION('center_axis',(0.,0.,1.)); #430858=DIRECTION('ref_axis',(1.,0.,0.)); #430859=DIRECTION('',(0.,0.,-1.)); #430860=DIRECTION('center_axis',(0.,0.,1.)); #430861=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #430862=DIRECTION('center_axis',(0.,0.,1.)); #430863=DIRECTION('ref_axis',(1.,0.,0.)); #430864=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #430865=DIRECTION('center_axis',(0.,0.,1.)); #430866=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #430867=DIRECTION('center_axis',(0.,0.,1.)); #430868=DIRECTION('ref_axis',(1.,0.,0.)); #430869=DIRECTION('',(0.,0.,1.)); #430870=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #430871=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #430872=DIRECTION('center_axis',(0.0523359562429438,0.998629534754574,0.)); #430873=DIRECTION('ref_axis',(0.998629534754574,-0.0523359562429438,0.)); #430874=DIRECTION('',(0.,0.,-1.)); #430875=DIRECTION('',(0.998629534754574,-0.0523359562429438,0.)); #430876=DIRECTION('',(0.,0.,1.)); #430877=DIRECTION('',(-0.998629534754574,0.0523359562429438,0.)); #430878=DIRECTION('center_axis',(0.,0.,-1.)); #430879=DIRECTION('ref_axis',(-1.,0.,0.)); #430880=DIRECTION('center_axis',(0.,0.,-1.)); #430881=DIRECTION('ref_axis',(1.,-4.4276983697799E-15,0.)); #430882=DIRECTION('',(-0.998629534754574,-0.0523359562429438,0.)); #430883=DIRECTION('center_axis',(0.,0.,1.)); #430884=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #430885=DIRECTION('center_axis',(0.,0.,1.)); #430886=DIRECTION('ref_axis',(1.,0.,0.)); #430887=DIRECTION('',(0.,0.,-1.)); #430888=DIRECTION('center_axis',(0.,0.,1.)); #430889=DIRECTION('ref_axis',(1.,1.62121412058947E-14,0.)); #430890=DIRECTION('center_axis',(0.,0.,1.)); #430891=DIRECTION('ref_axis',(1.,0.,0.)); #430892=DIRECTION('',(0.998629534754574,0.0523359562429438,0.)); #430893=DIRECTION('center_axis',(0.,0.,1.)); #430894=DIRECTION('ref_axis',(1.,4.4276983697799E-15,0.)); #430895=DIRECTION('center_axis',(0.,0.,1.)); #430896=DIRECTION('ref_axis',(1.,0.,0.)); #430897=DIRECTION('',(0.,0.,1.)); #430898=DIRECTION('center_axis',(-0.0523359562429438,0.998629534754574, 0.)); #430899=DIRECTION('ref_axis',(0.998629534754574,0.0523359562429438,0.)); #430900=DIRECTION('',(0.,0.,1.)); #430901=DIRECTION('',(1.,0.,0.)); #430902=DIRECTION('axis',(0.,0.,1.)); #430903=DIRECTION('refdir',(1.,0.,0.)); #430904=DIRECTION('axis',(0.,0.,1.)); #430905=DIRECTION('refdir',(1.,0.,0.)); #430906=DIRECTION('center_axis',(0.,0.,-1.)); #430907=DIRECTION('ref_axis',(1.,0.,0.)); #430908=DIRECTION('center_axis',(0.,0.,-1.)); #430909=DIRECTION('ref_axis',(1.,0.,0.)); #430910=DIRECTION('',(0.,0.,-1.)); #430911=DIRECTION('center_axis',(0.,0.,-1.)); #430912=DIRECTION('ref_axis',(1.,0.,0.)); #430913=DIRECTION('center_axis',(0.,0.,1.)); #430914=DIRECTION('ref_axis',(1.,0.,0.)); #430915=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186548)); #430916=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #430917=DIRECTION('',(0.,-1.,0.)); #430918=DIRECTION('',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #430919=DIRECTION('',(0.,1.,0.)); #430920=DIRECTION('',(-0.577350269189626,-0.577350269189626,0.577350269189626)); #430921=DIRECTION('center_axis',(0.,-0.707106781186548,0.707106781186548)); #430922=DIRECTION('ref_axis',(1.,0.,0.)); #430923=DIRECTION('',(1.,0.,0.)); #430924=DIRECTION('',(-1.,0.,0.)); #430925=DIRECTION('',(0.577350269189626,0.577350269189626,0.577350269189626)); #430926=DIRECTION('center_axis',(0.,0.707106781186548,0.707106781186548)); #430927=DIRECTION('ref_axis',(1.,0.,0.)); #430928=DIRECTION('',(1.,0.,0.)); #430929=DIRECTION('',(-1.,0.,0.)); #430930=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626)); #430931=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #430932=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #430933=DIRECTION('',(0.,1.,0.)); #430934=DIRECTION('',(0.,-1.,0.)); #430935=DIRECTION('center_axis',(0.,1.,0.)); #430936=DIRECTION('ref_axis',(1.,0.,0.)); #430937=DIRECTION('',(0.,0.,-1.)); #430938=DIRECTION('',(1.,0.,0.)); #430939=DIRECTION('',(0.,0.,-1.)); #430940=DIRECTION('center_axis',(1.,0.,0.)); #430941=DIRECTION('ref_axis',(0.,-1.,0.)); #430942=DIRECTION('',(0.,0.,-1.)); #430943=DIRECTION('',(0.,-1.,0.)); #430944=DIRECTION('center_axis',(0.,0.,1.)); #430945=DIRECTION('ref_axis',(1.,0.,0.)); #430946=DIRECTION('center_axis',(-1.,0.,0.)); #430947=DIRECTION('ref_axis',(0.,1.,0.)); #430948=DIRECTION('',(0.,1.,0.)); #430949=DIRECTION('',(0.,0.,-1.)); #430950=DIRECTION('center_axis',(0.,-1.,0.)); #430951=DIRECTION('ref_axis',(-1.,0.,0.)); #430952=DIRECTION('',(-1.,0.,0.)); #430953=DIRECTION('center_axis',(0.,0.,-1.)); #430954=DIRECTION('ref_axis',(-1.,0.,0.)); #430955=DIRECTION('center_axis',(0.,1.,0.)); #430956=DIRECTION('ref_axis',(-1.,0.,0.)); #430957=DIRECTION('',(-1.,0.,0.)); #430958=DIRECTION('',(0.,0.,1.)); #430959=DIRECTION('',(-1.,0.,0.)); #430960=DIRECTION('',(0.,0.,1.)); #430961=DIRECTION('center_axis',(1.,0.,0.)); #430962=DIRECTION('ref_axis',(0.,1.,0.)); #430963=DIRECTION('',(0.,1.,0.)); #430964=DIRECTION('',(0.,1.,0.)); #430965=DIRECTION('',(0.,0.,1.)); #430966=DIRECTION('center_axis',(0.,-1.,0.)); #430967=DIRECTION('ref_axis',(1.,0.,0.)); #430968=DIRECTION('',(1.,0.,0.)); #430969=DIRECTION('',(1.,0.,0.)); #430970=DIRECTION('',(0.,0.,1.)); #430971=DIRECTION('center_axis',(-1.,0.,0.)); #430972=DIRECTION('ref_axis',(0.,-1.,0.)); #430973=DIRECTION('',(0.,-1.,0.)); #430974=DIRECTION('',(0.,-1.,0.)); #430975=DIRECTION('center_axis',(0.,0.,1.)); #430976=DIRECTION('ref_axis',(1.,0.,0.)); #430977=DIRECTION('center_axis',(0.,0.,1.)); #430978=DIRECTION('ref_axis',(1.,0.,0.)); #430979=DIRECTION('center_axis',(1.,0.,0.)); #430980=DIRECTION('ref_axis',(0.,1.,0.)); #430981=DIRECTION('',(0.,-1.,0.)); #430982=DIRECTION('',(0.,0.,1.)); #430983=DIRECTION('',(0.,1.,0.)); #430984=DIRECTION('',(0.,0.,1.)); #430985=DIRECTION('center_axis',(0.,-1.,0.)); #430986=DIRECTION('ref_axis',(1.,0.,0.)); #430987=DIRECTION('',(1.,0.,0.)); #430988=DIRECTION('',(1.,0.,0.)); #430989=DIRECTION('',(0.,0.,1.)); #430990=DIRECTION('center_axis',(0.,0.,1.)); #430991=DIRECTION('ref_axis',(0.,1.,0.)); #430992=DIRECTION('center_axis',(0.,0.,1.)); #430993=DIRECTION('ref_axis',(0.,1.,0.)); #430994=DIRECTION('center_axis',(0.,0.,1.)); #430995=DIRECTION('ref_axis',(0.,1.,0.)); #430996=DIRECTION('',(0.,0.,1.)); #430997=DIRECTION('center_axis',(-1.,0.,0.)); #430998=DIRECTION('ref_axis',(0.,-1.,0.)); #430999=DIRECTION('',(0.,-1.,0.)); #431000=DIRECTION('',(0.,-1.,0.)); #431001=DIRECTION('',(0.,0.,1.)); #431002=DIRECTION('center_axis',(0.,0.,1.)); #431003=DIRECTION('ref_axis',(0.,1.,0.)); #431004=DIRECTION('center_axis',(0.,0.,1.)); #431005=DIRECTION('ref_axis',(0.,1.,0.)); #431006=DIRECTION('center_axis',(0.,0.,1.)); #431007=DIRECTION('ref_axis',(0.,1.,0.)); #431008=DIRECTION('',(0.,0.,1.)); #431009=DIRECTION('center_axis',(0.,1.,0.)); #431010=DIRECTION('ref_axis',(-1.,0.,0.)); #431011=DIRECTION('',(-1.,0.,0.)); #431012=DIRECTION('',(-1.,0.,0.)); #431013=DIRECTION('center_axis',(0.,0.,1.)); #431014=DIRECTION('ref_axis',(1.,0.,0.)); #431015=DIRECTION('center_axis',(0.,0.,1.)); #431016=DIRECTION('ref_axis',(1.,0.,0.)); #431017=DIRECTION('center_axis',(1.,0.,0.)); #431018=DIRECTION('ref_axis',(0.,1.,0.)); #431019=DIRECTION('',(0.,-1.,0.)); #431020=DIRECTION('',(0.,0.,1.)); #431021=DIRECTION('',(0.,1.,0.)); #431022=DIRECTION('',(0.,0.,1.)); #431023=DIRECTION('center_axis',(0.,1.,0.)); #431024=DIRECTION('ref_axis',(-1.,0.,0.)); #431025=DIRECTION('',(-1.,0.,0.)); #431026=DIRECTION('',(0.,0.,1.)); #431027=DIRECTION('',(-1.,0.,0.)); #431028=DIRECTION('center_axis',(0.,0.,-1.)); #431029=DIRECTION('ref_axis',(0.,1.,0.)); #431030=DIRECTION('center_axis',(0.,0.,-1.)); #431031=DIRECTION('ref_axis',(0.,1.,0.)); #431032=DIRECTION('',(0.,0.,1.)); #431033=DIRECTION('center_axis',(0.,0.,-1.)); #431034=DIRECTION('ref_axis',(0.,1.,0.)); #431035=DIRECTION('center_axis',(-1.,0.,0.)); #431036=DIRECTION('ref_axis',(0.,-1.,0.)); #431037=DIRECTION('',(0.,-1.,0.)); #431038=DIRECTION('',(0.,0.,1.)); #431039=DIRECTION('',(0.,-1.,0.)); #431040=DIRECTION('center_axis',(0.,0.,-1.)); #431041=DIRECTION('ref_axis',(0.,1.,0.)); #431042=DIRECTION('center_axis',(0.,0.,-1.)); #431043=DIRECTION('ref_axis',(0.,1.,0.)); #431044=DIRECTION('',(0.,0.,1.)); #431045=DIRECTION('center_axis',(0.,0.,-1.)); #431046=DIRECTION('ref_axis',(0.,1.,0.)); #431047=DIRECTION('center_axis',(0.,-1.,0.)); #431048=DIRECTION('ref_axis',(1.,0.,0.)); #431049=DIRECTION('',(1.,0.,0.)); #431050=DIRECTION('',(1.,0.,0.)); #431051=DIRECTION('center_axis',(0.,0.,-1.)); #431052=DIRECTION('ref_axis',(-1.,0.,0.)); #431053=DIRECTION('center_axis',(0.,0.,-1.)); #431054=DIRECTION('ref_axis',(-1.,0.,0.)); #431055=DIRECTION('center_axis',(1.,0.,0.)); #431056=DIRECTION('ref_axis',(0.,1.,0.)); #431057=DIRECTION('',(0.,-1.,0.)); #431058=DIRECTION('',(0.,0.,1.)); #431059=DIRECTION('',(0.,1.,0.)); #431060=DIRECTION('',(0.,0.,1.)); #431061=DIRECTION('center_axis',(0.,-1.,0.)); #431062=DIRECTION('ref_axis',(1.,0.,0.)); #431063=DIRECTION('',(1.,0.,0.)); #431064=DIRECTION('',(1.,0.,0.)); #431065=DIRECTION('',(0.,0.,1.)); #431066=DIRECTION('center_axis',(0.,0.,1.)); #431067=DIRECTION('ref_axis',(0.,1.,0.)); #431068=DIRECTION('center_axis',(0.,0.,1.)); #431069=DIRECTION('ref_axis',(0.,1.,0.)); #431070=DIRECTION('center_axis',(0.,0.,1.)); #431071=DIRECTION('ref_axis',(0.,1.,0.)); #431072=DIRECTION('',(0.,0.,1.)); #431073=DIRECTION('center_axis',(-1.,0.,0.)); #431074=DIRECTION('ref_axis',(0.,-1.,0.)); #431075=DIRECTION('',(0.,-1.,0.)); #431076=DIRECTION('',(0.,-1.,0.)); #431077=DIRECTION('',(0.,0.,1.)); #431078=DIRECTION('center_axis',(0.,0.,1.)); #431079=DIRECTION('ref_axis',(0.,1.,0.)); #431080=DIRECTION('center_axis',(0.,0.,1.)); #431081=DIRECTION('ref_axis',(0.,1.,0.)); #431082=DIRECTION('center_axis',(0.,0.,1.)); #431083=DIRECTION('ref_axis',(0.,1.,0.)); #431084=DIRECTION('',(0.,0.,1.)); #431085=DIRECTION('center_axis',(0.,1.,0.)); #431086=DIRECTION('ref_axis',(-1.,0.,0.)); #431087=DIRECTION('',(-1.,0.,0.)); #431088=DIRECTION('',(-1.,0.,0.)); #431089=DIRECTION('center_axis',(0.,0.,1.)); #431090=DIRECTION('ref_axis',(1.,0.,0.)); #431091=DIRECTION('center_axis',(0.,0.,1.)); #431092=DIRECTION('ref_axis',(1.,0.,0.)); #431093=DIRECTION('center_axis',(1.,0.,0.)); #431094=DIRECTION('ref_axis',(0.,1.,0.)); #431095=DIRECTION('',(0.,-1.,0.)); #431096=DIRECTION('',(0.,0.,1.)); #431097=DIRECTION('',(0.,1.,0.)); #431098=DIRECTION('',(0.,0.,1.)); #431099=DIRECTION('center_axis',(0.,-1.,0.)); #431100=DIRECTION('ref_axis',(1.,0.,0.)); #431101=DIRECTION('',(1.,0.,0.)); #431102=DIRECTION('',(1.,0.,0.)); #431103=DIRECTION('',(0.,0.,1.)); #431104=DIRECTION('center_axis',(0.,0.,1.)); #431105=DIRECTION('ref_axis',(0.,1.,0.)); #431106=DIRECTION('center_axis',(0.,0.,1.)); #431107=DIRECTION('ref_axis',(0.,1.,0.)); #431108=DIRECTION('center_axis',(0.,0.,1.)); #431109=DIRECTION('ref_axis',(0.,1.,0.)); #431110=DIRECTION('',(0.,0.,1.)); #431111=DIRECTION('center_axis',(-1.,0.,0.)); #431112=DIRECTION('ref_axis',(0.,-1.,0.)); #431113=DIRECTION('',(0.,-1.,0.)); #431114=DIRECTION('',(0.,-1.,0.)); #431115=DIRECTION('',(0.,0.,1.)); #431116=DIRECTION('center_axis',(0.,0.,1.)); #431117=DIRECTION('ref_axis',(0.,1.,0.)); #431118=DIRECTION('center_axis',(0.,0.,1.)); #431119=DIRECTION('ref_axis',(0.,1.,0.)); #431120=DIRECTION('center_axis',(0.,0.,1.)); #431121=DIRECTION('ref_axis',(0.,1.,0.)); #431122=DIRECTION('',(0.,0.,1.)); #431123=DIRECTION('center_axis',(0.,1.,0.)); #431124=DIRECTION('ref_axis',(-1.,0.,0.)); #431125=DIRECTION('',(-1.,0.,0.)); #431126=DIRECTION('',(-1.,0.,0.)); #431127=DIRECTION('center_axis',(0.,0.,1.)); #431128=DIRECTION('ref_axis',(1.,0.,0.)); #431129=DIRECTION('center_axis',(0.,0.,1.)); #431130=DIRECTION('ref_axis',(1.,0.,0.)); #431131=DIRECTION('center_axis',(1.,0.,0.)); #431132=DIRECTION('ref_axis',(0.,1.,0.)); #431133=DIRECTION('',(0.,-1.,0.)); #431134=DIRECTION('',(0.,0.,1.)); #431135=DIRECTION('',(0.,1.,0.)); #431136=DIRECTION('',(0.,0.,1.)); #431137=DIRECTION('center_axis',(0.,-1.,0.)); #431138=DIRECTION('ref_axis',(1.,0.,0.)); #431139=DIRECTION('',(1.,0.,0.)); #431140=DIRECTION('',(1.,0.,0.)); #431141=DIRECTION('',(0.,0.,1.)); #431142=DIRECTION('center_axis',(0.,0.,1.)); #431143=DIRECTION('ref_axis',(0.,1.,0.)); #431144=DIRECTION('center_axis',(0.,0.,1.)); #431145=DIRECTION('ref_axis',(0.,1.,0.)); #431146=DIRECTION('center_axis',(0.,0.,1.)); #431147=DIRECTION('ref_axis',(0.,1.,0.)); #431148=DIRECTION('',(0.,0.,1.)); #431149=DIRECTION('center_axis',(-1.,0.,0.)); #431150=DIRECTION('ref_axis',(0.,-1.,0.)); #431151=DIRECTION('',(0.,-1.,0.)); #431152=DIRECTION('',(0.,-1.,0.)); #431153=DIRECTION('',(0.,0.,1.)); #431154=DIRECTION('center_axis',(0.,0.,1.)); #431155=DIRECTION('ref_axis',(0.,1.,0.)); #431156=DIRECTION('center_axis',(0.,0.,1.)); #431157=DIRECTION('ref_axis',(0.,1.,0.)); #431158=DIRECTION('center_axis',(0.,0.,1.)); #431159=DIRECTION('ref_axis',(0.,1.,0.)); #431160=DIRECTION('',(0.,0.,1.)); #431161=DIRECTION('center_axis',(0.,1.,0.)); #431162=DIRECTION('ref_axis',(-1.,0.,0.)); #431163=DIRECTION('',(-1.,0.,0.)); #431164=DIRECTION('',(-1.,0.,0.)); #431165=DIRECTION('center_axis',(0.,0.,1.)); #431166=DIRECTION('ref_axis',(1.,0.,0.)); #431167=DIRECTION('center_axis',(0.,0.,1.)); #431168=DIRECTION('ref_axis',(1.,0.,0.)); #431169=DIRECTION('center_axis',(1.,0.,0.)); #431170=DIRECTION('ref_axis',(0.,1.,0.)); #431171=DIRECTION('',(0.,-1.,0.)); #431172=DIRECTION('',(0.,0.,1.)); #431173=DIRECTION('',(0.,1.,0.)); #431174=DIRECTION('',(0.,0.,1.)); #431175=DIRECTION('center_axis',(0.,1.,0.)); #431176=DIRECTION('ref_axis',(-1.,0.,0.)); #431177=DIRECTION('',(-1.,0.,0.)); #431178=DIRECTION('',(0.,0.,1.)); #431179=DIRECTION('',(-1.,0.,0.)); #431180=DIRECTION('center_axis',(0.,0.,-1.)); #431181=DIRECTION('ref_axis',(0.,1.,0.)); #431182=DIRECTION('center_axis',(0.,0.,-1.)); #431183=DIRECTION('ref_axis',(0.,1.,0.)); #431184=DIRECTION('',(0.,0.,1.)); #431185=DIRECTION('center_axis',(0.,0.,-1.)); #431186=DIRECTION('ref_axis',(0.,1.,0.)); #431187=DIRECTION('center_axis',(-1.,0.,0.)); #431188=DIRECTION('ref_axis',(0.,-1.,0.)); #431189=DIRECTION('',(0.,-1.,0.)); #431190=DIRECTION('',(0.,0.,1.)); #431191=DIRECTION('',(0.,-1.,0.)); #431192=DIRECTION('center_axis',(0.,0.,-1.)); #431193=DIRECTION('ref_axis',(0.,1.,0.)); #431194=DIRECTION('center_axis',(0.,0.,-1.)); #431195=DIRECTION('ref_axis',(0.,1.,0.)); #431196=DIRECTION('',(0.,0.,1.)); #431197=DIRECTION('center_axis',(0.,0.,-1.)); #431198=DIRECTION('ref_axis',(0.,1.,0.)); #431199=DIRECTION('center_axis',(0.,-1.,0.)); #431200=DIRECTION('ref_axis',(1.,0.,0.)); #431201=DIRECTION('',(1.,0.,0.)); #431202=DIRECTION('',(1.,0.,0.)); #431203=DIRECTION('center_axis',(0.,0.,-1.)); #431204=DIRECTION('ref_axis',(-1.,0.,0.)); #431205=DIRECTION('center_axis',(0.,0.,-1.)); #431206=DIRECTION('ref_axis',(-1.,0.,0.)); #431207=DIRECTION('center_axis',(1.,0.,0.)); #431208=DIRECTION('ref_axis',(0.,1.,0.)); #431209=DIRECTION('',(0.,-1.,0.)); #431210=DIRECTION('',(0.,0.,1.)); #431211=DIRECTION('',(0.,1.,0.)); #431212=DIRECTION('',(0.,0.,1.)); #431213=DIRECTION('center_axis',(0.,1.,0.)); #431214=DIRECTION('ref_axis',(-1.,0.,0.)); #431215=DIRECTION('',(-1.,0.,0.)); #431216=DIRECTION('',(0.,0.,1.)); #431217=DIRECTION('',(-1.,0.,0.)); #431218=DIRECTION('center_axis',(0.,0.,-1.)); #431219=DIRECTION('ref_axis',(0.,1.,0.)); #431220=DIRECTION('center_axis',(0.,0.,-1.)); #431221=DIRECTION('ref_axis',(0.,1.,0.)); #431222=DIRECTION('',(0.,0.,1.)); #431223=DIRECTION('center_axis',(0.,0.,-1.)); #431224=DIRECTION('ref_axis',(0.,1.,0.)); #431225=DIRECTION('center_axis',(-1.,0.,0.)); #431226=DIRECTION('ref_axis',(0.,-1.,0.)); #431227=DIRECTION('',(0.,-1.,0.)); #431228=DIRECTION('',(0.,0.,1.)); #431229=DIRECTION('',(0.,-1.,0.)); #431230=DIRECTION('center_axis',(0.,0.,-1.)); #431231=DIRECTION('ref_axis',(0.,1.,0.)); #431232=DIRECTION('center_axis',(0.,0.,-1.)); #431233=DIRECTION('ref_axis',(0.,1.,0.)); #431234=DIRECTION('',(0.,0.,1.)); #431235=DIRECTION('center_axis',(0.,0.,-1.)); #431236=DIRECTION('ref_axis',(0.,1.,0.)); #431237=DIRECTION('center_axis',(0.,-1.,0.)); #431238=DIRECTION('ref_axis',(1.,0.,0.)); #431239=DIRECTION('',(1.,0.,0.)); #431240=DIRECTION('',(1.,0.,0.)); #431241=DIRECTION('center_axis',(0.,0.,-1.)); #431242=DIRECTION('ref_axis',(-1.,0.,0.)); #431243=DIRECTION('center_axis',(0.,0.,-1.)); #431244=DIRECTION('ref_axis',(-1.,0.,0.)); #431245=DIRECTION('center_axis',(1.,0.,0.)); #431246=DIRECTION('ref_axis',(0.,1.,0.)); #431247=DIRECTION('',(0.,-1.,0.)); #431248=DIRECTION('',(0.,0.,1.)); #431249=DIRECTION('',(0.,1.,0.)); #431250=DIRECTION('',(0.,0.,1.)); #431251=DIRECTION('center_axis',(0.,1.,0.)); #431252=DIRECTION('ref_axis',(-1.,0.,0.)); #431253=DIRECTION('',(-1.,0.,0.)); #431254=DIRECTION('',(0.,0.,1.)); #431255=DIRECTION('',(-1.,0.,0.)); #431256=DIRECTION('center_axis',(0.,0.,-1.)); #431257=DIRECTION('ref_axis',(0.,1.,0.)); #431258=DIRECTION('center_axis',(0.,0.,-1.)); #431259=DIRECTION('ref_axis',(0.,1.,0.)); #431260=DIRECTION('',(0.,0.,1.)); #431261=DIRECTION('center_axis',(0.,0.,-1.)); #431262=DIRECTION('ref_axis',(0.,1.,0.)); #431263=DIRECTION('center_axis',(-1.,0.,0.)); #431264=DIRECTION('ref_axis',(0.,-1.,0.)); #431265=DIRECTION('',(0.,-1.,0.)); #431266=DIRECTION('',(0.,0.,1.)); #431267=DIRECTION('',(0.,-1.,0.)); #431268=DIRECTION('center_axis',(0.,0.,-1.)); #431269=DIRECTION('ref_axis',(0.,1.,0.)); #431270=DIRECTION('center_axis',(0.,0.,-1.)); #431271=DIRECTION('ref_axis',(0.,1.,0.)); #431272=DIRECTION('',(0.,0.,1.)); #431273=DIRECTION('center_axis',(0.,0.,-1.)); #431274=DIRECTION('ref_axis',(0.,1.,0.)); #431275=DIRECTION('center_axis',(0.,-1.,0.)); #431276=DIRECTION('ref_axis',(1.,0.,0.)); #431277=DIRECTION('',(1.,0.,0.)); #431278=DIRECTION('',(1.,0.,0.)); #431279=DIRECTION('center_axis',(0.,0.,-1.)); #431280=DIRECTION('ref_axis',(-1.,0.,0.)); #431281=DIRECTION('center_axis',(0.,0.,-1.)); #431282=DIRECTION('ref_axis',(-1.,0.,0.)); #431283=DIRECTION('center_axis',(0.,-1.,0.)); #431284=DIRECTION('ref_axis',(1.,0.,0.)); #431285=DIRECTION('',(1.,0.,0.)); #431286=DIRECTION('',(0.,0.,1.)); #431287=DIRECTION('',(1.,0.,0.)); #431288=DIRECTION('',(0.,0.,1.)); #431289=DIRECTION('center_axis',(1.,0.,0.)); #431290=DIRECTION('ref_axis',(0.,1.,0.)); #431291=DIRECTION('',(0.,1.,0.)); #431292=DIRECTION('',(0.,0.,1.)); #431293=DIRECTION('',(0.,1.,0.)); #431294=DIRECTION('center_axis',(0.,1.,0.)); #431295=DIRECTION('ref_axis',(-1.,0.,0.)); #431296=DIRECTION('',(-1.,0.,0.)); #431297=DIRECTION('',(0.,0.,1.)); #431298=DIRECTION('',(-1.,0.,0.)); #431299=DIRECTION('center_axis',(-1.,0.,0.)); #431300=DIRECTION('ref_axis',(0.,-1.,0.)); #431301=DIRECTION('',(0.,-1.,0.)); #431302=DIRECTION('',(0.,-1.,0.)); #431303=DIRECTION('center_axis',(0.,0.,-1.)); #431304=DIRECTION('ref_axis',(-1.,0.,0.)); #431305=DIRECTION('center_axis',(0.,0.,-1.)); #431306=DIRECTION('ref_axis',(-1.,0.,0.)); #431307=DIRECTION('center_axis',(0.,1.,0.)); #431308=DIRECTION('ref_axis',(-1.,0.,0.)); #431309=DIRECTION('',(-1.,0.,0.)); #431310=DIRECTION('',(0.,0.,1.)); #431311=DIRECTION('',(-1.,0.,0.)); #431312=DIRECTION('',(0.,0.,1.)); #431313=DIRECTION('center_axis',(1.,0.,0.)); #431314=DIRECTION('ref_axis',(0.,1.,0.)); #431315=DIRECTION('',(0.,1.,0.)); #431316=DIRECTION('',(0.,1.,0.)); #431317=DIRECTION('',(0.,0.,1.)); #431318=DIRECTION('center_axis',(0.,-1.,0.)); #431319=DIRECTION('ref_axis',(1.,0.,0.)); #431320=DIRECTION('',(1.,0.,0.)); #431321=DIRECTION('',(1.,0.,0.)); #431322=DIRECTION('',(0.,0.,1.)); #431323=DIRECTION('center_axis',(-1.,0.,0.)); #431324=DIRECTION('ref_axis',(0.,-1.,0.)); #431325=DIRECTION('',(0.,-1.,0.)); #431326=DIRECTION('',(0.,-1.,0.)); #431327=DIRECTION('center_axis',(0.,0.,1.)); #431328=DIRECTION('ref_axis',(1.,0.,0.)); #431329=DIRECTION('center_axis',(0.,0.,1.)); #431330=DIRECTION('ref_axis',(1.,0.,0.)); #431331=DIRECTION('center_axis',(0.,1.,0.)); #431332=DIRECTION('ref_axis',(-1.,0.,0.)); #431333=DIRECTION('',(-1.,0.,0.)); #431334=DIRECTION('',(0.,0.,1.)); #431335=DIRECTION('',(-1.,0.,0.)); #431336=DIRECTION('',(0.,0.,1.)); #431337=DIRECTION('center_axis',(1.,0.,0.)); #431338=DIRECTION('ref_axis',(0.,1.,0.)); #431339=DIRECTION('',(0.,1.,0.)); #431340=DIRECTION('',(0.,1.,0.)); #431341=DIRECTION('',(0.,0.,1.)); #431342=DIRECTION('center_axis',(0.,-1.,0.)); #431343=DIRECTION('ref_axis',(1.,0.,0.)); #431344=DIRECTION('',(1.,0.,0.)); #431345=DIRECTION('',(1.,0.,0.)); #431346=DIRECTION('',(0.,0.,1.)); #431347=DIRECTION('center_axis',(-1.,0.,0.)); #431348=DIRECTION('ref_axis',(0.,-1.,0.)); #431349=DIRECTION('',(0.,-1.,0.)); #431350=DIRECTION('',(0.,-1.,0.)); #431351=DIRECTION('center_axis',(0.,0.,1.)); #431352=DIRECTION('ref_axis',(1.,0.,0.)); #431353=DIRECTION('center_axis',(0.,0.,1.)); #431354=DIRECTION('ref_axis',(1.,0.,0.)); #431355=DIRECTION('center_axis',(0.,1.,0.)); #431356=DIRECTION('ref_axis',(-1.,0.,0.)); #431357=DIRECTION('',(-1.,0.,0.)); #431358=DIRECTION('',(0.,0.,1.)); #431359=DIRECTION('',(-1.,0.,0.)); #431360=DIRECTION('',(0.,0.,1.)); #431361=DIRECTION('center_axis',(1.,0.,0.)); #431362=DIRECTION('ref_axis',(0.,1.,0.)); #431363=DIRECTION('',(0.,1.,0.)); #431364=DIRECTION('',(0.,1.,0.)); #431365=DIRECTION('',(0.,0.,1.)); #431366=DIRECTION('center_axis',(0.,-1.,0.)); #431367=DIRECTION('ref_axis',(1.,0.,0.)); #431368=DIRECTION('',(1.,0.,0.)); #431369=DIRECTION('',(1.,0.,0.)); #431370=DIRECTION('',(0.,0.,1.)); #431371=DIRECTION('center_axis',(-1.,0.,0.)); #431372=DIRECTION('ref_axis',(0.,-1.,0.)); #431373=DIRECTION('',(0.,-1.,0.)); #431374=DIRECTION('',(0.,-1.,0.)); #431375=DIRECTION('center_axis',(0.,0.,1.)); #431376=DIRECTION('ref_axis',(1.,0.,0.)); #431377=DIRECTION('center_axis',(0.,0.,1.)); #431378=DIRECTION('ref_axis',(1.,0.,0.)); #431379=DIRECTION('center_axis',(0.,-1.,0.)); #431380=DIRECTION('ref_axis',(1.,0.,0.)); #431381=DIRECTION('',(1.,0.,0.)); #431382=DIRECTION('',(0.,0.,1.)); #431383=DIRECTION('',(1.,0.,0.)); #431384=DIRECTION('',(0.,0.,1.)); #431385=DIRECTION('center_axis',(1.,0.,0.)); #431386=DIRECTION('ref_axis',(0.,1.,0.)); #431387=DIRECTION('',(0.,1.,0.)); #431388=DIRECTION('',(0.,0.,1.)); #431389=DIRECTION('',(0.,1.,0.)); #431390=DIRECTION('center_axis',(0.,1.,0.)); #431391=DIRECTION('ref_axis',(-1.,0.,0.)); #431392=DIRECTION('',(-1.,0.,0.)); #431393=DIRECTION('',(0.,0.,1.)); #431394=DIRECTION('',(-1.,0.,0.)); #431395=DIRECTION('center_axis',(-1.,0.,0.)); #431396=DIRECTION('ref_axis',(0.,-1.,0.)); #431397=DIRECTION('',(0.,-1.,0.)); #431398=DIRECTION('',(0.,-1.,0.)); #431399=DIRECTION('center_axis',(0.,0.,-1.)); #431400=DIRECTION('ref_axis',(-1.,0.,0.)); #431401=DIRECTION('center_axis',(0.,0.,-1.)); #431402=DIRECTION('ref_axis',(-1.,0.,0.)); #431403=DIRECTION('center_axis',(0.,-1.,0.)); #431404=DIRECTION('ref_axis',(1.,0.,0.)); #431405=DIRECTION('',(1.,0.,0.)); #431406=DIRECTION('',(0.,0.,1.)); #431407=DIRECTION('',(1.,0.,0.)); #431408=DIRECTION('',(0.,0.,1.)); #431409=DIRECTION('center_axis',(1.,0.,0.)); #431410=DIRECTION('ref_axis',(0.,1.,0.)); #431411=DIRECTION('',(0.,1.,0.)); #431412=DIRECTION('',(0.,0.,1.)); #431413=DIRECTION('',(0.,1.,0.)); #431414=DIRECTION('center_axis',(0.,1.,0.)); #431415=DIRECTION('ref_axis',(-1.,0.,0.)); #431416=DIRECTION('',(-1.,0.,0.)); #431417=DIRECTION('',(0.,0.,1.)); #431418=DIRECTION('',(-1.,0.,0.)); #431419=DIRECTION('center_axis',(-1.,0.,0.)); #431420=DIRECTION('ref_axis',(0.,-1.,0.)); #431421=DIRECTION('',(0.,-1.,0.)); #431422=DIRECTION('',(0.,-1.,0.)); #431423=DIRECTION('center_axis',(0.,0.,-1.)); #431424=DIRECTION('ref_axis',(-1.,0.,0.)); #431425=DIRECTION('center_axis',(0.,0.,-1.)); #431426=DIRECTION('ref_axis',(-1.,0.,0.)); #431427=DIRECTION('center_axis',(0.,-1.,0.)); #431428=DIRECTION('ref_axis',(1.,0.,0.)); #431429=DIRECTION('',(1.,0.,0.)); #431430=DIRECTION('',(0.,0.,1.)); #431431=DIRECTION('',(1.,0.,0.)); #431432=DIRECTION('',(0.,0.,1.)); #431433=DIRECTION('center_axis',(1.,0.,0.)); #431434=DIRECTION('ref_axis',(0.,1.,0.)); #431435=DIRECTION('',(0.,1.,0.)); #431436=DIRECTION('',(0.,0.,1.)); #431437=DIRECTION('',(0.,1.,0.)); #431438=DIRECTION('center_axis',(0.,1.,0.)); #431439=DIRECTION('ref_axis',(-1.,0.,0.)); #431440=DIRECTION('',(-1.,0.,0.)); #431441=DIRECTION('',(0.,0.,1.)); #431442=DIRECTION('',(-1.,0.,0.)); #431443=DIRECTION('center_axis',(-1.,0.,0.)); #431444=DIRECTION('ref_axis',(0.,-1.,0.)); #431445=DIRECTION('',(0.,-1.,0.)); #431446=DIRECTION('',(0.,-1.,0.)); #431447=DIRECTION('center_axis',(0.,0.,-1.)); #431448=DIRECTION('ref_axis',(-1.,0.,0.)); #431449=DIRECTION('center_axis',(0.,0.,-1.)); #431450=DIRECTION('ref_axis',(-1.,0.,0.)); #431451=DIRECTION('center_axis',(2.22044604925031E-16,-1.,0.)); #431452=DIRECTION('ref_axis',(1.,2.22044604925031E-16,0.)); #431453=DIRECTION('',(1.,2.22044604925031E-16,0.)); #431454=DIRECTION('',(0.,0.,1.)); #431455=DIRECTION('',(1.,2.22044604925031E-16,0.)); #431456=DIRECTION('',(0.,0.,1.)); #431457=DIRECTION('center_axis',(-1.,0.,0.)); #431458=DIRECTION('ref_axis',(0.,-1.,0.)); #431459=DIRECTION('',(0.,-1.,0.)); #431460=DIRECTION('',(0.,-1.,0.)); #431461=DIRECTION('',(0.,0.,1.)); #431462=DIRECTION('center_axis',(-1.11022302462516E-16,1.,0.)); #431463=DIRECTION('ref_axis',(-1.,-1.11022302462516E-16,0.)); #431464=DIRECTION('',(-1.,-1.11022302462516E-16,0.)); #431465=DIRECTION('',(-1.,-1.11022302462516E-16,0.)); #431466=DIRECTION('',(0.,0.,1.)); #431467=DIRECTION('center_axis',(1.,2.95272081017329E-17,0.)); #431468=DIRECTION('ref_axis',(-2.95272081017329E-17,1.,0.)); #431469=DIRECTION('',(-2.95272081017329E-17,1.,0.)); #431470=DIRECTION('',(-2.95272081017329E-17,1.,0.)); #431471=DIRECTION('center_axis',(0.,0.,1.)); #431472=DIRECTION('ref_axis',(1.,0.,0.)); #431473=DIRECTION('center_axis',(0.,0.,1.)); #431474=DIRECTION('ref_axis',(1.,0.,0.)); #431475=DIRECTION('',(0.,0.,1.)); #431476=DIRECTION('',(1.,0.,0.)); #431477=DIRECTION('axis',(0.,0.,1.)); #431478=DIRECTION('refdir',(1.,0.,0.)); #431479=DIRECTION('axis',(0.,0.,1.)); #431480=DIRECTION('refdir',(1.,0.,0.)); #431481=DIRECTION('center_axis',(0.,0.,-1.)); #431482=DIRECTION('ref_axis',(1.,0.,0.)); #431483=DIRECTION('center_axis',(0.,0.,-1.)); #431484=DIRECTION('ref_axis',(1.,0.,0.)); #431485=DIRECTION('',(0.,0.,-1.)); #431486=DIRECTION('center_axis',(0.,0.,-1.)); #431487=DIRECTION('ref_axis',(1.,0.,0.)); #431488=DIRECTION('center_axis',(0.,0.,1.)); #431489=DIRECTION('ref_axis',(1.,0.,0.)); #431490=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186548)); #431491=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #431492=DIRECTION('',(0.,-1.,0.)); #431493=DIRECTION('',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #431494=DIRECTION('',(0.,1.,0.)); #431495=DIRECTION('',(-0.577350269189624,-0.577350269189627,0.577350269189627)); #431496=DIRECTION('center_axis',(0.,-0.707106781186548,0.707106781186548)); #431497=DIRECTION('ref_axis',(1.,0.,0.)); #431498=DIRECTION('',(1.,0.,0.)); #431499=DIRECTION('',(-1.,0.,0.)); #431500=DIRECTION('',(0.577350269189624,0.577350269189627,0.577350269189627)); #431501=DIRECTION('center_axis',(0.,0.707106781186548,0.707106781186548)); #431502=DIRECTION('ref_axis',(1.,0.,0.)); #431503=DIRECTION('',(1.,0.,0.)); #431504=DIRECTION('',(-1.,0.,0.)); #431505=DIRECTION('',(0.577350269189626,-0.577350269189626,0.577350269189626)); #431506=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #431507=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #431508=DIRECTION('',(0.,1.,0.)); #431509=DIRECTION('',(0.,-1.,0.)); #431510=DIRECTION('center_axis',(0.,1.,0.)); #431511=DIRECTION('ref_axis',(1.,0.,0.)); #431512=DIRECTION('',(0.,0.,-1.)); #431513=DIRECTION('',(1.,0.,0.)); #431514=DIRECTION('',(0.,0.,-1.)); #431515=DIRECTION('center_axis',(1.,0.,0.)); #431516=DIRECTION('ref_axis',(0.,-1.,0.)); #431517=DIRECTION('',(0.,0.,-1.)); #431518=DIRECTION('',(0.,-1.,0.)); #431519=DIRECTION('center_axis',(0.,0.,1.)); #431520=DIRECTION('ref_axis',(1.,0.,0.)); #431521=DIRECTION('center_axis',(-1.,0.,0.)); #431522=DIRECTION('ref_axis',(0.,1.,0.)); #431523=DIRECTION('',(0.,1.,0.)); #431524=DIRECTION('',(0.,0.,-1.)); #431525=DIRECTION('center_axis',(0.,-1.,0.)); #431526=DIRECTION('ref_axis',(-1.,0.,0.)); #431527=DIRECTION('',(-1.,0.,0.)); #431528=DIRECTION('center_axis',(0.,0.,-1.)); #431529=DIRECTION('ref_axis',(-1.,0.,0.)); #431530=DIRECTION('center_axis',(0.,1.,0.)); #431531=DIRECTION('ref_axis',(-1.,0.,0.)); #431532=DIRECTION('',(-1.,0.,0.)); #431533=DIRECTION('',(0.,0.,1.)); #431534=DIRECTION('',(-1.,0.,0.)); #431535=DIRECTION('',(0.,0.,1.)); #431536=DIRECTION('center_axis',(1.,0.,0.)); #431537=DIRECTION('ref_axis',(0.,1.,0.)); #431538=DIRECTION('',(0.,1.,0.)); #431539=DIRECTION('',(0.,1.,0.)); #431540=DIRECTION('',(0.,0.,1.)); #431541=DIRECTION('center_axis',(0.,-1.,0.)); #431542=DIRECTION('ref_axis',(1.,0.,0.)); #431543=DIRECTION('',(1.,0.,0.)); #431544=DIRECTION('',(1.,0.,0.)); #431545=DIRECTION('',(0.,0.,1.)); #431546=DIRECTION('center_axis',(-1.,0.,0.)); #431547=DIRECTION('ref_axis',(0.,-1.,0.)); #431548=DIRECTION('',(0.,-1.,0.)); #431549=DIRECTION('',(0.,-1.,0.)); #431550=DIRECTION('center_axis',(0.,0.,1.)); #431551=DIRECTION('ref_axis',(1.,0.,0.)); #431552=DIRECTION('center_axis',(0.,0.,1.)); #431553=DIRECTION('ref_axis',(1.,0.,0.)); #431554=DIRECTION('center_axis',(1.,0.,0.)); #431555=DIRECTION('ref_axis',(0.,1.,0.)); #431556=DIRECTION('',(0.,-1.,0.)); #431557=DIRECTION('',(0.,0.,1.)); #431558=DIRECTION('',(0.,1.,0.)); #431559=DIRECTION('',(0.,0.,1.)); #431560=DIRECTION('center_axis',(0.,-1.,0.)); #431561=DIRECTION('ref_axis',(1.,0.,0.)); #431562=DIRECTION('',(1.,0.,0.)); #431563=DIRECTION('',(1.,0.,0.)); #431564=DIRECTION('',(0.,0.,1.)); #431565=DIRECTION('center_axis',(0.,0.,1.)); #431566=DIRECTION('ref_axis',(0.,1.,0.)); #431567=DIRECTION('center_axis',(0.,0.,1.)); #431568=DIRECTION('ref_axis',(0.,1.,0.)); #431569=DIRECTION('center_axis',(0.,0.,1.)); #431570=DIRECTION('ref_axis',(0.,1.,0.)); #431571=DIRECTION('',(0.,0.,1.)); #431572=DIRECTION('center_axis',(-1.,0.,0.)); #431573=DIRECTION('ref_axis',(0.,-1.,0.)); #431574=DIRECTION('',(0.,-1.,0.)); #431575=DIRECTION('',(0.,-1.,0.)); #431576=DIRECTION('',(0.,0.,1.)); #431577=DIRECTION('center_axis',(0.,0.,1.)); #431578=DIRECTION('ref_axis',(0.,1.,0.)); #431579=DIRECTION('center_axis',(0.,0.,1.)); #431580=DIRECTION('ref_axis',(0.,1.,0.)); #431581=DIRECTION('center_axis',(0.,0.,1.)); #431582=DIRECTION('ref_axis',(0.,1.,0.)); #431583=DIRECTION('',(0.,0.,1.)); #431584=DIRECTION('center_axis',(0.,1.,0.)); #431585=DIRECTION('ref_axis',(-1.,0.,0.)); #431586=DIRECTION('',(-1.,0.,0.)); #431587=DIRECTION('',(-1.,0.,0.)); #431588=DIRECTION('center_axis',(0.,0.,1.)); #431589=DIRECTION('ref_axis',(1.,0.,0.)); #431590=DIRECTION('center_axis',(0.,0.,1.)); #431591=DIRECTION('ref_axis',(1.,0.,0.)); #431592=DIRECTION('center_axis',(1.,0.,0.)); #431593=DIRECTION('ref_axis',(0.,1.,0.)); #431594=DIRECTION('',(0.,-1.,0.)); #431595=DIRECTION('',(0.,0.,1.)); #431596=DIRECTION('',(0.,1.,0.)); #431597=DIRECTION('',(0.,0.,1.)); #431598=DIRECTION('center_axis',(0.,1.,0.)); #431599=DIRECTION('ref_axis',(-1.,0.,0.)); #431600=DIRECTION('',(-1.,0.,0.)); #431601=DIRECTION('',(0.,0.,1.)); #431602=DIRECTION('',(-1.,0.,0.)); #431603=DIRECTION('center_axis',(0.,0.,-1.)); #431604=DIRECTION('ref_axis',(0.,1.,0.)); #431605=DIRECTION('center_axis',(0.,0.,-1.)); #431606=DIRECTION('ref_axis',(0.,1.,0.)); #431607=DIRECTION('',(0.,0.,1.)); #431608=DIRECTION('center_axis',(0.,0.,-1.)); #431609=DIRECTION('ref_axis',(0.,1.,0.)); #431610=DIRECTION('center_axis',(-1.,0.,0.)); #431611=DIRECTION('ref_axis',(0.,-1.,0.)); #431612=DIRECTION('',(0.,-1.,0.)); #431613=DIRECTION('',(0.,0.,1.)); #431614=DIRECTION('',(0.,-1.,0.)); #431615=DIRECTION('center_axis',(0.,0.,-1.)); #431616=DIRECTION('ref_axis',(0.,1.,0.)); #431617=DIRECTION('center_axis',(0.,0.,-1.)); #431618=DIRECTION('ref_axis',(0.,1.,0.)); #431619=DIRECTION('',(0.,0.,1.)); #431620=DIRECTION('center_axis',(0.,0.,-1.)); #431621=DIRECTION('ref_axis',(0.,1.,0.)); #431622=DIRECTION('center_axis',(0.,-1.,0.)); #431623=DIRECTION('ref_axis',(1.,0.,0.)); #431624=DIRECTION('',(1.,0.,0.)); #431625=DIRECTION('',(1.,0.,0.)); #431626=DIRECTION('center_axis',(0.,0.,-1.)); #431627=DIRECTION('ref_axis',(-1.,0.,0.)); #431628=DIRECTION('center_axis',(0.,0.,-1.)); #431629=DIRECTION('ref_axis',(-1.,0.,0.)); #431630=DIRECTION('center_axis',(1.,0.,0.)); #431631=DIRECTION('ref_axis',(0.,1.,0.)); #431632=DIRECTION('',(0.,-1.,0.)); #431633=DIRECTION('',(0.,0.,1.)); #431634=DIRECTION('',(0.,1.,0.)); #431635=DIRECTION('',(0.,0.,1.)); #431636=DIRECTION('center_axis',(0.,-1.,0.)); #431637=DIRECTION('ref_axis',(1.,0.,0.)); #431638=DIRECTION('',(1.,0.,0.)); #431639=DIRECTION('',(1.,0.,0.)); #431640=DIRECTION('',(0.,0.,1.)); #431641=DIRECTION('center_axis',(0.,0.,1.)); #431642=DIRECTION('ref_axis',(0.,1.,0.)); #431643=DIRECTION('center_axis',(0.,0.,1.)); #431644=DIRECTION('ref_axis',(0.,1.,0.)); #431645=DIRECTION('center_axis',(0.,0.,1.)); #431646=DIRECTION('ref_axis',(0.,1.,0.)); #431647=DIRECTION('',(0.,0.,1.)); #431648=DIRECTION('center_axis',(-1.,0.,0.)); #431649=DIRECTION('ref_axis',(0.,-1.,0.)); #431650=DIRECTION('',(0.,-1.,0.)); #431651=DIRECTION('',(0.,-1.,0.)); #431652=DIRECTION('',(0.,0.,1.)); #431653=DIRECTION('center_axis',(0.,0.,1.)); #431654=DIRECTION('ref_axis',(0.,1.,0.)); #431655=DIRECTION('center_axis',(0.,0.,1.)); #431656=DIRECTION('ref_axis',(0.,1.,0.)); #431657=DIRECTION('center_axis',(0.,0.,1.)); #431658=DIRECTION('ref_axis',(0.,1.,0.)); #431659=DIRECTION('',(0.,0.,1.)); #431660=DIRECTION('center_axis',(0.,1.,0.)); #431661=DIRECTION('ref_axis',(-1.,0.,0.)); #431662=DIRECTION('',(-1.,0.,0.)); #431663=DIRECTION('',(-1.,0.,0.)); #431664=DIRECTION('center_axis',(0.,0.,1.)); #431665=DIRECTION('ref_axis',(1.,0.,0.)); #431666=DIRECTION('center_axis',(0.,0.,1.)); #431667=DIRECTION('ref_axis',(1.,0.,0.)); #431668=DIRECTION('center_axis',(1.,0.,0.)); #431669=DIRECTION('ref_axis',(0.,1.,0.)); #431670=DIRECTION('',(0.,-1.,0.)); #431671=DIRECTION('',(0.,0.,1.)); #431672=DIRECTION('',(0.,1.,0.)); #431673=DIRECTION('',(0.,0.,1.)); #431674=DIRECTION('center_axis',(0.,-1.,0.)); #431675=DIRECTION('ref_axis',(1.,0.,0.)); #431676=DIRECTION('',(1.,0.,0.)); #431677=DIRECTION('',(1.,0.,0.)); #431678=DIRECTION('',(0.,0.,1.)); #431679=DIRECTION('center_axis',(0.,0.,1.)); #431680=DIRECTION('ref_axis',(0.,1.,0.)); #431681=DIRECTION('center_axis',(0.,0.,1.)); #431682=DIRECTION('ref_axis',(0.,1.,0.)); #431683=DIRECTION('center_axis',(0.,0.,1.)); #431684=DIRECTION('ref_axis',(0.,1.,0.)); #431685=DIRECTION('',(0.,0.,1.)); #431686=DIRECTION('center_axis',(-1.,0.,0.)); #431687=DIRECTION('ref_axis',(0.,-1.,0.)); #431688=DIRECTION('',(0.,-1.,0.)); #431689=DIRECTION('',(0.,-1.,0.)); #431690=DIRECTION('',(0.,0.,1.)); #431691=DIRECTION('center_axis',(0.,0.,1.)); #431692=DIRECTION('ref_axis',(0.,1.,0.)); #431693=DIRECTION('center_axis',(0.,0.,1.)); #431694=DIRECTION('ref_axis',(0.,1.,0.)); #431695=DIRECTION('center_axis',(0.,0.,1.)); #431696=DIRECTION('ref_axis',(0.,1.,0.)); #431697=DIRECTION('',(0.,0.,1.)); #431698=DIRECTION('center_axis',(0.,1.,0.)); #431699=DIRECTION('ref_axis',(-1.,0.,0.)); #431700=DIRECTION('',(-1.,0.,0.)); #431701=DIRECTION('',(-1.,0.,0.)); #431702=DIRECTION('center_axis',(0.,0.,1.)); #431703=DIRECTION('ref_axis',(1.,0.,0.)); #431704=DIRECTION('center_axis',(0.,0.,1.)); #431705=DIRECTION('ref_axis',(1.,0.,0.)); #431706=DIRECTION('center_axis',(1.,0.,0.)); #431707=DIRECTION('ref_axis',(0.,1.,0.)); #431708=DIRECTION('',(0.,-1.,0.)); #431709=DIRECTION('',(0.,0.,1.)); #431710=DIRECTION('',(0.,1.,0.)); #431711=DIRECTION('',(0.,0.,1.)); #431712=DIRECTION('center_axis',(0.,-1.,0.)); #431713=DIRECTION('ref_axis',(1.,0.,0.)); #431714=DIRECTION('',(1.,0.,0.)); #431715=DIRECTION('',(1.,0.,0.)); #431716=DIRECTION('',(0.,0.,1.)); #431717=DIRECTION('center_axis',(0.,0.,1.)); #431718=DIRECTION('ref_axis',(0.,1.,0.)); #431719=DIRECTION('center_axis',(0.,0.,1.)); #431720=DIRECTION('ref_axis',(0.,1.,0.)); #431721=DIRECTION('center_axis',(0.,0.,1.)); #431722=DIRECTION('ref_axis',(0.,1.,0.)); #431723=DIRECTION('',(0.,0.,1.)); #431724=DIRECTION('center_axis',(-1.,0.,0.)); #431725=DIRECTION('ref_axis',(0.,-1.,0.)); #431726=DIRECTION('',(0.,-1.,0.)); #431727=DIRECTION('',(0.,-1.,0.)); #431728=DIRECTION('',(0.,0.,1.)); #431729=DIRECTION('center_axis',(0.,0.,1.)); #431730=DIRECTION('ref_axis',(0.,1.,0.)); #431731=DIRECTION('center_axis',(0.,0.,1.)); #431732=DIRECTION('ref_axis',(0.,1.,0.)); #431733=DIRECTION('center_axis',(0.,0.,1.)); #431734=DIRECTION('ref_axis',(0.,1.,0.)); #431735=DIRECTION('',(0.,0.,1.)); #431736=DIRECTION('center_axis',(0.,1.,0.)); #431737=DIRECTION('ref_axis',(-1.,0.,0.)); #431738=DIRECTION('',(-1.,0.,0.)); #431739=DIRECTION('',(-1.,0.,0.)); #431740=DIRECTION('center_axis',(0.,0.,1.)); #431741=DIRECTION('ref_axis',(1.,0.,0.)); #431742=DIRECTION('center_axis',(0.,0.,1.)); #431743=DIRECTION('ref_axis',(1.,0.,0.)); #431744=DIRECTION('center_axis',(1.,0.,0.)); #431745=DIRECTION('ref_axis',(0.,1.,0.)); #431746=DIRECTION('',(0.,-1.,0.)); #431747=DIRECTION('',(0.,0.,1.)); #431748=DIRECTION('',(0.,1.,0.)); #431749=DIRECTION('',(0.,0.,1.)); #431750=DIRECTION('center_axis',(0.,1.,0.)); #431751=DIRECTION('ref_axis',(-1.,0.,0.)); #431752=DIRECTION('',(-1.,0.,0.)); #431753=DIRECTION('',(0.,0.,1.)); #431754=DIRECTION('',(-1.,0.,0.)); #431755=DIRECTION('center_axis',(0.,0.,-1.)); #431756=DIRECTION('ref_axis',(0.,1.,0.)); #431757=DIRECTION('center_axis',(0.,0.,-1.)); #431758=DIRECTION('ref_axis',(0.,1.,0.)); #431759=DIRECTION('',(0.,0.,1.)); #431760=DIRECTION('center_axis',(0.,0.,-1.)); #431761=DIRECTION('ref_axis',(0.,1.,0.)); #431762=DIRECTION('center_axis',(-1.,0.,0.)); #431763=DIRECTION('ref_axis',(0.,-1.,0.)); #431764=DIRECTION('',(0.,-1.,0.)); #431765=DIRECTION('',(0.,0.,1.)); #431766=DIRECTION('',(0.,-1.,0.)); #431767=DIRECTION('center_axis',(0.,0.,-1.)); #431768=DIRECTION('ref_axis',(0.,1.,0.)); #431769=DIRECTION('center_axis',(0.,0.,-1.)); #431770=DIRECTION('ref_axis',(0.,1.,0.)); #431771=DIRECTION('',(0.,0.,1.)); #431772=DIRECTION('center_axis',(0.,0.,-1.)); #431773=DIRECTION('ref_axis',(0.,1.,0.)); #431774=DIRECTION('center_axis',(0.,-1.,0.)); #431775=DIRECTION('ref_axis',(1.,0.,0.)); #431776=DIRECTION('',(1.,0.,0.)); #431777=DIRECTION('',(1.,0.,0.)); #431778=DIRECTION('center_axis',(0.,0.,-1.)); #431779=DIRECTION('ref_axis',(-1.,0.,0.)); #431780=DIRECTION('center_axis',(0.,0.,-1.)); #431781=DIRECTION('ref_axis',(-1.,0.,0.)); #431782=DIRECTION('center_axis',(1.,0.,0.)); #431783=DIRECTION('ref_axis',(0.,1.,0.)); #431784=DIRECTION('',(0.,-1.,0.)); #431785=DIRECTION('',(0.,0.,1.)); #431786=DIRECTION('',(0.,1.,0.)); #431787=DIRECTION('',(0.,0.,1.)); #431788=DIRECTION('center_axis',(0.,1.,0.)); #431789=DIRECTION('ref_axis',(-1.,0.,0.)); #431790=DIRECTION('',(-1.,0.,0.)); #431791=DIRECTION('',(0.,0.,1.)); #431792=DIRECTION('',(-1.,0.,0.)); #431793=DIRECTION('center_axis',(0.,0.,-1.)); #431794=DIRECTION('ref_axis',(0.,1.,0.)); #431795=DIRECTION('center_axis',(0.,0.,-1.)); #431796=DIRECTION('ref_axis',(0.,1.,0.)); #431797=DIRECTION('',(0.,0.,1.)); #431798=DIRECTION('center_axis',(0.,0.,-1.)); #431799=DIRECTION('ref_axis',(0.,1.,0.)); #431800=DIRECTION('center_axis',(-1.,0.,0.)); #431801=DIRECTION('ref_axis',(0.,-1.,0.)); #431802=DIRECTION('',(0.,-1.,0.)); #431803=DIRECTION('',(0.,0.,1.)); #431804=DIRECTION('',(0.,-1.,0.)); #431805=DIRECTION('center_axis',(0.,0.,-1.)); #431806=DIRECTION('ref_axis',(0.,1.,0.)); #431807=DIRECTION('center_axis',(0.,0.,-1.)); #431808=DIRECTION('ref_axis',(0.,1.,0.)); #431809=DIRECTION('',(0.,0.,1.)); #431810=DIRECTION('center_axis',(0.,0.,-1.)); #431811=DIRECTION('ref_axis',(0.,1.,0.)); #431812=DIRECTION('center_axis',(0.,-1.,0.)); #431813=DIRECTION('ref_axis',(1.,0.,0.)); #431814=DIRECTION('',(1.,0.,0.)); #431815=DIRECTION('',(1.,0.,0.)); #431816=DIRECTION('center_axis',(0.,0.,-1.)); #431817=DIRECTION('ref_axis',(-1.,0.,0.)); #431818=DIRECTION('center_axis',(0.,0.,-1.)); #431819=DIRECTION('ref_axis',(-1.,0.,0.)); #431820=DIRECTION('center_axis',(1.,0.,0.)); #431821=DIRECTION('ref_axis',(0.,1.,0.)); #431822=DIRECTION('',(0.,-1.,0.)); #431823=DIRECTION('',(0.,0.,1.)); #431824=DIRECTION('',(0.,1.,0.)); #431825=DIRECTION('',(0.,0.,1.)); #431826=DIRECTION('center_axis',(0.,1.,0.)); #431827=DIRECTION('ref_axis',(-1.,0.,0.)); #431828=DIRECTION('',(-1.,0.,0.)); #431829=DIRECTION('',(0.,0.,1.)); #431830=DIRECTION('',(-1.,0.,0.)); #431831=DIRECTION('center_axis',(0.,0.,-1.)); #431832=DIRECTION('ref_axis',(0.,1.,0.)); #431833=DIRECTION('center_axis',(0.,0.,-1.)); #431834=DIRECTION('ref_axis',(0.,1.,0.)); #431835=DIRECTION('',(0.,0.,1.)); #431836=DIRECTION('center_axis',(0.,0.,-1.)); #431837=DIRECTION('ref_axis',(0.,1.,0.)); #431838=DIRECTION('center_axis',(-1.,0.,0.)); #431839=DIRECTION('ref_axis',(0.,-1.,0.)); #431840=DIRECTION('',(0.,-1.,0.)); #431841=DIRECTION('',(0.,0.,1.)); #431842=DIRECTION('',(0.,-1.,0.)); #431843=DIRECTION('center_axis',(0.,0.,-1.)); #431844=DIRECTION('ref_axis',(0.,1.,0.)); #431845=DIRECTION('center_axis',(0.,0.,-1.)); #431846=DIRECTION('ref_axis',(0.,1.,0.)); #431847=DIRECTION('',(0.,0.,1.)); #431848=DIRECTION('center_axis',(0.,0.,-1.)); #431849=DIRECTION('ref_axis',(0.,1.,0.)); #431850=DIRECTION('center_axis',(0.,-1.,0.)); #431851=DIRECTION('ref_axis',(1.,0.,0.)); #431852=DIRECTION('',(1.,0.,0.)); #431853=DIRECTION('',(1.,0.,0.)); #431854=DIRECTION('center_axis',(0.,0.,-1.)); #431855=DIRECTION('ref_axis',(-1.,0.,0.)); #431856=DIRECTION('center_axis',(0.,0.,-1.)); #431857=DIRECTION('ref_axis',(-1.,0.,0.)); #431858=DIRECTION('center_axis',(0.,-1.,0.)); #431859=DIRECTION('ref_axis',(1.,0.,0.)); #431860=DIRECTION('',(1.,0.,0.)); #431861=DIRECTION('',(0.,0.,1.)); #431862=DIRECTION('',(1.,0.,0.)); #431863=DIRECTION('',(0.,0.,1.)); #431864=DIRECTION('center_axis',(1.,0.,0.)); #431865=DIRECTION('ref_axis',(0.,1.,0.)); #431866=DIRECTION('',(0.,1.,0.)); #431867=DIRECTION('',(0.,0.,1.)); #431868=DIRECTION('',(0.,1.,0.)); #431869=DIRECTION('center_axis',(0.,1.,0.)); #431870=DIRECTION('ref_axis',(-1.,0.,0.)); #431871=DIRECTION('',(-1.,0.,0.)); #431872=DIRECTION('',(0.,0.,1.)); #431873=DIRECTION('',(-1.,0.,0.)); #431874=DIRECTION('center_axis',(-1.,0.,0.)); #431875=DIRECTION('ref_axis',(0.,-1.,0.)); #431876=DIRECTION('',(0.,-1.,0.)); #431877=DIRECTION('',(0.,-1.,0.)); #431878=DIRECTION('center_axis',(0.,0.,-1.)); #431879=DIRECTION('ref_axis',(-1.,0.,0.)); #431880=DIRECTION('center_axis',(0.,0.,-1.)); #431881=DIRECTION('ref_axis',(-1.,0.,0.)); #431882=DIRECTION('center_axis',(0.,1.,0.)); #431883=DIRECTION('ref_axis',(-1.,0.,0.)); #431884=DIRECTION('',(-1.,0.,0.)); #431885=DIRECTION('',(0.,0.,1.)); #431886=DIRECTION('',(-1.,0.,0.)); #431887=DIRECTION('',(0.,0.,1.)); #431888=DIRECTION('center_axis',(1.,0.,0.)); #431889=DIRECTION('ref_axis',(0.,1.,0.)); #431890=DIRECTION('',(0.,1.,0.)); #431891=DIRECTION('',(0.,1.,0.)); #431892=DIRECTION('',(0.,0.,1.)); #431893=DIRECTION('center_axis',(0.,-1.,0.)); #431894=DIRECTION('ref_axis',(1.,0.,0.)); #431895=DIRECTION('',(1.,0.,0.)); #431896=DIRECTION('',(1.,0.,0.)); #431897=DIRECTION('',(0.,0.,1.)); #431898=DIRECTION('center_axis',(-1.,0.,0.)); #431899=DIRECTION('ref_axis',(0.,-1.,0.)); #431900=DIRECTION('',(0.,-1.,0.)); #431901=DIRECTION('',(0.,-1.,0.)); #431902=DIRECTION('center_axis',(0.,0.,1.)); #431903=DIRECTION('ref_axis',(1.,0.,0.)); #431904=DIRECTION('center_axis',(0.,0.,1.)); #431905=DIRECTION('ref_axis',(1.,0.,0.)); #431906=DIRECTION('center_axis',(0.,1.,0.)); #431907=DIRECTION('ref_axis',(-1.,0.,0.)); #431908=DIRECTION('',(-1.,0.,0.)); #431909=DIRECTION('',(0.,0.,1.)); #431910=DIRECTION('',(-1.,0.,0.)); #431911=DIRECTION('',(0.,0.,1.)); #431912=DIRECTION('center_axis',(1.,0.,0.)); #431913=DIRECTION('ref_axis',(0.,1.,0.)); #431914=DIRECTION('',(0.,1.,0.)); #431915=DIRECTION('',(0.,1.,0.)); #431916=DIRECTION('',(0.,0.,1.)); #431917=DIRECTION('center_axis',(0.,-1.,0.)); #431918=DIRECTION('ref_axis',(1.,0.,0.)); #431919=DIRECTION('',(1.,0.,0.)); #431920=DIRECTION('',(1.,0.,0.)); #431921=DIRECTION('',(0.,0.,1.)); #431922=DIRECTION('center_axis',(-1.,0.,0.)); #431923=DIRECTION('ref_axis',(0.,-1.,0.)); #431924=DIRECTION('',(0.,-1.,0.)); #431925=DIRECTION('',(0.,-1.,0.)); #431926=DIRECTION('center_axis',(0.,0.,1.)); #431927=DIRECTION('ref_axis',(1.,0.,0.)); #431928=DIRECTION('center_axis',(0.,0.,1.)); #431929=DIRECTION('ref_axis',(1.,0.,0.)); #431930=DIRECTION('center_axis',(0.,1.,0.)); #431931=DIRECTION('ref_axis',(-1.,0.,0.)); #431932=DIRECTION('',(-1.,0.,0.)); #431933=DIRECTION('',(0.,0.,1.)); #431934=DIRECTION('',(-1.,0.,0.)); #431935=DIRECTION('',(0.,0.,1.)); #431936=DIRECTION('center_axis',(1.,0.,0.)); #431937=DIRECTION('ref_axis',(0.,1.,0.)); #431938=DIRECTION('',(0.,1.,0.)); #431939=DIRECTION('',(0.,1.,0.)); #431940=DIRECTION('',(0.,0.,1.)); #431941=DIRECTION('center_axis',(0.,-1.,0.)); #431942=DIRECTION('ref_axis',(1.,0.,0.)); #431943=DIRECTION('',(1.,0.,0.)); #431944=DIRECTION('',(1.,0.,0.)); #431945=DIRECTION('',(0.,0.,1.)); #431946=DIRECTION('center_axis',(-1.,0.,0.)); #431947=DIRECTION('ref_axis',(0.,-1.,0.)); #431948=DIRECTION('',(0.,-1.,0.)); #431949=DIRECTION('',(0.,-1.,0.)); #431950=DIRECTION('center_axis',(0.,0.,1.)); #431951=DIRECTION('ref_axis',(1.,0.,0.)); #431952=DIRECTION('center_axis',(0.,0.,1.)); #431953=DIRECTION('ref_axis',(1.,0.,0.)); #431954=DIRECTION('center_axis',(0.,-1.,0.)); #431955=DIRECTION('ref_axis',(1.,0.,0.)); #431956=DIRECTION('',(1.,0.,0.)); #431957=DIRECTION('',(0.,0.,1.)); #431958=DIRECTION('',(1.,0.,0.)); #431959=DIRECTION('',(0.,0.,1.)); #431960=DIRECTION('center_axis',(1.,0.,0.)); #431961=DIRECTION('ref_axis',(0.,1.,0.)); #431962=DIRECTION('',(0.,1.,0.)); #431963=DIRECTION('',(0.,0.,1.)); #431964=DIRECTION('',(0.,1.,0.)); #431965=DIRECTION('center_axis',(0.,1.,0.)); #431966=DIRECTION('ref_axis',(-1.,0.,0.)); #431967=DIRECTION('',(-1.,0.,0.)); #431968=DIRECTION('',(0.,0.,1.)); #431969=DIRECTION('',(-1.,0.,0.)); #431970=DIRECTION('center_axis',(-1.,0.,0.)); #431971=DIRECTION('ref_axis',(0.,-1.,0.)); #431972=DIRECTION('',(0.,-1.,0.)); #431973=DIRECTION('',(0.,-1.,0.)); #431974=DIRECTION('center_axis',(0.,0.,-1.)); #431975=DIRECTION('ref_axis',(-1.,0.,0.)); #431976=DIRECTION('center_axis',(0.,0.,-1.)); #431977=DIRECTION('ref_axis',(-1.,0.,0.)); #431978=DIRECTION('center_axis',(0.,-1.,0.)); #431979=DIRECTION('ref_axis',(1.,0.,0.)); #431980=DIRECTION('',(1.,0.,0.)); #431981=DIRECTION('',(0.,0.,1.)); #431982=DIRECTION('',(1.,0.,0.)); #431983=DIRECTION('',(0.,0.,1.)); #431984=DIRECTION('center_axis',(1.,0.,0.)); #431985=DIRECTION('ref_axis',(0.,1.,0.)); #431986=DIRECTION('',(0.,1.,0.)); #431987=DIRECTION('',(0.,0.,1.)); #431988=DIRECTION('',(0.,1.,0.)); #431989=DIRECTION('center_axis',(0.,1.,0.)); #431990=DIRECTION('ref_axis',(-1.,0.,0.)); #431991=DIRECTION('',(-1.,0.,0.)); #431992=DIRECTION('',(0.,0.,1.)); #431993=DIRECTION('',(-1.,0.,0.)); #431994=DIRECTION('center_axis',(-1.,0.,0.)); #431995=DIRECTION('ref_axis',(0.,-1.,0.)); #431996=DIRECTION('',(0.,-1.,0.)); #431997=DIRECTION('',(0.,-1.,0.)); #431998=DIRECTION('center_axis',(0.,0.,-1.)); #431999=DIRECTION('ref_axis',(-1.,0.,0.)); #432000=DIRECTION('center_axis',(0.,0.,-1.)); #432001=DIRECTION('ref_axis',(-1.,0.,0.)); #432002=DIRECTION('center_axis',(0.,-1.,0.)); #432003=DIRECTION('ref_axis',(1.,0.,0.)); #432004=DIRECTION('',(1.,0.,0.)); #432005=DIRECTION('',(0.,0.,1.)); #432006=DIRECTION('',(1.,0.,0.)); #432007=DIRECTION('',(0.,0.,1.)); #432008=DIRECTION('center_axis',(1.,0.,0.)); #432009=DIRECTION('ref_axis',(0.,1.,0.)); #432010=DIRECTION('',(0.,1.,0.)); #432011=DIRECTION('',(0.,0.,1.)); #432012=DIRECTION('',(0.,1.,0.)); #432013=DIRECTION('center_axis',(0.,1.,0.)); #432014=DIRECTION('ref_axis',(-1.,0.,0.)); #432015=DIRECTION('',(-1.,0.,0.)); #432016=DIRECTION('',(0.,0.,1.)); #432017=DIRECTION('',(-1.,0.,0.)); #432018=DIRECTION('center_axis',(-1.,0.,0.)); #432019=DIRECTION('ref_axis',(0.,-1.,0.)); #432020=DIRECTION('',(0.,-1.,0.)); #432021=DIRECTION('',(0.,-1.,0.)); #432022=DIRECTION('center_axis',(0.,0.,-1.)); #432023=DIRECTION('ref_axis',(-1.,0.,0.)); #432024=DIRECTION('center_axis',(0.,0.,-1.)); #432025=DIRECTION('ref_axis',(-1.,0.,0.)); #432026=DIRECTION('center_axis',(0.,-1.,0.)); #432027=DIRECTION('ref_axis',(1.,0.,0.)); #432028=DIRECTION('',(1.,0.,0.)); #432029=DIRECTION('',(0.,0.,1.)); #432030=DIRECTION('',(1.,0.,0.)); #432031=DIRECTION('',(0.,0.,1.)); #432032=DIRECTION('center_axis',(-1.,0.,0.)); #432033=DIRECTION('ref_axis',(0.,-1.,0.)); #432034=DIRECTION('',(0.,-1.,0.)); #432035=DIRECTION('',(0.,-1.,0.)); #432036=DIRECTION('',(0.,0.,1.)); #432037=DIRECTION('center_axis',(0.,1.,0.)); #432038=DIRECTION('ref_axis',(-1.,0.,0.)); #432039=DIRECTION('',(-1.,0.,0.)); #432040=DIRECTION('',(-1.,0.,0.)); #432041=DIRECTION('',(0.,0.,1.)); #432042=DIRECTION('center_axis',(1.,0.,0.)); #432043=DIRECTION('ref_axis',(0.,1.,0.)); #432044=DIRECTION('',(0.,1.,0.)); #432045=DIRECTION('',(0.,1.,0.)); #432046=DIRECTION('center_axis',(0.,0.,1.)); #432047=DIRECTION('ref_axis',(1.,0.,0.)); #432048=DIRECTION('center_axis',(0.,0.,1.)); #432049=DIRECTION('ref_axis',(1.,0.,0.)); #432050=DIRECTION('',(0.,0.,1.)); #432051=DIRECTION('',(1.,0.,0.)); #432052=DIRECTION('axis',(0.,0.,1.)); #432053=DIRECTION('refdir',(1.,0.,0.)); #432054=DIRECTION('axis',(0.,0.,1.)); #432055=DIRECTION('refdir',(1.,0.,0.)); #432056=DIRECTION('center_axis',(-0.994505452921406,0.,0.104684784518043)); #432057=DIRECTION('ref_axis',(0.104684784518043,0.,0.994505452921406)); #432058=DIRECTION('',(0.,1.,0.)); #432059=DIRECTION('',(-0.104115841259071,0.104115841259071,-0.989100491961172)); #432060=DIRECTION('',(0.,-1.,0.)); #432061=DIRECTION('',(-0.104115841259071,-0.104115841259071,-0.989100491961172)); #432062=DIRECTION('center_axis',(0.,0.994505452921406,0.104684784518043)); #432063=DIRECTION('ref_axis',(0.,-0.104684784518043,0.994505452921406)); #432064=DIRECTION('',(1.,0.,0.)); #432065=DIRECTION('',(0.104115841259071,0.104115841259071,-0.989100491961172)); #432066=DIRECTION('',(-1.,0.,0.)); #432067=DIRECTION('center_axis',(0.994505452921406,0.,0.104684784518043)); #432068=DIRECTION('ref_axis',(0.104684784518043,0.,-0.994505452921406)); #432069=DIRECTION('',(0.,-1.,0.)); #432070=DIRECTION('',(0.104115841259071,-0.104115841259071,-0.989100491961172)); #432071=DIRECTION('',(0.,1.,0.)); #432072=DIRECTION('center_axis',(0.,-0.994505452921406,0.104684784518043)); #432073=DIRECTION('ref_axis',(0.,-0.104684784518043,-0.994505452921406)); #432074=DIRECTION('',(1.,0.,0.)); #432075=DIRECTION('',(-1.,0.,0.)); #432076=DIRECTION('center_axis',(0.,-1.,0.)); #432077=DIRECTION('ref_axis',(1.,0.,0.)); #432078=DIRECTION('',(0.,0.,1.)); #432079=DIRECTION('',(1.,0.,0.)); #432080=DIRECTION('',(0.,0.,1.)); #432081=DIRECTION('center_axis',(-1.,0.,0.)); #432082=DIRECTION('ref_axis',(0.,-1.,0.)); #432083=DIRECTION('',(0.,0.,1.)); #432084=DIRECTION('',(0.,-1.,0.)); #432085=DIRECTION('center_axis',(0.,0.,1.)); #432086=DIRECTION('ref_axis',(1.,0.,0.)); #432087=DIRECTION('center_axis',(0.,1.,0.)); #432088=DIRECTION('ref_axis',(-1.,0.,0.)); #432089=DIRECTION('',(0.,0.,1.)); #432090=DIRECTION('',(-1.,0.,0.)); #432091=DIRECTION('center_axis',(1.,0.,0.)); #432092=DIRECTION('ref_axis',(0.,1.,0.)); #432093=DIRECTION('',(0.,1.,0.)); #432094=DIRECTION('center_axis',(0.,0.,1.)); #432095=DIRECTION('ref_axis',(1.,0.,0.)); #432096=DIRECTION('center_axis',(1.,0.,0.)); #432097=DIRECTION('ref_axis',(0.,1.,0.)); #432098=DIRECTION('',(0.,-1.,0.)); #432099=DIRECTION('',(0.,0.,1.)); #432100=DIRECTION('',(0.,1.,0.)); #432101=DIRECTION('',(0.,0.,1.)); #432102=DIRECTION('center_axis',(0.,-1.,0.)); #432103=DIRECTION('ref_axis',(1.,0.,0.)); #432104=DIRECTION('',(1.,0.,0.)); #432105=DIRECTION('',(1.,0.,0.)); #432106=DIRECTION('',(0.,0.,1.)); #432107=DIRECTION('center_axis',(-1.,0.,0.)); #432108=DIRECTION('ref_axis',(0.,-1.,0.)); #432109=DIRECTION('',(0.,-1.,0.)); #432110=DIRECTION('',(0.,-1.,0.)); #432111=DIRECTION('',(0.,0.,1.)); #432112=DIRECTION('center_axis',(0.,1.,0.)); #432113=DIRECTION('ref_axis',(-1.,0.,0.)); #432114=DIRECTION('',(-1.,0.,0.)); #432115=DIRECTION('',(-1.,0.,0.)); #432116=DIRECTION('center_axis',(0.,0.,1.)); #432117=DIRECTION('ref_axis',(1.,0.,0.)); #432118=DIRECTION('center_axis',(0.,0.,1.)); #432119=DIRECTION('ref_axis',(1.,0.,0.)); #432120=DIRECTION('center_axis',(0.,1.,0.)); #432121=DIRECTION('ref_axis',(-1.,0.,0.)); #432122=DIRECTION('',(-1.,0.,0.)); #432123=DIRECTION('',(0.,0.,1.)); #432124=DIRECTION('',(-1.,0.,0.)); #432125=DIRECTION('',(0.,0.,1.)); #432126=DIRECTION('center_axis',(1.,0.,0.)); #432127=DIRECTION('ref_axis',(0.,1.,0.)); #432128=DIRECTION('',(0.,1.,0.)); #432129=DIRECTION('',(0.,1.,0.)); #432130=DIRECTION('',(0.,0.,1.)); #432131=DIRECTION('center_axis',(0.,-1.,0.)); #432132=DIRECTION('ref_axis',(1.,0.,0.)); #432133=DIRECTION('',(1.,0.,0.)); #432134=DIRECTION('',(1.,0.,0.)); #432135=DIRECTION('',(0.,0.,1.)); #432136=DIRECTION('center_axis',(-1.,0.,0.)); #432137=DIRECTION('ref_axis',(0.,-1.,0.)); #432138=DIRECTION('',(0.,-1.,0.)); #432139=DIRECTION('',(0.,-1.,0.)); #432140=DIRECTION('center_axis',(0.,0.,1.)); #432141=DIRECTION('ref_axis',(1.,0.,0.)); #432142=DIRECTION('center_axis',(0.,0.,1.)); #432143=DIRECTION('ref_axis',(1.,0.,0.)); #432144=DIRECTION('center_axis',(0.,1.,0.)); #432145=DIRECTION('ref_axis',(-1.,0.,0.)); #432146=DIRECTION('',(1.,0.,0.)); #432147=DIRECTION('',(0.,0.,1.)); #432148=DIRECTION('',(-1.,0.,0.)); #432149=DIRECTION('',(0.,0.,1.)); #432150=DIRECTION('center_axis',(1.,0.,0.)); #432151=DIRECTION('ref_axis',(0.,1.,0.)); #432152=DIRECTION('',(0.,-1.,0.)); #432153=DIRECTION('',(0.,1.,0.)); #432154=DIRECTION('',(0.,0.,1.)); #432155=DIRECTION('center_axis',(0.,-1.,0.)); #432156=DIRECTION('ref_axis',(1.,0.,0.)); #432157=DIRECTION('',(-1.,0.,0.)); #432158=DIRECTION('',(1.,0.,0.)); #432159=DIRECTION('',(0.,0.,1.)); #432160=DIRECTION('center_axis',(-1.,0.,0.)); #432161=DIRECTION('ref_axis',(0.,-1.,0.)); #432162=DIRECTION('',(0.,1.,0.)); #432163=DIRECTION('',(0.,-1.,0.)); #432164=DIRECTION('center_axis',(0.,0.,1.)); #432165=DIRECTION('ref_axis',(1.,0.,0.)); #432166=DIRECTION('center_axis',(0.,0.,1.)); #432167=DIRECTION('ref_axis',(1.,0.,0.)); #432168=DIRECTION('center_axis',(0.,-1.,0.)); #432169=DIRECTION('ref_axis',(1.,0.,0.)); #432170=DIRECTION('',(-1.,0.,0.)); #432171=DIRECTION('',(0.,0.,1.)); #432172=DIRECTION('',(1.,0.,0.)); #432173=DIRECTION('',(0.,0.,1.)); #432174=DIRECTION('center_axis',(-1.,0.,0.)); #432175=DIRECTION('ref_axis',(0.,-1.,0.)); #432176=DIRECTION('',(0.,1.,0.)); #432177=DIRECTION('',(0.,-1.,0.)); #432178=DIRECTION('',(0.,0.,1.)); #432179=DIRECTION('center_axis',(0.,1.,0.)); #432180=DIRECTION('ref_axis',(-1.,0.,0.)); #432181=DIRECTION('',(1.,0.,0.)); #432182=DIRECTION('',(-1.,0.,0.)); #432183=DIRECTION('',(0.,0.,1.)); #432184=DIRECTION('center_axis',(1.,0.,0.)); #432185=DIRECTION('ref_axis',(0.,1.,0.)); #432186=DIRECTION('',(0.,1.,0.)); #432187=DIRECTION('',(0.,1.,0.)); #432188=DIRECTION('center_axis',(0.,0.,1.)); #432189=DIRECTION('ref_axis',(1.,0.,0.)); #432190=DIRECTION('center_axis',(0.,0.,1.)); #432191=DIRECTION('ref_axis',(1.,0.,0.)); #432192=DIRECTION('',(0.,0.,1.)); #432193=DIRECTION('',(1.,0.,0.)); #432194=DIRECTION('axis',(0.,0.,1.)); #432195=DIRECTION('refdir',(1.,0.,0.)); #432196=DIRECTION('axis',(0.,0.,1.)); #432197=DIRECTION('refdir',(1.,0.,0.)); #432198=DIRECTION('center_axis',(0.,0.,-1.)); #432199=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432200=DIRECTION('center_axis',(0.,0.,1.)); #432201=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432202=DIRECTION('',(0.,0.,-1.)); #432203=DIRECTION('center_axis',(0.,0.,1.)); #432204=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432205=DIRECTION('center_axis',(0.,0.,-1.)); #432206=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432207=DIRECTION('center_axis',(0.,0.,1.)); #432208=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432209=DIRECTION('',(0.,0.,1.)); #432210=DIRECTION('center_axis',(0.,0.,1.)); #432211=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432212=DIRECTION('center_axis',(0.,0.,1.)); #432213=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432214=DIRECTION('center_axis',(0.,0.,1.)); #432215=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432216=DIRECTION('center_axis',(0.,0.,1.)); #432217=DIRECTION('ref_axis',(-0.716996251031681,0.697077023008587,0.)); #432218=DIRECTION('',(0.,0.,1.)); #432219=DIRECTION('center_axis',(0.,0.,1.)); #432220=DIRECTION('ref_axis',(-1.,0.,0.)); #432221=DIRECTION('',(0.,0.,1.)); #432222=DIRECTION('center_axis',(0.,0.,1.)); #432223=DIRECTION('ref_axis',(-1.,0.,0.)); #432224=DIRECTION('',(0.,0.,1.)); #432225=DIRECTION('center_axis',(0.,0.,1.)); #432226=DIRECTION('ref_axis',(-1.,0.,0.)); #432227=DIRECTION('center_axis',(0.,0.,1.)); #432228=DIRECTION('ref_axis',(-0.936617633732484,-0.350353261981908,0.)); #432229=DIRECTION('',(0.,0.,-1.)); #432230=DIRECTION('center_axis',(0.,0.,-1.)); #432231=DIRECTION('ref_axis',(-1.,0.,0.)); #432232=DIRECTION('center_axis',(0.,0.,1.)); #432233=DIRECTION('ref_axis',(-1.,0.,0.)); #432234=DIRECTION('',(0.,0.,-1.)); #432235=DIRECTION('center_axis',(0.,0.,1.)); #432236=DIRECTION('ref_axis',(0.572926515548536,0.819606739712048,0.)); #432237=DIRECTION('',(0.,0.,-1.)); #432238=DIRECTION('center_axis',(0.,0.,1.)); #432239=DIRECTION('ref_axis',(-1.,0.,0.)); #432240=DIRECTION('center_axis',(0.,0.,1.)); #432241=DIRECTION('ref_axis',(-1.,0.,0.)); #432242=DIRECTION('center_axis',(0.,0.,1.)); #432243=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432244=DIRECTION('',(-1.,-7.105427357601E-17,0.)); #432245=DIRECTION('',(-0.5,0.866025403784439,0.)); #432246=DIRECTION('',(0.5,0.866025403784439,0.)); #432247=DIRECTION('',(1.,3.5527136788005E-16,0.)); #432248=DIRECTION('',(0.5,-0.866025403784438,0.)); #432249=DIRECTION('',(-0.5,-0.866025403784438,0.)); #432250=DIRECTION('center_axis',(0.,-1.,0.)); #432251=DIRECTION('ref_axis',(1.,6.93889390390723E-17,0.)); #432252=DIRECTION('',(0.,0.,-1.)); #432253=DIRECTION('',(0.,0.,-1.)); #432254=DIRECTION('center_axis',(0.866025403784439,-0.5,0.)); #432255=DIRECTION('ref_axis',(0.5,0.866025403784438,0.)); #432256=DIRECTION('',(0.,0.,-1.)); #432257=DIRECTION('center_axis',(0.866025403784439,0.5,0.)); #432258=DIRECTION('ref_axis',(-0.5,0.866025403784439,0.)); #432259=DIRECTION('',(0.,0.,-1.)); #432260=DIRECTION('center_axis',(-2.22044604925031E-16,1.,0.)); #432261=DIRECTION('ref_axis',(-1.,-2.77555756156289E-16,0.)); #432262=DIRECTION('',(0.,0.,-1.)); #432263=DIRECTION('center_axis',(-0.866025403784439,0.5,0.)); #432264=DIRECTION('ref_axis',(-0.5,-0.866025403784439,0.)); #432265=DIRECTION('',(0.,0.,-1.)); #432266=DIRECTION('center_axis',(-0.866025403784439,-0.5,0.)); #432267=DIRECTION('ref_axis',(0.5,-0.866025403784439,0.)); #432268=DIRECTION('center_axis',(0.,0.,1.)); #432269=DIRECTION('ref_axis',(-1.,0.,0.)); #432270=DIRECTION('',(0.,0.,-1.)); #432271=DIRECTION('center_axis',(0.,0.,1.)); #432272=DIRECTION('ref_axis',(-1.,0.,0.)); #432273=DIRECTION('center_axis',(0.,0.,1.)); #432274=DIRECTION('ref_axis',(-1.,0.,0.)); #432275=DIRECTION('',(0.,0.,-1.)); #432276=DIRECTION('center_axis',(0.,0.,1.)); #432277=DIRECTION('ref_axis',(-1.,0.,0.)); #432278=DIRECTION('',(0.,0.,-1.)); #432279=DIRECTION('center_axis',(0.,0.,1.)); #432280=DIRECTION('ref_axis',(-1.,0.,0.)); #432281=DIRECTION('',(0.,0.,-1.)); #432282=DIRECTION('center_axis',(0.,0.,1.)); #432283=DIRECTION('ref_axis',(-1.,0.,0.)); #432284=DIRECTION('',(0.,0.,-1.)); #432285=DIRECTION('center_axis',(0.,0.,1.)); #432286=DIRECTION('ref_axis',(-1.,0.,0.)); #432287=DIRECTION('',(0.,0.,-1.)); #432288=DIRECTION('center_axis',(0.,0.,1.)); #432289=DIRECTION('ref_axis',(-1.,0.,0.)); #432290=DIRECTION('',(0.,0.,-1.)); #432291=DIRECTION('center_axis',(0.,0.,1.)); #432292=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #432293=DIRECTION('',(0.,0.,1.)); #432294=DIRECTION('center_axis',(0.,0.,1.)); #432295=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #432296=DIRECTION('center_axis',(0.,0.,1.)); #432297=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #432298=DIRECTION('',(0.,0.,1.)); #432299=DIRECTION('center_axis',(0.,0.,1.)); #432300=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #432301=DIRECTION('center_axis',(0.,0.,1.)); #432302=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #432303=DIRECTION('',(0.,0.,1.)); #432304=DIRECTION('center_axis',(0.,0.,1.)); #432305=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #432306=DIRECTION('',(0.,0.,1.)); #432307=DIRECTION('center_axis',(0.,0.,1.)); #432308=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #432309=DIRECTION('',(0.,0.,1.)); #432310=DIRECTION('center_axis',(0.,0.,1.)); #432311=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #432312=DIRECTION('',(0.,0.,1.)); #432313=DIRECTION('center_axis',(0.,0.,1.)); #432314=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #432315=DIRECTION('',(0.,0.,1.)); #432316=DIRECTION('center_axis',(0.,1.,0.)); #432317=DIRECTION('ref_axis',(0.,0.,1.)); #432318=DIRECTION('',(-1.,0.,0.)); #432319=DIRECTION('',(-7.137153981444E-15,0.,-1.)); #432320=DIRECTION('',(-1.,0.,0.)); #432321=DIRECTION('',(0.,0.,-1.)); #432322=DIRECTION('center_axis',(0.,1.,0.)); #432323=DIRECTION('ref_axis',(0.,0.,1.)); #432324=DIRECTION('',(-1.,0.,0.)); #432325=DIRECTION('',(7.13714620256007E-15,0.,1.)); #432326=DIRECTION('',(-1.,0.,0.)); #432327=DIRECTION('',(0.,0.,1.)); #432328=DIRECTION('center_axis',(-1.,0.,0.)); #432329=DIRECTION('ref_axis',(0.,0.,1.)); #432330=DIRECTION('',(-7.13715398144343E-15,-2.5469456057311E-29,-1.)); #432331=DIRECTION('',(3.56857699072173E-15,-1.,1.28102656504955E-15)); #432332=DIRECTION('',(7.13715398144343E-15,2.5469456057311E-29,1.)); #432333=DIRECTION('',(3.56857699072173E-15,-1.,1.28102656504955E-15)); #432334=DIRECTION('center_axis',(-1.,0.,0.)); #432335=DIRECTION('ref_axis',(0.,0.,1.)); #432336=DIRECTION('',(3.56857699072171E-15,-1.,-1.28102656504955E-15)); #432337=DIRECTION('',(-7.13715398144343E-15,0.,-1.)); #432338=DIRECTION('',(3.56857699072171E-15,-1.,-1.28102656504955E-15)); #432339=DIRECTION('',(-7.13715398144343E-15,-2.54694557473962E-29,-1.)); #432340=DIRECTION('center_axis',(1.,0.,0.)); #432341=DIRECTION('ref_axis',(0.,0.,-1.)); #432342=DIRECTION('',(-3.56857311546411E-15,1.,-1.28102656504955E-15)); #432343=DIRECTION('',(-7.13714620256007E-15,0.,-1.)); #432344=DIRECTION('',(-3.56857310128028E-15,1.,-1.28102656504955E-15)); #432345=DIRECTION('',(-7.13714620256054E-15,-2.54694279583621E-29,-1.)); #432346=DIRECTION('center_axis',(1.,0.,0.)); #432347=DIRECTION('ref_axis',(0.,0.,-1.)); #432348=DIRECTION('',(-7.13714620256054E-15,-2.54694279583621E-29,-1.)); #432349=DIRECTION('',(-3.56857310128026E-15,1.,1.28102656504955E-15)); #432350=DIRECTION('',(7.13714620256054E-15,2.54694279583621E-29,1.)); #432351=DIRECTION('',(-3.56857310128026E-15,1.,1.28102656504955E-15)); #432352=DIRECTION('center_axis',(0.866025403784439,-0.5,-8.05588776216133E-17)); #432353=DIRECTION('ref_axis',(-6.5209077923577E-18,1.49823211635391E-16, -1.)); #432354=DIRECTION('',(-6.5209077923577E-18,1.49823211635391E-16,-1.)); #432355=DIRECTION('',(-0.5,-0.866025403784439,0.)); #432356=DIRECTION('',(-6.5209077923577E-18,1.49823211635391E-16,-1.)); #432357=DIRECTION('',(0.5,0.866025403784439,0.)); #432358=DIRECTION('',(0.5,0.866025403784439,0.)); #432359=DIRECTION('',(0.5,0.866025403784439,0.)); #432360=DIRECTION('',(0.5,0.866025403784439,0.)); #432361=DIRECTION('center_axis',(-0.866025403784439,0.5,-8.05588776216158E-17)); #432362=DIRECTION('ref_axis',(-1.33011161249002E-16,-6.92643340137767E-17, 1.)); #432363=DIRECTION('',(-1.33011161249002E-16,-6.92643340137767E-17,1.)); #432364=DIRECTION('',(-1.33011161249002E-16,-6.92643340137767E-17,1.)); #432365=DIRECTION('',(0.5,0.866025403784439,0.)); #432366=DIRECTION('center_axis',(-0.5,-0.866025403784439,-1.26490253456642E-16)); #432367=DIRECTION('ref_axis',(6.32451267283211E-17,1.09543772824585E-16, -1.)); #432368=DIRECTION('',(0.866025403784439,-0.5,0.)); #432369=DIRECTION('',(0.866025403784438,-0.5,0.)); #432370=DIRECTION('center_axis',(0.,0.,-1.)); #432371=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432372=DIRECTION('',(0.0434828493834553,-0.999054173610969,0.)); #432373=DIRECTION('center_axis',(0.,0.,1.)); #432374=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432375=DIRECTION('center_axis',(0.,0.,1.)); #432376=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432377=DIRECTION('',(-0.886947718795699,-0.461869834610474,0.)); #432378=DIRECTION('',(-0.5,-0.866025403784438,0.)); #432379=DIRECTION('',(-0.866025403784439,0.5,0.)); #432380=DIRECTION('',(0.5,0.866025403784439,0.)); #432381=DIRECTION('',(-0.0434828493834488,0.999054173610969,0.)); #432382=DIRECTION('center_axis',(0.,0.,1.)); #432383=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432384=DIRECTION('',(0.886947718795702,0.461869834610467,0.)); #432385=DIRECTION('center_axis',(-0.866025403784439,0.5,1.49609344154423E-16)); #432386=DIRECTION('ref_axis',(-1.21102573286625E-17,2.78243107322868E-16, -1.)); #432387=DIRECTION('',(-1.21102573286625E-17,2.78243107322868E-16,-1.)); #432388=DIRECTION('',(-1.21102573286625E-17,2.78243107322868E-16,-1.)); #432389=DIRECTION('',(-0.5,-0.866025403784439,0.)); #432390=DIRECTION('',(-0.5,-0.866025403784439,0.)); #432391=DIRECTION('',(-0.5,-0.866025403784439,0.)); #432392=DIRECTION('',(-0.5,-0.866025403784439,0.)); #432393=DIRECTION('center_axis',(0.866025403784439,-0.5,1.49609344154427E-16)); #432394=DIRECTION('ref_axis',(-2.47020728033859E-16,-1.28633763168444E-16, 1.)); #432395=DIRECTION('',(-2.47020728033859E-16,-1.28633763168444E-16,1.)); #432396=DIRECTION('',(-2.47020728033859E-16,-1.28633763168444E-16,1.)); #432397=DIRECTION('center_axis',(0.5,0.866025403784439,2.34910470705193E-16)); #432398=DIRECTION('ref_axis',(-1.17455235352596E-16,-2.03438435245657E-16, 1.)); #432399=DIRECTION('',(-0.866025403784438,0.5,0.)); #432400=DIRECTION('center_axis',(-0.537184339000487,0.843464869412242,0.)); #432401=DIRECTION('ref_axis',(-0.843464869412241,-0.537184339000487,0.)); #432402=DIRECTION('',(-0.843464869412242,-0.537184339000487,0.)); #432403=DIRECTION('',(0.,0.,1.)); #432404=DIRECTION('',(0.,0.,1.)); #432405=DIRECTION('center_axis',(0.793270134887063,0.608869849061572,0.)); #432406=DIRECTION('ref_axis',(-0.608869849061572,0.793270134887063,0.)); #432407=DIRECTION('',(-0.608869849061572,0.793270134887063,0.)); #432408=DIRECTION('',(0.,0.,1.)); #432409=DIRECTION('center_axis',(-0.793270134887056,0.608869849061581,0.)); #432410=DIRECTION('ref_axis',(-0.608869849061581,-0.793270134887056,0.)); #432411=DIRECTION('',(-0.608869849061581,-0.793270134887056,0.)); #432412=DIRECTION('',(0.,0.,1.)); #432413=DIRECTION('',(0.,0.,1.)); #432414=DIRECTION('center_axis',(0.537184339000497,0.843464869412235,0.)); #432415=DIRECTION('ref_axis',(-0.843464869412235,0.537184339000497,0.)); #432416=DIRECTION('',(-0.843464869412235,0.537184339000497,0.)); #432417=DIRECTION('',(0.,0.,1.)); #432418=DIRECTION('center_axis',(-0.953675845085929,0.300836138952153,0.)); #432419=DIRECTION('ref_axis',(-0.300836138952153,-0.953675845085929,0.)); #432420=DIRECTION('',(-0.300836138952153,-0.953675845085929,0.)); #432421=DIRECTION('',(0.,0.,1.)); #432422=DIRECTION('',(0.,0.,1.)); #432423=DIRECTION('center_axis',(0.216306183833984,0.976325578296082,0.)); #432424=DIRECTION('ref_axis',(-0.976325578296082,0.216306183833984,0.)); #432425=DIRECTION('',(-0.976325578296082,0.216306183833984,0.)); #432426=DIRECTION('',(0.,0.,1.)); #432427=DIRECTION('center_axis',(-0.999054173610969,-0.0434828493834553, 0.)); #432428=DIRECTION('ref_axis',(0.0434828493834553,-0.999054173610969,0.)); #432429=DIRECTION('',(0.,0.,1.)); #432430=DIRECTION('center_axis',(0.461869834610467,-0.886947718795702,0.)); #432431=DIRECTION('ref_axis',(0.886947718795702,0.461869834610467,0.)); #432432=DIRECTION('',(0.,0.,1.)); #432433=DIRECTION('center_axis',(-0.216306183833972,0.976325578296085,0.)); #432434=DIRECTION('ref_axis',(-0.976325578296085,-0.216306183833972,0.)); #432435=DIRECTION('',(-0.976325578296085,-0.216306183833972,0.)); #432436=DIRECTION('',(0.,0.,1.)); #432437=DIRECTION('',(0.,0.,1.)); #432438=DIRECTION('center_axis',(0.953675845085932,0.300836138952143,0.)); #432439=DIRECTION('ref_axis',(-0.300836138952142,0.953675845085932,0.)); #432440=DIRECTION('',(-0.300836138952143,0.953675845085932,0.)); #432441=DIRECTION('',(0.,0.,1.)); #432442=DIRECTION('center_axis',(0.130661689442201,0.991427013406489,0.)); #432443=DIRECTION('ref_axis',(-0.991427013406489,0.130661689442201,0.)); #432444=DIRECTION('',(-0.991427013406489,0.130661689442201,0.)); #432445=DIRECTION('',(0.,0.,1.)); #432446=DIRECTION('',(0.,0.,1.)); #432447=DIRECTION('center_axis',(0.999054173610968,-0.043482849383467,0.)); #432448=DIRECTION('ref_axis',(0.0434828493834669,0.999054173610968,0.)); #432449=DIRECTION('',(0.043482849383467,0.999054173610968,0.)); #432450=DIRECTION('',(0.,0.,1.)); #432451=DIRECTION('center_axis',(0.461869834610483,0.886947718795694,0.)); #432452=DIRECTION('ref_axis',(-0.886947718795694,0.461869834610483,0.)); #432453=DIRECTION('',(-0.886947718795694,0.461869834610483,0.)); #432454=DIRECTION('',(0.,0.,1.)); #432455=DIRECTION('',(0.,0.,1.)); #432456=DIRECTION('center_axis',(0.923931824329249,-0.382557164344921,0.)); #432457=DIRECTION('ref_axis',(0.38255716434492,0.923931824329249,0.)); #432458=DIRECTION('',(0.38255716434492,0.923931824329249,0.)); #432459=DIRECTION('',(0.,0.,1.)); #432460=DIRECTION('center_axis',(0.737369661251958,0.675489439343927,0.)); #432461=DIRECTION('ref_axis',(-0.675489439343927,0.737369661251958,0.)); #432462=DIRECTION('',(-0.675489439343927,0.737369661251958,0.)); #432463=DIRECTION('',(0.,0.,1.)); #432464=DIRECTION('',(0.,0.,1.)); #432465=DIRECTION('center_axis',(0.737369661251945,-0.675489439343941,0.)); #432466=DIRECTION('ref_axis',(0.675489439343941,0.737369661251945,0.)); #432467=DIRECTION('',(0.675489439343941,0.737369661251945,0.)); #432468=DIRECTION('',(0.,0.,1.)); #432469=DIRECTION('center_axis',(0.,0.,-1.)); #432470=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432471=DIRECTION('center_axis',(0.,0.,1.)); #432472=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432473=DIRECTION('center_axis',(0.,0.,-1.)); #432474=DIRECTION('ref_axis',(0.866025403784483,-0.499999999999924,0.)); #432475=DIRECTION('center_axis',(0.,0.,1.)); #432476=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432477=DIRECTION('center_axis',(0.,0.,1.)); #432478=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432479=DIRECTION('center_axis',(0.,0.,1.)); #432480=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432481=DIRECTION('center_axis',(0.,0.,1.)); #432482=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432483=DIRECTION('center_axis',(0.,0.,1.)); #432484=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432485=DIRECTION('center_axis',(0.,0.,1.)); #432486=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432487=DIRECTION('center_axis',(0.,0.,1.)); #432488=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432489=DIRECTION('center_axis',(0.,0.,1.)); #432490=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432491=DIRECTION('center_axis',(0.,0.,-1.)); #432492=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432493=DIRECTION('center_axis',(0.,0.,-1.)); #432494=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432495=DIRECTION('center_axis',(0.,0.,-1.)); #432496=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432497=DIRECTION('center_axis',(0.,0.,-1.)); #432498=DIRECTION('ref_axis',(0.866025403784471,-0.499999999999943,0.)); #432499=DIRECTION('center_axis',(0.,0.,-1.)); #432500=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432501=DIRECTION('center_axis',(0.,0.,-1.)); #432502=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432503=DIRECTION('center_axis',(0.,0.,-1.)); #432504=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432505=DIRECTION('center_axis',(0.,0.,-1.)); #432506=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432507=DIRECTION('center_axis',(0.,0.,-1.)); #432508=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432509=DIRECTION('center_axis',(0.,0.,-1.)); #432510=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432511=DIRECTION('center_axis',(0.,0.,-1.)); #432512=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432513=DIRECTION('center_axis',(0.,0.,-1.)); #432514=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432515=DIRECTION('center_axis',(0.,0.,-1.)); #432516=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432517=DIRECTION('center_axis',(0.,0.,-1.)); #432518=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432519=DIRECTION('center_axis',(0.,0.,-1.)); #432520=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432521=DIRECTION('center_axis',(0.,0.,1.)); #432522=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432523=DIRECTION('center_axis',(0.,0.,-1.)); #432524=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432525=DIRECTION('center_axis',(0.,0.,1.)); #432526=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432527=DIRECTION('center_axis',(0.,0.,-1.)); #432528=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432529=DIRECTION('center_axis',(0.,0.,1.)); #432530=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432531=DIRECTION('center_axis',(0.,0.,-1.)); #432532=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432533=DIRECTION('center_axis',(0.,0.,1.)); #432534=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432535=DIRECTION('center_axis',(0.,0.,-1.)); #432536=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432537=DIRECTION('center_axis',(0.,0.,1.)); #432538=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432539=DIRECTION('center_axis',(0.,0.,-1.)); #432540=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432541=DIRECTION('center_axis',(0.,0.,1.)); #432542=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432543=DIRECTION('center_axis',(0.216306183833979,-0.976325578296083,0.)); #432544=DIRECTION('ref_axis',(0.976325578296083,0.216306183833979,0.)); #432545=DIRECTION('',(0.976325578296083,0.216306183833979,0.)); #432546=DIRECTION('',(0.,0.,1.)); #432547=DIRECTION('',(0.,0.,1.)); #432548=DIRECTION('center_axis',(-0.95367584508593,-0.300836138952149,0.)); #432549=DIRECTION('ref_axis',(0.300836138952149,-0.95367584508593,0.)); #432550=DIRECTION('',(0.300836138952149,-0.95367584508593,0.)); #432551=DIRECTION('',(0.,0.,1.)); #432552=DIRECTION('center_axis',(0.537184339000482,-0.843464869412245,0.)); #432553=DIRECTION('ref_axis',(0.843464869412245,0.537184339000482,0.)); #432554=DIRECTION('',(0.843464869412245,0.537184339000482,0.)); #432555=DIRECTION('',(0.,0.,1.)); #432556=DIRECTION('',(0.,0.,1.)); #432557=DIRECTION('center_axis',(-0.793270134887066,-0.608869849061567, 0.)); #432558=DIRECTION('ref_axis',(0.608869849061567,-0.793270134887066,0.)); #432559=DIRECTION('',(0.608869849061567,-0.793270134887066,0.)); #432560=DIRECTION('',(0.,0.,1.)); #432561=DIRECTION('center_axis',(0.793270134887051,-0.608869849061587,0.)); #432562=DIRECTION('ref_axis',(0.608869849061587,0.793270134887051,0.)); #432563=DIRECTION('',(0.608869849061587,0.793270134887051,0.)); #432564=DIRECTION('',(0.,0.,1.)); #432565=DIRECTION('',(0.,0.,1.)); #432566=DIRECTION('center_axis',(-0.537184339000503,-0.843464869412232, 0.)); #432567=DIRECTION('ref_axis',(0.843464869412231,-0.537184339000503,0.)); #432568=DIRECTION('',(0.843464869412231,-0.537184339000503,0.)); #432569=DIRECTION('',(0.,0.,1.)); #432570=DIRECTION('center_axis',(0.953675845085927,-0.300836138952159,0.)); #432571=DIRECTION('ref_axis',(0.300836138952159,0.953675845085927,0.)); #432572=DIRECTION('',(0.300836138952159,0.953675845085927,0.)); #432573=DIRECTION('',(0.,0.,1.)); #432574=DIRECTION('',(0.,0.,1.)); #432575=DIRECTION('center_axis',(-0.216306183833991,-0.97632557829608,0.)); #432576=DIRECTION('ref_axis',(0.976325578296081,-0.216306183833991,0.)); #432577=DIRECTION('',(0.97632557829608,-0.216306183833991,0.)); #432578=DIRECTION('',(0.,0.,1.)); #432579=DIRECTION('center_axis',(0.,0.,-1.)); #432580=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432581=DIRECTION('center_axis',(0.,0.,1.)); #432582=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432583=DIRECTION('center_axis',(0.,0.,-1.)); #432584=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432585=DIRECTION('center_axis',(0.,0.,-1.)); #432586=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432587=DIRECTION('center_axis',(0.,0.,1.)); #432588=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432589=DIRECTION('center_axis',(0.,0.,-1.)); #432590=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432591=DIRECTION('center_axis',(0.,0.,-1.)); #432592=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432593=DIRECTION('center_axis',(0.,0.,1.)); #432594=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432595=DIRECTION('center_axis',(0.,0.,-1.)); #432596=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432597=DIRECTION('center_axis',(0.,0.,-1.)); #432598=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432599=DIRECTION('center_axis',(0.,0.,-1.)); #432600=DIRECTION('ref_axis',(0.866025403784323,-0.5000000000002,0.)); #432601=DIRECTION('center_axis',(0.,0.,1.)); #432602=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432603=DIRECTION('center_axis',(0.,0.,-1.)); #432604=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432605=DIRECTION('center_axis',(0.,0.,1.)); #432606=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432607=DIRECTION('center_axis',(0.,0.,-1.)); #432608=DIRECTION('ref_axis',(0.86602540378448,-0.499999999999929,0.)); #432609=DIRECTION('center_axis',(0.,0.,-1.)); #432610=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432611=DIRECTION('center_axis',(0.,0.,1.)); #432612=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432613=DIRECTION('center_axis',(0.,0.,-1.)); #432614=DIRECTION('ref_axis',(0.866025403784351,-0.500000000000152,0.)); #432615=DIRECTION('center_axis',(0.,0.,-1.)); #432616=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432617=DIRECTION('center_axis',(0.,0.,1.)); #432618=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432619=DIRECTION('center_axis',(0.,0.,-1.)); #432620=DIRECTION('ref_axis',(0.866025403784368,-0.500000000000123,0.)); #432621=DIRECTION('center_axis',(0.,0.,1.)); #432622=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432623=DIRECTION('center_axis',(0.,0.,1.)); #432624=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #432625=DIRECTION('center_axis',(0.,0.,-1.)); #432626=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432627=DIRECTION('center_axis',(0.,0.,-1.)); #432628=DIRECTION('ref_axis',(0.86602540378445,-0.49999999999998,0.)); #432629=DIRECTION('center_axis',(0.999054173610969,0.0434828493834488,0.)); #432630=DIRECTION('ref_axis',(-0.0434828493834489,0.999054173610969,0.)); #432631=DIRECTION('',(0.,0.,1.)); #432632=DIRECTION('center_axis',(-0.461869834610474,0.886947718795699,0.)); #432633=DIRECTION('ref_axis',(-0.886947718795699,-0.461869834610474,0.)); #432634=DIRECTION('',(0.,0.,1.)); #432635=DIRECTION('center_axis',(-0.73736966125195,0.675489439343936,0.)); #432636=DIRECTION('ref_axis',(-0.675489439343936,-0.73736966125195,0.)); #432637=DIRECTION('',(-0.675489439343936,-0.73736966125195,0.)); #432638=DIRECTION('',(0.,0.,1.)); #432639=DIRECTION('',(0.,0.,1.)); #432640=DIRECTION('center_axis',(-0.737369661251953,-0.675489439343932, 0.)); #432641=DIRECTION('ref_axis',(0.675489439343932,-0.737369661251953,0.)); #432642=DIRECTION('',(0.675489439343932,-0.737369661251953,0.)); #432643=DIRECTION('',(0.,0.,1.)); #432644=DIRECTION('center_axis',(-0.923931824329251,0.382557164344914,0.)); #432645=DIRECTION('ref_axis',(-0.382557164344914,-0.923931824329251,0.)); #432646=DIRECTION('',(-0.382557164344914,-0.923931824329251,0.)); #432647=DIRECTION('',(0.,0.,1.)); #432648=DIRECTION('',(0.,0.,1.)); #432649=DIRECTION('center_axis',(-0.461869834610477,-0.886947718795697, 0.)); #432650=DIRECTION('ref_axis',(0.886947718795697,-0.461869834610477,0.)); #432651=DIRECTION('',(0.886947718795697,-0.461869834610477,0.)); #432652=DIRECTION('',(0.,0.,1.)); #432653=DIRECTION('center_axis',(-0.999054173610969,0.0434828493834601, 0.)); #432654=DIRECTION('ref_axis',(-0.0434828493834601,-0.999054173610969,0.)); #432655=DIRECTION('',(-0.0434828493834601,-0.999054173610969,0.)); #432656=DIRECTION('',(0.,0.,1.)); #432657=DIRECTION('',(0.,0.,1.)); #432658=DIRECTION('center_axis',(-0.130661689442194,-0.99142701340649,0.)); #432659=DIRECTION('ref_axis',(0.99142701340649,-0.130661689442194,0.)); #432660=DIRECTION('',(0.99142701340649,-0.130661689442194,0.)); #432661=DIRECTION('',(0.,0.,1.)); #432662=DIRECTION('center_axis',(0.,0.,-1.)); #432663=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432664=DIRECTION('center_axis',(0.,0.,1.)); #432665=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432666=DIRECTION('center_axis',(0.,0.,-1.)); #432667=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432668=DIRECTION('center_axis',(0.,0.,1.)); #432669=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432670=DIRECTION('center_axis',(0.,0.,-1.)); #432671=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432672=DIRECTION('center_axis',(0.,0.,1.)); #432673=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432674=DIRECTION('center_axis',(0.,0.,-1.)); #432675=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432676=DIRECTION('center_axis',(0.,0.,1.)); #432677=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432678=DIRECTION('center_axis',(0.,0.,-1.)); #432679=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432680=DIRECTION('center_axis',(0.,0.,1.)); #432681=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432682=DIRECTION('center_axis',(0.,0.,-1.)); #432683=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432684=DIRECTION('center_axis',(0.,0.,1.)); #432685=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432686=DIRECTION('center_axis',(0.,0.,-1.)); #432687=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432688=DIRECTION('center_axis',(0.,0.,1.)); #432689=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432690=DIRECTION('center_axis',(0.,0.,-1.)); #432691=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432692=DIRECTION('center_axis',(0.,0.,1.)); #432693=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432694=DIRECTION('center_axis',(0.,0.,-1.)); #432695=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432696=DIRECTION('center_axis',(0.,0.,-1.)); #432697=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #432698=DIRECTION('',(0.,0.,1.)); #432699=DIRECTION('center_axis',(0.,0.,1.)); #432700=DIRECTION('ref_axis',(1.,3.572543183515E-15,0.)); #432701=DIRECTION('',(1.,3.572543183515E-15,0.)); #432702=DIRECTION('',(-3.53252780562548E-15,1.,0.)); #432703=DIRECTION('',(1.,3.491481338843E-15,0.)); #432704=DIRECTION('',(-3.53252780562548E-15,1.,0.)); #432705=DIRECTION('center_axis',(0.,0.,-1.)); #432706=DIRECTION('ref_axis',(-1.,-3.491481338843E-15,0.)); #432707=DIRECTION('',(-1.,-3.572543183515E-15,0.)); #432708=DIRECTION('',(3.491481338843E-15,-1.,0.)); #432709=DIRECTION('',(1.,3.491481338843E-15,0.)); #432710=DIRECTION('',(-3.53252780562548E-15,1.,0.)); #432711=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #432712=DIRECTION('ref_axis',(0.,0.,-1.)); #432713=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #432714=DIRECTION('ref_axis',(0.,0.,1.)); #432715=DIRECTION('',(1.,3.491481338843E-15,0.)); #432716=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #432717=DIRECTION('ref_axis',(0.,0.,1.)); #432718=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #432719=DIRECTION('ref_axis',(0.,0.,-1.)); #432720=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #432721=DIRECTION('ref_axis',(0.,0.,-1.)); #432722=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #432723=DIRECTION('ref_axis',(0.,0.,-1.)); #432724=DIRECTION('',(1.,3.491481338843E-15,0.)); #432725=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #432726=DIRECTION('ref_axis',(-1.203328737303E-30,3.446470482073E-16,1.)); #432727=DIRECTION('',(1.203328737303E-30,-3.446470482073E-16,-1.)); #432728=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #432729=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #432730=DIRECTION('',(-1.,-3.491481338843E-15,-2.77403219247928E-16)); #432731=DIRECTION('',(2.77403219247929E-16,-3.44647048207299E-16,-1.)); #432732=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #432733=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #432734=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #432735=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #432736=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #432737=DIRECTION('',(-1.203328737303E-30,3.446470482073E-16,1.)); #432738=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #432739=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #432740=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #432741=DIRECTION('',(0.,0.,1.)); #432742=DIRECTION('center_axis',(1.,3.53252780562548E-15,0.)); #432743=DIRECTION('ref_axis',(0.,0.,-1.)); #432744=DIRECTION('',(0.,0.,1.)); #432745=DIRECTION('',(3.491481338843E-15,-1.,9.68548163339114E-31)); #432746=DIRECTION('',(0.,0.,-1.)); #432747=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #432748=DIRECTION('ref_axis',(0.,0.,1.)); #432749=DIRECTION('',(3.491481338843E-15,-1.,0.)); #432750=DIRECTION('',(3.491481338843E-15,-1.,0.)); #432751=DIRECTION('',(-2.77403219247928E-16,-9.68548163339114E-31,1.)); #432752=DIRECTION('center_axis',(0.,0.,1.)); #432753=DIRECTION('ref_axis',(1.,0.,0.)); #432754=DIRECTION('',(3.491481338843E-15,-1.,0.)); #432755=DIRECTION('',(-3.491481338843E-15,1.,0.)); #432756=DIRECTION('',(1.,3.491481338843E-15,0.)); #432757=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #432758=DIRECTION('ref_axis',(0.,0.,-1.)); #432759=DIRECTION('',(-3.491481338843E-15,1.,0.)); #432760=DIRECTION('',(0.,0.,-1.)); #432761=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #432762=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #432763=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #432764=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #432765=DIRECTION('',(-3.491481338843E-15,1.,0.)); #432766=DIRECTION('center_axis',(-2.77403219247928E-16,0.,1.)); #432767=DIRECTION('ref_axis',(1.,0.,2.77403219247928E-16)); #432768=DIRECTION('',(-3.491481338843E-15,1.,-9.68548163339114E-31)); #432769=DIRECTION('',(1.,3.491481338843E-15,2.77403219247928E-16)); #432770=DIRECTION('center_axis',(-3.491481338843E-15,1.,-9.68548163339114E-31)); #432771=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #432772=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #432773=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #432774=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #432775=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #432776=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #432777=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #432778=DIRECTION('',(-3.491481338843E-15,1.,0.)); #432779=DIRECTION('center_axis',(0.,0.,1.)); #432780=DIRECTION('ref_axis',(1.,0.,0.)); #432781=DIRECTION('',(3.491481338843E-15,-1.,0.)); #432782=DIRECTION('',(1.,3.491481338843E-15,0.)); #432783=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #432784=DIRECTION('ref_axis',(0.,0.,-1.)); #432785=DIRECTION('',(0.,0.,1.)); #432786=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #432787=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #432788=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #432789=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #432790=DIRECTION('center_axis',(-1.,-3.53252780562548E-15,0.)); #432791=DIRECTION('ref_axis',(0.,0.,1.)); #432792=DIRECTION('',(0.,0.,1.)); #432793=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #432794=DIRECTION('ref_axis',(0.,0.,-1.)); #432795=DIRECTION('',(1.,3.491481338843E-15,0.)); #432796=DIRECTION('',(0.,0.,1.)); #432797=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #432798=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #432799=DIRECTION('',(1.,3.491481338843E-15,-7.9044106289408E-31)); #432800=DIRECTION('',(0.,0.,-1.)); #432801=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #432802=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #432803=DIRECTION('',(1.,3.491481338843E-15,0.)); #432804=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #432805=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186548)); #432806=DIRECTION('',(-5.54806413473759E-16,-1.93709623931404E-30,1.)); #432807=DIRECTION('',(1.,3.491481338843E-15,5.54806413473759E-16)); #432808=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #432809=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #432810=DIRECTION('',(0.,0.,1.)); #432811=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #432812=DIRECTION('ref_axis',(0.,0.,-1.)); #432813=DIRECTION('',(3.491481338843E-15,-1.,0.)); #432814=DIRECTION('',(1.203328737303E-30,-3.446470482073E-16,-1.)); #432815=DIRECTION('',(-3.491481338843E-15,1.,-2.26391318235146E-16)); #432816=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #432817=DIRECTION('ref_axis',(-1.203328737303E-30,3.446470482073E-16,1.)); #432818=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #432819=DIRECTION('',(1.203328737303E-30,-3.446470482073E-16,-1.)); #432820=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #432821=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #432822=DIRECTION('',(-1.,-3.491481338843E-15,-5.54806413473759E-16)); #432823=DIRECTION('',(5.5480641347376E-16,-3.44647048207298E-16,-1.)); #432824=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #432825=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186548)); #432826=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #432827=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #432828=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #432829=DIRECTION('',(-1.203328737303E-30,3.446470482073E-16,1.)); #432830=DIRECTION('',(-1.,-3.491481338843E-15,7.9044106289408E-31)); #432831=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #432832=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #432833=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #432834=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #432835=DIRECTION('',(-3.491481338843E-15,1.,0.)); #432836=DIRECTION('',(3.491481338843E-15,-1.,0.)); #432837=DIRECTION('center_axis',(0.,0.,1.)); #432838=DIRECTION('ref_axis',(1.,0.,0.)); #432839=DIRECTION('',(-3.491481338843E-15,1.,0.)); #432840=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #432841=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186548)); #432842=DIRECTION('',(3.491481338843E-15,-1.,0.)); #432843=DIRECTION('center_axis',(-3.491481338843E-15,1.,-1.93709623931404E-30)); #432844=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #432845=DIRECTION('',(3.491481338843E-15,-1.,1.93709623931404E-30)); #432846=DIRECTION('',(-3.491481338843E-15,1.,-1.93709623931404E-30)); #432847=DIRECTION('center_axis',(-5.54806413473759E-16,0.,1.)); #432848=DIRECTION('ref_axis',(1.,0.,5.54806413473759E-16)); #432849=DIRECTION('',(3.491481338843E-15,-1.,0.)); #432850=DIRECTION('center_axis',(3.491481338843E-15,-1.,2.26391318235146E-16)); #432851=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #432852=DIRECTION('',(3.491481338843E-15,-1.,2.26391318235146E-16)); #432853=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #432854=DIRECTION('ref_axis',(0.,0.,-1.)); #432855=DIRECTION('',(-3.491481338843E-15,1.,-2.26391318235146E-16)); #432856=DIRECTION('center_axis',(0.,-2.26391318235146E-16,-1.)); #432857=DIRECTION('ref_axis',(-1.,0.,0.)); #432858=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #432859=DIRECTION('ref_axis',(0.,0.,1.)); #432860=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #432861=DIRECTION('ref_axis',(0.,0.,-1.)); #432862=DIRECTION('',(-3.491481338843E-15,1.,0.)); #432863=DIRECTION('center_axis',(0.,0.,-1.)); #432864=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #432865=DIRECTION('',(-3.491481338843E-15,1.,0.)); #432866=DIRECTION('',(1.,3.491481338843E-15,0.)); #432867=DIRECTION('',(-3.6334571715005E-15,1.,0.)); #432868=DIRECTION('',(1.,3.572543183515E-15,0.)); #432869=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #432870=DIRECTION('ref_axis',(0.,0.,-1.)); #432871=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #432872=DIRECTION('ref_axis',(0.,0.,-1.)); #432873=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #432874=DIRECTION('ref_axis',(0.,0.,-1.)); #432875=DIRECTION('',(1.,3.491481338843E-15,0.)); #432876=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #432877=DIRECTION('ref_axis',(0.,0.,-1.)); #432878=DIRECTION('',(1.,3.491481338843E-15,0.)); #432879=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #432880=DIRECTION('ref_axis',(0.,0.,1.)); #432881=DIRECTION('',(1.,3.491481338843E-15,0.)); #432882=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #432883=DIRECTION('ref_axis',(0.,0.,1.)); #432884=DIRECTION('center_axis',(0.,0.,1.)); #432885=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #432886=DIRECTION('',(3.491481338843E-15,-1.,0.)); #432887=DIRECTION('',(-3.6334571715005E-15,1.,0.)); #432888=DIRECTION('',(-1.,-3.572543183515E-15,0.)); #432889=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #432890=DIRECTION('ref_axis',(-1.203328737303E-30,3.446470482073E-16,1.)); #432891=DIRECTION('',(1.203328737303E-30,-3.446470482073E-16,-1.)); #432892=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #432893=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #432894=DIRECTION('',(-1.,-3.491481338843E-15,-5.54806413473759E-16)); #432895=DIRECTION('',(5.5480641347376E-16,-3.44647048207298E-16,-1.)); #432896=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #432897=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186548)); #432898=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #432899=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #432900=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #432901=DIRECTION('',(-1.203328737303E-30,3.446470482073E-16,1.)); #432902=DIRECTION('',(-1.,-3.491481338843E-15,7.9044106289408E-31)); #432903=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #432904=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #432905=DIRECTION('',(0.,0.,1.)); #432906=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #432907=DIRECTION('ref_axis',(0.,0.,-1.)); #432908=DIRECTION('',(0.,0.,1.)); #432909=DIRECTION('',(3.491481338843E-15,-1.,1.93709623931404E-30)); #432910=DIRECTION('',(0.,0.,-1.)); #432911=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #432912=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #432913=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #432914=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #432915=DIRECTION('',(-3.491481338843E-15,1.,0.)); #432916=DIRECTION('',(3.491481338843E-15,-1.,0.)); #432917=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #432918=DIRECTION('ref_axis',(0.,0.,-1.)); #432919=DIRECTION('',(0.,0.,1.)); #432920=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #432921=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #432922=DIRECTION('',(1.,3.491481338843E-15,-7.9044106289408E-31)); #432923=DIRECTION('',(0.,0.,-1.)); #432924=DIRECTION('',(1.,3.491481338843E-15,0.)); #432925=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #432926=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186548)); #432927=DIRECTION('',(-5.54806413473759E-16,-1.93709623931404E-30,1.)); #432928=DIRECTION('',(1.,3.491481338843E-15,5.54806413473759E-16)); #432929=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #432930=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #432931=DIRECTION('center_axis',(0.,0.,1.)); #432932=DIRECTION('ref_axis',(1.,0.,0.)); #432933=DIRECTION('',(-3.491481338843E-15,1.,0.)); #432934=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #432935=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186548)); #432936=DIRECTION('',(3.491481338843E-15,-1.,0.)); #432937=DIRECTION('center_axis',(-3.491481338843E-15,1.,-1.93709623931404E-30)); #432938=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #432939=DIRECTION('',(-3.491481338843E-15,1.,-1.93709623931404E-30)); #432940=DIRECTION('center_axis',(-5.54806413473759E-16,0.,1.)); #432941=DIRECTION('ref_axis',(1.,0.,5.54806413473759E-16)); #432942=DIRECTION('',(3.491481338843E-15,-1.,0.)); #432943=DIRECTION('center_axis',(3.491481338843E-15,-1.,2.26391318235146E-16)); #432944=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #432945=DIRECTION('',(-3.491481338843E-15,1.,-2.26391318235146E-16)); #432946=DIRECTION('',(3.491481338843E-15,-1.,2.26391318235146E-16)); #432947=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #432948=DIRECTION('ref_axis',(0.,0.,-1.)); #432949=DIRECTION('',(0.,0.,1.)); #432950=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #432951=DIRECTION('ref_axis',(0.,0.,-1.)); #432952=DIRECTION('',(-3.491481338843E-15,1.,-2.26391318235146E-16)); #432953=DIRECTION('center_axis',(0.,-2.26391318235146E-16,-1.)); #432954=DIRECTION('ref_axis',(-1.,0.,0.)); #432955=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #432956=DIRECTION('ref_axis',(0.,0.,1.)); #432957=DIRECTION('center_axis',(0.,0.,1.)); #432958=DIRECTION('ref_axis',(1.,3.572543183515E-15,0.)); #432959=DIRECTION('',(1.,3.572543183515E-15,0.)); #432960=DIRECTION('',(-3.6334571715005E-15,1.,0.)); #432961=DIRECTION('',(1.,3.491481338843E-15,0.)); #432962=DIRECTION('',(-3.6334571715005E-15,1.,0.)); #432963=DIRECTION('center_axis',(0.,0.,-1.)); #432964=DIRECTION('ref_axis',(-1.,-3.491481338843E-15,0.)); #432965=DIRECTION('',(-1.,-3.572543183515E-15,0.)); #432966=DIRECTION('',(3.491481338843E-15,-1.,0.)); #432967=DIRECTION('',(1.,3.491481338843E-15,0.)); #432968=DIRECTION('',(-3.6334571715005E-15,1.,0.)); #432969=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #432970=DIRECTION('ref_axis',(0.,0.,-1.)); #432971=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #432972=DIRECTION('ref_axis',(0.,0.,1.)); #432973=DIRECTION('',(1.,3.491481338843E-15,0.)); #432974=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #432975=DIRECTION('ref_axis',(0.,0.,1.)); #432976=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #432977=DIRECTION('ref_axis',(0.,0.,-1.)); #432978=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #432979=DIRECTION('ref_axis',(0.,0.,-1.)); #432980=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #432981=DIRECTION('ref_axis',(0.,0.,-1.)); #432982=DIRECTION('',(1.,3.491481338843E-15,0.)); #432983=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #432984=DIRECTION('ref_axis',(-1.203328737303E-30,3.446470482073E-16,1.)); #432985=DIRECTION('',(1.203328737303E-30,-3.446470482073E-16,-1.)); #432986=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #432987=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #432988=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #432989=DIRECTION('',(1.20332873730311E-30,-3.446470482073E-16,-1.)); #432990=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #432991=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #432992=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #432993=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #432994=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186548)); #432995=DIRECTION('',(-1.203328737303E-30,3.446470482073E-16,1.)); #432996=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #432997=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #432998=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #432999=DIRECTION('',(0.,0.,1.)); #433000=DIRECTION('center_axis',(1.,3.6334571715005E-15,0.)); #433001=DIRECTION('ref_axis',(0.,0.,-1.)); #433002=DIRECTION('',(0.,0.,1.)); #433003=DIRECTION('',(3.491481338843E-15,-1.,0.)); #433004=DIRECTION('',(0.,0.,-1.)); #433005=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #433006=DIRECTION('ref_axis',(0.,0.,1.)); #433007=DIRECTION('',(3.491481338843E-15,-1.,0.)); #433008=DIRECTION('',(3.491481338843E-15,-1.,0.)); #433009=DIRECTION('',(0.,0.,1.)); #433010=DIRECTION('center_axis',(0.,0.,1.)); #433011=DIRECTION('ref_axis',(1.,0.,0.)); #433012=DIRECTION('',(3.491481338843E-15,-1.,0.)); #433013=DIRECTION('',(-3.491481338843E-15,1.,0.)); #433014=DIRECTION('',(1.,3.491481338843E-15,0.)); #433015=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #433016=DIRECTION('ref_axis',(0.,0.,-1.)); #433017=DIRECTION('',(-3.491481338843E-15,1.,0.)); #433018=DIRECTION('',(0.,0.,-1.)); #433019=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #433020=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #433021=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #433022=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #433023=DIRECTION('',(-3.491481338843E-15,1.,0.)); #433024=DIRECTION('center_axis',(0.,0.,1.)); #433025=DIRECTION('ref_axis',(1.,0.,0.)); #433026=DIRECTION('',(-3.491481338843E-15,1.,0.)); #433027=DIRECTION('',(1.,3.491481338843E-15,0.)); #433028=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #433029=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #433030=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #433031=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #433032=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #433033=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #433034=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #433035=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #433036=DIRECTION('',(-3.491481338843E-15,1.,0.)); #433037=DIRECTION('center_axis',(0.,0.,1.)); #433038=DIRECTION('ref_axis',(1.,0.,0.)); #433039=DIRECTION('',(3.491481338843E-15,-1.,0.)); #433040=DIRECTION('',(1.,3.491481338843E-15,0.)); #433041=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #433042=DIRECTION('ref_axis',(0.,0.,-1.)); #433043=DIRECTION('',(0.,0.,1.)); #433044=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #433045=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186548)); #433046=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #433047=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186548)); #433048=DIRECTION('center_axis',(-1.,-3.6334571715005E-15,0.)); #433049=DIRECTION('ref_axis',(0.,0.,1.)); #433050=DIRECTION('',(0.,0.,1.)); #433051=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #433052=DIRECTION('ref_axis',(0.,0.,-1.)); #433053=DIRECTION('',(1.,3.491481338843E-15,0.)); #433054=DIRECTION('',(0.,0.,1.)); #433055=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #433056=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #433057=DIRECTION('',(1.,3.491481338843E-15,0.)); #433058=DIRECTION('',(0.,0.,-1.)); #433059=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #433060=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186548)); #433061=DIRECTION('',(1.,3.491481338843E-15,0.)); #433062=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #433063=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #433064=DIRECTION('',(0.,0.,1.)); #433065=DIRECTION('',(1.,3.491481338843E-15,0.)); #433066=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #433067=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #433068=DIRECTION('',(0.,0.,1.)); #433069=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #433070=DIRECTION('ref_axis',(0.,0.,-1.)); #433071=DIRECTION('',(3.491481338843E-15,-1.,0.)); #433072=DIRECTION('',(1.203328737303E-30,-3.446470482073E-16,-1.)); #433073=DIRECTION('',(-3.491481338843E-15,1.,0.)); #433074=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #433075=DIRECTION('ref_axis',(-1.203328737303E-30,3.446470482073E-16,1.)); #433076=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #433077=DIRECTION('',(1.203328737303E-30,-3.446470482073E-16,-1.)); #433078=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #433079=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #433080=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #433081=DIRECTION('',(1.20332873730311E-30,-3.446470482073E-16,-1.)); #433082=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #433083=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #433084=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #433085=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #433086=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186548)); #433087=DIRECTION('',(-1.203328737303E-30,3.446470482073E-16,1.)); #433088=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #433089=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.446470482073E-16)); #433090=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #433091=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #433092=DIRECTION('ref_axis',(0.,0.,-1.)); #433093=DIRECTION('',(-3.491481338843E-15,1.,0.)); #433094=DIRECTION('',(3.491481338843E-15,-1.,0.)); #433095=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #433096=DIRECTION('ref_axis',(0.,0.,1.)); #433097=DIRECTION('',(3.491481338843E-15,-1.,0.)); #433098=DIRECTION('',(3.491481338843E-15,-1.,0.)); #433099=DIRECTION('center_axis',(0.,0.,1.)); #433100=DIRECTION('ref_axis',(1.,0.,0.)); #433101=DIRECTION('',(3.491481338843E-15,-1.,0.)); #433102=DIRECTION('',(-3.491481338843E-15,1.,0.)); #433103=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #433104=DIRECTION('ref_axis',(0.,0.,-1.)); #433105=DIRECTION('',(-3.491481338843E-15,1.,0.)); #433106=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #433107=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #433108=DIRECTION('center_axis',(0.,0.,1.)); #433109=DIRECTION('ref_axis',(1.,0.,0.)); #433110=DIRECTION('',(-3.491481338843E-15,1.,0.)); #433111=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #433112=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #433113=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #433114=DIRECTION('ref_axis',(0.707106781186548,2.61682076447296E-15,-0.707106781186547)); #433115=DIRECTION('',(-3.491481338843E-15,1.,0.)); #433116=DIRECTION('center_axis',(0.,0.,1.)); #433117=DIRECTION('ref_axis',(1.,0.,0.)); #433118=DIRECTION('',(3.491481338843E-15,-1.,0.)); #433119=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #433120=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186548)); #433121=DIRECTION('center_axis',(0.,0.,-1.)); #433122=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #433123=DIRECTION('',(1.,3.491481338843E-15,0.)); #433124=DIRECTION('center_axis',(0.,0.,1.)); #433125=DIRECTION('ref_axis',(2.891205793295E-15,-1.,0.)); #433126=DIRECTION('',(-1.323076993872E-15,1.,0.)); #433127=DIRECTION('center_axis',(0.,0.,1.)); #433128=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #433129=DIRECTION('',(-1.,-4.44089209850062E-15,0.)); #433130=DIRECTION('',(-3.70074341541719E-15,1.,0.)); #433131=DIRECTION('center_axis',(0.,0.,-1.)); #433132=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #433133=DIRECTION('',(-4.358843076832E-15,1.,0.)); #433134=DIRECTION('',(1.,5.55120961409737E-15,0.)); #433135=DIRECTION('',(-5.55111512312578E-15,1.,0.)); #433136=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #433137=DIRECTION('',(-3.491481338843E-15,1.,0.)); #433138=DIRECTION('',(1.,5.55120961409737E-15,0.)); #433139=DIRECTION('',(-5.55120961409737E-15,1.,0.)); #433140=DIRECTION('',(1.,0.,0.)); #433141=DIRECTION('center_axis',(0.,0.,-1.)); #433142=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #433143=DIRECTION('',(1.,4.730569535969E-15,0.)); #433144=DIRECTION('',(-3.70074341541719E-15,1.,0.)); #433145=DIRECTION('',(1.,4.44089209850063E-15,0.)); #433146=DIRECTION('center_axis',(0.,0.,1.)); #433147=DIRECTION('ref_axis',(0.707106781186543,0.707106781186552,0.)); #433148=DIRECTION('',(-5.659885683814E-15,1.,0.)); #433149=DIRECTION('center_axis',(0.,0.,1.)); #433150=DIRECTION('ref_axis',(5.782411586589E-15,-1.,0.)); #433151=DIRECTION('center_axis',(0.,0.,-1.)); #433152=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #433153=DIRECTION('',(-1.,-4.44089209850062E-15,0.)); #433154=DIRECTION('center_axis',(0.,0.,1.)); #433155=DIRECTION('ref_axis',(-0.707106781186542,-0.707106781186553,0.)); #433156=DIRECTION('',(-4.44089209850062E-15,1.,0.)); #433157=DIRECTION('center_axis',(0.,0.,1.)); #433158=DIRECTION('ref_axis',(-2.891205793295E-15,1.,0.)); #433159=DIRECTION('',(-1.,-4.730569535969E-15,0.)); #433160=DIRECTION('',(3.70074341541719E-15,-1.,0.)); #433161=DIRECTION('center_axis',(0.,0.,-1.)); #433162=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #433163=DIRECTION('center_axis',(0.,0.,-1.)); #433164=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,-5.23364152894591E-15)); #433165=DIRECTION('',(3.70074341541719E-15,-1.,0.)); #433166=DIRECTION('center_axis',(0.,0.,1.)); #433167=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #433168=DIRECTION('',(1.,3.572543183515E-15,0.)); #433169=DIRECTION('center_axis',(0.,0.,1.)); #433170=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #433171=DIRECTION('',(-3.70074341541719E-15,1.,0.)); #433172=DIRECTION('center_axis',(0.,0.,-1.)); #433173=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,5.2336415289459E-15)); #433174=DIRECTION('',(1.,0.,0.)); #433175=DIRECTION('',(3.491481338843E-15,-1.,0.)); #433176=DIRECTION('',(-1.,-5.55111512312578E-15,0.)); #433177=DIRECTION('',(5.55111512312578E-15,-1.,0.)); #433178=DIRECTION('',(1.,3.491481338843E-15,0.)); #433179=DIRECTION('',(3.491481338843E-15,-1.,0.)); #433180=DIRECTION('',(-1.,-5.55111512312578E-15,0.)); #433181=DIRECTION('',(5.55111512312578E-15,-1.,0.)); #433182=DIRECTION('',(1.,0.,0.)); #433183=DIRECTION('center_axis',(0.,0.,1.)); #433184=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #433185=DIRECTION('center_axis',(0.,0.,-1.)); #433186=DIRECTION('ref_axis',(-1.,-2.46002290698257E-14,0.)); #433187=DIRECTION('center_axis',(0.,0.,1.)); #433188=DIRECTION('ref_axis',(-1.,0.,0.)); #433189=DIRECTION('center_axis',(0.,0.,-1.)); #433190=DIRECTION('ref_axis',(-1.,0.,0.)); #433191=DIRECTION('center_axis',(1.,0.,0.)); #433192=DIRECTION('ref_axis',(0.,1.,0.)); #433193=DIRECTION('',(0.,0.,-1.)); #433194=DIRECTION('',(0.,-1.,0.)); #433195=DIRECTION('',(0.,0.,1.)); #433196=DIRECTION('',(0.,1.,0.)); #433197=DIRECTION('',(0.,0.,1.)); #433198=DIRECTION('',(0.,-1.,0.)); #433199=DIRECTION('center_axis',(0.,1.,0.)); #433200=DIRECTION('ref_axis',(-1.,0.,0.)); #433201=DIRECTION('',(1.,0.,0.)); #433202=DIRECTION('',(0.,0.,-1.)); #433203=DIRECTION('',(-1.,0.,0.)); #433204=DIRECTION('center_axis',(0.,0.,-1.)); #433205=DIRECTION('ref_axis',(-1.,0.,0.)); #433206=DIRECTION('',(-1.,-3.572543183515E-15,0.)); #433207=DIRECTION('',(-1.,-2.77555756156289E-16,0.)); #433208=DIRECTION('',(0.,1.,0.)); #433209=DIRECTION('center_axis',(2.77555756156289E-16,-1.,0.)); #433210=DIRECTION('ref_axis',(1.,2.77555756156289E-16,0.)); #433211=DIRECTION('',(1.,2.77555756156289E-16,0.)); #433212=DIRECTION('',(0.,0.,1.)); #433213=DIRECTION('center_axis',(-1.,0.,0.)); #433214=DIRECTION('ref_axis',(0.,-1.,0.)); #433215=DIRECTION('',(0.,0.,1.)); #433216=DIRECTION('',(0.,-1.,0.)); #433217=DIRECTION('',(0.,1.,0.)); #433218=DIRECTION('center_axis',(0.,0.,1.)); #433219=DIRECTION('ref_axis',(1.,0.,0.)); #433220=DIRECTION('center_axis',(-3.572543183515E-15,1.,0.)); #433221=DIRECTION('ref_axis',(-1.,-3.572543183515E-15,0.)); #433222=DIRECTION('',(1.,3.572543183515E-15,0.)); #433223=DIRECTION('',(0.,0.,1.)); #433224=DIRECTION('',(1.,3.491481338843E-15,0.)); #433225=DIRECTION('',(0.,0.,1.)); #433226=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #433227=DIRECTION('',(0.,0.,-1.)); #433228=DIRECTION('',(1.,3.491481338843E-15,0.)); #433229=DIRECTION('',(0.,0.,1.)); #433230=DIRECTION('',(1.,3.572543183515E-15,0.)); #433231=DIRECTION('center_axis',(0.,0.,1.)); #433232=DIRECTION('ref_axis',(-1.,0.,0.)); #433233=DIRECTION('center_axis',(-3.644320411616E-15,1.,2.710505431214E-16)); #433234=DIRECTION('ref_axis',(-9.463679131916E-31,2.710505431214E-16,-1.)); #433235=DIRECTION('',(-1.00308851270177E-30,2.710505431214E-16,-1.)); #433236=DIRECTION('',(1.,3.70074341541719E-15,0.)); #433237=DIRECTION('',(9.463679131916E-31,-2.710505431214E-16,1.)); #433238=DIRECTION('',(-1.,-3.644320411616E-15,0.)); #433239=DIRECTION('center_axis',(-6.450906297972E-31,1.770125995895E-16, -1.)); #433240=DIRECTION('ref_axis',(3.491481338843E-15,-1.,-1.770125995895E-16)); #433241=DIRECTION('',(3.70074341541719E-15,-1.,-1.770125995895E-16)); #433242=DIRECTION('',(1.,3.70074341541719E-15,0.)); #433243=DIRECTION('',(-3.491481338843E-15,1.,1.770125995895E-16)); #433244=DIRECTION('center_axis',(-3.644320411616E-15,1.,0.)); #433245=DIRECTION('ref_axis',(-1.,-3.644320411616E-15,0.)); #433246=DIRECTION('',(0.,0.,-1.)); #433247=DIRECTION('',(-1.,-3.70074341541719E-15,0.)); #433248=DIRECTION('',(0.,0.,1.)); #433249=DIRECTION('',(1.,3.644320411616E-15,0.)); #433250=DIRECTION('center_axis',(-6.450906297972E-31,1.770125995895E-16, -1.)); #433251=DIRECTION('ref_axis',(3.491481338843E-15,-1.,-1.770125995895E-16)); #433252=DIRECTION('',(-3.70074341541719E-15,1.,1.770125995895E-16)); #433253=DIRECTION('',(-1.,-3.70074341541719E-15,0.)); #433254=DIRECTION('',(3.491481338843E-15,-1.,-1.770125995895E-16)); #433255=DIRECTION('',(-1.,-3.70074341541719E-15,0.)); #433256=DIRECTION('center_axis',(3.644320411616E-15,-1.,0.)); #433257=DIRECTION('ref_axis',(1.,3.644320411616E-15,0.)); #433258=DIRECTION('',(0.,0.,-1.)); #433259=DIRECTION('',(0.,0.,1.)); #433260=DIRECTION('',(1.,3.644320411616E-15,0.)); #433261=DIRECTION('center_axis',(-1.,5.446953552317E-15,0.)); #433262=DIRECTION('ref_axis',(-5.446953552317E-15,-1.,0.)); #433263=DIRECTION('',(0.,0.,1.)); #433264=DIRECTION('',(-5.446953552317E-15,-1.,0.)); #433265=DIRECTION('',(0.,0.,1.)); #433266=DIRECTION('',(-5.446953552317E-15,-1.,0.)); #433267=DIRECTION('center_axis',(0.,0.,1.)); #433268=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #433269=DIRECTION('center_axis',(0.,0.,1.)); #433270=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #433271=DIRECTION('',(0.,0.,-1.)); #433272=DIRECTION('center_axis',(0.,0.,-1.)); #433273=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #433274=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #433275=DIRECTION('ref_axis',(0.,0.,1.)); #433276=DIRECTION('',(0.,0.,-1.)); #433277=DIRECTION('',(1.,3.491481338843E-15,0.)); #433278=DIRECTION('',(1.,3.491481338843E-15,0.)); #433279=DIRECTION('center_axis',(0.,0.,1.)); #433280=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #433281=DIRECTION('center_axis',(0.,0.,-1.)); #433282=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #433283=DIRECTION('',(-4.279992009742E-15,1.,0.)); #433284=DIRECTION('',(0.510262918304127,-0.860018461548213,0.)); #433285=DIRECTION('center_axis',(0.,0.,-1.)); #433286=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #433287=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #433288=DIRECTION('',(3.644320411616E-15,-1.,0.)); #433289=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #433290=DIRECTION('ref_axis',(0.,0.,-1.)); #433291=DIRECTION('',(0.,0.,-1.)); #433292=DIRECTION('',(0.,0.,-1.)); #433293=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #433294=DIRECTION('center_axis',(1.,4.279992009742E-15,0.)); #433295=DIRECTION('ref_axis',(-4.279992009742E-15,1.,0.)); #433296=DIRECTION('',(-4.279992009742E-15,1.,0.)); #433297=DIRECTION('',(0.,0.,-1.)); #433298=DIRECTION('center_axis',(0.860018461548214,0.510262918304127,0.)); #433299=DIRECTION('ref_axis',(-0.510262918304127,0.860018461548214,0.)); #433300=DIRECTION('',(-0.510262918304127,0.860018461548213,0.)); #433301=DIRECTION('',(0.,0.,1.)); #433302=DIRECTION('center_axis',(0.,0.,1.)); #433303=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #433304=DIRECTION('center_axis',(0.,0.,1.)); #433305=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #433306=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #433307=DIRECTION('ref_axis',(0.,0.,1.)); #433308=DIRECTION('',(0.,0.,1.)); #433309=DIRECTION('',(1.,3.491481338843E-15,0.)); #433310=DIRECTION('',(0.,0.,1.)); #433311=DIRECTION('',(1.,3.491481338843E-15,0.)); #433312=DIRECTION('center_axis',(0.,0.,1.)); #433313=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #433314=DIRECTION('center_axis',(0.,0.,1.)); #433315=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #433316=DIRECTION('',(0.,0.,-1.)); #433317=DIRECTION('center_axis',(0.,0.,-1.)); #433318=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #433319=DIRECTION('center_axis',(1.,2.498321906492E-15,0.)); #433320=DIRECTION('ref_axis',(-2.498321906492E-15,1.,0.)); #433321=DIRECTION('',(0.,0.,-1.)); #433322=DIRECTION('',(-2.498321906492E-15,1.,0.)); #433323=DIRECTION('',(-2.498321906492E-15,1.,0.)); #433324=DIRECTION('center_axis',(-0.860018461548214,0.510262918304127,0.)); #433325=DIRECTION('ref_axis',(-0.510262918304127,-0.860018461548214,0.)); #433326=DIRECTION('',(-0.510262918304127,-0.860018461548213,0.)); #433327=DIRECTION('',(0.,0.,1.)); #433328=DIRECTION('',(0.510262918304127,0.860018461548213,0.)); #433329=DIRECTION('',(0.,0.,1.)); #433330=DIRECTION('center_axis',(-1.,-2.702970667945E-15,0.)); #433331=DIRECTION('ref_axis',(2.702970667945E-15,-1.,0.)); #433332=DIRECTION('',(2.702970667945E-15,-1.,0.)); #433333=DIRECTION('',(2.702970667945E-15,-1.,0.)); #433334=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #433335=DIRECTION('ref_axis',(0.,0.,-1.)); #433336=DIRECTION('',(0.,0.,1.)); #433337=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #433338=DIRECTION('',(0.,0.,1.)); #433339=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #433340=DIRECTION('center_axis',(0.,0.,1.)); #433341=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #433342=DIRECTION('center_axis',(0.,0.,1.)); #433343=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #433344=DIRECTION('center_axis',(0.,0.,-1.)); #433345=DIRECTION('ref_axis',(-3.491130995403E-15,1.,0.)); #433346=DIRECTION('center_axis',(0.,0.,-1.)); #433347=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #433348=DIRECTION('',(-3.644320411616E-15,1.,0.)); #433349=DIRECTION('',(-1.,0.,0.)); #433350=DIRECTION('',(3.644320411616E-15,-1.,0.)); #433351=DIRECTION('center_axis',(0.,0.,-1.)); #433352=DIRECTION('ref_axis',(3.491130995403E-15,-1.,0.)); #433353=DIRECTION('center_axis',(0.,0.,1.)); #433354=DIRECTION('ref_axis',(3.491130995403E-15,-1.,0.)); #433355=DIRECTION('',(0.,0.,-1.)); #433356=DIRECTION('center_axis',(0.,0.,1.)); #433357=DIRECTION('ref_axis',(3.491130995403E-15,-1.,0.)); #433358=DIRECTION('',(0.,0.,-1.)); #433359=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #433360=DIRECTION('ref_axis',(0.,0.,-1.)); #433361=DIRECTION('',(0.,0.,1.)); #433362=DIRECTION('',(-3.491481338843E-15,1.,0.)); #433363=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #433364=DIRECTION('ref_axis',(0.,0.,-1.)); #433365=DIRECTION('',(-3.491481338843E-15,1.,0.)); #433366=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #433367=DIRECTION('ref_axis',(0.,0.,-1.)); #433368=DIRECTION('',(0.,0.,-1.)); #433369=DIRECTION('',(-3.491481338843E-15,1.,0.)); #433370=DIRECTION('',(-3.491481338843E-15,1.,0.)); #433371=DIRECTION('',(0.,0.,1.)); #433372=DIRECTION('',(3.491481338843E-15,-1.,0.)); #433373=DIRECTION('',(-9.463679131916E-31,2.710505431214E-16,-1.)); #433374=DIRECTION('',(3.491481338843E-15,-1.,0.)); #433375=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #433376=DIRECTION('ref_axis',(0.,0.,1.)); #433377=DIRECTION('',(0.,0.,-1.)); #433378=DIRECTION('',(1.,3.491481338843E-15,0.)); #433379=DIRECTION('',(1.,3.491481338843E-15,0.)); #433380=DIRECTION('center_axis',(0.,0.,-1.)); #433381=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #433382=DIRECTION('',(3.491481338843E-15,-1.,0.)); #433383=DIRECTION('',(1.,3.491481338843E-15,0.)); #433384=DIRECTION('',(1.,3.491481338843E-15,0.)); #433385=DIRECTION('center_axis',(0.,0.,1.)); #433386=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #433387=DIRECTION('center_axis',(0.,0.,-1.)); #433388=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #433389=DIRECTION('',(1.,3.491481338843E-15,0.)); #433390=DIRECTION('center_axis',(0.,0.,-1.)); #433391=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #433392=DIRECTION('',(-3.70074341541719E-15,1.,0.)); #433393=DIRECTION('',(-3.491481338843E-15,1.,0.)); #433394=DIRECTION('',(1.,3.644320411616E-15,0.)); #433395=DIRECTION('',(3.70074341541719E-15,-1.,0.)); #433396=DIRECTION('center_axis',(3.644320411616E-15,-1.,0.)); #433397=DIRECTION('ref_axis',(1.,3.644320411616E-15,0.)); #433398=DIRECTION('',(0.,0.,1.)); #433399=DIRECTION('',(0.,0.,-1.)); #433400=DIRECTION('center_axis',(0.,0.,1.)); #433401=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #433402=DIRECTION('center_axis',(0.,0.,-1.)); #433403=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #433404=DIRECTION('',(3.70074341541719E-15,-1.,0.)); #433405=DIRECTION('',(-3.491481338843E-15,1.,0.)); #433406=DIRECTION('',(1.,3.491481338843E-15,0.)); #433407=DIRECTION('',(-1.,-3.644320411616E-15,0.)); #433408=DIRECTION('',(-3.70074341541719E-15,1.,0.)); #433409=DIRECTION('center_axis',(0.,0.,-1.)); #433410=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #433411=DIRECTION('',(-1.,0.,0.)); #433412=DIRECTION('center_axis',(-3.644320411616E-15,1.,2.710505431214E-16)); #433413=DIRECTION('ref_axis',(-9.463679131916E-31,2.710505431214E-16,-1.)); #433414=DIRECTION('',(1.00308851270177E-30,-2.710505431214E-16,1.)); #433415=DIRECTION('center_axis',(-3.644320411616E-15,1.,0.)); #433416=DIRECTION('ref_axis',(-1.,-3.644320411616E-15,0.)); #433417=DIRECTION('',(0.,0.,1.)); #433418=DIRECTION('',(-1.,-3.644320411616E-15,0.)); #433419=DIRECTION('',(0.,0.,-1.)); #433420=DIRECTION('',(1.,3.70074341541719E-15,0.)); #433421=DIRECTION('center_axis',(-1.,-3.644320411616E-15,0.)); #433422=DIRECTION('ref_axis',(3.644320411616E-15,-1.,0.)); #433423=DIRECTION('center_axis',(1.,3.644320411616E-15,0.)); #433424=DIRECTION('ref_axis',(3.644320411616E-15,-1.,0.)); #433425=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #433426=DIRECTION('ref_axis',(0.,0.,1.)); #433427=DIRECTION('',(1.,3.70074341541719E-15,0.)); #433428=DIRECTION('center_axis',(0.,0.,-1.)); #433429=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #433430=DIRECTION('',(-3.644320411616E-15,1.,0.)); #433431=DIRECTION('',(3.491481338843E-15,-1.,0.)); #433432=DIRECTION('',(1.,3.70074341541719E-15,0.)); #433433=DIRECTION('center_axis',(-1.,-3.644320411616E-15,0.)); #433434=DIRECTION('ref_axis',(3.644320411616E-15,-1.,0.)); #433435=DIRECTION('center_axis',(1.,3.644320411616E-15,0.)); #433436=DIRECTION('ref_axis',(3.644320411616E-15,-1.,0.)); #433437=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #433438=DIRECTION('ref_axis',(0.,0.,1.)); #433439=DIRECTION('',(1.,3.70074341541719E-15,0.)); #433440=DIRECTION('center_axis',(3.644320411616E-15,-1.,0.)); #433441=DIRECTION('ref_axis',(1.,3.644320411616E-15,0.)); #433442=DIRECTION('',(0.,0.,-1.)); #433443=DIRECTION('',(0.,0.,1.)); #433444=DIRECTION('',(-1.,-3.644320411616E-15,0.)); #433445=DIRECTION('center_axis',(0.,0.,1.)); #433446=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #433447=DIRECTION('',(-3.644320411616E-15,1.,0.)); #433448=DIRECTION('',(3.491481338843E-15,-1.,0.)); #433449=DIRECTION('',(1.,3.491481338843E-15,0.)); #433450=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #433451=DIRECTION('ref_axis',(0.,0.,-1.)); #433452=DIRECTION('',(0.,0.,-1.)); #433453=DIRECTION('',(1.,3.491481338843E-15,0.)); #433454=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #433455=DIRECTION('ref_axis',(0.,0.,-1.)); #433456=DIRECTION('',(0.,0.,1.)); #433457=DIRECTION('',(1.,3.491481338843E-15,0.)); #433458=DIRECTION('',(1.,3.491481338843E-15,0.)); #433459=DIRECTION('center_axis',(0.,0.,1.)); #433460=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #433461=DIRECTION('',(3.644320411616E-15,-1.,0.)); #433462=DIRECTION('',(1.,3.644320411616E-15,0.)); #433463=DIRECTION('center_axis',(3.644320411616E-15,-1.,0.)); #433464=DIRECTION('ref_axis',(1.,3.644320411616E-15,0.)); #433465=DIRECTION('',(0.,0.,1.)); #433466=DIRECTION('',(1.,3.644320411616E-15,0.)); #433467=DIRECTION('center_axis',(1.,3.644320411616E-15,0.)); #433468=DIRECTION('ref_axis',(-3.644320411616E-15,1.,0.)); #433469=DIRECTION('center_axis',(-1.,-3.644320411616E-15,0.)); #433470=DIRECTION('ref_axis',(-3.644320411616E-15,1.,0.)); #433471=DIRECTION('',(-1.,-3.70074341541719E-15,0.)); #433472=DIRECTION('center_axis',(0.,0.,-1.)); #433473=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #433474=DIRECTION('',(3.644320411616E-15,-1.,0.)); #433475=DIRECTION('',(-1.,-3.70074341541719E-15,0.)); #433476=DIRECTION('center_axis',(1.,3.644320411616E-15,0.)); #433477=DIRECTION('ref_axis',(-3.644320411616E-15,1.,0.)); #433478=DIRECTION('center_axis',(-1.,-3.644320411616E-15,0.)); #433479=DIRECTION('ref_axis',(-3.644320411616E-15,1.,0.)); #433480=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #433481=DIRECTION('ref_axis',(0.,0.,1.)); #433482=DIRECTION('',(0.,0.,-1.)); #433483=DIRECTION('',(3.491481338843E-15,-1.,0.)); #433484=DIRECTION('center_axis',(0.,-1.,0.)); #433485=DIRECTION('ref_axis',(0.,0.,-1.)); #433486=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #433487=DIRECTION('center_axis',(0.,0.,1.)); #433488=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #433489=DIRECTION('center_axis',(0.,0.,-1.)); #433490=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #433491=DIRECTION('',(3.644320411616E-15,-1.,0.)); #433492=DIRECTION('',(-1.,0.,0.)); #433493=DIRECTION('center_axis',(0.,0.,-1.)); #433494=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #433495=DIRECTION('',(0.,0.,1.)); #433496=DIRECTION('',(0.,0.,-1.)); #433497=DIRECTION('center_axis',(-1.,-3.644320411616E-15,0.)); #433498=DIRECTION('ref_axis',(3.644320411616E-15,-1.,0.)); #433499=DIRECTION('',(0.,0.,-1.)); #433500=DIRECTION('',(-3.644320411616E-15,1.,0.)); #433501=DIRECTION('',(-3.644320411616E-15,1.,0.)); #433502=DIRECTION('',(0.,0.,1.)); #433503=DIRECTION('',(3.644320411616E-15,-1.,0.)); #433504=DIRECTION('',(3.644320411616E-15,-1.,0.)); #433505=DIRECTION('center_axis',(0.,0.,1.)); #433506=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #433507=DIRECTION('center_axis',(0.,0.,-1.)); #433508=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #433509=DIRECTION('center_axis',(0.,0.,1.)); #433510=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #433511=DIRECTION('center_axis',(0.,0.,1.)); #433512=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #433513=DIRECTION('center_axis',(0.,0.,1.)); #433514=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #433515=DIRECTION('center_axis',(0.,0.,-1.)); #433516=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #433517=DIRECTION('',(-1.,0.,0.)); #433518=DIRECTION('center_axis',(0.,0.,1.)); #433519=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #433520=DIRECTION('center_axis',(0.,0.,1.)); #433521=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #433522=DIRECTION('',(0.,0.,1.)); #433523=DIRECTION('center_axis',(0.,0.,1.)); #433524=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #433525=DIRECTION('',(0.,0.,-1.)); #433526=DIRECTION('center_axis',(0.,0.,-1.)); #433527=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,-5.23364152894592E-15)); #433528=DIRECTION('',(0.,0.,1.)); #433529=DIRECTION('center_axis',(-3.572543183515E-15,1.,0.)); #433530=DIRECTION('ref_axis',(-1.,-3.572543183515E-15,0.)); #433531=DIRECTION('',(0.,0.,1.)); #433532=DIRECTION('center_axis',(0.,0.,-1.)); #433533=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #433534=DIRECTION('',(0.,0.,-1.)); #433535=DIRECTION('center_axis',(1.,3.644320411616E-15,0.)); #433536=DIRECTION('ref_axis',(-3.644320411616E-15,1.,0.)); #433537=DIRECTION('',(0.,0.,1.)); #433538=DIRECTION('',(-3.644320411616E-15,1.,0.)); #433539=DIRECTION('',(-3.644320411616E-15,1.,0.)); #433540=DIRECTION('',(0.,0.,-1.)); #433541=DIRECTION('center_axis',(0.,0.,-1.)); #433542=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #433543=DIRECTION('center_axis',(0.,0.,1.)); #433544=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #433545=DIRECTION('center_axis',(0.,0.,-1.)); #433546=DIRECTION('ref_axis',(-0.707106781186545,-0.70710678118655,0.)); #433547=DIRECTION('center_axis',(0.,-1.,0.)); #433548=DIRECTION('ref_axis',(0.,0.,-1.)); #433549=DIRECTION('',(0.,0.,-1.)); #433550=DIRECTION('',(1.,0.,0.)); #433551=DIRECTION('center_axis',(0.,0.,1.)); #433552=DIRECTION('ref_axis',(-3.491481338843E-15,1.,0.)); #433553=DIRECTION('center_axis',(0.,0.,1.)); #433554=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #433555=DIRECTION('center_axis',(0.,0.,-1.)); #433556=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #433557=DIRECTION('center_axis',(0.,0.,-1.)); #433558=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #433559=DIRECTION('',(1.,4.44089209850063E-15,0.)); #433560=DIRECTION('',(3.70074341541719E-15,-1.,0.)); #433561=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #433562=DIRECTION('center_axis',(0.,0.,1.)); #433563=DIRECTION('ref_axis',(-2.891205793295E-15,1.,0.)); #433564=DIRECTION('',(3.491481338843E-15,-1.,0.)); #433565=DIRECTION('center_axis',(0.,0.,1.)); #433566=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #433567=DIRECTION('center_axis',(0.,0.,-1.)); #433568=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #433569=DIRECTION('center_axis',(0.,0.,-1.)); #433570=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,5.23364152894594E-15)); #433571=DIRECTION('',(0.,0.,1.)); #433572=DIRECTION('',(0.,0.,-1.)); #433573=DIRECTION('center_axis',(0.,0.,-1.)); #433574=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #433575=DIRECTION('',(-3.70074341541719E-15,1.,0.)); #433576=DIRECTION('',(-1.,-4.44089209850062E-15,0.)); #433577=DIRECTION('',(3.491481338843E-15,-1.,1.807003620809E-16)); #433578=DIRECTION('',(1.,4.44089209850063E-15,0.)); #433579=DIRECTION('center_axis',(-7.137153981444E-15,0.,-1.)); #433580=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,5.23364152894594E-15)); #433581=DIRECTION('center_axis',(0.,0.,1.)); #433582=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,5.23364152894594E-15)); #433583=DIRECTION('center_axis',(0.,0.,-1.)); #433584=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #433585=DIRECTION('center_axis',(0.,0.,1.)); #433586=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,-5.23364152894591E-15)); #433587=DIRECTION('',(-1.,0.,0.)); #433588=DIRECTION('',(3.491481338843E-15,-1.,-1.51788304148E-15)); #433589=DIRECTION('',(1.,5.55111512312578E-15,0.)); #433590=DIRECTION('',(5.55111512312578E-15,-1.,0.)); #433591=DIRECTION('',(1.,3.491481338843E-15,0.)); #433592=DIRECTION('',(-5.55111512312578E-15,1.,0.)); #433593=DIRECTION('',(1.,5.55111512312578E-15,0.)); #433594=DIRECTION('',(5.55111512312578E-15,-1.,0.)); #433595=DIRECTION('',(-1.,0.,0.)); #433596=DIRECTION('center_axis',(0.,0.,1.)); #433597=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,5.2336415289459E-15)); #433598=DIRECTION('',(-1.,0.,0.)); #433599=DIRECTION('center_axis',(0.,0.,1.)); #433600=DIRECTION('ref_axis',(5.782411586589E-15,-1.,0.)); #433601=DIRECTION('',(-3.491481338843E-15,1.,0.)); #433602=DIRECTION('center_axis',(0.,0.,-1.)); #433603=DIRECTION('ref_axis',(0.707106781186543,0.707106781186552,0.)); #433604=DIRECTION('',(-1.,-4.44089209850063E-15,0.)); #433605=DIRECTION('',(3.491481338843E-15,-1.,1.807003620809E-16)); #433606=DIRECTION('',(1.,4.44089209850062E-15,0.)); #433607=DIRECTION('center_axis',(0.,0.,-1.)); #433608=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #433609=DIRECTION('',(-3.491481338843E-15,1.,0.)); #433610=DIRECTION('center_axis',(0.,0.,1.)); #433611=DIRECTION('ref_axis',(-2.891205793295E-15,-1.,0.)); #433612=DIRECTION('',(-1.,0.,0.)); #433613=DIRECTION('',(0.,1.,0.)); #433614=DIRECTION('',(-1.,-5.55120961409737E-15,0.)); #433615=DIRECTION('',(-5.55120961409737E-15,1.,0.)); #433616=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #433617=DIRECTION('',(-3.491481338843E-15,1.,0.)); #433618=DIRECTION('',(-1.,-5.55120961409737E-15,0.)); #433619=DIRECTION('',(0.,1.,0.)); #433620=DIRECTION('center_axis',(0.,0.,1.)); #433621=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,-5.23364152894592E-15)); #433622=DIRECTION('',(1.,0.,0.)); #433623=DIRECTION('center_axis',(0.,0.,1.)); #433624=DIRECTION('ref_axis',(2.891205793295E-15,-1.,0.)); #433625=DIRECTION('',(4.44089209850062E-15,-1.,0.)); #433626=DIRECTION('center_axis',(0.,0.,-1.)); #433627=DIRECTION('ref_axis',(-0.707106781186542,-0.707106781186553,0.)); #433628=DIRECTION('',(1.,4.44089209850062E-15,0.)); #433629=DIRECTION('',(-3.491481338843E-15,1.,-1.807003620809E-16)); #433630=DIRECTION('',(-1.,-4.44089209850063E-15,0.)); #433631=DIRECTION('center_axis',(0.,0.,-1.)); #433632=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #433633=DIRECTION('',(1.323076993872E-15,-1.,0.)); #433634=DIRECTION('center_axis',(0.,0.,1.)); #433635=DIRECTION('ref_axis',(2.891205793295E-15,-1.,0.)); #433636=DIRECTION('',(1.,0.,0.)); #433637=DIRECTION('center_axis',(7.13715398144343E-15,0.,1.)); #433638=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,5.2336415289459E-15)); #433639=DIRECTION('',(7.13715398144343E-15,0.,1.)); #433640=DIRECTION('center_axis',(0.,1.,0.)); #433641=DIRECTION('ref_axis',(0.,0.,1.)); #433642=DIRECTION('',(0.,0.,-1.)); #433643=DIRECTION('center_axis',(7.13714620256007E-15,0.,1.)); #433644=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,-5.23364152894592E-15)); #433645=DIRECTION('center_axis',(0.,-1.,0.)); #433646=DIRECTION('ref_axis',(0.,0.,-1.)); #433647=DIRECTION('center_axis',(-7.13714620256054E-15,0.,-1.)); #433648=DIRECTION('ref_axis',(0.70710678118655,-0.707106781186545,-5.23364152894591E-15)); #433649=DIRECTION('',(-7.13714620256054E-15,0.,-1.)); #433650=DIRECTION('center_axis',(-1.,-3.56857310128027E-15,7.13714620256054E-15)); #433651=DIRECTION('ref_axis',(7.13714620256054E-15,0.,1.)); #433652=DIRECTION('',(3.70074341541719E-15,-1.,0.)); #433653=DIRECTION('center_axis',(-1.,-4.358843076832E-15,0.)); #433654=DIRECTION('ref_axis',(4.358843076832E-15,-1.,0.)); #433655=DIRECTION('',(0.,0.,-1.)); #433656=DIRECTION('center_axis',(0.,1.,0.)); #433657=DIRECTION('ref_axis',(0.,0.,1.)); #433658=DIRECTION('',(0.,0.,1.)); #433659=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #433660=DIRECTION('ref_axis',(0.,0.,1.)); #433661=DIRECTION('',(0.,0.,1.)); #433662=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #433663=DIRECTION('ref_axis',(0.,0.,-1.)); #433664=DIRECTION('',(0.,0.,-1.)); #433665=DIRECTION('center_axis',(1.,2.624119600855E-15,0.)); #433666=DIRECTION('ref_axis',(-2.624119600855E-15,1.,0.)); #433667=DIRECTION('',(0.,0.,1.)); #433668=DIRECTION('center_axis',(5.55111512312578E-15,-1.,0.)); #433669=DIRECTION('ref_axis',(0.,0.,-1.)); #433670=DIRECTION('',(-9.227252531792E-17,-5.12215410741312E-31,-1.)); #433671=DIRECTION('center_axis',(1.,3.491481338843E-15,-9.227252531792E-17)); #433672=DIRECTION('ref_axis',(-9.227252531792E-17,-3.221678002354E-31,-1.)); #433673=DIRECTION('',(0.,0.,1.)); #433674=DIRECTION('center_axis',(1.,3.491481338843E-15,-9.227252531792E-17)); #433675=DIRECTION('ref_axis',(-9.227252531792E-17,-3.221678002354E-31,-1.)); #433676=DIRECTION('',(-3.491481338843E-15,1.,0.)); #433677=DIRECTION('',(9.227252531793E-17,-3.321810911445E-15,1.)); #433678=DIRECTION('',(-3.491481338843E-15,1.,3.391901413833E-15)); #433679=DIRECTION('',(9.227252531793E-17,-3.321810911445E-15,1.)); #433680=DIRECTION('center_axis',(-1.,-3.491481338843E-15,9.227252531792E-17)); #433681=DIRECTION('ref_axis',(9.227252531792E-17,3.221678002354E-31,1.)); #433682=DIRECTION('',(3.491481338843E-15,-1.,0.)); #433683=DIRECTION('',(-9.227252531793E-17,3.321810911445E-15,-1.)); #433684=DIRECTION('',(-3.491481338843E-15,1.,3.391901413833E-15)); #433685=DIRECTION('',(-9.227252531793E-17,3.321810911445E-15,-1.)); #433686=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #433687=DIRECTION('ref_axis',(-3.491481338843E-15,1.,3.252606517457E-15)); #433688=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #433689=DIRECTION('ref_axis',(0.,0.,-1.)); #433690=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #433691=DIRECTION('ref_axis',(0.,0.,-1.)); #433692=DIRECTION('',(1.,3.491481338843E-15,0.)); #433693=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #433694=DIRECTION('ref_axis',(-3.491481338843E-15,1.,3.252606517457E-15)); #433695=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #433696=DIRECTION('ref_axis',(0.,0.,-1.)); #433697=DIRECTION('',(-1.,-3.491481338843E-15,1.730597518943E-45)); #433698=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #433699=DIRECTION('ref_axis',(0.,0.,-1.)); #433700=DIRECTION('center_axis',(-1.,-3.491481338843E-15,9.227252531792E-17)); #433701=DIRECTION('ref_axis',(9.227252531792E-17,3.221678002354E-31,1.)); #433702=DIRECTION('',(0.,0.,1.)); #433703=DIRECTION('center_axis',(1.,3.491481338843E-15,-9.227252531792E-17)); #433704=DIRECTION('ref_axis',(-9.227252531792E-17,-3.221678002354E-31,-1.)); #433705=DIRECTION('center_axis',(-1.,-3.491481338843E-15,9.227252531792E-17)); #433706=DIRECTION('ref_axis',(9.227252531792E-17,3.221678002354E-31,1.)); #433707=DIRECTION('',(9.227252531792E-17,5.12215410741312E-31,1.)); #433708=DIRECTION('center_axis',(3.491481338843E-15,-1.,-3.321810911445E-15)); #433709=DIRECTION('ref_axis',(1.159804080848E-29,-3.321810911445E-15,1.)); #433710=DIRECTION('center_axis',(-3.491481338843E-15,1.,3.321810911445E-15)); #433711=DIRECTION('ref_axis',(-0.707106781186548,-5.23364152894591E-15, -0.707106781186547)); #433712=DIRECTION('',(1.,3.491481338843E-15,0.)); #433713=DIRECTION('center_axis',(-3.491481338843E-15,1.,3.321810911445E-15)); #433714=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #433715=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #433716=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #433717=DIRECTION('center_axis',(3.491481338843E-15,-1.,-3.321810911445E-15)); #433718=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #433719=DIRECTION('',(-3.491481338843E-15,1.,0.)); #433720=DIRECTION('center_axis',(3.491481338843E-15,-1.,-3.321810911445E-15)); #433721=DIRECTION('ref_axis',(1.159804080848E-29,-3.321810911445E-15,1.)); #433722=DIRECTION('center_axis',(3.491481338843E-15,-1.,-3.321810911445E-15)); #433723=DIRECTION('ref_axis',(-0.707106781186548,-5.23364152894591E-15, -0.707106781186547)); #433724=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #433725=DIRECTION('center_axis',(0.,0.,1.)); #433726=DIRECTION('ref_axis',(1.,0.,0.)); #433727=DIRECTION('',(3.491481338843E-15,-1.,0.)); #433728=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #433729=DIRECTION('ref_axis',(-0.707106781186548,-5.23364152894591E-15, -0.707106781186547)); #433730=DIRECTION('center_axis',(-5.55111512312578E-15,1.,0.)); #433731=DIRECTION('ref_axis',(0.,0.,1.)); #433732=DIRECTION('',(-9.227252531792E-17,-5.12215410741312E-31,-1.)); #433733=DIRECTION('center_axis',(-1.,-3.491481338843E-15,9.227252531792E-17)); #433734=DIRECTION('ref_axis',(9.227252531792E-17,3.221678002354E-31,1.)); #433735=DIRECTION('',(9.227252531792E-17,5.12215410741312E-31,1.)); #433736=DIRECTION('',(0.,0.,1.)); #433737=DIRECTION('center_axis',(1.,3.491481338843E-15,-9.227252531792E-17)); #433738=DIRECTION('ref_axis',(-9.227252531792E-17,-3.221678002354E-31,-1.)); #433739=DIRECTION('',(3.491481338843E-15,-1.,2.26391318235146E-16)); #433740=DIRECTION('',(-9.22725253179E-17,-3.321810911445E-15,-1.)); #433741=DIRECTION('',(3.491481338843E-15,-1.,3.391901413833E-15)); #433742=DIRECTION('',(-9.22725253179E-17,-3.321810911445E-15,-1.)); #433743=DIRECTION('center_axis',(-1.,-3.491481338843E-15,9.227252531792E-17)); #433744=DIRECTION('ref_axis',(9.227252531792E-17,3.221678002354E-31,1.)); #433745=DIRECTION('',(-3.491481338843E-15,1.,-2.26391318235146E-16)); #433746=DIRECTION('',(9.22725253179E-17,3.321810911445E-15,1.)); #433747=DIRECTION('',(3.491481338843E-15,-1.,3.391901413833E-15)); #433748=DIRECTION('',(9.22725253179E-17,3.321810911445E-15,1.)); #433749=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #433750=DIRECTION('ref_axis',(3.491481338843E-15,-1.,3.252606517457E-15)); #433751=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #433752=DIRECTION('ref_axis',(0.,0.,1.)); #433753=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #433754=DIRECTION('ref_axis',(0.,0.,1.)); #433755=DIRECTION('',(-1.,-3.780601918173E-15,0.)); #433756=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #433757=DIRECTION('ref_axis',(3.491481338843E-15,-1.,3.252606517457E-15)); #433758=DIRECTION('center_axis',(-1.,-3.491481338843E-15,0.)); #433759=DIRECTION('ref_axis',(0.,0.,1.)); #433760=DIRECTION('',(1.,3.491481338843E-15,9.806685017958E-31)); #433761=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #433762=DIRECTION('ref_axis',(0.,0.,1.)); #433763=DIRECTION('center_axis',(-1.,-3.491481338843E-15,9.227252531792E-17)); #433764=DIRECTION('ref_axis',(9.227252531792E-17,3.221678002354E-31,1.)); #433765=DIRECTION('center_axis',(1.,3.491481338843E-15,-9.227252531792E-17)); #433766=DIRECTION('ref_axis',(-9.227252531792E-17,-3.221678002354E-31,-1.)); #433767=DIRECTION('',(0.,0.,1.)); #433768=DIRECTION('center_axis',(1.,3.491481338843E-15,-9.227252531792E-17)); #433769=DIRECTION('ref_axis',(-9.227252531792E-17,-3.221678002354E-31,-1.)); #433770=DIRECTION('center_axis',(-3.491481338843E-15,1.,-3.321810911445E-15)); #433771=DIRECTION('ref_axis',(-1.159804080848E-29,3.321810911445E-15,1.)); #433772=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.321810911445E-15)); #433773=DIRECTION('ref_axis',(0.707106781186547,2.61682076447296E-15,-0.707106781186548)); #433774=DIRECTION('',(-1.,-3.491481338843E-15,7.9044106289408E-31)); #433775=DIRECTION('center_axis',(3.491481338843E-15,-1.,3.321810911445E-15)); #433776=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #433777=DIRECTION('center_axis',(3.491481338843E-15,-1.,2.26391318235146E-16)); #433778=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #433779=DIRECTION('center_axis',(-3.491481338843E-15,1.,-3.321810911445E-15)); #433780=DIRECTION('ref_axis',(-0.707106781186548,-2.61682076447296E-15, -0.707106781186547)); #433781=DIRECTION('',(3.491481338843E-15,-1.,2.26391318235146E-16)); #433782=DIRECTION('center_axis',(-3.491481338843E-15,1.,-3.321810911445E-15)); #433783=DIRECTION('ref_axis',(-1.159804080848E-29,3.321810911445E-15,1.)); #433784=DIRECTION('center_axis',(-3.491481338843E-15,1.,-3.321810911445E-15)); #433785=DIRECTION('ref_axis',(0.707106781186547,2.61682076447296E-15,-0.707106781186548)); #433786=DIRECTION('',(1.,3.491481338843E-15,-7.9044106289408E-31)); #433787=DIRECTION('center_axis',(0.,2.26391318235146E-16,1.)); #433788=DIRECTION('ref_axis',(1.,0.,0.)); #433789=DIRECTION('',(-3.491481338843E-15,1.,-2.26391318235146E-16)); #433790=DIRECTION('center_axis',(-3.491481338843E-15,1.,-2.26391318235146E-16)); #433791=DIRECTION('ref_axis',(0.707106781186547,2.61682076447296E-15,-0.707106781186548)); #433792=DIRECTION('center_axis',(-5.55111512312578E-15,1.,0.)); #433793=DIRECTION('ref_axis',(0.,0.,1.)); #433794=DIRECTION('center_axis',(5.55111512312578E-15,-1.,0.)); #433795=DIRECTION('ref_axis',(0.,0.,-1.)); #433796=DIRECTION('center_axis',(3.491481338843E-15,-1.,0.)); #433797=DIRECTION('ref_axis',(0.,0.,1.)); #433798=DIRECTION('',(0.,0.,-1.)); #433799=DIRECTION('center_axis',(1.,3.56857699072172E-15,-7.13715398144343E-15)); #433800=DIRECTION('ref_axis',(-7.13715398144343E-15,0.,-1.)); #433801=DIRECTION('center_axis',(-3.491481338843E-15,1.,0.)); #433802=DIRECTION('ref_axis',(0.,0.,-1.)); #433803=DIRECTION('',(0.,0.,-1.)); #433804=DIRECTION('center_axis',(-9.81833656705719E-31,1.73472347597681E-16, -1.)); #433805=DIRECTION('ref_axis',(0.707106781186543,0.707106781186552,0.)); #433806=DIRECTION('',(-9.81833656705719E-31,1.73472347597681E-16,-1.)); #433807=DIRECTION('',(9.81833656705719E-31,-1.73472347597681E-16,1.)); #433808=DIRECTION('center_axis',(-4.44089209850063E-15,1.,1.73472347597681E-16)); #433809=DIRECTION('ref_axis',(0.,-1.73472347597681E-16,1.)); #433810=DIRECTION('center_axis',(-4.44089209850063E-15,1.,1.73472347597681E-16)); #433811=DIRECTION('ref_axis',(-1.,-3.491481338843E-15,3.407492542097E-15)); #433812=DIRECTION('',(3.407492542097E-15,-1.73472347597666E-16,1.)); #433813=DIRECTION('',(-1.,-4.44089209850063E-15,1.0053794163196E-30)); #433814=DIRECTION('',(-3.407492542097E-15,1.73472347597666E-16,-1.)); #433815=DIRECTION('center_axis',(4.44089209850063E-15,-1.,-1.73472347597681E-16)); #433816=DIRECTION('ref_axis',(-1.,-3.491481338843E-15,3.252606517456E-15)); #433817=DIRECTION('center_axis',(-1.,-4.44089209850063E-15,1.0053794163196E-30)); #433818=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #433819=DIRECTION('center_axis',(1.,3.321410409826E-15,-3.407492542097E-15)); #433820=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #433821=DIRECTION('',(1.,4.44089209850063E-15,-1.0053794163196E-30)); #433822=DIRECTION('center_axis',(-1.,-3.321410409826E-15,3.407492542097E-15)); #433823=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #433824=DIRECTION('center_axis',(0.,2.26391318235146E-16,1.)); #433825=DIRECTION('ref_axis',(1.,0.,0.)); #433826=DIRECTION('',(3.321410409826E-15,-1.,2.26391318235146E-16)); #433827=DIRECTION('',(1.,3.491481338843E-15,-7.9044106289408E-31)); #433828=DIRECTION('',(-3.321410409826E-15,1.,-2.26391318235146E-16)); #433829=DIRECTION('center_axis',(1.,3.321410409826E-15,-3.407492542097E-15)); #433830=DIRECTION('ref_axis',(-3.407492542097E-15,-1.189719662298E-29,-1.)); #433831=DIRECTION('',(3.321410409826E-15,-1.,1.700709290173E-16)); #433832=DIRECTION('',(-3.407492542097E-15,1.73472347597665E-16,-1.)); #433833=DIRECTION('center_axis',(1.,3.321410409826E-15,-3.407492542097E-15)); #433834=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #433835=DIRECTION('',(3.321410409826E-15,-1.,2.26391318235146E-16)); #433836=DIRECTION('',(3.321410409826E-15,-1.,2.26391318235146E-16)); #433837=DIRECTION('center_axis',(2.29517272179458E-31,-1.73472347597681E-16, 1.)); #433838=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.)); #433839=DIRECTION('',(2.29517272179458E-31,-1.73472347597681E-16,1.)); #433840=DIRECTION('',(-2.29517272179458E-31,1.73472347597681E-16,-1.)); #433841=DIRECTION('center_axis',(4.44089209850062E-15,-1.,-1.73472347597681E-16)); #433842=DIRECTION('ref_axis',(0.,1.73472347597681E-16,-1.)); #433843=DIRECTION('center_axis',(-4.44089209850062E-15,1.,1.73472347597681E-16)); #433844=DIRECTION('ref_axis',(-1.,-3.491481338843E-15,3.252606517456E-15)); #433845=DIRECTION('',(3.407492542097E-15,-1.73472347597665E-16,1.)); #433846=DIRECTION('',(1.,4.44089209850062E-15,-1.0053794163196E-30)); #433847=DIRECTION('center_axis',(4.44089209850062E-15,-1.,-1.73472347597681E-16)); #433848=DIRECTION('ref_axis',(-1.,-3.491481338843E-15,3.407492542097E-15)); #433849=DIRECTION('center_axis',(1.,4.44089209850062E-15,-1.0053794163196E-30)); #433850=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #433851=DIRECTION('center_axis',(-1.,-3.321410409826E-15,3.407492542097E-15)); #433852=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186548)); #433853=DIRECTION('',(-1.,-4.44089209850062E-15,1.0053794163196E-30)); #433854=DIRECTION('center_axis',(1.,3.321410409826E-15,-3.407492542097E-15)); #433855=DIRECTION('ref_axis',(-3.407492542097E-15,-1.189719662298E-29,-1.)); #433856=DIRECTION('',(-3.321410409826E-15,1.,-2.26391318235146E-16)); #433857=DIRECTION('',(-3.321410409826E-15,1.,-2.26391318235146E-16)); #433858=DIRECTION('',(-3.321410409826E-15,1.,-1.700709290173E-16)); #433859=DIRECTION('center_axis',(-1.,-4.44089209850063E-15,0.)); #433860=DIRECTION('ref_axis',(3.92523114670944E-15,-0.707106781186548,-0.707106781186547)); #433861=DIRECTION('center_axis',(1.,3.66155226786E-15,3.407492542097E-15)); #433862=DIRECTION('ref_axis',(3.92523114670944E-15,-0.707106781186548,-0.707106781186547)); #433863=DIRECTION('',(1.,4.44089209850063E-15,0.)); #433864=DIRECTION('center_axis',(-1.,-3.66155226786E-15,-3.407492542097E-15)); #433865=DIRECTION('ref_axis',(3.92523114670944E-15,-0.707106781186548,-0.707106781186547)); #433866=DIRECTION('',(-1.,-4.44089209850063E-15,0.)); #433867=DIRECTION('center_axis',(-1.,-3.66155226786E-15,-3.407492542097E-15)); #433868=DIRECTION('ref_axis',(3.407492542097E-15,1.189719662298E-29,-1.)); #433869=DIRECTION('',(-3.407492542097E-15,-1.51323067058984E-29,1.)); #433870=DIRECTION('',(3.66155226786E-15,-1.,1.700709290173E-16)); #433871=DIRECTION('',(3.407492542097E-15,1.51323067058984E-29,-1.)); #433872=DIRECTION('center_axis',(1.,3.66155226786E-15,3.407492542097E-15)); #433873=DIRECTION('ref_axis',(-2.61682076447296E-15,0.707106781186548,-0.707106781186547)); #433874=DIRECTION('',(3.66155226786E-15,-1.,0.)); #433875=DIRECTION('',(3.66155226786E-15,-1.,0.)); #433876=DIRECTION('',(3.66155226786E-15,-1.,0.)); #433877=DIRECTION('center_axis',(-4.44089209850063E-15,1.,0.)); #433878=DIRECTION('ref_axis',(0.,0.,1.)); #433879=DIRECTION('',(3.407492542097E-15,1.51323067058984E-29,-1.)); #433880=DIRECTION('center_axis',(-4.44089209850063E-15,1.,0.)); #433881=DIRECTION('ref_axis',(1.,3.491481338843E-15,3.407492542097E-15)); #433882=DIRECTION('',(1.,4.44089209850063E-15,0.)); #433883=DIRECTION('',(0.,0.,1.)); #433884=DIRECTION('center_axis',(4.44089209850063E-15,-1.,0.)); #433885=DIRECTION('ref_axis',(1.,3.491481338843E-15,3.252606517457E-15)); #433886=DIRECTION('center_axis',(0.,0.,1.)); #433887=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.)); #433888=DIRECTION('',(0.,0.,-1.)); #433889=DIRECTION('center_axis',(1.,4.44089209850062E-15,0.)); #433890=DIRECTION('ref_axis',(-2.61682076447296E-15,0.707106781186548,-0.707106781186547)); #433891=DIRECTION('center_axis',(-1.,-3.66155226786E-15,-3.407492542097E-15)); #433892=DIRECTION('ref_axis',(-2.61682076447296E-15,0.707106781186548,-0.707106781186547)); #433893=DIRECTION('',(-1.,-4.44089209850062E-15,0.)); #433894=DIRECTION('',(1.,4.44089209850062E-15,0.)); #433895=DIRECTION('center_axis',(-1.,-3.66155226786E-15,-3.407492542097E-15)); #433896=DIRECTION('ref_axis',(3.407492542097E-15,1.189719662298E-29,-1.)); #433897=DIRECTION('',(-3.66155226786E-15,1.,0.)); #433898=DIRECTION('',(-3.66155226786E-15,1.,0.)); #433899=DIRECTION('',(-3.66155226786E-15,1.,0.)); #433900=DIRECTION('',(-3.407492542097E-15,-1.51323067058984E-29,1.)); #433901=DIRECTION('',(-3.66155226786E-15,1.,-1.700709290173E-16)); #433902=DIRECTION('center_axis',(4.44089209850062E-15,-1.,0.)); #433903=DIRECTION('ref_axis',(0.,0.,-1.)); #433904=DIRECTION('center_axis',(-4.44089209850062E-15,1.,0.)); #433905=DIRECTION('ref_axis',(1.,3.491481338843E-15,3.252606517457E-15)); #433906=DIRECTION('',(0.,0.,-1.)); #433907=DIRECTION('',(-1.,-4.44089209850062E-15,0.)); #433908=DIRECTION('center_axis',(4.44089209850062E-15,-1.,0.)); #433909=DIRECTION('ref_axis',(1.,3.491481338843E-15,3.407492542097E-15)); #433910=DIRECTION('center_axis',(0.,0.,-1.)); #433911=DIRECTION('ref_axis',(-0.707106781186542,-0.707106781186553,0.)); #433912=DIRECTION('',(0.,0.,1.)); #433913=DIRECTION('center_axis',(3.491481338843E-15,-1.,1.807003620809E-16)); #433914=DIRECTION('ref_axis',(1.,3.491481338843E-15,3.252606517457E-15)); #433915=DIRECTION('center_axis',(0.,0.,-1.)); #433916=DIRECTION('ref_axis',(3.491481338843E-15,-1.,0.)); #433917=DIRECTION('',(-3.491481338843E-15,1.,-1.807003620809E-16)); #433918=DIRECTION('center_axis',(3.491481338843E-15,-1.,1.807003620809E-16)); #433919=DIRECTION('ref_axis',(1.,3.491481338843E-15,3.407492542097E-15)); #433920=DIRECTION('center_axis',(0.,0.,1.)); #433921=DIRECTION('ref_axis',(1.,0.,0.)); #433922=DIRECTION('',(1.,3.491481338843E-15,0.)); #433923=DIRECTION('center_axis',(-1.,-1.323076993872E-15,0.)); #433924=DIRECTION('ref_axis',(1.323076993872E-15,-1.,0.)); #433925=DIRECTION('',(0.,0.,-1.)); #433926=DIRECTION('center_axis',(-1.,-5.659885683814E-15,0.)); #433927=DIRECTION('ref_axis',(5.659885683814E-15,-1.,0.)); #433928=DIRECTION('',(0.,0.,-1.)); #433929=DIRECTION('center_axis',(-3.491481338843E-15,1.,-1.807003620809E-16)); #433930=DIRECTION('ref_axis',(-1.,-3.491481338843E-15,3.407492542097E-15)); #433931=DIRECTION('center_axis',(0.,2.26391318235146E-16,1.)); #433932=DIRECTION('ref_axis',(1.,0.,0.)); #433933=DIRECTION('',(-1.,-3.491481338843E-15,7.9044106289408E-31)); #433934=DIRECTION('center_axis',(-3.491481338843E-15,1.,-1.807003620809E-16)); #433935=DIRECTION('ref_axis',(-1.,-3.491481338843E-15,3.252606517456E-15)); #433936=DIRECTION('center_axis',(-1.,-5.659885683814E-15,0.)); #433937=DIRECTION('ref_axis',(-5.659885683814E-15,1.,0.)); #433938=DIRECTION('',(0.,0.,-1.)); #433939=DIRECTION('center_axis',(-1.,-1.323076993872E-15,0.)); #433940=DIRECTION('ref_axis',(-1.323076993872E-15,1.,0.)); #433941=DIRECTION('',(0.,0.,-1.)); #433942=DIRECTION('center_axis',(0.,0.,1.)); #433943=DIRECTION('ref_axis',(1.,0.,0.)); #433944=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #433945=DIRECTION('center_axis',(4.730569535969E-15,-1.,0.)); #433946=DIRECTION('ref_axis',(1.,4.730569535969E-15,0.)); #433947=DIRECTION('',(0.,0.,-1.)); #433948=DIRECTION('center_axis',(-4.730569535969E-15,1.,0.)); #433949=DIRECTION('ref_axis',(-1.,-4.730569535969E-15,0.)); #433950=DIRECTION('',(0.,0.,-1.)); #433951=DIRECTION('',(-6.89897388094E-15,-3.507712910983E-16,-1.)); #433952=DIRECTION('center_axis',(0.,0.,-1.)); #433953=DIRECTION('ref_axis',(2.891205793295E-15,-1.,0.)); #433954=DIRECTION('',(-6.89897388094E-15,-3.507712910983E-16,-1.)); #433955=DIRECTION('center_axis',(0.,0.,-1.)); #433956=DIRECTION('ref_axis',(2.891205793295E-15,-1.,0.)); #433957=DIRECTION('center_axis',(0.,0.,1.)); #433958=DIRECTION('ref_axis',(-2.891205793295E-15,1.,0.)); #433959=DIRECTION('center_axis',(0.,0.,1.)); #433960=DIRECTION('ref_axis',(-2.891205793295E-15,1.,0.)); #433961=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #433962=DIRECTION('ref_axis',(0.,0.,-1.)); #433963=DIRECTION('center_axis',(-1.,-3.321410409826E-15,0.)); #433964=DIRECTION('ref_axis',(3.321410409826E-15,-1.,0.)); #433965=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #433966=DIRECTION('center_axis',(1.,3.321410409826E-15,0.)); #433967=DIRECTION('ref_axis',(3.321410409826E-15,-1.,0.)); #433968=DIRECTION('',(1.,3.491481338843E-15,0.)); #433969=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #433970=DIRECTION('ref_axis',(0.,0.,-1.)); #433971=DIRECTION('center_axis',(-1.,-3.321410409826E-15,0.)); #433972=DIRECTION('ref_axis',(3.321410409826E-15,-1.,0.)); #433973=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #433974=DIRECTION('center_axis',(1.,3.321410409826E-15,0.)); #433975=DIRECTION('ref_axis',(3.321410409826E-15,-1.,0.)); #433976=DIRECTION('',(1.,3.491481338843E-15,0.)); #433977=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #433978=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #433979=DIRECTION('',(-0.548840864027039,-1.91626763474314E-15,-0.83592685444006)); #433980=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #433981=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #433982=DIRECTION('',(1.,3.491481338843E-15,0.)); #433983=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #433984=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #433985=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #433986=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #433987=DIRECTION('',(0.548840864027039,1.91626763474314E-15,0.83592685444006)); #433988=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #433989=DIRECTION('ref_axis',(-2.07276761098E-31,-1.213339382103E-16,1.)); #433990=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #433991=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #433992=DIRECTION('ref_axis',(-1.171464836512E-31,-1.213339382103E-16,1.)); #433993=DIRECTION('center_axis',(3.321410409826E-15,-1.,0.)); #433994=DIRECTION('ref_axis',(1.,3.321410409826E-15,0.)); #433995=DIRECTION('',(3.321410409826E-15,-1.,0.)); #433996=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #433997=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #433998=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #433999=DIRECTION('ref_axis',(2.478176394253E-15,-1.21333938210291E-16, 1.)); #434000=DIRECTION('center_axis',(3.321410409826E-15,-1.,0.)); #434001=DIRECTION('ref_axis',(1.,3.321410409826E-15,0.)); #434002=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #434003=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #434004=DIRECTION('',(3.34946334657E-15,-1.,6.311910767603E-17)); #434005=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #434006=DIRECTION('ref_axis',(-2.07276761098E-31,-1.213339382103E-16,1.)); #434007=DIRECTION('center_axis',(-1.,-3.321410409826E-15,0.)); #434008=DIRECTION('ref_axis',(3.321410409826E-15,-1.,0.)); #434009=DIRECTION('',(4.02999805437E-31,-1.213339382103E-16,1.)); #434010=DIRECTION('',(3.321410409826E-15,-1.,0.)); #434011=DIRECTION('',(-4.02999805437E-31,1.213339382103E-16,-1.)); #434012=DIRECTION('',(3.321410409826E-15,-1.,0.)); #434013=DIRECTION('center_axis',(3.321410409826E-15,-1.,0.)); #434014=DIRECTION('ref_axis',(1.,3.321410409826E-15,0.)); #434015=DIRECTION('',(3.321410409826E-15,-1.,0.)); #434016=DIRECTION('center_axis',(-0.548840864027039,-1.82292575911513E-15, -0.83592685444006)); #434017=DIRECTION('ref_axis',(-0.83592685444006,-2.91862301291621E-15,0.548840864027039)); #434018=DIRECTION('',(0.83592685444006,2.98521603640021E-15,-0.548840864027039)); #434019=DIRECTION('',(3.321410409826E-15,-1.,0.)); #434020=DIRECTION('',(-0.83592685444006,-2.98521603640021E-15,0.548840864027039)); #434021=DIRECTION('center_axis',(3.321410409826E-15,-1.,0.)); #434022=DIRECTION('ref_axis',(-0.959437118914254,-3.18668443433527E-15, -0.281922710772857)); #434023=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #434024=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #434025=DIRECTION('',(3.321410409826E-15,-1.,0.)); #434026=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #434027=DIRECTION('ref_axis',(1.156482317318E-14,-1.2133393821026E-16,1.)); #434028=DIRECTION('center_axis',(0.913811548622195,3.03514319021265E-15, -0.406138466049087)); #434029=DIRECTION('ref_axis',(-0.406138466049087,-1.4180248751983E-15,-0.913811548622195)); #434030=DIRECTION('',(0.406138466049087,1.30714852122128E-15,0.913811548622195)); #434031=DIRECTION('',(-0.406138466049087,-1.30714852122128E-15,-0.913811548622195)); #434032=DIRECTION('center_axis',(0.,0.,-1.)); #434033=DIRECTION('ref_axis',(3.321410409826E-15,-1.,0.)); #434034=DIRECTION('',(3.321410409826E-15,-1.,0.)); #434035=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #434036=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #434037=DIRECTION('',(4.02999805437E-31,-1.213339382103E-16,1.)); #434038=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #434039=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #434040=DIRECTION('',(-4.02999805437E-31,1.213339382103E-16,-1.)); #434041=DIRECTION('center_axis',(-1.,-3.321410409826E-15,0.)); #434042=DIRECTION('ref_axis',(3.321410409826E-15,-1.,0.)); #434043=DIRECTION('',(3.321410409826E-15,-1.,0.)); #434044=DIRECTION('center_axis',(3.321410409826E-15,-1.,0.)); #434045=DIRECTION('ref_axis',(1.,3.321410409826E-15,0.)); #434046=DIRECTION('',(3.440251503174E-15,-1.,-7.8026980481E-17)); #434047=DIRECTION('center_axis',(-0.548840864027039,-1.82292575911513E-15, -0.83592685444006)); #434048=DIRECTION('ref_axis',(-0.83592685444006,-2.91862301291621E-15,0.548840864027039)); #434049=DIRECTION('',(3.321410409826E-15,-1.,0.)); #434050=DIRECTION('',(0.83592685444006,2.98521603640021E-15,-0.548840864027039)); #434051=DIRECTION('',(-0.83592685444006,-2.98521603640021E-15,0.548840864027039)); #434052=DIRECTION('center_axis',(3.321410409826E-15,-1.,0.)); #434053=DIRECTION('ref_axis',(-0.959437118913447,-3.18668443433259E-15, -0.281922710775605)); #434054=DIRECTION('',(3.34946334657E-15,-1.,6.311910767603E-17)); #434055=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #434056=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #434057=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #434058=DIRECTION('ref_axis',(-1.171464836512E-31,-1.213339382103E-16,1.)); #434059=DIRECTION('center_axis',(0.913811548622195,3.03514319021265E-15, -0.406138466049087)); #434060=DIRECTION('ref_axis',(-0.406138466049087,-1.4180248751983E-15,-0.913811548622195)); #434061=DIRECTION('',(0.406138466049087,1.30714852122128E-15,0.913811548622195)); #434062=DIRECTION('',(-0.406138466049087,-1.30714852122128E-15,-0.913811548622195)); #434063=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #434064=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #434065=DIRECTION('',(-0.548840864027039,-1.91626763474314E-15,-0.83592685444006)); #434066=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #434067=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #434068=DIRECTION('',(0.913811548622195,3.19055596923368E-15,-0.406138466049087)); #434069=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #434070=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #434071=DIRECTION('',(-0.913811548622195,-3.19055596923368E-15,0.406138466049087)); #434072=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #434073=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #434074=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #434075=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #434076=DIRECTION('',(0.548840864027039,1.91626763474314E-15,0.83592685444006)); #434077=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #434078=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #434079=DIRECTION('',(-0.913811548622195,-3.19055596923368E-15,0.406138466049087)); #434080=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #434081=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #434082=DIRECTION('',(0.913811548622195,3.19055596923368E-15,-0.406138466049087)); #434083=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #434084=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #434085=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #434086=DIRECTION('ref_axis',(0.,0.,-1.)); #434087=DIRECTION('center_axis',(-1.,-3.66155226786E-15,0.)); #434088=DIRECTION('ref_axis',(3.66155226786E-15,-1.,0.)); #434089=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #434090=DIRECTION('center_axis',(1.,3.66155226786E-15,0.)); #434091=DIRECTION('ref_axis',(3.66155226786E-15,-1.,0.)); #434092=DIRECTION('',(1.,3.491481338843E-15,0.)); #434093=DIRECTION('center_axis',(1.,3.491481338843E-15,0.)); #434094=DIRECTION('ref_axis',(0.,0.,-1.)); #434095=DIRECTION('center_axis',(-1.,-3.66155226786E-15,0.)); #434096=DIRECTION('ref_axis',(3.66155226786E-15,-1.,0.)); #434097=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #434098=DIRECTION('center_axis',(1.,3.66155226786E-15,0.)); #434099=DIRECTION('ref_axis',(3.66155226786E-15,-1.,0.)); #434100=DIRECTION('',(1.,3.491481338843E-15,0.)); #434101=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #434102=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #434103=DIRECTION('',(-0.548840864027039,-1.91626763474314E-15,0.83592685444006)); #434104=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #434105=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #434106=DIRECTION('',(1.,3.491481338843E-15,0.)); #434107=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #434108=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #434109=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #434110=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #434111=DIRECTION('',(0.548840864027039,1.91626763474314E-15,-0.83592685444006)); #434112=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #434113=DIRECTION('ref_axis',(-7.434529182758E-15,-1.21333938210326E-16, 1.)); #434114=DIRECTION('',(-1.,-3.491481338843E-15,0.)); #434115=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #434116=DIRECTION('ref_axis',(-2.07276761098E-31,-1.213339382103E-16,1.)); #434117=DIRECTION('center_axis',(3.66155226786E-15,-1.,0.)); #434118=DIRECTION('ref_axis',(1.,3.66155226786E-15,0.)); #434119=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #434120=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #434121=DIRECTION('',(3.66155226786E-15,-1.,0.)); #434122=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #434123=DIRECTION('ref_axis',(-1.171464836512E-31,-1.213339382103E-16,1.)); #434124=DIRECTION('center_axis',(3.66155226786E-15,-1.,0.)); #434125=DIRECTION('ref_axis',(1.,3.66155226786E-15,0.)); #434126=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #434127=DIRECTION('ref_axis',(-2.07276761098E-31,-1.213339382103E-16,1.)); #434128=DIRECTION('',(3.633499331116E-15,-1.,6.311910767603E-17)); #434129=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #434130=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #434131=DIRECTION('center_axis',(-1.,-3.66155226786E-15,0.)); #434132=DIRECTION('ref_axis',(3.66155226786E-15,-1.,0.)); #434133=DIRECTION('',(3.66155226786E-15,-1.,0.)); #434134=DIRECTION('',(4.442705566225E-31,-1.213339382103E-16,1.)); #434135=DIRECTION('',(3.66155226786E-15,-1.,0.)); #434136=DIRECTION('',(-4.442705566225E-31,1.213339382103E-16,-1.)); #434137=DIRECTION('center_axis',(3.66155226786E-15,-1.,0.)); #434138=DIRECTION('ref_axis',(1.,3.66155226786E-15,0.)); #434139=DIRECTION('',(3.66155226786E-15,-1.,0.)); #434140=DIRECTION('center_axis',(-0.548840864027039,-2.00960951037114E-15, 0.83592685444006)); #434141=DIRECTION('ref_axis',(0.83592685444006,2.91862301291621E-15,0.548840864027039)); #434142=DIRECTION('',(3.66155226786E-15,-1.,0.)); #434143=DIRECTION('',(-0.83592685444006,-2.8520299894332E-15,-0.548840864027039)); #434144=DIRECTION('',(0.83592685444006,2.8520299894332E-15,0.548840864027039)); #434145=DIRECTION('center_axis',(0.913811548622195,3.34596874825472E-15, 0.406138466049087)); #434146=DIRECTION('ref_axis',(0.406138466049087,1.4180248751983E-15,-0.913811548622195)); #434147=DIRECTION('',(-0.406138466049087,-1.52890122917433E-15,0.913811548622195)); #434148=DIRECTION('',(3.66155226786E-15,-1.,0.)); #434149=DIRECTION('',(0.406138466049087,1.52890122917433E-15,-0.913811548622195)); #434150=DIRECTION('center_axis',(0.,0.,-1.)); #434151=DIRECTION('ref_axis',(3.66155226786E-15,-1.,0.)); #434152=DIRECTION('',(3.66155226786E-15,-1.,0.)); #434153=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #434154=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #434155=DIRECTION('',(4.442705566225E-31,-1.213339382103E-16,1.)); #434156=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #434157=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #434158=DIRECTION('',(-4.442705566225E-31,1.213339382103E-16,-1.)); #434159=DIRECTION('center_axis',(-1.,-3.66155226786E-15,0.)); #434160=DIRECTION('ref_axis',(3.66155226786E-15,-1.,0.)); #434161=DIRECTION('',(3.66155226786E-15,-1.,0.)); #434162=DIRECTION('center_axis',(3.66155226786E-15,-1.,0.)); #434163=DIRECTION('ref_axis',(1.,3.66155226786E-15,0.)); #434164=DIRECTION('',(3.542711174512E-15,-1.,-7.8026980481E-17)); #434165=DIRECTION('center_axis',(-0.548840864027039,-2.00960951037114E-15, 0.83592685444006)); #434166=DIRECTION('ref_axis',(0.83592685444006,2.91862301291621E-15,0.548840864027039)); #434167=DIRECTION('',(-0.83592685444006,-2.8520299894332E-15,-0.548840864027039)); #434168=DIRECTION('',(3.66155226786E-15,-1.,0.)); #434169=DIRECTION('',(0.83592685444006,2.8520299894332E-15,0.548840864027039)); #434170=DIRECTION('center_axis',(0.913811548622195,3.34596874825472E-15, 0.406138466049087)); #434171=DIRECTION('ref_axis',(0.406138466049087,1.4180248751983E-15,-0.913811548622195)); #434172=DIRECTION('',(3.633499331116E-15,-1.,6.311910767603E-17)); #434173=DIRECTION('',(-0.406138466049087,-1.52890122917433E-15,0.913811548622195)); #434174=DIRECTION('',(0.406138466049087,1.52890122917433E-15,-0.913811548622195)); #434175=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #434176=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #434177=DIRECTION('',(-0.548840864027039,-1.91626763474314E-15,0.83592685444006)); #434178=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #434179=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #434180=DIRECTION('',(0.913811548622195,3.19055596923368E-15,0.406138466049087)); #434181=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #434182=DIRECTION('ref_axis',(-2.07276761098E-31,-1.213339382103E-16,1.)); #434183=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #434184=DIRECTION('ref_axis',(-1.171464836512E-31,-1.213339382103E-16,1.)); #434185=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #434186=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #434187=DIRECTION('',(-0.913811548622195,-3.19055596923368E-15,-0.406138466049087)); #434188=DIRECTION('center_axis',(3.491481338843E-15,-1.,-1.213339382103E-16)); #434189=DIRECTION('ref_axis',(4.236351810297E-31,-1.213339382103E-16,1.)); #434190=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #434191=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #434192=DIRECTION('',(0.548840864027039,1.91626763474314E-15,-0.83592685444006)); #434193=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #434194=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #434195=DIRECTION('',(0.913811548622195,3.19055596923368E-15,0.406138466049087)); #434196=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #434197=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #434198=DIRECTION('',(-0.913811548622195,-3.19055596923368E-15,-0.406138466049087)); #434199=DIRECTION('center_axis',(3.66155226786E-15,-1.,0.)); #434200=DIRECTION('ref_axis',(1.,3.66155226786E-15,0.)); #434201=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #434202=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #434203=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #434204=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #434205=DIRECTION('center_axis',(-3.491481338843E-15,1.,1.213339382103E-16)); #434206=DIRECTION('ref_axis',(-4.236351810297E-31,1.213339382103E-16,-1.)); #434207=DIRECTION('center_axis',(3.66155226786E-15,-1.,0.)); #434208=DIRECTION('ref_axis',(1.,3.66155226786E-15,0.)); #434209=DIRECTION('',(0.,0.,1.)); #434210=DIRECTION('',(1.,0.,0.)); #434211=DIRECTION('axis',(0.,0.,1.)); #434212=DIRECTION('refdir',(1.,0.,0.)); #434213=DIRECTION('axis',(0.,0.,1.)); #434214=DIRECTION('refdir',(1.,0.,0.)); #434215=DIRECTION('center_axis',(0.,0.,-1.)); #434216=DIRECTION('ref_axis',(1.,0.,0.)); #434217=DIRECTION('center_axis',(0.,0.,-1.)); #434218=DIRECTION('ref_axis',(1.,0.,0.)); #434219=DIRECTION('',(0.,0.,-1.)); #434220=DIRECTION('center_axis',(0.,0.,-1.)); #434221=DIRECTION('ref_axis',(1.,0.,0.)); #434222=DIRECTION('center_axis',(0.,0.,1.)); #434223=DIRECTION('ref_axis',(1.,0.,0.)); #434224=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186548)); #434225=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #434226=DIRECTION('',(0.,-1.,0.)); #434227=DIRECTION('',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #434228=DIRECTION('',(0.,1.,0.)); #434229=DIRECTION('',(-0.577350269189629,-0.577350269189624,0.577350269189624)); #434230=DIRECTION('center_axis',(0.,-0.707106781186548,0.707106781186548)); #434231=DIRECTION('ref_axis',(1.,0.,0.)); #434232=DIRECTION('',(1.,0.,0.)); #434233=DIRECTION('',(-1.,0.,0.)); #434234=DIRECTION('',(0.577350269189629,0.577350269189624,0.577350269189624)); #434235=DIRECTION('center_axis',(0.,0.707106781186548,0.707106781186548)); #434236=DIRECTION('ref_axis',(1.,0.,0.)); #434237=DIRECTION('',(1.,0.,0.)); #434238=DIRECTION('',(-1.,0.,0.)); #434239=DIRECTION('',(0.577350269189624,-0.577350269189629,0.577350269189624)); #434240=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #434241=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #434242=DIRECTION('',(0.,1.,0.)); #434243=DIRECTION('',(0.,-1.,0.)); #434244=DIRECTION('center_axis',(0.,1.,0.)); #434245=DIRECTION('ref_axis',(1.,0.,0.)); #434246=DIRECTION('',(0.,0.,-1.)); #434247=DIRECTION('',(1.,0.,0.)); #434248=DIRECTION('',(0.,0.,-1.)); #434249=DIRECTION('center_axis',(1.,0.,0.)); #434250=DIRECTION('ref_axis',(0.,-1.,0.)); #434251=DIRECTION('',(0.,0.,-1.)); #434252=DIRECTION('',(0.,-1.,0.)); #434253=DIRECTION('center_axis',(0.,0.,1.)); #434254=DIRECTION('ref_axis',(1.,0.,0.)); #434255=DIRECTION('center_axis',(-1.,0.,0.)); #434256=DIRECTION('ref_axis',(0.,1.,0.)); #434257=DIRECTION('',(0.,1.,0.)); #434258=DIRECTION('',(0.,0.,-1.)); #434259=DIRECTION('center_axis',(0.,-1.,0.)); #434260=DIRECTION('ref_axis',(-1.,0.,0.)); #434261=DIRECTION('',(-1.,0.,0.)); #434262=DIRECTION('center_axis',(0.,0.,-1.)); #434263=DIRECTION('ref_axis',(-1.,0.,0.)); #434264=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #434265=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #434266=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #434267=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434268=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #434269=DIRECTION('',(0.,1.,0.)); #434270=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #434271=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #434272=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #434273=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #434274=DIRECTION('center_axis',(0.,0.,1.)); #434275=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434276=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #434277=DIRECTION('center_axis',(0.,0.,1.)); #434278=DIRECTION('ref_axis',(1.,0.,0.)); #434279=DIRECTION('',(1.,0.,0.)); #434280=DIRECTION('',(0.,1.,0.)); #434281=DIRECTION('',(1.,0.,0.)); #434282=DIRECTION('',(0.,1.,0.)); #434283=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #434284=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #434285=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #434286=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #434287=DIRECTION('center_axis',(0.,0.,-1.)); #434288=DIRECTION('ref_axis',(-1.,0.,0.)); #434289=DIRECTION('',(-1.,0.,0.)); #434290=DIRECTION('',(0.,1.,0.)); #434291=DIRECTION('',(-1.,0.,0.)); #434292=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #434293=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #434294=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #434295=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #434296=DIRECTION('center_axis',(0.,1.,0.)); #434297=DIRECTION('ref_axis',(0.,0.,1.)); #434298=DIRECTION('center_axis',(0.,1.,0.)); #434299=DIRECTION('ref_axis',(1.,0.,0.)); #434300=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #434301=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #434302=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #434303=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434304=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #434305=DIRECTION('',(0.,1.,0.)); #434306=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #434307=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #434308=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #434309=DIRECTION('',(1.11022302462516E-14,0.,1.)); #434310=DIRECTION('center_axis',(0.,0.,-1.)); #434311=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434312=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #434313=DIRECTION('center_axis',(0.,0.,-1.)); #434314=DIRECTION('ref_axis',(-1.,0.,0.)); #434315=DIRECTION('',(-1.,0.,0.)); #434316=DIRECTION('',(0.,1.,0.)); #434317=DIRECTION('',(-1.,0.,0.)); #434318=DIRECTION('',(0.,1.,0.)); #434319=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #434320=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #434321=DIRECTION('',(3.70074341541719E-15,0.,1.)); #434322=DIRECTION('',(3.70074341541719E-15,0.,1.)); #434323=DIRECTION('center_axis',(0.,0.,1.)); #434324=DIRECTION('ref_axis',(1.,0.,0.)); #434325=DIRECTION('',(1.,0.,0.)); #434326=DIRECTION('',(0.,1.,0.)); #434327=DIRECTION('',(1.,0.,0.)); #434328=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #434329=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #434330=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #434331=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #434332=DIRECTION('center_axis',(0.,-1.,0.)); #434333=DIRECTION('ref_axis',(0.,0.,1.)); #434334=DIRECTION('center_axis',(0.,-1.,0.)); #434335=DIRECTION('ref_axis',(-1.,0.,0.)); #434336=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #434337=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #434338=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #434339=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434340=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #434341=DIRECTION('',(0.,1.,0.)); #434342=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #434343=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #434344=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #434345=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #434346=DIRECTION('center_axis',(0.,0.,1.)); #434347=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434348=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #434349=DIRECTION('center_axis',(0.,0.,1.)); #434350=DIRECTION('ref_axis',(1.,0.,0.)); #434351=DIRECTION('',(1.,0.,0.)); #434352=DIRECTION('',(0.,1.,0.)); #434353=DIRECTION('',(1.,0.,0.)); #434354=DIRECTION('',(0.,1.,0.)); #434355=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #434356=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #434357=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #434358=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #434359=DIRECTION('center_axis',(0.,0.,-1.)); #434360=DIRECTION('ref_axis',(-1.,0.,0.)); #434361=DIRECTION('',(-1.,0.,0.)); #434362=DIRECTION('',(0.,1.,0.)); #434363=DIRECTION('',(-1.,0.,0.)); #434364=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #434365=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #434366=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #434367=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #434368=DIRECTION('center_axis',(0.,1.,0.)); #434369=DIRECTION('ref_axis',(0.,0.,1.)); #434370=DIRECTION('center_axis',(0.,1.,0.)); #434371=DIRECTION('ref_axis',(1.,0.,0.)); #434372=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #434373=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #434374=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #434375=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434376=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #434377=DIRECTION('',(0.,1.,0.)); #434378=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #434379=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #434380=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #434381=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #434382=DIRECTION('center_axis',(0.,0.,1.)); #434383=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434384=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #434385=DIRECTION('center_axis',(0.,0.,1.)); #434386=DIRECTION('ref_axis',(1.,0.,0.)); #434387=DIRECTION('',(1.,0.,0.)); #434388=DIRECTION('',(0.,1.,0.)); #434389=DIRECTION('',(1.,0.,0.)); #434390=DIRECTION('',(0.,1.,0.)); #434391=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #434392=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #434393=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #434394=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #434395=DIRECTION('center_axis',(0.,0.,-1.)); #434396=DIRECTION('ref_axis',(-1.,0.,0.)); #434397=DIRECTION('',(-1.,0.,0.)); #434398=DIRECTION('',(0.,1.,0.)); #434399=DIRECTION('',(-1.,0.,0.)); #434400=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #434401=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #434402=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #434403=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #434404=DIRECTION('center_axis',(0.,1.,0.)); #434405=DIRECTION('ref_axis',(0.,0.,1.)); #434406=DIRECTION('center_axis',(0.,1.,0.)); #434407=DIRECTION('ref_axis',(1.,0.,0.)); #434408=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #434409=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #434410=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #434411=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434412=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #434413=DIRECTION('',(0.,1.,0.)); #434414=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #434415=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #434416=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #434417=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #434418=DIRECTION('center_axis',(0.,0.,1.)); #434419=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434420=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #434421=DIRECTION('center_axis',(0.,0.,1.)); #434422=DIRECTION('ref_axis',(1.,0.,0.)); #434423=DIRECTION('',(1.,0.,0.)); #434424=DIRECTION('',(0.,1.,0.)); #434425=DIRECTION('',(1.,0.,0.)); #434426=DIRECTION('',(0.,1.,0.)); #434427=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #434428=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #434429=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #434430=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #434431=DIRECTION('center_axis',(0.,0.,-1.)); #434432=DIRECTION('ref_axis',(-1.,0.,0.)); #434433=DIRECTION('',(-1.,0.,0.)); #434434=DIRECTION('',(0.,1.,0.)); #434435=DIRECTION('',(-1.,0.,0.)); #434436=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #434437=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #434438=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #434439=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #434440=DIRECTION('center_axis',(0.,1.,0.)); #434441=DIRECTION('ref_axis',(0.,0.,1.)); #434442=DIRECTION('center_axis',(0.,1.,0.)); #434443=DIRECTION('ref_axis',(1.,0.,0.)); #434444=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #434445=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #434446=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #434447=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434448=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #434449=DIRECTION('',(0.,1.,0.)); #434450=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #434451=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #434452=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #434453=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #434454=DIRECTION('center_axis',(0.,0.,1.)); #434455=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434456=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #434457=DIRECTION('center_axis',(0.,0.,1.)); #434458=DIRECTION('ref_axis',(1.,0.,0.)); #434459=DIRECTION('',(1.,0.,0.)); #434460=DIRECTION('',(0.,1.,0.)); #434461=DIRECTION('',(1.,0.,0.)); #434462=DIRECTION('',(0.,1.,0.)); #434463=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #434464=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #434465=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #434466=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #434467=DIRECTION('center_axis',(0.,0.,-1.)); #434468=DIRECTION('ref_axis',(-1.,0.,0.)); #434469=DIRECTION('',(-1.,0.,0.)); #434470=DIRECTION('',(0.,1.,0.)); #434471=DIRECTION('',(-1.,0.,0.)); #434472=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #434473=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #434474=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #434475=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #434476=DIRECTION('center_axis',(0.,1.,0.)); #434477=DIRECTION('ref_axis',(0.,0.,1.)); #434478=DIRECTION('center_axis',(0.,1.,0.)); #434479=DIRECTION('ref_axis',(1.,0.,0.)); #434480=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #434481=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #434482=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #434483=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434484=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #434485=DIRECTION('',(0.,1.,0.)); #434486=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #434487=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #434488=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #434489=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #434490=DIRECTION('center_axis',(0.,0.,1.)); #434491=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434492=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #434493=DIRECTION('center_axis',(0.,0.,1.)); #434494=DIRECTION('ref_axis',(1.,0.,0.)); #434495=DIRECTION('',(1.,0.,0.)); #434496=DIRECTION('',(0.,1.,0.)); #434497=DIRECTION('',(1.,0.,0.)); #434498=DIRECTION('',(0.,1.,0.)); #434499=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #434500=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #434501=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #434502=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #434503=DIRECTION('center_axis',(0.,0.,-1.)); #434504=DIRECTION('ref_axis',(-1.,0.,0.)); #434505=DIRECTION('',(-1.,0.,0.)); #434506=DIRECTION('',(0.,1.,0.)); #434507=DIRECTION('',(-1.,0.,0.)); #434508=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #434509=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #434510=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #434511=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #434512=DIRECTION('center_axis',(0.,1.,0.)); #434513=DIRECTION('ref_axis',(0.,0.,1.)); #434514=DIRECTION('center_axis',(0.,1.,0.)); #434515=DIRECTION('ref_axis',(1.,0.,0.)); #434516=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #434517=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #434518=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #434519=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434520=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #434521=DIRECTION('',(0.,1.,0.)); #434522=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #434523=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #434524=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #434525=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #434526=DIRECTION('center_axis',(0.,0.,1.)); #434527=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434528=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #434529=DIRECTION('center_axis',(0.,0.,1.)); #434530=DIRECTION('ref_axis',(1.,0.,0.)); #434531=DIRECTION('',(1.,0.,0.)); #434532=DIRECTION('',(0.,1.,0.)); #434533=DIRECTION('',(1.,0.,0.)); #434534=DIRECTION('',(0.,1.,0.)); #434535=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #434536=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #434537=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #434538=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #434539=DIRECTION('center_axis',(0.,0.,-1.)); #434540=DIRECTION('ref_axis',(-1.,0.,0.)); #434541=DIRECTION('',(-1.,0.,0.)); #434542=DIRECTION('',(0.,1.,0.)); #434543=DIRECTION('',(-1.,0.,0.)); #434544=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #434545=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #434546=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #434547=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #434548=DIRECTION('center_axis',(0.,1.,0.)); #434549=DIRECTION('ref_axis',(0.,0.,1.)); #434550=DIRECTION('center_axis',(0.,1.,0.)); #434551=DIRECTION('ref_axis',(1.,0.,0.)); #434552=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #434553=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #434554=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #434555=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434556=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #434557=DIRECTION('',(0.,1.,0.)); #434558=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #434559=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #434560=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #434561=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #434562=DIRECTION('center_axis',(0.,0.,1.)); #434563=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434564=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #434565=DIRECTION('center_axis',(0.,0.,1.)); #434566=DIRECTION('ref_axis',(1.,0.,0.)); #434567=DIRECTION('',(1.,0.,0.)); #434568=DIRECTION('',(0.,1.,0.)); #434569=DIRECTION('',(1.,0.,0.)); #434570=DIRECTION('',(0.,1.,0.)); #434571=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #434572=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #434573=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #434574=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #434575=DIRECTION('center_axis',(0.,0.,-1.)); #434576=DIRECTION('ref_axis',(-1.,0.,0.)); #434577=DIRECTION('',(-1.,0.,0.)); #434578=DIRECTION('',(0.,1.,0.)); #434579=DIRECTION('',(-1.,0.,0.)); #434580=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #434581=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #434582=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #434583=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #434584=DIRECTION('center_axis',(0.,1.,0.)); #434585=DIRECTION('ref_axis',(0.,0.,1.)); #434586=DIRECTION('center_axis',(0.,1.,0.)); #434587=DIRECTION('ref_axis',(1.,0.,0.)); #434588=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #434589=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #434590=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #434591=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434592=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #434593=DIRECTION('',(0.,1.,0.)); #434594=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #434595=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #434596=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #434597=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #434598=DIRECTION('center_axis',(0.,0.,1.)); #434599=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434600=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #434601=DIRECTION('center_axis',(0.,0.,1.)); #434602=DIRECTION('ref_axis',(1.,0.,0.)); #434603=DIRECTION('',(1.,0.,0.)); #434604=DIRECTION('',(0.,1.,0.)); #434605=DIRECTION('',(1.,0.,0.)); #434606=DIRECTION('',(0.,1.,0.)); #434607=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #434608=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #434609=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #434610=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #434611=DIRECTION('center_axis',(0.,0.,-1.)); #434612=DIRECTION('ref_axis',(-1.,0.,0.)); #434613=DIRECTION('',(-1.,0.,0.)); #434614=DIRECTION('',(0.,1.,0.)); #434615=DIRECTION('',(-1.,0.,0.)); #434616=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #434617=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #434618=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #434619=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #434620=DIRECTION('center_axis',(0.,1.,0.)); #434621=DIRECTION('ref_axis',(0.,0.,1.)); #434622=DIRECTION('center_axis',(0.,1.,0.)); #434623=DIRECTION('ref_axis',(1.,0.,0.)); #434624=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #434625=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #434626=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #434627=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434628=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #434629=DIRECTION('',(0.,1.,0.)); #434630=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #434631=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #434632=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #434633=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #434634=DIRECTION('center_axis',(0.,0.,1.)); #434635=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434636=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #434637=DIRECTION('center_axis',(0.,0.,1.)); #434638=DIRECTION('ref_axis',(1.,0.,0.)); #434639=DIRECTION('',(1.,0.,0.)); #434640=DIRECTION('',(0.,1.,0.)); #434641=DIRECTION('',(1.,0.,0.)); #434642=DIRECTION('',(0.,1.,0.)); #434643=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #434644=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #434645=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #434646=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #434647=DIRECTION('center_axis',(0.,0.,-1.)); #434648=DIRECTION('ref_axis',(-1.,0.,0.)); #434649=DIRECTION('',(-1.,0.,0.)); #434650=DIRECTION('',(0.,1.,0.)); #434651=DIRECTION('',(-1.,0.,0.)); #434652=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #434653=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #434654=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #434655=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #434656=DIRECTION('center_axis',(0.,1.,0.)); #434657=DIRECTION('ref_axis',(0.,0.,1.)); #434658=DIRECTION('center_axis',(0.,1.,0.)); #434659=DIRECTION('ref_axis',(1.,0.,0.)); #434660=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #434661=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #434662=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #434663=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434664=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #434665=DIRECTION('',(0.,1.,0.)); #434666=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #434667=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #434668=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #434669=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #434670=DIRECTION('center_axis',(0.,0.,1.)); #434671=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434672=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #434673=DIRECTION('center_axis',(0.,0.,1.)); #434674=DIRECTION('ref_axis',(1.,0.,0.)); #434675=DIRECTION('',(1.,0.,0.)); #434676=DIRECTION('',(0.,1.,0.)); #434677=DIRECTION('',(1.,0.,0.)); #434678=DIRECTION('',(0.,1.,0.)); #434679=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #434680=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #434681=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #434682=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #434683=DIRECTION('center_axis',(0.,0.,-1.)); #434684=DIRECTION('ref_axis',(-1.,0.,0.)); #434685=DIRECTION('',(-1.,0.,0.)); #434686=DIRECTION('',(0.,1.,0.)); #434687=DIRECTION('',(-1.,0.,0.)); #434688=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #434689=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #434690=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #434691=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #434692=DIRECTION('center_axis',(0.,1.,0.)); #434693=DIRECTION('ref_axis',(0.,0.,1.)); #434694=DIRECTION('center_axis',(0.,1.,0.)); #434695=DIRECTION('ref_axis',(1.,0.,0.)); #434696=DIRECTION('center_axis',(6.50521303491302E-17,0.,1.)); #434697=DIRECTION('ref_axis',(1.,0.,-6.50521303491302E-17)); #434698=DIRECTION('center_axis',(-6.50521303491302E-17,0.,-1.)); #434699=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434700=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #434701=DIRECTION('',(0.,1.,0.)); #434702=DIRECTION('',(1.,0.,-6.50521303491302E-17)); #434703=DIRECTION('center_axis',(-1.11022302462516E-14,0.,1.)); #434704=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #434705=DIRECTION('',(1.11022302462516E-14,0.,-1.)); #434706=DIRECTION('center_axis',(0.,0.,1.)); #434707=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434708=DIRECTION('',(-1.11022302462516E-14,0.,1.)); #434709=DIRECTION('center_axis',(0.,0.,1.)); #434710=DIRECTION('ref_axis',(1.,0.,0.)); #434711=DIRECTION('',(1.,0.,0.)); #434712=DIRECTION('',(0.,1.,0.)); #434713=DIRECTION('',(1.,0.,0.)); #434714=DIRECTION('',(0.,1.,0.)); #434715=DIRECTION('center_axis',(-1.,0.,-3.70074341541719E-15)); #434716=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,1.)); #434717=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #434718=DIRECTION('',(-3.70074341541719E-15,0.,1.)); #434719=DIRECTION('center_axis',(0.,0.,-1.)); #434720=DIRECTION('ref_axis',(-1.,0.,0.)); #434721=DIRECTION('',(-1.,0.,0.)); #434722=DIRECTION('',(0.,1.,0.)); #434723=DIRECTION('',(-1.,0.,0.)); #434724=DIRECTION('center_axis',(1.,0.,3.70074341541719E-15)); #434725=DIRECTION('ref_axis',(3.70074341541719E-15,0.,-1.)); #434726=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #434727=DIRECTION('',(3.70074341541719E-15,0.,-1.)); #434728=DIRECTION('center_axis',(0.,1.,0.)); #434729=DIRECTION('ref_axis',(0.,0.,1.)); #434730=DIRECTION('center_axis',(0.,1.,0.)); #434731=DIRECTION('ref_axis',(1.,0.,0.)); #434732=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #434733=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #434734=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #434735=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434736=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #434737=DIRECTION('',(0.,1.,0.)); #434738=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #434739=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #434740=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #434741=DIRECTION('',(1.11022302462516E-14,0.,1.)); #434742=DIRECTION('center_axis',(0.,0.,-1.)); #434743=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434744=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #434745=DIRECTION('center_axis',(0.,0.,-1.)); #434746=DIRECTION('ref_axis',(-1.,0.,0.)); #434747=DIRECTION('',(-1.,0.,0.)); #434748=DIRECTION('',(0.,1.,0.)); #434749=DIRECTION('',(-1.,0.,0.)); #434750=DIRECTION('',(0.,1.,0.)); #434751=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #434752=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #434753=DIRECTION('',(3.70074341541719E-15,0.,1.)); #434754=DIRECTION('',(3.70074341541719E-15,0.,1.)); #434755=DIRECTION('center_axis',(0.,0.,1.)); #434756=DIRECTION('ref_axis',(1.,0.,0.)); #434757=DIRECTION('',(1.,0.,0.)); #434758=DIRECTION('',(0.,1.,0.)); #434759=DIRECTION('',(1.,0.,0.)); #434760=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #434761=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #434762=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #434763=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #434764=DIRECTION('center_axis',(0.,-1.,0.)); #434765=DIRECTION('ref_axis',(0.,0.,1.)); #434766=DIRECTION('center_axis',(0.,-1.,0.)); #434767=DIRECTION('ref_axis',(-1.,0.,0.)); #434768=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #434769=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #434770=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #434771=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434772=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #434773=DIRECTION('',(0.,1.,0.)); #434774=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #434775=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #434776=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #434777=DIRECTION('',(1.11022302462516E-14,0.,1.)); #434778=DIRECTION('center_axis',(0.,0.,-1.)); #434779=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434780=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #434781=DIRECTION('center_axis',(0.,0.,-1.)); #434782=DIRECTION('ref_axis',(-1.,0.,0.)); #434783=DIRECTION('',(-1.,0.,0.)); #434784=DIRECTION('',(0.,1.,0.)); #434785=DIRECTION('',(-1.,0.,0.)); #434786=DIRECTION('',(0.,1.,0.)); #434787=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #434788=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #434789=DIRECTION('',(3.70074341541719E-15,0.,1.)); #434790=DIRECTION('',(3.70074341541719E-15,0.,1.)); #434791=DIRECTION('center_axis',(0.,0.,1.)); #434792=DIRECTION('ref_axis',(1.,0.,0.)); #434793=DIRECTION('',(1.,0.,0.)); #434794=DIRECTION('',(0.,1.,0.)); #434795=DIRECTION('',(1.,0.,0.)); #434796=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #434797=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #434798=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #434799=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #434800=DIRECTION('center_axis',(0.,-1.,0.)); #434801=DIRECTION('ref_axis',(0.,0.,1.)); #434802=DIRECTION('center_axis',(0.,-1.,0.)); #434803=DIRECTION('ref_axis',(-1.,0.,0.)); #434804=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #434805=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #434806=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #434807=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434808=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #434809=DIRECTION('',(0.,1.,0.)); #434810=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #434811=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #434812=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #434813=DIRECTION('',(1.11022302462516E-14,0.,1.)); #434814=DIRECTION('center_axis',(0.,0.,-1.)); #434815=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434816=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #434817=DIRECTION('center_axis',(0.,0.,-1.)); #434818=DIRECTION('ref_axis',(-1.,0.,0.)); #434819=DIRECTION('',(-1.,0.,0.)); #434820=DIRECTION('',(0.,1.,0.)); #434821=DIRECTION('',(-1.,0.,0.)); #434822=DIRECTION('',(0.,1.,0.)); #434823=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #434824=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #434825=DIRECTION('',(3.70074341541719E-15,0.,1.)); #434826=DIRECTION('',(3.70074341541719E-15,0.,1.)); #434827=DIRECTION('center_axis',(0.,0.,1.)); #434828=DIRECTION('ref_axis',(1.,0.,0.)); #434829=DIRECTION('',(1.,0.,0.)); #434830=DIRECTION('',(0.,1.,0.)); #434831=DIRECTION('',(1.,0.,0.)); #434832=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #434833=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #434834=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #434835=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #434836=DIRECTION('center_axis',(0.,-1.,0.)); #434837=DIRECTION('ref_axis',(0.,0.,1.)); #434838=DIRECTION('center_axis',(0.,-1.,0.)); #434839=DIRECTION('ref_axis',(-1.,0.,0.)); #434840=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #434841=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #434842=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #434843=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434844=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #434845=DIRECTION('',(0.,1.,0.)); #434846=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #434847=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #434848=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #434849=DIRECTION('',(1.11022302462516E-14,0.,1.)); #434850=DIRECTION('center_axis',(0.,0.,-1.)); #434851=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434852=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #434853=DIRECTION('center_axis',(0.,0.,-1.)); #434854=DIRECTION('ref_axis',(-1.,0.,0.)); #434855=DIRECTION('',(-1.,0.,0.)); #434856=DIRECTION('',(0.,1.,0.)); #434857=DIRECTION('',(-1.,0.,0.)); #434858=DIRECTION('',(0.,1.,0.)); #434859=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #434860=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #434861=DIRECTION('',(3.70074341541719E-15,0.,1.)); #434862=DIRECTION('',(3.70074341541719E-15,0.,1.)); #434863=DIRECTION('center_axis',(0.,0.,1.)); #434864=DIRECTION('ref_axis',(1.,0.,0.)); #434865=DIRECTION('',(1.,0.,0.)); #434866=DIRECTION('',(0.,1.,0.)); #434867=DIRECTION('',(1.,0.,0.)); #434868=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #434869=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #434870=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #434871=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #434872=DIRECTION('center_axis',(0.,-1.,0.)); #434873=DIRECTION('ref_axis',(0.,0.,1.)); #434874=DIRECTION('center_axis',(0.,-1.,0.)); #434875=DIRECTION('ref_axis',(-1.,0.,0.)); #434876=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #434877=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #434878=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #434879=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434880=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #434881=DIRECTION('',(0.,1.,0.)); #434882=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #434883=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #434884=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #434885=DIRECTION('',(1.11022302462516E-14,0.,1.)); #434886=DIRECTION('center_axis',(0.,0.,-1.)); #434887=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434888=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #434889=DIRECTION('center_axis',(0.,0.,-1.)); #434890=DIRECTION('ref_axis',(-1.,0.,0.)); #434891=DIRECTION('',(-1.,0.,0.)); #434892=DIRECTION('',(0.,1.,0.)); #434893=DIRECTION('',(-1.,0.,0.)); #434894=DIRECTION('',(0.,1.,0.)); #434895=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #434896=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #434897=DIRECTION('',(3.70074341541719E-15,0.,1.)); #434898=DIRECTION('',(3.70074341541719E-15,0.,1.)); #434899=DIRECTION('center_axis',(0.,0.,1.)); #434900=DIRECTION('ref_axis',(1.,0.,0.)); #434901=DIRECTION('',(1.,0.,0.)); #434902=DIRECTION('',(0.,1.,0.)); #434903=DIRECTION('',(1.,0.,0.)); #434904=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #434905=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #434906=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #434907=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #434908=DIRECTION('center_axis',(0.,-1.,0.)); #434909=DIRECTION('ref_axis',(0.,0.,1.)); #434910=DIRECTION('center_axis',(0.,-1.,0.)); #434911=DIRECTION('ref_axis',(-1.,0.,0.)); #434912=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #434913=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #434914=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #434915=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434916=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #434917=DIRECTION('',(0.,1.,0.)); #434918=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #434919=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #434920=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #434921=DIRECTION('',(1.11022302462516E-14,0.,1.)); #434922=DIRECTION('center_axis',(0.,0.,-1.)); #434923=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434924=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #434925=DIRECTION('center_axis',(0.,0.,-1.)); #434926=DIRECTION('ref_axis',(-1.,0.,0.)); #434927=DIRECTION('',(-1.,0.,0.)); #434928=DIRECTION('',(0.,1.,0.)); #434929=DIRECTION('',(-1.,0.,0.)); #434930=DIRECTION('',(0.,1.,0.)); #434931=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #434932=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #434933=DIRECTION('',(3.70074341541719E-15,0.,1.)); #434934=DIRECTION('',(3.70074341541719E-15,0.,1.)); #434935=DIRECTION('center_axis',(0.,0.,1.)); #434936=DIRECTION('ref_axis',(1.,0.,0.)); #434937=DIRECTION('',(1.,0.,0.)); #434938=DIRECTION('',(0.,1.,0.)); #434939=DIRECTION('',(1.,0.,0.)); #434940=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #434941=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #434942=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #434943=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #434944=DIRECTION('center_axis',(0.,-1.,0.)); #434945=DIRECTION('ref_axis',(0.,0.,1.)); #434946=DIRECTION('center_axis',(0.,-1.,0.)); #434947=DIRECTION('ref_axis',(-1.,0.,0.)); #434948=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #434949=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #434950=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #434951=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434952=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #434953=DIRECTION('',(0.,1.,0.)); #434954=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #434955=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #434956=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #434957=DIRECTION('',(1.11022302462516E-14,0.,1.)); #434958=DIRECTION('center_axis',(0.,0.,-1.)); #434959=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434960=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #434961=DIRECTION('center_axis',(0.,0.,-1.)); #434962=DIRECTION('ref_axis',(-1.,0.,0.)); #434963=DIRECTION('',(-1.,0.,0.)); #434964=DIRECTION('',(0.,1.,0.)); #434965=DIRECTION('',(-1.,0.,0.)); #434966=DIRECTION('',(0.,1.,0.)); #434967=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #434968=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #434969=DIRECTION('',(3.70074341541719E-15,0.,1.)); #434970=DIRECTION('',(3.70074341541719E-15,0.,1.)); #434971=DIRECTION('center_axis',(0.,0.,1.)); #434972=DIRECTION('ref_axis',(1.,0.,0.)); #434973=DIRECTION('',(1.,0.,0.)); #434974=DIRECTION('',(0.,1.,0.)); #434975=DIRECTION('',(1.,0.,0.)); #434976=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #434977=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #434978=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #434979=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #434980=DIRECTION('center_axis',(0.,-1.,0.)); #434981=DIRECTION('ref_axis',(0.,0.,1.)); #434982=DIRECTION('center_axis',(0.,-1.,0.)); #434983=DIRECTION('ref_axis',(-1.,0.,0.)); #434984=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #434985=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #434986=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #434987=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434988=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #434989=DIRECTION('',(0.,1.,0.)); #434990=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #434991=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #434992=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #434993=DIRECTION('',(1.11022302462516E-14,0.,1.)); #434994=DIRECTION('center_axis',(0.,0.,-1.)); #434995=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #434996=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #434997=DIRECTION('center_axis',(0.,0.,-1.)); #434998=DIRECTION('ref_axis',(-1.,0.,0.)); #434999=DIRECTION('',(-1.,0.,0.)); #435000=DIRECTION('',(0.,1.,0.)); #435001=DIRECTION('',(-1.,0.,0.)); #435002=DIRECTION('',(0.,1.,0.)); #435003=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #435004=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #435005=DIRECTION('',(3.70074341541719E-15,0.,1.)); #435006=DIRECTION('',(3.70074341541719E-15,0.,1.)); #435007=DIRECTION('center_axis',(0.,0.,1.)); #435008=DIRECTION('ref_axis',(1.,0.,0.)); #435009=DIRECTION('',(1.,0.,0.)); #435010=DIRECTION('',(0.,1.,0.)); #435011=DIRECTION('',(1.,0.,0.)); #435012=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #435013=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #435014=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #435015=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #435016=DIRECTION('center_axis',(0.,-1.,0.)); #435017=DIRECTION('ref_axis',(0.,0.,1.)); #435018=DIRECTION('center_axis',(0.,-1.,0.)); #435019=DIRECTION('ref_axis',(-1.,0.,0.)); #435020=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #435021=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #435022=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #435023=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #435024=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #435025=DIRECTION('',(0.,1.,0.)); #435026=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #435027=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #435028=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #435029=DIRECTION('',(1.11022302462516E-14,0.,1.)); #435030=DIRECTION('center_axis',(0.,0.,-1.)); #435031=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #435032=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #435033=DIRECTION('center_axis',(0.,0.,-1.)); #435034=DIRECTION('ref_axis',(-1.,0.,0.)); #435035=DIRECTION('',(-1.,0.,0.)); #435036=DIRECTION('',(0.,1.,0.)); #435037=DIRECTION('',(-1.,0.,0.)); #435038=DIRECTION('',(0.,1.,0.)); #435039=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #435040=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #435041=DIRECTION('',(3.70074341541719E-15,0.,1.)); #435042=DIRECTION('',(3.70074341541719E-15,0.,1.)); #435043=DIRECTION('center_axis',(0.,0.,1.)); #435044=DIRECTION('ref_axis',(1.,0.,0.)); #435045=DIRECTION('',(1.,0.,0.)); #435046=DIRECTION('',(0.,1.,0.)); #435047=DIRECTION('',(1.,0.,0.)); #435048=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #435049=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #435050=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #435051=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #435052=DIRECTION('center_axis',(0.,-1.,0.)); #435053=DIRECTION('ref_axis',(0.,0.,1.)); #435054=DIRECTION('center_axis',(0.,-1.,0.)); #435055=DIRECTION('ref_axis',(-1.,0.,0.)); #435056=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #435057=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #435058=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #435059=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #435060=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #435061=DIRECTION('',(0.,1.,0.)); #435062=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #435063=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #435064=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #435065=DIRECTION('',(1.11022302462516E-14,0.,1.)); #435066=DIRECTION('center_axis',(0.,0.,-1.)); #435067=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #435068=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #435069=DIRECTION('center_axis',(0.,0.,-1.)); #435070=DIRECTION('ref_axis',(-1.,0.,0.)); #435071=DIRECTION('',(-1.,0.,0.)); #435072=DIRECTION('',(0.,1.,0.)); #435073=DIRECTION('',(-1.,0.,0.)); #435074=DIRECTION('',(0.,1.,0.)); #435075=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #435076=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #435077=DIRECTION('',(3.70074341541719E-15,0.,1.)); #435078=DIRECTION('',(3.70074341541719E-15,0.,1.)); #435079=DIRECTION('center_axis',(0.,0.,1.)); #435080=DIRECTION('ref_axis',(1.,0.,0.)); #435081=DIRECTION('',(1.,0.,0.)); #435082=DIRECTION('',(0.,1.,0.)); #435083=DIRECTION('',(1.,0.,0.)); #435084=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #435085=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #435086=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #435087=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #435088=DIRECTION('center_axis',(0.,-1.,0.)); #435089=DIRECTION('ref_axis',(0.,0.,1.)); #435090=DIRECTION('center_axis',(0.,-1.,0.)); #435091=DIRECTION('ref_axis',(-1.,0.,0.)); #435092=DIRECTION('center_axis',(6.50521303491302E-17,0.,-1.)); #435093=DIRECTION('ref_axis',(-1.,0.,-6.50521303491302E-17)); #435094=DIRECTION('center_axis',(-6.50521303491302E-17,0.,1.)); #435095=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #435096=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #435097=DIRECTION('',(0.,1.,0.)); #435098=DIRECTION('',(-1.,0.,-6.50521303491302E-17)); #435099=DIRECTION('center_axis',(-1.11022302462516E-14,0.,-1.)); #435100=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.85046229341887E-15)); #435101=DIRECTION('',(1.11022302462516E-14,0.,1.)); #435102=DIRECTION('center_axis',(0.,0.,-1.)); #435103=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.85046229341887E-15)); #435104=DIRECTION('',(-1.11022302462516E-14,0.,-1.)); #435105=DIRECTION('center_axis',(0.,0.,-1.)); #435106=DIRECTION('ref_axis',(-1.,0.,0.)); #435107=DIRECTION('',(-1.,0.,0.)); #435108=DIRECTION('',(0.,1.,0.)); #435109=DIRECTION('',(-1.,0.,0.)); #435110=DIRECTION('',(0.,1.,0.)); #435111=DIRECTION('center_axis',(-1.,0.,3.70074341541719E-15)); #435112=DIRECTION('ref_axis',(3.70074341541719E-15,0.,1.)); #435113=DIRECTION('',(3.70074341541719E-15,0.,1.)); #435114=DIRECTION('',(3.70074341541719E-15,0.,1.)); #435115=DIRECTION('center_axis',(0.,0.,1.)); #435116=DIRECTION('ref_axis',(1.,0.,0.)); #435117=DIRECTION('',(1.,0.,0.)); #435118=DIRECTION('',(0.,1.,0.)); #435119=DIRECTION('',(1.,0.,0.)); #435120=DIRECTION('center_axis',(1.,0.,-3.70074341541719E-15)); #435121=DIRECTION('ref_axis',(-3.70074341541719E-15,0.,-1.)); #435122=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #435123=DIRECTION('',(-3.70074341541719E-15,0.,-1.)); #435124=DIRECTION('center_axis',(0.,-1.,0.)); #435125=DIRECTION('ref_axis',(0.,0.,1.)); #435126=DIRECTION('center_axis',(0.,-1.,0.)); #435127=DIRECTION('ref_axis',(-1.,0.,0.)); #435128=DIRECTION('center_axis',(0.,0.,-1.)); #435129=DIRECTION('ref_axis',(0.,1.,0.)); #435130=DIRECTION('center_axis',(0.,0.,1.)); #435131=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #435132=DIRECTION('',(0.,-1.,0.)); #435133=DIRECTION('',(1.,0.,0.)); #435134=DIRECTION('',(0.,1.,0.)); #435135=DIRECTION('center_axis',(0.,0.,1.)); #435136=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #435137=DIRECTION('center_axis',(0.,0.,-1.)); #435138=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #435139=DIRECTION('',(0.,0.,-1.)); #435140=DIRECTION('',(0.,0.,1.)); #435141=DIRECTION('center_axis',(0.,0.,1.)); #435142=DIRECTION('ref_axis',(0.,-1.,0.)); #435143=DIRECTION('',(0.,-1.,0.)); #435144=DIRECTION('',(1.,0.,0.)); #435145=DIRECTION('',(0.,1.,0.)); #435146=DIRECTION('center_axis',(0.,-1.,0.)); #435147=DIRECTION('ref_axis',(0.,0.,-1.)); #435148=DIRECTION('',(0.,0.,-1.)); #435149=DIRECTION('',(0.,0.,-1.)); #435150=DIRECTION('',(1.,0.,0.)); #435151=DIRECTION('center_axis',(0.,0.,1.)); #435152=DIRECTION('ref_axis',(0.,-1.,0.)); #435153=DIRECTION('',(0.,-1.,0.)); #435154=DIRECTION('',(0.,-1.,0.)); #435155=DIRECTION('',(1.,0.,0.)); #435156=DIRECTION('center_axis',(0.,1.,0.)); #435157=DIRECTION('ref_axis',(0.,0.,1.)); #435158=DIRECTION('',(0.,0.,1.)); #435159=DIRECTION('',(0.,0.,1.)); #435160=DIRECTION('center_axis',(1.,0.,0.)); #435161=DIRECTION('ref_axis',(0.,0.,-1.)); #435162=DIRECTION('center_axis',(1.,0.,0.)); #435163=DIRECTION('ref_axis',(0.,0.,-1.)); #435164=DIRECTION('center_axis',(0.,0.,1.)); #435165=DIRECTION('ref_axis',(0.,-1.,0.)); #435166=DIRECTION('center_axis',(0.,0.,-1.)); #435167=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #435168=DIRECTION('',(0.,-1.,0.)); #435169=DIRECTION('',(1.,0.,0.)); #435170=DIRECTION('',(0.,1.,0.)); #435171=DIRECTION('center_axis',(0.,0.,-1.)); #435172=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #435173=DIRECTION('center_axis',(0.,0.,1.)); #435174=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #435175=DIRECTION('',(0.,0.,1.)); #435176=DIRECTION('',(0.,0.,-1.)); #435177=DIRECTION('center_axis',(0.,0.,-1.)); #435178=DIRECTION('ref_axis',(0.,1.,0.)); #435179=DIRECTION('',(0.,-1.,0.)); #435180=DIRECTION('',(1.,0.,0.)); #435181=DIRECTION('',(0.,1.,0.)); #435182=DIRECTION('center_axis',(0.,-1.,0.)); #435183=DIRECTION('ref_axis',(0.,0.,-1.)); #435184=DIRECTION('',(0.,0.,-1.)); #435185=DIRECTION('',(1.,0.,0.)); #435186=DIRECTION('',(0.,0.,-1.)); #435187=DIRECTION('center_axis',(0.,0.,-1.)); #435188=DIRECTION('ref_axis',(0.,1.,0.)); #435189=DIRECTION('',(0.,1.,0.)); #435190=DIRECTION('',(1.,0.,0.)); #435191=DIRECTION('',(0.,1.,0.)); #435192=DIRECTION('center_axis',(0.,1.,0.)); #435193=DIRECTION('ref_axis',(0.,0.,1.)); #435194=DIRECTION('',(0.,0.,1.)); #435195=DIRECTION('',(0.,0.,1.)); #435196=DIRECTION('center_axis',(-1.,0.,0.)); #435197=DIRECTION('ref_axis',(0.,0.,-1.)); #435198=DIRECTION('center_axis',(-1.,0.,0.)); #435199=DIRECTION('ref_axis',(0.,0.,-1.)); #435200=DIRECTION('center_axis',(0.,0.,-1.)); #435201=DIRECTION('ref_axis',(0.,1.,0.)); #435202=DIRECTION('center_axis',(0.,0.,1.)); #435203=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #435204=DIRECTION('',(0.,-1.,0.)); #435205=DIRECTION('',(1.,0.,0.)); #435206=DIRECTION('',(0.,1.,0.)); #435207=DIRECTION('center_axis',(0.,0.,1.)); #435208=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #435209=DIRECTION('center_axis',(0.,0.,-1.)); #435210=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #435211=DIRECTION('',(0.,0.,-1.)); #435212=DIRECTION('',(0.,0.,1.)); #435213=DIRECTION('center_axis',(0.,0.,1.)); #435214=DIRECTION('ref_axis',(0.,-1.,0.)); #435215=DIRECTION('',(0.,-1.,0.)); #435216=DIRECTION('',(1.,0.,0.)); #435217=DIRECTION('',(0.,1.,0.)); #435218=DIRECTION('center_axis',(0.,-1.,0.)); #435219=DIRECTION('ref_axis',(0.,0.,-1.)); #435220=DIRECTION('',(0.,0.,-1.)); #435221=DIRECTION('',(0.,0.,-1.)); #435222=DIRECTION('',(1.,0.,0.)); #435223=DIRECTION('center_axis',(0.,0.,1.)); #435224=DIRECTION('ref_axis',(0.,-1.,0.)); #435225=DIRECTION('',(0.,-1.,0.)); #435226=DIRECTION('',(0.,-1.,0.)); #435227=DIRECTION('',(1.,0.,0.)); #435228=DIRECTION('center_axis',(0.,1.,0.)); #435229=DIRECTION('ref_axis',(0.,0.,1.)); #435230=DIRECTION('',(0.,0.,1.)); #435231=DIRECTION('',(0.,0.,1.)); #435232=DIRECTION('center_axis',(1.,0.,0.)); #435233=DIRECTION('ref_axis',(0.,0.,-1.)); #435234=DIRECTION('center_axis',(1.,0.,0.)); #435235=DIRECTION('ref_axis',(0.,0.,-1.)); #435236=DIRECTION('center_axis',(0.,0.,-1.)); #435237=DIRECTION('ref_axis',(0.,1.,0.)); #435238=DIRECTION('center_axis',(0.,0.,1.)); #435239=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #435240=DIRECTION('',(0.,-1.,0.)); #435241=DIRECTION('',(1.,0.,0.)); #435242=DIRECTION('',(0.,1.,0.)); #435243=DIRECTION('center_axis',(0.,0.,1.)); #435244=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #435245=DIRECTION('center_axis',(0.,0.,-1.)); #435246=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #435247=DIRECTION('',(0.,0.,-1.)); #435248=DIRECTION('',(0.,0.,1.)); #435249=DIRECTION('center_axis',(0.,0.,1.)); #435250=DIRECTION('ref_axis',(0.,-1.,0.)); #435251=DIRECTION('',(0.,-1.,0.)); #435252=DIRECTION('',(1.,0.,0.)); #435253=DIRECTION('',(0.,1.,0.)); #435254=DIRECTION('center_axis',(0.,-1.,0.)); #435255=DIRECTION('ref_axis',(0.,0.,-1.)); #435256=DIRECTION('',(0.,0.,-1.)); #435257=DIRECTION('',(0.,0.,-1.)); #435258=DIRECTION('',(1.,0.,0.)); #435259=DIRECTION('center_axis',(0.,0.,1.)); #435260=DIRECTION('ref_axis',(0.,-1.,0.)); #435261=DIRECTION('',(0.,-1.,0.)); #435262=DIRECTION('',(0.,-1.,0.)); #435263=DIRECTION('',(1.,0.,0.)); #435264=DIRECTION('center_axis',(0.,1.,0.)); #435265=DIRECTION('ref_axis',(0.,0.,1.)); #435266=DIRECTION('',(0.,0.,1.)); #435267=DIRECTION('',(0.,0.,1.)); #435268=DIRECTION('center_axis',(1.,0.,0.)); #435269=DIRECTION('ref_axis',(0.,0.,-1.)); #435270=DIRECTION('center_axis',(1.,0.,0.)); #435271=DIRECTION('ref_axis',(0.,0.,-1.)); #435272=DIRECTION('center_axis',(0.,0.,-1.)); #435273=DIRECTION('ref_axis',(0.,1.,0.)); #435274=DIRECTION('center_axis',(0.,0.,1.)); #435275=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #435276=DIRECTION('',(0.,-1.,0.)); #435277=DIRECTION('',(1.,0.,0.)); #435278=DIRECTION('',(0.,1.,0.)); #435279=DIRECTION('center_axis',(0.,0.,1.)); #435280=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #435281=DIRECTION('center_axis',(0.,0.,-1.)); #435282=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #435283=DIRECTION('',(0.,0.,-1.)); #435284=DIRECTION('',(0.,0.,1.)); #435285=DIRECTION('center_axis',(0.,0.,1.)); #435286=DIRECTION('ref_axis',(0.,-1.,0.)); #435287=DIRECTION('',(0.,-1.,0.)); #435288=DIRECTION('',(1.,0.,0.)); #435289=DIRECTION('',(0.,1.,0.)); #435290=DIRECTION('center_axis',(0.,-1.,0.)); #435291=DIRECTION('ref_axis',(0.,0.,-1.)); #435292=DIRECTION('',(0.,0.,-1.)); #435293=DIRECTION('',(0.,0.,-1.)); #435294=DIRECTION('',(1.,0.,0.)); #435295=DIRECTION('center_axis',(0.,0.,1.)); #435296=DIRECTION('ref_axis',(0.,-1.,0.)); #435297=DIRECTION('',(0.,-1.,0.)); #435298=DIRECTION('',(0.,-1.,0.)); #435299=DIRECTION('',(1.,0.,0.)); #435300=DIRECTION('center_axis',(0.,1.,0.)); #435301=DIRECTION('ref_axis',(0.,0.,1.)); #435302=DIRECTION('',(0.,0.,1.)); #435303=DIRECTION('',(0.,0.,1.)); #435304=DIRECTION('center_axis',(1.,0.,0.)); #435305=DIRECTION('ref_axis',(0.,0.,-1.)); #435306=DIRECTION('center_axis',(1.,0.,0.)); #435307=DIRECTION('ref_axis',(0.,0.,-1.)); #435308=DIRECTION('center_axis',(0.,0.,-1.)); #435309=DIRECTION('ref_axis',(0.,1.,0.)); #435310=DIRECTION('center_axis',(0.,0.,1.)); #435311=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #435312=DIRECTION('',(0.,-1.,0.)); #435313=DIRECTION('',(1.,0.,0.)); #435314=DIRECTION('',(0.,1.,0.)); #435315=DIRECTION('center_axis',(0.,0.,1.)); #435316=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #435317=DIRECTION('center_axis',(0.,0.,-1.)); #435318=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #435319=DIRECTION('',(0.,0.,-1.)); #435320=DIRECTION('',(0.,0.,1.)); #435321=DIRECTION('center_axis',(0.,0.,1.)); #435322=DIRECTION('ref_axis',(0.,-1.,0.)); #435323=DIRECTION('',(0.,-1.,0.)); #435324=DIRECTION('',(1.,0.,0.)); #435325=DIRECTION('',(0.,1.,0.)); #435326=DIRECTION('center_axis',(0.,-1.,0.)); #435327=DIRECTION('ref_axis',(0.,0.,-1.)); #435328=DIRECTION('',(0.,0.,-1.)); #435329=DIRECTION('',(0.,0.,-1.)); #435330=DIRECTION('',(1.,0.,0.)); #435331=DIRECTION('center_axis',(0.,0.,1.)); #435332=DIRECTION('ref_axis',(0.,-1.,0.)); #435333=DIRECTION('',(0.,-1.,0.)); #435334=DIRECTION('',(0.,-1.,0.)); #435335=DIRECTION('',(1.,0.,0.)); #435336=DIRECTION('center_axis',(0.,1.,0.)); #435337=DIRECTION('ref_axis',(0.,0.,1.)); #435338=DIRECTION('',(0.,0.,1.)); #435339=DIRECTION('',(0.,0.,1.)); #435340=DIRECTION('center_axis',(1.,0.,0.)); #435341=DIRECTION('ref_axis',(0.,0.,-1.)); #435342=DIRECTION('center_axis',(1.,0.,0.)); #435343=DIRECTION('ref_axis',(0.,0.,-1.)); #435344=DIRECTION('center_axis',(0.,0.,-1.)); #435345=DIRECTION('ref_axis',(0.,1.,0.)); #435346=DIRECTION('center_axis',(0.,0.,1.)); #435347=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #435348=DIRECTION('',(0.,-1.,0.)); #435349=DIRECTION('',(1.,0.,0.)); #435350=DIRECTION('',(0.,1.,0.)); #435351=DIRECTION('center_axis',(0.,0.,1.)); #435352=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #435353=DIRECTION('center_axis',(0.,0.,-1.)); #435354=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #435355=DIRECTION('',(0.,0.,-1.)); #435356=DIRECTION('',(0.,0.,1.)); #435357=DIRECTION('center_axis',(0.,0.,1.)); #435358=DIRECTION('ref_axis',(0.,-1.,0.)); #435359=DIRECTION('',(0.,-1.,0.)); #435360=DIRECTION('',(1.,0.,0.)); #435361=DIRECTION('',(0.,1.,0.)); #435362=DIRECTION('center_axis',(0.,-1.,0.)); #435363=DIRECTION('ref_axis',(0.,0.,-1.)); #435364=DIRECTION('',(0.,0.,-1.)); #435365=DIRECTION('',(0.,0.,-1.)); #435366=DIRECTION('',(1.,0.,0.)); #435367=DIRECTION('center_axis',(0.,0.,1.)); #435368=DIRECTION('ref_axis',(0.,-1.,0.)); #435369=DIRECTION('',(0.,-1.,0.)); #435370=DIRECTION('',(0.,-1.,0.)); #435371=DIRECTION('',(1.,0.,0.)); #435372=DIRECTION('center_axis',(0.,1.,0.)); #435373=DIRECTION('ref_axis',(0.,0.,1.)); #435374=DIRECTION('',(0.,0.,1.)); #435375=DIRECTION('',(0.,0.,1.)); #435376=DIRECTION('center_axis',(1.,0.,0.)); #435377=DIRECTION('ref_axis',(0.,0.,-1.)); #435378=DIRECTION('center_axis',(1.,0.,0.)); #435379=DIRECTION('ref_axis',(0.,0.,-1.)); #435380=DIRECTION('center_axis',(0.,0.,-1.)); #435381=DIRECTION('ref_axis',(0.,1.,0.)); #435382=DIRECTION('center_axis',(0.,0.,1.)); #435383=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #435384=DIRECTION('',(0.,-1.,0.)); #435385=DIRECTION('',(1.,0.,0.)); #435386=DIRECTION('',(0.,1.,0.)); #435387=DIRECTION('center_axis',(0.,0.,1.)); #435388=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #435389=DIRECTION('center_axis',(0.,0.,-1.)); #435390=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #435391=DIRECTION('',(0.,0.,-1.)); #435392=DIRECTION('',(0.,0.,1.)); #435393=DIRECTION('center_axis',(0.,0.,1.)); #435394=DIRECTION('ref_axis',(0.,-1.,0.)); #435395=DIRECTION('',(0.,-1.,0.)); #435396=DIRECTION('',(1.,0.,0.)); #435397=DIRECTION('',(0.,1.,0.)); #435398=DIRECTION('center_axis',(0.,-1.,0.)); #435399=DIRECTION('ref_axis',(0.,0.,-1.)); #435400=DIRECTION('',(0.,0.,-1.)); #435401=DIRECTION('',(0.,0.,-1.)); #435402=DIRECTION('',(1.,0.,0.)); #435403=DIRECTION('center_axis',(0.,0.,1.)); #435404=DIRECTION('ref_axis',(0.,-1.,0.)); #435405=DIRECTION('',(0.,-1.,0.)); #435406=DIRECTION('',(0.,-1.,0.)); #435407=DIRECTION('',(1.,0.,0.)); #435408=DIRECTION('center_axis',(0.,1.,0.)); #435409=DIRECTION('ref_axis',(0.,0.,1.)); #435410=DIRECTION('',(0.,0.,1.)); #435411=DIRECTION('',(0.,0.,1.)); #435412=DIRECTION('center_axis',(1.,0.,0.)); #435413=DIRECTION('ref_axis',(0.,0.,-1.)); #435414=DIRECTION('center_axis',(1.,0.,0.)); #435415=DIRECTION('ref_axis',(0.,0.,-1.)); #435416=DIRECTION('center_axis',(0.,0.,-1.)); #435417=DIRECTION('ref_axis',(0.,1.,0.)); #435418=DIRECTION('center_axis',(0.,0.,1.)); #435419=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #435420=DIRECTION('',(0.,-1.,0.)); #435421=DIRECTION('',(1.,0.,0.)); #435422=DIRECTION('',(0.,1.,0.)); #435423=DIRECTION('center_axis',(0.,0.,1.)); #435424=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #435425=DIRECTION('center_axis',(0.,0.,-1.)); #435426=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #435427=DIRECTION('',(0.,0.,-1.)); #435428=DIRECTION('',(0.,0.,1.)); #435429=DIRECTION('center_axis',(0.,0.,1.)); #435430=DIRECTION('ref_axis',(0.,-1.,0.)); #435431=DIRECTION('',(0.,-1.,0.)); #435432=DIRECTION('',(1.,0.,0.)); #435433=DIRECTION('',(0.,1.,0.)); #435434=DIRECTION('center_axis',(0.,-1.,0.)); #435435=DIRECTION('ref_axis',(0.,0.,-1.)); #435436=DIRECTION('',(0.,0.,-1.)); #435437=DIRECTION('',(0.,0.,-1.)); #435438=DIRECTION('',(1.,0.,0.)); #435439=DIRECTION('center_axis',(0.,0.,1.)); #435440=DIRECTION('ref_axis',(0.,-1.,0.)); #435441=DIRECTION('',(0.,-1.,0.)); #435442=DIRECTION('',(0.,-1.,0.)); #435443=DIRECTION('',(1.,0.,0.)); #435444=DIRECTION('center_axis',(0.,1.,0.)); #435445=DIRECTION('ref_axis',(0.,0.,1.)); #435446=DIRECTION('',(0.,0.,1.)); #435447=DIRECTION('',(0.,0.,1.)); #435448=DIRECTION('center_axis',(1.,0.,0.)); #435449=DIRECTION('ref_axis',(0.,0.,-1.)); #435450=DIRECTION('center_axis',(1.,0.,0.)); #435451=DIRECTION('ref_axis',(0.,0.,-1.)); #435452=DIRECTION('center_axis',(0.,0.,-1.)); #435453=DIRECTION('ref_axis',(0.,1.,0.)); #435454=DIRECTION('center_axis',(0.,0.,1.)); #435455=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #435456=DIRECTION('',(0.,-1.,0.)); #435457=DIRECTION('',(1.,0.,0.)); #435458=DIRECTION('',(0.,1.,0.)); #435459=DIRECTION('center_axis',(0.,0.,1.)); #435460=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #435461=DIRECTION('center_axis',(0.,0.,-1.)); #435462=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #435463=DIRECTION('',(0.,0.,-1.)); #435464=DIRECTION('',(0.,0.,1.)); #435465=DIRECTION('center_axis',(0.,0.,1.)); #435466=DIRECTION('ref_axis',(0.,-1.,0.)); #435467=DIRECTION('',(0.,-1.,0.)); #435468=DIRECTION('',(1.,0.,0.)); #435469=DIRECTION('',(0.,1.,0.)); #435470=DIRECTION('center_axis',(0.,-1.,0.)); #435471=DIRECTION('ref_axis',(0.,0.,-1.)); #435472=DIRECTION('',(0.,0.,-1.)); #435473=DIRECTION('',(0.,0.,-1.)); #435474=DIRECTION('',(1.,0.,0.)); #435475=DIRECTION('center_axis',(0.,0.,1.)); #435476=DIRECTION('ref_axis',(0.,-1.,0.)); #435477=DIRECTION('',(0.,-1.,0.)); #435478=DIRECTION('',(0.,-1.,0.)); #435479=DIRECTION('',(1.,0.,0.)); #435480=DIRECTION('center_axis',(0.,1.,0.)); #435481=DIRECTION('ref_axis',(0.,0.,1.)); #435482=DIRECTION('',(0.,0.,1.)); #435483=DIRECTION('',(0.,0.,1.)); #435484=DIRECTION('center_axis',(1.,0.,0.)); #435485=DIRECTION('ref_axis',(0.,0.,-1.)); #435486=DIRECTION('center_axis',(1.,0.,0.)); #435487=DIRECTION('ref_axis',(0.,0.,-1.)); #435488=DIRECTION('center_axis',(0.,0.,-1.)); #435489=DIRECTION('ref_axis',(0.,1.,0.)); #435490=DIRECTION('center_axis',(0.,0.,1.)); #435491=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #435492=DIRECTION('',(0.,-1.,0.)); #435493=DIRECTION('',(1.,0.,0.)); #435494=DIRECTION('',(0.,1.,0.)); #435495=DIRECTION('center_axis',(0.,0.,1.)); #435496=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #435497=DIRECTION('center_axis',(0.,0.,-1.)); #435498=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #435499=DIRECTION('',(0.,0.,-1.)); #435500=DIRECTION('',(0.,0.,1.)); #435501=DIRECTION('center_axis',(0.,0.,1.)); #435502=DIRECTION('ref_axis',(0.,-1.,0.)); #435503=DIRECTION('',(0.,-1.,0.)); #435504=DIRECTION('',(1.,0.,0.)); #435505=DIRECTION('',(0.,1.,0.)); #435506=DIRECTION('center_axis',(0.,-1.,0.)); #435507=DIRECTION('ref_axis',(0.,0.,-1.)); #435508=DIRECTION('',(0.,0.,-1.)); #435509=DIRECTION('',(0.,0.,-1.)); #435510=DIRECTION('',(1.,0.,0.)); #435511=DIRECTION('center_axis',(0.,0.,1.)); #435512=DIRECTION('ref_axis',(0.,-1.,0.)); #435513=DIRECTION('',(0.,-1.,0.)); #435514=DIRECTION('',(0.,-1.,0.)); #435515=DIRECTION('',(1.,0.,0.)); #435516=DIRECTION('center_axis',(0.,1.,0.)); #435517=DIRECTION('ref_axis',(0.,0.,1.)); #435518=DIRECTION('',(0.,0.,1.)); #435519=DIRECTION('',(0.,0.,1.)); #435520=DIRECTION('center_axis',(1.,0.,0.)); #435521=DIRECTION('ref_axis',(0.,0.,-1.)); #435522=DIRECTION('center_axis',(1.,0.,0.)); #435523=DIRECTION('ref_axis',(0.,0.,-1.)); #435524=DIRECTION('center_axis',(0.,0.,-1.)); #435525=DIRECTION('ref_axis',(0.,1.,0.)); #435526=DIRECTION('center_axis',(0.,0.,1.)); #435527=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #435528=DIRECTION('',(0.,-1.,0.)); #435529=DIRECTION('',(1.,0.,0.)); #435530=DIRECTION('',(0.,1.,0.)); #435531=DIRECTION('center_axis',(0.,0.,1.)); #435532=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #435533=DIRECTION('center_axis',(0.,0.,-1.)); #435534=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #435535=DIRECTION('',(0.,0.,-1.)); #435536=DIRECTION('',(0.,0.,1.)); #435537=DIRECTION('center_axis',(0.,0.,1.)); #435538=DIRECTION('ref_axis',(0.,-1.,0.)); #435539=DIRECTION('',(0.,-1.,0.)); #435540=DIRECTION('',(1.,0.,0.)); #435541=DIRECTION('',(0.,1.,0.)); #435542=DIRECTION('center_axis',(0.,-1.,0.)); #435543=DIRECTION('ref_axis',(0.,0.,-1.)); #435544=DIRECTION('',(0.,0.,-1.)); #435545=DIRECTION('',(0.,0.,-1.)); #435546=DIRECTION('',(1.,0.,0.)); #435547=DIRECTION('center_axis',(0.,0.,1.)); #435548=DIRECTION('ref_axis',(0.,-1.,0.)); #435549=DIRECTION('',(0.,-1.,0.)); #435550=DIRECTION('',(0.,-1.,0.)); #435551=DIRECTION('',(1.,0.,0.)); #435552=DIRECTION('center_axis',(0.,1.,0.)); #435553=DIRECTION('ref_axis',(0.,0.,1.)); #435554=DIRECTION('',(0.,0.,1.)); #435555=DIRECTION('',(0.,0.,1.)); #435556=DIRECTION('center_axis',(1.,0.,0.)); #435557=DIRECTION('ref_axis',(0.,0.,-1.)); #435558=DIRECTION('center_axis',(1.,0.,0.)); #435559=DIRECTION('ref_axis',(0.,0.,-1.)); #435560=DIRECTION('center_axis',(0.,0.,-1.)); #435561=DIRECTION('ref_axis',(0.,1.,0.)); #435562=DIRECTION('center_axis',(0.,0.,1.)); #435563=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #435564=DIRECTION('',(0.,-1.,0.)); #435565=DIRECTION('',(1.,0.,0.)); #435566=DIRECTION('',(0.,1.,0.)); #435567=DIRECTION('center_axis',(0.,0.,1.)); #435568=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.)); #435569=DIRECTION('center_axis',(0.,0.,-1.)); #435570=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.)); #435571=DIRECTION('',(0.,0.,-1.)); #435572=DIRECTION('',(0.,0.,1.)); #435573=DIRECTION('center_axis',(0.,0.,1.)); #435574=DIRECTION('ref_axis',(0.,-1.,0.)); #435575=DIRECTION('',(0.,-1.,0.)); #435576=DIRECTION('',(1.,0.,0.)); #435577=DIRECTION('',(0.,1.,0.)); #435578=DIRECTION('center_axis',(0.,-1.,0.)); #435579=DIRECTION('ref_axis',(0.,0.,-1.)); #435580=DIRECTION('',(0.,0.,-1.)); #435581=DIRECTION('',(0.,0.,-1.)); #435582=DIRECTION('',(1.,0.,0.)); #435583=DIRECTION('center_axis',(0.,0.,1.)); #435584=DIRECTION('ref_axis',(0.,-1.,0.)); #435585=DIRECTION('',(0.,-1.,0.)); #435586=DIRECTION('',(0.,-1.,0.)); #435587=DIRECTION('',(1.,0.,0.)); #435588=DIRECTION('center_axis',(0.,1.,0.)); #435589=DIRECTION('ref_axis',(0.,0.,1.)); #435590=DIRECTION('',(0.,0.,1.)); #435591=DIRECTION('',(0.,0.,1.)); #435592=DIRECTION('center_axis',(1.,0.,0.)); #435593=DIRECTION('ref_axis',(0.,0.,-1.)); #435594=DIRECTION('center_axis',(1.,0.,0.)); #435595=DIRECTION('ref_axis',(0.,0.,-1.)); #435596=DIRECTION('center_axis',(0.,0.,1.)); #435597=DIRECTION('ref_axis',(0.,-1.,0.)); #435598=DIRECTION('center_axis',(0.,0.,-1.)); #435599=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #435600=DIRECTION('',(0.,-1.,0.)); #435601=DIRECTION('',(1.,0.,0.)); #435602=DIRECTION('',(0.,1.,0.)); #435603=DIRECTION('center_axis',(0.,0.,-1.)); #435604=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #435605=DIRECTION('center_axis',(0.,0.,1.)); #435606=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #435607=DIRECTION('',(0.,0.,1.)); #435608=DIRECTION('',(0.,0.,-1.)); #435609=DIRECTION('center_axis',(0.,0.,-1.)); #435610=DIRECTION('ref_axis',(0.,1.,0.)); #435611=DIRECTION('',(0.,-1.,0.)); #435612=DIRECTION('',(1.,0.,0.)); #435613=DIRECTION('',(0.,1.,0.)); #435614=DIRECTION('center_axis',(0.,-1.,0.)); #435615=DIRECTION('ref_axis',(0.,0.,-1.)); #435616=DIRECTION('',(0.,0.,-1.)); #435617=DIRECTION('',(1.,0.,0.)); #435618=DIRECTION('',(0.,0.,-1.)); #435619=DIRECTION('center_axis',(0.,0.,-1.)); #435620=DIRECTION('ref_axis',(0.,1.,0.)); #435621=DIRECTION('',(0.,1.,0.)); #435622=DIRECTION('',(1.,0.,0.)); #435623=DIRECTION('',(0.,1.,0.)); #435624=DIRECTION('center_axis',(0.,1.,0.)); #435625=DIRECTION('ref_axis',(0.,0.,1.)); #435626=DIRECTION('',(0.,0.,1.)); #435627=DIRECTION('',(0.,0.,1.)); #435628=DIRECTION('center_axis',(-1.,0.,0.)); #435629=DIRECTION('ref_axis',(0.,0.,-1.)); #435630=DIRECTION('center_axis',(-1.,0.,0.)); #435631=DIRECTION('ref_axis',(0.,0.,-1.)); #435632=DIRECTION('center_axis',(0.,0.,1.)); #435633=DIRECTION('ref_axis',(0.,-1.,0.)); #435634=DIRECTION('center_axis',(0.,0.,-1.)); #435635=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #435636=DIRECTION('',(0.,-1.,0.)); #435637=DIRECTION('',(1.,0.,0.)); #435638=DIRECTION('',(0.,1.,0.)); #435639=DIRECTION('center_axis',(0.,0.,-1.)); #435640=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #435641=DIRECTION('center_axis',(0.,0.,1.)); #435642=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #435643=DIRECTION('',(0.,0.,1.)); #435644=DIRECTION('',(0.,0.,-1.)); #435645=DIRECTION('center_axis',(0.,0.,-1.)); #435646=DIRECTION('ref_axis',(0.,1.,0.)); #435647=DIRECTION('',(0.,-1.,0.)); #435648=DIRECTION('',(1.,0.,0.)); #435649=DIRECTION('',(0.,1.,0.)); #435650=DIRECTION('center_axis',(0.,-1.,0.)); #435651=DIRECTION('ref_axis',(0.,0.,-1.)); #435652=DIRECTION('',(0.,0.,-1.)); #435653=DIRECTION('',(1.,0.,0.)); #435654=DIRECTION('',(0.,0.,-1.)); #435655=DIRECTION('center_axis',(0.,0.,-1.)); #435656=DIRECTION('ref_axis',(0.,1.,0.)); #435657=DIRECTION('',(0.,1.,0.)); #435658=DIRECTION('',(1.,0.,0.)); #435659=DIRECTION('',(0.,1.,0.)); #435660=DIRECTION('center_axis',(0.,1.,0.)); #435661=DIRECTION('ref_axis',(0.,0.,1.)); #435662=DIRECTION('',(0.,0.,1.)); #435663=DIRECTION('',(0.,0.,1.)); #435664=DIRECTION('center_axis',(-1.,0.,0.)); #435665=DIRECTION('ref_axis',(0.,0.,-1.)); #435666=DIRECTION('center_axis',(-1.,0.,0.)); #435667=DIRECTION('ref_axis',(0.,0.,-1.)); #435668=DIRECTION('center_axis',(0.,0.,1.)); #435669=DIRECTION('ref_axis',(0.,-1.,0.)); #435670=DIRECTION('center_axis',(0.,0.,-1.)); #435671=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #435672=DIRECTION('',(0.,-1.,0.)); #435673=DIRECTION('',(1.,0.,0.)); #435674=DIRECTION('',(0.,1.,0.)); #435675=DIRECTION('center_axis',(0.,0.,-1.)); #435676=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #435677=DIRECTION('center_axis',(0.,0.,1.)); #435678=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #435679=DIRECTION('',(0.,0.,1.)); #435680=DIRECTION('',(0.,0.,-1.)); #435681=DIRECTION('center_axis',(0.,0.,-1.)); #435682=DIRECTION('ref_axis',(0.,1.,0.)); #435683=DIRECTION('',(0.,-1.,0.)); #435684=DIRECTION('',(1.,0.,0.)); #435685=DIRECTION('',(0.,1.,0.)); #435686=DIRECTION('center_axis',(0.,-1.,0.)); #435687=DIRECTION('ref_axis',(0.,0.,-1.)); #435688=DIRECTION('',(0.,0.,-1.)); #435689=DIRECTION('',(1.,0.,0.)); #435690=DIRECTION('',(0.,0.,-1.)); #435691=DIRECTION('center_axis',(0.,0.,-1.)); #435692=DIRECTION('ref_axis',(0.,1.,0.)); #435693=DIRECTION('',(0.,1.,0.)); #435694=DIRECTION('',(1.,0.,0.)); #435695=DIRECTION('',(0.,1.,0.)); #435696=DIRECTION('center_axis',(0.,1.,0.)); #435697=DIRECTION('ref_axis',(0.,0.,1.)); #435698=DIRECTION('',(0.,0.,1.)); #435699=DIRECTION('',(0.,0.,1.)); #435700=DIRECTION('center_axis',(-1.,0.,0.)); #435701=DIRECTION('ref_axis',(0.,0.,-1.)); #435702=DIRECTION('center_axis',(-1.,0.,0.)); #435703=DIRECTION('ref_axis',(0.,0.,-1.)); #435704=DIRECTION('center_axis',(0.,0.,1.)); #435705=DIRECTION('ref_axis',(0.,-1.,0.)); #435706=DIRECTION('center_axis',(0.,0.,-1.)); #435707=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #435708=DIRECTION('',(0.,-1.,0.)); #435709=DIRECTION('',(1.,0.,0.)); #435710=DIRECTION('',(0.,1.,0.)); #435711=DIRECTION('center_axis',(0.,0.,-1.)); #435712=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #435713=DIRECTION('center_axis',(0.,0.,1.)); #435714=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #435715=DIRECTION('',(0.,0.,1.)); #435716=DIRECTION('',(0.,0.,-1.)); #435717=DIRECTION('center_axis',(0.,0.,-1.)); #435718=DIRECTION('ref_axis',(0.,1.,0.)); #435719=DIRECTION('',(0.,-1.,0.)); #435720=DIRECTION('',(1.,0.,0.)); #435721=DIRECTION('',(0.,1.,0.)); #435722=DIRECTION('center_axis',(0.,-1.,0.)); #435723=DIRECTION('ref_axis',(0.,0.,-1.)); #435724=DIRECTION('',(0.,0.,-1.)); #435725=DIRECTION('',(1.,0.,0.)); #435726=DIRECTION('',(0.,0.,-1.)); #435727=DIRECTION('center_axis',(0.,0.,-1.)); #435728=DIRECTION('ref_axis',(0.,1.,0.)); #435729=DIRECTION('',(0.,1.,0.)); #435730=DIRECTION('',(1.,0.,0.)); #435731=DIRECTION('',(0.,1.,0.)); #435732=DIRECTION('center_axis',(0.,1.,0.)); #435733=DIRECTION('ref_axis',(0.,0.,1.)); #435734=DIRECTION('',(0.,0.,1.)); #435735=DIRECTION('',(0.,0.,1.)); #435736=DIRECTION('center_axis',(-1.,0.,0.)); #435737=DIRECTION('ref_axis',(0.,0.,-1.)); #435738=DIRECTION('center_axis',(-1.,0.,0.)); #435739=DIRECTION('ref_axis',(0.,0.,-1.)); #435740=DIRECTION('center_axis',(0.,0.,1.)); #435741=DIRECTION('ref_axis',(0.,-1.,0.)); #435742=DIRECTION('center_axis',(0.,0.,-1.)); #435743=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #435744=DIRECTION('',(0.,-1.,0.)); #435745=DIRECTION('',(1.,0.,0.)); #435746=DIRECTION('',(0.,1.,0.)); #435747=DIRECTION('center_axis',(0.,0.,-1.)); #435748=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #435749=DIRECTION('center_axis',(0.,0.,1.)); #435750=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #435751=DIRECTION('',(0.,0.,1.)); #435752=DIRECTION('',(0.,0.,-1.)); #435753=DIRECTION('center_axis',(0.,0.,-1.)); #435754=DIRECTION('ref_axis',(0.,1.,0.)); #435755=DIRECTION('',(0.,-1.,0.)); #435756=DIRECTION('',(1.,0.,0.)); #435757=DIRECTION('',(0.,1.,0.)); #435758=DIRECTION('center_axis',(0.,-1.,0.)); #435759=DIRECTION('ref_axis',(0.,0.,-1.)); #435760=DIRECTION('',(0.,0.,-1.)); #435761=DIRECTION('',(1.,0.,0.)); #435762=DIRECTION('',(0.,0.,-1.)); #435763=DIRECTION('center_axis',(0.,0.,-1.)); #435764=DIRECTION('ref_axis',(0.,1.,0.)); #435765=DIRECTION('',(0.,1.,0.)); #435766=DIRECTION('',(1.,0.,0.)); #435767=DIRECTION('',(0.,1.,0.)); #435768=DIRECTION('center_axis',(0.,1.,0.)); #435769=DIRECTION('ref_axis',(0.,0.,1.)); #435770=DIRECTION('',(0.,0.,1.)); #435771=DIRECTION('',(0.,0.,1.)); #435772=DIRECTION('center_axis',(-1.,0.,0.)); #435773=DIRECTION('ref_axis',(0.,0.,-1.)); #435774=DIRECTION('center_axis',(-1.,0.,0.)); #435775=DIRECTION('ref_axis',(0.,0.,-1.)); #435776=DIRECTION('center_axis',(0.,0.,1.)); #435777=DIRECTION('ref_axis',(0.,-1.,0.)); #435778=DIRECTION('center_axis',(0.,0.,-1.)); #435779=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #435780=DIRECTION('',(0.,-1.,0.)); #435781=DIRECTION('',(1.,0.,0.)); #435782=DIRECTION('',(0.,1.,0.)); #435783=DIRECTION('center_axis',(0.,0.,-1.)); #435784=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #435785=DIRECTION('center_axis',(0.,0.,1.)); #435786=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #435787=DIRECTION('',(0.,0.,1.)); #435788=DIRECTION('',(0.,0.,-1.)); #435789=DIRECTION('center_axis',(0.,0.,-1.)); #435790=DIRECTION('ref_axis',(0.,1.,0.)); #435791=DIRECTION('',(0.,-1.,0.)); #435792=DIRECTION('',(1.,0.,0.)); #435793=DIRECTION('',(0.,1.,0.)); #435794=DIRECTION('center_axis',(0.,-1.,0.)); #435795=DIRECTION('ref_axis',(0.,0.,-1.)); #435796=DIRECTION('',(0.,0.,-1.)); #435797=DIRECTION('',(1.,0.,0.)); #435798=DIRECTION('',(0.,0.,-1.)); #435799=DIRECTION('center_axis',(0.,0.,-1.)); #435800=DIRECTION('ref_axis',(0.,1.,0.)); #435801=DIRECTION('',(0.,1.,0.)); #435802=DIRECTION('',(1.,0.,0.)); #435803=DIRECTION('',(0.,1.,0.)); #435804=DIRECTION('center_axis',(0.,1.,0.)); #435805=DIRECTION('ref_axis',(0.,0.,1.)); #435806=DIRECTION('',(0.,0.,1.)); #435807=DIRECTION('',(0.,0.,1.)); #435808=DIRECTION('center_axis',(-1.,0.,0.)); #435809=DIRECTION('ref_axis',(0.,0.,-1.)); #435810=DIRECTION('center_axis',(-1.,0.,0.)); #435811=DIRECTION('ref_axis',(0.,0.,-1.)); #435812=DIRECTION('center_axis',(0.,0.,1.)); #435813=DIRECTION('ref_axis',(0.,-1.,0.)); #435814=DIRECTION('center_axis',(0.,0.,-1.)); #435815=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #435816=DIRECTION('',(0.,-1.,0.)); #435817=DIRECTION('',(1.,0.,0.)); #435818=DIRECTION('',(0.,1.,0.)); #435819=DIRECTION('center_axis',(0.,0.,-1.)); #435820=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #435821=DIRECTION('center_axis',(0.,0.,1.)); #435822=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #435823=DIRECTION('',(0.,0.,1.)); #435824=DIRECTION('',(0.,0.,-1.)); #435825=DIRECTION('center_axis',(0.,0.,-1.)); #435826=DIRECTION('ref_axis',(0.,1.,0.)); #435827=DIRECTION('',(0.,-1.,0.)); #435828=DIRECTION('',(1.,0.,0.)); #435829=DIRECTION('',(0.,1.,0.)); #435830=DIRECTION('center_axis',(0.,-1.,0.)); #435831=DIRECTION('ref_axis',(0.,0.,-1.)); #435832=DIRECTION('',(0.,0.,-1.)); #435833=DIRECTION('',(1.,0.,0.)); #435834=DIRECTION('',(0.,0.,-1.)); #435835=DIRECTION('center_axis',(0.,0.,-1.)); #435836=DIRECTION('ref_axis',(0.,1.,0.)); #435837=DIRECTION('',(0.,1.,0.)); #435838=DIRECTION('',(1.,0.,0.)); #435839=DIRECTION('',(0.,1.,0.)); #435840=DIRECTION('center_axis',(0.,1.,0.)); #435841=DIRECTION('ref_axis',(0.,0.,1.)); #435842=DIRECTION('',(0.,0.,1.)); #435843=DIRECTION('',(0.,0.,1.)); #435844=DIRECTION('center_axis',(-1.,0.,0.)); #435845=DIRECTION('ref_axis',(0.,0.,-1.)); #435846=DIRECTION('center_axis',(-1.,0.,0.)); #435847=DIRECTION('ref_axis',(0.,0.,-1.)); #435848=DIRECTION('center_axis',(0.,0.,1.)); #435849=DIRECTION('ref_axis',(0.,-1.,0.)); #435850=DIRECTION('center_axis',(0.,0.,-1.)); #435851=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #435852=DIRECTION('',(0.,-1.,0.)); #435853=DIRECTION('',(1.,0.,0.)); #435854=DIRECTION('',(0.,1.,0.)); #435855=DIRECTION('center_axis',(0.,0.,-1.)); #435856=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #435857=DIRECTION('center_axis',(0.,0.,1.)); #435858=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #435859=DIRECTION('',(0.,0.,1.)); #435860=DIRECTION('',(0.,0.,-1.)); #435861=DIRECTION('center_axis',(0.,0.,-1.)); #435862=DIRECTION('ref_axis',(0.,1.,0.)); #435863=DIRECTION('',(0.,-1.,0.)); #435864=DIRECTION('',(1.,0.,0.)); #435865=DIRECTION('',(0.,1.,0.)); #435866=DIRECTION('center_axis',(0.,-1.,0.)); #435867=DIRECTION('ref_axis',(0.,0.,-1.)); #435868=DIRECTION('',(0.,0.,-1.)); #435869=DIRECTION('',(1.,0.,0.)); #435870=DIRECTION('',(0.,0.,-1.)); #435871=DIRECTION('center_axis',(0.,0.,-1.)); #435872=DIRECTION('ref_axis',(0.,1.,0.)); #435873=DIRECTION('',(0.,1.,0.)); #435874=DIRECTION('',(1.,0.,0.)); #435875=DIRECTION('',(0.,1.,0.)); #435876=DIRECTION('center_axis',(0.,1.,0.)); #435877=DIRECTION('ref_axis',(0.,0.,1.)); #435878=DIRECTION('',(0.,0.,1.)); #435879=DIRECTION('',(0.,0.,1.)); #435880=DIRECTION('center_axis',(-1.,0.,0.)); #435881=DIRECTION('ref_axis',(0.,0.,-1.)); #435882=DIRECTION('center_axis',(-1.,0.,0.)); #435883=DIRECTION('ref_axis',(0.,0.,-1.)); #435884=DIRECTION('center_axis',(0.,0.,1.)); #435885=DIRECTION('ref_axis',(0.,-1.,0.)); #435886=DIRECTION('center_axis',(0.,0.,-1.)); #435887=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #435888=DIRECTION('',(0.,-1.,0.)); #435889=DIRECTION('',(1.,0.,0.)); #435890=DIRECTION('',(0.,1.,0.)); #435891=DIRECTION('center_axis',(0.,0.,-1.)); #435892=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #435893=DIRECTION('center_axis',(0.,0.,1.)); #435894=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #435895=DIRECTION('',(0.,0.,1.)); #435896=DIRECTION('',(0.,0.,-1.)); #435897=DIRECTION('center_axis',(0.,0.,-1.)); #435898=DIRECTION('ref_axis',(0.,1.,0.)); #435899=DIRECTION('',(0.,-1.,0.)); #435900=DIRECTION('',(1.,0.,0.)); #435901=DIRECTION('',(0.,1.,0.)); #435902=DIRECTION('center_axis',(0.,-1.,0.)); #435903=DIRECTION('ref_axis',(0.,0.,-1.)); #435904=DIRECTION('',(0.,0.,-1.)); #435905=DIRECTION('',(1.,0.,0.)); #435906=DIRECTION('',(0.,0.,-1.)); #435907=DIRECTION('center_axis',(0.,0.,-1.)); #435908=DIRECTION('ref_axis',(0.,1.,0.)); #435909=DIRECTION('',(0.,1.,0.)); #435910=DIRECTION('',(1.,0.,0.)); #435911=DIRECTION('',(0.,1.,0.)); #435912=DIRECTION('center_axis',(0.,1.,0.)); #435913=DIRECTION('ref_axis',(0.,0.,1.)); #435914=DIRECTION('',(0.,0.,1.)); #435915=DIRECTION('',(0.,0.,1.)); #435916=DIRECTION('center_axis',(-1.,0.,0.)); #435917=DIRECTION('ref_axis',(0.,0.,-1.)); #435918=DIRECTION('center_axis',(-1.,0.,0.)); #435919=DIRECTION('ref_axis',(0.,0.,-1.)); #435920=DIRECTION('center_axis',(0.,0.,1.)); #435921=DIRECTION('ref_axis',(0.,-1.,0.)); #435922=DIRECTION('center_axis',(0.,0.,-1.)); #435923=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #435924=DIRECTION('',(0.,-1.,0.)); #435925=DIRECTION('',(1.,0.,0.)); #435926=DIRECTION('',(0.,1.,0.)); #435927=DIRECTION('center_axis',(0.,0.,-1.)); #435928=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #435929=DIRECTION('center_axis',(0.,0.,1.)); #435930=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #435931=DIRECTION('',(0.,0.,1.)); #435932=DIRECTION('',(0.,0.,-1.)); #435933=DIRECTION('center_axis',(0.,0.,-1.)); #435934=DIRECTION('ref_axis',(0.,1.,0.)); #435935=DIRECTION('',(0.,-1.,0.)); #435936=DIRECTION('',(1.,0.,0.)); #435937=DIRECTION('',(0.,1.,0.)); #435938=DIRECTION('center_axis',(0.,-1.,0.)); #435939=DIRECTION('ref_axis',(0.,0.,-1.)); #435940=DIRECTION('',(0.,0.,-1.)); #435941=DIRECTION('',(1.,0.,0.)); #435942=DIRECTION('',(0.,0.,-1.)); #435943=DIRECTION('center_axis',(0.,0.,-1.)); #435944=DIRECTION('ref_axis',(0.,1.,0.)); #435945=DIRECTION('',(0.,1.,0.)); #435946=DIRECTION('',(1.,0.,0.)); #435947=DIRECTION('',(0.,1.,0.)); #435948=DIRECTION('center_axis',(0.,1.,0.)); #435949=DIRECTION('ref_axis',(0.,0.,1.)); #435950=DIRECTION('',(0.,0.,1.)); #435951=DIRECTION('',(0.,0.,1.)); #435952=DIRECTION('center_axis',(-1.,0.,0.)); #435953=DIRECTION('ref_axis',(0.,0.,-1.)); #435954=DIRECTION('center_axis',(-1.,0.,0.)); #435955=DIRECTION('ref_axis',(0.,0.,-1.)); #435956=DIRECTION('center_axis',(0.,0.,1.)); #435957=DIRECTION('ref_axis',(0.,-1.,0.)); #435958=DIRECTION('center_axis',(0.,0.,-1.)); #435959=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #435960=DIRECTION('',(0.,-1.,0.)); #435961=DIRECTION('',(1.,0.,0.)); #435962=DIRECTION('',(0.,1.,0.)); #435963=DIRECTION('center_axis',(0.,0.,-1.)); #435964=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.)); #435965=DIRECTION('center_axis',(0.,0.,1.)); #435966=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.)); #435967=DIRECTION('',(0.,0.,1.)); #435968=DIRECTION('',(0.,0.,-1.)); #435969=DIRECTION('center_axis',(0.,0.,-1.)); #435970=DIRECTION('ref_axis',(0.,1.,0.)); #435971=DIRECTION('',(0.,-1.,0.)); #435972=DIRECTION('',(1.,0.,0.)); #435973=DIRECTION('',(0.,1.,0.)); #435974=DIRECTION('center_axis',(0.,-1.,0.)); #435975=DIRECTION('ref_axis',(0.,0.,-1.)); #435976=DIRECTION('',(0.,0.,-1.)); #435977=DIRECTION('',(1.,0.,0.)); #435978=DIRECTION('',(0.,0.,-1.)); #435979=DIRECTION('center_axis',(0.,0.,-1.)); #435980=DIRECTION('ref_axis',(0.,1.,0.)); #435981=DIRECTION('',(0.,1.,0.)); #435982=DIRECTION('',(1.,0.,0.)); #435983=DIRECTION('',(0.,1.,0.)); #435984=DIRECTION('center_axis',(0.,1.,0.)); #435985=DIRECTION('ref_axis',(0.,0.,1.)); #435986=DIRECTION('',(0.,0.,1.)); #435987=DIRECTION('',(0.,0.,1.)); #435988=DIRECTION('center_axis',(-1.,0.,0.)); #435989=DIRECTION('ref_axis',(0.,0.,-1.)); #435990=DIRECTION('center_axis',(-1.,0.,0.)); #435991=DIRECTION('ref_axis',(0.,0.,-1.)); #435992=DIRECTION('center_axis',(0.,-1.,0.)); #435993=DIRECTION('ref_axis',(1.,0.,0.)); #435994=DIRECTION('',(1.,0.,0.)); #435995=DIRECTION('',(0.,0.,1.)); #435996=DIRECTION('',(1.,0.,0.)); #435997=DIRECTION('',(0.,0.,1.)); #435998=DIRECTION('center_axis',(-1.,0.,0.)); #435999=DIRECTION('ref_axis',(0.,-1.,0.)); #436000=DIRECTION('',(0.,-1.,0.)); #436001=DIRECTION('',(0.,-1.,0.)); #436002=DIRECTION('',(0.,0.,1.)); #436003=DIRECTION('center_axis',(0.,1.,0.)); #436004=DIRECTION('ref_axis',(-1.,0.,0.)); #436005=DIRECTION('',(-1.,0.,0.)); #436006=DIRECTION('',(-1.,0.,0.)); #436007=DIRECTION('',(0.,0.,1.)); #436008=DIRECTION('center_axis',(1.,0.,0.)); #436009=DIRECTION('ref_axis',(0.,1.,0.)); #436010=DIRECTION('',(0.,1.,0.)); #436011=DIRECTION('',(0.,1.,0.)); #436012=DIRECTION('center_axis',(0.,0.,1.)); #436013=DIRECTION('ref_axis',(1.,0.,0.)); #436014=DIRECTION('center_axis',(0.,0.,1.)); #436015=DIRECTION('ref_axis',(1.,0.,0.)); #436016=DIRECTION('',(0.,0.,1.)); #436017=DIRECTION('',(1.,0.,0.)); #436018=DIRECTION('axis',(0.,0.,1.)); #436019=DIRECTION('refdir',(1.,0.,0.)); #436020=DIRECTION('axis',(0.,0.,1.)); #436021=DIRECTION('refdir',(1.,0.,0.)); #436022=DIRECTION('center_axis',(0.408248290463863,-0.816496580927726,-0.408248290463862)); #436023=DIRECTION('ref_axis',(-0.577350269189625,-0.577350269189625,0.577350269189628)); #436024=DIRECTION('center_axis',(0.,-1.,0.)); #436025=DIRECTION('ref_axis',(-1.,0.,0.)); #436026=DIRECTION('center_axis',(0.,0.,1.)); #436027=DIRECTION('ref_axis',(0.,-1.,0.)); #436028=DIRECTION('center_axis',(-1.,0.,0.)); #436029=DIRECTION('ref_axis',(0.,0.,1.)); #436030=DIRECTION('center_axis',(-0.408248290463861,-0.816496580927727, -0.408248290463864)); #436031=DIRECTION('ref_axis',(0.577350269189628,-0.577350269189625,0.577350269189625)); #436032=DIRECTION('center_axis',(1.,0.,0.)); #436033=DIRECTION('ref_axis',(0.,-1.,0.)); #436034=DIRECTION('center_axis',(0.,0.,1.)); #436035=DIRECTION('ref_axis',(1.,0.,0.)); #436036=DIRECTION('center_axis',(0.,-1.,0.)); #436037=DIRECTION('ref_axis',(0.,0.,1.)); #436038=DIRECTION('center_axis',(-0.816496580927727,0.408248290463864,0.40824829046386)); #436039=DIRECTION('ref_axis',(-0.577350269189625,-0.577350269189628,-0.577350269189624)); #436040=DIRECTION('center_axis',(0.,0.,-1.)); #436041=DIRECTION('ref_axis',(-1.,0.,0.)); #436042=DIRECTION('center_axis',(0.,-1.,0.)); #436043=DIRECTION('ref_axis',(0.,0.,-1.)); #436044=DIRECTION('center_axis',(-1.,0.,0.)); #436045=DIRECTION('ref_axis',(0.,-1.,0.)); #436046=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, 0.408248290463863)); #436047=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,0.577350269189626)); #436048=DIRECTION('center_axis',(-1.,0.,0.)); #436049=DIRECTION('ref_axis',(0.,1.,0.)); #436050=DIRECTION('center_axis',(0.,0.,1.)); #436051=DIRECTION('ref_axis',(-1.,0.,0.)); #436052=DIRECTION('center_axis',(0.,1.,0.)); #436053=DIRECTION('ref_axis',(0.,0.,1.)); #436054=DIRECTION('center_axis',(-0.816496580927725,-0.408248290463864, -0.408248290463863)); #436055=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #436056=DIRECTION('center_axis',(0.,0.,-1.)); #436057=DIRECTION('ref_axis',(0.,-1.,0.)); #436058=DIRECTION('center_axis',(1.,0.,0.)); #436059=DIRECTION('ref_axis',(0.,0.,-1.)); #436060=DIRECTION('center_axis',(0.,-1.,0.)); #436061=DIRECTION('ref_axis',(1.,0.,0.)); #436062=DIRECTION('center_axis',(1.,0.,0.)); #436063=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #436064=DIRECTION('',(-1.,0.,0.)); #436065=DIRECTION('',(1.,0.,0.)); #436066=DIRECTION('center_axis',(0.408248290463861,-0.816496580927728,0.408248290463861)); #436067=DIRECTION('ref_axis',(0.577350269189627,0.577350269189624,0.577350269189627)); #436068=DIRECTION('center_axis',(0.,1.,0.)); #436069=DIRECTION('ref_axis',(1.,0.,0.)); #436070=DIRECTION('center_axis',(0.,0.,1.)); #436071=DIRECTION('ref_axis',(0.,1.,0.)); #436072=DIRECTION('center_axis',(1.,0.,0.)); #436073=DIRECTION('ref_axis',(0.,0.,1.)); #436074=DIRECTION('center_axis',(-0.816496580927726,-0.408248290463863, 0.408248290463862)); #436075=DIRECTION('ref_axis',(-0.577350269189625,0.577350269189627,-0.577350269189626)); #436076=DIRECTION('center_axis',(-1.,0.,0.)); #436077=DIRECTION('ref_axis',(0.,0.,-1.)); #436078=DIRECTION('center_axis',(0.,1.,0.)); #436079=DIRECTION('ref_axis',(-1.,0.,0.)); #436080=DIRECTION('center_axis',(0.,0.,-1.)); #436081=DIRECTION('ref_axis',(0.,1.,0.)); #436082=DIRECTION('center_axis',(0.,-1.,0.)); #436083=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #436084=DIRECTION('',(0.,1.,0.)); #436085=DIRECTION('',(0.,-1.,0.)); #436086=DIRECTION('center_axis',(0.,0.,1.)); #436087=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #436088=DIRECTION('',(0.,0.,-1.)); #436089=DIRECTION('',(0.,0.,1.)); #436090=DIRECTION('center_axis',(-0.816496580927726,0.408248290463865,-0.408248290463861)); #436091=DIRECTION('ref_axis',(0.577350269189625,0.577350269189628,-0.577350269189624)); #436092=DIRECTION('center_axis',(0.,0.,-1.)); #436093=DIRECTION('ref_axis',(1.,0.,0.)); #436094=DIRECTION('center_axis',(0.,1.,0.)); #436095=DIRECTION('ref_axis',(0.,0.,-1.)); #436096=DIRECTION('center_axis',(1.,0.,0.)); #436097=DIRECTION('ref_axis',(0.,1.,0.)); #436098=DIRECTION('center_axis',(0.,-1.,0.)); #436099=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #436100=DIRECTION('',(0.,1.,0.)); #436101=DIRECTION('',(0.,-1.,0.)); #436102=DIRECTION('center_axis',(-1.,0.,0.)); #436103=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #436104=DIRECTION('',(1.,0.,0.)); #436105=DIRECTION('',(-1.,0.,0.)); #436106=DIRECTION('center_axis',(0.,0.,1.)); #436107=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #436108=DIRECTION('',(0.,0.,-1.)); #436109=DIRECTION('',(0.,0.,1.)); #436110=DIRECTION('center_axis',(-1.,0.,0.)); #436111=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #436112=DIRECTION('',(1.,0.,0.)); #436113=DIRECTION('',(-1.,0.,0.)); #436114=DIRECTION('center_axis',(0.,1.,0.)); #436115=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #436116=DIRECTION('',(0.,-1.,0.)); #436117=DIRECTION('',(0.,1.,0.)); #436118=DIRECTION('center_axis',(0.,0.,1.)); #436119=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #436120=DIRECTION('',(0.,0.,-1.)); #436121=DIRECTION('',(0.,0.,1.)); #436122=DIRECTION('center_axis',(0.,-1.,0.)); #436123=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #436124=DIRECTION('',(0.,1.,0.)); #436125=DIRECTION('',(0.,-1.,0.)); #436126=DIRECTION('center_axis',(0.,0.,1.)); #436127=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #436128=DIRECTION('',(0.,0.,-1.)); #436129=DIRECTION('',(0.,0.,1.)); #436130=DIRECTION('center_axis',(1.,0.,0.)); #436131=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548)); #436132=DIRECTION('',(-1.,0.,0.)); #436133=DIRECTION('',(1.,0.,0.)); #436134=DIRECTION('center_axis',(0.,-1.,0.)); #436135=DIRECTION('ref_axis',(1.,0.,0.)); #436136=DIRECTION('center_axis',(0.,0.,1.)); #436137=DIRECTION('ref_axis',(1.,0.,0.)); #436138=DIRECTION('center_axis',(-1.,0.,0.)); #436139=DIRECTION('ref_axis',(0.,-1.,0.)); #436140=DIRECTION('center_axis',(0.,1.,0.)); #436141=DIRECTION('ref_axis',(-1.,0.,0.)); #436142=DIRECTION('center_axis',(1.,0.,0.)); #436143=DIRECTION('ref_axis',(0.,1.,0.)); #436144=DIRECTION('center_axis',(0.,0.,1.)); #436145=DIRECTION('ref_axis',(1.,0.,0.)); #436146=DIRECTION('center_axis',(0.408248290463861,-0.816496580927727,-0.408248290463862)); #436147=DIRECTION('ref_axis',(-0.577350269189627,-0.577350269189624,0.577350269189627)); #436148=DIRECTION('center_axis',(0.,-1.,0.)); #436149=DIRECTION('ref_axis',(-1.,0.,0.)); #436150=DIRECTION('center_axis',(0.,0.,1.)); #436151=DIRECTION('ref_axis',(0.,-1.,0.)); #436152=DIRECTION('center_axis',(-1.,0.,0.)); #436153=DIRECTION('ref_axis',(0.,0.,1.)); #436154=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, -0.408248290463863)); #436155=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,0.577350269189626)); #436156=DIRECTION('center_axis',(1.,0.,0.)); #436157=DIRECTION('ref_axis',(0.,-1.,0.)); #436158=DIRECTION('center_axis',(0.,0.,1.)); #436159=DIRECTION('ref_axis',(1.,0.,0.)); #436160=DIRECTION('center_axis',(0.,-1.,0.)); #436161=DIRECTION('ref_axis',(0.,0.,1.)); #436162=DIRECTION('center_axis',(-0.816496580927727,0.408248290463864,0.40824829046386)); #436163=DIRECTION('ref_axis',(-0.577350269189625,-0.577350269189628,-0.577350269189624)); #436164=DIRECTION('center_axis',(0.,0.,-1.)); #436165=DIRECTION('ref_axis',(-1.,0.,0.)); #436166=DIRECTION('center_axis',(0.,-1.,0.)); #436167=DIRECTION('ref_axis',(0.,0.,-1.)); #436168=DIRECTION('center_axis',(-1.,0.,0.)); #436169=DIRECTION('ref_axis',(0.,-1.,0.)); #436170=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, 0.408248290463863)); #436171=DIRECTION('ref_axis',(-0.577350269189628,0.577350269189625,0.577350269189625)); #436172=DIRECTION('center_axis',(-1.,0.,0.)); #436173=DIRECTION('ref_axis',(0.,1.,0.)); #436174=DIRECTION('center_axis',(0.,0.,1.)); #436175=DIRECTION('ref_axis',(-1.,0.,0.)); #436176=DIRECTION('center_axis',(0.,1.,0.)); #436177=DIRECTION('ref_axis',(0.,0.,1.)); #436178=DIRECTION('center_axis',(-0.816496580927726,-0.408248290463863, -0.408248290463862)); #436179=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #436180=DIRECTION('center_axis',(0.,0.,-1.)); #436181=DIRECTION('ref_axis',(0.,-1.,0.)); #436182=DIRECTION('center_axis',(1.,0.,0.)); #436183=DIRECTION('ref_axis',(0.,0.,-1.)); #436184=DIRECTION('center_axis',(0.,-1.,0.)); #436185=DIRECTION('ref_axis',(1.,0.,0.)); #436186=DIRECTION('center_axis',(1.,0.,0.)); #436187=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #436188=DIRECTION('',(-1.,0.,0.)); #436189=DIRECTION('',(1.,0.,0.)); #436190=DIRECTION('center_axis',(0.408248290463864,-0.816496580927727,0.408248290463861)); #436191=DIRECTION('ref_axis',(0.577350269189625,0.577350269189625,0.577350269189628)); #436192=DIRECTION('center_axis',(0.,1.,0.)); #436193=DIRECTION('ref_axis',(1.,0.,0.)); #436194=DIRECTION('center_axis',(0.,0.,1.)); #436195=DIRECTION('ref_axis',(0.,1.,0.)); #436196=DIRECTION('center_axis',(1.,0.,0.)); #436197=DIRECTION('ref_axis',(0.,0.,1.)); #436198=DIRECTION('center_axis',(-0.816496580927726,-0.408248290463863, 0.408248290463862)); #436199=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,-0.577350269189625)); #436200=DIRECTION('center_axis',(-1.,0.,0.)); #436201=DIRECTION('ref_axis',(0.,0.,-1.)); #436202=DIRECTION('center_axis',(0.,1.,0.)); #436203=DIRECTION('ref_axis',(-1.,0.,0.)); #436204=DIRECTION('center_axis',(0.,0.,-1.)); #436205=DIRECTION('ref_axis',(0.,1.,0.)); #436206=DIRECTION('center_axis',(0.,-1.,0.)); #436207=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #436208=DIRECTION('',(0.,1.,0.)); #436209=DIRECTION('',(0.,-1.,0.)); #436210=DIRECTION('center_axis',(0.,0.,1.)); #436211=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #436212=DIRECTION('',(0.,0.,-1.)); #436213=DIRECTION('',(0.,0.,1.)); #436214=DIRECTION('center_axis',(-0.816496580927727,0.408248290463864,-0.40824829046386)); #436215=DIRECTION('ref_axis',(0.577350269189625,0.577350269189628,-0.577350269189624)); #436216=DIRECTION('center_axis',(0.,0.,-1.)); #436217=DIRECTION('ref_axis',(1.,0.,0.)); #436218=DIRECTION('center_axis',(0.,1.,0.)); #436219=DIRECTION('ref_axis',(0.,0.,-1.)); #436220=DIRECTION('center_axis',(1.,0.,0.)); #436221=DIRECTION('ref_axis',(0.,1.,0.)); #436222=DIRECTION('center_axis',(0.,1.,0.)); #436223=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #436224=DIRECTION('',(0.,-1.,0.)); #436225=DIRECTION('',(0.,1.,0.)); #436226=DIRECTION('center_axis',(-1.,0.,0.)); #436227=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #436228=DIRECTION('',(1.,0.,0.)); #436229=DIRECTION('',(-1.,0.,0.)); #436230=DIRECTION('center_axis',(0.,0.,1.)); #436231=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #436232=DIRECTION('',(0.,0.,-1.)); #436233=DIRECTION('',(0.,0.,1.)); #436234=DIRECTION('center_axis',(-1.,0.,0.)); #436235=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #436236=DIRECTION('',(1.,0.,0.)); #436237=DIRECTION('',(-1.,0.,0.)); #436238=DIRECTION('center_axis',(0.,1.,0.)); #436239=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #436240=DIRECTION('',(0.,-1.,0.)); #436241=DIRECTION('',(0.,1.,0.)); #436242=DIRECTION('center_axis',(0.,0.,1.)); #436243=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #436244=DIRECTION('',(0.,0.,-1.)); #436245=DIRECTION('',(0.,0.,1.)); #436246=DIRECTION('center_axis',(0.,-1.,0.)); #436247=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #436248=DIRECTION('',(0.,1.,0.)); #436249=DIRECTION('',(0.,-1.,0.)); #436250=DIRECTION('center_axis',(0.,0.,1.)); #436251=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #436252=DIRECTION('',(0.,0.,-1.)); #436253=DIRECTION('',(0.,0.,1.)); #436254=DIRECTION('center_axis',(1.,0.,0.)); #436255=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548)); #436256=DIRECTION('',(-1.,0.,0.)); #436257=DIRECTION('',(1.,0.,0.)); #436258=DIRECTION('center_axis',(0.,-1.,0.)); #436259=DIRECTION('ref_axis',(1.,0.,0.)); #436260=DIRECTION('center_axis',(0.,0.,1.)); #436261=DIRECTION('ref_axis',(1.,0.,0.)); #436262=DIRECTION('center_axis',(-1.,0.,0.)); #436263=DIRECTION('ref_axis',(0.,-1.,0.)); #436264=DIRECTION('center_axis',(0.,1.,0.)); #436265=DIRECTION('ref_axis',(-1.,0.,0.)); #436266=DIRECTION('center_axis',(1.,0.,0.)); #436267=DIRECTION('ref_axis',(0.,1.,0.)); #436268=DIRECTION('center_axis',(0.,0.,1.)); #436269=DIRECTION('ref_axis',(1.,0.,0.)); #436270=DIRECTION('center_axis',(1.,0.,0.)); #436271=DIRECTION('ref_axis',(0.,1.,0.)); #436272=DIRECTION('',(0.,-1.,0.)); #436273=DIRECTION('',(0.,0.,1.)); #436274=DIRECTION('',(0.,1.,0.)); #436275=DIRECTION('',(0.,0.,1.)); #436276=DIRECTION('center_axis',(0.,-1.,0.)); #436277=DIRECTION('ref_axis',(1.,0.,0.)); #436278=DIRECTION('',(1.,0.,0.)); #436279=DIRECTION('',(1.,0.,0.)); #436280=DIRECTION('',(0.,0.,1.)); #436281=DIRECTION('center_axis',(-1.,0.,0.)); #436282=DIRECTION('ref_axis',(0.,-1.,0.)); #436283=DIRECTION('',(0.,-1.,0.)); #436284=DIRECTION('',(0.,-1.,0.)); #436285=DIRECTION('',(0.,0.,1.)); #436286=DIRECTION('center_axis',(0.,1.,0.)); #436287=DIRECTION('ref_axis',(-1.,0.,0.)); #436288=DIRECTION('',(-1.,0.,0.)); #436289=DIRECTION('',(-1.,0.,0.)); #436290=DIRECTION('center_axis',(0.,0.,1.)); #436291=DIRECTION('ref_axis',(1.,0.,0.)); #436292=DIRECTION('center_axis',(0.,0.,1.)); #436293=DIRECTION('ref_axis',(1.,0.,0.)); #436294=DIRECTION('',(0.,0.,1.)); #436295=DIRECTION('',(1.,0.,0.)); #436296=DIRECTION('axis',(0.,0.,1.)); #436297=DIRECTION('refdir',(1.,0.,0.)); #436298=DIRECTION('axis',(0.,0.,1.)); #436299=DIRECTION('refdir',(1.,0.,0.)); #436300=DIRECTION('center_axis',(-0.990678839845296,0.,0.136218340478728)); #436301=DIRECTION('ref_axis',(0.136218340478728,0.,0.990678839845296)); #436302=DIRECTION('',(0.,1.,0.)); #436303=DIRECTION('',(-0.134971865202591,0.13497186520259,-0.981613565109747)); #436304=DIRECTION('',(0.,-1.,0.)); #436305=DIRECTION('',(-0.13497186520259,-0.13497186520259,-0.981613565109747)); #436306=DIRECTION('center_axis',(0.,0.990678839845296,0.136218340478728)); #436307=DIRECTION('ref_axis',(0.,-0.136218340478728,0.990678839845296)); #436308=DIRECTION('',(1.,0.,0.)); #436309=DIRECTION('',(0.13497186520259,0.13497186520259,-0.981613565109747)); #436310=DIRECTION('',(-1.,0.,0.)); #436311=DIRECTION('center_axis',(0.990678839845296,0.,0.136218340478728)); #436312=DIRECTION('ref_axis',(0.136218340478728,0.,-0.990678839845296)); #436313=DIRECTION('',(0.,-1.,0.)); #436314=DIRECTION('',(0.134971865202591,-0.13497186520259,-0.981613565109747)); #436315=DIRECTION('',(0.,1.,0.)); #436316=DIRECTION('center_axis',(0.,-0.990678839845296,0.136218340478728)); #436317=DIRECTION('ref_axis',(0.,-0.136218340478728,-0.990678839845296)); #436318=DIRECTION('',(1.,0.,0.)); #436319=DIRECTION('',(-1.,0.,0.)); #436320=DIRECTION('center_axis',(0.,-1.,0.)); #436321=DIRECTION('ref_axis',(1.,0.,0.)); #436322=DIRECTION('',(0.,0.,1.)); #436323=DIRECTION('',(1.,0.,0.)); #436324=DIRECTION('',(0.,0.,1.)); #436325=DIRECTION('center_axis',(-1.,0.,0.)); #436326=DIRECTION('ref_axis',(0.,-1.,0.)); #436327=DIRECTION('',(0.,0.,1.)); #436328=DIRECTION('',(0.,-1.,0.)); #436329=DIRECTION('center_axis',(0.,0.,1.)); #436330=DIRECTION('ref_axis',(1.,0.,0.)); #436331=DIRECTION('center_axis',(0.,1.,0.)); #436332=DIRECTION('ref_axis',(-1.,0.,0.)); #436333=DIRECTION('',(0.,0.,1.)); #436334=DIRECTION('',(-1.,0.,0.)); #436335=DIRECTION('center_axis',(1.,0.,0.)); #436336=DIRECTION('ref_axis',(0.,1.,0.)); #436337=DIRECTION('',(0.,1.,0.)); #436338=DIRECTION('center_axis',(0.,0.,1.)); #436339=DIRECTION('ref_axis',(1.,0.,0.)); #436340=DIRECTION('center_axis',(1.,0.,0.)); #436341=DIRECTION('ref_axis',(0.,1.,0.)); #436342=DIRECTION('',(0.,-1.,0.)); #436343=DIRECTION('',(0.,0.,1.)); #436344=DIRECTION('',(0.,1.,0.)); #436345=DIRECTION('',(0.,0.,1.)); #436346=DIRECTION('center_axis',(0.,-1.,0.)); #436347=DIRECTION('ref_axis',(1.,0.,0.)); #436348=DIRECTION('',(1.,0.,0.)); #436349=DIRECTION('',(1.,0.,0.)); #436350=DIRECTION('',(0.,0.,1.)); #436351=DIRECTION('center_axis',(-1.,0.,0.)); #436352=DIRECTION('ref_axis',(0.,-1.,0.)); #436353=DIRECTION('',(0.,-1.,0.)); #436354=DIRECTION('',(0.,-1.,0.)); #436355=DIRECTION('',(0.,0.,1.)); #436356=DIRECTION('center_axis',(0.,1.,0.)); #436357=DIRECTION('ref_axis',(-1.,0.,0.)); #436358=DIRECTION('',(-1.,0.,0.)); #436359=DIRECTION('',(-1.,0.,0.)); #436360=DIRECTION('center_axis',(0.,0.,1.)); #436361=DIRECTION('ref_axis',(1.,0.,0.)); #436362=DIRECTION('center_axis',(0.,0.,1.)); #436363=DIRECTION('ref_axis',(1.,0.,0.)); #436364=DIRECTION('center_axis',(0.,1.,0.)); #436365=DIRECTION('ref_axis',(-1.,0.,0.)); #436366=DIRECTION('',(-1.,0.,0.)); #436367=DIRECTION('',(0.,0.,1.)); #436368=DIRECTION('',(-1.,0.,0.)); #436369=DIRECTION('',(0.,0.,1.)); #436370=DIRECTION('center_axis',(1.,0.,0.)); #436371=DIRECTION('ref_axis',(0.,1.,0.)); #436372=DIRECTION('',(0.,1.,0.)); #436373=DIRECTION('',(0.,1.,0.)); #436374=DIRECTION('',(0.,0.,1.)); #436375=DIRECTION('center_axis',(0.,-1.,0.)); #436376=DIRECTION('ref_axis',(1.,0.,0.)); #436377=DIRECTION('',(1.,0.,0.)); #436378=DIRECTION('',(1.,0.,0.)); #436379=DIRECTION('',(0.,0.,1.)); #436380=DIRECTION('center_axis',(-1.,0.,0.)); #436381=DIRECTION('ref_axis',(0.,-1.,0.)); #436382=DIRECTION('',(0.,-1.,0.)); #436383=DIRECTION('',(0.,-1.,0.)); #436384=DIRECTION('center_axis',(0.,0.,1.)); #436385=DIRECTION('ref_axis',(1.,0.,0.)); #436386=DIRECTION('center_axis',(0.,0.,1.)); #436387=DIRECTION('ref_axis',(1.,0.,0.)); #436388=DIRECTION('center_axis',(0.,1.,0.)); #436389=DIRECTION('ref_axis',(-1.,0.,0.)); #436390=DIRECTION('',(1.,0.,0.)); #436391=DIRECTION('',(0.,0.,1.)); #436392=DIRECTION('',(-1.,0.,0.)); #436393=DIRECTION('',(0.,0.,1.)); #436394=DIRECTION('center_axis',(1.,0.,0.)); #436395=DIRECTION('ref_axis',(0.,1.,0.)); #436396=DIRECTION('',(0.,-1.,0.)); #436397=DIRECTION('',(0.,1.,0.)); #436398=DIRECTION('',(0.,0.,1.)); #436399=DIRECTION('center_axis',(0.,-1.,0.)); #436400=DIRECTION('ref_axis',(1.,0.,0.)); #436401=DIRECTION('',(-1.,0.,0.)); #436402=DIRECTION('',(1.,0.,0.)); #436403=DIRECTION('',(0.,0.,1.)); #436404=DIRECTION('center_axis',(-1.,0.,0.)); #436405=DIRECTION('ref_axis',(0.,-1.,0.)); #436406=DIRECTION('',(0.,1.,0.)); #436407=DIRECTION('',(0.,-1.,0.)); #436408=DIRECTION('center_axis',(0.,0.,1.)); #436409=DIRECTION('ref_axis',(1.,0.,0.)); #436410=DIRECTION('center_axis',(0.,0.,1.)); #436411=DIRECTION('ref_axis',(1.,0.,0.)); #436412=DIRECTION('center_axis',(0.,-1.,0.)); #436413=DIRECTION('ref_axis',(1.,0.,0.)); #436414=DIRECTION('',(-1.,0.,0.)); #436415=DIRECTION('',(0.,0.,1.)); #436416=DIRECTION('',(1.,0.,0.)); #436417=DIRECTION('',(0.,0.,1.)); #436418=DIRECTION('center_axis',(-1.,0.,0.)); #436419=DIRECTION('ref_axis',(0.,-1.,0.)); #436420=DIRECTION('',(0.,1.,0.)); #436421=DIRECTION('',(0.,-1.,0.)); #436422=DIRECTION('',(0.,0.,1.)); #436423=DIRECTION('center_axis',(0.,1.,0.)); #436424=DIRECTION('ref_axis',(-1.,0.,0.)); #436425=DIRECTION('',(1.,0.,0.)); #436426=DIRECTION('',(-1.,0.,0.)); #436427=DIRECTION('',(0.,0.,1.)); #436428=DIRECTION('center_axis',(1.,0.,0.)); #436429=DIRECTION('ref_axis',(0.,1.,0.)); #436430=DIRECTION('',(0.,1.,0.)); #436431=DIRECTION('',(0.,1.,0.)); #436432=DIRECTION('center_axis',(0.,0.,1.)); #436433=DIRECTION('ref_axis',(1.,0.,0.)); #436434=DIRECTION('center_axis',(0.,0.,1.)); #436435=DIRECTION('ref_axis',(1.,0.,0.)); #436436=DIRECTION('center_axis',(1.,-1.16294311238669E-16,0.)); #436437=DIRECTION('ref_axis',(1.16294311238669E-16,1.,0.)); #436438=DIRECTION('',(-1.16294311238669E-16,-1.,0.)); #436439=DIRECTION('',(0.,0.,1.)); #436440=DIRECTION('',(1.16294311238669E-16,1.,0.)); #436441=DIRECTION('',(0.,0.,1.)); #436442=DIRECTION('center_axis',(0.,-1.,0.)); #436443=DIRECTION('ref_axis',(1.,0.,0.)); #436444=DIRECTION('',(1.,0.,0.)); #436445=DIRECTION('',(1.,0.,0.)); #436446=DIRECTION('',(0.,0.,1.)); #436447=DIRECTION('center_axis',(-1.,0.,0.)); #436448=DIRECTION('ref_axis',(0.,-1.,0.)); #436449=DIRECTION('',(0.,-1.,0.)); #436450=DIRECTION('',(0.,-1.,0.)); #436451=DIRECTION('',(0.,0.,1.)); #436452=DIRECTION('center_axis',(4.97411749384031E-17,1.,0.)); #436453=DIRECTION('ref_axis',(-1.,4.97411749384031E-17,0.)); #436454=DIRECTION('',(-1.,4.97411749384031E-17,0.)); #436455=DIRECTION('',(-1.,4.97411749384031E-17,0.)); #436456=DIRECTION('center_axis',(0.,0.,1.)); #436457=DIRECTION('ref_axis',(1.,0.,0.)); #436458=DIRECTION('center_axis',(0.,0.,1.)); #436459=DIRECTION('ref_axis',(1.,0.,0.)); #436460=DIRECTION('',(0.,0.,1.)); #436461=DIRECTION('',(1.,0.,0.)); #436462=DIRECTION('axis',(0.,0.,1.)); #436463=DIRECTION('refdir',(1.,0.,0.)); #436464=DIRECTION('axis',(0.,0.,1.)); #436465=DIRECTION('refdir',(1.,0.,0.)); #436466=DIRECTION('center_axis',(0.,0.,-1.)); #436467=DIRECTION('ref_axis',(1.,0.,0.)); #436468=DIRECTION('center_axis',(0.,0.,-1.)); #436469=DIRECTION('ref_axis',(1.,0.,0.)); #436470=DIRECTION('',(0.,0.,-1.)); #436471=DIRECTION('center_axis',(0.,0.,-1.)); #436472=DIRECTION('ref_axis',(1.,0.,0.)); #436473=DIRECTION('center_axis',(0.,0.,1.)); #436474=DIRECTION('ref_axis',(1.,0.,0.)); #436475=DIRECTION('center_axis',(-0.928476690885259,0.,0.371390676354104)); #436476=DIRECTION('ref_axis',(0.371390676354104,0.,0.928476690885259)); #436477=DIRECTION('',(0.,1.,0.)); #436478=DIRECTION('',(-0.348155311911396,0.348155311911396,-0.870388279778489)); #436479=DIRECTION('',(0.,-1.,0.)); #436480=DIRECTION('',(-0.348155311911396,-0.348155311911396,-0.870388279778489)); #436481=DIRECTION('center_axis',(0.,0.928476690885259,0.371390676354104)); #436482=DIRECTION('ref_axis',(0.,-0.371390676354104,0.928476690885259)); #436483=DIRECTION('',(1.,0.,0.)); #436484=DIRECTION('',(0.348155311911396,0.348155311911396,-0.870388279778489)); #436485=DIRECTION('',(-1.,0.,0.)); #436486=DIRECTION('center_axis',(0.928476690885259,0.,0.371390676354104)); #436487=DIRECTION('ref_axis',(0.371390676354104,0.,-0.928476690885259)); #436488=DIRECTION('',(0.,-1.,0.)); #436489=DIRECTION('',(0.348155311911396,-0.348155311911396,-0.870388279778489)); #436490=DIRECTION('',(0.,1.,0.)); #436491=DIRECTION('center_axis',(0.,-0.928476690885259,0.371390676354104)); #436492=DIRECTION('ref_axis',(0.,-0.371390676354104,-0.928476690885259)); #436493=DIRECTION('',(1.,0.,0.)); #436494=DIRECTION('',(-1.,0.,0.)); #436495=DIRECTION('center_axis',(0.,-1.,0.)); #436496=DIRECTION('ref_axis',(1.,0.,0.)); #436497=DIRECTION('',(0.,0.,1.)); #436498=DIRECTION('',(-1.,0.,0.)); #436499=DIRECTION('',(0.,0.,1.)); #436500=DIRECTION('center_axis',(-1.,0.,0.)); #436501=DIRECTION('ref_axis',(0.,-1.,0.)); #436502=DIRECTION('',(0.,0.,1.)); #436503=DIRECTION('',(0.,1.,0.)); #436504=DIRECTION('center_axis',(0.,0.,1.)); #436505=DIRECTION('ref_axis',(1.,0.,0.)); #436506=DIRECTION('center_axis',(0.928476690885259,0.,-0.371390676354104)); #436507=DIRECTION('ref_axis',(-0.371390676354104,0.,-0.928476690885259)); #436508=DIRECTION('',(0.,-1.,0.)); #436509=DIRECTION('',(-0.348155311911396,0.348155311911396,-0.870388279778489)); #436510=DIRECTION('',(0.,1.,0.)); #436511=DIRECTION('',(0.348155311911396,0.348155311911396,0.870388279778489)); #436512=DIRECTION('center_axis',(0.,-0.928476690885259,-0.371390676354104)); #436513=DIRECTION('ref_axis',(0.,0.371390676354104,-0.928476690885259)); #436514=DIRECTION('',(1.,0.,0.)); #436515=DIRECTION('',(-0.348155311911396,-0.348155311911396,0.870388279778489)); #436516=DIRECTION('center_axis',(0.,0.928476690885259,-0.371390676354104)); #436517=DIRECTION('ref_axis',(0.,0.371390676354104,0.928476690885259)); #436518=DIRECTION('',(1.,0.,0.)); #436519=DIRECTION('',(-1.,0.,0.)); #436520=DIRECTION('',(-0.348155311911396,0.348155311911396,0.870388279778489)); #436521=DIRECTION('center_axis',(-0.928476690885259,0.,-0.371390676354104)); #436522=DIRECTION('ref_axis',(-0.371390676354104,0.,0.928476690885259)); #436523=DIRECTION('',(0.,-1.,0.)); #436524=DIRECTION('center_axis',(1.,0.,0.)); #436525=DIRECTION('ref_axis',(0.,1.,0.)); #436526=DIRECTION('',(0.,0.,1.)); #436527=DIRECTION('center_axis',(0.,0.,1.)); #436528=DIRECTION('ref_axis',(1.,0.,0.)); #436529=DIRECTION('center_axis',(0.,1.,0.)); #436530=DIRECTION('ref_axis',(-1.,0.,0.)); #436531=DIRECTION('center_axis',(0.,1.,0.)); #436532=DIRECTION('ref_axis',(1.,0.,0.)); #436533=DIRECTION('center_axis',(0.,-1.,0.)); #436534=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #436535=DIRECTION('',(0.195809058033592,0.,0.980642041109801)); #436536=DIRECTION('center_axis',(0.,1.,0.)); #436537=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #436538=DIRECTION('',(0.999999999998559,0.,1.69737688680423E-6)); #436539=DIRECTION('',(-1.69737442573292E-6,0.,0.999999999998559)); #436540=DIRECTION('',(-0.999999999998559,0.,-1.69737442613814E-6)); #436541=DIRECTION('center_axis',(0.,-1.,0.)); #436542=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #436543=DIRECTION('',(-0.195809296716129,0.,-0.980641993450992)); #436544=DIRECTION('center_axis',(0.,1.,0.)); #436545=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #436546=DIRECTION('',(-1.,0.,-4.95238232924399E-13)); #436547=DIRECTION('',(0.,0.,-1.)); #436548=DIRECTION('',(1.,0.,0.)); #436549=DIRECTION('center_axis',(0.980642041109801,0.,-0.195809058033592)); #436550=DIRECTION('ref_axis',(-0.195809058033592,0.,-0.980642041109801)); #436551=DIRECTION('',(0.,-1.,0.)); #436552=DIRECTION('',(-0.195809058033592,0.,-0.980642041109801)); #436553=DIRECTION('',(0.,1.,0.)); #436554=DIRECTION('center_axis',(0.,1.,0.)); #436555=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #436556=DIRECTION('center_axis',(0.,-1.,0.)); #436557=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #436558=DIRECTION('',(0.,1.,0.)); #436559=DIRECTION('center_axis',(1.69737688680423E-6,0.,-0.999999999998559)); #436560=DIRECTION('ref_axis',(-0.999999999998559,0.,-1.69737688680423E-6)); #436561=DIRECTION('',(-0.999999999998559,0.,-1.69737688680423E-6)); #436562=DIRECTION('',(0.,1.,0.)); #436563=DIRECTION('center_axis',(0.999999999998559,0.,1.69737442573292E-6)); #436564=DIRECTION('ref_axis',(1.69737442573292E-6,0.,-0.999999999998559)); #436565=DIRECTION('',(1.69737442573292E-6,0.,-0.999999999998559)); #436566=DIRECTION('',(0.,1.,0.)); #436567=DIRECTION('center_axis',(-1.69737442613814E-6,0.,0.999999999998559)); #436568=DIRECTION('ref_axis',(0.999999999998559,0.,1.69737442613814E-6)); #436569=DIRECTION('',(0.999999999998559,0.,1.69737442613814E-6)); #436570=DIRECTION('',(0.,1.,0.)); #436571=DIRECTION('center_axis',(0.,1.,0.)); #436572=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #436573=DIRECTION('center_axis',(0.,1.,0.)); #436574=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #436575=DIRECTION('',(0.,1.,0.)); #436576=DIRECTION('center_axis',(-0.980641993450992,0.,0.195809296716129)); #436577=DIRECTION('ref_axis',(0.195809296716129,0.,0.980641993450992)); #436578=DIRECTION('',(0.195809296716129,0.,0.980641993450992)); #436579=DIRECTION('',(0.,1.,0.)); #436580=DIRECTION('center_axis',(0.,1.,0.)); #436581=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #436582=DIRECTION('center_axis',(0.,-1.,0.)); #436583=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #436584=DIRECTION('',(0.,1.,0.)); #436585=DIRECTION('center_axis',(-4.95238232924399E-13,0.,1.)); #436586=DIRECTION('ref_axis',(1.,0.,4.95238232924399E-13)); #436587=DIRECTION('',(1.,0.,4.95238232924399E-13)); #436588=DIRECTION('',(0.,1.,0.)); #436589=DIRECTION('center_axis',(-1.,0.,0.)); #436590=DIRECTION('ref_axis',(0.,0.,1.)); #436591=DIRECTION('',(0.,0.,1.)); #436592=DIRECTION('',(0.,1.,0.)); #436593=DIRECTION('center_axis',(0.,0.,-1.)); #436594=DIRECTION('ref_axis',(-1.,0.,0.)); #436595=DIRECTION('',(-1.,0.,0.)); #436596=DIRECTION('',(0.,1.,0.)); #436597=DIRECTION('center_axis',(0.,1.,0.)); #436598=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #436599=DIRECTION('center_axis',(0.,1.,0.)); #436600=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #436601=DIRECTION('center_axis',(0.,1.,0.)); #436602=DIRECTION('ref_axis',(1.,0.,0.)); #436603=DIRECTION('center_axis',(0.,-1.,0.)); #436604=DIRECTION('ref_axis',(-1.,0.,0.)); #436605=DIRECTION('center_axis',(0.,1.,0.)); #436606=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #436607=DIRECTION('',(-1.,0.,0.)); #436608=DIRECTION('',(0.,0.,-1.)); #436609=DIRECTION('',(1.,0.,-4.95238232924399E-13)); #436610=DIRECTION('center_axis',(0.,-1.,0.)); #436611=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #436612=DIRECTION('',(0.195809296716129,0.,-0.980641993450992)); #436613=DIRECTION('center_axis',(0.,1.,0.)); #436614=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #436615=DIRECTION('',(0.999999999998559,0.,-1.69737442613814E-6)); #436616=DIRECTION('',(1.69737442573292E-6,0.,0.999999999998559)); #436617=DIRECTION('',(-0.999999999998559,0.,1.69737688680423E-6)); #436618=DIRECTION('center_axis',(0.,-1.,0.)); #436619=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #436620=DIRECTION('',(-0.195809058033592,0.,0.980642041109801)); #436621=DIRECTION('center_axis',(0.980642041109801,0.,0.195809058033592)); #436622=DIRECTION('ref_axis',(0.195809058033592,0.,-0.980642041109801)); #436623=DIRECTION('',(0.,-1.,0.)); #436624=DIRECTION('',(0.,1.,0.)); #436625=DIRECTION('',(0.195809058033592,0.,-0.980642041109801)); #436626=DIRECTION('center_axis',(0.,-1.,0.)); #436627=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #436628=DIRECTION('',(0.,1.,0.)); #436629=DIRECTION('center_axis',(0.,1.,0.)); #436630=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #436631=DIRECTION('center_axis',(1.69737688680423E-6,0.,0.999999999998559)); #436632=DIRECTION('ref_axis',(0.999999999998559,0.,-1.69737688680423E-6)); #436633=DIRECTION('',(0.,1.,0.)); #436634=DIRECTION('',(0.999999999998559,0.,-1.69737688680423E-6)); #436635=DIRECTION('center_axis',(0.999999999998559,0.,-1.69737442573292E-6)); #436636=DIRECTION('ref_axis',(-1.69737442573292E-6,0.,-0.999999999998559)); #436637=DIRECTION('',(0.,1.,0.)); #436638=DIRECTION('',(-1.69737442573292E-6,0.,-0.999999999998559)); #436639=DIRECTION('center_axis',(-1.69737442613814E-6,0.,-0.999999999998559)); #436640=DIRECTION('ref_axis',(-0.999999999998559,0.,1.69737442613814E-6)); #436641=DIRECTION('',(0.,1.,0.)); #436642=DIRECTION('',(-0.999999999998559,0.,1.69737442613814E-6)); #436643=DIRECTION('center_axis',(0.,-1.,0.)); #436644=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #436645=DIRECTION('',(0.,1.,0.)); #436646=DIRECTION('center_axis',(0.,-1.,0.)); #436647=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #436648=DIRECTION('center_axis',(-0.980641993450992,0.,-0.195809296716129)); #436649=DIRECTION('ref_axis',(-0.195809296716129,0.,0.980641993450992)); #436650=DIRECTION('',(0.,1.,0.)); #436651=DIRECTION('',(-0.195809296716129,0.,0.980641993450992)); #436652=DIRECTION('center_axis',(0.,-1.,0.)); #436653=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #436654=DIRECTION('',(0.,1.,0.)); #436655=DIRECTION('center_axis',(0.,1.,0.)); #436656=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #436657=DIRECTION('center_axis',(-4.95238232924399E-13,0.,-1.)); #436658=DIRECTION('ref_axis',(-1.,0.,4.95238232924399E-13)); #436659=DIRECTION('',(0.,1.,0.)); #436660=DIRECTION('',(-1.,0.,4.95238232924399E-13)); #436661=DIRECTION('center_axis',(-1.,0.,0.)); #436662=DIRECTION('ref_axis',(0.,0.,1.)); #436663=DIRECTION('',(0.,1.,0.)); #436664=DIRECTION('',(0.,0.,1.)); #436665=DIRECTION('center_axis',(0.,0.,1.)); #436666=DIRECTION('ref_axis',(1.,0.,0.)); #436667=DIRECTION('',(0.,1.,0.)); #436668=DIRECTION('',(1.,0.,0.)); #436669=DIRECTION('center_axis',(0.,-1.,0.)); #436670=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #436671=DIRECTION('center_axis',(0.,-1.,0.)); #436672=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #436673=DIRECTION('center_axis',(0.,-1.,0.)); #436674=DIRECTION('ref_axis',(-1.,0.,0.)); #436675=DIRECTION('center_axis',(0.,1.,0.)); #436676=DIRECTION('ref_axis',(1.,0.,0.)); #436677=DIRECTION('center_axis',(0.,-1.,0.)); #436678=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #436679=DIRECTION('',(0.195809058033592,0.,0.980642041109801)); #436680=DIRECTION('center_axis',(0.,1.,0.)); #436681=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #436682=DIRECTION('',(0.999999999998559,0.,1.69737688680423E-6)); #436683=DIRECTION('',(-1.69737442573292E-6,0.,0.999999999998559)); #436684=DIRECTION('',(-0.999999999998559,0.,-1.69737442613814E-6)); #436685=DIRECTION('center_axis',(0.,-1.,0.)); #436686=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #436687=DIRECTION('',(-0.195809296716129,0.,-0.980641993450992)); #436688=DIRECTION('center_axis',(0.,1.,0.)); #436689=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #436690=DIRECTION('',(-1.,0.,-4.95238232924399E-13)); #436691=DIRECTION('',(0.,0.,-1.)); #436692=DIRECTION('',(1.,0.,0.)); #436693=DIRECTION('center_axis',(0.980642041109801,0.,-0.195809058033592)); #436694=DIRECTION('ref_axis',(-0.195809058033592,0.,-0.980642041109801)); #436695=DIRECTION('',(0.,-1.,0.)); #436696=DIRECTION('',(-0.195809058033592,0.,-0.980642041109801)); #436697=DIRECTION('',(0.,1.,0.)); #436698=DIRECTION('center_axis',(0.,1.,0.)); #436699=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #436700=DIRECTION('center_axis',(0.,-1.,0.)); #436701=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #436702=DIRECTION('',(0.,1.,0.)); #436703=DIRECTION('center_axis',(1.69737688680423E-6,0.,-0.999999999998559)); #436704=DIRECTION('ref_axis',(-0.999999999998559,0.,-1.69737688680423E-6)); #436705=DIRECTION('',(-0.999999999998559,0.,-1.69737688680423E-6)); #436706=DIRECTION('',(0.,1.,0.)); #436707=DIRECTION('center_axis',(0.999999999998559,0.,1.69737442573292E-6)); #436708=DIRECTION('ref_axis',(1.69737442573292E-6,0.,-0.999999999998559)); #436709=DIRECTION('',(1.69737442573292E-6,0.,-0.999999999998559)); #436710=DIRECTION('',(0.,1.,0.)); #436711=DIRECTION('center_axis',(-1.69737442613814E-6,0.,0.999999999998559)); #436712=DIRECTION('ref_axis',(0.999999999998559,0.,1.69737442613814E-6)); #436713=DIRECTION('',(0.999999999998559,0.,1.69737442613814E-6)); #436714=DIRECTION('',(0.,1.,0.)); #436715=DIRECTION('center_axis',(0.,1.,0.)); #436716=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #436717=DIRECTION('center_axis',(0.,1.,0.)); #436718=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #436719=DIRECTION('',(0.,1.,0.)); #436720=DIRECTION('center_axis',(-0.980641993450992,0.,0.195809296716129)); #436721=DIRECTION('ref_axis',(0.195809296716129,0.,0.980641993450992)); #436722=DIRECTION('',(0.195809296716129,0.,0.980641993450992)); #436723=DIRECTION('',(0.,1.,0.)); #436724=DIRECTION('center_axis',(0.,1.,0.)); #436725=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #436726=DIRECTION('center_axis',(0.,-1.,0.)); #436727=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #436728=DIRECTION('',(0.,1.,0.)); #436729=DIRECTION('center_axis',(-4.95238232924399E-13,0.,1.)); #436730=DIRECTION('ref_axis',(1.,0.,4.95238232924399E-13)); #436731=DIRECTION('',(1.,0.,4.95238232924399E-13)); #436732=DIRECTION('',(0.,1.,0.)); #436733=DIRECTION('center_axis',(-1.,0.,0.)); #436734=DIRECTION('ref_axis',(0.,0.,1.)); #436735=DIRECTION('',(0.,0.,1.)); #436736=DIRECTION('',(0.,1.,0.)); #436737=DIRECTION('center_axis',(0.,0.,-1.)); #436738=DIRECTION('ref_axis',(-1.,0.,0.)); #436739=DIRECTION('',(-1.,0.,0.)); #436740=DIRECTION('',(0.,1.,0.)); #436741=DIRECTION('center_axis',(0.,1.,0.)); #436742=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #436743=DIRECTION('center_axis',(0.,1.,0.)); #436744=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #436745=DIRECTION('center_axis',(0.,1.,0.)); #436746=DIRECTION('ref_axis',(1.,0.,0.)); #436747=DIRECTION('center_axis',(0.,1.,0.)); #436748=DIRECTION('ref_axis',(1.,0.,0.)); #436749=DIRECTION('center_axis',(0.,-1.,0.)); #436750=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #436751=DIRECTION('',(0.195809058033592,0.,0.980642041109801)); #436752=DIRECTION('center_axis',(0.,1.,0.)); #436753=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #436754=DIRECTION('',(0.999999999998559,0.,1.69737688680423E-6)); #436755=DIRECTION('',(-1.69737442573292E-6,0.,0.999999999998559)); #436756=DIRECTION('',(-0.999999999998559,0.,-1.69737442613814E-6)); #436757=DIRECTION('center_axis',(0.,-1.,0.)); #436758=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #436759=DIRECTION('',(-0.195809296716129,0.,-0.980641993450992)); #436760=DIRECTION('center_axis',(0.,1.,0.)); #436761=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #436762=DIRECTION('',(-1.,0.,-4.95238232924399E-13)); #436763=DIRECTION('',(0.,0.,-1.)); #436764=DIRECTION('',(1.,0.,0.)); #436765=DIRECTION('center_axis',(0.980642041109801,0.,-0.195809058033592)); #436766=DIRECTION('ref_axis',(-0.195809058033592,0.,-0.980642041109801)); #436767=DIRECTION('',(0.,-1.,0.)); #436768=DIRECTION('',(-0.195809058033592,0.,-0.980642041109801)); #436769=DIRECTION('',(0.,1.,0.)); #436770=DIRECTION('center_axis',(0.,1.,0.)); #436771=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #436772=DIRECTION('center_axis',(0.,-1.,0.)); #436773=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #436774=DIRECTION('',(0.,1.,0.)); #436775=DIRECTION('center_axis',(1.69737688680423E-6,0.,-0.999999999998559)); #436776=DIRECTION('ref_axis',(-0.999999999998559,0.,-1.69737688680423E-6)); #436777=DIRECTION('',(-0.999999999998559,0.,-1.69737688680423E-6)); #436778=DIRECTION('',(0.,1.,0.)); #436779=DIRECTION('center_axis',(0.999999999998559,0.,1.69737442573292E-6)); #436780=DIRECTION('ref_axis',(1.69737442573292E-6,0.,-0.999999999998559)); #436781=DIRECTION('',(1.69737442573292E-6,0.,-0.999999999998559)); #436782=DIRECTION('',(0.,1.,0.)); #436783=DIRECTION('center_axis',(-1.69737442613814E-6,0.,0.999999999998559)); #436784=DIRECTION('ref_axis',(0.999999999998559,0.,1.69737442613814E-6)); #436785=DIRECTION('',(0.999999999998559,0.,1.69737442613814E-6)); #436786=DIRECTION('',(0.,1.,0.)); #436787=DIRECTION('center_axis',(0.,1.,0.)); #436788=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #436789=DIRECTION('center_axis',(0.,1.,0.)); #436790=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #436791=DIRECTION('',(0.,1.,0.)); #436792=DIRECTION('center_axis',(-0.980641993450992,0.,0.195809296716129)); #436793=DIRECTION('ref_axis',(0.195809296716129,0.,0.980641993450992)); #436794=DIRECTION('',(0.195809296716129,0.,0.980641993450992)); #436795=DIRECTION('',(0.,1.,0.)); #436796=DIRECTION('center_axis',(0.,1.,0.)); #436797=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #436798=DIRECTION('center_axis',(0.,-1.,0.)); #436799=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #436800=DIRECTION('',(0.,1.,0.)); #436801=DIRECTION('center_axis',(-4.95238232924399E-13,0.,1.)); #436802=DIRECTION('ref_axis',(1.,0.,4.95238232924399E-13)); #436803=DIRECTION('',(1.,0.,4.95238232924399E-13)); #436804=DIRECTION('',(0.,1.,0.)); #436805=DIRECTION('center_axis',(-1.,0.,0.)); #436806=DIRECTION('ref_axis',(0.,0.,1.)); #436807=DIRECTION('',(0.,0.,1.)); #436808=DIRECTION('',(0.,1.,0.)); #436809=DIRECTION('center_axis',(0.,0.,-1.)); #436810=DIRECTION('ref_axis',(-1.,0.,0.)); #436811=DIRECTION('',(-1.,0.,0.)); #436812=DIRECTION('',(0.,1.,0.)); #436813=DIRECTION('center_axis',(0.,1.,0.)); #436814=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #436815=DIRECTION('center_axis',(0.,1.,0.)); #436816=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #436817=DIRECTION('center_axis',(0.,1.,0.)); #436818=DIRECTION('ref_axis',(1.,0.,0.)); #436819=DIRECTION('center_axis',(0.,1.,0.)); #436820=DIRECTION('ref_axis',(1.,0.,0.)); #436821=DIRECTION('center_axis',(0.,-1.,0.)); #436822=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #436823=DIRECTION('',(0.195809058033592,0.,0.980642041109801)); #436824=DIRECTION('center_axis',(0.,1.,0.)); #436825=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #436826=DIRECTION('',(0.999999999998559,0.,1.69737688680423E-6)); #436827=DIRECTION('',(-1.69737442573292E-6,0.,0.999999999998559)); #436828=DIRECTION('',(-0.999999999998559,0.,-1.69737442613814E-6)); #436829=DIRECTION('center_axis',(0.,-1.,0.)); #436830=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #436831=DIRECTION('',(-0.195809296716129,0.,-0.980641993450992)); #436832=DIRECTION('center_axis',(0.,1.,0.)); #436833=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #436834=DIRECTION('',(-1.,0.,-4.95238232924399E-13)); #436835=DIRECTION('',(0.,0.,-1.)); #436836=DIRECTION('',(1.,0.,0.)); #436837=DIRECTION('center_axis',(0.980642041109801,0.,-0.195809058033592)); #436838=DIRECTION('ref_axis',(-0.195809058033592,0.,-0.980642041109801)); #436839=DIRECTION('',(0.,-1.,0.)); #436840=DIRECTION('',(-0.195809058033592,0.,-0.980642041109801)); #436841=DIRECTION('',(0.,1.,0.)); #436842=DIRECTION('center_axis',(0.,1.,0.)); #436843=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #436844=DIRECTION('center_axis',(0.,-1.,0.)); #436845=DIRECTION('ref_axis',(-0.980642041109802,0.,0.195809058033591)); #436846=DIRECTION('',(0.,1.,0.)); #436847=DIRECTION('center_axis',(1.69737688680423E-6,0.,-0.999999999998559)); #436848=DIRECTION('ref_axis',(-0.999999999998559,0.,-1.69737688680423E-6)); #436849=DIRECTION('',(-0.999999999998559,0.,-1.69737688680423E-6)); #436850=DIRECTION('',(0.,1.,0.)); #436851=DIRECTION('center_axis',(0.999999999998559,0.,1.69737442573292E-6)); #436852=DIRECTION('ref_axis',(1.69737442573292E-6,0.,-0.999999999998559)); #436853=DIRECTION('',(1.69737442573292E-6,0.,-0.999999999998559)); #436854=DIRECTION('',(0.,1.,0.)); #436855=DIRECTION('center_axis',(-1.69737442613814E-6,0.,0.999999999998559)); #436856=DIRECTION('ref_axis',(0.999999999998559,0.,1.69737442613814E-6)); #436857=DIRECTION('',(0.999999999998559,0.,1.69737442613814E-6)); #436858=DIRECTION('',(0.,1.,0.)); #436859=DIRECTION('center_axis',(0.,1.,0.)); #436860=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #436861=DIRECTION('center_axis',(0.,1.,0.)); #436862=DIRECTION('ref_axis',(-0.980641993450992,0.,0.195809296716129)); #436863=DIRECTION('',(0.,1.,0.)); #436864=DIRECTION('center_axis',(-0.980641993450992,0.,0.195809296716129)); #436865=DIRECTION('ref_axis',(0.195809296716129,0.,0.980641993450992)); #436866=DIRECTION('',(0.195809296716129,0.,0.980641993450992)); #436867=DIRECTION('',(0.,1.,0.)); #436868=DIRECTION('center_axis',(0.,1.,0.)); #436869=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #436870=DIRECTION('center_axis',(0.,-1.,0.)); #436871=DIRECTION('ref_axis',(0.980641993450992,0.,-0.195809296716128)); #436872=DIRECTION('',(0.,1.,0.)); #436873=DIRECTION('center_axis',(-4.95238232924399E-13,0.,1.)); #436874=DIRECTION('ref_axis',(1.,0.,4.95238232924399E-13)); #436875=DIRECTION('',(1.,0.,4.95238232924399E-13)); #436876=DIRECTION('',(0.,1.,0.)); #436877=DIRECTION('center_axis',(-1.,0.,0.)); #436878=DIRECTION('ref_axis',(0.,0.,1.)); #436879=DIRECTION('',(0.,0.,1.)); #436880=DIRECTION('',(0.,1.,0.)); #436881=DIRECTION('center_axis',(0.,0.,-1.)); #436882=DIRECTION('ref_axis',(-1.,0.,0.)); #436883=DIRECTION('',(-1.,0.,0.)); #436884=DIRECTION('',(0.,1.,0.)); #436885=DIRECTION('center_axis',(0.,1.,0.)); #436886=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #436887=DIRECTION('center_axis',(0.,1.,0.)); #436888=DIRECTION('ref_axis',(0.980642041109801,0.,-0.195809058033592)); #436889=DIRECTION('center_axis',(0.,1.,0.)); #436890=DIRECTION('ref_axis',(1.,0.,0.)); #436891=DIRECTION('center_axis',(0.,-1.,0.)); #436892=DIRECTION('ref_axis',(-1.,0.,0.)); #436893=DIRECTION('center_axis',(0.,1.,0.)); #436894=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #436895=DIRECTION('',(-1.,0.,0.)); #436896=DIRECTION('',(0.,0.,-1.)); #436897=DIRECTION('',(1.,0.,-4.95238232924399E-13)); #436898=DIRECTION('center_axis',(0.,-1.,0.)); #436899=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #436900=DIRECTION('',(0.195809296716129,0.,-0.980641993450992)); #436901=DIRECTION('center_axis',(0.,1.,0.)); #436902=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #436903=DIRECTION('',(0.999999999998559,0.,-1.69737442613814E-6)); #436904=DIRECTION('',(1.69737442573292E-6,0.,0.999999999998559)); #436905=DIRECTION('',(-0.999999999998559,0.,1.69737688680423E-6)); #436906=DIRECTION('center_axis',(0.,-1.,0.)); #436907=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #436908=DIRECTION('',(-0.195809058033592,0.,0.980642041109801)); #436909=DIRECTION('center_axis',(0.980642041109801,0.,0.195809058033592)); #436910=DIRECTION('ref_axis',(0.195809058033592,0.,-0.980642041109801)); #436911=DIRECTION('',(0.,-1.,0.)); #436912=DIRECTION('',(0.,1.,0.)); #436913=DIRECTION('',(0.195809058033592,0.,-0.980642041109801)); #436914=DIRECTION('center_axis',(0.,-1.,0.)); #436915=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #436916=DIRECTION('',(0.,1.,0.)); #436917=DIRECTION('center_axis',(0.,1.,0.)); #436918=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #436919=DIRECTION('center_axis',(1.69737688680423E-6,0.,0.999999999998559)); #436920=DIRECTION('ref_axis',(0.999999999998559,0.,-1.69737688680423E-6)); #436921=DIRECTION('',(0.,1.,0.)); #436922=DIRECTION('',(0.999999999998559,0.,-1.69737688680423E-6)); #436923=DIRECTION('center_axis',(0.999999999998559,0.,-1.69737442573292E-6)); #436924=DIRECTION('ref_axis',(-1.69737442573292E-6,0.,-0.999999999998559)); #436925=DIRECTION('',(0.,1.,0.)); #436926=DIRECTION('',(-1.69737442573292E-6,0.,-0.999999999998559)); #436927=DIRECTION('center_axis',(-1.69737442613814E-6,0.,-0.999999999998559)); #436928=DIRECTION('ref_axis',(-0.999999999998559,0.,1.69737442613814E-6)); #436929=DIRECTION('',(0.,1.,0.)); #436930=DIRECTION('',(-0.999999999998559,0.,1.69737442613814E-6)); #436931=DIRECTION('center_axis',(0.,-1.,0.)); #436932=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #436933=DIRECTION('',(0.,1.,0.)); #436934=DIRECTION('center_axis',(0.,-1.,0.)); #436935=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #436936=DIRECTION('center_axis',(-0.980641993450992,0.,-0.195809296716129)); #436937=DIRECTION('ref_axis',(-0.195809296716129,0.,0.980641993450992)); #436938=DIRECTION('',(0.,1.,0.)); #436939=DIRECTION('',(-0.195809296716129,0.,0.980641993450992)); #436940=DIRECTION('center_axis',(0.,-1.,0.)); #436941=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #436942=DIRECTION('',(0.,1.,0.)); #436943=DIRECTION('center_axis',(0.,1.,0.)); #436944=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #436945=DIRECTION('center_axis',(-4.95238232924399E-13,0.,-1.)); #436946=DIRECTION('ref_axis',(-1.,0.,4.95238232924399E-13)); #436947=DIRECTION('',(0.,1.,0.)); #436948=DIRECTION('',(-1.,0.,4.95238232924399E-13)); #436949=DIRECTION('center_axis',(-1.,0.,0.)); #436950=DIRECTION('ref_axis',(0.,0.,1.)); #436951=DIRECTION('',(0.,1.,0.)); #436952=DIRECTION('',(0.,0.,1.)); #436953=DIRECTION('center_axis',(0.,0.,1.)); #436954=DIRECTION('ref_axis',(1.,0.,0.)); #436955=DIRECTION('',(0.,1.,0.)); #436956=DIRECTION('',(1.,0.,0.)); #436957=DIRECTION('center_axis',(0.,-1.,0.)); #436958=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #436959=DIRECTION('center_axis',(0.,-1.,0.)); #436960=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #436961=DIRECTION('center_axis',(0.,-1.,0.)); #436962=DIRECTION('ref_axis',(-1.,0.,0.)); #436963=DIRECTION('center_axis',(0.,-1.,0.)); #436964=DIRECTION('ref_axis',(-1.,0.,0.)); #436965=DIRECTION('center_axis',(0.,1.,0.)); #436966=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #436967=DIRECTION('',(-1.,0.,0.)); #436968=DIRECTION('',(0.,0.,-1.)); #436969=DIRECTION('',(1.,0.,-4.95238232924399E-13)); #436970=DIRECTION('center_axis',(0.,-1.,0.)); #436971=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #436972=DIRECTION('',(0.195809296716129,0.,-0.980641993450992)); #436973=DIRECTION('center_axis',(0.,1.,0.)); #436974=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #436975=DIRECTION('',(0.999999999998559,0.,-1.69737442613814E-6)); #436976=DIRECTION('',(1.69737442573292E-6,0.,0.999999999998559)); #436977=DIRECTION('',(-0.999999999998559,0.,1.69737688680423E-6)); #436978=DIRECTION('center_axis',(0.,-1.,0.)); #436979=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #436980=DIRECTION('',(-0.195809058033592,0.,0.980642041109801)); #436981=DIRECTION('center_axis',(0.980642041109801,0.,0.195809058033592)); #436982=DIRECTION('ref_axis',(0.195809058033592,0.,-0.980642041109801)); #436983=DIRECTION('',(0.,-1.,0.)); #436984=DIRECTION('',(0.,1.,0.)); #436985=DIRECTION('',(0.195809058033592,0.,-0.980642041109801)); #436986=DIRECTION('center_axis',(0.,-1.,0.)); #436987=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #436988=DIRECTION('',(0.,1.,0.)); #436989=DIRECTION('center_axis',(0.,1.,0.)); #436990=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #436991=DIRECTION('center_axis',(1.69737688680423E-6,0.,0.999999999998559)); #436992=DIRECTION('ref_axis',(0.999999999998559,0.,-1.69737688680423E-6)); #436993=DIRECTION('',(0.,1.,0.)); #436994=DIRECTION('',(0.999999999998559,0.,-1.69737688680423E-6)); #436995=DIRECTION('center_axis',(0.999999999998559,0.,-1.69737442573292E-6)); #436996=DIRECTION('ref_axis',(-1.69737442573292E-6,0.,-0.999999999998559)); #436997=DIRECTION('',(0.,1.,0.)); #436998=DIRECTION('',(-1.69737442573292E-6,0.,-0.999999999998559)); #436999=DIRECTION('center_axis',(-1.69737442613814E-6,0.,-0.999999999998559)); #437000=DIRECTION('ref_axis',(-0.999999999998559,0.,1.69737442613814E-6)); #437001=DIRECTION('',(0.,1.,0.)); #437002=DIRECTION('',(-0.999999999998559,0.,1.69737442613814E-6)); #437003=DIRECTION('center_axis',(0.,-1.,0.)); #437004=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #437005=DIRECTION('',(0.,1.,0.)); #437006=DIRECTION('center_axis',(0.,-1.,0.)); #437007=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #437008=DIRECTION('center_axis',(-0.980641993450992,0.,-0.195809296716129)); #437009=DIRECTION('ref_axis',(-0.195809296716129,0.,0.980641993450992)); #437010=DIRECTION('',(0.,1.,0.)); #437011=DIRECTION('',(-0.195809296716129,0.,0.980641993450992)); #437012=DIRECTION('center_axis',(0.,-1.,0.)); #437013=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #437014=DIRECTION('',(0.,1.,0.)); #437015=DIRECTION('center_axis',(0.,1.,0.)); #437016=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #437017=DIRECTION('center_axis',(-4.95238232924399E-13,0.,-1.)); #437018=DIRECTION('ref_axis',(-1.,0.,4.95238232924399E-13)); #437019=DIRECTION('',(0.,1.,0.)); #437020=DIRECTION('',(-1.,0.,4.95238232924399E-13)); #437021=DIRECTION('center_axis',(-1.,0.,0.)); #437022=DIRECTION('ref_axis',(0.,0.,1.)); #437023=DIRECTION('',(0.,1.,0.)); #437024=DIRECTION('',(0.,0.,1.)); #437025=DIRECTION('center_axis',(0.,0.,1.)); #437026=DIRECTION('ref_axis',(1.,0.,0.)); #437027=DIRECTION('',(0.,1.,0.)); #437028=DIRECTION('',(1.,0.,0.)); #437029=DIRECTION('center_axis',(0.,-1.,0.)); #437030=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #437031=DIRECTION('center_axis',(0.,-1.,0.)); #437032=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #437033=DIRECTION('center_axis',(0.,-1.,0.)); #437034=DIRECTION('ref_axis',(-1.,0.,0.)); #437035=DIRECTION('center_axis',(0.,-1.,0.)); #437036=DIRECTION('ref_axis',(-1.,0.,0.)); #437037=DIRECTION('center_axis',(0.,1.,0.)); #437038=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #437039=DIRECTION('',(-1.,0.,0.)); #437040=DIRECTION('',(0.,0.,-1.)); #437041=DIRECTION('',(1.,0.,-4.95238232924399E-13)); #437042=DIRECTION('center_axis',(0.,-1.,0.)); #437043=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #437044=DIRECTION('',(0.195809296716129,0.,-0.980641993450992)); #437045=DIRECTION('center_axis',(0.,1.,0.)); #437046=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #437047=DIRECTION('',(0.999999999998559,0.,-1.69737442613814E-6)); #437048=DIRECTION('',(1.69737442573292E-6,0.,0.999999999998559)); #437049=DIRECTION('',(-0.999999999998559,0.,1.69737688680423E-6)); #437050=DIRECTION('center_axis',(0.,-1.,0.)); #437051=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #437052=DIRECTION('',(-0.195809058033592,0.,0.980642041109801)); #437053=DIRECTION('center_axis',(0.980642041109801,0.,0.195809058033592)); #437054=DIRECTION('ref_axis',(0.195809058033592,0.,-0.980642041109801)); #437055=DIRECTION('',(0.,-1.,0.)); #437056=DIRECTION('',(0.,1.,0.)); #437057=DIRECTION('',(0.195809058033592,0.,-0.980642041109801)); #437058=DIRECTION('center_axis',(0.,-1.,0.)); #437059=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #437060=DIRECTION('',(0.,1.,0.)); #437061=DIRECTION('center_axis',(0.,1.,0.)); #437062=DIRECTION('ref_axis',(0.980642041109802,0.,0.195809058033591)); #437063=DIRECTION('center_axis',(1.69737688680423E-6,0.,0.999999999998559)); #437064=DIRECTION('ref_axis',(0.999999999998559,0.,-1.69737688680423E-6)); #437065=DIRECTION('',(0.,1.,0.)); #437066=DIRECTION('',(0.999999999998559,0.,-1.69737688680423E-6)); #437067=DIRECTION('center_axis',(0.999999999998559,0.,-1.69737442573292E-6)); #437068=DIRECTION('ref_axis',(-1.69737442573292E-6,0.,-0.999999999998559)); #437069=DIRECTION('',(0.,1.,0.)); #437070=DIRECTION('',(-1.69737442573292E-6,0.,-0.999999999998559)); #437071=DIRECTION('center_axis',(-1.69737442613814E-6,0.,-0.999999999998559)); #437072=DIRECTION('ref_axis',(-0.999999999998559,0.,1.69737442613814E-6)); #437073=DIRECTION('',(0.,1.,0.)); #437074=DIRECTION('',(-0.999999999998559,0.,1.69737442613814E-6)); #437075=DIRECTION('center_axis',(0.,-1.,0.)); #437076=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #437077=DIRECTION('',(0.,1.,0.)); #437078=DIRECTION('center_axis',(0.,-1.,0.)); #437079=DIRECTION('ref_axis',(0.980641993450992,0.,0.195809296716129)); #437080=DIRECTION('center_axis',(-0.980641993450992,0.,-0.195809296716129)); #437081=DIRECTION('ref_axis',(-0.195809296716129,0.,0.980641993450992)); #437082=DIRECTION('',(0.,1.,0.)); #437083=DIRECTION('',(-0.195809296716129,0.,0.980641993450992)); #437084=DIRECTION('center_axis',(0.,-1.,0.)); #437085=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #437086=DIRECTION('',(0.,1.,0.)); #437087=DIRECTION('center_axis',(0.,1.,0.)); #437088=DIRECTION('ref_axis',(-0.980641993450992,0.,-0.195809296716128)); #437089=DIRECTION('center_axis',(-4.95238232924399E-13,0.,-1.)); #437090=DIRECTION('ref_axis',(-1.,0.,4.95238232924399E-13)); #437091=DIRECTION('',(0.,1.,0.)); #437092=DIRECTION('',(-1.,0.,4.95238232924399E-13)); #437093=DIRECTION('center_axis',(-1.,0.,0.)); #437094=DIRECTION('ref_axis',(0.,0.,1.)); #437095=DIRECTION('',(0.,1.,0.)); #437096=DIRECTION('',(0.,0.,1.)); #437097=DIRECTION('center_axis',(0.,0.,1.)); #437098=DIRECTION('ref_axis',(1.,0.,0.)); #437099=DIRECTION('',(0.,1.,0.)); #437100=DIRECTION('',(1.,0.,0.)); #437101=DIRECTION('center_axis',(0.,-1.,0.)); #437102=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #437103=DIRECTION('center_axis',(0.,-1.,0.)); #437104=DIRECTION('ref_axis',(-0.980642041109801,0.,-0.195809058033592)); #437105=DIRECTION('center_axis',(0.,-1.,0.)); #437106=DIRECTION('ref_axis',(-1.,0.,0.)); #437107=DIRECTION('',(0.,0.,1.)); #437108=DIRECTION('',(1.,0.,0.)); #437109=DIRECTION('axis',(0.,0.,1.)); #437110=DIRECTION('refdir',(1.,0.,0.)); #437111=DIRECTION('axis',(0.,0.,1.)); #437112=DIRECTION('refdir',(1.,0.,0.)); #437113=DIRECTION('center_axis',(0.,0.,-1.)); #437114=DIRECTION('ref_axis',(1.,0.,0.)); #437115=DIRECTION('center_axis',(0.,0.,-1.)); #437116=DIRECTION('ref_axis',(1.,0.,0.)); #437117=DIRECTION('',(0.,0.,-1.)); #437118=DIRECTION('center_axis',(0.,0.,-1.)); #437119=DIRECTION('ref_axis',(1.,0.,0.)); #437120=DIRECTION('center_axis',(0.,0.,1.)); #437121=DIRECTION('ref_axis',(1.,0.,0.)); #437122=DIRECTION('center_axis',(-0.969483457617158,0.,0.245156736408936)); #437123=DIRECTION('ref_axis',(0.245156736408936,0.,0.969483457617158)); #437124=DIRECTION('',(0.,1.,0.)); #437125=DIRECTION('',(-0.238105835984066,0.238105835984066,-0.941600351391533)); #437126=DIRECTION('',(0.,-1.,0.)); #437127=DIRECTION('',(-0.238105835984066,-0.238105835984067,-0.941600351391533)); #437128=DIRECTION('center_axis',(0.,0.969483457617158,0.245156736408937)); #437129=DIRECTION('ref_axis',(0.,-0.245156736408937,0.969483457617158)); #437130=DIRECTION('',(1.,0.,0.)); #437131=DIRECTION('',(0.238105835984066,0.238105835984067,-0.941600351391533)); #437132=DIRECTION('',(-1.,0.,0.)); #437133=DIRECTION('center_axis',(0.969483457617158,0.,0.245156736408936)); #437134=DIRECTION('ref_axis',(0.245156736408936,0.,-0.969483457617158)); #437135=DIRECTION('',(0.,-1.,0.)); #437136=DIRECTION('',(0.238105835984066,-0.238105835984066,-0.941600351391533)); #437137=DIRECTION('',(0.,1.,0.)); #437138=DIRECTION('center_axis',(0.,-0.969483457617158,0.245156736408937)); #437139=DIRECTION('ref_axis',(0.,-0.245156736408937,-0.969483457617158)); #437140=DIRECTION('',(1.,0.,0.)); #437141=DIRECTION('',(-1.,0.,0.)); #437142=DIRECTION('center_axis',(0.,-1.,0.)); #437143=DIRECTION('ref_axis',(1.,0.,0.)); #437144=DIRECTION('',(0.,0.,1.)); #437145=DIRECTION('',(-1.,0.,0.)); #437146=DIRECTION('',(0.,0.,1.)); #437147=DIRECTION('center_axis',(-1.,0.,0.)); #437148=DIRECTION('ref_axis',(0.,-1.,0.)); #437149=DIRECTION('',(0.,0.,1.)); #437150=DIRECTION('',(0.,1.,0.)); #437151=DIRECTION('center_axis',(0.,0.,1.)); #437152=DIRECTION('ref_axis',(1.,0.,0.)); #437153=DIRECTION('center_axis',(0.969483457617158,0.,-0.245156736408936)); #437154=DIRECTION('ref_axis',(-0.245156736408936,0.,-0.969483457617158)); #437155=DIRECTION('',(0.,-1.,0.)); #437156=DIRECTION('',(-0.238105835984066,0.238105835984066,-0.941600351391533)); #437157=DIRECTION('',(0.,1.,0.)); #437158=DIRECTION('',(0.238105835984066,0.238105835984066,0.941600351391533)); #437159=DIRECTION('center_axis',(0.,-0.969483457617158,-0.245156736408937)); #437160=DIRECTION('ref_axis',(0.,0.245156736408937,-0.969483457617158)); #437161=DIRECTION('',(1.,0.,0.)); #437162=DIRECTION('',(-0.238105835984066,-0.238105835984066,0.941600351391533)); #437163=DIRECTION('center_axis',(0.,0.969483457617158,-0.245156736408937)); #437164=DIRECTION('ref_axis',(0.,0.245156736408937,0.969483457617158)); #437165=DIRECTION('',(1.,0.,0.)); #437166=DIRECTION('',(-1.,0.,0.)); #437167=DIRECTION('',(-0.238105835984066,0.238105835984066,0.941600351391533)); #437168=DIRECTION('center_axis',(-0.969483457617158,0.,-0.245156736408936)); #437169=DIRECTION('ref_axis',(-0.245156736408936,0.,0.969483457617158)); #437170=DIRECTION('',(0.,-1.,0.)); #437171=DIRECTION('center_axis',(1.,0.,0.)); #437172=DIRECTION('ref_axis',(0.,1.,0.)); #437173=DIRECTION('',(0.,0.,1.)); #437174=DIRECTION('center_axis',(0.,0.,1.)); #437175=DIRECTION('ref_axis',(1.,0.,0.)); #437176=DIRECTION('center_axis',(0.,1.,0.)); #437177=DIRECTION('ref_axis',(-1.,0.,0.)); #437178=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #437179=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #437180=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #437181=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #437182=DIRECTION('',(1.,-1.22464679914735E-16,-4.33680868994202E-17)); #437183=DIRECTION('',(0.,0.,1.)); #437184=DIRECTION('',(-1.,1.22464679914735E-16,4.72033598905254E-17)); #437185=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #437186=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,-3.20256641718795E-15)); #437187=DIRECTION('',(0.,0.,1.)); #437188=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #437189=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,1.06752213906265E-15)); #437190=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #437191=DIRECTION('',(0.,0.,-1.)); #437192=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #437193=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #437194=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,2.1350442781253E-15)); #437195=DIRECTION('',(0.,0.,-1.)); #437196=DIRECTION('center_axis',(-4.33680868994202E-17,5.31105888065192E-33, -1.)); #437197=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,4.33680868994202E-17)); #437198=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #437199=DIRECTION('',(-1.,1.22464679914735E-16,4.33680868994202E-17)); #437200=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #437201=DIRECTION('center_axis',(1.,-1.22464679914735E-16,0.)); #437202=DIRECTION('ref_axis',(0.,0.,-1.)); #437203=DIRECTION('',(0.,0.,-1.)); #437204=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #437205=DIRECTION('center_axis',(4.72033598905254E-17,-5.78074435989325E-33, 1.)); #437206=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,-4.72033598905254E-17)); #437207=DIRECTION('',(1.,-1.22464679914735E-16,-4.72033598905254E-17)); #437208=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #437209=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #437210=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,-3.20256641718795E-15)); #437211=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #437212=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,-3.20256641718795E-15)); #437213=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #437214=DIRECTION('center_axis',(1.,-1.22464679914735E-16,0.)); #437215=DIRECTION('ref_axis',(0.,0.,-1.)); #437216=DIRECTION('',(0.,0.,-1.)); #437217=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #437218=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #437219=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,1.06752213906265E-15)); #437220=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #437221=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,1.06752213906265E-15)); #437222=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #437223=DIRECTION('center_axis',(0.,0.,1.)); #437224=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,0.)); #437225=DIRECTION('',(1.,-1.22464679914735E-16,0.)); #437226=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #437227=DIRECTION('center_axis',(-1.,1.22464679914735E-16,0.)); #437228=DIRECTION('ref_axis',(0.,0.,1.)); #437229=DIRECTION('',(0.,0.,1.)); #437230=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #437231=DIRECTION('center_axis',(0.,0.,1.)); #437232=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,0.)); #437233=DIRECTION('',(1.,-1.22464679914735E-16,0.)); #437234=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #437235=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #437236=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,2.1350442781253E-15)); #437237=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #437238=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,2.1350442781253E-15)); #437239=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #437240=DIRECTION('center_axis',(-1.,1.22464679914735E-16,0.)); #437241=DIRECTION('ref_axis',(0.,0.,1.)); #437242=DIRECTION('',(0.,0.,1.)); #437243=DIRECTION('',(1.22464679914735E-16,1.,0.)); #437244=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #437245=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #437246=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #437247=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #437248=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #437249=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #437250=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #437251=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #437252=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #437253=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #437254=DIRECTION('',(1.,-1.22464679914735E-16,-4.33680868994202E-17)); #437255=DIRECTION('',(0.,0.,1.)); #437256=DIRECTION('',(-1.,1.22464679914735E-16,4.72033598905254E-17)); #437257=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #437258=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,-3.20256641718795E-15)); #437259=DIRECTION('',(0.,0.,1.)); #437260=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #437261=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,1.06752213906265E-15)); #437262=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #437263=DIRECTION('',(0.,0.,-1.)); #437264=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #437265=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #437266=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,2.1350442781253E-15)); #437267=DIRECTION('',(0.,0.,-1.)); #437268=DIRECTION('center_axis',(-4.33680868994202E-17,5.31105888065192E-33, -1.)); #437269=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,4.33680868994202E-17)); #437270=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #437271=DIRECTION('',(-1.,1.22464679914735E-16,4.33680868994202E-17)); #437272=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #437273=DIRECTION('center_axis',(1.,-1.22464679914735E-16,0.)); #437274=DIRECTION('ref_axis',(0.,0.,-1.)); #437275=DIRECTION('',(0.,0.,-1.)); #437276=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #437277=DIRECTION('center_axis',(4.72033598905254E-17,-5.78074435989325E-33, 1.)); #437278=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,-4.72033598905254E-17)); #437279=DIRECTION('',(1.,-1.22464679914735E-16,-4.72033598905254E-17)); #437280=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #437281=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #437282=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,-3.20256641718795E-15)); #437283=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #437284=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,-3.20256641718795E-15)); #437285=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #437286=DIRECTION('center_axis',(1.,-1.22464679914735E-16,0.)); #437287=DIRECTION('ref_axis',(0.,0.,-1.)); #437288=DIRECTION('',(0.,0.,-1.)); #437289=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #437290=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #437291=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,1.06752213906265E-15)); #437292=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #437293=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,1.06752213906265E-15)); #437294=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #437295=DIRECTION('center_axis',(0.,0.,1.)); #437296=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,0.)); #437297=DIRECTION('',(1.,-1.22464679914735E-16,0.)); #437298=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #437299=DIRECTION('center_axis',(-1.,1.22464679914735E-16,0.)); #437300=DIRECTION('ref_axis',(0.,0.,1.)); #437301=DIRECTION('',(0.,0.,1.)); #437302=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #437303=DIRECTION('center_axis',(0.,0.,1.)); #437304=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,0.)); #437305=DIRECTION('',(1.,-1.22464679914735E-16,0.)); #437306=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #437307=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #437308=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,2.1350442781253E-15)); #437309=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #437310=DIRECTION('ref_axis',(1.,-1.22464679914735E-16,2.1350442781253E-15)); #437311=DIRECTION('',(-1.22464679914735E-16,-1.,0.)); #437312=DIRECTION('center_axis',(-1.,1.22464679914735E-16,0.)); #437313=DIRECTION('ref_axis',(0.,0.,1.)); #437314=DIRECTION('',(0.,0.,1.)); #437315=DIRECTION('',(1.22464679914735E-16,1.,0.)); #437316=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #437317=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #437318=DIRECTION('center_axis',(1.22464679914735E-16,1.,0.)); #437319=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #437320=DIRECTION('center_axis',(-1.22464679914735E-16,-1.,0.)); #437321=DIRECTION('ref_axis',(-1.,1.22464679914735E-16,0.)); #437322=DIRECTION('center_axis',(0.,1.,0.)); #437323=DIRECTION('ref_axis',(1.,0.,0.)); #437324=DIRECTION('center_axis',(0.,1.,0.)); #437325=DIRECTION('ref_axis',(1.,0.,0.)); #437326=DIRECTION('',(-1.,0.,-4.33680868994202E-17)); #437327=DIRECTION('',(0.,0.,1.)); #437328=DIRECTION('',(1.,0.,4.72033598905254E-17)); #437329=DIRECTION('center_axis',(0.,-1.,0.)); #437330=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #437331=DIRECTION('',(0.,0.,1.)); #437332=DIRECTION('center_axis',(0.,1.,0.)); #437333=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #437334=DIRECTION('',(1.,0.,0.)); #437335=DIRECTION('',(0.,0.,-1.)); #437336=DIRECTION('',(1.,0.,0.)); #437337=DIRECTION('center_axis',(0.,-1.,0.)); #437338=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #437339=DIRECTION('',(0.,0.,-1.)); #437340=DIRECTION('center_axis',(4.33680868994202E-17,0.,-1.)); #437341=DIRECTION('ref_axis',(1.,0.,4.33680868994202E-17)); #437342=DIRECTION('',(0.,1.,0.)); #437343=DIRECTION('',(1.,0.,4.33680868994202E-17)); #437344=DIRECTION('',(0.,1.,0.)); #437345=DIRECTION('center_axis',(-1.,0.,0.)); #437346=DIRECTION('ref_axis',(0.,0.,-1.)); #437347=DIRECTION('',(0.,0.,-1.)); #437348=DIRECTION('',(0.,1.,0.)); #437349=DIRECTION('center_axis',(-4.72033598905254E-17,0.,1.)); #437350=DIRECTION('ref_axis',(-1.,0.,-4.72033598905254E-17)); #437351=DIRECTION('',(-1.,0.,-4.72033598905254E-17)); #437352=DIRECTION('',(0.,1.,0.)); #437353=DIRECTION('center_axis',(0.,-1.,0.)); #437354=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #437355=DIRECTION('center_axis',(0.,1.,0.)); #437356=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #437357=DIRECTION('',(0.,1.,0.)); #437358=DIRECTION('center_axis',(-1.,0.,0.)); #437359=DIRECTION('ref_axis',(0.,0.,-1.)); #437360=DIRECTION('',(0.,0.,-1.)); #437361=DIRECTION('',(0.,1.,0.)); #437362=DIRECTION('center_axis',(0.,-1.,0.)); #437363=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #437364=DIRECTION('center_axis',(0.,-1.,0.)); #437365=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #437366=DIRECTION('',(0.,1.,0.)); #437367=DIRECTION('center_axis',(0.,0.,1.)); #437368=DIRECTION('ref_axis',(-1.,0.,0.)); #437369=DIRECTION('',(-1.,0.,0.)); #437370=DIRECTION('',(0.,1.,0.)); #437371=DIRECTION('center_axis',(1.,0.,0.)); #437372=DIRECTION('ref_axis',(0.,0.,1.)); #437373=DIRECTION('',(0.,0.,1.)); #437374=DIRECTION('',(0.,1.,0.)); #437375=DIRECTION('center_axis',(0.,0.,1.)); #437376=DIRECTION('ref_axis',(-1.,0.,0.)); #437377=DIRECTION('',(-1.,0.,0.)); #437378=DIRECTION('',(0.,1.,0.)); #437379=DIRECTION('center_axis',(0.,-1.,0.)); #437380=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #437381=DIRECTION('center_axis',(0.,1.,0.)); #437382=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #437383=DIRECTION('',(0.,1.,0.)); #437384=DIRECTION('center_axis',(1.,0.,0.)); #437385=DIRECTION('ref_axis',(0.,0.,1.)); #437386=DIRECTION('',(0.,0.,1.)); #437387=DIRECTION('',(0.,-1.,0.)); #437388=DIRECTION('center_axis',(0.,-1.,0.)); #437389=DIRECTION('ref_axis',(1.,0.,0.)); #437390=DIRECTION('center_axis',(0.,-1.,0.)); #437391=DIRECTION('ref_axis',(1.,0.,0.)); #437392=DIRECTION('center_axis',(0.,1.,0.)); #437393=DIRECTION('ref_axis',(1.,0.,0.)); #437394=DIRECTION('center_axis',(0.,1.,0.)); #437395=DIRECTION('ref_axis',(1.,0.,0.)); #437396=DIRECTION('center_axis',(0.,1.,0.)); #437397=DIRECTION('ref_axis',(1.,0.,0.)); #437398=DIRECTION('',(-1.,0.,-4.33680868994202E-17)); #437399=DIRECTION('',(0.,0.,1.)); #437400=DIRECTION('',(1.,0.,4.72033598905254E-17)); #437401=DIRECTION('center_axis',(0.,-1.,0.)); #437402=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #437403=DIRECTION('',(0.,0.,1.)); #437404=DIRECTION('center_axis',(0.,1.,0.)); #437405=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #437406=DIRECTION('',(1.,0.,0.)); #437407=DIRECTION('',(0.,0.,-1.)); #437408=DIRECTION('',(1.,0.,0.)); #437409=DIRECTION('center_axis',(0.,-1.,0.)); #437410=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #437411=DIRECTION('',(0.,0.,-1.)); #437412=DIRECTION('center_axis',(4.33680868994202E-17,0.,-1.)); #437413=DIRECTION('ref_axis',(1.,0.,4.33680868994202E-17)); #437414=DIRECTION('',(0.,1.,0.)); #437415=DIRECTION('',(1.,0.,4.33680868994202E-17)); #437416=DIRECTION('',(0.,1.,0.)); #437417=DIRECTION('center_axis',(-1.,0.,0.)); #437418=DIRECTION('ref_axis',(0.,0.,-1.)); #437419=DIRECTION('',(0.,0.,-1.)); #437420=DIRECTION('',(0.,1.,0.)); #437421=DIRECTION('center_axis',(-4.72033598905254E-17,0.,1.)); #437422=DIRECTION('ref_axis',(-1.,0.,-4.72033598905254E-17)); #437423=DIRECTION('',(-1.,0.,-4.72033598905254E-17)); #437424=DIRECTION('',(0.,1.,0.)); #437425=DIRECTION('center_axis',(0.,-1.,0.)); #437426=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #437427=DIRECTION('center_axis',(0.,1.,0.)); #437428=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #437429=DIRECTION('',(0.,1.,0.)); #437430=DIRECTION('center_axis',(-1.,0.,0.)); #437431=DIRECTION('ref_axis',(0.,0.,-1.)); #437432=DIRECTION('',(0.,0.,-1.)); #437433=DIRECTION('',(0.,1.,0.)); #437434=DIRECTION('center_axis',(0.,-1.,0.)); #437435=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #437436=DIRECTION('center_axis',(0.,-1.,0.)); #437437=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #437438=DIRECTION('',(0.,1.,0.)); #437439=DIRECTION('center_axis',(0.,0.,1.)); #437440=DIRECTION('ref_axis',(-1.,0.,0.)); #437441=DIRECTION('',(-1.,0.,0.)); #437442=DIRECTION('',(0.,1.,0.)); #437443=DIRECTION('center_axis',(1.,0.,0.)); #437444=DIRECTION('ref_axis',(0.,0.,1.)); #437445=DIRECTION('',(0.,0.,1.)); #437446=DIRECTION('',(0.,1.,0.)); #437447=DIRECTION('center_axis',(0.,0.,1.)); #437448=DIRECTION('ref_axis',(-1.,0.,0.)); #437449=DIRECTION('',(-1.,0.,0.)); #437450=DIRECTION('',(0.,1.,0.)); #437451=DIRECTION('center_axis',(0.,-1.,0.)); #437452=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #437453=DIRECTION('center_axis',(0.,1.,0.)); #437454=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #437455=DIRECTION('',(0.,1.,0.)); #437456=DIRECTION('center_axis',(1.,0.,0.)); #437457=DIRECTION('ref_axis',(0.,0.,1.)); #437458=DIRECTION('',(0.,0.,1.)); #437459=DIRECTION('',(0.,-1.,0.)); #437460=DIRECTION('center_axis',(0.,-1.,0.)); #437461=DIRECTION('ref_axis',(1.,0.,0.)); #437462=DIRECTION('center_axis',(0.,-1.,0.)); #437463=DIRECTION('ref_axis',(1.,0.,0.)); #437464=DIRECTION('center_axis',(0.,1.,0.)); #437465=DIRECTION('ref_axis',(1.,0.,0.)); #437466=DIRECTION('center_axis',(0.,1.,0.)); #437467=DIRECTION('ref_axis',(1.,0.,0.)); #437468=DIRECTION('center_axis',(0.,1.,0.)); #437469=DIRECTION('ref_axis',(1.,0.,0.)); #437470=DIRECTION('',(-1.,0.,-4.33680868994202E-17)); #437471=DIRECTION('',(0.,0.,1.)); #437472=DIRECTION('',(1.,0.,4.72033598905254E-17)); #437473=DIRECTION('center_axis',(0.,-1.,0.)); #437474=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #437475=DIRECTION('',(0.,0.,1.)); #437476=DIRECTION('center_axis',(0.,1.,0.)); #437477=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #437478=DIRECTION('',(1.,0.,0.)); #437479=DIRECTION('',(0.,0.,-1.)); #437480=DIRECTION('',(1.,0.,0.)); #437481=DIRECTION('center_axis',(0.,-1.,0.)); #437482=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #437483=DIRECTION('',(0.,0.,-1.)); #437484=DIRECTION('center_axis',(4.33680868994202E-17,0.,-1.)); #437485=DIRECTION('ref_axis',(1.,0.,4.33680868994202E-17)); #437486=DIRECTION('',(0.,1.,0.)); #437487=DIRECTION('',(1.,0.,4.33680868994202E-17)); #437488=DIRECTION('',(0.,1.,0.)); #437489=DIRECTION('center_axis',(-1.,0.,0.)); #437490=DIRECTION('ref_axis',(0.,0.,-1.)); #437491=DIRECTION('',(0.,0.,-1.)); #437492=DIRECTION('',(0.,1.,0.)); #437493=DIRECTION('center_axis',(-4.72033598905254E-17,0.,1.)); #437494=DIRECTION('ref_axis',(-1.,0.,-4.72033598905254E-17)); #437495=DIRECTION('',(-1.,0.,-4.72033598905254E-17)); #437496=DIRECTION('',(0.,1.,0.)); #437497=DIRECTION('center_axis',(0.,-1.,0.)); #437498=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #437499=DIRECTION('center_axis',(0.,1.,0.)); #437500=DIRECTION('ref_axis',(1.,0.,-3.20256641718795E-15)); #437501=DIRECTION('',(0.,1.,0.)); #437502=DIRECTION('center_axis',(-1.,0.,0.)); #437503=DIRECTION('ref_axis',(0.,0.,-1.)); #437504=DIRECTION('',(0.,0.,-1.)); #437505=DIRECTION('',(0.,1.,0.)); #437506=DIRECTION('center_axis',(0.,-1.,0.)); #437507=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #437508=DIRECTION('center_axis',(0.,-1.,0.)); #437509=DIRECTION('ref_axis',(-1.,0.,1.06752213906265E-15)); #437510=DIRECTION('',(0.,1.,0.)); #437511=DIRECTION('center_axis',(0.,0.,1.)); #437512=DIRECTION('ref_axis',(-1.,0.,0.)); #437513=DIRECTION('',(-1.,0.,0.)); #437514=DIRECTION('',(0.,1.,0.)); #437515=DIRECTION('center_axis',(1.,0.,0.)); #437516=DIRECTION('ref_axis',(0.,0.,1.)); #437517=DIRECTION('',(0.,0.,1.)); #437518=DIRECTION('',(0.,1.,0.)); #437519=DIRECTION('center_axis',(0.,0.,1.)); #437520=DIRECTION('ref_axis',(-1.,0.,0.)); #437521=DIRECTION('',(-1.,0.,0.)); #437522=DIRECTION('',(0.,1.,0.)); #437523=DIRECTION('center_axis',(0.,-1.,0.)); #437524=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #437525=DIRECTION('center_axis',(0.,1.,0.)); #437526=DIRECTION('ref_axis',(-1.,0.,2.1350442781253E-15)); #437527=DIRECTION('',(0.,1.,0.)); #437528=DIRECTION('center_axis',(1.,0.,0.)); #437529=DIRECTION('ref_axis',(0.,0.,1.)); #437530=DIRECTION('',(0.,0.,1.)); #437531=DIRECTION('',(0.,-1.,0.)); #437532=DIRECTION('center_axis',(0.,-1.,0.)); #437533=DIRECTION('ref_axis',(1.,0.,0.)); #437534=DIRECTION('center_axis',(0.,-1.,0.)); #437535=DIRECTION('ref_axis',(1.,0.,0.)); #437536=DIRECTION('center_axis',(0.,1.,0.)); #437537=DIRECTION('ref_axis',(1.,0.,0.)); #437538=DIRECTION('',(0.,0.,1.)); #437539=DIRECTION('',(1.,0.,0.)); #437540=DIRECTION('axis',(0.,0.,1.)); #437541=DIRECTION('refdir',(1.,0.,0.)); #437542=DIRECTION('axis',(0.,0.,1.)); #437543=DIRECTION('refdir',(1.,0.,0.)); #437544=DIRECTION('center_axis',(-0.816496580927726,0.408248290463863,-0.408248290463863)); #437545=DIRECTION('ref_axis',(-0.577350269189625,-0.577350269189628,0.577350269189625)); #437546=DIRECTION('center_axis',(0.,-1.,0.)); #437547=DIRECTION('ref_axis',(-1.,0.,0.)); #437548=DIRECTION('center_axis',(0.,0.,1.)); #437549=DIRECTION('ref_axis',(0.,-1.,0.)); #437550=DIRECTION('center_axis',(-1.,0.,0.)); #437551=DIRECTION('ref_axis',(0.,0.,1.)); #437552=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, -0.408248290463863)); #437553=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,0.577350269189626)); #437554=DIRECTION('center_axis',(1.,0.,0.)); #437555=DIRECTION('ref_axis',(0.,-1.,0.)); #437556=DIRECTION('center_axis',(0.,0.,1.)); #437557=DIRECTION('ref_axis',(1.,0.,0.)); #437558=DIRECTION('center_axis',(0.,-1.,0.)); #437559=DIRECTION('ref_axis',(0.,0.,1.)); #437560=DIRECTION('center_axis',(0.408248290463859,-0.816496580927726,0.408248290463866)); #437561=DIRECTION('ref_axis',(-0.577350269189621,-0.577350269189626,-0.577350269189631)); #437562=DIRECTION('center_axis',(0.,0.,-1.)); #437563=DIRECTION('ref_axis',(-1.,0.,0.)); #437564=DIRECTION('center_axis',(0.,-1.,0.)); #437565=DIRECTION('ref_axis',(0.,0.,-1.)); #437566=DIRECTION('center_axis',(-1.,0.,6.93889390390723E-15)); #437567=DIRECTION('ref_axis',(0.,-1.,0.)); #437568=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, 0.408248290463863)); #437569=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,0.577350269189626)); #437570=DIRECTION('center_axis',(-1.,0.,0.)); #437571=DIRECTION('ref_axis',(0.,1.,0.)); #437572=DIRECTION('center_axis',(0.,0.,1.)); #437573=DIRECTION('ref_axis',(-1.,0.,0.)); #437574=DIRECTION('center_axis',(0.,1.,0.)); #437575=DIRECTION('ref_axis',(0.,0.,1.)); #437576=DIRECTION('center_axis',(-0.816496580927725,-0.408248290463864, -0.408248290463863)); #437577=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189626)); #437578=DIRECTION('center_axis',(0.,0.,-1.)); #437579=DIRECTION('ref_axis',(0.,-1.,0.)); #437580=DIRECTION('center_axis',(1.,0.,0.)); #437581=DIRECTION('ref_axis',(0.,0.,-1.)); #437582=DIRECTION('center_axis',(0.,-1.,0.)); #437583=DIRECTION('ref_axis',(1.,0.,0.)); #437584=DIRECTION('center_axis',(1.,0.,0.)); #437585=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #437586=DIRECTION('',(-1.,0.,0.)); #437587=DIRECTION('',(1.,0.,0.)); #437588=DIRECTION('center_axis',(-0.816496580927729,0.408248290463862,0.408248290463859)); #437589=DIRECTION('ref_axis',(0.577350269189622,0.57735026918963,0.577350269189625)); #437590=DIRECTION('center_axis',(0.,1.,0.)); #437591=DIRECTION('ref_axis',(1.,0.,0.)); #437592=DIRECTION('center_axis',(0.,0.,1.)); #437593=DIRECTION('ref_axis',(0.,1.,0.)); #437594=DIRECTION('center_axis',(1.,-3.46944695195361E-15,0.)); #437595=DIRECTION('ref_axis',(0.,0.,1.)); #437596=DIRECTION('center_axis',(-0.816496580927729,-0.408248290463862, 0.40824829046386)); #437597=DIRECTION('ref_axis',(-0.577350269189622,0.577350269189628,-0.577350269189628)); #437598=DIRECTION('center_axis',(-1.,6.93889390390722E-15,0.)); #437599=DIRECTION('ref_axis',(0.,0.,-1.)); #437600=DIRECTION('center_axis',(0.,1.,0.)); #437601=DIRECTION('ref_axis',(-1.,0.,0.)); #437602=DIRECTION('center_axis',(0.,0.,-1.)); #437603=DIRECTION('ref_axis',(0.,1.,0.)); #437604=DIRECTION('center_axis',(0.,-1.,0.)); #437605=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #437606=DIRECTION('',(0.,1.,0.)); #437607=DIRECTION('',(0.,-1.,0.)); #437608=DIRECTION('center_axis',(0.,0.,1.)); #437609=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #437610=DIRECTION('',(0.,0.,-1.)); #437611=DIRECTION('',(0.,0.,1.)); #437612=DIRECTION('center_axis',(-0.408248290463864,-0.408248290463862, -0.816496580927726)); #437613=DIRECTION('ref_axis',(0.577350269189628,0.577350269189623,-0.577350269189627)); #437614=DIRECTION('center_axis',(0.,0.,-1.)); #437615=DIRECTION('ref_axis',(1.,0.,0.)); #437616=DIRECTION('center_axis',(0.,1.,0.)); #437617=DIRECTION('ref_axis',(0.,0.,-1.)); #437618=DIRECTION('center_axis',(1.,0.,-3.46944695195361E-15)); #437619=DIRECTION('ref_axis',(0.,1.,0.)); #437620=DIRECTION('center_axis',(0.,-1.,0.)); #437621=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #437622=DIRECTION('',(0.,1.,0.)); #437623=DIRECTION('',(0.,-1.,0.)); #437624=DIRECTION('center_axis',(-1.,0.,0.)); #437625=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #437626=DIRECTION('',(1.,0.,0.)); #437627=DIRECTION('',(-1.,0.,0.)); #437628=DIRECTION('center_axis',(0.,0.,1.)); #437629=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #437630=DIRECTION('',(0.,0.,-1.)); #437631=DIRECTION('',(0.,0.,1.)); #437632=DIRECTION('center_axis',(-1.,0.,0.)); #437633=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #437634=DIRECTION('',(1.,0.,0.)); #437635=DIRECTION('',(-1.,0.,0.)); #437636=DIRECTION('center_axis',(0.,1.,0.)); #437637=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #437638=DIRECTION('',(0.,-1.,0.)); #437639=DIRECTION('',(0.,1.,0.)); #437640=DIRECTION('center_axis',(0.,0.,1.)); #437641=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #437642=DIRECTION('',(0.,0.,-1.)); #437643=DIRECTION('',(0.,0.,1.)); #437644=DIRECTION('center_axis',(0.,-1.,0.)); #437645=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #437646=DIRECTION('',(0.,1.,0.)); #437647=DIRECTION('',(0.,-1.,0.)); #437648=DIRECTION('center_axis',(0.,0.,1.)); #437649=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #437650=DIRECTION('',(0.,0.,-1.)); #437651=DIRECTION('',(0.,0.,1.)); #437652=DIRECTION('center_axis',(1.,0.,0.)); #437653=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548)); #437654=DIRECTION('',(-1.,0.,0.)); #437655=DIRECTION('',(1.,0.,0.)); #437656=DIRECTION('center_axis',(0.,-1.,0.)); #437657=DIRECTION('ref_axis',(1.,0.,0.)); #437658=DIRECTION('center_axis',(0.,0.,1.)); #437659=DIRECTION('ref_axis',(1.,0.,0.)); #437660=DIRECTION('center_axis',(-1.,0.,0.)); #437661=DIRECTION('ref_axis',(0.,-1.,0.)); #437662=DIRECTION('center_axis',(0.,1.,0.)); #437663=DIRECTION('ref_axis',(-1.,0.,0.)); #437664=DIRECTION('center_axis',(1.,0.,0.)); #437665=DIRECTION('ref_axis',(0.,1.,0.)); #437666=DIRECTION('center_axis',(0.,0.,1.)); #437667=DIRECTION('ref_axis',(1.,0.,0.)); #437668=DIRECTION('center_axis',(-0.816496580927729,0.408248290463862,-0.408248290463859)); #437669=DIRECTION('ref_axis',(-0.577350269189622,-0.57735026918963,0.577350269189625)); #437670=DIRECTION('center_axis',(0.,-1.,0.)); #437671=DIRECTION('ref_axis',(-1.,0.,0.)); #437672=DIRECTION('center_axis',(0.,0.,1.)); #437673=DIRECTION('ref_axis',(0.,-1.,0.)); #437674=DIRECTION('center_axis',(-1.,3.46944695195361E-15,0.)); #437675=DIRECTION('ref_axis',(0.,0.,1.)); #437676=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, -0.408248290463863)); #437677=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,0.577350269189626)); #437678=DIRECTION('center_axis',(1.,0.,0.)); #437679=DIRECTION('ref_axis',(0.,-1.,0.)); #437680=DIRECTION('center_axis',(0.,0.,1.)); #437681=DIRECTION('ref_axis',(1.,0.,0.)); #437682=DIRECTION('center_axis',(0.,-1.,0.)); #437683=DIRECTION('ref_axis',(0.,0.,1.)); #437684=DIRECTION('center_axis',(0.408248290463867,0.408248290463858,-0.816496580927727)); #437685=DIRECTION('ref_axis',(-0.57735026918963,-0.577350269189622,-0.577350269189625)); #437686=DIRECTION('center_axis',(0.,0.,-1.)); #437687=DIRECTION('ref_axis',(-1.,0.,0.)); #437688=DIRECTION('center_axis',(0.,-1.,0.)); #437689=DIRECTION('ref_axis',(0.,0.,-1.)); #437690=DIRECTION('center_axis',(-1.,0.,-6.93889390390723E-15)); #437691=DIRECTION('ref_axis',(0.,-1.,0.)); #437692=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, 0.408248290463863)); #437693=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,0.577350269189626)); #437694=DIRECTION('center_axis',(-1.,0.,0.)); #437695=DIRECTION('ref_axis',(0.,1.,0.)); #437696=DIRECTION('center_axis',(0.,0.,1.)); #437697=DIRECTION('ref_axis',(-1.,0.,0.)); #437698=DIRECTION('center_axis',(0.,1.,0.)); #437699=DIRECTION('ref_axis',(0.,0.,1.)); #437700=DIRECTION('center_axis',(-0.816496580927729,-0.408248290463859, -0.40824829046386)); #437701=DIRECTION('ref_axis',(0.57735026918962,-0.577350269189628,-0.577350269189629)); #437702=DIRECTION('center_axis',(0.,0.,-1.)); #437703=DIRECTION('ref_axis',(0.,-1.,0.)); #437704=DIRECTION('center_axis',(1.,-6.93889390390722E-15,0.)); #437705=DIRECTION('ref_axis',(0.,0.,-1.)); #437706=DIRECTION('center_axis',(0.,-1.,0.)); #437707=DIRECTION('ref_axis',(1.,0.,0.)); #437708=DIRECTION('center_axis',(1.,0.,0.)); #437709=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547)); #437710=DIRECTION('',(-1.,0.,0.)); #437711=DIRECTION('',(1.,0.,0.)); #437712=DIRECTION('center_axis',(-0.816496580927726,0.408248290463863,0.408248290463863)); #437713=DIRECTION('ref_axis',(0.577350269189625,0.577350269189628,0.577350269189625)); #437714=DIRECTION('center_axis',(0.,1.,0.)); #437715=DIRECTION('ref_axis',(1.,0.,0.)); #437716=DIRECTION('center_axis',(0.,0.,1.)); #437717=DIRECTION('ref_axis',(0.,1.,0.)); #437718=DIRECTION('center_axis',(1.,0.,0.)); #437719=DIRECTION('ref_axis',(0.,0.,1.)); #437720=DIRECTION('center_axis',(0.408248290463863,-0.40824829046386,-0.816496580927728)); #437721=DIRECTION('ref_axis',(-0.577350269189628,0.577350269189625,-0.577350269189624)); #437722=DIRECTION('center_axis',(-1.,-3.46944695195361E-15,0.)); #437723=DIRECTION('ref_axis',(0.,0.,-1.)); #437724=DIRECTION('center_axis',(0.,1.,0.)); #437725=DIRECTION('ref_axis',(-1.,0.,0.)); #437726=DIRECTION('center_axis',(0.,0.,-1.)); #437727=DIRECTION('ref_axis',(0.,1.,0.)); #437728=DIRECTION('center_axis',(0.,-1.,0.)); #437729=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #437730=DIRECTION('',(0.,1.,0.)); #437731=DIRECTION('',(0.,-1.,0.)); #437732=DIRECTION('center_axis',(0.,0.,1.)); #437733=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.)); #437734=DIRECTION('',(0.,0.,-1.)); #437735=DIRECTION('',(0.,0.,1.)); #437736=DIRECTION('center_axis',(0.408248290463859,-0.816496580927726,-0.408248290463866)); #437737=DIRECTION('ref_axis',(0.577350269189621,0.577350269189626,-0.577350269189631)); #437738=DIRECTION('center_axis',(0.,0.,-1.)); #437739=DIRECTION('ref_axis',(1.,0.,0.)); #437740=DIRECTION('center_axis',(0.,1.,0.)); #437741=DIRECTION('ref_axis',(0.,0.,-1.)); #437742=DIRECTION('center_axis',(1.,0.,6.93889390390723E-15)); #437743=DIRECTION('ref_axis',(0.,1.,0.)); #437744=DIRECTION('center_axis',(0.,1.,0.)); #437745=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #437746=DIRECTION('',(0.,-1.,0.)); #437747=DIRECTION('',(0.,1.,0.)); #437748=DIRECTION('center_axis',(-1.,0.,0.)); #437749=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547)); #437750=DIRECTION('',(1.,0.,0.)); #437751=DIRECTION('',(-1.,0.,0.)); #437752=DIRECTION('center_axis',(0.,0.,1.)); #437753=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.)); #437754=DIRECTION('',(0.,0.,-1.)); #437755=DIRECTION('',(0.,0.,1.)); #437756=DIRECTION('center_axis',(-1.,0.,0.)); #437757=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548)); #437758=DIRECTION('',(1.,0.,0.)); #437759=DIRECTION('',(-1.,0.,0.)); #437760=DIRECTION('center_axis',(0.,1.,0.)); #437761=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #437762=DIRECTION('',(0.,-1.,0.)); #437763=DIRECTION('',(0.,1.,0.)); #437764=DIRECTION('center_axis',(0.,0.,1.)); #437765=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #437766=DIRECTION('',(0.,0.,-1.)); #437767=DIRECTION('',(0.,0.,1.)); #437768=DIRECTION('center_axis',(0.,-1.,0.)); #437769=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #437770=DIRECTION('',(0.,1.,0.)); #437771=DIRECTION('',(0.,-1.,0.)); #437772=DIRECTION('center_axis',(0.,0.,1.)); #437773=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.)); #437774=DIRECTION('',(0.,0.,-1.)); #437775=DIRECTION('',(0.,0.,1.)); #437776=DIRECTION('center_axis',(1.,0.,0.)); #437777=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548)); #437778=DIRECTION('',(-1.,0.,0.)); #437779=DIRECTION('',(1.,0.,0.)); #437780=DIRECTION('center_axis',(0.,-1.,0.)); #437781=DIRECTION('ref_axis',(1.,0.,0.)); #437782=DIRECTION('center_axis',(0.,0.,1.)); #437783=DIRECTION('ref_axis',(1.,0.,0.)); #437784=DIRECTION('center_axis',(-1.,0.,0.)); #437785=DIRECTION('ref_axis',(0.,-1.,0.)); #437786=DIRECTION('center_axis',(0.,1.,0.)); #437787=DIRECTION('ref_axis',(-1.,0.,0.)); #437788=DIRECTION('center_axis',(1.,0.,0.)); #437789=DIRECTION('ref_axis',(0.,1.,0.)); #437790=DIRECTION('center_axis',(0.,0.,1.)); #437791=DIRECTION('ref_axis',(1.,0.,0.)); #437792=DIRECTION('center_axis',(1.,0.,0.)); #437793=DIRECTION('ref_axis',(0.,1.,0.)); #437794=DIRECTION('',(0.,-1.,0.)); #437795=DIRECTION('',(0.,0.,1.)); #437796=DIRECTION('',(0.,1.,0.)); #437797=DIRECTION('',(0.,0.,1.)); #437798=DIRECTION('center_axis',(0.,-1.,0.)); #437799=DIRECTION('ref_axis',(1.,0.,0.)); #437800=DIRECTION('',(1.,0.,0.)); #437801=DIRECTION('',(1.,0.,0.)); #437802=DIRECTION('',(0.,0.,1.)); #437803=DIRECTION('center_axis',(-1.,0.,0.)); #437804=DIRECTION('ref_axis',(0.,-1.,0.)); #437805=DIRECTION('',(0.,-1.,0.)); #437806=DIRECTION('',(0.,-1.,0.)); #437807=DIRECTION('',(0.,0.,1.)); #437808=DIRECTION('center_axis',(0.,1.,0.)); #437809=DIRECTION('ref_axis',(-1.,0.,0.)); #437810=DIRECTION('',(-1.,0.,0.)); #437811=DIRECTION('',(-1.,0.,0.)); #437812=DIRECTION('center_axis',(0.,0.,1.)); #437813=DIRECTION('ref_axis',(1.,0.,0.)); #437814=DIRECTION('center_axis',(0.,0.,1.)); #437815=DIRECTION('ref_axis',(1.,0.,0.)); #437816=DIRECTION('',(0.,0.,1.)); #437817=DIRECTION('',(1.,0.,0.)); #437818=DIRECTION('',(0.,0.,1.)); #437819=DIRECTION('',(1.,0.,0.)); #437820=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #437821=DIRECTION('',(1.,1.22464679914735E-16,1.22464679914735E-16)); #437822=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #437823=DIRECTION('',(1.,1.22464679914735E-16,1.22464679914735E-16)); #437824=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #437825=DIRECTION('',(1.,1.22464679914735E-16,1.22464679914735E-16)); #437826=DIRECTION('',(0.,0.,1.)); #437827=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #437828=DIRECTION('',(0.,0.,1.)); #437829=DIRECTION('',(1.,0.,0.)); #437830=DIRECTION('',(0.,0.,1.)); #437831=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #437832=DIRECTION('',(0.,0.,1.)); #437833=DIRECTION('',(1.,0.,0.)); #437834=DIRECTION('',(0.,0.,1.)); #437835=DIRECTION('',(-2.22044604925031E-16,1.,0.)); #437836=DIRECTION('',(0.,0.,1.)); #437837=DIRECTION('',(-2.22044604925031E-16,1.,0.)); #437838=DIRECTION('',(0.,0.,1.)); #437839=DIRECTION('',(-2.22044604925031E-16,-1.,0.)); #437840=DIRECTION('',(0.,0.,1.)); #437841=DIRECTION('',(-2.22044604925031E-16,-1.,0.)); #437842=DIRECTION('',(0.,0.,1.)); #437843=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #437844=DIRECTION('',(0.,0.,1.)); #437845=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #437846=DIRECTION('',(0.,0.,1.)); #437847=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #437848=DIRECTION('',(0.,0.,1.)); #437849=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #437850=DIRECTION('',(0.,0.,1.)); #437851=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #437852=DIRECTION('',(0.,0.,1.)); #437853=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #437854=DIRECTION('',(0.,0.,1.)); #437855=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #437856=DIRECTION('',(0.,0.,1.)); #437857=DIRECTION('',(1.,0.,0.)); #437858=DIRECTION('',(0.,0.,1.)); #437859=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #437860=DIRECTION('',(0.,0.,1.)); #437861=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #437862=DIRECTION('',(0.,0.,1.)); #437863=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #437864=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #437865=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #437866=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #437867=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #437868=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #437869=DIRECTION('',(2.22044604925031E-16,1.,2.71926214689378E-32)); #437870=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #437871=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #437872=DIRECTION('',(0.,0.,1.)); #437873=DIRECTION('',(1.,0.,0.)); #437874=DIRECTION('',(0.,0.,1.)); #437875=DIRECTION('',(1.,0.,0.)); #437876=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #437877=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #437878=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #437879=DIRECTION('',(2.22044604925031E-16,1.,2.71926214689378E-32)); #437880=DIRECTION('',(0.,0.,1.)); #437881=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #437882=DIRECTION('',(0.,0.,1.)); #437883=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #437884=DIRECTION('',(0.,0.,1.)); #437885=DIRECTION('',(1.,0.,0.)); #437886=DIRECTION('',(0.,0.,1.)); #437887=DIRECTION('',(1.,0.,0.)); #437888=DIRECTION('',(0.,0.,1.)); #437889=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #437890=DIRECTION('',(0.,0.,1.)); #437891=DIRECTION('',(1.,0.,0.)); #437892=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #437893=DIRECTION('',(1.,1.22464679914735E-16,1.22464679914735E-16)); #437894=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #437895=DIRECTION('',(1.,1.22464679914735E-16,1.22464679914735E-16)); #437896=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #437897=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #437898=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #437899=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #437900=DIRECTION('',(0.,0.,1.)); #437901=DIRECTION('',(-2.22044604925031E-16,1.,0.)); #437902=DIRECTION('',(0.,0.,1.)); #437903=DIRECTION('',(-2.22044604925031E-16,1.,0.)); #437904=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #437905=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #437906=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #437907=DIRECTION('',(1.,1.22464679914735E-16,1.22464679914735E-16)); #437908=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #437909=DIRECTION('',(1.,1.22464679914735E-16,1.22464679914735E-16)); #437910=DIRECTION('',(0.,0.,1.)); #437911=DIRECTION('',(1.,0.,0.)); #437912=DIRECTION('',(0.,0.,1.)); #437913=DIRECTION('',(1.,0.,0.)); #437914=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #437915=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #437916=DIRECTION('',(0.,0.,1.)); #437917=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #437918=DIRECTION('',(0.,0.,1.)); #437919=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #437920=DIRECTION('',(0.,0.,1.)); #437921=DIRECTION('',(1.,0.,0.)); #437922=DIRECTION('',(0.,0.,1.)); #437923=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #437924=DIRECTION('',(0.,0.,1.)); #437925=DIRECTION('',(1.,0.,0.)); #437926=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #437927=DIRECTION('',(2.22044604925031E-16,-1.,2.71926214689378E-32)); #437928=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #437929=DIRECTION('',(2.22044604925031E-16,-1.,2.71926214689378E-32)); #437930=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #437931=DIRECTION('',(2.22044604925031E-16,-1.,2.71926214689378E-32)); #437932=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #437933=DIRECTION('',(2.22044604925031E-16,-1.,2.71926214689378E-32)); #437934=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #437935=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #437936=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #437937=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #437938=DIRECTION('',(0.,0.,1.)); #437939=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #437940=DIRECTION('',(0.,0.,1.)); #437941=DIRECTION('',(1.,0.,0.)); #437942=DIRECTION('',(0.,0.,1.)); #437943=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #437944=DIRECTION('',(0.,0.,1.)); #437945=DIRECTION('',(1.,0.,0.)); #437946=DIRECTION('',(0.,0.,1.)); #437947=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #437948=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #437949=DIRECTION('',(2.22044604925031E-16,1.,2.71926214689378E-32)); #437950=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #437951=DIRECTION('',(2.22044604925031E-16,-1.,2.71926214689378E-32)); #437952=DIRECTION('',(0.,0.,1.)); #437953=DIRECTION('',(1.,0.,0.)); #437954=DIRECTION('',(0.,0.,1.)); #437955=DIRECTION('',(1.,0.,0.)); #437956=DIRECTION('',(0.,0.,1.)); #437957=DIRECTION('',(1.,0.,0.)); #437958=DIRECTION('',(0.,0.,1.)); #437959=DIRECTION('',(1.,0.,0.)); #437960=DIRECTION('',(0.,0.,1.)); #437961=DIRECTION('',(1.,0.,0.)); #437962=DIRECTION('',(0.,0.,1.)); #437963=DIRECTION('',(1.,0.,0.)); #437964=DIRECTION('',(0.,0.,1.)); #437965=DIRECTION('',(1.,0.,0.)); #437966=DIRECTION('center_axis',(0.,0.,1.)); #437967=DIRECTION('ref_axis',(1.,0.,0.)); #437968=CARTESIAN_POINT('',(0.,0.,0.)); #437969=CARTESIAN_POINT('',(0.,0.,0.)); #437970=CARTESIAN_POINT('Origin',(31.11,6.18,0.696999999999986)); #437971=CARTESIAN_POINT('',(30.785,6.18,1.5)); #437972=CARTESIAN_POINT('Origin',(31.11,6.18,1.5)); #437973=CARTESIAN_POINT('',(30.785,6.18,0.)); #437974=CARTESIAN_POINT('',(30.785,6.18,0.696999999999986)); #437975=CARTESIAN_POINT('Origin',(31.11,6.18,0.)); #437976=CARTESIAN_POINT('Origin',(36.89,6.18,0.696999999999986)); #437977=CARTESIAN_POINT('',(36.565,6.18,1.5)); #437978=CARTESIAN_POINT('Origin',(36.89,6.18,1.5)); #437979=CARTESIAN_POINT('',(36.565,6.18,0.)); #437980=CARTESIAN_POINT('',(36.565,6.18,0.696999999999986)); #437981=CARTESIAN_POINT('Origin',(36.89,6.18,0.)); #437982=CARTESIAN_POINT('Origin',(30.19,45.,-200.)); #437983=CARTESIAN_POINT('',(29.59,45.,0.)); #437984=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #437985=CARTESIAN_POINT('',(29.59,45.,1.49999999999999)); #437986=CARTESIAN_POINT('',(29.59,45.,-200.)); #437987=CARTESIAN_POINT('Origin',(30.19,45.,1.49999999999999)); #437988=CARTESIAN_POINT('Origin',(45.125,47.75,-200.)); #437989=CARTESIAN_POINT('',(44.95,47.75,0.)); #437990=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #437991=CARTESIAN_POINT('',(44.95,47.75,1.49999999999999)); #437992=CARTESIAN_POINT('',(44.95,47.75,-200.)); #437993=CARTESIAN_POINT('Origin',(45.125,47.75,1.49999999999999)); #437994=CARTESIAN_POINT('Origin',(41.,25.25,-200.)); #437995=CARTESIAN_POINT('',(40.825,25.25,0.)); #437996=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #437997=CARTESIAN_POINT('',(40.825,25.25,1.49999999999999)); #437998=CARTESIAN_POINT('',(40.825,25.25,-200.)); #437999=CARTESIAN_POINT('Origin',(41.,25.25,1.49999999999999)); #438000=CARTESIAN_POINT('Origin',(46.,47.,-200.)); #438001=CARTESIAN_POINT('',(45.825,47.,0.)); #438002=CARTESIAN_POINT('Origin',(46.,47.,0.)); #438003=CARTESIAN_POINT('',(45.825,47.,1.49999999999999)); #438004=CARTESIAN_POINT('',(45.825,47.,-200.)); #438005=CARTESIAN_POINT('Origin',(46.,47.,1.49999999999999)); #438006=CARTESIAN_POINT('Origin',(32.5,18.5,-200.)); #438007=CARTESIAN_POINT('',(32.325,18.5,0.)); #438008=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #438009=CARTESIAN_POINT('',(32.325,18.5,1.49999999999999)); #438010=CARTESIAN_POINT('',(32.325,18.5,-200.)); #438011=CARTESIAN_POINT('Origin',(32.5,18.5,1.49999999999999)); #438012=CARTESIAN_POINT('Origin',(46.,47.75,-200.)); #438013=CARTESIAN_POINT('',(45.825,47.75,0.)); #438014=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #438015=CARTESIAN_POINT('',(45.825,47.75,1.49999999999999)); #438016=CARTESIAN_POINT('',(45.825,47.75,-200.)); #438017=CARTESIAN_POINT('Origin',(46.,47.75,1.49999999999999)); #438018=CARTESIAN_POINT('Origin',(33.25,48.5,-200.)); #438019=CARTESIAN_POINT('',(33.075,48.5,0.)); #438020=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #438021=CARTESIAN_POINT('',(33.075,48.5,1.49999999999999)); #438022=CARTESIAN_POINT('',(33.075,48.5,-200.)); #438023=CARTESIAN_POINT('Origin',(33.25,48.5,1.49999999999999)); #438024=CARTESIAN_POINT('Origin',(47.75,47.75,-200.)); #438025=CARTESIAN_POINT('',(47.575,47.75,0.)); #438026=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #438027=CARTESIAN_POINT('',(47.575,47.75,1.49999999999999)); #438028=CARTESIAN_POINT('',(47.575,47.75,-200.)); #438029=CARTESIAN_POINT('Origin',(47.75,47.75,1.49999999999999)); #438030=CARTESIAN_POINT('Origin',(33.25,5.5,-200.)); #438031=CARTESIAN_POINT('',(33.075,5.5,0.)); #438032=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #438033=CARTESIAN_POINT('',(33.075,5.5,1.49999999999999)); #438034=CARTESIAN_POINT('',(33.075,5.5,-200.)); #438035=CARTESIAN_POINT('Origin',(33.25,5.5,1.49999999999999)); #438036=CARTESIAN_POINT('Origin',(47.75,46.25,-200.)); #438037=CARTESIAN_POINT('',(47.575,46.25,0.)); #438038=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #438039=CARTESIAN_POINT('',(47.575,46.25,1.49999999999999)); #438040=CARTESIAN_POINT('',(47.575,46.25,-200.)); #438041=CARTESIAN_POINT('Origin',(47.75,46.25,1.49999999999999)); #438042=CARTESIAN_POINT('Origin',(33.25,50.,-200.)); #438043=CARTESIAN_POINT('',(33.075,50.,0.)); #438044=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #438045=CARTESIAN_POINT('',(33.075,50.,1.49999999999999)); #438046=CARTESIAN_POINT('',(33.075,50.,-200.)); #438047=CARTESIAN_POINT('Origin',(33.25,50.,1.49999999999999)); #438048=CARTESIAN_POINT('Origin',(46.,46.25,-200.)); #438049=CARTESIAN_POINT('',(45.825,46.25,0.)); #438050=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #438051=CARTESIAN_POINT('',(45.825,46.25,1.49999999999999)); #438052=CARTESIAN_POINT('',(45.825,46.25,-200.)); #438053=CARTESIAN_POINT('Origin',(46.,46.25,1.49999999999999)); #438054=CARTESIAN_POINT('Origin',(33.25,18.5,-200.)); #438055=CARTESIAN_POINT('',(33.075,18.5,0.)); #438056=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #438057=CARTESIAN_POINT('',(33.075,18.5,1.49999999999999)); #438058=CARTESIAN_POINT('',(33.075,18.5,-200.)); #438059=CARTESIAN_POINT('Origin',(33.25,18.5,1.49999999999999)); #438060=CARTESIAN_POINT('Origin',(47.75,47.,-200.)); #438061=CARTESIAN_POINT('',(47.575,47.,0.)); #438062=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #438063=CARTESIAN_POINT('',(47.575,47.,1.49999999999999)); #438064=CARTESIAN_POINT('',(47.575,47.,-200.)); #438065=CARTESIAN_POINT('Origin',(47.75,47.,1.49999999999999)); #438066=CARTESIAN_POINT('Origin',(33.25,49.25,-200.)); #438067=CARTESIAN_POINT('',(33.075,49.25,0.)); #438068=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #438069=CARTESIAN_POINT('',(33.075,49.25,1.49999999999999)); #438070=CARTESIAN_POINT('',(33.075,49.25,-200.)); #438071=CARTESIAN_POINT('Origin',(33.25,49.25,1.49999999999999)); #438072=CARTESIAN_POINT('Origin',(44.25,47.,-200.)); #438073=CARTESIAN_POINT('',(44.075,47.,0.)); #438074=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #438075=CARTESIAN_POINT('',(44.075,47.,1.49999999999999)); #438076=CARTESIAN_POINT('',(44.075,47.,-200.)); #438077=CARTESIAN_POINT('Origin',(44.25,47.,1.49999999999999)); #438078=CARTESIAN_POINT('Origin',(12.25,34.,-200.)); #438079=CARTESIAN_POINT('',(11.75,34.,0.)); #438080=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #438081=CARTESIAN_POINT('',(11.75,34.,1.49999999999999)); #438082=CARTESIAN_POINT('',(11.75,34.,-200.)); #438083=CARTESIAN_POINT('Origin',(12.25,34.,1.49999999999999)); #438084=CARTESIAN_POINT('Origin',(53.5,20.25,-200.)); #438085=CARTESIAN_POINT('',(53.325,20.25,0.)); #438086=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #438087=CARTESIAN_POINT('',(53.325,20.25,1.49999999999999)); #438088=CARTESIAN_POINT('',(53.325,20.25,-200.)); #438089=CARTESIAN_POINT('Origin',(53.5,20.25,1.49999999999999)); #438090=CARTESIAN_POINT('Origin',(34.75,48.5,-200.)); #438091=CARTESIAN_POINT('',(34.575,48.5,0.)); #438092=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #438093=CARTESIAN_POINT('',(34.575,48.5,1.49999999999999)); #438094=CARTESIAN_POINT('',(34.575,48.5,-200.)); #438095=CARTESIAN_POINT('Origin',(34.75,48.5,1.49999999999999)); #438096=CARTESIAN_POINT('Origin',(52.75,20.25,-200.)); #438097=CARTESIAN_POINT('',(52.575,20.25,0.)); #438098=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #438099=CARTESIAN_POINT('',(52.575,20.25,1.49999999999999)); #438100=CARTESIAN_POINT('',(52.575,20.25,-200.)); #438101=CARTESIAN_POINT('Origin',(52.75,20.25,1.49999999999999)); #438102=CARTESIAN_POINT('Origin',(34.75,18.5,-200.)); #438103=CARTESIAN_POINT('',(34.575,18.5,0.)); #438104=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #438105=CARTESIAN_POINT('',(34.575,18.5,1.49999999999999)); #438106=CARTESIAN_POINT('',(34.575,18.5,-200.)); #438107=CARTESIAN_POINT('Origin',(34.75,18.5,1.49999999999999)); #438108=CARTESIAN_POINT('Origin',(52.,21.75,-200.)); #438109=CARTESIAN_POINT('',(51.825,21.75,0.)); #438110=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #438111=CARTESIAN_POINT('',(51.825,21.75,1.49999999999999)); #438112=CARTESIAN_POINT('',(51.825,21.75,-200.)); #438113=CARTESIAN_POINT('Origin',(52.,21.75,1.49999999999999)); #438114=CARTESIAN_POINT('Origin',(34.,48.5,-200.)); #438115=CARTESIAN_POINT('',(33.825,48.5,0.)); #438116=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #438117=CARTESIAN_POINT('',(33.825,48.5,1.49999999999999)); #438118=CARTESIAN_POINT('',(33.825,48.5,-200.)); #438119=CARTESIAN_POINT('Origin',(34.,48.5,1.49999999999999)); #438120=CARTESIAN_POINT('Origin',(52.,20.25,-200.)); #438121=CARTESIAN_POINT('',(51.825,20.25,0.)); #438122=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #438123=CARTESIAN_POINT('',(51.825,20.25,1.49999999999999)); #438124=CARTESIAN_POINT('',(51.825,20.25,-200.)); #438125=CARTESIAN_POINT('Origin',(52.,20.25,1.49999999999999)); #438126=CARTESIAN_POINT('Origin',(34.,5.5,-200.)); #438127=CARTESIAN_POINT('',(33.825,5.5,0.)); #438128=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #438129=CARTESIAN_POINT('',(33.825,5.5,1.49999999999999)); #438130=CARTESIAN_POINT('',(33.825,5.5,-200.)); #438131=CARTESIAN_POINT('Origin',(34.,5.5,1.49999999999999)); #438132=CARTESIAN_POINT('Origin',(42.,31.,-200.)); #438133=CARTESIAN_POINT('',(41.825,31.,0.)); #438134=CARTESIAN_POINT('Origin',(42.,31.,0.)); #438135=CARTESIAN_POINT('',(41.825,31.,1.49999999999999)); #438136=CARTESIAN_POINT('',(41.825,31.,-200.)); #438137=CARTESIAN_POINT('Origin',(42.,31.,1.49999999999999)); #438138=CARTESIAN_POINT('Origin',(34.75,50.,-200.)); #438139=CARTESIAN_POINT('',(34.575,50.,0.)); #438140=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #438141=CARTESIAN_POINT('',(34.575,50.,1.49999999999999)); #438142=CARTESIAN_POINT('',(34.575,50.,-200.)); #438143=CARTESIAN_POINT('Origin',(34.75,50.,1.49999999999999)); #438144=CARTESIAN_POINT('Origin',(42.,36.,-200.)); #438145=CARTESIAN_POINT('',(41.825,36.,0.)); #438146=CARTESIAN_POINT('Origin',(42.,36.,0.)); #438147=CARTESIAN_POINT('',(41.825,36.,1.49999999999999)); #438148=CARTESIAN_POINT('',(41.825,36.,-200.)); #438149=CARTESIAN_POINT('Origin',(42.,36.,1.49999999999999)); #438150=CARTESIAN_POINT('Origin',(34.,18.5,-200.)); #438151=CARTESIAN_POINT('',(33.825,18.5,0.)); #438152=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #438153=CARTESIAN_POINT('',(33.825,18.5,1.49999999999999)); #438154=CARTESIAN_POINT('',(33.825,18.5,-200.)); #438155=CARTESIAN_POINT('Origin',(34.,18.5,1.49999999999999)); #438156=CARTESIAN_POINT('Origin',(44.25,47.75,-200.)); #438157=CARTESIAN_POINT('',(44.075,47.75,0.)); #438158=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #438159=CARTESIAN_POINT('',(44.075,47.75,1.49999999999999)); #438160=CARTESIAN_POINT('',(44.075,47.75,-200.)); #438161=CARTESIAN_POINT('Origin',(44.25,47.75,1.49999999999999)); #438162=CARTESIAN_POINT('Origin',(34.,50.,-200.)); #438163=CARTESIAN_POINT('',(33.825,50.,0.)); #438164=CARTESIAN_POINT('Origin',(34.,50.,0.)); #438165=CARTESIAN_POINT('',(33.825,50.,1.49999999999999)); #438166=CARTESIAN_POINT('',(33.825,50.,-200.)); #438167=CARTESIAN_POINT('Origin',(34.,50.,1.49999999999999)); #438168=CARTESIAN_POINT('Origin',(44.25,46.25,-200.)); #438169=CARTESIAN_POINT('',(44.075,46.25,0.)); #438170=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #438171=CARTESIAN_POINT('',(44.075,46.25,1.49999999999999)); #438172=CARTESIAN_POINT('',(44.075,46.25,-200.)); #438173=CARTESIAN_POINT('Origin',(44.25,46.25,1.49999999999999)); #438174=CARTESIAN_POINT('Origin',(24.25,34.,-200.)); #438175=CARTESIAN_POINT('',(23.7,34.,0.)); #438176=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #438177=CARTESIAN_POINT('',(23.7,34.,1.49999999999999)); #438178=CARTESIAN_POINT('',(23.7,34.,-200.)); #438179=CARTESIAN_POINT('Origin',(24.25,34.,1.49999999999999)); #438180=CARTESIAN_POINT('Origin',(14.5,21.75,-200.)); #438181=CARTESIAN_POINT('',(14.325,21.75,0.)); #438182=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #438183=CARTESIAN_POINT('',(14.325,21.75,1.49999999999999)); #438184=CARTESIAN_POINT('',(14.325,21.75,-200.)); #438185=CARTESIAN_POINT('Origin',(14.5,21.75,1.49999999999999)); #438186=CARTESIAN_POINT('Origin',(25.,26.625,-200.)); #438187=CARTESIAN_POINT('',(24.825,26.625,0.)); #438188=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #438189=CARTESIAN_POINT('',(24.825,26.625,1.49999999999999)); #438190=CARTESIAN_POINT('',(24.825,26.625,-200.)); #438191=CARTESIAN_POINT('Origin',(25.,26.625,1.49999999999999)); #438192=CARTESIAN_POINT('Origin',(14.5,21.,-200.)); #438193=CARTESIAN_POINT('',(14.325,21.,0.)); #438194=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #438195=CARTESIAN_POINT('',(14.325,21.,1.49999999999999)); #438196=CARTESIAN_POINT('',(14.325,21.,-200.)); #438197=CARTESIAN_POINT('Origin',(14.5,21.,1.49999999999999)); #438198=CARTESIAN_POINT('Origin',(34.75,16.25,-200.)); #438199=CARTESIAN_POINT('',(34.575,16.25,0.)); #438200=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #438201=CARTESIAN_POINT('',(34.575,16.25,1.49999999999999)); #438202=CARTESIAN_POINT('',(34.575,16.25,-200.)); #438203=CARTESIAN_POINT('Origin',(34.75,16.25,1.49999999999999)); #438204=CARTESIAN_POINT('Origin',(16.,20.25,-200.)); #438205=CARTESIAN_POINT('',(15.825,20.25,0.)); #438206=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #438207=CARTESIAN_POINT('',(15.825,20.25,1.49999999999999)); #438208=CARTESIAN_POINT('',(15.825,20.25,-200.)); #438209=CARTESIAN_POINT('Origin',(16.,20.25,1.49999999999999)); #438210=CARTESIAN_POINT('Origin',(27.25,24.375,-200.)); #438211=CARTESIAN_POINT('',(27.075,24.375,0.)); #438212=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #438213=CARTESIAN_POINT('',(27.075,24.375,1.49999999999999)); #438214=CARTESIAN_POINT('',(27.075,24.375,-200.)); #438215=CARTESIAN_POINT('Origin',(27.25,24.375,1.49999999999999)); #438216=CARTESIAN_POINT('Origin',(14.5,20.25,-200.)); #438217=CARTESIAN_POINT('',(14.325,20.25,0.)); #438218=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #438219=CARTESIAN_POINT('',(14.325,20.25,1.49999999999999)); #438220=CARTESIAN_POINT('',(14.325,20.25,-200.)); #438221=CARTESIAN_POINT('Origin',(14.5,20.25,1.49999999999999)); #438222=CARTESIAN_POINT('Origin',(34.0625,12.25,-200.)); #438223=CARTESIAN_POINT('',(33.8875,12.25,0.)); #438224=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #438225=CARTESIAN_POINT('',(33.8875,12.25,1.49999999999999)); #438226=CARTESIAN_POINT('',(33.8875,12.25,-200.)); #438227=CARTESIAN_POINT('Origin',(34.0625,12.25,1.49999999999999)); #438228=CARTESIAN_POINT('Origin',(15.25,20.25,-200.)); #438229=CARTESIAN_POINT('',(15.075,20.25,0.)); #438230=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #438231=CARTESIAN_POINT('',(15.075,20.25,1.49999999999999)); #438232=CARTESIAN_POINT('',(15.075,20.25,-200.)); #438233=CARTESIAN_POINT('Origin',(15.25,20.25,1.49999999999999)); #438234=CARTESIAN_POINT('Origin',(31.75,18.5,-200.)); #438235=CARTESIAN_POINT('',(31.575,18.5,0.)); #438236=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #438237=CARTESIAN_POINT('',(31.575,18.5,1.49999999999999)); #438238=CARTESIAN_POINT('',(31.575,18.5,-200.)); #438239=CARTESIAN_POINT('Origin',(31.75,18.5,1.49999999999999)); #438240=CARTESIAN_POINT('Origin',(15.25,21.75,-200.)); #438241=CARTESIAN_POINT('',(15.075,21.75,0.)); #438242=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #438243=CARTESIAN_POINT('',(15.075,21.75,1.49999999999999)); #438244=CARTESIAN_POINT('',(15.075,21.75,-200.)); #438245=CARTESIAN_POINT('Origin',(15.25,21.75,1.49999999999999)); #438246=CARTESIAN_POINT('Origin',(33.25,16.25,-200.)); #438247=CARTESIAN_POINT('',(33.075,16.25,0.)); #438248=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #438249=CARTESIAN_POINT('',(33.075,16.25,1.49999999999999)); #438250=CARTESIAN_POINT('',(33.075,16.25,-200.)); #438251=CARTESIAN_POINT('Origin',(33.25,16.25,1.49999999999999)); #438252=CARTESIAN_POINT('Origin',(16.,21.75,-200.)); #438253=CARTESIAN_POINT('',(15.825,21.75,0.)); #438254=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #438255=CARTESIAN_POINT('',(15.825,21.75,1.49999999999999)); #438256=CARTESIAN_POINT('',(15.825,21.75,-200.)); #438257=CARTESIAN_POINT('Origin',(16.,21.75,1.49999999999999)); #438258=CARTESIAN_POINT('Origin',(31.75,19.25,-200.)); #438259=CARTESIAN_POINT('',(31.575,19.25,0.)); #438260=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #438261=CARTESIAN_POINT('',(31.575,19.25,1.49999999999999)); #438262=CARTESIAN_POINT('',(31.575,19.25,-200.)); #438263=CARTESIAN_POINT('Origin',(31.75,19.25,1.49999999999999)); #438264=CARTESIAN_POINT('Origin',(16.,21.,-200.)); #438265=CARTESIAN_POINT('',(15.825,21.,0.)); #438266=CARTESIAN_POINT('Origin',(16.,21.,0.)); #438267=CARTESIAN_POINT('',(15.825,21.,1.49999999999999)); #438268=CARTESIAN_POINT('',(15.825,21.,-200.)); #438269=CARTESIAN_POINT('Origin',(16.,21.,1.49999999999999)); #438270=CARTESIAN_POINT('Origin',(15.75,34.,-200.)); #438271=CARTESIAN_POINT('',(15.25,34.,0.)); #438272=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #438273=CARTESIAN_POINT('',(15.25,34.,1.49999999999999)); #438274=CARTESIAN_POINT('',(15.25,34.,-200.)); #438275=CARTESIAN_POINT('Origin',(15.75,34.,1.49999999999999)); #438276=CARTESIAN_POINT('Origin',(52.75,21.,-200.)); #438277=CARTESIAN_POINT('',(52.575,21.,0.)); #438278=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #438279=CARTESIAN_POINT('',(52.575,21.,1.49999999999999)); #438280=CARTESIAN_POINT('',(52.575,21.,-200.)); #438281=CARTESIAN_POINT('Origin',(52.75,21.,1.49999999999999)); #438282=CARTESIAN_POINT('Origin',(37.5,21.25,-200.)); #438283=CARTESIAN_POINT('',(37.325,21.25,0.)); #438284=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #438285=CARTESIAN_POINT('',(37.325,21.25,1.49999999999999)); #438286=CARTESIAN_POINT('',(37.325,21.25,-200.)); #438287=CARTESIAN_POINT('Origin',(37.5,21.25,1.49999999999999)); #438288=CARTESIAN_POINT('Origin',(39.25,19.,-200.)); #438289=CARTESIAN_POINT('',(39.075,19.,0.)); #438290=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #438291=CARTESIAN_POINT('',(39.075,19.,1.49999999999999)); #438292=CARTESIAN_POINT('',(39.075,19.,-200.)); #438293=CARTESIAN_POINT('Origin',(39.25,19.,1.49999999999999)); #438294=CARTESIAN_POINT('Origin',(35.5,17.75,-200.)); #438295=CARTESIAN_POINT('',(35.325,17.75,0.)); #438296=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #438297=CARTESIAN_POINT('',(35.325,17.75,1.49999999999999)); #438298=CARTESIAN_POINT('',(35.325,17.75,-200.)); #438299=CARTESIAN_POINT('Origin',(35.5,17.75,1.49999999999999)); #438300=CARTESIAN_POINT('Origin',(39.25,18.25,-200.)); #438301=CARTESIAN_POINT('',(39.075,18.25,0.)); #438302=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #438303=CARTESIAN_POINT('',(39.075,18.25,1.49999999999999)); #438304=CARTESIAN_POINT('',(39.075,18.25,-200.)); #438305=CARTESIAN_POINT('Origin',(39.25,18.25,1.49999999999999)); #438306=CARTESIAN_POINT('Origin',(31.,13.,-200.)); #438307=CARTESIAN_POINT('',(30.825,13.,0.)); #438308=CARTESIAN_POINT('Origin',(31.,13.,0.)); #438309=CARTESIAN_POINT('',(30.825,13.,1.49999999999999)); #438310=CARTESIAN_POINT('',(30.825,13.,-200.)); #438311=CARTESIAN_POINT('Origin',(31.,13.,1.49999999999999)); #438312=CARTESIAN_POINT('Origin',(42.5,16.,-200.)); #438313=CARTESIAN_POINT('',(42.325,16.,0.)); #438314=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #438315=CARTESIAN_POINT('',(42.325,16.,1.49999999999999)); #438316=CARTESIAN_POINT('',(42.325,16.,-200.)); #438317=CARTESIAN_POINT('Origin',(42.5,16.,1.49999999999999)); #438318=CARTESIAN_POINT('Origin',(27.762,19.,-200.)); #438319=CARTESIAN_POINT('',(27.587,19.,0.)); #438320=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #438321=CARTESIAN_POINT('',(27.587,19.,1.49999999999999)); #438322=CARTESIAN_POINT('',(27.587,19.,-200.)); #438323=CARTESIAN_POINT('Origin',(27.762,19.,1.49999999999999)); #438324=CARTESIAN_POINT('Origin',(53.5,21.,-200.)); #438325=CARTESIAN_POINT('',(53.325,21.,0.)); #438326=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #438327=CARTESIAN_POINT('',(53.325,21.,1.49999999999999)); #438328=CARTESIAN_POINT('',(53.325,21.,-200.)); #438329=CARTESIAN_POINT('Origin',(53.5,21.,1.49999999999999)); #438330=CARTESIAN_POINT('Origin',(34.75,49.25,-200.)); #438331=CARTESIAN_POINT('',(34.575,49.25,0.)); #438332=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #438333=CARTESIAN_POINT('',(34.575,49.25,1.49999999999999)); #438334=CARTESIAN_POINT('',(34.575,49.25,-200.)); #438335=CARTESIAN_POINT('Origin',(34.75,49.25,1.49999999999999)); #438336=CARTESIAN_POINT('Origin',(52.,21.,-200.)); #438337=CARTESIAN_POINT('',(51.825,21.,0.)); #438338=CARTESIAN_POINT('Origin',(52.,21.,0.)); #438339=CARTESIAN_POINT('',(51.825,21.,1.49999999999999)); #438340=CARTESIAN_POINT('',(51.825,21.,-200.)); #438341=CARTESIAN_POINT('Origin',(52.,21.,1.49999999999999)); #438342=CARTESIAN_POINT('Origin',(35.5,18.5,-200.)); #438343=CARTESIAN_POINT('',(35.325,18.5,0.)); #438344=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #438345=CARTESIAN_POINT('',(35.325,18.5,1.49999999999999)); #438346=CARTESIAN_POINT('',(35.325,18.5,-200.)); #438347=CARTESIAN_POINT('Origin',(35.5,18.5,1.49999999999999)); #438348=CARTESIAN_POINT('Origin',(53.5,21.75,-200.)); #438349=CARTESIAN_POINT('',(53.325,21.75,0.)); #438350=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #438351=CARTESIAN_POINT('',(53.325,21.75,1.49999999999999)); #438352=CARTESIAN_POINT('',(53.325,21.75,-200.)); #438353=CARTESIAN_POINT('Origin',(53.5,21.75,1.49999999999999)); #438354=CARTESIAN_POINT('Origin',(34.,49.25,-200.)); #438355=CARTESIAN_POINT('',(33.825,49.25,0.)); #438356=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #438357=CARTESIAN_POINT('',(33.825,49.25,1.49999999999999)); #438358=CARTESIAN_POINT('',(33.825,49.25,-200.)); #438359=CARTESIAN_POINT('Origin',(34.,49.25,1.49999999999999)); #438360=CARTESIAN_POINT('Origin',(52.75,21.75,-200.)); #438361=CARTESIAN_POINT('',(52.575,21.75,0.)); #438362=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #438363=CARTESIAN_POINT('',(52.575,21.75,1.49999999999999)); #438364=CARTESIAN_POINT('',(52.575,21.75,-200.)); #438365=CARTESIAN_POINT('Origin',(52.75,21.75,1.49999999999999)); #438366=CARTESIAN_POINT('Origin',(35.27,45.,-200.)); #438367=CARTESIAN_POINT('',(34.67,45.,0.)); #438368=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #438369=CARTESIAN_POINT('',(34.67,45.,1.49999999999999)); #438370=CARTESIAN_POINT('',(34.67,45.,-200.)); #438371=CARTESIAN_POINT('Origin',(35.27,45.,1.49999999999999)); #438372=CARTESIAN_POINT('Origin',(26.,31.,-200.)); #438373=CARTESIAN_POINT('',(25.825,31.,0.)); #438374=CARTESIAN_POINT('Origin',(26.,31.,0.)); #438375=CARTESIAN_POINT('',(25.825,31.,1.49999999999999)); #438376=CARTESIAN_POINT('',(25.825,31.,-200.)); #438377=CARTESIAN_POINT('Origin',(26.,31.,1.49999999999999)); #438378=CARTESIAN_POINT('Origin',(10.25,33.25,-200.)); #438379=CARTESIAN_POINT('',(10.075,33.25,0.)); #438380=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #438381=CARTESIAN_POINT('',(10.075,33.25,1.49999999999999)); #438382=CARTESIAN_POINT('',(10.075,33.25,-200.)); #438383=CARTESIAN_POINT('Origin',(10.25,33.25,1.49999999999999)); #438384=CARTESIAN_POINT('Origin',(26.,36.,-200.)); #438385=CARTESIAN_POINT('',(25.825,36.,0.)); #438386=CARTESIAN_POINT('Origin',(26.,36.,0.)); #438387=CARTESIAN_POINT('',(25.825,36.,1.49999999999999)); #438388=CARTESIAN_POINT('',(25.825,36.,-200.)); #438389=CARTESIAN_POINT('Origin',(26.,36.,1.49999999999999)); #438390=CARTESIAN_POINT('Origin',(34.75,14.75,-200.)); #438391=CARTESIAN_POINT('',(34.575,14.75,0.)); #438392=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #438393=CARTESIAN_POINT('',(34.575,14.75,1.49999999999999)); #438394=CARTESIAN_POINT('',(34.575,14.75,-200.)); #438395=CARTESIAN_POINT('Origin',(34.75,14.75,1.49999999999999)); #438396=CARTESIAN_POINT('Origin',(26.75,36.,-200.)); #438397=CARTESIAN_POINT('',(26.575,36.,0.)); #438398=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #438399=CARTESIAN_POINT('',(26.575,36.,1.49999999999999)); #438400=CARTESIAN_POINT('',(26.575,36.,-200.)); #438401=CARTESIAN_POINT('Origin',(26.75,36.,1.49999999999999)); #438402=CARTESIAN_POINT('Origin',(9.5,33.25,-200.)); #438403=CARTESIAN_POINT('',(9.325,33.25,0.)); #438404=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #438405=CARTESIAN_POINT('',(9.325,33.25,1.49999999999999)); #438406=CARTESIAN_POINT('',(9.325,33.25,-200.)); #438407=CARTESIAN_POINT('Origin',(9.5,33.25,1.49999999999999)); #438408=CARTESIAN_POINT('Origin',(26.75,31.,-200.)); #438409=CARTESIAN_POINT('',(26.575,31.,0.)); #438410=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #438411=CARTESIAN_POINT('',(26.575,31.,1.49999999999999)); #438412=CARTESIAN_POINT('',(26.575,31.,-200.)); #438413=CARTESIAN_POINT('Origin',(26.75,31.,1.49999999999999)); #438414=CARTESIAN_POINT('Origin',(34.75,17.,-200.)); #438415=CARTESIAN_POINT('',(34.575,17.,0.)); #438416=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #438417=CARTESIAN_POINT('',(34.575,17.,1.49999999999999)); #438418=CARTESIAN_POINT('',(34.575,17.,-200.)); #438419=CARTESIAN_POINT('Origin',(34.75,17.,1.49999999999999)); #438420=CARTESIAN_POINT('Origin',(16.625,47.75,-200.)); #438421=CARTESIAN_POINT('',(16.45,47.75,0.)); #438422=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #438423=CARTESIAN_POINT('',(16.45,47.75,1.49999999999999)); #438424=CARTESIAN_POINT('',(16.45,47.75,-200.)); #438425=CARTESIAN_POINT('Origin',(16.625,47.75,1.49999999999999)); #438426=CARTESIAN_POINT('Origin',(10.25,34.,-200.)); #438427=CARTESIAN_POINT('',(10.075,34.,0.)); #438428=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #438429=CARTESIAN_POINT('',(10.075,34.,1.49999999999999)); #438430=CARTESIAN_POINT('',(10.075,34.,-200.)); #438431=CARTESIAN_POINT('Origin',(10.25,34.,1.49999999999999)); #438432=CARTESIAN_POINT('Origin',(15.75,47.75,-200.)); #438433=CARTESIAN_POINT('',(15.575,47.75,0.)); #438434=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #438435=CARTESIAN_POINT('',(15.575,47.75,1.49999999999999)); #438436=CARTESIAN_POINT('',(15.575,47.75,-200.)); #438437=CARTESIAN_POINT('Origin',(15.75,47.75,1.49999999999999)); #438438=CARTESIAN_POINT('Origin',(35.5,14.75,-200.)); #438439=CARTESIAN_POINT('',(35.325,14.75,0.)); #438440=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #438441=CARTESIAN_POINT('',(35.325,14.75,1.49999999999999)); #438442=CARTESIAN_POINT('',(35.325,14.75,-200.)); #438443=CARTESIAN_POINT('Origin',(35.5,14.75,1.49999999999999)); #438444=CARTESIAN_POINT('Origin',(16.625,47.,-200.)); #438445=CARTESIAN_POINT('',(16.45,47.,0.)); #438446=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #438447=CARTESIAN_POINT('',(16.45,47.,1.49999999999999)); #438448=CARTESIAN_POINT('',(16.45,47.,-200.)); #438449=CARTESIAN_POINT('Origin',(16.625,47.,1.49999999999999)); #438450=CARTESIAN_POINT('Origin',(9.5,34.,-200.)); #438451=CARTESIAN_POINT('',(9.325,34.,0.)); #438452=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #438453=CARTESIAN_POINT('',(9.325,34.,1.49999999999999)); #438454=CARTESIAN_POINT('',(9.325,34.,-200.)); #438455=CARTESIAN_POINT('Origin',(9.5,34.,1.49999999999999)); #438456=CARTESIAN_POINT('Origin',(16.625,46.25,-200.)); #438457=CARTESIAN_POINT('',(16.45,46.25,0.)); #438458=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #438459=CARTESIAN_POINT('',(16.45,46.25,1.49999999999999)); #438460=CARTESIAN_POINT('',(16.45,46.25,-200.)); #438461=CARTESIAN_POINT('Origin',(16.625,46.25,1.49999999999999)); #438462=CARTESIAN_POINT('Origin',(39.25,17.5,-200.)); #438463=CARTESIAN_POINT('',(39.075,17.5,0.)); #438464=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #438465=CARTESIAN_POINT('',(39.075,17.5,1.49999999999999)); #438466=CARTESIAN_POINT('',(39.075,17.5,-200.)); #438467=CARTESIAN_POINT('Origin',(39.25,17.5,1.49999999999999)); #438468=CARTESIAN_POINT('Origin',(17.5,47.75,-200.)); #438469=CARTESIAN_POINT('',(17.325,47.75,0.)); #438470=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #438471=CARTESIAN_POINT('',(17.325,47.75,1.49999999999999)); #438472=CARTESIAN_POINT('',(17.325,47.75,-200.)); #438473=CARTESIAN_POINT('Origin',(17.5,47.75,1.49999999999999)); #438474=CARTESIAN_POINT('Origin',(57.75,33.25,-200.)); #438475=CARTESIAN_POINT('',(57.575,33.25,0.)); #438476=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #438477=CARTESIAN_POINT('',(57.575,33.25,1.49999999999999)); #438478=CARTESIAN_POINT('',(57.575,33.25,-200.)); #438479=CARTESIAN_POINT('Origin',(57.75,33.25,1.49999999999999)); #438480=CARTESIAN_POINT('Origin',(19.375,46.25,-200.)); #438481=CARTESIAN_POINT('',(19.2,46.25,0.)); #438482=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #438483=CARTESIAN_POINT('',(19.2,46.25,1.49999999999999)); #438484=CARTESIAN_POINT('',(19.2,46.25,-200.)); #438485=CARTESIAN_POINT('Origin',(19.375,46.25,1.49999999999999)); #438486=CARTESIAN_POINT('Origin',(36.25,15.5,-200.)); #438487=CARTESIAN_POINT('',(36.075,15.5,0.)); #438488=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #438489=CARTESIAN_POINT('',(36.075,15.5,1.49999999999999)); #438490=CARTESIAN_POINT('',(36.075,15.5,-200.)); #438491=CARTESIAN_POINT('Origin',(36.25,15.5,1.49999999999999)); #438492=CARTESIAN_POINT('Origin',(19.375,47.75,-200.)); #438493=CARTESIAN_POINT('',(19.2,47.75,0.)); #438494=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #438495=CARTESIAN_POINT('',(19.2,47.75,1.49999999999999)); #438496=CARTESIAN_POINT('',(19.2,47.75,-200.)); #438497=CARTESIAN_POINT('Origin',(19.375,47.75,1.49999999999999)); #438498=CARTESIAN_POINT('Origin',(62.,33.25,-200.)); #438499=CARTESIAN_POINT('',(61.825,33.25,0.)); #438500=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #438501=CARTESIAN_POINT('',(61.825,33.25,1.49999999999999)); #438502=CARTESIAN_POINT('',(61.825,33.25,-200.)); #438503=CARTESIAN_POINT('Origin',(62.,33.25,1.49999999999999)); #438504=CARTESIAN_POINT('Origin',(19.375,47.,-200.)); #438505=CARTESIAN_POINT('',(19.2,47.,0.)); #438506=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #438507=CARTESIAN_POINT('',(19.2,47.,1.49999999999999)); #438508=CARTESIAN_POINT('',(19.2,47.,-200.)); #438509=CARTESIAN_POINT('Origin',(19.375,47.,1.49999999999999)); #438510=CARTESIAN_POINT('Origin',(34.,17.75,-200.)); #438511=CARTESIAN_POINT('',(33.825,17.75,0.)); #438512=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #438513=CARTESIAN_POINT('',(33.825,17.75,1.49999999999999)); #438514=CARTESIAN_POINT('',(33.825,17.75,-200.)); #438515=CARTESIAN_POINT('Origin',(34.,17.75,1.49999999999999)); #438516=CARTESIAN_POINT('Origin',(17.5,46.25,-200.)); #438517=CARTESIAN_POINT('',(17.325,46.25,0.)); #438518=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #438519=CARTESIAN_POINT('',(17.325,46.25,1.49999999999999)); #438520=CARTESIAN_POINT('',(17.325,46.25,-200.)); #438521=CARTESIAN_POINT('Origin',(17.5,46.25,1.49999999999999)); #438522=CARTESIAN_POINT('Origin',(57.75,34.,-200.)); #438523=CARTESIAN_POINT('',(57.575,34.,0.)); #438524=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #438525=CARTESIAN_POINT('',(57.575,34.,1.49999999999999)); #438526=CARTESIAN_POINT('',(57.575,34.,-200.)); #438527=CARTESIAN_POINT('Origin',(57.75,34.,1.49999999999999)); #438528=CARTESIAN_POINT('Origin',(17.5,47.,-200.)); #438529=CARTESIAN_POINT('',(17.325,47.,0.)); #438530=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #438531=CARTESIAN_POINT('',(17.325,47.,1.49999999999999)); #438532=CARTESIAN_POINT('',(17.325,47.,-200.)); #438533=CARTESIAN_POINT('Origin',(17.5,47.,1.49999999999999)); #438534=CARTESIAN_POINT('Origin',(36.25,14.75,-200.)); #438535=CARTESIAN_POINT('',(36.075,14.75,0.)); #438536=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #438537=CARTESIAN_POINT('',(36.075,14.75,1.49999999999999)); #438538=CARTESIAN_POINT('',(36.075,14.75,-200.)); #438539=CARTESIAN_POINT('Origin',(36.25,14.75,1.49999999999999)); #438540=CARTESIAN_POINT('Origin',(15.75,46.25,-200.)); #438541=CARTESIAN_POINT('',(15.575,46.25,0.)); #438542=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #438543=CARTESIAN_POINT('',(15.575,46.25,1.49999999999999)); #438544=CARTESIAN_POINT('',(15.575,46.25,-200.)); #438545=CARTESIAN_POINT('Origin',(15.75,46.25,1.49999999999999)); #438546=CARTESIAN_POINT('Origin',(57.75,34.75,-200.)); #438547=CARTESIAN_POINT('',(57.575,34.75,0.)); #438548=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #438549=CARTESIAN_POINT('',(57.575,34.75,1.49999999999999)); #438550=CARTESIAN_POINT('',(57.575,34.75,-200.)); #438551=CARTESIAN_POINT('Origin',(57.75,34.75,1.49999999999999)); #438552=CARTESIAN_POINT('Origin',(15.75,47.,-200.)); #438553=CARTESIAN_POINT('',(15.575,47.,0.)); #438554=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #438555=CARTESIAN_POINT('',(15.575,47.,1.49999999999999)); #438556=CARTESIAN_POINT('',(15.575,47.,-200.)); #438557=CARTESIAN_POINT('Origin',(15.75,47.,1.49999999999999)); #438558=CARTESIAN_POINT('Origin',(52.25,34.,-200.)); #438559=CARTESIAN_POINT('',(51.75,34.,0.)); #438560=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #438561=CARTESIAN_POINT('',(51.75,34.,1.49999999999999)); #438562=CARTESIAN_POINT('',(51.75,34.,-200.)); #438563=CARTESIAN_POINT('Origin',(52.25,34.,1.49999999999999)); #438564=CARTESIAN_POINT('Origin',(23.75,47.,-200.)); #438565=CARTESIAN_POINT('',(23.575,47.,0.)); #438566=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #438567=CARTESIAN_POINT('',(23.575,47.,1.49999999999999)); #438568=CARTESIAN_POINT('',(23.575,47.,-200.)); #438569=CARTESIAN_POINT('Origin',(23.75,47.,1.49999999999999)); #438570=CARTESIAN_POINT('Origin',(61.25,33.25,-200.)); #438571=CARTESIAN_POINT('',(61.075,33.25,0.)); #438572=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #438573=CARTESIAN_POINT('',(61.075,33.25,1.49999999999999)); #438574=CARTESIAN_POINT('',(61.075,33.25,-200.)); #438575=CARTESIAN_POINT('Origin',(61.25,33.25,1.49999999999999)); #438576=CARTESIAN_POINT('Origin',(20.25,47.,-200.)); #438577=CARTESIAN_POINT('',(20.075,47.,0.)); #438578=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #438579=CARTESIAN_POINT('',(20.075,47.,1.49999999999999)); #438580=CARTESIAN_POINT('',(20.075,47.,-200.)); #438581=CARTESIAN_POINT('Origin',(20.25,47.,1.49999999999999)); #438582=CARTESIAN_POINT('Origin',(36.25,17.75,-200.)); #438583=CARTESIAN_POINT('',(36.075,17.75,0.)); #438584=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #438585=CARTESIAN_POINT('',(36.075,17.75,1.49999999999999)); #438586=CARTESIAN_POINT('',(36.075,17.75,-200.)); #438587=CARTESIAN_POINT('Origin',(36.25,17.75,1.49999999999999)); #438588=CARTESIAN_POINT('Origin',(23.75,46.25,-200.)); #438589=CARTESIAN_POINT('',(23.575,46.25,0.)); #438590=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #438591=CARTESIAN_POINT('',(23.575,46.25,1.49999999999999)); #438592=CARTESIAN_POINT('',(23.575,46.25,-200.)); #438593=CARTESIAN_POINT('Origin',(23.75,46.25,1.49999999999999)); #438594=CARTESIAN_POINT('Origin',(58.5,33.25,-200.)); #438595=CARTESIAN_POINT('',(58.325,33.25,0.)); #438596=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #438597=CARTESIAN_POINT('',(58.325,33.25,1.49999999999999)); #438598=CARTESIAN_POINT('',(58.325,33.25,-200.)); #438599=CARTESIAN_POINT('Origin',(58.5,33.25,1.49999999999999)); #438600=CARTESIAN_POINT('Origin',(22.,46.25,-200.)); #438601=CARTESIAN_POINT('',(21.825,46.25,0.)); #438602=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #438603=CARTESIAN_POINT('',(21.825,46.25,1.49999999999999)); #438604=CARTESIAN_POINT('',(21.825,46.25,-200.)); #438605=CARTESIAN_POINT('Origin',(22.,46.25,1.49999999999999)); #438606=CARTESIAN_POINT('Origin',(37.25,8.75,-200.)); #438607=CARTESIAN_POINT('',(37.075,8.75,0.)); #438608=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #438609=CARTESIAN_POINT('',(37.075,8.75,1.49999999999999)); #438610=CARTESIAN_POINT('',(37.075,8.75,-200.)); #438611=CARTESIAN_POINT('Origin',(37.25,8.75,1.49999999999999)); #438612=CARTESIAN_POINT('Origin',(20.25,46.25,-200.)); #438613=CARTESIAN_POINT('',(20.075,46.25,0.)); #438614=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #438615=CARTESIAN_POINT('',(20.075,46.25,1.49999999999999)); #438616=CARTESIAN_POINT('',(20.075,46.25,-200.)); #438617=CARTESIAN_POINT('Origin',(20.25,46.25,1.49999999999999)); #438618=CARTESIAN_POINT('Origin',(58.5,34.,-200.)); #438619=CARTESIAN_POINT('',(58.325,34.,0.)); #438620=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #438621=CARTESIAN_POINT('',(58.325,34.,1.49999999999999)); #438622=CARTESIAN_POINT('',(58.325,34.,-200.)); #438623=CARTESIAN_POINT('Origin',(58.5,34.,1.49999999999999)); #438624=CARTESIAN_POINT('Origin',(18.5,47.75,-200.)); #438625=CARTESIAN_POINT('',(18.325,47.75,0.)); #438626=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #438627=CARTESIAN_POINT('',(18.325,47.75,1.49999999999999)); #438628=CARTESIAN_POINT('',(18.325,47.75,-200.)); #438629=CARTESIAN_POINT('Origin',(18.5,47.75,1.49999999999999)); #438630=CARTESIAN_POINT('Origin',(36.25,18.5,-200.)); #438631=CARTESIAN_POINT('',(36.075,18.5,0.)); #438632=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #438633=CARTESIAN_POINT('',(36.075,18.5,1.49999999999999)); #438634=CARTESIAN_POINT('',(36.075,18.5,-200.)); #438635=CARTESIAN_POINT('Origin',(36.25,18.5,1.49999999999999)); #438636=CARTESIAN_POINT('Origin',(20.25,47.75,-200.)); #438637=CARTESIAN_POINT('',(20.075,47.75,0.)); #438638=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #438639=CARTESIAN_POINT('',(20.075,47.75,1.49999999999999)); #438640=CARTESIAN_POINT('',(20.075,47.75,-200.)); #438641=CARTESIAN_POINT('Origin',(20.25,47.75,1.49999999999999)); #438642=CARTESIAN_POINT('Origin',(58.5,34.75,-200.)); #438643=CARTESIAN_POINT('',(58.325,34.75,0.)); #438644=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #438645=CARTESIAN_POINT('',(58.325,34.75,1.49999999999999)); #438646=CARTESIAN_POINT('',(58.325,34.75,-200.)); #438647=CARTESIAN_POINT('Origin',(58.5,34.75,1.49999999999999)); #438648=CARTESIAN_POINT('Origin',(23.75,47.75,-200.)); #438649=CARTESIAN_POINT('',(23.575,47.75,0.)); #438650=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #438651=CARTESIAN_POINT('',(23.575,47.75,1.49999999999999)); #438652=CARTESIAN_POINT('',(23.575,47.75,-200.)); #438653=CARTESIAN_POINT('Origin',(23.75,47.75,1.49999999999999)); #438654=CARTESIAN_POINT('Origin',(31.5,27.75,-200.)); #438655=CARTESIAN_POINT('',(31.,27.75,0.)); #438656=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #438657=CARTESIAN_POINT('',(31.,27.75,1.49999999999999)); #438658=CARTESIAN_POINT('',(31.,27.75,-200.)); #438659=CARTESIAN_POINT('Origin',(31.5,27.75,1.49999999999999)); #438660=CARTESIAN_POINT('Origin',(21.125,47.,-200.)); #438661=CARTESIAN_POINT('',(20.95,47.,0.)); #438662=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #438663=CARTESIAN_POINT('',(20.95,47.,1.49999999999999)); #438664=CARTESIAN_POINT('',(20.95,47.,-200.)); #438665=CARTESIAN_POINT('Origin',(21.125,47.,1.49999999999999)); #438666=CARTESIAN_POINT('Origin',(61.25,34.75,-200.)); #438667=CARTESIAN_POINT('',(61.075,34.75,0.)); #438668=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #438669=CARTESIAN_POINT('',(61.075,34.75,1.49999999999999)); #438670=CARTESIAN_POINT('',(61.075,34.75,-200.)); #438671=CARTESIAN_POINT('Origin',(61.25,34.75,1.49999999999999)); #438672=CARTESIAN_POINT('Origin',(21.125,47.75,-200.)); #438673=CARTESIAN_POINT('',(20.95,47.75,0.)); #438674=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #438675=CARTESIAN_POINT('',(20.95,47.75,1.49999999999999)); #438676=CARTESIAN_POINT('',(20.95,47.75,-200.)); #438677=CARTESIAN_POINT('Origin',(21.125,47.75,1.49999999999999)); #438678=CARTESIAN_POINT('Origin',(36.25,17.,-200.)); #438679=CARTESIAN_POINT('',(36.075,17.,0.)); #438680=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #438681=CARTESIAN_POINT('',(36.075,17.,1.49999999999999)); #438682=CARTESIAN_POINT('',(36.075,17.,-200.)); #438683=CARTESIAN_POINT('Origin',(36.25,17.,1.49999999999999)); #438684=CARTESIAN_POINT('Origin',(22.,47.,-200.)); #438685=CARTESIAN_POINT('',(21.825,47.,0.)); #438686=CARTESIAN_POINT('Origin',(22.,47.,0.)); #438687=CARTESIAN_POINT('',(21.825,47.,1.49999999999999)); #438688=CARTESIAN_POINT('',(21.825,47.,-200.)); #438689=CARTESIAN_POINT('Origin',(22.,47.,1.49999999999999)); #438690=CARTESIAN_POINT('Origin',(61.25,34.,-200.)); #438691=CARTESIAN_POINT('',(61.075,34.,0.)); #438692=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #438693=CARTESIAN_POINT('',(61.075,34.,1.49999999999999)); #438694=CARTESIAN_POINT('',(61.075,34.,-200.)); #438695=CARTESIAN_POINT('Origin',(61.25,34.,1.49999999999999)); #438696=CARTESIAN_POINT('Origin',(22.,47.75,-200.)); #438697=CARTESIAN_POINT('',(21.825,47.75,0.)); #438698=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #438699=CARTESIAN_POINT('',(21.825,47.75,1.49999999999999)); #438700=CARTESIAN_POINT('',(21.825,47.75,-200.)); #438701=CARTESIAN_POINT('Origin',(22.,47.75,1.49999999999999)); #438702=CARTESIAN_POINT('Origin',(34.,17.,-200.)); #438703=CARTESIAN_POINT('',(33.825,17.,0.)); #438704=CARTESIAN_POINT('Origin',(34.,17.,0.)); #438705=CARTESIAN_POINT('',(33.825,17.,1.49999999999999)); #438706=CARTESIAN_POINT('',(33.825,17.,-200.)); #438707=CARTESIAN_POINT('Origin',(34.,17.,1.49999999999999)); #438708=CARTESIAN_POINT('Origin',(22.875,46.25,-200.)); #438709=CARTESIAN_POINT('',(22.7,46.25,0.)); #438710=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #438711=CARTESIAN_POINT('',(22.7,46.25,1.49999999999999)); #438712=CARTESIAN_POINT('',(22.7,46.25,-200.)); #438713=CARTESIAN_POINT('Origin',(22.875,46.25,1.49999999999999)); #438714=CARTESIAN_POINT('Origin',(62.,34.75,-200.)); #438715=CARTESIAN_POINT('',(61.825,34.75,0.)); #438716=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #438717=CARTESIAN_POINT('',(61.825,34.75,1.49999999999999)); #438718=CARTESIAN_POINT('',(61.825,34.75,-200.)); #438719=CARTESIAN_POINT('Origin',(62.,34.75,1.49999999999999)); #438720=CARTESIAN_POINT('Origin',(21.125,46.25,-200.)); #438721=CARTESIAN_POINT('',(20.95,46.25,0.)); #438722=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #438723=CARTESIAN_POINT('',(20.95,46.25,1.49999999999999)); #438724=CARTESIAN_POINT('',(20.95,46.25,-200.)); #438725=CARTESIAN_POINT('Origin',(21.125,46.25,1.49999999999999)); #438726=CARTESIAN_POINT('Origin',(36.25,16.25,-200.)); #438727=CARTESIAN_POINT('',(36.075,16.25,0.)); #438728=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #438729=CARTESIAN_POINT('',(36.075,16.25,1.49999999999999)); #438730=CARTESIAN_POINT('',(36.075,16.25,-200.)); #438731=CARTESIAN_POINT('Origin',(36.25,16.25,1.49999999999999)); #438732=CARTESIAN_POINT('Origin',(22.875,47.,-200.)); #438733=CARTESIAN_POINT('',(22.7,47.,0.)); #438734=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #438735=CARTESIAN_POINT('',(22.7,47.,1.49999999999999)); #438736=CARTESIAN_POINT('',(22.7,47.,-200.)); #438737=CARTESIAN_POINT('Origin',(22.875,47.,1.49999999999999)); #438738=CARTESIAN_POINT('Origin',(62.,34.,-200.)); #438739=CARTESIAN_POINT('',(61.825,34.,0.)); #438740=CARTESIAN_POINT('Origin',(62.,34.,0.)); #438741=CARTESIAN_POINT('',(61.825,34.,1.49999999999999)); #438742=CARTESIAN_POINT('',(61.825,34.,-200.)); #438743=CARTESIAN_POINT('Origin',(62.,34.,1.49999999999999)); #438744=CARTESIAN_POINT('Origin',(22.875,47.75,-200.)); #438745=CARTESIAN_POINT('',(22.7,47.75,0.)); #438746=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #438747=CARTESIAN_POINT('',(22.7,47.75,1.49999999999999)); #438748=CARTESIAN_POINT('',(22.7,47.75,-200.)); #438749=CARTESIAN_POINT('Origin',(22.875,47.75,1.49999999999999)); #438750=CARTESIAN_POINT('Origin',(21.75,34.,-200.)); #438751=CARTESIAN_POINT('',(21.2,34.,0.)); #438752=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #438753=CARTESIAN_POINT('',(21.2,34.,1.49999999999999)); #438754=CARTESIAN_POINT('',(21.2,34.,-200.)); #438755=CARTESIAN_POINT('Origin',(21.75,34.,1.49999999999999)); #438756=CARTESIAN_POINT('Origin',(31.6,7.6,-200.)); #438757=CARTESIAN_POINT('',(31.45,7.6,0.)); #438758=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #438759=CARTESIAN_POINT('',(31.45,7.6,1.49999999999999)); #438760=CARTESIAN_POINT('',(31.45,7.6,-200.)); #438761=CARTESIAN_POINT('Origin',(31.6,7.6,1.49999999999999)); #438762=CARTESIAN_POINT('Origin',(6.5,34.75,-200.)); #438763=CARTESIAN_POINT('',(6.325,34.75,0.)); #438764=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #438765=CARTESIAN_POINT('',(6.325,34.75,1.49999999999999)); #438766=CARTESIAN_POINT('',(6.325,34.75,-200.)); #438767=CARTESIAN_POINT('Origin',(6.5,34.75,1.49999999999999)); #438768=CARTESIAN_POINT('Origin',(36.4,7.1,-200.)); #438769=CARTESIAN_POINT('',(36.25,7.1,0.)); #438770=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #438771=CARTESIAN_POINT('',(36.25,7.1,1.49999999999999)); #438772=CARTESIAN_POINT('',(36.25,7.1,-200.)); #438773=CARTESIAN_POINT('Origin',(36.4,7.1,1.49999999999999)); #438774=CARTESIAN_POINT('Origin',(31.75,14.75,-200.)); #438775=CARTESIAN_POINT('',(31.575,14.75,0.)); #438776=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #438777=CARTESIAN_POINT('',(31.575,14.75,1.49999999999999)); #438778=CARTESIAN_POINT('',(31.575,14.75,-200.)); #438779=CARTESIAN_POINT('Origin',(31.75,14.75,1.49999999999999)); #438780=CARTESIAN_POINT('Origin',(41.25,13.0029,-200.)); #438781=CARTESIAN_POINT('',(41.075,13.0029,0.)); #438782=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #438783=CARTESIAN_POINT('',(41.075,13.0029,1.49999999999999)); #438784=CARTESIAN_POINT('',(41.075,13.0029,-200.)); #438785=CARTESIAN_POINT('Origin',(41.25,13.0029,1.49999999999999)); #438786=CARTESIAN_POINT('Origin',(6.5,34.,-200.)); #438787=CARTESIAN_POINT('',(6.325,34.,0.)); #438788=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #438789=CARTESIAN_POINT('',(6.325,34.,1.49999999999999)); #438790=CARTESIAN_POINT('',(6.325,34.,-200.)); #438791=CARTESIAN_POINT('Origin',(6.5,34.,1.49999999999999)); #438792=CARTESIAN_POINT('Origin',(36.4,7.6,-200.)); #438793=CARTESIAN_POINT('',(36.25,7.6,0.)); #438794=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #438795=CARTESIAN_POINT('',(36.25,7.6,1.49999999999999)); #438796=CARTESIAN_POINT('',(36.25,7.6,-200.)); #438797=CARTESIAN_POINT('Origin',(36.4,7.6,1.49999999999999)); #438798=CARTESIAN_POINT('Origin',(33.25,17.,-200.)); #438799=CARTESIAN_POINT('',(33.075,17.,0.)); #438800=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #438801=CARTESIAN_POINT('',(33.075,17.,1.49999999999999)); #438802=CARTESIAN_POINT('',(33.075,17.,-200.)); #438803=CARTESIAN_POINT('Origin',(33.25,17.,1.49999999999999)); #438804=CARTESIAN_POINT('Origin',(34.9375,12.25,-200.)); #438805=CARTESIAN_POINT('',(34.7625,12.25,0.)); #438806=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #438807=CARTESIAN_POINT('',(34.7625,12.25,1.49999999999999)); #438808=CARTESIAN_POINT('',(34.7625,12.25,-200.)); #438809=CARTESIAN_POINT('Origin',(34.9375,12.25,1.49999999999999)); #438810=CARTESIAN_POINT('Origin',(7.25,33.25,-200.)); #438811=CARTESIAN_POINT('',(7.075,33.25,0.)); #438812=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #438813=CARTESIAN_POINT('',(7.075,33.25,1.49999999999999)); #438814=CARTESIAN_POINT('',(7.075,33.25,-200.)); #438815=CARTESIAN_POINT('Origin',(7.25,33.25,1.49999999999999)); #438816=CARTESIAN_POINT('Origin',(38.762,60.25,-200.)); #438817=CARTESIAN_POINT('',(38.587,60.25,0.)); #438818=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #438819=CARTESIAN_POINT('',(38.587,60.25,1.49999999999999)); #438820=CARTESIAN_POINT('',(38.587,60.25,-200.)); #438821=CARTESIAN_POINT('Origin',(38.762,60.25,1.49999999999999)); #438822=CARTESIAN_POINT('Origin',(32.5,14.75,-200.)); #438823=CARTESIAN_POINT('',(32.325,14.75,0.)); #438824=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #438825=CARTESIAN_POINT('',(32.325,14.75,1.49999999999999)); #438826=CARTESIAN_POINT('',(32.325,14.75,-200.)); #438827=CARTESIAN_POINT('Origin',(32.5,14.75,1.49999999999999)); #438828=CARTESIAN_POINT('Origin',(34.,55.,-200.)); #438829=CARTESIAN_POINT('',(33.825,55.,0.)); #438830=CARTESIAN_POINT('Origin',(34.,55.,0.)); #438831=CARTESIAN_POINT('',(33.825,55.,1.49999999999999)); #438832=CARTESIAN_POINT('',(33.825,55.,-200.)); #438833=CARTESIAN_POINT('Origin',(34.,55.,1.49999999999999)); #438834=CARTESIAN_POINT('Origin',(6.5,33.25,-200.)); #438835=CARTESIAN_POINT('',(6.325,33.25,0.)); #438836=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #438837=CARTESIAN_POINT('',(6.325,33.25,1.49999999999999)); #438838=CARTESIAN_POINT('',(6.325,33.25,-200.)); #438839=CARTESIAN_POINT('Origin',(6.5,33.25,1.49999999999999)); #438840=CARTESIAN_POINT('Origin',(42.5,14.25,-200.)); #438841=CARTESIAN_POINT('',(42.325,14.25,0.)); #438842=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #438843=CARTESIAN_POINT('',(42.325,14.25,1.49999999999999)); #438844=CARTESIAN_POINT('',(42.325,14.25,-200.)); #438845=CARTESIAN_POINT('Origin',(42.5,14.25,1.49999999999999)); #438846=CARTESIAN_POINT('Origin',(39.25,15.75,-200.)); #438847=CARTESIAN_POINT('',(39.075,15.75,0.)); #438848=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #438849=CARTESIAN_POINT('',(39.075,15.75,1.49999999999999)); #438850=CARTESIAN_POINT('',(39.075,15.75,-200.)); #438851=CARTESIAN_POINT('Origin',(39.25,15.75,1.49999999999999)); #438852=CARTESIAN_POINT('Origin',(30.5,10.5,-200.)); #438853=CARTESIAN_POINT('',(30.325,10.5,0.)); #438854=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #438855=CARTESIAN_POINT('',(30.325,10.5,1.49999999999999)); #438856=CARTESIAN_POINT('',(30.325,10.5,-200.)); #438857=CARTESIAN_POINT('Origin',(30.5,10.5,1.49999999999999)); #438858=CARTESIAN_POINT('Origin',(9.5,34.75,-200.)); #438859=CARTESIAN_POINT('',(9.325,34.75,0.)); #438860=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #438861=CARTESIAN_POINT('',(9.325,34.75,1.49999999999999)); #438862=CARTESIAN_POINT('',(9.325,34.75,-200.)); #438863=CARTESIAN_POINT('Origin',(9.5,34.75,1.49999999999999)); #438864=CARTESIAN_POINT('Origin',(36.5,10.5,-200.)); #438865=CARTESIAN_POINT('',(36.325,10.5,0.)); #438866=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #438867=CARTESIAN_POINT('',(36.325,10.5,1.49999999999999)); #438868=CARTESIAN_POINT('',(36.325,10.5,-200.)); #438869=CARTESIAN_POINT('Origin',(36.5,10.5,1.49999999999999)); #438870=CARTESIAN_POINT('Origin',(34.,14.75,-200.)); #438871=CARTESIAN_POINT('',(33.825,14.75,0.)); #438872=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #438873=CARTESIAN_POINT('',(33.825,14.75,1.49999999999999)); #438874=CARTESIAN_POINT('',(33.825,14.75,-200.)); #438875=CARTESIAN_POINT('Origin',(34.,14.75,1.49999999999999)); #438876=CARTESIAN_POINT('Origin',(35.75,11.25,-200.)); #438877=CARTESIAN_POINT('',(35.575,11.25,0.)); #438878=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #438879=CARTESIAN_POINT('',(35.575,11.25,1.49999999999999)); #438880=CARTESIAN_POINT('',(35.575,11.25,-200.)); #438881=CARTESIAN_POINT('Origin',(35.75,11.25,1.49999999999999)); #438882=CARTESIAN_POINT('Origin',(10.25,34.75,-200.)); #438883=CARTESIAN_POINT('',(10.075,34.75,0.)); #438884=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #438885=CARTESIAN_POINT('',(10.075,34.75,1.49999999999999)); #438886=CARTESIAN_POINT('',(10.075,34.75,-200.)); #438887=CARTESIAN_POINT('Origin',(10.25,34.75,1.49999999999999)); #438888=CARTESIAN_POINT('Origin',(30.5,11.25,-200.)); #438889=CARTESIAN_POINT('',(30.325,11.25,0.)); #438890=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #438891=CARTESIAN_POINT('',(30.325,11.25,1.49999999999999)); #438892=CARTESIAN_POINT('',(30.325,11.25,-200.)); #438893=CARTESIAN_POINT('Origin',(30.5,11.25,1.49999999999999)); #438894=CARTESIAN_POINT('Origin',(34.,16.25,-200.)); #438895=CARTESIAN_POINT('',(33.825,16.25,0.)); #438896=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #438897=CARTESIAN_POINT('',(33.825,16.25,1.49999999999999)); #438898=CARTESIAN_POINT('',(33.825,16.25,-200.)); #438899=CARTESIAN_POINT('Origin',(34.,16.25,1.49999999999999)); #438900=CARTESIAN_POINT('Origin',(39.25,16.5,-200.)); #438901=CARTESIAN_POINT('',(39.075,16.5,0.)); #438902=CARTESIAN_POINT('Origin',(39.25,16.5,0.)); #438903=CARTESIAN_POINT('',(39.075,16.5,1.49999999999999)); #438904=CARTESIAN_POINT('',(39.075,16.5,-200.)); #438905=CARTESIAN_POINT('Origin',(39.25,16.5,1.49999999999999)); #438906=CARTESIAN_POINT('Origin',(7.25,34.75,-200.)); #438907=CARTESIAN_POINT('',(7.075,34.75,0.)); #438908=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #438909=CARTESIAN_POINT('',(7.075,34.75,1.49999999999999)); #438910=CARTESIAN_POINT('',(7.075,34.75,-200.)); #438911=CARTESIAN_POINT('Origin',(7.25,34.75,1.49999999999999)); #438912=CARTESIAN_POINT('Origin',(30.762,60.25,-200.)); #438913=CARTESIAN_POINT('',(30.587,60.25,0.)); #438914=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #438915=CARTESIAN_POINT('',(30.587,60.25,1.49999999999999)); #438916=CARTESIAN_POINT('',(30.587,60.25,-200.)); #438917=CARTESIAN_POINT('Origin',(30.762,60.25,1.49999999999999)); #438918=CARTESIAN_POINT('Origin',(33.25,14.75,-200.)); #438919=CARTESIAN_POINT('',(33.075,14.75,0.)); #438920=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #438921=CARTESIAN_POINT('',(33.075,14.75,1.49999999999999)); #438922=CARTESIAN_POINT('',(33.075,14.75,-200.)); #438923=CARTESIAN_POINT('Origin',(33.25,14.75,1.49999999999999)); #438924=CARTESIAN_POINT('Origin',(33.25,55.,-200.)); #438925=CARTESIAN_POINT('',(33.075,55.,0.)); #438926=CARTESIAN_POINT('Origin',(33.25,55.,0.)); #438927=CARTESIAN_POINT('',(33.075,55.,1.49999999999999)); #438928=CARTESIAN_POINT('',(33.075,55.,-200.)); #438929=CARTESIAN_POINT('Origin',(33.25,55.,1.49999999999999)); #438930=CARTESIAN_POINT('Origin',(7.25,34.,-200.)); #438931=CARTESIAN_POINT('',(7.075,34.,0.)); #438932=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #438933=CARTESIAN_POINT('',(7.075,34.,1.49999999999999)); #438934=CARTESIAN_POINT('',(7.075,34.,-200.)); #438935=CARTESIAN_POINT('Origin',(7.25,34.,1.49999999999999)); #438936=CARTESIAN_POINT('Origin',(34.762,60.25,-200.)); #438937=CARTESIAN_POINT('',(34.587,60.25,0.)); #438938=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #438939=CARTESIAN_POINT('',(34.587,60.25,1.49999999999999)); #438940=CARTESIAN_POINT('',(34.587,60.25,-200.)); #438941=CARTESIAN_POINT('Origin',(34.762,60.25,1.49999999999999)); #438942=CARTESIAN_POINT('Origin',(55.75,34.,-200.)); #438943=CARTESIAN_POINT('',(55.25,34.,0.)); #438944=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #438945=CARTESIAN_POINT('',(55.25,34.,1.49999999999999)); #438946=CARTESIAN_POINT('',(55.25,34.,-200.)); #438947=CARTESIAN_POINT('Origin',(55.75,34.,1.49999999999999)); #438948=CARTESIAN_POINT('Origin',(30.03,2.09999997186536,-200.)); #438949=CARTESIAN_POINT('',(30.03,2.89999999062179,1.49999999999999)); #438950=CARTESIAN_POINT('',(30.03,2.09999997186536,1.49999999999999)); #438951=CARTESIAN_POINT('',(30.0300000000001,18.0499999859327,1.5)); #438952=CARTESIAN_POINT('',(30.03,2.89999999062179,0.)); #438953=CARTESIAN_POINT('',(30.03,2.89999999062179,-200.)); #438954=CARTESIAN_POINT('',(30.03,2.09999997186536,0.)); #438955=CARTESIAN_POINT('',(30.0300000000001,18.0499999859327,0.)); #438956=CARTESIAN_POINT('',(30.03,2.09999997186536,-200.)); #438957=CARTESIAN_POINT('Origin',(29.68,2.1,-200.)); #438958=CARTESIAN_POINT('',(29.33,2.10000000937821,1.49999999999999)); #438959=CARTESIAN_POINT('Origin',(29.68,2.1,1.49999999999999)); #438960=CARTESIAN_POINT('',(29.33,2.10000000937821,0.)); #438961=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #438962=CARTESIAN_POINT('',(29.33,2.10000000937821,-200.)); #438963=CARTESIAN_POINT('Origin',(29.33,2.90000002813464,-200.)); #438964=CARTESIAN_POINT('',(29.33,2.90000002813464,1.49999999999999)); #438965=CARTESIAN_POINT('',(29.3300000000001,18.4500000140673,1.5)); #438966=CARTESIAN_POINT('',(29.33,2.90000002813464,0.)); #438967=CARTESIAN_POINT('',(29.3300000000001,18.4500000140673,0.)); #438968=CARTESIAN_POINT('',(29.33,2.90000002813464,-200.)); #438969=CARTESIAN_POINT('Origin',(29.68,2.9,-200.)); #438970=CARTESIAN_POINT('Origin',(29.68,2.9,1.49999999999999)); #438971=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #438972=CARTESIAN_POINT('Origin',(35.25,6.25,-200.)); #438973=CARTESIAN_POINT('',(35.075,6.25,0.)); #438974=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #438975=CARTESIAN_POINT('',(35.075,6.25,1.49999999999999)); #438976=CARTESIAN_POINT('',(35.075,6.25,-200.)); #438977=CARTESIAN_POINT('Origin',(35.25,6.25,1.49999999999999)); #438978=CARTESIAN_POINT('Origin',(29.4500000482308,34.6,-200.)); #438979=CARTESIAN_POINT('',(28.8500000160769,34.6,1.49999999999999)); #438980=CARTESIAN_POINT('',(29.4500000482308,34.6,1.49999999999999)); #438981=CARTESIAN_POINT('',(31.7250000241154,34.6,1.5)); #438982=CARTESIAN_POINT('',(28.8500000160769,34.6,0.)); #438983=CARTESIAN_POINT('',(28.8500000160769,34.6,-200.)); #438984=CARTESIAN_POINT('',(29.4500000482308,34.6,0.)); #438985=CARTESIAN_POINT('',(31.7250000241154,34.6,0.)); #438986=CARTESIAN_POINT('',(29.4500000482308,34.6,-200.)); #438987=CARTESIAN_POINT('Origin',(29.45,34.,-200.)); #438988=CARTESIAN_POINT('',(29.4499999839231,33.4,1.49999999999999)); #438989=CARTESIAN_POINT('Origin',(29.45,34.,1.49999999999999)); #438990=CARTESIAN_POINT('',(29.4499999839231,33.4,0.)); #438991=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #438992=CARTESIAN_POINT('',(29.4499999839231,33.4,-200.)); #438993=CARTESIAN_POINT('Origin',(28.8499999517692,33.4,-200.)); #438994=CARTESIAN_POINT('',(28.8499999517692,33.4,1.49999999999999)); #438995=CARTESIAN_POINT('',(31.4249999758846,33.4,1.5)); #438996=CARTESIAN_POINT('',(28.8499999517692,33.4,0.)); #438997=CARTESIAN_POINT('',(31.4249999758846,33.4,0.)); #438998=CARTESIAN_POINT('',(28.8499999517692,33.4,-200.)); #438999=CARTESIAN_POINT('Origin',(28.85,34.,-200.)); #439000=CARTESIAN_POINT('Origin',(28.85,34.,1.49999999999999)); #439001=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #439002=CARTESIAN_POINT('Origin',(31.75,16.25,-200.)); #439003=CARTESIAN_POINT('',(31.575,16.25,0.)); #439004=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #439005=CARTESIAN_POINT('',(31.575,16.25,1.49999999999999)); #439006=CARTESIAN_POINT('',(31.575,16.25,-200.)); #439007=CARTESIAN_POINT('Origin',(31.75,16.25,1.49999999999999)); #439008=CARTESIAN_POINT('Origin',(30.8,7.6,-200.)); #439009=CARTESIAN_POINT('',(30.65,7.6,0.)); #439010=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #439011=CARTESIAN_POINT('',(30.65,7.6,1.49999999999999)); #439012=CARTESIAN_POINT('',(30.65,7.6,-200.)); #439013=CARTESIAN_POINT('Origin',(30.8,7.6,1.49999999999999)); #439014=CARTESIAN_POINT('Origin',(34.25,8.25,-200.)); #439015=CARTESIAN_POINT('',(34.075,8.25,0.)); #439016=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #439017=CARTESIAN_POINT('',(34.075,8.25,1.49999999999999)); #439018=CARTESIAN_POINT('',(34.075,8.25,-200.)); #439019=CARTESIAN_POINT('Origin',(34.25,8.25,1.49999999999999)); #439020=CARTESIAN_POINT('Origin',(39.1500000482308,34.6,-200.)); #439021=CARTESIAN_POINT('',(38.5500000160769,34.6,1.49999999999999)); #439022=CARTESIAN_POINT('',(39.1500000482308,34.6,1.49999999999999)); #439023=CARTESIAN_POINT('',(36.5750000241154,34.6,1.5)); #439024=CARTESIAN_POINT('',(38.5500000160769,34.6,0.)); #439025=CARTESIAN_POINT('',(38.5500000160769,34.6,-200.)); #439026=CARTESIAN_POINT('',(39.1500000482308,34.6,0.)); #439027=CARTESIAN_POINT('',(36.5750000241154,34.6,0.)); #439028=CARTESIAN_POINT('',(39.1500000482308,34.6,-200.)); #439029=CARTESIAN_POINT('Origin',(39.15,34.,-200.)); #439030=CARTESIAN_POINT('',(39.1499999839231,33.4,1.49999999999999)); #439031=CARTESIAN_POINT('Origin',(39.15,34.,1.49999999999999)); #439032=CARTESIAN_POINT('',(39.1499999839231,33.4,0.)); #439033=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #439034=CARTESIAN_POINT('',(39.1499999839231,33.4,-200.)); #439035=CARTESIAN_POINT('Origin',(38.5499999517692,33.4,-200.)); #439036=CARTESIAN_POINT('',(38.5499999517692,33.4,1.49999999999999)); #439037=CARTESIAN_POINT('',(36.2749999758846,33.4,1.5)); #439038=CARTESIAN_POINT('',(38.5499999517692,33.4,0.)); #439039=CARTESIAN_POINT('',(36.2749999758846,33.4,0.)); #439040=CARTESIAN_POINT('',(38.5499999517692,33.4,-200.)); #439041=CARTESIAN_POINT('Origin',(38.55,34.,-200.)); #439042=CARTESIAN_POINT('Origin',(38.55,34.,1.49999999999999)); #439043=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #439044=CARTESIAN_POINT('Origin',(34.75,17.75,-200.)); #439045=CARTESIAN_POINT('',(34.575,17.75,0.)); #439046=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #439047=CARTESIAN_POINT('',(34.575,17.75,1.49999999999999)); #439048=CARTESIAN_POINT('',(34.575,17.75,-200.)); #439049=CARTESIAN_POINT('Origin',(34.75,17.75,1.49999999999999)); #439050=CARTESIAN_POINT('Origin',(37.2,7.1,-200.)); #439051=CARTESIAN_POINT('',(37.05,7.1,0.)); #439052=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #439053=CARTESIAN_POINT('',(37.05,7.1,1.49999999999999)); #439054=CARTESIAN_POINT('',(37.05,7.1,-200.)); #439055=CARTESIAN_POINT('Origin',(37.2,7.1,1.49999999999999)); #439056=CARTESIAN_POINT('Origin',(32.75,8.25,-200.)); #439057=CARTESIAN_POINT('',(32.575,8.25,0.)); #439058=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #439059=CARTESIAN_POINT('',(32.575,8.25,1.49999999999999)); #439060=CARTESIAN_POINT('',(32.575,8.25,-200.)); #439061=CARTESIAN_POINT('Origin',(32.75,8.25,1.49999999999999)); #439062=CARTESIAN_POINT('Origin',(31.6,7.1,-200.)); #439063=CARTESIAN_POINT('',(31.45,7.1,0.)); #439064=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #439065=CARTESIAN_POINT('',(31.45,7.1,1.49999999999999)); #439066=CARTESIAN_POINT('',(31.45,7.1,-200.)); #439067=CARTESIAN_POINT('Origin',(31.6,7.1,1.49999999999999)); #439068=CARTESIAN_POINT('Origin',(31.75,15.5,-200.)); #439069=CARTESIAN_POINT('',(31.575,15.5,0.)); #439070=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #439071=CARTESIAN_POINT('',(31.575,15.5,1.49999999999999)); #439072=CARTESIAN_POINT('',(31.575,15.5,-200.)); #439073=CARTESIAN_POINT('Origin',(31.75,15.5,1.49999999999999)); #439074=CARTESIAN_POINT('Origin',(37.2,7.6,-200.)); #439075=CARTESIAN_POINT('',(37.05,7.6,0.)); #439076=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #439077=CARTESIAN_POINT('',(37.05,7.6,1.49999999999999)); #439078=CARTESIAN_POINT('',(37.05,7.6,-200.)); #439079=CARTESIAN_POINT('Origin',(37.2,7.6,1.49999999999999)); #439080=CARTESIAN_POINT('Origin',(33.75,6.2426,-200.)); #439081=CARTESIAN_POINT('',(33.575,6.2426,0.)); #439082=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #439083=CARTESIAN_POINT('',(33.575,6.2426,1.49999999999999)); #439084=CARTESIAN_POINT('',(33.575,6.2426,-200.)); #439085=CARTESIAN_POINT('Origin',(33.75,6.2426,1.49999999999999)); #439086=CARTESIAN_POINT('Origin',(30.8,7.1,-200.)); #439087=CARTESIAN_POINT('',(30.65,7.1,0.)); #439088=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #439089=CARTESIAN_POINT('',(30.65,7.1,1.49999999999999)); #439090=CARTESIAN_POINT('',(30.65,7.1,-200.)); #439091=CARTESIAN_POINT('Origin',(30.8,7.1,1.49999999999999)); #439092=CARTESIAN_POINT('Origin',(34.75,55.,-200.)); #439093=CARTESIAN_POINT('',(34.575,55.,0.)); #439094=CARTESIAN_POINT('Origin',(34.75,55.,0.)); #439095=CARTESIAN_POINT('',(34.575,55.,1.49999999999999)); #439096=CARTESIAN_POINT('',(34.575,55.,-200.)); #439097=CARTESIAN_POINT('Origin',(34.75,55.,1.49999999999999)); #439098=CARTESIAN_POINT('Origin',(42.25,28.5,-200.)); #439099=CARTESIAN_POINT('',(42.075,28.5,0.)); #439100=CARTESIAN_POINT('Origin',(42.25,28.5,0.)); #439101=CARTESIAN_POINT('',(42.075,28.5,1.49999999999999)); #439102=CARTESIAN_POINT('',(42.075,28.5,-200.)); #439103=CARTESIAN_POINT('Origin',(42.25,28.5,1.49999999999999)); #439104=CARTESIAN_POINT('Origin',(30.03,6.27999997186536,-200.)); #439105=CARTESIAN_POINT('',(30.03,7.07999999062179,1.49999999999999)); #439106=CARTESIAN_POINT('',(30.03,6.27999997186536,1.49999999999999)); #439107=CARTESIAN_POINT('',(30.0300000000001,20.1399999859327,1.5)); #439108=CARTESIAN_POINT('',(30.03,7.07999999062179,0.)); #439109=CARTESIAN_POINT('',(30.03,7.07999999062179,-200.)); #439110=CARTESIAN_POINT('',(30.03,6.27999997186536,0.)); #439111=CARTESIAN_POINT('',(30.0300000000001,20.1399999859327,0.)); #439112=CARTESIAN_POINT('',(30.03,6.27999997186536,-200.)); #439113=CARTESIAN_POINT('Origin',(29.68,6.28,-200.)); #439114=CARTESIAN_POINT('',(29.33,6.28000000937821,1.49999999999999)); #439115=CARTESIAN_POINT('Origin',(29.68,6.28,1.49999999999999)); #439116=CARTESIAN_POINT('',(29.33,6.28000000937821,0.)); #439117=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #439118=CARTESIAN_POINT('',(29.33,6.28000000937821,-200.)); #439119=CARTESIAN_POINT('Origin',(29.33,7.08000002813464,-200.)); #439120=CARTESIAN_POINT('',(29.33,7.08000002813464,1.49999999999999)); #439121=CARTESIAN_POINT('',(29.3300000000001,20.5400000140673,1.5)); #439122=CARTESIAN_POINT('',(29.33,7.08000002813464,0.)); #439123=CARTESIAN_POINT('',(29.3300000000001,20.5400000140673,0.)); #439124=CARTESIAN_POINT('',(29.33,7.08000002813464,-200.)); #439125=CARTESIAN_POINT('Origin',(29.68,7.08,-200.)); #439126=CARTESIAN_POINT('Origin',(29.68,7.08,1.49999999999999)); #439127=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #439128=CARTESIAN_POINT('Origin',(31.75,17.,-200.)); #439129=CARTESIAN_POINT('',(31.575,17.,0.)); #439130=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #439131=CARTESIAN_POINT('',(31.575,17.,1.49999999999999)); #439132=CARTESIAN_POINT('',(31.575,17.,-200.)); #439133=CARTESIAN_POINT('Origin',(31.75,17.,1.49999999999999)); #439134=CARTESIAN_POINT('Origin',(38.67,2.09999997186536,-200.)); #439135=CARTESIAN_POINT('',(38.67,2.89999999062179,1.49999999999999)); #439136=CARTESIAN_POINT('',(38.67,2.09999997186536,1.49999999999999)); #439137=CARTESIAN_POINT('',(38.6700000000001,18.0499999859327,1.5)); #439138=CARTESIAN_POINT('',(38.67,2.89999999062179,0.)); #439139=CARTESIAN_POINT('',(38.67,2.89999999062179,-200.)); #439140=CARTESIAN_POINT('',(38.67,2.09999997186536,0.)); #439141=CARTESIAN_POINT('',(38.6700000000001,18.0499999859327,0.)); #439142=CARTESIAN_POINT('',(38.67,2.09999997186536,-200.)); #439143=CARTESIAN_POINT('Origin',(38.32,2.1,-200.)); #439144=CARTESIAN_POINT('',(37.97,2.10000000937821,1.49999999999999)); #439145=CARTESIAN_POINT('Origin',(38.32,2.1,1.49999999999999)); #439146=CARTESIAN_POINT('',(37.97,2.10000000937821,0.)); #439147=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #439148=CARTESIAN_POINT('',(37.97,2.10000000937821,-200.)); #439149=CARTESIAN_POINT('Origin',(37.97,2.90000002813464,-200.)); #439150=CARTESIAN_POINT('',(37.97,2.90000002813464,1.49999999999999)); #439151=CARTESIAN_POINT('',(37.9700000000001,18.4500000140673,1.5)); #439152=CARTESIAN_POINT('',(37.97,2.90000002813464,0.)); #439153=CARTESIAN_POINT('',(37.9700000000001,18.4500000140673,0.)); #439154=CARTESIAN_POINT('',(37.97,2.90000002813464,-200.)); #439155=CARTESIAN_POINT('Origin',(38.32,2.9,-200.)); #439156=CARTESIAN_POINT('Origin',(38.32,2.9,1.49999999999999)); #439157=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #439158=CARTESIAN_POINT('Origin',(34.,23.125,-200.)); #439159=CARTESIAN_POINT('',(33.825,23.125,0.)); #439160=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #439161=CARTESIAN_POINT('',(33.825,23.125,1.49999999999999)); #439162=CARTESIAN_POINT('',(33.825,23.125,-200.)); #439163=CARTESIAN_POINT('Origin',(34.,23.125,1.49999999999999)); #439164=CARTESIAN_POINT('Origin',(38.67,6.27999997186536,-200.)); #439165=CARTESIAN_POINT('',(38.67,7.07999999062179,1.49999999999999)); #439166=CARTESIAN_POINT('',(38.67,6.27999997186536,1.49999999999999)); #439167=CARTESIAN_POINT('',(38.6700000000001,20.1399999859327,1.5)); #439168=CARTESIAN_POINT('',(38.67,7.07999999062179,0.)); #439169=CARTESIAN_POINT('',(38.67,7.07999999062179,-200.)); #439170=CARTESIAN_POINT('',(38.67,6.27999997186536,0.)); #439171=CARTESIAN_POINT('',(38.6700000000001,20.1399999859327,0.)); #439172=CARTESIAN_POINT('',(38.67,6.27999997186536,-200.)); #439173=CARTESIAN_POINT('Origin',(38.32,6.28,-200.)); #439174=CARTESIAN_POINT('',(37.97,6.28000000937821,1.49999999999999)); #439175=CARTESIAN_POINT('Origin',(38.32,6.28,1.49999999999999)); #439176=CARTESIAN_POINT('',(37.97,6.28000000937821,0.)); #439177=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #439178=CARTESIAN_POINT('',(37.97,6.28000000937821,-200.)); #439179=CARTESIAN_POINT('Origin',(37.97,7.08000002813464,-200.)); #439180=CARTESIAN_POINT('',(37.97,7.08000002813464,1.49999999999999)); #439181=CARTESIAN_POINT('',(37.9700000000001,20.5400000140673,1.5)); #439182=CARTESIAN_POINT('',(37.97,7.08000002813464,0.)); #439183=CARTESIAN_POINT('',(37.9700000000001,20.5400000140673,0.)); #439184=CARTESIAN_POINT('',(37.97,7.08000002813464,-200.)); #439185=CARTESIAN_POINT('Origin',(38.32,7.08,-200.)); #439186=CARTESIAN_POINT('Origin',(38.32,7.08,1.49999999999999)); #439187=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #439188=CARTESIAN_POINT('Origin',(33.25,17.75,-200.)); #439189=CARTESIAN_POINT('',(33.075,17.75,0.)); #439190=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #439191=CARTESIAN_POINT('',(33.075,17.75,1.49999999999999)); #439192=CARTESIAN_POINT('',(33.075,17.75,-200.)); #439193=CARTESIAN_POINT('Origin',(33.25,17.75,1.49999999999999)); #439194=CARTESIAN_POINT('Origin',(40.75,27.,-200.)); #439195=CARTESIAN_POINT('',(40.575,27.,0.)); #439196=CARTESIAN_POINT('Origin',(40.75,27.,0.)); #439197=CARTESIAN_POINT('',(40.575,27.,1.49999999999999)); #439198=CARTESIAN_POINT('',(40.575,27.,-200.)); #439199=CARTESIAN_POINT('Origin',(40.75,27.,1.49999999999999)); #439200=CARTESIAN_POINT('Origin',(31.75,17.75,-200.)); #439201=CARTESIAN_POINT('',(31.575,17.75,0.)); #439202=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #439203=CARTESIAN_POINT('',(31.575,17.75,1.49999999999999)); #439204=CARTESIAN_POINT('',(31.575,17.75,-200.)); #439205=CARTESIAN_POINT('Origin',(31.75,17.75,1.49999999999999)); #439206=CARTESIAN_POINT('Origin',(15.25,21.,-200.)); #439207=CARTESIAN_POINT('',(15.075,21.,0.)); #439208=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #439209=CARTESIAN_POINT('',(15.075,21.,1.49999999999999)); #439210=CARTESIAN_POINT('',(15.075,21.,-200.)); #439211=CARTESIAN_POINT('Origin',(15.25,21.,1.49999999999999)); #439212=CARTESIAN_POINT('Origin',(32.73,45.,-200.)); #439213=CARTESIAN_POINT('',(32.13,45.,0.)); #439214=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #439215=CARTESIAN_POINT('',(32.13,45.,1.49999999999999)); #439216=CARTESIAN_POINT('',(32.13,45.,-200.)); #439217=CARTESIAN_POINT('Origin',(32.73,45.,1.49999999999999)); #439218=CARTESIAN_POINT('Origin',(45.125,56.75,-200.)); #439219=CARTESIAN_POINT('',(44.95,56.75,0.)); #439220=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #439221=CARTESIAN_POINT('',(44.95,56.75,1.49999999999999)); #439222=CARTESIAN_POINT('',(44.95,56.75,-200.)); #439223=CARTESIAN_POINT('Origin',(45.125,56.75,1.49999999999999)); #439224=CARTESIAN_POINT('Origin',(55.25,21.75,-200.)); #439225=CARTESIAN_POINT('',(55.075,21.75,0.)); #439226=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #439227=CARTESIAN_POINT('',(55.075,21.75,1.49999999999999)); #439228=CARTESIAN_POINT('',(55.075,21.75,-200.)); #439229=CARTESIAN_POINT('Origin',(55.25,21.75,1.49999999999999)); #439230=CARTESIAN_POINT('Origin',(47.75,56.75,-200.)); #439231=CARTESIAN_POINT('',(47.575,56.75,0.)); #439232=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #439233=CARTESIAN_POINT('',(47.575,56.75,1.49999999999999)); #439234=CARTESIAN_POINT('',(47.575,56.75,-200.)); #439235=CARTESIAN_POINT('Origin',(47.75,56.75,1.49999999999999)); #439236=CARTESIAN_POINT('Origin',(35.5,15.5,-200.)); #439237=CARTESIAN_POINT('',(35.325,15.5,0.)); #439238=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #439239=CARTESIAN_POINT('',(35.325,15.5,1.49999999999999)); #439240=CARTESIAN_POINT('',(35.325,15.5,-200.)); #439241=CARTESIAN_POINT('Origin',(35.5,15.5,1.49999999999999)); #439242=CARTESIAN_POINT('Origin',(47.75,55.25,-200.)); #439243=CARTESIAN_POINT('',(47.575,55.25,0.)); #439244=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #439245=CARTESIAN_POINT('',(47.575,55.25,1.49999999999999)); #439246=CARTESIAN_POINT('',(47.575,55.25,-200.)); #439247=CARTESIAN_POINT('Origin',(47.75,55.25,1.49999999999999)); #439248=CARTESIAN_POINT('Origin',(55.25,21.,-200.)); #439249=CARTESIAN_POINT('',(55.075,21.,0.)); #439250=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #439251=CARTESIAN_POINT('',(55.075,21.,1.49999999999999)); #439252=CARTESIAN_POINT('',(55.075,21.,-200.)); #439253=CARTESIAN_POINT('Origin',(55.25,21.,1.49999999999999)); #439254=CARTESIAN_POINT('Origin',(47.75,56.,-200.)); #439255=CARTESIAN_POINT('',(47.575,56.,0.)); #439256=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #439257=CARTESIAN_POINT('',(47.575,56.,1.49999999999999)); #439258=CARTESIAN_POINT('',(47.575,56.,-200.)); #439259=CARTESIAN_POINT('Origin',(47.75,56.,1.49999999999999)); #439260=CARTESIAN_POINT('Origin',(30.75,9.5,-200.)); #439261=CARTESIAN_POINT('',(30.575,9.5,0.)); #439262=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #439263=CARTESIAN_POINT('',(30.575,9.5,1.49999999999999)); #439264=CARTESIAN_POINT('',(30.575,9.5,-200.)); #439265=CARTESIAN_POINT('Origin',(30.75,9.5,1.49999999999999)); #439266=CARTESIAN_POINT('Origin',(46.,56.,-200.)); #439267=CARTESIAN_POINT('',(45.825,56.,0.)); #439268=CARTESIAN_POINT('Origin',(46.,56.,0.)); #439269=CARTESIAN_POINT('',(45.825,56.,1.49999999999999)); #439270=CARTESIAN_POINT('',(45.825,56.,-200.)); #439271=CARTESIAN_POINT('Origin',(46.,56.,1.49999999999999)); #439272=CARTESIAN_POINT('Origin',(40.,38.75,-200.)); #439273=CARTESIAN_POINT('',(39.825,38.75,0.)); #439274=CARTESIAN_POINT('Origin',(40.,38.75,0.)); #439275=CARTESIAN_POINT('',(39.825,38.75,1.49999999999999)); #439276=CARTESIAN_POINT('',(39.825,38.75,-200.)); #439277=CARTESIAN_POINT('Origin',(40.,38.75,1.49999999999999)); #439278=CARTESIAN_POINT('Origin',(44.25,56.,-200.)); #439279=CARTESIAN_POINT('',(44.075,56.,0.)); #439280=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #439281=CARTESIAN_POINT('',(44.075,56.,1.49999999999999)); #439282=CARTESIAN_POINT('',(44.075,56.,-200.)); #439283=CARTESIAN_POINT('Origin',(44.25,56.,1.49999999999999)); #439284=CARTESIAN_POINT('Origin',(34.75,15.5,-200.)); #439285=CARTESIAN_POINT('',(34.575,15.5,0.)); #439286=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #439287=CARTESIAN_POINT('',(34.575,15.5,1.49999999999999)); #439288=CARTESIAN_POINT('',(34.575,15.5,-200.)); #439289=CARTESIAN_POINT('Origin',(34.75,15.5,1.49999999999999)); #439290=CARTESIAN_POINT('Origin',(46.,56.75,-200.)); #439291=CARTESIAN_POINT('',(45.825,56.75,0.)); #439292=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #439293=CARTESIAN_POINT('',(45.825,56.75,1.49999999999999)); #439294=CARTESIAN_POINT('',(45.825,56.75,-200.)); #439295=CARTESIAN_POINT('Origin',(46.,56.75,1.49999999999999)); #439296=CARTESIAN_POINT('Origin',(40.,39.5,-200.)); #439297=CARTESIAN_POINT('',(39.825,39.5,0.)); #439298=CARTESIAN_POINT('Origin',(40.,39.5,0.)); #439299=CARTESIAN_POINT('',(39.825,39.5,1.49999999999999)); #439300=CARTESIAN_POINT('',(39.825,39.5,-200.)); #439301=CARTESIAN_POINT('Origin',(40.,39.5,1.49999999999999)); #439302=CARTESIAN_POINT('Origin',(46.,55.25,-200.)); #439303=CARTESIAN_POINT('',(45.825,55.25,0.)); #439304=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #439305=CARTESIAN_POINT('',(45.825,55.25,1.49999999999999)); #439306=CARTESIAN_POINT('',(45.825,55.25,-200.)); #439307=CARTESIAN_POINT('Origin',(46.,55.25,1.49999999999999)); #439308=CARTESIAN_POINT('Origin',(34.,25.25,-200.)); #439309=CARTESIAN_POINT('',(33.5,25.25,0.)); #439310=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #439311=CARTESIAN_POINT('',(33.5,25.25,1.49999999999999)); #439312=CARTESIAN_POINT('',(33.5,25.25,-200.)); #439313=CARTESIAN_POINT('Origin',(34.,25.25,1.49999999999999)); #439314=CARTESIAN_POINT('Origin',(52.125,47.75,-200.)); #439315=CARTESIAN_POINT('',(51.95,47.75,0.)); #439316=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #439317=CARTESIAN_POINT('',(51.95,47.75,1.49999999999999)); #439318=CARTESIAN_POINT('',(51.95,47.75,-200.)); #439319=CARTESIAN_POINT('Origin',(52.125,47.75,1.49999999999999)); #439320=CARTESIAN_POINT('Origin',(28.,38.75,-200.)); #439321=CARTESIAN_POINT('',(27.825,38.75,0.)); #439322=CARTESIAN_POINT('Origin',(28.,38.75,0.)); #439323=CARTESIAN_POINT('',(27.825,38.75,1.49999999999999)); #439324=CARTESIAN_POINT('',(27.825,38.75,-200.)); #439325=CARTESIAN_POINT('Origin',(28.,38.75,1.49999999999999)); #439326=CARTESIAN_POINT('Origin',(52.125,47.,-200.)); #439327=CARTESIAN_POINT('',(51.95,47.,0.)); #439328=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #439329=CARTESIAN_POINT('',(51.95,47.,1.49999999999999)); #439330=CARTESIAN_POINT('',(51.95,47.,-200.)); #439331=CARTESIAN_POINT('Origin',(52.125,47.,1.49999999999999)); #439332=CARTESIAN_POINT('Origin',(33.25,15.5,-200.)); #439333=CARTESIAN_POINT('',(33.075,15.5,0.)); #439334=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #439335=CARTESIAN_POINT('',(33.075,15.5,1.49999999999999)); #439336=CARTESIAN_POINT('',(33.075,15.5,-200.)); #439337=CARTESIAN_POINT('Origin',(33.25,15.5,1.49999999999999)); #439338=CARTESIAN_POINT('Origin',(51.25,46.25,-200.)); #439339=CARTESIAN_POINT('',(51.075,46.25,0.)); #439340=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #439341=CARTESIAN_POINT('',(51.075,46.25,1.49999999999999)); #439342=CARTESIAN_POINT('',(51.075,46.25,-200.)); #439343=CARTESIAN_POINT('Origin',(51.25,46.25,1.49999999999999)); #439344=CARTESIAN_POINT('Origin',(28.,38.,-200.)); #439345=CARTESIAN_POINT('',(27.825,38.,0.)); #439346=CARTESIAN_POINT('Origin',(28.,38.,0.)); #439347=CARTESIAN_POINT('',(27.825,38.,1.49999999999999)); #439348=CARTESIAN_POINT('',(27.825,38.,-200.)); #439349=CARTESIAN_POINT('Origin',(28.,38.,1.49999999999999)); #439350=CARTESIAN_POINT('Origin',(52.125,46.25,-200.)); #439351=CARTESIAN_POINT('',(51.95,46.25,0.)); #439352=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #439353=CARTESIAN_POINT('',(51.95,46.25,1.49999999999999)); #439354=CARTESIAN_POINT('',(51.95,46.25,-200.)); #439355=CARTESIAN_POINT('Origin',(52.125,46.25,1.49999999999999)); #439356=CARTESIAN_POINT('Origin',(30.75,8.75,-200.)); #439357=CARTESIAN_POINT('',(30.575,8.75,0.)); #439358=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #439359=CARTESIAN_POINT('',(30.575,8.75,1.49999999999999)); #439360=CARTESIAN_POINT('',(30.575,8.75,-200.)); #439361=CARTESIAN_POINT('Origin',(30.75,8.75,1.49999999999999)); #439362=CARTESIAN_POINT('Origin',(41.25,31.,-200.)); #439363=CARTESIAN_POINT('',(41.075,31.,0.)); #439364=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #439365=CARTESIAN_POINT('',(41.075,31.,1.49999999999999)); #439366=CARTESIAN_POINT('',(41.075,31.,-200.)); #439367=CARTESIAN_POINT('Origin',(41.25,31.,1.49999999999999)); #439368=CARTESIAN_POINT('Origin',(28.,39.5,-200.)); #439369=CARTESIAN_POINT('',(27.825,39.5,0.)); #439370=CARTESIAN_POINT('Origin',(28.,39.5,0.)); #439371=CARTESIAN_POINT('',(27.825,39.5,1.49999999999999)); #439372=CARTESIAN_POINT('',(27.825,39.5,-200.)); #439373=CARTESIAN_POINT('Origin',(28.,39.5,1.49999999999999)); #439374=CARTESIAN_POINT('Origin',(41.25,36.,-200.)); #439375=CARTESIAN_POINT('',(41.075,36.,0.)); #439376=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #439377=CARTESIAN_POINT('',(41.075,36.,1.49999999999999)); #439378=CARTESIAN_POINT('',(41.075,36.,-200.)); #439379=CARTESIAN_POINT('Origin',(41.25,36.,1.49999999999999)); #439380=CARTESIAN_POINT('Origin',(34.,15.5,-200.)); #439381=CARTESIAN_POINT('',(33.825,15.5,0.)); #439382=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #439383=CARTESIAN_POINT('',(33.825,15.5,1.49999999999999)); #439384=CARTESIAN_POINT('',(33.825,15.5,-200.)); #439385=CARTESIAN_POINT('Origin',(34.,15.5,1.49999999999999)); #439386=CARTESIAN_POINT('Origin',(44.25,56.75,-200.)); #439387=CARTESIAN_POINT('',(44.075,56.75,0.)); #439388=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #439389=CARTESIAN_POINT('',(44.075,56.75,1.49999999999999)); #439390=CARTESIAN_POINT('',(44.075,56.75,-200.)); #439391=CARTESIAN_POINT('Origin',(44.25,56.75,1.49999999999999)); #439392=CARTESIAN_POINT('Origin',(40.,38.,-200.)); #439393=CARTESIAN_POINT('',(39.825,38.,0.)); #439394=CARTESIAN_POINT('Origin',(40.,38.,0.)); #439395=CARTESIAN_POINT('',(39.825,38.,1.49999999999999)); #439396=CARTESIAN_POINT('',(39.825,38.,-200.)); #439397=CARTESIAN_POINT('Origin',(40.,38.,1.49999999999999)); #439398=CARTESIAN_POINT('Origin',(44.25,55.25,-200.)); #439399=CARTESIAN_POINT('',(44.075,55.25,0.)); #439400=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #439401=CARTESIAN_POINT('',(44.075,55.25,1.49999999999999)); #439402=CARTESIAN_POINT('',(44.075,55.25,-200.)); #439403=CARTESIAN_POINT('Origin',(44.25,55.25,1.49999999999999)); #439404=CARTESIAN_POINT('Origin',(43.75,34.,-200.)); #439405=CARTESIAN_POINT('',(43.2,34.,0.)); #439406=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #439407=CARTESIAN_POINT('',(43.2,34.,1.49999999999999)); #439408=CARTESIAN_POINT('',(43.2,34.,-200.)); #439409=CARTESIAN_POINT('Origin',(43.75,34.,1.49999999999999)); #439410=CARTESIAN_POINT('Origin',(48.625,47.75,-200.)); #439411=CARTESIAN_POINT('',(48.45,47.75,0.)); #439412=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #439413=CARTESIAN_POINT('',(48.45,47.75,1.49999999999999)); #439414=CARTESIAN_POINT('',(48.45,47.75,-200.)); #439415=CARTESIAN_POINT('Origin',(48.625,47.75,1.49999999999999)); #439416=CARTESIAN_POINT('Origin',(41.,24.5,-200.)); #439417=CARTESIAN_POINT('',(40.825,24.5,0.)); #439418=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #439419=CARTESIAN_POINT('',(40.825,24.5,1.49999999999999)); #439420=CARTESIAN_POINT('',(40.825,24.5,-200.)); #439421=CARTESIAN_POINT('Origin',(41.,24.5,1.49999999999999)); #439422=CARTESIAN_POINT('Origin',(48.625,47.,-200.)); #439423=CARTESIAN_POINT('',(48.45,47.,0.)); #439424=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #439425=CARTESIAN_POINT('',(48.45,47.,1.49999999999999)); #439426=CARTESIAN_POINT('',(48.45,47.,-200.)); #439427=CARTESIAN_POINT('Origin',(48.625,47.,1.49999999999999)); #439428=CARTESIAN_POINT('Origin',(32.5,17.,-200.)); #439429=CARTESIAN_POINT('',(32.325,17.,0.)); #439430=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #439431=CARTESIAN_POINT('',(32.325,17.,1.49999999999999)); #439432=CARTESIAN_POINT('',(32.325,17.,-200.)); #439433=CARTESIAN_POINT('Origin',(32.5,17.,1.49999999999999)); #439434=CARTESIAN_POINT('Origin',(46.875,47.75,-200.)); #439435=CARTESIAN_POINT('',(46.7,47.75,0.)); #439436=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #439437=CARTESIAN_POINT('',(46.7,47.75,1.49999999999999)); #439438=CARTESIAN_POINT('',(46.7,47.75,-200.)); #439439=CARTESIAN_POINT('Origin',(46.875,47.75,1.49999999999999)); #439440=CARTESIAN_POINT('Origin',(41.,26.,-200.)); #439441=CARTESIAN_POINT('',(40.825,26.,0.)); #439442=CARTESIAN_POINT('Origin',(41.,26.,0.)); #439443=CARTESIAN_POINT('',(40.825,26.,1.49999999999999)); #439444=CARTESIAN_POINT('',(40.825,26.,-200.)); #439445=CARTESIAN_POINT('Origin',(41.,26.,1.49999999999999)); #439446=CARTESIAN_POINT('Origin',(48.625,46.25,-200.)); #439447=CARTESIAN_POINT('',(48.45,46.25,0.)); #439448=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #439449=CARTESIAN_POINT('',(48.45,46.25,1.49999999999999)); #439450=CARTESIAN_POINT('',(48.45,46.25,-200.)); #439451=CARTESIAN_POINT('Origin',(48.625,46.25,1.49999999999999)); #439452=CARTESIAN_POINT('Origin',(34.75,5.5,-200.)); #439453=CARTESIAN_POINT('',(34.575,5.5,0.)); #439454=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #439455=CARTESIAN_POINT('',(34.575,5.5,1.49999999999999)); #439456=CARTESIAN_POINT('',(34.575,5.5,-200.)); #439457=CARTESIAN_POINT('Origin',(34.75,5.5,1.49999999999999)); #439458=CARTESIAN_POINT('Origin',(45.125,46.25,-200.)); #439459=CARTESIAN_POINT('',(44.95,46.25,0.)); #439460=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #439461=CARTESIAN_POINT('',(44.95,46.25,1.49999999999999)); #439462=CARTESIAN_POINT('',(44.95,46.25,-200.)); #439463=CARTESIAN_POINT('Origin',(45.125,46.25,1.49999999999999)); #439464=CARTESIAN_POINT('Origin',(41.75,25.25,-200.)); #439465=CARTESIAN_POINT('',(41.575,25.25,0.)); #439466=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #439467=CARTESIAN_POINT('',(41.575,25.25,1.49999999999999)); #439468=CARTESIAN_POINT('',(41.575,25.25,-200.)); #439469=CARTESIAN_POINT('Origin',(41.75,25.25,1.49999999999999)); #439470=CARTESIAN_POINT('Origin',(45.125,47.,-200.)); #439471=CARTESIAN_POINT('',(44.95,47.,0.)); #439472=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #439473=CARTESIAN_POINT('',(44.95,47.,1.49999999999999)); #439474=CARTESIAN_POINT('',(44.95,47.,-200.)); #439475=CARTESIAN_POINT('Origin',(45.125,47.,1.49999999999999)); #439476=CARTESIAN_POINT('Origin',(32.5,17.75,-200.)); #439477=CARTESIAN_POINT('',(32.325,17.75,0.)); #439478=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #439479=CARTESIAN_POINT('',(32.325,17.75,1.49999999999999)); #439480=CARTESIAN_POINT('',(32.325,17.75,-200.)); #439481=CARTESIAN_POINT('Origin',(32.5,17.75,1.49999999999999)); #439482=CARTESIAN_POINT('Origin',(46.875,46.25,-200.)); #439483=CARTESIAN_POINT('',(46.7,46.25,0.)); #439484=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #439485=CARTESIAN_POINT('',(46.7,46.25,1.49999999999999)); #439486=CARTESIAN_POINT('',(46.7,46.25,-200.)); #439487=CARTESIAN_POINT('Origin',(46.875,46.25,1.49999999999999)); #439488=CARTESIAN_POINT('Origin',(41.75,26.,-200.)); #439489=CARTESIAN_POINT('',(41.575,26.,0.)); #439490=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #439491=CARTESIAN_POINT('',(41.575,26.,1.49999999999999)); #439492=CARTESIAN_POINT('',(41.575,26.,-200.)); #439493=CARTESIAN_POINT('Origin',(41.75,26.,1.49999999999999)); #439494=CARTESIAN_POINT('Origin',(46.875,47.,-200.)); #439495=CARTESIAN_POINT('',(46.7,47.,0.)); #439496=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #439497=CARTESIAN_POINT('',(46.7,47.,1.49999999999999)); #439498=CARTESIAN_POINT('',(46.7,47.,-200.)); #439499=CARTESIAN_POINT('Origin',(46.875,47.,1.49999999999999)); #439500=CARTESIAN_POINT('Origin',(36.5,25.25,-200.)); #439501=CARTESIAN_POINT('',(36.,25.25,0.)); #439502=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #439503=CARTESIAN_POINT('',(36.,25.25,1.49999999999999)); #439504=CARTESIAN_POINT('',(36.,25.25,-200.)); #439505=CARTESIAN_POINT('Origin',(36.5,25.25,1.49999999999999)); #439506=CARTESIAN_POINT('Origin',(50.375,46.25,-200.)); #439507=CARTESIAN_POINT('',(50.2,46.25,0.)); #439508=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #439509=CARTESIAN_POINT('',(50.2,46.25,1.49999999999999)); #439510=CARTESIAN_POINT('',(50.2,46.25,-200.)); #439511=CARTESIAN_POINT('Origin',(50.375,46.25,1.49999999999999)); #439512=CARTESIAN_POINT('Origin',(42.5,24.5,-200.)); #439513=CARTESIAN_POINT('',(42.325,24.5,0.)); #439514=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #439515=CARTESIAN_POINT('',(42.325,24.5,1.49999999999999)); #439516=CARTESIAN_POINT('',(42.325,24.5,-200.)); #439517=CARTESIAN_POINT('Origin',(42.5,24.5,1.49999999999999)); #439518=CARTESIAN_POINT('Origin',(49.5,46.25,-200.)); #439519=CARTESIAN_POINT('',(49.325,46.25,0.)); #439520=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #439521=CARTESIAN_POINT('',(49.325,46.25,1.49999999999999)); #439522=CARTESIAN_POINT('',(49.325,46.25,-200.)); #439523=CARTESIAN_POINT('Origin',(49.5,46.25,1.49999999999999)); #439524=CARTESIAN_POINT('Origin',(32.5,16.25,-200.)); #439525=CARTESIAN_POINT('',(32.325,16.25,0.)); #439526=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #439527=CARTESIAN_POINT('',(32.325,16.25,1.49999999999999)); #439528=CARTESIAN_POINT('',(32.325,16.25,-200.)); #439529=CARTESIAN_POINT('Origin',(32.5,16.25,1.49999999999999)); #439530=CARTESIAN_POINT('Origin',(49.5,47.75,-200.)); #439531=CARTESIAN_POINT('',(49.325,47.75,0.)); #439532=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #439533=CARTESIAN_POINT('',(49.325,47.75,1.49999999999999)); #439534=CARTESIAN_POINT('',(49.325,47.75,-200.)); #439535=CARTESIAN_POINT('Origin',(49.5,47.75,1.49999999999999)); #439536=CARTESIAN_POINT('Origin',(41.75,24.5,-200.)); #439537=CARTESIAN_POINT('',(41.575,24.5,0.)); #439538=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #439539=CARTESIAN_POINT('',(41.575,24.5,1.49999999999999)); #439540=CARTESIAN_POINT('',(41.575,24.5,-200.)); #439541=CARTESIAN_POINT('Origin',(41.75,24.5,1.49999999999999)); #439542=CARTESIAN_POINT('Origin',(49.5,47.,-200.)); #439543=CARTESIAN_POINT('',(49.325,47.,0.)); #439544=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #439545=CARTESIAN_POINT('',(49.325,47.,1.49999999999999)); #439546=CARTESIAN_POINT('',(49.325,47.,-200.)); #439547=CARTESIAN_POINT('Origin',(49.5,47.,1.49999999999999)); #439548=CARTESIAN_POINT('Origin',(31.5,8.75,-200.)); #439549=CARTESIAN_POINT('',(31.325,8.75,0.)); #439550=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #439551=CARTESIAN_POINT('',(31.325,8.75,1.49999999999999)); #439552=CARTESIAN_POINT('',(31.325,8.75,-200.)); #439553=CARTESIAN_POINT('Origin',(31.5,8.75,1.49999999999999)); #439554=CARTESIAN_POINT('Origin',(50.375,47.75,-200.)); #439555=CARTESIAN_POINT('',(50.2,47.75,0.)); #439556=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #439557=CARTESIAN_POINT('',(50.2,47.75,1.49999999999999)); #439558=CARTESIAN_POINT('',(50.2,47.75,-200.)); #439559=CARTESIAN_POINT('Origin',(50.375,47.75,1.49999999999999)); #439560=CARTESIAN_POINT('Origin',(42.5,25.25,-200.)); #439561=CARTESIAN_POINT('',(42.325,25.25,0.)); #439562=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #439563=CARTESIAN_POINT('',(42.325,25.25,1.49999999999999)); #439564=CARTESIAN_POINT('',(42.325,25.25,-200.)); #439565=CARTESIAN_POINT('Origin',(42.5,25.25,1.49999999999999)); #439566=CARTESIAN_POINT('Origin',(50.375,47.,-200.)); #439567=CARTESIAN_POINT('',(50.2,47.,0.)); #439568=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #439569=CARTESIAN_POINT('',(50.2,47.,1.49999999999999)); #439570=CARTESIAN_POINT('',(50.2,47.,-200.)); #439571=CARTESIAN_POINT('Origin',(50.375,47.,1.49999999999999)); #439572=CARTESIAN_POINT('Origin',(32.5,15.5,-200.)); #439573=CARTESIAN_POINT('',(32.325,15.5,0.)); #439574=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #439575=CARTESIAN_POINT('',(32.325,15.5,1.49999999999999)); #439576=CARTESIAN_POINT('',(32.325,15.5,-200.)); #439577=CARTESIAN_POINT('Origin',(32.5,15.5,1.49999999999999)); #439578=CARTESIAN_POINT('Origin',(51.25,47.75,-200.)); #439579=CARTESIAN_POINT('',(51.075,47.75,0.)); #439580=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #439581=CARTESIAN_POINT('',(51.075,47.75,1.49999999999999)); #439582=CARTESIAN_POINT('',(51.075,47.75,-200.)); #439583=CARTESIAN_POINT('Origin',(51.25,47.75,1.49999999999999)); #439584=CARTESIAN_POINT('Origin',(42.5,26.,-200.)); #439585=CARTESIAN_POINT('',(42.325,26.,0.)); #439586=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #439587=CARTESIAN_POINT('',(42.325,26.,1.49999999999999)); #439588=CARTESIAN_POINT('',(42.325,26.,-200.)); #439589=CARTESIAN_POINT('Origin',(42.5,26.,1.49999999999999)); #439590=CARTESIAN_POINT('Origin',(51.25,47.,-200.)); #439591=CARTESIAN_POINT('',(51.075,47.,0.)); #439592=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #439593=CARTESIAN_POINT('',(51.075,47.,1.49999999999999)); #439594=CARTESIAN_POINT('',(51.075,47.,-200.)); #439595=CARTESIAN_POINT('Origin',(51.25,47.,1.49999999999999)); #439596=CARTESIAN_POINT('Origin',(37.81,45.,-200.)); #439597=CARTESIAN_POINT('',(37.21,45.,0.)); #439598=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #439599=CARTESIAN_POINT('',(37.21,45.,1.49999999999999)); #439600=CARTESIAN_POINT('',(37.21,45.,-200.)); #439601=CARTESIAN_POINT('Origin',(37.81,45.,1.49999999999999)); #439602=CARTESIAN_POINT('Origin',(23.75,56.,-200.)); #439603=CARTESIAN_POINT('',(23.575,56.,0.)); #439604=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #439605=CARTESIAN_POINT('',(23.575,56.,1.49999999999999)); #439606=CARTESIAN_POINT('',(23.575,56.,-200.)); #439607=CARTESIAN_POINT('Origin',(23.75,56.,1.49999999999999)); #439608=CARTESIAN_POINT('Origin',(12.75,21.,-200.)); #439609=CARTESIAN_POINT('',(12.575,21.,0.)); #439610=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #439611=CARTESIAN_POINT('',(12.575,21.,1.49999999999999)); #439612=CARTESIAN_POINT('',(12.575,21.,-200.)); #439613=CARTESIAN_POINT('Origin',(12.75,21.,1.49999999999999)); #439614=CARTESIAN_POINT('Origin',(23.75,55.25,-200.)); #439615=CARTESIAN_POINT('',(23.575,55.25,0.)); #439616=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #439617=CARTESIAN_POINT('',(23.575,55.25,1.49999999999999)); #439618=CARTESIAN_POINT('',(23.575,55.25,-200.)); #439619=CARTESIAN_POINT('Origin',(23.75,55.25,1.49999999999999)); #439620=CARTESIAN_POINT('Origin',(33.25,19.25,-200.)); #439621=CARTESIAN_POINT('',(33.075,19.25,0.)); #439622=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #439623=CARTESIAN_POINT('',(33.075,19.25,1.49999999999999)); #439624=CARTESIAN_POINT('',(33.075,19.25,-200.)); #439625=CARTESIAN_POINT('Origin',(33.25,19.25,1.49999999999999)); #439626=CARTESIAN_POINT('Origin',(22.,56.75,-200.)); #439627=CARTESIAN_POINT('',(21.825,56.75,0.)); #439628=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #439629=CARTESIAN_POINT('',(21.825,56.75,1.49999999999999)); #439630=CARTESIAN_POINT('',(21.825,56.75,-200.)); #439631=CARTESIAN_POINT('Origin',(22.,56.75,1.49999999999999)); #439632=CARTESIAN_POINT('Origin',(56.,20.25,-200.)); #439633=CARTESIAN_POINT('',(55.825,20.25,0.)); #439634=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #439635=CARTESIAN_POINT('',(55.825,20.25,1.49999999999999)); #439636=CARTESIAN_POINT('',(55.825,20.25,-200.)); #439637=CARTESIAN_POINT('Origin',(56.,20.25,1.49999999999999)); #439638=CARTESIAN_POINT('Origin',(22.,55.25,-200.)); #439639=CARTESIAN_POINT('',(21.825,55.25,0.)); #439640=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #439641=CARTESIAN_POINT('',(21.825,55.25,1.49999999999999)); #439642=CARTESIAN_POINT('',(21.825,55.25,-200.)); #439643=CARTESIAN_POINT('Origin',(22.,55.25,1.49999999999999)); #439644=CARTESIAN_POINT('Origin',(36.5,8.75,-200.)); #439645=CARTESIAN_POINT('',(36.325,8.75,0.)); #439646=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #439647=CARTESIAN_POINT('',(36.325,8.75,1.49999999999999)); #439648=CARTESIAN_POINT('',(36.325,8.75,-200.)); #439649=CARTESIAN_POINT('Origin',(36.5,8.75,1.49999999999999)); #439650=CARTESIAN_POINT('Origin',(20.25,55.25,-200.)); #439651=CARTESIAN_POINT('',(20.075,55.25,0.)); #439652=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #439653=CARTESIAN_POINT('',(20.075,55.25,1.49999999999999)); #439654=CARTESIAN_POINT('',(20.075,55.25,-200.)); #439655=CARTESIAN_POINT('Origin',(20.25,55.25,1.49999999999999)); #439656=CARTESIAN_POINT('Origin',(56.,21.75,-200.)); #439657=CARTESIAN_POINT('',(55.825,21.75,0.)); #439658=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #439659=CARTESIAN_POINT('',(55.825,21.75,1.49999999999999)); #439660=CARTESIAN_POINT('',(55.825,21.75,-200.)); #439661=CARTESIAN_POINT('Origin',(56.,21.75,1.49999999999999)); #439662=CARTESIAN_POINT('Origin',(20.25,56.75,-200.)); #439663=CARTESIAN_POINT('',(20.075,56.75,0.)); #439664=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #439665=CARTESIAN_POINT('',(20.075,56.75,1.49999999999999)); #439666=CARTESIAN_POINT('',(20.075,56.75,-200.)); #439667=CARTESIAN_POINT('Origin',(20.25,56.75,1.49999999999999)); #439668=CARTESIAN_POINT('Origin',(32.5,19.25,-200.)); #439669=CARTESIAN_POINT('',(32.325,19.25,0.)); #439670=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #439671=CARTESIAN_POINT('',(32.325,19.25,1.49999999999999)); #439672=CARTESIAN_POINT('',(32.325,19.25,-200.)); #439673=CARTESIAN_POINT('Origin',(32.5,19.25,1.49999999999999)); #439674=CARTESIAN_POINT('Origin',(20.25,56.,-200.)); #439675=CARTESIAN_POINT('',(20.075,56.,0.)); #439676=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #439677=CARTESIAN_POINT('',(20.075,56.,1.49999999999999)); #439678=CARTESIAN_POINT('',(20.075,56.,-200.)); #439679=CARTESIAN_POINT('Origin',(20.25,56.,1.49999999999999)); #439680=CARTESIAN_POINT('Origin',(56.,21.,-200.)); #439681=CARTESIAN_POINT('',(55.825,21.,0.)); #439682=CARTESIAN_POINT('Origin',(56.,21.,0.)); #439683=CARTESIAN_POINT('',(55.825,21.,1.49999999999999)); #439684=CARTESIAN_POINT('',(55.825,21.,-200.)); #439685=CARTESIAN_POINT('Origin',(56.,21.,1.49999999999999)); #439686=CARTESIAN_POINT('Origin',(22.,56.,-200.)); #439687=CARTESIAN_POINT('',(21.825,56.,0.)); #439688=CARTESIAN_POINT('Origin',(22.,56.,0.)); #439689=CARTESIAN_POINT('',(21.825,56.,1.49999999999999)); #439690=CARTESIAN_POINT('',(21.825,56.,-200.)); #439691=CARTESIAN_POINT('Origin',(22.,56.,1.49999999999999)); #439692=CARTESIAN_POINT('Origin',(31.5,25.25,-200.)); #439693=CARTESIAN_POINT('',(31.,25.25,0.)); #439694=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #439695=CARTESIAN_POINT('',(31.,25.25,1.49999999999999)); #439696=CARTESIAN_POINT('',(31.,25.25,-200.)); #439697=CARTESIAN_POINT('Origin',(31.5,25.25,1.49999999999999)); #439698=CARTESIAN_POINT('Origin',(46.875,56.,-200.)); #439699=CARTESIAN_POINT('',(46.7,56.,0.)); #439700=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #439701=CARTESIAN_POINT('',(46.7,56.,1.49999999999999)); #439702=CARTESIAN_POINT('',(46.7,56.,-200.)); #439703=CARTESIAN_POINT('Origin',(46.875,56.,1.49999999999999)); #439704=CARTESIAN_POINT('Origin',(54.5,20.25,-200.)); #439705=CARTESIAN_POINT('',(54.325,20.25,0.)); #439706=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #439707=CARTESIAN_POINT('',(54.325,20.25,1.49999999999999)); #439708=CARTESIAN_POINT('',(54.325,20.25,-200.)); #439709=CARTESIAN_POINT('Origin',(54.5,20.25,1.49999999999999)); #439710=CARTESIAN_POINT('Origin',(46.875,55.25,-200.)); #439711=CARTESIAN_POINT('',(46.7,55.25,0.)); #439712=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #439713=CARTESIAN_POINT('',(46.7,55.25,1.49999999999999)); #439714=CARTESIAN_POINT('',(46.7,55.25,-200.)); #439715=CARTESIAN_POINT('Origin',(46.875,55.25,1.49999999999999)); #439716=CARTESIAN_POINT('Origin',(35.5,16.25,-200.)); #439717=CARTESIAN_POINT('',(35.325,16.25,0.)); #439718=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #439719=CARTESIAN_POINT('',(35.325,16.25,1.49999999999999)); #439720=CARTESIAN_POINT('',(35.325,16.25,-200.)); #439721=CARTESIAN_POINT('Origin',(35.5,16.25,1.49999999999999)); #439722=CARTESIAN_POINT('Origin',(45.125,56.,-200.)); #439723=CARTESIAN_POINT('',(44.95,56.,0.)); #439724=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #439725=CARTESIAN_POINT('',(44.95,56.,1.49999999999999)); #439726=CARTESIAN_POINT('',(44.95,56.,-200.)); #439727=CARTESIAN_POINT('Origin',(45.125,56.,1.49999999999999)); #439728=CARTESIAN_POINT('Origin',(55.25,20.25,-200.)); #439729=CARTESIAN_POINT('',(55.075,20.25,0.)); #439730=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #439731=CARTESIAN_POINT('',(55.075,20.25,1.49999999999999)); #439732=CARTESIAN_POINT('',(55.075,20.25,-200.)); #439733=CARTESIAN_POINT('Origin',(55.25,20.25,1.49999999999999)); #439734=CARTESIAN_POINT('Origin',(45.125,55.25,-200.)); #439735=CARTESIAN_POINT('',(44.95,55.25,0.)); #439736=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #439737=CARTESIAN_POINT('',(44.95,55.25,1.49999999999999)); #439738=CARTESIAN_POINT('',(44.95,55.25,-200.)); #439739=CARTESIAN_POINT('Origin',(45.125,55.25,1.49999999999999)); #439740=CARTESIAN_POINT('Origin',(31.5,9.5,-200.)); #439741=CARTESIAN_POINT('',(31.325,9.5,0.)); #439742=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #439743=CARTESIAN_POINT('',(31.325,9.5,1.49999999999999)); #439744=CARTESIAN_POINT('',(31.325,9.5,-200.)); #439745=CARTESIAN_POINT('Origin',(31.5,9.5,1.49999999999999)); #439746=CARTESIAN_POINT('Origin',(38.512,53.,-200.)); #439747=CARTESIAN_POINT('',(38.337,53.,0.)); #439748=CARTESIAN_POINT('Origin',(38.512,53.,0.)); #439749=CARTESIAN_POINT('',(38.337,53.,1.49999999999999)); #439750=CARTESIAN_POINT('',(38.337,53.,-200.)); #439751=CARTESIAN_POINT('Origin',(38.512,53.,1.49999999999999)); #439752=CARTESIAN_POINT('Origin',(54.5,21.,-200.)); #439753=CARTESIAN_POINT('',(54.325,21.,0.)); #439754=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #439755=CARTESIAN_POINT('',(54.325,21.,1.49999999999999)); #439756=CARTESIAN_POINT('',(54.325,21.,-200.)); #439757=CARTESIAN_POINT('Origin',(54.5,21.,1.49999999999999)); #439758=CARTESIAN_POINT('Origin',(46.875,56.75,-200.)); #439759=CARTESIAN_POINT('',(46.7,56.75,0.)); #439760=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #439761=CARTESIAN_POINT('',(46.7,56.75,1.49999999999999)); #439762=CARTESIAN_POINT('',(46.7,56.75,-200.)); #439763=CARTESIAN_POINT('Origin',(46.875,56.75,1.49999999999999)); #439764=CARTESIAN_POINT('Origin',(35.5,17.,-200.)); #439765=CARTESIAN_POINT('',(35.325,17.,0.)); #439766=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #439767=CARTESIAN_POINT('',(35.325,17.,1.49999999999999)); #439768=CARTESIAN_POINT('',(35.325,17.,-200.)); #439769=CARTESIAN_POINT('Origin',(35.5,17.,1.49999999999999)); #439770=CARTESIAN_POINT('Origin',(38.75,36.75,-200.)); #439771=CARTESIAN_POINT('',(38.575,36.75,0.)); #439772=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #439773=CARTESIAN_POINT('',(38.575,36.75,1.49999999999999)); #439774=CARTESIAN_POINT('',(38.575,36.75,-200.)); #439775=CARTESIAN_POINT('Origin',(38.75,36.75,1.49999999999999)); #439776=CARTESIAN_POINT('Origin',(54.5,21.75,-200.)); #439777=CARTESIAN_POINT('',(54.325,21.75,0.)); #439778=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #439779=CARTESIAN_POINT('',(54.325,21.75,1.49999999999999)); #439780=CARTESIAN_POINT('',(54.325,21.75,-200.)); #439781=CARTESIAN_POINT('Origin',(54.5,21.75,1.49999999999999)); #439782=CARTESIAN_POINT('Origin',(37.1875,36.75,-200.)); #439783=CARTESIAN_POINT('',(37.0125,36.75,0.)); #439784=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #439785=CARTESIAN_POINT('',(37.0125,36.75,1.49999999999999)); #439786=CARTESIAN_POINT('',(37.0125,36.75,-200.)); #439787=CARTESIAN_POINT('Origin',(37.1875,36.75,1.49999999999999)); #439788=CARTESIAN_POINT('Origin',(46.25,34.,-200.)); #439789=CARTESIAN_POINT('',(45.7,34.,0.)); #439790=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #439791=CARTESIAN_POINT('',(45.7,34.,1.49999999999999)); #439792=CARTESIAN_POINT('',(45.7,34.,-200.)); #439793=CARTESIAN_POINT('Origin',(46.25,34.,1.49999999999999)); #439794=CARTESIAN_POINT('Origin',(29.488,53.,-200.)); #439795=CARTESIAN_POINT('',(29.313,53.,0.)); #439796=CARTESIAN_POINT('Origin',(29.488,53.,0.)); #439797=CARTESIAN_POINT('',(29.313,53.,1.49999999999999)); #439798=CARTESIAN_POINT('',(29.313,53.,-200.)); #439799=CARTESIAN_POINT('Origin',(29.488,53.,1.49999999999999)); #439800=CARTESIAN_POINT('Origin',(12.,21.,-200.)); #439801=CARTESIAN_POINT('',(11.825,21.,0.)); #439802=CARTESIAN_POINT('Origin',(12.,21.,0.)); #439803=CARTESIAN_POINT('',(11.825,21.,1.49999999999999)); #439804=CARTESIAN_POINT('',(11.825,21.,-200.)); #439805=CARTESIAN_POINT('Origin',(12.,21.,1.49999999999999)); #439806=CARTESIAN_POINT('Origin',(21.125,56.75,-200.)); #439807=CARTESIAN_POINT('',(20.95,56.75,0.)); #439808=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #439809=CARTESIAN_POINT('',(20.95,56.75,1.49999999999999)); #439810=CARTESIAN_POINT('',(20.95,56.75,-200.)); #439811=CARTESIAN_POINT('Origin',(21.125,56.75,1.49999999999999)); #439812=CARTESIAN_POINT('Origin',(34.75,19.25,-200.)); #439813=CARTESIAN_POINT('',(34.575,19.25,0.)); #439814=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #439815=CARTESIAN_POINT('',(34.575,19.25,1.49999999999999)); #439816=CARTESIAN_POINT('',(34.575,19.25,-200.)); #439817=CARTESIAN_POINT('Origin',(34.75,19.25,1.49999999999999)); #439818=CARTESIAN_POINT('Origin',(21.125,55.25,-200.)); #439819=CARTESIAN_POINT('',(20.95,55.25,0.)); #439820=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #439821=CARTESIAN_POINT('',(20.95,55.25,1.49999999999999)); #439822=CARTESIAN_POINT('',(20.95,55.25,-200.)); #439823=CARTESIAN_POINT('Origin',(21.125,55.25,1.49999999999999)); #439824=CARTESIAN_POINT('Origin',(12.,20.25,-200.)); #439825=CARTESIAN_POINT('',(11.825,20.25,0.)); #439826=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #439827=CARTESIAN_POINT('',(11.825,20.25,1.49999999999999)); #439828=CARTESIAN_POINT('',(11.825,20.25,-200.)); #439829=CARTESIAN_POINT('Origin',(12.,20.25,1.49999999999999)); #439830=CARTESIAN_POINT('Origin',(21.125,56.,-200.)); #439831=CARTESIAN_POINT('',(20.95,56.,0.)); #439832=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #439833=CARTESIAN_POINT('',(20.95,56.,1.49999999999999)); #439834=CARTESIAN_POINT('',(20.95,56.,-200.)); #439835=CARTESIAN_POINT('Origin',(21.125,56.,1.49999999999999)); #439836=CARTESIAN_POINT('Origin',(36.5,9.5,-200.)); #439837=CARTESIAN_POINT('',(36.325,9.5,0.)); #439838=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #439839=CARTESIAN_POINT('',(36.325,9.5,1.49999999999999)); #439840=CARTESIAN_POINT('',(36.325,9.5,-200.)); #439841=CARTESIAN_POINT('Origin',(36.5,9.5,1.49999999999999)); #439842=CARTESIAN_POINT('Origin',(22.875,56.75,-200.)); #439843=CARTESIAN_POINT('',(22.7,56.75,0.)); #439844=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #439845=CARTESIAN_POINT('',(22.7,56.75,1.49999999999999)); #439846=CARTESIAN_POINT('',(22.7,56.75,-200.)); #439847=CARTESIAN_POINT('Origin',(22.875,56.75,1.49999999999999)); #439848=CARTESIAN_POINT('Origin',(12.75,21.75,-200.)); #439849=CARTESIAN_POINT('',(12.575,21.75,0.)); #439850=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #439851=CARTESIAN_POINT('',(12.575,21.75,1.49999999999999)); #439852=CARTESIAN_POINT('',(12.575,21.75,-200.)); #439853=CARTESIAN_POINT('Origin',(12.75,21.75,1.49999999999999)); #439854=CARTESIAN_POINT('Origin',(23.75,56.75,-200.)); #439855=CARTESIAN_POINT('',(23.575,56.75,0.)); #439856=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #439857=CARTESIAN_POINT('',(23.575,56.75,1.49999999999999)); #439858=CARTESIAN_POINT('',(23.575,56.75,-200.)); #439859=CARTESIAN_POINT('Origin',(23.75,56.75,1.49999999999999)); #439860=CARTESIAN_POINT('Origin',(34.,19.25,-200.)); #439861=CARTESIAN_POINT('',(33.825,19.25,0.)); #439862=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #439863=CARTESIAN_POINT('',(33.825,19.25,1.49999999999999)); #439864=CARTESIAN_POINT('',(33.825,19.25,-200.)); #439865=CARTESIAN_POINT('Origin',(34.,19.25,1.49999999999999)); #439866=CARTESIAN_POINT('Origin',(22.875,56.,-200.)); #439867=CARTESIAN_POINT('',(22.7,56.,0.)); #439868=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #439869=CARTESIAN_POINT('',(22.7,56.,1.49999999999999)); #439870=CARTESIAN_POINT('',(22.7,56.,-200.)); #439871=CARTESIAN_POINT('Origin',(22.875,56.,1.49999999999999)); #439872=CARTESIAN_POINT('Origin',(12.75,20.25,-200.)); #439873=CARTESIAN_POINT('',(12.575,20.25,0.)); #439874=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #439875=CARTESIAN_POINT('',(12.575,20.25,1.49999999999999)); #439876=CARTESIAN_POINT('',(12.575,20.25,-200.)); #439877=CARTESIAN_POINT('Origin',(12.75,20.25,1.49999999999999)); #439878=CARTESIAN_POINT('Origin',(22.875,55.25,-200.)); #439879=CARTESIAN_POINT('',(22.7,55.25,0.)); #439880=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #439881=CARTESIAN_POINT('',(22.7,55.25,1.49999999999999)); #439882=CARTESIAN_POINT('',(22.7,55.25,-200.)); #439883=CARTESIAN_POINT('Origin',(22.875,55.25,1.49999999999999)); #439884=CARTESIAN_POINT('Origin',(36.5,27.75,-200.)); #439885=CARTESIAN_POINT('',(36.,27.75,0.)); #439886=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #439887=CARTESIAN_POINT('',(36.,27.75,1.49999999999999)); #439888=CARTESIAN_POINT('',(36.,27.75,-200.)); #439889=CARTESIAN_POINT('Origin',(36.5,27.75,1.49999999999999)); #439890=CARTESIAN_POINT('Origin',(23.905,49.75,-200.)); #439891=CARTESIAN_POINT('',(23.73,49.75,0.)); #439892=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #439893=CARTESIAN_POINT('',(23.73,49.75,1.49999999999999)); #439894=CARTESIAN_POINT('',(23.73,49.75,-200.)); #439895=CARTESIAN_POINT('Origin',(23.905,49.75,1.49999999999999)); #439896=CARTESIAN_POINT('Origin',(13.5,21.75,-200.)); #439897=CARTESIAN_POINT('',(13.325,21.75,0.)); #439898=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #439899=CARTESIAN_POINT('',(13.325,21.75,1.49999999999999)); #439900=CARTESIAN_POINT('',(13.325,21.75,-200.)); #439901=CARTESIAN_POINT('Origin',(13.5,21.75,1.49999999999999)); #439902=CARTESIAN_POINT('Origin',(47.905,58.75,-200.)); #439903=CARTESIAN_POINT('',(47.73,58.75,0.)); #439904=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #439905=CARTESIAN_POINT('',(47.73,58.75,1.49999999999999)); #439906=CARTESIAN_POINT('',(47.73,58.75,-200.)); #439907=CARTESIAN_POINT('Origin',(47.905,58.75,1.49999999999999)); #439908=CARTESIAN_POINT('Origin',(35.5,19.25,-200.)); #439909=CARTESIAN_POINT('',(35.325,19.25,0.)); #439910=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #439911=CARTESIAN_POINT('',(35.325,19.25,1.49999999999999)); #439912=CARTESIAN_POINT('',(35.325,19.25,-200.)); #439913=CARTESIAN_POINT('Origin',(35.5,19.25,1.49999999999999)); #439914=CARTESIAN_POINT('Origin',(29.25,36.75,-200.)); #439915=CARTESIAN_POINT('',(29.075,36.75,0.)); #439916=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #439917=CARTESIAN_POINT('',(29.075,36.75,1.49999999999999)); #439918=CARTESIAN_POINT('',(29.075,36.75,-200.)); #439919=CARTESIAN_POINT('Origin',(29.25,36.75,1.49999999999999)); #439920=CARTESIAN_POINT('Origin',(12.,21.75,-200.)); #439921=CARTESIAN_POINT('',(11.825,21.75,0.)); #439922=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #439923=CARTESIAN_POINT('',(11.825,21.75,1.49999999999999)); #439924=CARTESIAN_POINT('',(11.825,21.75,-200.)); #439925=CARTESIAN_POINT('Origin',(12.,21.75,1.49999999999999)); #439926=CARTESIAN_POINT('Origin',(30.8125,36.75,-200.)); #439927=CARTESIAN_POINT('',(30.6375,36.75,0.)); #439928=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #439929=CARTESIAN_POINT('',(30.6375,36.75,1.49999999999999)); #439930=CARTESIAN_POINT('',(30.6375,36.75,-200.)); #439931=CARTESIAN_POINT('Origin',(30.8125,36.75,1.49999999999999)); #439932=CARTESIAN_POINT('Origin',(37.25,9.5,-200.)); #439933=CARTESIAN_POINT('',(37.075,9.5,0.)); #439934=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #439935=CARTESIAN_POINT('',(37.075,9.5,1.49999999999999)); #439936=CARTESIAN_POINT('',(37.075,9.5,-200.)); #439937=CARTESIAN_POINT('Origin',(37.25,9.5,1.49999999999999)); #439938=CARTESIAN_POINT('Origin',(47.905,49.75,-200.)); #439939=CARTESIAN_POINT('',(47.73,49.75,0.)); #439940=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #439941=CARTESIAN_POINT('',(47.73,49.75,1.49999999999999)); #439942=CARTESIAN_POINT('',(47.73,49.75,-200.)); #439943=CARTESIAN_POINT('Origin',(47.905,49.75,1.49999999999999)); #439944=CARTESIAN_POINT('Origin',(13.5,21.,-200.)); #439945=CARTESIAN_POINT('',(13.325,21.,0.)); #439946=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #439947=CARTESIAN_POINT('',(13.325,21.,1.49999999999999)); #439948=CARTESIAN_POINT('',(13.325,21.,-200.)); #439949=CARTESIAN_POINT('Origin',(13.5,21.,1.49999999999999)); #439950=CARTESIAN_POINT('Origin',(23.905,58.75,-200.)); #439951=CARTESIAN_POINT('',(23.73,58.75,0.)); #439952=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #439953=CARTESIAN_POINT('',(23.73,58.75,1.49999999999999)); #439954=CARTESIAN_POINT('',(23.73,58.75,-200.)); #439955=CARTESIAN_POINT('Origin',(23.905,58.75,1.49999999999999)); #439956=CARTESIAN_POINT('Origin',(36.25,19.25,-200.)); #439957=CARTESIAN_POINT('',(36.075,19.25,0.)); #439958=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #439959=CARTESIAN_POINT('',(36.075,19.25,1.49999999999999)); #439960=CARTESIAN_POINT('',(36.075,19.25,-200.)); #439961=CARTESIAN_POINT('Origin',(36.25,19.25,1.49999999999999)); #439962=CARTESIAN_POINT('Origin',(18.5,47.,-200.)); #439963=CARTESIAN_POINT('',(18.325,47.,0.)); #439964=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #439965=CARTESIAN_POINT('',(18.325,47.,1.49999999999999)); #439966=CARTESIAN_POINT('',(18.325,47.,-200.)); #439967=CARTESIAN_POINT('Origin',(18.5,47.,1.49999999999999)); #439968=CARTESIAN_POINT('Origin',(13.5,20.25,-200.)); #439969=CARTESIAN_POINT('',(13.325,20.25,0.)); #439970=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #439971=CARTESIAN_POINT('',(13.325,20.25,1.49999999999999)); #439972=CARTESIAN_POINT('',(13.325,20.25,-200.)); #439973=CARTESIAN_POINT('Origin',(13.5,20.25,1.49999999999999)); #439974=CARTESIAN_POINT('Origin',(18.5,46.25,-200.)); #439975=CARTESIAN_POINT('',(18.325,46.25,0.)); #439976=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #439977=CARTESIAN_POINT('',(18.325,46.25,1.49999999999999)); #439978=CARTESIAN_POINT('',(18.325,46.25,-200.)); #439979=CARTESIAN_POINT('Origin',(18.5,46.25,1.49999999999999)); #439980=CARTESIAN_POINT('Origin',(34.,34.,0.)); #439981=CARTESIAN_POINT('',(0.,34.,1.5)); #439982=CARTESIAN_POINT('Origin',(34.,34.,1.5)); #439983=CARTESIAN_POINT('',(0.,34.,0.)); #439984=CARTESIAN_POINT('',(0.,34.,0.)); #439985=CARTESIAN_POINT('Origin',(34.,34.,0.)); #439986=CARTESIAN_POINT('Origin',(34.,34.,1.5)); #439987=CARTESIAN_POINT('Origin',(34.,34.,0.)); #439988=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #439989=CARTESIAN_POINT('',(18.36,46.25,1.5)); #439990=CARTESIAN_POINT('Origin',(18.5,46.25,1.5)); #439991=CARTESIAN_POINT('',(18.36,46.25,0.)); #439992=CARTESIAN_POINT('',(18.36,46.25,0.)); #439993=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #439994=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #439995=CARTESIAN_POINT('',(18.325,46.25,1.5)); #439996=CARTESIAN_POINT('Origin',(18.5,46.25,1.5)); #439997=CARTESIAN_POINT('',(18.325,46.25,0.)); #439998=CARTESIAN_POINT('',(18.325,46.25,0.)); #439999=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #440000=CARTESIAN_POINT('Origin',(18.5,46.25,1.5)); #440001=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #440002=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #440003=CARTESIAN_POINT('',(13.36,20.25,1.5)); #440004=CARTESIAN_POINT('Origin',(13.5,20.25,1.5)); #440005=CARTESIAN_POINT('',(13.36,20.25,0.)); #440006=CARTESIAN_POINT('',(13.36,20.25,0.)); #440007=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #440008=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #440009=CARTESIAN_POINT('',(13.325,20.25,1.5)); #440010=CARTESIAN_POINT('Origin',(13.5,20.25,1.5)); #440011=CARTESIAN_POINT('',(13.325,20.25,0.)); #440012=CARTESIAN_POINT('',(13.325,20.25,0.)); #440013=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #440014=CARTESIAN_POINT('Origin',(13.5,20.25,1.5)); #440015=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #440016=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #440017=CARTESIAN_POINT('',(18.36,47.,1.5)); #440018=CARTESIAN_POINT('Origin',(18.5,47.,1.5)); #440019=CARTESIAN_POINT('',(18.36,47.,0.)); #440020=CARTESIAN_POINT('',(18.36,47.,0.)); #440021=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #440022=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #440023=CARTESIAN_POINT('',(18.325,47.,1.5)); #440024=CARTESIAN_POINT('Origin',(18.5,47.,1.5)); #440025=CARTESIAN_POINT('',(18.325,47.,0.)); #440026=CARTESIAN_POINT('',(18.325,47.,0.)); #440027=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #440028=CARTESIAN_POINT('Origin',(18.5,47.,1.5)); #440029=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #440030=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #440031=CARTESIAN_POINT('',(36.11,19.25,1.5)); #440032=CARTESIAN_POINT('Origin',(36.25,19.25,1.5)); #440033=CARTESIAN_POINT('',(36.11,19.25,0.)); #440034=CARTESIAN_POINT('',(36.11,19.25,0.)); #440035=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #440036=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #440037=CARTESIAN_POINT('',(36.075,19.25,1.5)); #440038=CARTESIAN_POINT('Origin',(36.25,19.25,1.5)); #440039=CARTESIAN_POINT('',(36.075,19.25,0.)); #440040=CARTESIAN_POINT('',(36.075,19.25,0.)); #440041=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #440042=CARTESIAN_POINT('Origin',(36.25,19.25,1.5)); #440043=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #440044=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #440045=CARTESIAN_POINT('',(23.765,58.75,1.5)); #440046=CARTESIAN_POINT('Origin',(23.905,58.75,1.5)); #440047=CARTESIAN_POINT('',(23.765,58.75,0.)); #440048=CARTESIAN_POINT('',(23.765,58.75,0.)); #440049=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #440050=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #440051=CARTESIAN_POINT('',(23.73,58.75,1.5)); #440052=CARTESIAN_POINT('Origin',(23.905,58.75,1.5)); #440053=CARTESIAN_POINT('',(23.73,58.75,0.)); #440054=CARTESIAN_POINT('',(23.73,58.75,0.)); #440055=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #440056=CARTESIAN_POINT('Origin',(23.905,58.75,1.5)); #440057=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #440058=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #440059=CARTESIAN_POINT('',(13.36,21.,1.5)); #440060=CARTESIAN_POINT('Origin',(13.5,21.,1.5)); #440061=CARTESIAN_POINT('',(13.36,21.,0.)); #440062=CARTESIAN_POINT('',(13.36,21.,0.)); #440063=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #440064=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #440065=CARTESIAN_POINT('',(13.325,21.,1.5)); #440066=CARTESIAN_POINT('Origin',(13.5,21.,1.5)); #440067=CARTESIAN_POINT('',(13.325,21.,0.)); #440068=CARTESIAN_POINT('',(13.325,21.,0.)); #440069=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #440070=CARTESIAN_POINT('Origin',(13.5,21.,1.5)); #440071=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #440072=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #440073=CARTESIAN_POINT('',(47.765,49.75,1.5)); #440074=CARTESIAN_POINT('Origin',(47.905,49.75,1.5)); #440075=CARTESIAN_POINT('',(47.765,49.75,0.)); #440076=CARTESIAN_POINT('',(47.765,49.75,0.)); #440077=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #440078=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #440079=CARTESIAN_POINT('',(47.73,49.75,1.5)); #440080=CARTESIAN_POINT('Origin',(47.905,49.75,1.5)); #440081=CARTESIAN_POINT('',(47.73,49.75,0.)); #440082=CARTESIAN_POINT('',(47.73,49.75,0.)); #440083=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #440084=CARTESIAN_POINT('Origin',(47.905,49.75,1.5)); #440085=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #440086=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #440087=CARTESIAN_POINT('',(37.11,9.5,1.5)); #440088=CARTESIAN_POINT('Origin',(37.25,9.5,1.5)); #440089=CARTESIAN_POINT('',(37.11,9.5,0.)); #440090=CARTESIAN_POINT('',(37.11,9.5,0.)); #440091=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #440092=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #440093=CARTESIAN_POINT('',(37.075,9.5,1.5)); #440094=CARTESIAN_POINT('Origin',(37.25,9.5,1.5)); #440095=CARTESIAN_POINT('',(37.075,9.5,0.)); #440096=CARTESIAN_POINT('',(37.075,9.5,0.)); #440097=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #440098=CARTESIAN_POINT('Origin',(37.25,9.5,1.5)); #440099=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #440100=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #440101=CARTESIAN_POINT('',(30.6725,36.75,1.5)); #440102=CARTESIAN_POINT('Origin',(30.8125,36.75,1.5)); #440103=CARTESIAN_POINT('',(30.6725,36.75,0.)); #440104=CARTESIAN_POINT('',(30.6725,36.75,0.)); #440105=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #440106=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #440107=CARTESIAN_POINT('',(30.6375,36.75,1.5)); #440108=CARTESIAN_POINT('Origin',(30.8125,36.75,1.5)); #440109=CARTESIAN_POINT('',(30.6375,36.75,0.)); #440110=CARTESIAN_POINT('',(30.6375,36.75,0.)); #440111=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #440112=CARTESIAN_POINT('Origin',(30.8125,36.75,1.5)); #440113=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #440114=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #440115=CARTESIAN_POINT('',(11.86,21.75,1.5)); #440116=CARTESIAN_POINT('Origin',(12.,21.75,1.5)); #440117=CARTESIAN_POINT('',(11.86,21.75,0.)); #440118=CARTESIAN_POINT('',(11.86,21.75,0.)); #440119=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #440120=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #440121=CARTESIAN_POINT('',(11.825,21.75,1.5)); #440122=CARTESIAN_POINT('Origin',(12.,21.75,1.5)); #440123=CARTESIAN_POINT('',(11.825,21.75,0.)); #440124=CARTESIAN_POINT('',(11.825,21.75,0.)); #440125=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #440126=CARTESIAN_POINT('Origin',(12.,21.75,1.5)); #440127=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #440128=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #440129=CARTESIAN_POINT('',(29.11,36.75,1.5)); #440130=CARTESIAN_POINT('Origin',(29.25,36.75,1.5)); #440131=CARTESIAN_POINT('',(29.11,36.75,0.)); #440132=CARTESIAN_POINT('',(29.11,36.75,0.)); #440133=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #440134=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #440135=CARTESIAN_POINT('',(29.075,36.75,1.5)); #440136=CARTESIAN_POINT('Origin',(29.25,36.75,1.5)); #440137=CARTESIAN_POINT('',(29.075,36.75,0.)); #440138=CARTESIAN_POINT('',(29.075,36.75,0.)); #440139=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #440140=CARTESIAN_POINT('Origin',(29.25,36.75,1.5)); #440141=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #440142=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #440143=CARTESIAN_POINT('',(35.36,19.25,1.5)); #440144=CARTESIAN_POINT('Origin',(35.5,19.25,1.5)); #440145=CARTESIAN_POINT('',(35.36,19.25,0.)); #440146=CARTESIAN_POINT('',(35.36,19.25,0.)); #440147=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #440148=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #440149=CARTESIAN_POINT('',(35.325,19.25,1.5)); #440150=CARTESIAN_POINT('Origin',(35.5,19.25,1.5)); #440151=CARTESIAN_POINT('',(35.325,19.25,0.)); #440152=CARTESIAN_POINT('',(35.325,19.25,0.)); #440153=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #440154=CARTESIAN_POINT('Origin',(35.5,19.25,1.5)); #440155=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #440156=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #440157=CARTESIAN_POINT('',(47.765,58.75,1.5)); #440158=CARTESIAN_POINT('Origin',(47.905,58.75,1.5)); #440159=CARTESIAN_POINT('',(47.765,58.75,0.)); #440160=CARTESIAN_POINT('',(47.765,58.75,0.)); #440161=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #440162=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #440163=CARTESIAN_POINT('',(47.73,58.75,1.5)); #440164=CARTESIAN_POINT('Origin',(47.905,58.75,1.5)); #440165=CARTESIAN_POINT('',(47.73,58.75,0.)); #440166=CARTESIAN_POINT('',(47.73,58.75,0.)); #440167=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #440168=CARTESIAN_POINT('Origin',(47.905,58.75,1.5)); #440169=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #440170=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #440171=CARTESIAN_POINT('',(13.36,21.75,1.5)); #440172=CARTESIAN_POINT('Origin',(13.5,21.75,1.5)); #440173=CARTESIAN_POINT('',(13.36,21.75,0.)); #440174=CARTESIAN_POINT('',(13.36,21.75,0.)); #440175=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #440176=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #440177=CARTESIAN_POINT('',(13.325,21.75,1.5)); #440178=CARTESIAN_POINT('Origin',(13.5,21.75,1.5)); #440179=CARTESIAN_POINT('',(13.325,21.75,0.)); #440180=CARTESIAN_POINT('',(13.325,21.75,0.)); #440181=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #440182=CARTESIAN_POINT('Origin',(13.5,21.75,1.5)); #440183=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #440184=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #440185=CARTESIAN_POINT('',(23.765,49.75,1.5)); #440186=CARTESIAN_POINT('Origin',(23.905,49.75,1.5)); #440187=CARTESIAN_POINT('',(23.765,49.75,0.)); #440188=CARTESIAN_POINT('',(23.765,49.75,0.)); #440189=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #440190=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #440191=CARTESIAN_POINT('',(23.73,49.75,1.5)); #440192=CARTESIAN_POINT('Origin',(23.905,49.75,1.5)); #440193=CARTESIAN_POINT('',(23.73,49.75,0.)); #440194=CARTESIAN_POINT('',(23.73,49.75,0.)); #440195=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #440196=CARTESIAN_POINT('Origin',(23.905,49.75,1.5)); #440197=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #440198=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #440199=CARTESIAN_POINT('',(36.035,27.75,1.5)); #440200=CARTESIAN_POINT('Origin',(36.5,27.75,1.5)); #440201=CARTESIAN_POINT('',(36.035,27.75,0.)); #440202=CARTESIAN_POINT('',(36.035,27.75,0.)); #440203=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #440204=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #440205=CARTESIAN_POINT('',(36.,27.75,1.5)); #440206=CARTESIAN_POINT('Origin',(36.5,27.75,1.5)); #440207=CARTESIAN_POINT('',(36.,27.75,0.)); #440208=CARTESIAN_POINT('',(36.,27.75,0.)); #440209=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #440210=CARTESIAN_POINT('Origin',(36.5,27.75,1.5)); #440211=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #440212=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #440213=CARTESIAN_POINT('',(22.735,55.25,1.5)); #440214=CARTESIAN_POINT('Origin',(22.875,55.25,1.5)); #440215=CARTESIAN_POINT('',(22.735,55.25,0.)); #440216=CARTESIAN_POINT('',(22.735,55.25,0.)); #440217=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #440218=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #440219=CARTESIAN_POINT('',(22.7,55.25,1.5)); #440220=CARTESIAN_POINT('Origin',(22.875,55.25,1.5)); #440221=CARTESIAN_POINT('',(22.7,55.25,0.)); #440222=CARTESIAN_POINT('',(22.7,55.25,0.)); #440223=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #440224=CARTESIAN_POINT('Origin',(22.875,55.25,1.5)); #440225=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #440226=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #440227=CARTESIAN_POINT('',(12.61,20.25,1.5)); #440228=CARTESIAN_POINT('Origin',(12.75,20.25,1.5)); #440229=CARTESIAN_POINT('',(12.61,20.25,0.)); #440230=CARTESIAN_POINT('',(12.61,20.25,0.)); #440231=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #440232=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #440233=CARTESIAN_POINT('',(12.575,20.25,1.5)); #440234=CARTESIAN_POINT('Origin',(12.75,20.25,1.5)); #440235=CARTESIAN_POINT('',(12.575,20.25,0.)); #440236=CARTESIAN_POINT('',(12.575,20.25,0.)); #440237=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #440238=CARTESIAN_POINT('Origin',(12.75,20.25,1.5)); #440239=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #440240=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #440241=CARTESIAN_POINT('',(22.735,56.,1.5)); #440242=CARTESIAN_POINT('Origin',(22.875,56.,1.5)); #440243=CARTESIAN_POINT('',(22.735,56.,0.)); #440244=CARTESIAN_POINT('',(22.735,56.,0.)); #440245=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #440246=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #440247=CARTESIAN_POINT('',(22.7,56.,1.5)); #440248=CARTESIAN_POINT('Origin',(22.875,56.,1.5)); #440249=CARTESIAN_POINT('',(22.7,56.,0.)); #440250=CARTESIAN_POINT('',(22.7,56.,0.)); #440251=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #440252=CARTESIAN_POINT('Origin',(22.875,56.,1.5)); #440253=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #440254=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #440255=CARTESIAN_POINT('',(33.86,19.25,1.5)); #440256=CARTESIAN_POINT('Origin',(34.,19.25,1.5)); #440257=CARTESIAN_POINT('',(33.86,19.25,0.)); #440258=CARTESIAN_POINT('',(33.86,19.25,0.)); #440259=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #440260=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #440261=CARTESIAN_POINT('',(33.825,19.25,1.5)); #440262=CARTESIAN_POINT('Origin',(34.,19.25,1.5)); #440263=CARTESIAN_POINT('',(33.825,19.25,0.)); #440264=CARTESIAN_POINT('',(33.825,19.25,0.)); #440265=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #440266=CARTESIAN_POINT('Origin',(34.,19.25,1.5)); #440267=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #440268=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #440269=CARTESIAN_POINT('',(23.61,56.75,1.5)); #440270=CARTESIAN_POINT('Origin',(23.75,56.75,1.5)); #440271=CARTESIAN_POINT('',(23.61,56.75,0.)); #440272=CARTESIAN_POINT('',(23.61,56.75,0.)); #440273=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #440274=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #440275=CARTESIAN_POINT('',(23.575,56.75,1.5)); #440276=CARTESIAN_POINT('Origin',(23.75,56.75,1.5)); #440277=CARTESIAN_POINT('',(23.575,56.75,0.)); #440278=CARTESIAN_POINT('',(23.575,56.75,0.)); #440279=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #440280=CARTESIAN_POINT('Origin',(23.75,56.75,1.5)); #440281=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #440282=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #440283=CARTESIAN_POINT('',(12.61,21.75,1.5)); #440284=CARTESIAN_POINT('Origin',(12.75,21.75,1.5)); #440285=CARTESIAN_POINT('',(12.61,21.75,0.)); #440286=CARTESIAN_POINT('',(12.61,21.75,0.)); #440287=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #440288=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #440289=CARTESIAN_POINT('',(12.575,21.75,1.5)); #440290=CARTESIAN_POINT('Origin',(12.75,21.75,1.5)); #440291=CARTESIAN_POINT('',(12.575,21.75,0.)); #440292=CARTESIAN_POINT('',(12.575,21.75,0.)); #440293=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #440294=CARTESIAN_POINT('Origin',(12.75,21.75,1.5)); #440295=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #440296=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #440297=CARTESIAN_POINT('',(22.735,56.75,1.5)); #440298=CARTESIAN_POINT('Origin',(22.875,56.75,1.5)); #440299=CARTESIAN_POINT('',(22.735,56.75,0.)); #440300=CARTESIAN_POINT('',(22.735,56.75,0.)); #440301=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #440302=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #440303=CARTESIAN_POINT('',(22.7,56.75,1.5)); #440304=CARTESIAN_POINT('Origin',(22.875,56.75,1.5)); #440305=CARTESIAN_POINT('',(22.7,56.75,0.)); #440306=CARTESIAN_POINT('',(22.7,56.75,0.)); #440307=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #440308=CARTESIAN_POINT('Origin',(22.875,56.75,1.5)); #440309=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #440310=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #440311=CARTESIAN_POINT('',(36.36,9.5,1.5)); #440312=CARTESIAN_POINT('Origin',(36.5,9.5,1.5)); #440313=CARTESIAN_POINT('',(36.36,9.5,0.)); #440314=CARTESIAN_POINT('',(36.36,9.5,0.)); #440315=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #440316=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #440317=CARTESIAN_POINT('',(36.325,9.5,1.5)); #440318=CARTESIAN_POINT('Origin',(36.5,9.5,1.5)); #440319=CARTESIAN_POINT('',(36.325,9.5,0.)); #440320=CARTESIAN_POINT('',(36.325,9.5,0.)); #440321=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #440322=CARTESIAN_POINT('Origin',(36.5,9.5,1.5)); #440323=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #440324=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #440325=CARTESIAN_POINT('',(20.985,56.,1.5)); #440326=CARTESIAN_POINT('Origin',(21.125,56.,1.5)); #440327=CARTESIAN_POINT('',(20.985,56.,0.)); #440328=CARTESIAN_POINT('',(20.985,56.,0.)); #440329=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #440330=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #440331=CARTESIAN_POINT('',(20.95,56.,1.5)); #440332=CARTESIAN_POINT('Origin',(21.125,56.,1.5)); #440333=CARTESIAN_POINT('',(20.95,56.,0.)); #440334=CARTESIAN_POINT('',(20.95,56.,0.)); #440335=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #440336=CARTESIAN_POINT('Origin',(21.125,56.,1.5)); #440337=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #440338=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #440339=CARTESIAN_POINT('',(11.86,20.25,1.5)); #440340=CARTESIAN_POINT('Origin',(12.,20.25,1.5)); #440341=CARTESIAN_POINT('',(11.86,20.25,0.)); #440342=CARTESIAN_POINT('',(11.86,20.25,0.)); #440343=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #440344=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #440345=CARTESIAN_POINT('',(11.825,20.25,1.5)); #440346=CARTESIAN_POINT('Origin',(12.,20.25,1.5)); #440347=CARTESIAN_POINT('',(11.825,20.25,0.)); #440348=CARTESIAN_POINT('',(11.825,20.25,0.)); #440349=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #440350=CARTESIAN_POINT('Origin',(12.,20.25,1.5)); #440351=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #440352=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #440353=CARTESIAN_POINT('',(20.985,55.25,1.5)); #440354=CARTESIAN_POINT('Origin',(21.125,55.25,1.5)); #440355=CARTESIAN_POINT('',(20.985,55.25,0.)); #440356=CARTESIAN_POINT('',(20.985,55.25,0.)); #440357=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #440358=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #440359=CARTESIAN_POINT('',(20.95,55.25,1.5)); #440360=CARTESIAN_POINT('Origin',(21.125,55.25,1.5)); #440361=CARTESIAN_POINT('',(20.95,55.25,0.)); #440362=CARTESIAN_POINT('',(20.95,55.25,0.)); #440363=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #440364=CARTESIAN_POINT('Origin',(21.125,55.25,1.5)); #440365=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #440366=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #440367=CARTESIAN_POINT('',(34.61,19.25,1.5)); #440368=CARTESIAN_POINT('Origin',(34.75,19.25,1.5)); #440369=CARTESIAN_POINT('',(34.61,19.25,0.)); #440370=CARTESIAN_POINT('',(34.61,19.25,0.)); #440371=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #440372=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #440373=CARTESIAN_POINT('',(34.575,19.25,1.5)); #440374=CARTESIAN_POINT('Origin',(34.75,19.25,1.5)); #440375=CARTESIAN_POINT('',(34.575,19.25,0.)); #440376=CARTESIAN_POINT('',(34.575,19.25,0.)); #440377=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #440378=CARTESIAN_POINT('Origin',(34.75,19.25,1.5)); #440379=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #440380=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #440381=CARTESIAN_POINT('',(20.985,56.75,1.5)); #440382=CARTESIAN_POINT('Origin',(21.125,56.75,1.5)); #440383=CARTESIAN_POINT('',(20.985,56.75,0.)); #440384=CARTESIAN_POINT('',(20.985,56.75,0.)); #440385=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #440386=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #440387=CARTESIAN_POINT('',(20.95,56.75,1.5)); #440388=CARTESIAN_POINT('Origin',(21.125,56.75,1.5)); #440389=CARTESIAN_POINT('',(20.95,56.75,0.)); #440390=CARTESIAN_POINT('',(20.95,56.75,0.)); #440391=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #440392=CARTESIAN_POINT('Origin',(21.125,56.75,1.5)); #440393=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #440394=CARTESIAN_POINT('Origin',(12.,21.,0.)); #440395=CARTESIAN_POINT('',(11.86,21.,1.5)); #440396=CARTESIAN_POINT('Origin',(12.,21.,1.5)); #440397=CARTESIAN_POINT('',(11.86,21.,0.)); #440398=CARTESIAN_POINT('',(11.86,21.,0.)); #440399=CARTESIAN_POINT('Origin',(12.,21.,0.)); #440400=CARTESIAN_POINT('Origin',(12.,21.,0.)); #440401=CARTESIAN_POINT('',(11.825,21.,1.5)); #440402=CARTESIAN_POINT('Origin',(12.,21.,1.5)); #440403=CARTESIAN_POINT('',(11.825,21.,0.)); #440404=CARTESIAN_POINT('',(11.825,21.,0.)); #440405=CARTESIAN_POINT('Origin',(12.,21.,0.)); #440406=CARTESIAN_POINT('Origin',(12.,21.,1.5)); #440407=CARTESIAN_POINT('Origin',(12.,21.,0.)); #440408=CARTESIAN_POINT('Origin',(29.488,53.,0.)); #440409=CARTESIAN_POINT('',(29.348,53.,1.5)); #440410=CARTESIAN_POINT('Origin',(29.488,53.,1.5)); #440411=CARTESIAN_POINT('',(29.348,53.,0.)); #440412=CARTESIAN_POINT('',(29.348,53.,0.)); #440413=CARTESIAN_POINT('Origin',(29.488,53.,0.)); #440414=CARTESIAN_POINT('Origin',(29.488,53.,0.)); #440415=CARTESIAN_POINT('',(29.313,53.,1.5)); #440416=CARTESIAN_POINT('Origin',(29.488,53.,1.5)); #440417=CARTESIAN_POINT('',(29.313,53.,0.)); #440418=CARTESIAN_POINT('',(29.313,53.,0.)); #440419=CARTESIAN_POINT('Origin',(29.488,53.,0.)); #440420=CARTESIAN_POINT('Origin',(29.488,53.,1.5)); #440421=CARTESIAN_POINT('Origin',(29.488,53.,0.)); #440422=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #440423=CARTESIAN_POINT('',(45.735,34.,1.5)); #440424=CARTESIAN_POINT('Origin',(46.25,34.,1.5)); #440425=CARTESIAN_POINT('',(45.735,34.,0.)); #440426=CARTESIAN_POINT('',(45.735,34.,0.)); #440427=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #440428=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #440429=CARTESIAN_POINT('',(45.7,34.,1.5)); #440430=CARTESIAN_POINT('Origin',(46.25,34.,1.5)); #440431=CARTESIAN_POINT('',(45.7,34.,0.)); #440432=CARTESIAN_POINT('',(45.7,34.,0.)); #440433=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #440434=CARTESIAN_POINT('Origin',(46.25,34.,1.5)); #440435=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #440436=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #440437=CARTESIAN_POINT('',(37.0475,36.75,1.5)); #440438=CARTESIAN_POINT('Origin',(37.1875,36.75,1.5)); #440439=CARTESIAN_POINT('',(37.0475,36.75,0.)); #440440=CARTESIAN_POINT('',(37.0475,36.75,0.)); #440441=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #440442=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #440443=CARTESIAN_POINT('',(37.0125,36.75,1.5)); #440444=CARTESIAN_POINT('Origin',(37.1875,36.75,1.5)); #440445=CARTESIAN_POINT('',(37.0125,36.75,0.)); #440446=CARTESIAN_POINT('',(37.0125,36.75,0.)); #440447=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #440448=CARTESIAN_POINT('Origin',(37.1875,36.75,1.5)); #440449=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #440450=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #440451=CARTESIAN_POINT('',(54.36,21.75,1.5)); #440452=CARTESIAN_POINT('Origin',(54.5,21.75,1.5)); #440453=CARTESIAN_POINT('',(54.36,21.75,0.)); #440454=CARTESIAN_POINT('',(54.36,21.75,0.)); #440455=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #440456=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #440457=CARTESIAN_POINT('',(54.325,21.75,1.5)); #440458=CARTESIAN_POINT('Origin',(54.5,21.75,1.5)); #440459=CARTESIAN_POINT('',(54.325,21.75,0.)); #440460=CARTESIAN_POINT('',(54.325,21.75,0.)); #440461=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #440462=CARTESIAN_POINT('Origin',(54.5,21.75,1.5)); #440463=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #440464=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #440465=CARTESIAN_POINT('',(38.61,36.75,1.5)); #440466=CARTESIAN_POINT('Origin',(38.75,36.75,1.5)); #440467=CARTESIAN_POINT('',(38.61,36.75,0.)); #440468=CARTESIAN_POINT('',(38.61,36.75,0.)); #440469=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #440470=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #440471=CARTESIAN_POINT('',(38.575,36.75,1.5)); #440472=CARTESIAN_POINT('Origin',(38.75,36.75,1.5)); #440473=CARTESIAN_POINT('',(38.575,36.75,0.)); #440474=CARTESIAN_POINT('',(38.575,36.75,0.)); #440475=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #440476=CARTESIAN_POINT('Origin',(38.75,36.75,1.5)); #440477=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #440478=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #440479=CARTESIAN_POINT('',(35.36,17.,1.5)); #440480=CARTESIAN_POINT('Origin',(35.5,17.,1.5)); #440481=CARTESIAN_POINT('',(35.36,17.,0.)); #440482=CARTESIAN_POINT('',(35.36,17.,0.)); #440483=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #440484=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #440485=CARTESIAN_POINT('',(35.325,17.,1.5)); #440486=CARTESIAN_POINT('Origin',(35.5,17.,1.5)); #440487=CARTESIAN_POINT('',(35.325,17.,0.)); #440488=CARTESIAN_POINT('',(35.325,17.,0.)); #440489=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #440490=CARTESIAN_POINT('Origin',(35.5,17.,1.5)); #440491=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #440492=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #440493=CARTESIAN_POINT('',(46.735,56.75,1.5)); #440494=CARTESIAN_POINT('Origin',(46.875,56.75,1.5)); #440495=CARTESIAN_POINT('',(46.735,56.75,0.)); #440496=CARTESIAN_POINT('',(46.735,56.75,0.)); #440497=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #440498=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #440499=CARTESIAN_POINT('',(46.7,56.75,1.5)); #440500=CARTESIAN_POINT('Origin',(46.875,56.75,1.5)); #440501=CARTESIAN_POINT('',(46.7,56.75,0.)); #440502=CARTESIAN_POINT('',(46.7,56.75,0.)); #440503=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #440504=CARTESIAN_POINT('Origin',(46.875,56.75,1.5)); #440505=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #440506=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #440507=CARTESIAN_POINT('',(54.36,21.,1.5)); #440508=CARTESIAN_POINT('Origin',(54.5,21.,1.5)); #440509=CARTESIAN_POINT('',(54.36,21.,0.)); #440510=CARTESIAN_POINT('',(54.36,21.,0.)); #440511=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #440512=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #440513=CARTESIAN_POINT('',(54.325,21.,1.5)); #440514=CARTESIAN_POINT('Origin',(54.5,21.,1.5)); #440515=CARTESIAN_POINT('',(54.325,21.,0.)); #440516=CARTESIAN_POINT('',(54.325,21.,0.)); #440517=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #440518=CARTESIAN_POINT('Origin',(54.5,21.,1.5)); #440519=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #440520=CARTESIAN_POINT('Origin',(38.512,53.,0.)); #440521=CARTESIAN_POINT('',(38.372,53.,1.5)); #440522=CARTESIAN_POINT('Origin',(38.512,53.,1.5)); #440523=CARTESIAN_POINT('',(38.372,53.,0.)); #440524=CARTESIAN_POINT('',(38.372,53.,0.)); #440525=CARTESIAN_POINT('Origin',(38.512,53.,0.)); #440526=CARTESIAN_POINT('Origin',(38.512,53.,0.)); #440527=CARTESIAN_POINT('',(38.337,53.,1.5)); #440528=CARTESIAN_POINT('Origin',(38.512,53.,1.5)); #440529=CARTESIAN_POINT('',(38.337,53.,0.)); #440530=CARTESIAN_POINT('',(38.337,53.,0.)); #440531=CARTESIAN_POINT('Origin',(38.512,53.,0.)); #440532=CARTESIAN_POINT('Origin',(38.512,53.,1.5)); #440533=CARTESIAN_POINT('Origin',(38.512,53.,0.)); #440534=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #440535=CARTESIAN_POINT('',(31.36,9.5,1.5)); #440536=CARTESIAN_POINT('Origin',(31.5,9.5,1.5)); #440537=CARTESIAN_POINT('',(31.36,9.5,0.)); #440538=CARTESIAN_POINT('',(31.36,9.5,0.)); #440539=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #440540=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #440541=CARTESIAN_POINT('',(31.325,9.5,1.5)); #440542=CARTESIAN_POINT('Origin',(31.5,9.5,1.5)); #440543=CARTESIAN_POINT('',(31.325,9.5,0.)); #440544=CARTESIAN_POINT('',(31.325,9.5,0.)); #440545=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #440546=CARTESIAN_POINT('Origin',(31.5,9.5,1.5)); #440547=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #440548=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #440549=CARTESIAN_POINT('',(44.985,55.25,1.5)); #440550=CARTESIAN_POINT('Origin',(45.125,55.25,1.5)); #440551=CARTESIAN_POINT('',(44.985,55.25,0.)); #440552=CARTESIAN_POINT('',(44.985,55.25,0.)); #440553=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #440554=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #440555=CARTESIAN_POINT('',(44.95,55.25,1.5)); #440556=CARTESIAN_POINT('Origin',(45.125,55.25,1.5)); #440557=CARTESIAN_POINT('',(44.95,55.25,0.)); #440558=CARTESIAN_POINT('',(44.95,55.25,0.)); #440559=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #440560=CARTESIAN_POINT('Origin',(45.125,55.25,1.5)); #440561=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #440562=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #440563=CARTESIAN_POINT('',(55.11,20.25,1.5)); #440564=CARTESIAN_POINT('Origin',(55.25,20.25,1.5)); #440565=CARTESIAN_POINT('',(55.11,20.25,0.)); #440566=CARTESIAN_POINT('',(55.11,20.25,0.)); #440567=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #440568=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #440569=CARTESIAN_POINT('',(55.075,20.25,1.5)); #440570=CARTESIAN_POINT('Origin',(55.25,20.25,1.5)); #440571=CARTESIAN_POINT('',(55.075,20.25,0.)); #440572=CARTESIAN_POINT('',(55.075,20.25,0.)); #440573=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #440574=CARTESIAN_POINT('Origin',(55.25,20.25,1.5)); #440575=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #440576=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #440577=CARTESIAN_POINT('',(44.985,56.,1.5)); #440578=CARTESIAN_POINT('Origin',(45.125,56.,1.5)); #440579=CARTESIAN_POINT('',(44.985,56.,0.)); #440580=CARTESIAN_POINT('',(44.985,56.,0.)); #440581=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #440582=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #440583=CARTESIAN_POINT('',(44.95,56.,1.5)); #440584=CARTESIAN_POINT('Origin',(45.125,56.,1.5)); #440585=CARTESIAN_POINT('',(44.95,56.,0.)); #440586=CARTESIAN_POINT('',(44.95,56.,0.)); #440587=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #440588=CARTESIAN_POINT('Origin',(45.125,56.,1.5)); #440589=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #440590=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #440591=CARTESIAN_POINT('',(35.36,16.25,1.5)); #440592=CARTESIAN_POINT('Origin',(35.5,16.25,1.5)); #440593=CARTESIAN_POINT('',(35.36,16.25,0.)); #440594=CARTESIAN_POINT('',(35.36,16.25,0.)); #440595=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #440596=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #440597=CARTESIAN_POINT('',(35.325,16.25,1.5)); #440598=CARTESIAN_POINT('Origin',(35.5,16.25,1.5)); #440599=CARTESIAN_POINT('',(35.325,16.25,0.)); #440600=CARTESIAN_POINT('',(35.325,16.25,0.)); #440601=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #440602=CARTESIAN_POINT('Origin',(35.5,16.25,1.5)); #440603=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #440604=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #440605=CARTESIAN_POINT('',(46.735,55.25,1.5)); #440606=CARTESIAN_POINT('Origin',(46.875,55.25,1.5)); #440607=CARTESIAN_POINT('',(46.735,55.25,0.)); #440608=CARTESIAN_POINT('',(46.735,55.25,0.)); #440609=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #440610=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #440611=CARTESIAN_POINT('',(46.7,55.25,1.5)); #440612=CARTESIAN_POINT('Origin',(46.875,55.25,1.5)); #440613=CARTESIAN_POINT('',(46.7,55.25,0.)); #440614=CARTESIAN_POINT('',(46.7,55.25,0.)); #440615=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #440616=CARTESIAN_POINT('Origin',(46.875,55.25,1.5)); #440617=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #440618=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #440619=CARTESIAN_POINT('',(54.36,20.25,1.5)); #440620=CARTESIAN_POINT('Origin',(54.5,20.25,1.5)); #440621=CARTESIAN_POINT('',(54.36,20.25,0.)); #440622=CARTESIAN_POINT('',(54.36,20.25,0.)); #440623=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #440624=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #440625=CARTESIAN_POINT('',(54.325,20.25,1.5)); #440626=CARTESIAN_POINT('Origin',(54.5,20.25,1.5)); #440627=CARTESIAN_POINT('',(54.325,20.25,0.)); #440628=CARTESIAN_POINT('',(54.325,20.25,0.)); #440629=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #440630=CARTESIAN_POINT('Origin',(54.5,20.25,1.5)); #440631=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #440632=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #440633=CARTESIAN_POINT('',(46.735,56.,1.5)); #440634=CARTESIAN_POINT('Origin',(46.875,56.,1.5)); #440635=CARTESIAN_POINT('',(46.735,56.,0.)); #440636=CARTESIAN_POINT('',(46.735,56.,0.)); #440637=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #440638=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #440639=CARTESIAN_POINT('',(46.7,56.,1.5)); #440640=CARTESIAN_POINT('Origin',(46.875,56.,1.5)); #440641=CARTESIAN_POINT('',(46.7,56.,0.)); #440642=CARTESIAN_POINT('',(46.7,56.,0.)); #440643=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #440644=CARTESIAN_POINT('Origin',(46.875,56.,1.5)); #440645=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #440646=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #440647=CARTESIAN_POINT('',(31.035,25.25,1.5)); #440648=CARTESIAN_POINT('Origin',(31.5,25.25,1.5)); #440649=CARTESIAN_POINT('',(31.035,25.25,0.)); #440650=CARTESIAN_POINT('',(31.035,25.25,0.)); #440651=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #440652=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #440653=CARTESIAN_POINT('',(31.,25.25,1.5)); #440654=CARTESIAN_POINT('Origin',(31.5,25.25,1.5)); #440655=CARTESIAN_POINT('',(31.,25.25,0.)); #440656=CARTESIAN_POINT('',(31.,25.25,0.)); #440657=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #440658=CARTESIAN_POINT('Origin',(31.5,25.25,1.5)); #440659=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #440660=CARTESIAN_POINT('Origin',(22.,56.,0.)); #440661=CARTESIAN_POINT('',(21.86,56.,1.5)); #440662=CARTESIAN_POINT('Origin',(22.,56.,1.5)); #440663=CARTESIAN_POINT('',(21.86,56.,0.)); #440664=CARTESIAN_POINT('',(21.86,56.,0.)); #440665=CARTESIAN_POINT('Origin',(22.,56.,0.)); #440666=CARTESIAN_POINT('Origin',(22.,56.,0.)); #440667=CARTESIAN_POINT('',(21.825,56.,1.5)); #440668=CARTESIAN_POINT('Origin',(22.,56.,1.5)); #440669=CARTESIAN_POINT('',(21.825,56.,0.)); #440670=CARTESIAN_POINT('',(21.825,56.,0.)); #440671=CARTESIAN_POINT('Origin',(22.,56.,0.)); #440672=CARTESIAN_POINT('Origin',(22.,56.,1.5)); #440673=CARTESIAN_POINT('Origin',(22.,56.,0.)); #440674=CARTESIAN_POINT('Origin',(56.,21.,0.)); #440675=CARTESIAN_POINT('',(55.86,21.,1.5)); #440676=CARTESIAN_POINT('Origin',(56.,21.,1.5)); #440677=CARTESIAN_POINT('',(55.86,21.,0.)); #440678=CARTESIAN_POINT('',(55.86,21.,0.)); #440679=CARTESIAN_POINT('Origin',(56.,21.,0.)); #440680=CARTESIAN_POINT('Origin',(56.,21.,0.)); #440681=CARTESIAN_POINT('',(55.825,21.,1.5)); #440682=CARTESIAN_POINT('Origin',(56.,21.,1.5)); #440683=CARTESIAN_POINT('',(55.825,21.,0.)); #440684=CARTESIAN_POINT('',(55.825,21.,0.)); #440685=CARTESIAN_POINT('Origin',(56.,21.,0.)); #440686=CARTESIAN_POINT('Origin',(56.,21.,1.5)); #440687=CARTESIAN_POINT('Origin',(56.,21.,0.)); #440688=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #440689=CARTESIAN_POINT('',(20.11,56.,1.5)); #440690=CARTESIAN_POINT('Origin',(20.25,56.,1.5)); #440691=CARTESIAN_POINT('',(20.11,56.,0.)); #440692=CARTESIAN_POINT('',(20.11,56.,0.)); #440693=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #440694=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #440695=CARTESIAN_POINT('',(20.075,56.,1.5)); #440696=CARTESIAN_POINT('Origin',(20.25,56.,1.5)); #440697=CARTESIAN_POINT('',(20.075,56.,0.)); #440698=CARTESIAN_POINT('',(20.075,56.,0.)); #440699=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #440700=CARTESIAN_POINT('Origin',(20.25,56.,1.5)); #440701=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #440702=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #440703=CARTESIAN_POINT('',(32.36,19.25,1.5)); #440704=CARTESIAN_POINT('Origin',(32.5,19.25,1.5)); #440705=CARTESIAN_POINT('',(32.36,19.25,0.)); #440706=CARTESIAN_POINT('',(32.36,19.25,0.)); #440707=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #440708=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #440709=CARTESIAN_POINT('',(32.325,19.25,1.5)); #440710=CARTESIAN_POINT('Origin',(32.5,19.25,1.5)); #440711=CARTESIAN_POINT('',(32.325,19.25,0.)); #440712=CARTESIAN_POINT('',(32.325,19.25,0.)); #440713=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #440714=CARTESIAN_POINT('Origin',(32.5,19.25,1.5)); #440715=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #440716=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #440717=CARTESIAN_POINT('',(20.11,56.75,1.5)); #440718=CARTESIAN_POINT('Origin',(20.25,56.75,1.5)); #440719=CARTESIAN_POINT('',(20.11,56.75,0.)); #440720=CARTESIAN_POINT('',(20.11,56.75,0.)); #440721=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #440722=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #440723=CARTESIAN_POINT('',(20.075,56.75,1.5)); #440724=CARTESIAN_POINT('Origin',(20.25,56.75,1.5)); #440725=CARTESIAN_POINT('',(20.075,56.75,0.)); #440726=CARTESIAN_POINT('',(20.075,56.75,0.)); #440727=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #440728=CARTESIAN_POINT('Origin',(20.25,56.75,1.5)); #440729=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #440730=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #440731=CARTESIAN_POINT('',(55.86,21.75,1.5)); #440732=CARTESIAN_POINT('Origin',(56.,21.75,1.5)); #440733=CARTESIAN_POINT('',(55.86,21.75,0.)); #440734=CARTESIAN_POINT('',(55.86,21.75,0.)); #440735=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #440736=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #440737=CARTESIAN_POINT('',(55.825,21.75,1.5)); #440738=CARTESIAN_POINT('Origin',(56.,21.75,1.5)); #440739=CARTESIAN_POINT('',(55.825,21.75,0.)); #440740=CARTESIAN_POINT('',(55.825,21.75,0.)); #440741=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #440742=CARTESIAN_POINT('Origin',(56.,21.75,1.5)); #440743=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #440744=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #440745=CARTESIAN_POINT('',(20.11,55.25,1.5)); #440746=CARTESIAN_POINT('Origin',(20.25,55.25,1.5)); #440747=CARTESIAN_POINT('',(20.11,55.25,0.)); #440748=CARTESIAN_POINT('',(20.11,55.25,0.)); #440749=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #440750=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #440751=CARTESIAN_POINT('',(20.075,55.25,1.5)); #440752=CARTESIAN_POINT('Origin',(20.25,55.25,1.5)); #440753=CARTESIAN_POINT('',(20.075,55.25,0.)); #440754=CARTESIAN_POINT('',(20.075,55.25,0.)); #440755=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #440756=CARTESIAN_POINT('Origin',(20.25,55.25,1.5)); #440757=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #440758=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #440759=CARTESIAN_POINT('',(36.36,8.75,1.5)); #440760=CARTESIAN_POINT('Origin',(36.5,8.75,1.5)); #440761=CARTESIAN_POINT('',(36.36,8.75,0.)); #440762=CARTESIAN_POINT('',(36.36,8.75,0.)); #440763=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #440764=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #440765=CARTESIAN_POINT('',(36.325,8.75,1.5)); #440766=CARTESIAN_POINT('Origin',(36.5,8.75,1.5)); #440767=CARTESIAN_POINT('',(36.325,8.75,0.)); #440768=CARTESIAN_POINT('',(36.325,8.75,0.)); #440769=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #440770=CARTESIAN_POINT('Origin',(36.5,8.75,1.5)); #440771=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #440772=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #440773=CARTESIAN_POINT('',(21.86,55.25,1.5)); #440774=CARTESIAN_POINT('Origin',(22.,55.25,1.5)); #440775=CARTESIAN_POINT('',(21.86,55.25,0.)); #440776=CARTESIAN_POINT('',(21.86,55.25,0.)); #440777=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #440778=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #440779=CARTESIAN_POINT('',(21.825,55.25,1.5)); #440780=CARTESIAN_POINT('Origin',(22.,55.25,1.5)); #440781=CARTESIAN_POINT('',(21.825,55.25,0.)); #440782=CARTESIAN_POINT('',(21.825,55.25,0.)); #440783=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #440784=CARTESIAN_POINT('Origin',(22.,55.25,1.5)); #440785=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #440786=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #440787=CARTESIAN_POINT('',(55.86,20.25,1.5)); #440788=CARTESIAN_POINT('Origin',(56.,20.25,1.5)); #440789=CARTESIAN_POINT('',(55.86,20.25,0.)); #440790=CARTESIAN_POINT('',(55.86,20.25,0.)); #440791=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #440792=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #440793=CARTESIAN_POINT('',(55.825,20.25,1.5)); #440794=CARTESIAN_POINT('Origin',(56.,20.25,1.5)); #440795=CARTESIAN_POINT('',(55.825,20.25,0.)); #440796=CARTESIAN_POINT('',(55.825,20.25,0.)); #440797=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #440798=CARTESIAN_POINT('Origin',(56.,20.25,1.5)); #440799=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #440800=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #440801=CARTESIAN_POINT('',(21.86,56.75,1.5)); #440802=CARTESIAN_POINT('Origin',(22.,56.75,1.5)); #440803=CARTESIAN_POINT('',(21.86,56.75,0.)); #440804=CARTESIAN_POINT('',(21.86,56.75,0.)); #440805=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #440806=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #440807=CARTESIAN_POINT('',(21.825,56.75,1.5)); #440808=CARTESIAN_POINT('Origin',(22.,56.75,1.5)); #440809=CARTESIAN_POINT('',(21.825,56.75,0.)); #440810=CARTESIAN_POINT('',(21.825,56.75,0.)); #440811=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #440812=CARTESIAN_POINT('Origin',(22.,56.75,1.5)); #440813=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #440814=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #440815=CARTESIAN_POINT('',(33.11,19.25,1.5)); #440816=CARTESIAN_POINT('Origin',(33.25,19.25,1.5)); #440817=CARTESIAN_POINT('',(33.11,19.25,0.)); #440818=CARTESIAN_POINT('',(33.11,19.25,0.)); #440819=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #440820=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #440821=CARTESIAN_POINT('',(33.075,19.25,1.5)); #440822=CARTESIAN_POINT('Origin',(33.25,19.25,1.5)); #440823=CARTESIAN_POINT('',(33.075,19.25,0.)); #440824=CARTESIAN_POINT('',(33.075,19.25,0.)); #440825=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #440826=CARTESIAN_POINT('Origin',(33.25,19.25,1.5)); #440827=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #440828=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #440829=CARTESIAN_POINT('',(23.61,55.25,1.5)); #440830=CARTESIAN_POINT('Origin',(23.75,55.25,1.5)); #440831=CARTESIAN_POINT('',(23.61,55.25,0.)); #440832=CARTESIAN_POINT('',(23.61,55.25,0.)); #440833=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #440834=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #440835=CARTESIAN_POINT('',(23.575,55.25,1.5)); #440836=CARTESIAN_POINT('Origin',(23.75,55.25,1.5)); #440837=CARTESIAN_POINT('',(23.575,55.25,0.)); #440838=CARTESIAN_POINT('',(23.575,55.25,0.)); #440839=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #440840=CARTESIAN_POINT('Origin',(23.75,55.25,1.5)); #440841=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #440842=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #440843=CARTESIAN_POINT('',(12.61,21.,1.5)); #440844=CARTESIAN_POINT('Origin',(12.75,21.,1.5)); #440845=CARTESIAN_POINT('',(12.61,21.,0.)); #440846=CARTESIAN_POINT('',(12.61,21.,0.)); #440847=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #440848=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #440849=CARTESIAN_POINT('',(12.575,21.,1.5)); #440850=CARTESIAN_POINT('Origin',(12.75,21.,1.5)); #440851=CARTESIAN_POINT('',(12.575,21.,0.)); #440852=CARTESIAN_POINT('',(12.575,21.,0.)); #440853=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #440854=CARTESIAN_POINT('Origin',(12.75,21.,1.5)); #440855=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #440856=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #440857=CARTESIAN_POINT('',(23.61,56.,1.5)); #440858=CARTESIAN_POINT('Origin',(23.75,56.,1.5)); #440859=CARTESIAN_POINT('',(23.61,56.,0.)); #440860=CARTESIAN_POINT('',(23.61,56.,0.)); #440861=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #440862=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #440863=CARTESIAN_POINT('',(23.575,56.,1.5)); #440864=CARTESIAN_POINT('Origin',(23.75,56.,1.5)); #440865=CARTESIAN_POINT('',(23.575,56.,0.)); #440866=CARTESIAN_POINT('',(23.575,56.,0.)); #440867=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #440868=CARTESIAN_POINT('Origin',(23.75,56.,1.5)); #440869=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #440870=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #440871=CARTESIAN_POINT('',(37.245,45.,1.5)); #440872=CARTESIAN_POINT('Origin',(37.81,45.,1.5)); #440873=CARTESIAN_POINT('',(37.245,45.,0.)); #440874=CARTESIAN_POINT('',(37.245,45.,0.)); #440875=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #440876=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #440877=CARTESIAN_POINT('',(37.21,45.,1.5)); #440878=CARTESIAN_POINT('Origin',(37.81,45.,1.5)); #440879=CARTESIAN_POINT('',(37.21,45.,0.)); #440880=CARTESIAN_POINT('',(37.21,45.,0.)); #440881=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #440882=CARTESIAN_POINT('Origin',(37.81,45.,1.5)); #440883=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #440884=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #440885=CARTESIAN_POINT('',(51.11,47.,1.5)); #440886=CARTESIAN_POINT('Origin',(51.25,47.,1.5)); #440887=CARTESIAN_POINT('',(51.11,47.,0.)); #440888=CARTESIAN_POINT('',(51.11,47.,0.)); #440889=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #440890=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #440891=CARTESIAN_POINT('',(51.075,47.,1.5)); #440892=CARTESIAN_POINT('Origin',(51.25,47.,1.5)); #440893=CARTESIAN_POINT('',(51.075,47.,0.)); #440894=CARTESIAN_POINT('',(51.075,47.,0.)); #440895=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #440896=CARTESIAN_POINT('Origin',(51.25,47.,1.5)); #440897=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #440898=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #440899=CARTESIAN_POINT('',(42.36,26.,1.5)); #440900=CARTESIAN_POINT('Origin',(42.5,26.,1.5)); #440901=CARTESIAN_POINT('',(42.36,26.,0.)); #440902=CARTESIAN_POINT('',(42.36,26.,0.)); #440903=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #440904=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #440905=CARTESIAN_POINT('',(42.325,26.,1.5)); #440906=CARTESIAN_POINT('Origin',(42.5,26.,1.5)); #440907=CARTESIAN_POINT('',(42.325,26.,0.)); #440908=CARTESIAN_POINT('',(42.325,26.,0.)); #440909=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #440910=CARTESIAN_POINT('Origin',(42.5,26.,1.5)); #440911=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #440912=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #440913=CARTESIAN_POINT('',(51.11,47.75,1.5)); #440914=CARTESIAN_POINT('Origin',(51.25,47.75,1.5)); #440915=CARTESIAN_POINT('',(51.11,47.75,0.)); #440916=CARTESIAN_POINT('',(51.11,47.75,0.)); #440917=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #440918=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #440919=CARTESIAN_POINT('',(51.075,47.75,1.5)); #440920=CARTESIAN_POINT('Origin',(51.25,47.75,1.5)); #440921=CARTESIAN_POINT('',(51.075,47.75,0.)); #440922=CARTESIAN_POINT('',(51.075,47.75,0.)); #440923=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #440924=CARTESIAN_POINT('Origin',(51.25,47.75,1.5)); #440925=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #440926=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #440927=CARTESIAN_POINT('',(32.36,15.5,1.5)); #440928=CARTESIAN_POINT('Origin',(32.5,15.5,1.5)); #440929=CARTESIAN_POINT('',(32.36,15.5,0.)); #440930=CARTESIAN_POINT('',(32.36,15.5,0.)); #440931=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #440932=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #440933=CARTESIAN_POINT('',(32.325,15.5,1.5)); #440934=CARTESIAN_POINT('Origin',(32.5,15.5,1.5)); #440935=CARTESIAN_POINT('',(32.325,15.5,0.)); #440936=CARTESIAN_POINT('',(32.325,15.5,0.)); #440937=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #440938=CARTESIAN_POINT('Origin',(32.5,15.5,1.5)); #440939=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #440940=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #440941=CARTESIAN_POINT('',(50.235,47.,1.5)); #440942=CARTESIAN_POINT('Origin',(50.375,47.,1.5)); #440943=CARTESIAN_POINT('',(50.235,47.,0.)); #440944=CARTESIAN_POINT('',(50.235,47.,0.)); #440945=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #440946=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #440947=CARTESIAN_POINT('',(50.2,47.,1.5)); #440948=CARTESIAN_POINT('Origin',(50.375,47.,1.5)); #440949=CARTESIAN_POINT('',(50.2,47.,0.)); #440950=CARTESIAN_POINT('',(50.2,47.,0.)); #440951=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #440952=CARTESIAN_POINT('Origin',(50.375,47.,1.5)); #440953=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #440954=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #440955=CARTESIAN_POINT('',(42.36,25.25,1.5)); #440956=CARTESIAN_POINT('Origin',(42.5,25.25,1.5)); #440957=CARTESIAN_POINT('',(42.36,25.25,0.)); #440958=CARTESIAN_POINT('',(42.36,25.25,0.)); #440959=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #440960=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #440961=CARTESIAN_POINT('',(42.325,25.25,1.5)); #440962=CARTESIAN_POINT('Origin',(42.5,25.25,1.5)); #440963=CARTESIAN_POINT('',(42.325,25.25,0.)); #440964=CARTESIAN_POINT('',(42.325,25.25,0.)); #440965=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #440966=CARTESIAN_POINT('Origin',(42.5,25.25,1.5)); #440967=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #440968=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #440969=CARTESIAN_POINT('',(50.235,47.75,1.5)); #440970=CARTESIAN_POINT('Origin',(50.375,47.75,1.5)); #440971=CARTESIAN_POINT('',(50.235,47.75,0.)); #440972=CARTESIAN_POINT('',(50.235,47.75,0.)); #440973=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #440974=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #440975=CARTESIAN_POINT('',(50.2,47.75,1.5)); #440976=CARTESIAN_POINT('Origin',(50.375,47.75,1.5)); #440977=CARTESIAN_POINT('',(50.2,47.75,0.)); #440978=CARTESIAN_POINT('',(50.2,47.75,0.)); #440979=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #440980=CARTESIAN_POINT('Origin',(50.375,47.75,1.5)); #440981=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #440982=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #440983=CARTESIAN_POINT('',(31.36,8.75,1.5)); #440984=CARTESIAN_POINT('Origin',(31.5,8.75,1.5)); #440985=CARTESIAN_POINT('',(31.36,8.75,0.)); #440986=CARTESIAN_POINT('',(31.36,8.75,0.)); #440987=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #440988=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #440989=CARTESIAN_POINT('',(31.325,8.75,1.5)); #440990=CARTESIAN_POINT('Origin',(31.5,8.75,1.5)); #440991=CARTESIAN_POINT('',(31.325,8.75,0.)); #440992=CARTESIAN_POINT('',(31.325,8.75,0.)); #440993=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #440994=CARTESIAN_POINT('Origin',(31.5,8.75,1.5)); #440995=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #440996=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #440997=CARTESIAN_POINT('',(49.36,47.,1.5)); #440998=CARTESIAN_POINT('Origin',(49.5,47.,1.5)); #440999=CARTESIAN_POINT('',(49.36,47.,0.)); #441000=CARTESIAN_POINT('',(49.36,47.,0.)); #441001=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #441002=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #441003=CARTESIAN_POINT('',(49.325,47.,1.5)); #441004=CARTESIAN_POINT('Origin',(49.5,47.,1.5)); #441005=CARTESIAN_POINT('',(49.325,47.,0.)); #441006=CARTESIAN_POINT('',(49.325,47.,0.)); #441007=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #441008=CARTESIAN_POINT('Origin',(49.5,47.,1.5)); #441009=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #441010=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #441011=CARTESIAN_POINT('',(41.61,24.5,1.5)); #441012=CARTESIAN_POINT('Origin',(41.75,24.5,1.5)); #441013=CARTESIAN_POINT('',(41.61,24.5,0.)); #441014=CARTESIAN_POINT('',(41.61,24.5,0.)); #441015=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #441016=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #441017=CARTESIAN_POINT('',(41.575,24.5,1.5)); #441018=CARTESIAN_POINT('Origin',(41.75,24.5,1.5)); #441019=CARTESIAN_POINT('',(41.575,24.5,0.)); #441020=CARTESIAN_POINT('',(41.575,24.5,0.)); #441021=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #441022=CARTESIAN_POINT('Origin',(41.75,24.5,1.5)); #441023=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #441024=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #441025=CARTESIAN_POINT('',(49.36,47.75,1.5)); #441026=CARTESIAN_POINT('Origin',(49.5,47.75,1.5)); #441027=CARTESIAN_POINT('',(49.36,47.75,0.)); #441028=CARTESIAN_POINT('',(49.36,47.75,0.)); #441029=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #441030=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #441031=CARTESIAN_POINT('',(49.325,47.75,1.5)); #441032=CARTESIAN_POINT('Origin',(49.5,47.75,1.5)); #441033=CARTESIAN_POINT('',(49.325,47.75,0.)); #441034=CARTESIAN_POINT('',(49.325,47.75,0.)); #441035=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #441036=CARTESIAN_POINT('Origin',(49.5,47.75,1.5)); #441037=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #441038=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #441039=CARTESIAN_POINT('',(32.36,16.25,1.5)); #441040=CARTESIAN_POINT('Origin',(32.5,16.25,1.5)); #441041=CARTESIAN_POINT('',(32.36,16.25,0.)); #441042=CARTESIAN_POINT('',(32.36,16.25,0.)); #441043=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #441044=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #441045=CARTESIAN_POINT('',(32.325,16.25,1.5)); #441046=CARTESIAN_POINT('Origin',(32.5,16.25,1.5)); #441047=CARTESIAN_POINT('',(32.325,16.25,0.)); #441048=CARTESIAN_POINT('',(32.325,16.25,0.)); #441049=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #441050=CARTESIAN_POINT('Origin',(32.5,16.25,1.5)); #441051=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #441052=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #441053=CARTESIAN_POINT('',(49.36,46.25,1.5)); #441054=CARTESIAN_POINT('Origin',(49.5,46.25,1.5)); #441055=CARTESIAN_POINT('',(49.36,46.25,0.)); #441056=CARTESIAN_POINT('',(49.36,46.25,0.)); #441057=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #441058=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #441059=CARTESIAN_POINT('',(49.325,46.25,1.5)); #441060=CARTESIAN_POINT('Origin',(49.5,46.25,1.5)); #441061=CARTESIAN_POINT('',(49.325,46.25,0.)); #441062=CARTESIAN_POINT('',(49.325,46.25,0.)); #441063=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #441064=CARTESIAN_POINT('Origin',(49.5,46.25,1.5)); #441065=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #441066=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #441067=CARTESIAN_POINT('',(42.36,24.5,1.5)); #441068=CARTESIAN_POINT('Origin',(42.5,24.5,1.5)); #441069=CARTESIAN_POINT('',(42.36,24.5,0.)); #441070=CARTESIAN_POINT('',(42.36,24.5,0.)); #441071=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #441072=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #441073=CARTESIAN_POINT('',(42.325,24.5,1.5)); #441074=CARTESIAN_POINT('Origin',(42.5,24.5,1.5)); #441075=CARTESIAN_POINT('',(42.325,24.5,0.)); #441076=CARTESIAN_POINT('',(42.325,24.5,0.)); #441077=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #441078=CARTESIAN_POINT('Origin',(42.5,24.5,1.5)); #441079=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #441080=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #441081=CARTESIAN_POINT('',(50.235,46.25,1.5)); #441082=CARTESIAN_POINT('Origin',(50.375,46.25,1.5)); #441083=CARTESIAN_POINT('',(50.235,46.25,0.)); #441084=CARTESIAN_POINT('',(50.235,46.25,0.)); #441085=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #441086=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #441087=CARTESIAN_POINT('',(50.2,46.25,1.5)); #441088=CARTESIAN_POINT('Origin',(50.375,46.25,1.5)); #441089=CARTESIAN_POINT('',(50.2,46.25,0.)); #441090=CARTESIAN_POINT('',(50.2,46.25,0.)); #441091=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #441092=CARTESIAN_POINT('Origin',(50.375,46.25,1.5)); #441093=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #441094=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #441095=CARTESIAN_POINT('',(36.035,25.25,1.5)); #441096=CARTESIAN_POINT('Origin',(36.5,25.25,1.5)); #441097=CARTESIAN_POINT('',(36.035,25.25,0.)); #441098=CARTESIAN_POINT('',(36.035,25.25,0.)); #441099=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #441100=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #441101=CARTESIAN_POINT('',(36.,25.25,1.5)); #441102=CARTESIAN_POINT('Origin',(36.5,25.25,1.5)); #441103=CARTESIAN_POINT('',(36.,25.25,0.)); #441104=CARTESIAN_POINT('',(36.,25.25,0.)); #441105=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #441106=CARTESIAN_POINT('Origin',(36.5,25.25,1.5)); #441107=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #441108=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #441109=CARTESIAN_POINT('',(46.735,47.,1.5)); #441110=CARTESIAN_POINT('Origin',(46.875,47.,1.5)); #441111=CARTESIAN_POINT('',(46.735,47.,0.)); #441112=CARTESIAN_POINT('',(46.735,47.,0.)); #441113=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #441114=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #441115=CARTESIAN_POINT('',(46.7,47.,1.5)); #441116=CARTESIAN_POINT('Origin',(46.875,47.,1.5)); #441117=CARTESIAN_POINT('',(46.7,47.,0.)); #441118=CARTESIAN_POINT('',(46.7,47.,0.)); #441119=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #441120=CARTESIAN_POINT('Origin',(46.875,47.,1.5)); #441121=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #441122=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #441123=CARTESIAN_POINT('',(41.61,26.,1.5)); #441124=CARTESIAN_POINT('Origin',(41.75,26.,1.5)); #441125=CARTESIAN_POINT('',(41.61,26.,0.)); #441126=CARTESIAN_POINT('',(41.61,26.,0.)); #441127=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #441128=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #441129=CARTESIAN_POINT('',(41.575,26.,1.5)); #441130=CARTESIAN_POINT('Origin',(41.75,26.,1.5)); #441131=CARTESIAN_POINT('',(41.575,26.,0.)); #441132=CARTESIAN_POINT('',(41.575,26.,0.)); #441133=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #441134=CARTESIAN_POINT('Origin',(41.75,26.,1.5)); #441135=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #441136=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #441137=CARTESIAN_POINT('',(46.735,46.25,1.5)); #441138=CARTESIAN_POINT('Origin',(46.875,46.25,1.5)); #441139=CARTESIAN_POINT('',(46.735,46.25,0.)); #441140=CARTESIAN_POINT('',(46.735,46.25,0.)); #441141=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #441142=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #441143=CARTESIAN_POINT('',(46.7,46.25,1.5)); #441144=CARTESIAN_POINT('Origin',(46.875,46.25,1.5)); #441145=CARTESIAN_POINT('',(46.7,46.25,0.)); #441146=CARTESIAN_POINT('',(46.7,46.25,0.)); #441147=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #441148=CARTESIAN_POINT('Origin',(46.875,46.25,1.5)); #441149=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #441150=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #441151=CARTESIAN_POINT('',(32.36,17.75,1.5)); #441152=CARTESIAN_POINT('Origin',(32.5,17.75,1.5)); #441153=CARTESIAN_POINT('',(32.36,17.75,0.)); #441154=CARTESIAN_POINT('',(32.36,17.75,0.)); #441155=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #441156=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #441157=CARTESIAN_POINT('',(32.325,17.75,1.5)); #441158=CARTESIAN_POINT('Origin',(32.5,17.75,1.5)); #441159=CARTESIAN_POINT('',(32.325,17.75,0.)); #441160=CARTESIAN_POINT('',(32.325,17.75,0.)); #441161=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #441162=CARTESIAN_POINT('Origin',(32.5,17.75,1.5)); #441163=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #441164=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #441165=CARTESIAN_POINT('',(44.985,47.,1.5)); #441166=CARTESIAN_POINT('Origin',(45.125,47.,1.5)); #441167=CARTESIAN_POINT('',(44.985,47.,0.)); #441168=CARTESIAN_POINT('',(44.985,47.,0.)); #441169=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #441170=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #441171=CARTESIAN_POINT('',(44.95,47.,1.5)); #441172=CARTESIAN_POINT('Origin',(45.125,47.,1.5)); #441173=CARTESIAN_POINT('',(44.95,47.,0.)); #441174=CARTESIAN_POINT('',(44.95,47.,0.)); #441175=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #441176=CARTESIAN_POINT('Origin',(45.125,47.,1.5)); #441177=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #441178=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #441179=CARTESIAN_POINT('',(41.61,25.25,1.5)); #441180=CARTESIAN_POINT('Origin',(41.75,25.25,1.5)); #441181=CARTESIAN_POINT('',(41.61,25.25,0.)); #441182=CARTESIAN_POINT('',(41.61,25.25,0.)); #441183=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #441184=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #441185=CARTESIAN_POINT('',(41.575,25.25,1.5)); #441186=CARTESIAN_POINT('Origin',(41.75,25.25,1.5)); #441187=CARTESIAN_POINT('',(41.575,25.25,0.)); #441188=CARTESIAN_POINT('',(41.575,25.25,0.)); #441189=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #441190=CARTESIAN_POINT('Origin',(41.75,25.25,1.5)); #441191=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #441192=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #441193=CARTESIAN_POINT('',(44.985,46.25,1.5)); #441194=CARTESIAN_POINT('Origin',(45.125,46.25,1.5)); #441195=CARTESIAN_POINT('',(44.985,46.25,0.)); #441196=CARTESIAN_POINT('',(44.985,46.25,0.)); #441197=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #441198=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #441199=CARTESIAN_POINT('',(44.95,46.25,1.5)); #441200=CARTESIAN_POINT('Origin',(45.125,46.25,1.5)); #441201=CARTESIAN_POINT('',(44.95,46.25,0.)); #441202=CARTESIAN_POINT('',(44.95,46.25,0.)); #441203=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #441204=CARTESIAN_POINT('Origin',(45.125,46.25,1.5)); #441205=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #441206=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #441207=CARTESIAN_POINT('',(34.61,5.5,1.5)); #441208=CARTESIAN_POINT('Origin',(34.75,5.5,1.5)); #441209=CARTESIAN_POINT('',(34.61,5.5,0.)); #441210=CARTESIAN_POINT('',(34.61,5.5,0.)); #441211=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #441212=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #441213=CARTESIAN_POINT('',(34.575,5.5,1.5)); #441214=CARTESIAN_POINT('Origin',(34.75,5.5,1.5)); #441215=CARTESIAN_POINT('',(34.575,5.5,0.)); #441216=CARTESIAN_POINT('',(34.575,5.5,0.)); #441217=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #441218=CARTESIAN_POINT('Origin',(34.75,5.5,1.5)); #441219=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #441220=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #441221=CARTESIAN_POINT('',(48.485,46.25,1.5)); #441222=CARTESIAN_POINT('Origin',(48.625,46.25,1.5)); #441223=CARTESIAN_POINT('',(48.485,46.25,0.)); #441224=CARTESIAN_POINT('',(48.485,46.25,0.)); #441225=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #441226=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #441227=CARTESIAN_POINT('',(48.45,46.25,1.5)); #441228=CARTESIAN_POINT('Origin',(48.625,46.25,1.5)); #441229=CARTESIAN_POINT('',(48.45,46.25,0.)); #441230=CARTESIAN_POINT('',(48.45,46.25,0.)); #441231=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #441232=CARTESIAN_POINT('Origin',(48.625,46.25,1.5)); #441233=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #441234=CARTESIAN_POINT('Origin',(41.,26.,0.)); #441235=CARTESIAN_POINT('',(40.86,26.,1.5)); #441236=CARTESIAN_POINT('Origin',(41.,26.,1.5)); #441237=CARTESIAN_POINT('',(40.86,26.,0.)); #441238=CARTESIAN_POINT('',(40.86,26.,0.)); #441239=CARTESIAN_POINT('Origin',(41.,26.,0.)); #441240=CARTESIAN_POINT('Origin',(41.,26.,0.)); #441241=CARTESIAN_POINT('',(40.825,26.,1.5)); #441242=CARTESIAN_POINT('Origin',(41.,26.,1.5)); #441243=CARTESIAN_POINT('',(40.825,26.,0.)); #441244=CARTESIAN_POINT('',(40.825,26.,0.)); #441245=CARTESIAN_POINT('Origin',(41.,26.,0.)); #441246=CARTESIAN_POINT('Origin',(41.,26.,1.5)); #441247=CARTESIAN_POINT('Origin',(41.,26.,0.)); #441248=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #441249=CARTESIAN_POINT('',(46.735,47.75,1.5)); #441250=CARTESIAN_POINT('Origin',(46.875,47.75,1.5)); #441251=CARTESIAN_POINT('',(46.735,47.75,0.)); #441252=CARTESIAN_POINT('',(46.735,47.75,0.)); #441253=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #441254=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #441255=CARTESIAN_POINT('',(46.7,47.75,1.5)); #441256=CARTESIAN_POINT('Origin',(46.875,47.75,1.5)); #441257=CARTESIAN_POINT('',(46.7,47.75,0.)); #441258=CARTESIAN_POINT('',(46.7,47.75,0.)); #441259=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #441260=CARTESIAN_POINT('Origin',(46.875,47.75,1.5)); #441261=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #441262=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #441263=CARTESIAN_POINT('',(32.36,17.,1.5)); #441264=CARTESIAN_POINT('Origin',(32.5,17.,1.5)); #441265=CARTESIAN_POINT('',(32.36,17.,0.)); #441266=CARTESIAN_POINT('',(32.36,17.,0.)); #441267=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #441268=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #441269=CARTESIAN_POINT('',(32.325,17.,1.5)); #441270=CARTESIAN_POINT('Origin',(32.5,17.,1.5)); #441271=CARTESIAN_POINT('',(32.325,17.,0.)); #441272=CARTESIAN_POINT('',(32.325,17.,0.)); #441273=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #441274=CARTESIAN_POINT('Origin',(32.5,17.,1.5)); #441275=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #441276=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #441277=CARTESIAN_POINT('',(48.485,47.,1.5)); #441278=CARTESIAN_POINT('Origin',(48.625,47.,1.5)); #441279=CARTESIAN_POINT('',(48.485,47.,0.)); #441280=CARTESIAN_POINT('',(48.485,47.,0.)); #441281=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #441282=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #441283=CARTESIAN_POINT('',(48.45,47.,1.5)); #441284=CARTESIAN_POINT('Origin',(48.625,47.,1.5)); #441285=CARTESIAN_POINT('',(48.45,47.,0.)); #441286=CARTESIAN_POINT('',(48.45,47.,0.)); #441287=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #441288=CARTESIAN_POINT('Origin',(48.625,47.,1.5)); #441289=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #441290=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #441291=CARTESIAN_POINT('',(40.86,24.5,1.5)); #441292=CARTESIAN_POINT('Origin',(41.,24.5,1.5)); #441293=CARTESIAN_POINT('',(40.86,24.5,0.)); #441294=CARTESIAN_POINT('',(40.86,24.5,0.)); #441295=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #441296=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #441297=CARTESIAN_POINT('',(40.825,24.5,1.5)); #441298=CARTESIAN_POINT('Origin',(41.,24.5,1.5)); #441299=CARTESIAN_POINT('',(40.825,24.5,0.)); #441300=CARTESIAN_POINT('',(40.825,24.5,0.)); #441301=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #441302=CARTESIAN_POINT('Origin',(41.,24.5,1.5)); #441303=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #441304=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #441305=CARTESIAN_POINT('',(48.485,47.75,1.5)); #441306=CARTESIAN_POINT('Origin',(48.625,47.75,1.5)); #441307=CARTESIAN_POINT('',(48.485,47.75,0.)); #441308=CARTESIAN_POINT('',(48.485,47.75,0.)); #441309=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #441310=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #441311=CARTESIAN_POINT('',(48.45,47.75,1.5)); #441312=CARTESIAN_POINT('Origin',(48.625,47.75,1.5)); #441313=CARTESIAN_POINT('',(48.45,47.75,0.)); #441314=CARTESIAN_POINT('',(48.45,47.75,0.)); #441315=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #441316=CARTESIAN_POINT('Origin',(48.625,47.75,1.5)); #441317=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #441318=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #441319=CARTESIAN_POINT('',(43.235,34.,1.5)); #441320=CARTESIAN_POINT('Origin',(43.75,34.,1.5)); #441321=CARTESIAN_POINT('',(43.235,34.,0.)); #441322=CARTESIAN_POINT('',(43.235,34.,0.)); #441323=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #441324=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #441325=CARTESIAN_POINT('',(43.2,34.,1.5)); #441326=CARTESIAN_POINT('Origin',(43.75,34.,1.5)); #441327=CARTESIAN_POINT('',(43.2,34.,0.)); #441328=CARTESIAN_POINT('',(43.2,34.,0.)); #441329=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #441330=CARTESIAN_POINT('Origin',(43.75,34.,1.5)); #441331=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #441332=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #441333=CARTESIAN_POINT('',(44.11,55.25,1.5)); #441334=CARTESIAN_POINT('Origin',(44.25,55.25,1.5)); #441335=CARTESIAN_POINT('',(44.11,55.25,0.)); #441336=CARTESIAN_POINT('',(44.11,55.25,0.)); #441337=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #441338=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #441339=CARTESIAN_POINT('',(44.075,55.25,1.5)); #441340=CARTESIAN_POINT('Origin',(44.25,55.25,1.5)); #441341=CARTESIAN_POINT('',(44.075,55.25,0.)); #441342=CARTESIAN_POINT('',(44.075,55.25,0.)); #441343=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #441344=CARTESIAN_POINT('Origin',(44.25,55.25,1.5)); #441345=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #441346=CARTESIAN_POINT('Origin',(40.,38.,0.)); #441347=CARTESIAN_POINT('',(39.86,38.,1.5)); #441348=CARTESIAN_POINT('Origin',(40.,38.,1.5)); #441349=CARTESIAN_POINT('',(39.86,38.,0.)); #441350=CARTESIAN_POINT('',(39.86,38.,0.)); #441351=CARTESIAN_POINT('Origin',(40.,38.,0.)); #441352=CARTESIAN_POINT('Origin',(40.,38.,0.)); #441353=CARTESIAN_POINT('',(39.825,38.,1.5)); #441354=CARTESIAN_POINT('Origin',(40.,38.,1.5)); #441355=CARTESIAN_POINT('',(39.825,38.,0.)); #441356=CARTESIAN_POINT('',(39.825,38.,0.)); #441357=CARTESIAN_POINT('Origin',(40.,38.,0.)); #441358=CARTESIAN_POINT('Origin',(40.,38.,1.5)); #441359=CARTESIAN_POINT('Origin',(40.,38.,0.)); #441360=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #441361=CARTESIAN_POINT('',(44.11,56.75,1.5)); #441362=CARTESIAN_POINT('Origin',(44.25,56.75,1.5)); #441363=CARTESIAN_POINT('',(44.11,56.75,0.)); #441364=CARTESIAN_POINT('',(44.11,56.75,0.)); #441365=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #441366=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #441367=CARTESIAN_POINT('',(44.075,56.75,1.5)); #441368=CARTESIAN_POINT('Origin',(44.25,56.75,1.5)); #441369=CARTESIAN_POINT('',(44.075,56.75,0.)); #441370=CARTESIAN_POINT('',(44.075,56.75,0.)); #441371=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #441372=CARTESIAN_POINT('Origin',(44.25,56.75,1.5)); #441373=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #441374=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #441375=CARTESIAN_POINT('',(33.86,15.5,1.5)); #441376=CARTESIAN_POINT('Origin',(34.,15.5,1.5)); #441377=CARTESIAN_POINT('',(33.86,15.5,0.)); #441378=CARTESIAN_POINT('',(33.86,15.5,0.)); #441379=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #441380=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #441381=CARTESIAN_POINT('',(33.825,15.5,1.5)); #441382=CARTESIAN_POINT('Origin',(34.,15.5,1.5)); #441383=CARTESIAN_POINT('',(33.825,15.5,0.)); #441384=CARTESIAN_POINT('',(33.825,15.5,0.)); #441385=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #441386=CARTESIAN_POINT('Origin',(34.,15.5,1.5)); #441387=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #441388=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #441389=CARTESIAN_POINT('',(41.11,36.,1.5)); #441390=CARTESIAN_POINT('Origin',(41.25,36.,1.5)); #441391=CARTESIAN_POINT('',(41.11,36.,0.)); #441392=CARTESIAN_POINT('',(41.11,36.,0.)); #441393=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #441394=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #441395=CARTESIAN_POINT('',(41.075,36.,1.5)); #441396=CARTESIAN_POINT('Origin',(41.25,36.,1.5)); #441397=CARTESIAN_POINT('',(41.075,36.,0.)); #441398=CARTESIAN_POINT('',(41.075,36.,0.)); #441399=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #441400=CARTESIAN_POINT('Origin',(41.25,36.,1.5)); #441401=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #441402=CARTESIAN_POINT('Origin',(28.,39.5,0.)); #441403=CARTESIAN_POINT('',(27.86,39.5,1.5)); #441404=CARTESIAN_POINT('Origin',(28.,39.5,1.5)); #441405=CARTESIAN_POINT('',(27.86,39.5,0.)); #441406=CARTESIAN_POINT('',(27.86,39.5,0.)); #441407=CARTESIAN_POINT('Origin',(28.,39.5,0.)); #441408=CARTESIAN_POINT('Origin',(28.,39.5,0.)); #441409=CARTESIAN_POINT('',(27.825,39.5,1.5)); #441410=CARTESIAN_POINT('Origin',(28.,39.5,1.5)); #441411=CARTESIAN_POINT('',(27.825,39.5,0.)); #441412=CARTESIAN_POINT('',(27.825,39.5,0.)); #441413=CARTESIAN_POINT('Origin',(28.,39.5,0.)); #441414=CARTESIAN_POINT('Origin',(28.,39.5,1.5)); #441415=CARTESIAN_POINT('Origin',(28.,39.5,0.)); #441416=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #441417=CARTESIAN_POINT('',(41.11,31.,1.5)); #441418=CARTESIAN_POINT('Origin',(41.25,31.,1.5)); #441419=CARTESIAN_POINT('',(41.11,31.,0.)); #441420=CARTESIAN_POINT('',(41.11,31.,0.)); #441421=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #441422=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #441423=CARTESIAN_POINT('',(41.075,31.,1.5)); #441424=CARTESIAN_POINT('Origin',(41.25,31.,1.5)); #441425=CARTESIAN_POINT('',(41.075,31.,0.)); #441426=CARTESIAN_POINT('',(41.075,31.,0.)); #441427=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #441428=CARTESIAN_POINT('Origin',(41.25,31.,1.5)); #441429=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #441430=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #441431=CARTESIAN_POINT('',(30.61,8.75,1.5)); #441432=CARTESIAN_POINT('Origin',(30.75,8.75,1.5)); #441433=CARTESIAN_POINT('',(30.61,8.75,0.)); #441434=CARTESIAN_POINT('',(30.61,8.75,0.)); #441435=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #441436=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #441437=CARTESIAN_POINT('',(30.575,8.75,1.5)); #441438=CARTESIAN_POINT('Origin',(30.75,8.75,1.5)); #441439=CARTESIAN_POINT('',(30.575,8.75,0.)); #441440=CARTESIAN_POINT('',(30.575,8.75,0.)); #441441=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #441442=CARTESIAN_POINT('Origin',(30.75,8.75,1.5)); #441443=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #441444=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #441445=CARTESIAN_POINT('',(51.985,46.25,1.5)); #441446=CARTESIAN_POINT('Origin',(52.125,46.25,1.5)); #441447=CARTESIAN_POINT('',(51.985,46.25,0.)); #441448=CARTESIAN_POINT('',(51.985,46.25,0.)); #441449=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #441450=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #441451=CARTESIAN_POINT('',(51.95,46.25,1.5)); #441452=CARTESIAN_POINT('Origin',(52.125,46.25,1.5)); #441453=CARTESIAN_POINT('',(51.95,46.25,0.)); #441454=CARTESIAN_POINT('',(51.95,46.25,0.)); #441455=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #441456=CARTESIAN_POINT('Origin',(52.125,46.25,1.5)); #441457=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #441458=CARTESIAN_POINT('Origin',(28.,38.,0.)); #441459=CARTESIAN_POINT('',(27.86,38.,1.5)); #441460=CARTESIAN_POINT('Origin',(28.,38.,1.5)); #441461=CARTESIAN_POINT('',(27.86,38.,0.)); #441462=CARTESIAN_POINT('',(27.86,38.,0.)); #441463=CARTESIAN_POINT('Origin',(28.,38.,0.)); #441464=CARTESIAN_POINT('Origin',(28.,38.,0.)); #441465=CARTESIAN_POINT('',(27.825,38.,1.5)); #441466=CARTESIAN_POINT('Origin',(28.,38.,1.5)); #441467=CARTESIAN_POINT('',(27.825,38.,0.)); #441468=CARTESIAN_POINT('',(27.825,38.,0.)); #441469=CARTESIAN_POINT('Origin',(28.,38.,0.)); #441470=CARTESIAN_POINT('Origin',(28.,38.,1.5)); #441471=CARTESIAN_POINT('Origin',(28.,38.,0.)); #441472=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #441473=CARTESIAN_POINT('',(51.11,46.25,1.5)); #441474=CARTESIAN_POINT('Origin',(51.25,46.25,1.5)); #441475=CARTESIAN_POINT('',(51.11,46.25,0.)); #441476=CARTESIAN_POINT('',(51.11,46.25,0.)); #441477=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #441478=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #441479=CARTESIAN_POINT('',(51.075,46.25,1.5)); #441480=CARTESIAN_POINT('Origin',(51.25,46.25,1.5)); #441481=CARTESIAN_POINT('',(51.075,46.25,0.)); #441482=CARTESIAN_POINT('',(51.075,46.25,0.)); #441483=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #441484=CARTESIAN_POINT('Origin',(51.25,46.25,1.5)); #441485=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #441486=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #441487=CARTESIAN_POINT('',(33.11,15.5,1.5)); #441488=CARTESIAN_POINT('Origin',(33.25,15.5,1.5)); #441489=CARTESIAN_POINT('',(33.11,15.5,0.)); #441490=CARTESIAN_POINT('',(33.11,15.5,0.)); #441491=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #441492=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #441493=CARTESIAN_POINT('',(33.075,15.5,1.5)); #441494=CARTESIAN_POINT('Origin',(33.25,15.5,1.5)); #441495=CARTESIAN_POINT('',(33.075,15.5,0.)); #441496=CARTESIAN_POINT('',(33.075,15.5,0.)); #441497=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #441498=CARTESIAN_POINT('Origin',(33.25,15.5,1.5)); #441499=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #441500=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #441501=CARTESIAN_POINT('',(51.985,47.,1.5)); #441502=CARTESIAN_POINT('Origin',(52.125,47.,1.5)); #441503=CARTESIAN_POINT('',(51.985,47.,0.)); #441504=CARTESIAN_POINT('',(51.985,47.,0.)); #441505=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #441506=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #441507=CARTESIAN_POINT('',(51.95,47.,1.5)); #441508=CARTESIAN_POINT('Origin',(52.125,47.,1.5)); #441509=CARTESIAN_POINT('',(51.95,47.,0.)); #441510=CARTESIAN_POINT('',(51.95,47.,0.)); #441511=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #441512=CARTESIAN_POINT('Origin',(52.125,47.,1.5)); #441513=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #441514=CARTESIAN_POINT('Origin',(28.,38.75,0.)); #441515=CARTESIAN_POINT('',(27.86,38.75,1.5)); #441516=CARTESIAN_POINT('Origin',(28.,38.75,1.5)); #441517=CARTESIAN_POINT('',(27.86,38.75,0.)); #441518=CARTESIAN_POINT('',(27.86,38.75,0.)); #441519=CARTESIAN_POINT('Origin',(28.,38.75,0.)); #441520=CARTESIAN_POINT('Origin',(28.,38.75,0.)); #441521=CARTESIAN_POINT('',(27.825,38.75,1.5)); #441522=CARTESIAN_POINT('Origin',(28.,38.75,1.5)); #441523=CARTESIAN_POINT('',(27.825,38.75,0.)); #441524=CARTESIAN_POINT('',(27.825,38.75,0.)); #441525=CARTESIAN_POINT('Origin',(28.,38.75,0.)); #441526=CARTESIAN_POINT('Origin',(28.,38.75,1.5)); #441527=CARTESIAN_POINT('Origin',(28.,38.75,0.)); #441528=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #441529=CARTESIAN_POINT('',(51.985,47.75,1.5)); #441530=CARTESIAN_POINT('Origin',(52.125,47.75,1.5)); #441531=CARTESIAN_POINT('',(51.985,47.75,0.)); #441532=CARTESIAN_POINT('',(51.985,47.75,0.)); #441533=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #441534=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #441535=CARTESIAN_POINT('',(51.95,47.75,1.5)); #441536=CARTESIAN_POINT('Origin',(52.125,47.75,1.5)); #441537=CARTESIAN_POINT('',(51.95,47.75,0.)); #441538=CARTESIAN_POINT('',(51.95,47.75,0.)); #441539=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #441540=CARTESIAN_POINT('Origin',(52.125,47.75,1.5)); #441541=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #441542=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #441543=CARTESIAN_POINT('',(33.535,25.25,1.5)); #441544=CARTESIAN_POINT('Origin',(34.,25.25,1.5)); #441545=CARTESIAN_POINT('',(33.535,25.25,0.)); #441546=CARTESIAN_POINT('',(33.535,25.25,0.)); #441547=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #441548=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #441549=CARTESIAN_POINT('',(33.5,25.25,1.5)); #441550=CARTESIAN_POINT('Origin',(34.,25.25,1.5)); #441551=CARTESIAN_POINT('',(33.5,25.25,0.)); #441552=CARTESIAN_POINT('',(33.5,25.25,0.)); #441553=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #441554=CARTESIAN_POINT('Origin',(34.,25.25,1.5)); #441555=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #441556=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #441557=CARTESIAN_POINT('',(45.86,55.25,1.5)); #441558=CARTESIAN_POINT('Origin',(46.,55.25,1.5)); #441559=CARTESIAN_POINT('',(45.86,55.25,0.)); #441560=CARTESIAN_POINT('',(45.86,55.25,0.)); #441561=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #441562=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #441563=CARTESIAN_POINT('',(45.825,55.25,1.5)); #441564=CARTESIAN_POINT('Origin',(46.,55.25,1.5)); #441565=CARTESIAN_POINT('',(45.825,55.25,0.)); #441566=CARTESIAN_POINT('',(45.825,55.25,0.)); #441567=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #441568=CARTESIAN_POINT('Origin',(46.,55.25,1.5)); #441569=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #441570=CARTESIAN_POINT('Origin',(40.,39.5,0.)); #441571=CARTESIAN_POINT('',(39.86,39.5,1.5)); #441572=CARTESIAN_POINT('Origin',(40.,39.5,1.5)); #441573=CARTESIAN_POINT('',(39.86,39.5,0.)); #441574=CARTESIAN_POINT('',(39.86,39.5,0.)); #441575=CARTESIAN_POINT('Origin',(40.,39.5,0.)); #441576=CARTESIAN_POINT('Origin',(40.,39.5,0.)); #441577=CARTESIAN_POINT('',(39.825,39.5,1.5)); #441578=CARTESIAN_POINT('Origin',(40.,39.5,1.5)); #441579=CARTESIAN_POINT('',(39.825,39.5,0.)); #441580=CARTESIAN_POINT('',(39.825,39.5,0.)); #441581=CARTESIAN_POINT('Origin',(40.,39.5,0.)); #441582=CARTESIAN_POINT('Origin',(40.,39.5,1.5)); #441583=CARTESIAN_POINT('Origin',(40.,39.5,0.)); #441584=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #441585=CARTESIAN_POINT('',(45.86,56.75,1.5)); #441586=CARTESIAN_POINT('Origin',(46.,56.75,1.5)); #441587=CARTESIAN_POINT('',(45.86,56.75,0.)); #441588=CARTESIAN_POINT('',(45.86,56.75,0.)); #441589=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #441590=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #441591=CARTESIAN_POINT('',(45.825,56.75,1.5)); #441592=CARTESIAN_POINT('Origin',(46.,56.75,1.5)); #441593=CARTESIAN_POINT('',(45.825,56.75,0.)); #441594=CARTESIAN_POINT('',(45.825,56.75,0.)); #441595=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #441596=CARTESIAN_POINT('Origin',(46.,56.75,1.5)); #441597=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #441598=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #441599=CARTESIAN_POINT('',(34.61,15.5,1.5)); #441600=CARTESIAN_POINT('Origin',(34.75,15.5,1.5)); #441601=CARTESIAN_POINT('',(34.61,15.5,0.)); #441602=CARTESIAN_POINT('',(34.61,15.5,0.)); #441603=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #441604=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #441605=CARTESIAN_POINT('',(34.575,15.5,1.5)); #441606=CARTESIAN_POINT('Origin',(34.75,15.5,1.5)); #441607=CARTESIAN_POINT('',(34.575,15.5,0.)); #441608=CARTESIAN_POINT('',(34.575,15.5,0.)); #441609=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #441610=CARTESIAN_POINT('Origin',(34.75,15.5,1.5)); #441611=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #441612=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #441613=CARTESIAN_POINT('',(44.11,56.,1.5)); #441614=CARTESIAN_POINT('Origin',(44.25,56.,1.5)); #441615=CARTESIAN_POINT('',(44.11,56.,0.)); #441616=CARTESIAN_POINT('',(44.11,56.,0.)); #441617=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #441618=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #441619=CARTESIAN_POINT('',(44.075,56.,1.5)); #441620=CARTESIAN_POINT('Origin',(44.25,56.,1.5)); #441621=CARTESIAN_POINT('',(44.075,56.,0.)); #441622=CARTESIAN_POINT('',(44.075,56.,0.)); #441623=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #441624=CARTESIAN_POINT('Origin',(44.25,56.,1.5)); #441625=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #441626=CARTESIAN_POINT('Origin',(40.,38.75,0.)); #441627=CARTESIAN_POINT('',(39.86,38.75,1.5)); #441628=CARTESIAN_POINT('Origin',(40.,38.75,1.5)); #441629=CARTESIAN_POINT('',(39.86,38.75,0.)); #441630=CARTESIAN_POINT('',(39.86,38.75,0.)); #441631=CARTESIAN_POINT('Origin',(40.,38.75,0.)); #441632=CARTESIAN_POINT('Origin',(40.,38.75,0.)); #441633=CARTESIAN_POINT('',(39.825,38.75,1.5)); #441634=CARTESIAN_POINT('Origin',(40.,38.75,1.5)); #441635=CARTESIAN_POINT('',(39.825,38.75,0.)); #441636=CARTESIAN_POINT('',(39.825,38.75,0.)); #441637=CARTESIAN_POINT('Origin',(40.,38.75,0.)); #441638=CARTESIAN_POINT('Origin',(40.,38.75,1.5)); #441639=CARTESIAN_POINT('Origin',(40.,38.75,0.)); #441640=CARTESIAN_POINT('Origin',(46.,56.,0.)); #441641=CARTESIAN_POINT('',(45.86,56.,1.5)); #441642=CARTESIAN_POINT('Origin',(46.,56.,1.5)); #441643=CARTESIAN_POINT('',(45.86,56.,0.)); #441644=CARTESIAN_POINT('',(45.86,56.,0.)); #441645=CARTESIAN_POINT('Origin',(46.,56.,0.)); #441646=CARTESIAN_POINT('Origin',(46.,56.,0.)); #441647=CARTESIAN_POINT('',(45.825,56.,1.5)); #441648=CARTESIAN_POINT('Origin',(46.,56.,1.5)); #441649=CARTESIAN_POINT('',(45.825,56.,0.)); #441650=CARTESIAN_POINT('',(45.825,56.,0.)); #441651=CARTESIAN_POINT('Origin',(46.,56.,0.)); #441652=CARTESIAN_POINT('Origin',(46.,56.,1.5)); #441653=CARTESIAN_POINT('Origin',(46.,56.,0.)); #441654=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #441655=CARTESIAN_POINT('',(30.61,9.5,1.5)); #441656=CARTESIAN_POINT('Origin',(30.75,9.5,1.5)); #441657=CARTESIAN_POINT('',(30.61,9.5,0.)); #441658=CARTESIAN_POINT('',(30.61,9.5,0.)); #441659=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #441660=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #441661=CARTESIAN_POINT('',(30.575,9.5,1.5)); #441662=CARTESIAN_POINT('Origin',(30.75,9.5,1.5)); #441663=CARTESIAN_POINT('',(30.575,9.5,0.)); #441664=CARTESIAN_POINT('',(30.575,9.5,0.)); #441665=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #441666=CARTESIAN_POINT('Origin',(30.75,9.5,1.5)); #441667=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #441668=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #441669=CARTESIAN_POINT('',(47.61,56.,1.5)); #441670=CARTESIAN_POINT('Origin',(47.75,56.,1.5)); #441671=CARTESIAN_POINT('',(47.61,56.,0.)); #441672=CARTESIAN_POINT('',(47.61,56.,0.)); #441673=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #441674=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #441675=CARTESIAN_POINT('',(47.575,56.,1.5)); #441676=CARTESIAN_POINT('Origin',(47.75,56.,1.5)); #441677=CARTESIAN_POINT('',(47.575,56.,0.)); #441678=CARTESIAN_POINT('',(47.575,56.,0.)); #441679=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #441680=CARTESIAN_POINT('Origin',(47.75,56.,1.5)); #441681=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #441682=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #441683=CARTESIAN_POINT('',(55.11,21.,1.5)); #441684=CARTESIAN_POINT('Origin',(55.25,21.,1.5)); #441685=CARTESIAN_POINT('',(55.11,21.,0.)); #441686=CARTESIAN_POINT('',(55.11,21.,0.)); #441687=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #441688=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #441689=CARTESIAN_POINT('',(55.075,21.,1.5)); #441690=CARTESIAN_POINT('Origin',(55.25,21.,1.5)); #441691=CARTESIAN_POINT('',(55.075,21.,0.)); #441692=CARTESIAN_POINT('',(55.075,21.,0.)); #441693=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #441694=CARTESIAN_POINT('Origin',(55.25,21.,1.5)); #441695=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #441696=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #441697=CARTESIAN_POINT('',(47.61,55.25,1.5)); #441698=CARTESIAN_POINT('Origin',(47.75,55.25,1.5)); #441699=CARTESIAN_POINT('',(47.61,55.25,0.)); #441700=CARTESIAN_POINT('',(47.61,55.25,0.)); #441701=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #441702=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #441703=CARTESIAN_POINT('',(47.575,55.25,1.5)); #441704=CARTESIAN_POINT('Origin',(47.75,55.25,1.5)); #441705=CARTESIAN_POINT('',(47.575,55.25,0.)); #441706=CARTESIAN_POINT('',(47.575,55.25,0.)); #441707=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #441708=CARTESIAN_POINT('Origin',(47.75,55.25,1.5)); #441709=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #441710=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #441711=CARTESIAN_POINT('',(35.36,15.5,1.5)); #441712=CARTESIAN_POINT('Origin',(35.5,15.5,1.5)); #441713=CARTESIAN_POINT('',(35.36,15.5,0.)); #441714=CARTESIAN_POINT('',(35.36,15.5,0.)); #441715=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #441716=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #441717=CARTESIAN_POINT('',(35.325,15.5,1.5)); #441718=CARTESIAN_POINT('Origin',(35.5,15.5,1.5)); #441719=CARTESIAN_POINT('',(35.325,15.5,0.)); #441720=CARTESIAN_POINT('',(35.325,15.5,0.)); #441721=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #441722=CARTESIAN_POINT('Origin',(35.5,15.5,1.5)); #441723=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #441724=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #441725=CARTESIAN_POINT('',(47.61,56.75,1.5)); #441726=CARTESIAN_POINT('Origin',(47.75,56.75,1.5)); #441727=CARTESIAN_POINT('',(47.61,56.75,0.)); #441728=CARTESIAN_POINT('',(47.61,56.75,0.)); #441729=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #441730=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #441731=CARTESIAN_POINT('',(47.575,56.75,1.5)); #441732=CARTESIAN_POINT('Origin',(47.75,56.75,1.5)); #441733=CARTESIAN_POINT('',(47.575,56.75,0.)); #441734=CARTESIAN_POINT('',(47.575,56.75,0.)); #441735=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #441736=CARTESIAN_POINT('Origin',(47.75,56.75,1.5)); #441737=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #441738=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #441739=CARTESIAN_POINT('',(55.11,21.75,1.5)); #441740=CARTESIAN_POINT('Origin',(55.25,21.75,1.5)); #441741=CARTESIAN_POINT('',(55.11,21.75,0.)); #441742=CARTESIAN_POINT('',(55.11,21.75,0.)); #441743=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #441744=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #441745=CARTESIAN_POINT('',(55.075,21.75,1.5)); #441746=CARTESIAN_POINT('Origin',(55.25,21.75,1.5)); #441747=CARTESIAN_POINT('',(55.075,21.75,0.)); #441748=CARTESIAN_POINT('',(55.075,21.75,0.)); #441749=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #441750=CARTESIAN_POINT('Origin',(55.25,21.75,1.5)); #441751=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #441752=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #441753=CARTESIAN_POINT('',(44.985,56.75,1.5)); #441754=CARTESIAN_POINT('Origin',(45.125,56.75,1.5)); #441755=CARTESIAN_POINT('',(44.985,56.75,0.)); #441756=CARTESIAN_POINT('',(44.985,56.75,0.)); #441757=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #441758=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #441759=CARTESIAN_POINT('',(44.95,56.75,1.5)); #441760=CARTESIAN_POINT('Origin',(45.125,56.75,1.5)); #441761=CARTESIAN_POINT('',(44.95,56.75,0.)); #441762=CARTESIAN_POINT('',(44.95,56.75,0.)); #441763=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #441764=CARTESIAN_POINT('Origin',(45.125,56.75,1.5)); #441765=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #441766=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #441767=CARTESIAN_POINT('',(32.165,45.,1.5)); #441768=CARTESIAN_POINT('Origin',(32.73,45.,1.5)); #441769=CARTESIAN_POINT('',(32.165,45.,0.)); #441770=CARTESIAN_POINT('',(32.165,45.,0.)); #441771=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #441772=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #441773=CARTESIAN_POINT('',(32.13,45.,1.5)); #441774=CARTESIAN_POINT('Origin',(32.73,45.,1.5)); #441775=CARTESIAN_POINT('',(32.13,45.,0.)); #441776=CARTESIAN_POINT('',(32.13,45.,0.)); #441777=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #441778=CARTESIAN_POINT('Origin',(32.73,45.,1.5)); #441779=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #441780=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #441781=CARTESIAN_POINT('',(15.11,21.,1.5)); #441782=CARTESIAN_POINT('Origin',(15.25,21.,1.5)); #441783=CARTESIAN_POINT('',(15.11,21.,0.)); #441784=CARTESIAN_POINT('',(15.11,21.,0.)); #441785=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #441786=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #441787=CARTESIAN_POINT('',(15.075,21.,1.5)); #441788=CARTESIAN_POINT('Origin',(15.25,21.,1.5)); #441789=CARTESIAN_POINT('',(15.075,21.,0.)); #441790=CARTESIAN_POINT('',(15.075,21.,0.)); #441791=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #441792=CARTESIAN_POINT('Origin',(15.25,21.,1.5)); #441793=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #441794=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #441795=CARTESIAN_POINT('',(31.61,17.75,1.5)); #441796=CARTESIAN_POINT('Origin',(31.75,17.75,1.5)); #441797=CARTESIAN_POINT('',(31.61,17.75,0.)); #441798=CARTESIAN_POINT('',(31.61,17.75,0.)); #441799=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #441800=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #441801=CARTESIAN_POINT('',(31.575,17.75,1.5)); #441802=CARTESIAN_POINT('Origin',(31.75,17.75,1.5)); #441803=CARTESIAN_POINT('',(31.575,17.75,0.)); #441804=CARTESIAN_POINT('',(31.575,17.75,0.)); #441805=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #441806=CARTESIAN_POINT('Origin',(31.75,17.75,1.5)); #441807=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #441808=CARTESIAN_POINT('Origin',(40.75,27.,0.)); #441809=CARTESIAN_POINT('',(40.61,27.,1.5)); #441810=CARTESIAN_POINT('Origin',(40.75,27.,1.5)); #441811=CARTESIAN_POINT('',(40.61,27.,0.)); #441812=CARTESIAN_POINT('',(40.61,27.,0.)); #441813=CARTESIAN_POINT('Origin',(40.75,27.,0.)); #441814=CARTESIAN_POINT('Origin',(40.75,27.,0.)); #441815=CARTESIAN_POINT('',(40.575,27.,1.5)); #441816=CARTESIAN_POINT('Origin',(40.75,27.,1.5)); #441817=CARTESIAN_POINT('',(40.575,27.,0.)); #441818=CARTESIAN_POINT('',(40.575,27.,0.)); #441819=CARTESIAN_POINT('Origin',(40.75,27.,0.)); #441820=CARTESIAN_POINT('Origin',(40.75,27.,1.5)); #441821=CARTESIAN_POINT('Origin',(40.75,27.,0.)); #441822=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #441823=CARTESIAN_POINT('',(33.11,17.75,1.5)); #441824=CARTESIAN_POINT('Origin',(33.25,17.75,1.5)); #441825=CARTESIAN_POINT('',(33.11,17.75,0.)); #441826=CARTESIAN_POINT('',(33.11,17.75,0.)); #441827=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #441828=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #441829=CARTESIAN_POINT('',(33.075,17.75,1.5)); #441830=CARTESIAN_POINT('Origin',(33.25,17.75,1.5)); #441831=CARTESIAN_POINT('',(33.075,17.75,0.)); #441832=CARTESIAN_POINT('',(33.075,17.75,0.)); #441833=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #441834=CARTESIAN_POINT('Origin',(33.25,17.75,1.5)); #441835=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #441836=CARTESIAN_POINT('Origin',(38.635,7.07999999155961,0.)); #441837=CARTESIAN_POINT('',(38.635,7.07999999155961,0.)); #441838=CARTESIAN_POINT('',(38.635,6.27999997467882,0.)); #441839=CARTESIAN_POINT('',(38.635,7.07999999155961,0.)); #441840=CARTESIAN_POINT('',(38.635,6.27999997467882,1.5)); #441841=CARTESIAN_POINT('',(38.635,6.27999997467882,0.)); #441842=CARTESIAN_POINT('',(38.635,7.07999999155961,1.5)); #441843=CARTESIAN_POINT('',(38.635,7.07999999155961,1.5)); #441844=CARTESIAN_POINT('',(38.635,7.07999999155961,0.)); #441845=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #441846=CARTESIAN_POINT('',(38.005,7.08000002532118,0.)); #441847=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #441848=CARTESIAN_POINT('',(38.005,7.08000002532118,1.5)); #441849=CARTESIAN_POINT('Origin',(38.32,7.08,1.5)); #441850=CARTESIAN_POINT('',(38.005,7.08000002532118,0.)); #441851=CARTESIAN_POINT('Origin',(38.005,6.28000000844039,0.)); #441852=CARTESIAN_POINT('',(38.005,6.28000000844039,0.)); #441853=CARTESIAN_POINT('',(38.005,6.28000000844039,0.)); #441854=CARTESIAN_POINT('',(38.005,6.28000000844039,1.5)); #441855=CARTESIAN_POINT('',(38.005,6.28000000844039,1.5)); #441856=CARTESIAN_POINT('',(38.005,6.28000000844039,0.)); #441857=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #441858=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #441859=CARTESIAN_POINT('Origin',(38.32,6.28,1.5)); #441860=CARTESIAN_POINT('Origin',(37.97,7.08000002813464,0.)); #441861=CARTESIAN_POINT('',(37.97,6.28000000937821,0.)); #441862=CARTESIAN_POINT('',(37.97,7.08000002813464,0.)); #441863=CARTESIAN_POINT('',(37.97,6.28000000937821,0.)); #441864=CARTESIAN_POINT('',(37.97,6.28000000937821,1.5)); #441865=CARTESIAN_POINT('',(37.97,6.28000000937821,0.)); #441866=CARTESIAN_POINT('',(37.97,7.08000002813464,1.5)); #441867=CARTESIAN_POINT('',(37.97,6.28000000937821,1.5)); #441868=CARTESIAN_POINT('',(37.97,7.08000002813464,0.)); #441869=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #441870=CARTESIAN_POINT('',(38.67,7.07999999062179,0.)); #441871=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #441872=CARTESIAN_POINT('',(38.67,7.07999999062179,1.5)); #441873=CARTESIAN_POINT('Origin',(38.32,7.08,1.5)); #441874=CARTESIAN_POINT('',(38.67,7.07999999062179,0.)); #441875=CARTESIAN_POINT('Origin',(38.67,6.27999997186536,0.)); #441876=CARTESIAN_POINT('',(38.67,6.27999997186536,0.)); #441877=CARTESIAN_POINT('',(38.67,7.07999999062179,0.)); #441878=CARTESIAN_POINT('',(38.67,6.27999997186536,1.5)); #441879=CARTESIAN_POINT('',(38.67,7.07999999062179,1.5)); #441880=CARTESIAN_POINT('',(38.67,6.27999997186536,0.)); #441881=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #441882=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #441883=CARTESIAN_POINT('Origin',(38.32,6.28,1.5)); #441884=CARTESIAN_POINT('Origin',(38.32,6.68,1.5)); #441885=CARTESIAN_POINT('Origin',(38.32,6.68,0.)); #441886=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #441887=CARTESIAN_POINT('',(33.86,23.125,1.5)); #441888=CARTESIAN_POINT('Origin',(34.,23.125,1.5)); #441889=CARTESIAN_POINT('',(33.86,23.125,0.)); #441890=CARTESIAN_POINT('',(33.86,23.125,0.)); #441891=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #441892=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #441893=CARTESIAN_POINT('',(33.825,23.125,1.5)); #441894=CARTESIAN_POINT('Origin',(34.,23.125,1.5)); #441895=CARTESIAN_POINT('',(33.825,23.125,0.)); #441896=CARTESIAN_POINT('',(33.825,23.125,0.)); #441897=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #441898=CARTESIAN_POINT('Origin',(34.,23.125,1.5)); #441899=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #441900=CARTESIAN_POINT('Origin',(38.635,2.89999999155961,0.)); #441901=CARTESIAN_POINT('',(38.635,2.89999999155961,0.)); #441902=CARTESIAN_POINT('',(38.635,2.09999997467882,0.)); #441903=CARTESIAN_POINT('',(38.635,2.89999999155961,0.)); #441904=CARTESIAN_POINT('',(38.635,2.09999997467882,1.5)); #441905=CARTESIAN_POINT('',(38.635,2.09999997467882,0.)); #441906=CARTESIAN_POINT('',(38.635,2.89999999155961,1.5)); #441907=CARTESIAN_POINT('',(38.635,2.89999999155961,1.5)); #441908=CARTESIAN_POINT('',(38.635,2.89999999155961,0.)); #441909=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #441910=CARTESIAN_POINT('',(38.005,2.90000002532118,0.)); #441911=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #441912=CARTESIAN_POINT('',(38.005,2.90000002532118,1.5)); #441913=CARTESIAN_POINT('Origin',(38.32,2.9,1.5)); #441914=CARTESIAN_POINT('',(38.005,2.90000002532118,0.)); #441915=CARTESIAN_POINT('Origin',(38.005,2.10000000844039,0.)); #441916=CARTESIAN_POINT('',(38.005,2.10000000844039,0.)); #441917=CARTESIAN_POINT('',(38.005,2.10000000844039,0.)); #441918=CARTESIAN_POINT('',(38.005,2.10000000844039,1.5)); #441919=CARTESIAN_POINT('',(38.005,2.10000000844039,1.5)); #441920=CARTESIAN_POINT('',(38.005,2.10000000844039,0.)); #441921=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #441922=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #441923=CARTESIAN_POINT('Origin',(38.32,2.1,1.5)); #441924=CARTESIAN_POINT('Origin',(37.97,2.90000002813464,0.)); #441925=CARTESIAN_POINT('',(37.97,2.10000000937821,0.)); #441926=CARTESIAN_POINT('',(37.97,2.90000002813464,0.)); #441927=CARTESIAN_POINT('',(37.97,2.10000000937821,0.)); #441928=CARTESIAN_POINT('',(37.97,2.10000000937821,1.5)); #441929=CARTESIAN_POINT('',(37.97,2.10000000937821,0.)); #441930=CARTESIAN_POINT('',(37.97,2.90000002813464,1.5)); #441931=CARTESIAN_POINT('',(37.97,2.10000000937821,1.5)); #441932=CARTESIAN_POINT('',(37.97,2.90000002813464,0.)); #441933=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #441934=CARTESIAN_POINT('',(38.67,2.89999999062179,0.)); #441935=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #441936=CARTESIAN_POINT('',(38.67,2.89999999062179,1.5)); #441937=CARTESIAN_POINT('Origin',(38.32,2.9,1.5)); #441938=CARTESIAN_POINT('',(38.67,2.89999999062179,0.)); #441939=CARTESIAN_POINT('Origin',(38.67,2.09999997186536,0.)); #441940=CARTESIAN_POINT('',(38.67,2.09999997186536,0.)); #441941=CARTESIAN_POINT('',(38.67,2.89999999062179,0.)); #441942=CARTESIAN_POINT('',(38.67,2.09999997186536,1.5)); #441943=CARTESIAN_POINT('',(38.67,2.89999999062179,1.5)); #441944=CARTESIAN_POINT('',(38.67,2.09999997186536,0.)); #441945=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #441946=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #441947=CARTESIAN_POINT('Origin',(38.32,2.1,1.5)); #441948=CARTESIAN_POINT('Origin',(38.32,2.5,1.5)); #441949=CARTESIAN_POINT('Origin',(38.32,2.5,0.)); #441950=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #441951=CARTESIAN_POINT('',(31.61,17.,1.5)); #441952=CARTESIAN_POINT('Origin',(31.75,17.,1.5)); #441953=CARTESIAN_POINT('',(31.61,17.,0.)); #441954=CARTESIAN_POINT('',(31.61,17.,0.)); #441955=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #441956=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #441957=CARTESIAN_POINT('',(31.575,17.,1.5)); #441958=CARTESIAN_POINT('Origin',(31.75,17.,1.5)); #441959=CARTESIAN_POINT('',(31.575,17.,0.)); #441960=CARTESIAN_POINT('',(31.575,17.,0.)); #441961=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #441962=CARTESIAN_POINT('Origin',(31.75,17.,1.5)); #441963=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #441964=CARTESIAN_POINT('Origin',(29.995,7.07999999155961,0.)); #441965=CARTESIAN_POINT('',(29.995,7.07999999155961,0.)); #441966=CARTESIAN_POINT('',(29.995,6.27999997467882,0.)); #441967=CARTESIAN_POINT('',(29.995,7.07999999155961,0.)); #441968=CARTESIAN_POINT('',(29.995,6.27999997467882,1.5)); #441969=CARTESIAN_POINT('',(29.995,6.27999997467882,0.)); #441970=CARTESIAN_POINT('',(29.995,7.07999999155961,1.5)); #441971=CARTESIAN_POINT('',(29.995,7.07999999155961,1.5)); #441972=CARTESIAN_POINT('',(29.995,7.07999999155961,0.)); #441973=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #441974=CARTESIAN_POINT('',(29.365,7.08000002532118,0.)); #441975=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #441976=CARTESIAN_POINT('',(29.365,7.08000002532118,1.5)); #441977=CARTESIAN_POINT('Origin',(29.68,7.08,1.5)); #441978=CARTESIAN_POINT('',(29.365,7.08000002532118,0.)); #441979=CARTESIAN_POINT('Origin',(29.365,6.28000000844039,0.)); #441980=CARTESIAN_POINT('',(29.365,6.28000000844039,0.)); #441981=CARTESIAN_POINT('',(29.365,6.28000000844039,0.)); #441982=CARTESIAN_POINT('',(29.365,6.28000000844039,1.5)); #441983=CARTESIAN_POINT('',(29.365,6.28000000844039,1.5)); #441984=CARTESIAN_POINT('',(29.365,6.28000000844039,0.)); #441985=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #441986=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #441987=CARTESIAN_POINT('Origin',(29.68,6.28,1.5)); #441988=CARTESIAN_POINT('Origin',(29.33,7.08000002813464,0.)); #441989=CARTESIAN_POINT('',(29.33,6.28000000937821,0.)); #441990=CARTESIAN_POINT('',(29.33,7.08000002813464,0.)); #441991=CARTESIAN_POINT('',(29.33,6.28000000937821,0.)); #441992=CARTESIAN_POINT('',(29.33,6.28000000937821,1.5)); #441993=CARTESIAN_POINT('',(29.33,6.28000000937821,0.)); #441994=CARTESIAN_POINT('',(29.33,7.08000002813464,1.5)); #441995=CARTESIAN_POINT('',(29.33,6.28000000937821,1.5)); #441996=CARTESIAN_POINT('',(29.33,7.08000002813464,0.)); #441997=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #441998=CARTESIAN_POINT('',(30.03,7.07999999062179,0.)); #441999=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #442000=CARTESIAN_POINT('',(30.03,7.07999999062179,1.5)); #442001=CARTESIAN_POINT('Origin',(29.68,7.08,1.5)); #442002=CARTESIAN_POINT('',(30.03,7.07999999062179,0.)); #442003=CARTESIAN_POINT('Origin',(30.03,6.27999997186536,0.)); #442004=CARTESIAN_POINT('',(30.03,6.27999997186536,0.)); #442005=CARTESIAN_POINT('',(30.03,7.07999999062179,0.)); #442006=CARTESIAN_POINT('',(30.03,6.27999997186536,1.5)); #442007=CARTESIAN_POINT('',(30.03,7.07999999062179,1.5)); #442008=CARTESIAN_POINT('',(30.03,6.27999997186536,0.)); #442009=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #442010=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #442011=CARTESIAN_POINT('Origin',(29.68,6.28,1.5)); #442012=CARTESIAN_POINT('Origin',(29.68,6.68,1.5)); #442013=CARTESIAN_POINT('Origin',(29.68,6.68,0.)); #442014=CARTESIAN_POINT('Origin',(42.25,28.5,0.)); #442015=CARTESIAN_POINT('',(42.11,28.5,1.5)); #442016=CARTESIAN_POINT('Origin',(42.25,28.5,1.5)); #442017=CARTESIAN_POINT('',(42.11,28.5,0.)); #442018=CARTESIAN_POINT('',(42.11,28.5,0.)); #442019=CARTESIAN_POINT('Origin',(42.25,28.5,0.)); #442020=CARTESIAN_POINT('Origin',(42.25,28.5,0.)); #442021=CARTESIAN_POINT('',(42.075,28.5,1.5)); #442022=CARTESIAN_POINT('Origin',(42.25,28.5,1.5)); #442023=CARTESIAN_POINT('',(42.075,28.5,0.)); #442024=CARTESIAN_POINT('',(42.075,28.5,0.)); #442025=CARTESIAN_POINT('Origin',(42.25,28.5,0.)); #442026=CARTESIAN_POINT('Origin',(42.25,28.5,1.5)); #442027=CARTESIAN_POINT('Origin',(42.25,28.5,0.)); #442028=CARTESIAN_POINT('Origin',(34.75,55.,0.)); #442029=CARTESIAN_POINT('',(34.61,55.,1.5)); #442030=CARTESIAN_POINT('Origin',(34.75,55.,1.5)); #442031=CARTESIAN_POINT('',(34.61,55.,0.)); #442032=CARTESIAN_POINT('',(34.61,55.,0.)); #442033=CARTESIAN_POINT('Origin',(34.75,55.,0.)); #442034=CARTESIAN_POINT('Origin',(34.75,55.,0.)); #442035=CARTESIAN_POINT('',(34.575,55.,1.5)); #442036=CARTESIAN_POINT('Origin',(34.75,55.,1.5)); #442037=CARTESIAN_POINT('',(34.575,55.,0.)); #442038=CARTESIAN_POINT('',(34.575,55.,0.)); #442039=CARTESIAN_POINT('Origin',(34.75,55.,0.)); #442040=CARTESIAN_POINT('Origin',(34.75,55.,1.5)); #442041=CARTESIAN_POINT('Origin',(34.75,55.,0.)); #442042=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #442043=CARTESIAN_POINT('',(30.685,7.1,1.5)); #442044=CARTESIAN_POINT('Origin',(30.8,7.1,1.5)); #442045=CARTESIAN_POINT('',(30.685,7.1,0.)); #442046=CARTESIAN_POINT('',(30.685,7.1,0.)); #442047=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #442048=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #442049=CARTESIAN_POINT('',(30.65,7.1,1.5)); #442050=CARTESIAN_POINT('Origin',(30.8,7.1,1.5)); #442051=CARTESIAN_POINT('',(30.65,7.1,0.)); #442052=CARTESIAN_POINT('',(30.65,7.1,0.)); #442053=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #442054=CARTESIAN_POINT('Origin',(30.8,7.1,1.5)); #442055=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #442056=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #442057=CARTESIAN_POINT('',(33.61,6.2426,1.5)); #442058=CARTESIAN_POINT('Origin',(33.75,6.2426,1.5)); #442059=CARTESIAN_POINT('',(33.61,6.2426,0.)); #442060=CARTESIAN_POINT('',(33.61,6.2426,0.)); #442061=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #442062=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #442063=CARTESIAN_POINT('',(33.575,6.2426,1.5)); #442064=CARTESIAN_POINT('Origin',(33.75,6.2426,1.5)); #442065=CARTESIAN_POINT('',(33.575,6.2426,0.)); #442066=CARTESIAN_POINT('',(33.575,6.2426,0.)); #442067=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #442068=CARTESIAN_POINT('Origin',(33.75,6.2426,1.5)); #442069=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #442070=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #442071=CARTESIAN_POINT('',(37.085,7.6,1.5)); #442072=CARTESIAN_POINT('Origin',(37.2,7.6,1.5)); #442073=CARTESIAN_POINT('',(37.085,7.6,0.)); #442074=CARTESIAN_POINT('',(37.085,7.6,0.)); #442075=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #442076=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #442077=CARTESIAN_POINT('',(37.05,7.6,1.5)); #442078=CARTESIAN_POINT('Origin',(37.2,7.6,1.5)); #442079=CARTESIAN_POINT('',(37.05,7.6,0.)); #442080=CARTESIAN_POINT('',(37.05,7.6,0.)); #442081=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #442082=CARTESIAN_POINT('Origin',(37.2,7.6,1.5)); #442083=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #442084=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #442085=CARTESIAN_POINT('',(31.61,15.5,1.5)); #442086=CARTESIAN_POINT('Origin',(31.75,15.5,1.5)); #442087=CARTESIAN_POINT('',(31.61,15.5,0.)); #442088=CARTESIAN_POINT('',(31.61,15.5,0.)); #442089=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #442090=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #442091=CARTESIAN_POINT('',(31.575,15.5,1.5)); #442092=CARTESIAN_POINT('Origin',(31.75,15.5,1.5)); #442093=CARTESIAN_POINT('',(31.575,15.5,0.)); #442094=CARTESIAN_POINT('',(31.575,15.5,0.)); #442095=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #442096=CARTESIAN_POINT('Origin',(31.75,15.5,1.5)); #442097=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #442098=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #442099=CARTESIAN_POINT('',(31.485,7.1,1.5)); #442100=CARTESIAN_POINT('Origin',(31.6,7.1,1.5)); #442101=CARTESIAN_POINT('',(31.485,7.1,0.)); #442102=CARTESIAN_POINT('',(31.485,7.1,0.)); #442103=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #442104=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #442105=CARTESIAN_POINT('',(31.45,7.1,1.5)); #442106=CARTESIAN_POINT('Origin',(31.6,7.1,1.5)); #442107=CARTESIAN_POINT('',(31.45,7.1,0.)); #442108=CARTESIAN_POINT('',(31.45,7.1,0.)); #442109=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #442110=CARTESIAN_POINT('Origin',(31.6,7.1,1.5)); #442111=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #442112=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #442113=CARTESIAN_POINT('',(32.61,8.25,1.5)); #442114=CARTESIAN_POINT('Origin',(32.75,8.25,1.5)); #442115=CARTESIAN_POINT('',(32.61,8.25,0.)); #442116=CARTESIAN_POINT('',(32.61,8.25,0.)); #442117=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #442118=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #442119=CARTESIAN_POINT('',(32.575,8.25,1.5)); #442120=CARTESIAN_POINT('Origin',(32.75,8.25,1.5)); #442121=CARTESIAN_POINT('',(32.575,8.25,0.)); #442122=CARTESIAN_POINT('',(32.575,8.25,0.)); #442123=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #442124=CARTESIAN_POINT('Origin',(32.75,8.25,1.5)); #442125=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #442126=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #442127=CARTESIAN_POINT('',(37.085,7.1,1.5)); #442128=CARTESIAN_POINT('Origin',(37.2,7.1,1.5)); #442129=CARTESIAN_POINT('',(37.085,7.1,0.)); #442130=CARTESIAN_POINT('',(37.085,7.1,0.)); #442131=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #442132=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #442133=CARTESIAN_POINT('',(37.05,7.1,1.5)); #442134=CARTESIAN_POINT('Origin',(37.2,7.1,1.5)); #442135=CARTESIAN_POINT('',(37.05,7.1,0.)); #442136=CARTESIAN_POINT('',(37.05,7.1,0.)); #442137=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #442138=CARTESIAN_POINT('Origin',(37.2,7.1,1.5)); #442139=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #442140=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #442141=CARTESIAN_POINT('',(34.61,17.75,1.5)); #442142=CARTESIAN_POINT('Origin',(34.75,17.75,1.5)); #442143=CARTESIAN_POINT('',(34.61,17.75,0.)); #442144=CARTESIAN_POINT('',(34.61,17.75,0.)); #442145=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #442146=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #442147=CARTESIAN_POINT('',(34.575,17.75,1.5)); #442148=CARTESIAN_POINT('Origin',(34.75,17.75,1.5)); #442149=CARTESIAN_POINT('',(34.575,17.75,0.)); #442150=CARTESIAN_POINT('',(34.575,17.75,0.)); #442151=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #442152=CARTESIAN_POINT('Origin',(34.75,17.75,1.5)); #442153=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #442154=CARTESIAN_POINT('Origin',(38.5500000151391,34.565,0.)); #442155=CARTESIAN_POINT('',(38.5500000151391,34.565,0.)); #442156=CARTESIAN_POINT('',(39.1500000454174,34.565,0.)); #442157=CARTESIAN_POINT('',(38.5500000151391,34.565,0.)); #442158=CARTESIAN_POINT('',(39.1500000454174,34.565,1.5)); #442159=CARTESIAN_POINT('',(39.1500000454174,34.565,0.)); #442160=CARTESIAN_POINT('',(38.5500000151391,34.565,1.5)); #442161=CARTESIAN_POINT('',(38.5500000151391,34.565,1.5)); #442162=CARTESIAN_POINT('',(38.5500000151391,34.565,0.)); #442163=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #442164=CARTESIAN_POINT('',(38.5499999545827,33.435,0.)); #442165=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #442166=CARTESIAN_POINT('',(38.5499999545827,33.435,1.5)); #442167=CARTESIAN_POINT('Origin',(38.55,34.,1.5)); #442168=CARTESIAN_POINT('',(38.5499999545827,33.435,0.)); #442169=CARTESIAN_POINT('Origin',(39.1499999848609,33.435,0.)); #442170=CARTESIAN_POINT('',(39.1499999848609,33.435,0.)); #442171=CARTESIAN_POINT('',(39.1499999848609,33.435,0.)); #442172=CARTESIAN_POINT('',(39.1499999848609,33.435,1.5)); #442173=CARTESIAN_POINT('',(39.1499999848609,33.435,1.5)); #442174=CARTESIAN_POINT('',(39.1499999848609,33.435,0.)); #442175=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #442176=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #442177=CARTESIAN_POINT('Origin',(39.15,34.,1.5)); #442178=CARTESIAN_POINT('Origin',(38.5499999517692,33.4,0.)); #442179=CARTESIAN_POINT('',(39.1499999839231,33.4,0.)); #442180=CARTESIAN_POINT('',(38.5499999517692,33.4,0.)); #442181=CARTESIAN_POINT('',(39.1499999839231,33.4,0.)); #442182=CARTESIAN_POINT('',(39.1499999839231,33.4,1.5)); #442183=CARTESIAN_POINT('',(39.1499999839231,33.4,0.)); #442184=CARTESIAN_POINT('',(38.5499999517692,33.4,1.5)); #442185=CARTESIAN_POINT('',(39.1499999839231,33.4,1.5)); #442186=CARTESIAN_POINT('',(38.5499999517692,33.4,0.)); #442187=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #442188=CARTESIAN_POINT('',(38.5500000160769,34.6,0.)); #442189=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #442190=CARTESIAN_POINT('',(38.5500000160769,34.6,1.5)); #442191=CARTESIAN_POINT('Origin',(38.55,34.,1.5)); #442192=CARTESIAN_POINT('',(38.5500000160769,34.6,0.)); #442193=CARTESIAN_POINT('Origin',(39.1500000482308,34.6,0.)); #442194=CARTESIAN_POINT('',(39.1500000482308,34.6,0.)); #442195=CARTESIAN_POINT('',(38.5500000160769,34.6,0.)); #442196=CARTESIAN_POINT('',(39.1500000482308,34.6,1.5)); #442197=CARTESIAN_POINT('',(38.5500000160769,34.6,1.5)); #442198=CARTESIAN_POINT('',(39.1500000482308,34.6,0.)); #442199=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #442200=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #442201=CARTESIAN_POINT('Origin',(39.15,34.,1.5)); #442202=CARTESIAN_POINT('Origin',(38.85,34.,1.5)); #442203=CARTESIAN_POINT('Origin',(38.85,34.,0.)); #442204=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #442205=CARTESIAN_POINT('',(34.11,8.25,1.5)); #442206=CARTESIAN_POINT('Origin',(34.25,8.25,1.5)); #442207=CARTESIAN_POINT('',(34.11,8.25,0.)); #442208=CARTESIAN_POINT('',(34.11,8.25,0.)); #442209=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #442210=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #442211=CARTESIAN_POINT('',(34.075,8.25,1.5)); #442212=CARTESIAN_POINT('Origin',(34.25,8.25,1.5)); #442213=CARTESIAN_POINT('',(34.075,8.25,0.)); #442214=CARTESIAN_POINT('',(34.075,8.25,0.)); #442215=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #442216=CARTESIAN_POINT('Origin',(34.25,8.25,1.5)); #442217=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #442218=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #442219=CARTESIAN_POINT('',(30.685,7.6,1.5)); #442220=CARTESIAN_POINT('Origin',(30.8,7.6,1.5)); #442221=CARTESIAN_POINT('',(30.685,7.6,0.)); #442222=CARTESIAN_POINT('',(30.685,7.6,0.)); #442223=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #442224=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #442225=CARTESIAN_POINT('',(30.65,7.6,1.5)); #442226=CARTESIAN_POINT('Origin',(30.8,7.6,1.5)); #442227=CARTESIAN_POINT('',(30.65,7.6,0.)); #442228=CARTESIAN_POINT('',(30.65,7.6,0.)); #442229=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #442230=CARTESIAN_POINT('Origin',(30.8,7.6,1.5)); #442231=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #442232=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #442233=CARTESIAN_POINT('',(31.61,16.25,1.5)); #442234=CARTESIAN_POINT('Origin',(31.75,16.25,1.5)); #442235=CARTESIAN_POINT('',(31.61,16.25,0.)); #442236=CARTESIAN_POINT('',(31.61,16.25,0.)); #442237=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #442238=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #442239=CARTESIAN_POINT('',(31.575,16.25,1.5)); #442240=CARTESIAN_POINT('Origin',(31.75,16.25,1.5)); #442241=CARTESIAN_POINT('',(31.575,16.25,0.)); #442242=CARTESIAN_POINT('',(31.575,16.25,0.)); #442243=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #442244=CARTESIAN_POINT('Origin',(31.75,16.25,1.5)); #442245=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #442246=CARTESIAN_POINT('Origin',(28.8500000151391,34.565,0.)); #442247=CARTESIAN_POINT('',(28.8500000151391,34.565,0.)); #442248=CARTESIAN_POINT('',(29.4500000454174,34.565,0.)); #442249=CARTESIAN_POINT('',(28.8500000151391,34.565,0.)); #442250=CARTESIAN_POINT('',(29.4500000454174,34.565,1.5)); #442251=CARTESIAN_POINT('',(29.4500000454174,34.565,0.)); #442252=CARTESIAN_POINT('',(28.8500000151391,34.565,1.5)); #442253=CARTESIAN_POINT('',(28.8500000151391,34.565,1.5)); #442254=CARTESIAN_POINT('',(28.8500000151391,34.565,0.)); #442255=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #442256=CARTESIAN_POINT('',(28.8499999545827,33.435,0.)); #442257=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #442258=CARTESIAN_POINT('',(28.8499999545827,33.435,1.5)); #442259=CARTESIAN_POINT('Origin',(28.85,34.,1.5)); #442260=CARTESIAN_POINT('',(28.8499999545827,33.435,0.)); #442261=CARTESIAN_POINT('Origin',(29.4499999848609,33.435,0.)); #442262=CARTESIAN_POINT('',(29.4499999848609,33.435,0.)); #442263=CARTESIAN_POINT('',(29.4499999848609,33.435,0.)); #442264=CARTESIAN_POINT('',(29.4499999848609,33.435,1.5)); #442265=CARTESIAN_POINT('',(29.4499999848609,33.435,1.5)); #442266=CARTESIAN_POINT('',(29.4499999848609,33.435,0.)); #442267=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #442268=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #442269=CARTESIAN_POINT('Origin',(29.45,34.,1.5)); #442270=CARTESIAN_POINT('Origin',(28.8499999517692,33.4,0.)); #442271=CARTESIAN_POINT('',(29.4499999839231,33.4,0.)); #442272=CARTESIAN_POINT('',(28.8499999517692,33.4,0.)); #442273=CARTESIAN_POINT('',(29.4499999839231,33.4,0.)); #442274=CARTESIAN_POINT('',(29.4499999839231,33.4,1.5)); #442275=CARTESIAN_POINT('',(29.4499999839231,33.4,0.)); #442276=CARTESIAN_POINT('',(28.8499999517692,33.4,1.5)); #442277=CARTESIAN_POINT('',(29.4499999839231,33.4,1.5)); #442278=CARTESIAN_POINT('',(28.8499999517692,33.4,0.)); #442279=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #442280=CARTESIAN_POINT('',(28.8500000160769,34.6,0.)); #442281=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #442282=CARTESIAN_POINT('',(28.8500000160769,34.6,1.5)); #442283=CARTESIAN_POINT('Origin',(28.85,34.,1.5)); #442284=CARTESIAN_POINT('',(28.8500000160769,34.6,0.)); #442285=CARTESIAN_POINT('Origin',(29.4500000482308,34.6,0.)); #442286=CARTESIAN_POINT('',(29.4500000482308,34.6,0.)); #442287=CARTESIAN_POINT('',(28.8500000160769,34.6,0.)); #442288=CARTESIAN_POINT('',(29.4500000482308,34.6,1.5)); #442289=CARTESIAN_POINT('',(28.8500000160769,34.6,1.5)); #442290=CARTESIAN_POINT('',(29.4500000482308,34.6,0.)); #442291=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #442292=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #442293=CARTESIAN_POINT('Origin',(29.45,34.,1.5)); #442294=CARTESIAN_POINT('Origin',(29.15,34.,1.5)); #442295=CARTESIAN_POINT('Origin',(29.15,34.,0.)); #442296=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #442297=CARTESIAN_POINT('',(35.11,6.25,1.5)); #442298=CARTESIAN_POINT('Origin',(35.25,6.25,1.5)); #442299=CARTESIAN_POINT('',(35.11,6.25,0.)); #442300=CARTESIAN_POINT('',(35.11,6.25,0.)); #442301=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #442302=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #442303=CARTESIAN_POINT('',(35.075,6.25,1.5)); #442304=CARTESIAN_POINT('Origin',(35.25,6.25,1.5)); #442305=CARTESIAN_POINT('',(35.075,6.25,0.)); #442306=CARTESIAN_POINT('',(35.075,6.25,0.)); #442307=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #442308=CARTESIAN_POINT('Origin',(35.25,6.25,1.5)); #442309=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #442310=CARTESIAN_POINT('Origin',(29.995,2.89999999155961,0.)); #442311=CARTESIAN_POINT('',(29.995,2.89999999155961,0.)); #442312=CARTESIAN_POINT('',(29.995,2.09999997467882,0.)); #442313=CARTESIAN_POINT('',(29.995,2.89999999155961,0.)); #442314=CARTESIAN_POINT('',(29.995,2.09999997467882,1.5)); #442315=CARTESIAN_POINT('',(29.995,2.09999997467882,0.)); #442316=CARTESIAN_POINT('',(29.995,2.89999999155961,1.5)); #442317=CARTESIAN_POINT('',(29.995,2.89999999155961,1.5)); #442318=CARTESIAN_POINT('',(29.995,2.89999999155961,0.)); #442319=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #442320=CARTESIAN_POINT('',(29.365,2.90000002532118,0.)); #442321=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #442322=CARTESIAN_POINT('',(29.365,2.90000002532118,1.5)); #442323=CARTESIAN_POINT('Origin',(29.68,2.9,1.5)); #442324=CARTESIAN_POINT('',(29.365,2.90000002532118,0.)); #442325=CARTESIAN_POINT('Origin',(29.365,2.10000000844039,0.)); #442326=CARTESIAN_POINT('',(29.365,2.10000000844039,0.)); #442327=CARTESIAN_POINT('',(29.365,2.10000000844039,0.)); #442328=CARTESIAN_POINT('',(29.365,2.10000000844039,1.5)); #442329=CARTESIAN_POINT('',(29.365,2.10000000844039,1.5)); #442330=CARTESIAN_POINT('',(29.365,2.10000000844039,0.)); #442331=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #442332=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #442333=CARTESIAN_POINT('Origin',(29.68,2.1,1.5)); #442334=CARTESIAN_POINT('Origin',(29.33,2.90000002813464,0.)); #442335=CARTESIAN_POINT('',(29.33,2.10000000937821,0.)); #442336=CARTESIAN_POINT('',(29.33,2.90000002813464,0.)); #442337=CARTESIAN_POINT('',(29.33,2.10000000937821,0.)); #442338=CARTESIAN_POINT('',(29.33,2.10000000937821,1.5)); #442339=CARTESIAN_POINT('',(29.33,2.10000000937821,0.)); #442340=CARTESIAN_POINT('',(29.33,2.90000002813464,1.5)); #442341=CARTESIAN_POINT('',(29.33,2.10000000937821,1.5)); #442342=CARTESIAN_POINT('',(29.33,2.90000002813464,0.)); #442343=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #442344=CARTESIAN_POINT('',(30.03,2.89999999062179,0.)); #442345=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #442346=CARTESIAN_POINT('',(30.03,2.89999999062179,1.5)); #442347=CARTESIAN_POINT('Origin',(29.68,2.9,1.5)); #442348=CARTESIAN_POINT('',(30.03,2.89999999062179,0.)); #442349=CARTESIAN_POINT('Origin',(30.03,2.09999997186536,0.)); #442350=CARTESIAN_POINT('',(30.03,2.09999997186536,0.)); #442351=CARTESIAN_POINT('',(30.03,2.89999999062179,0.)); #442352=CARTESIAN_POINT('',(30.03,2.09999997186536,1.5)); #442353=CARTESIAN_POINT('',(30.03,2.89999999062179,1.5)); #442354=CARTESIAN_POINT('',(30.03,2.09999997186536,0.)); #442355=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #442356=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #442357=CARTESIAN_POINT('Origin',(29.68,2.1,1.5)); #442358=CARTESIAN_POINT('Origin',(29.68,2.5,1.5)); #442359=CARTESIAN_POINT('Origin',(29.68,2.5,0.)); #442360=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #442361=CARTESIAN_POINT('',(55.285,34.,1.5)); #442362=CARTESIAN_POINT('Origin',(55.75,34.,1.5)); #442363=CARTESIAN_POINT('',(55.285,34.,0.)); #442364=CARTESIAN_POINT('',(55.285,34.,0.)); #442365=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #442366=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #442367=CARTESIAN_POINT('',(55.25,34.,1.5)); #442368=CARTESIAN_POINT('Origin',(55.75,34.,1.5)); #442369=CARTESIAN_POINT('',(55.25,34.,0.)); #442370=CARTESIAN_POINT('',(55.25,34.,0.)); #442371=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #442372=CARTESIAN_POINT('Origin',(55.75,34.,1.5)); #442373=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #442374=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #442375=CARTESIAN_POINT('',(34.622,60.25,1.5)); #442376=CARTESIAN_POINT('Origin',(34.762,60.25,1.5)); #442377=CARTESIAN_POINT('',(34.622,60.25,0.)); #442378=CARTESIAN_POINT('',(34.622,60.25,0.)); #442379=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #442380=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #442381=CARTESIAN_POINT('',(34.587,60.25,1.5)); #442382=CARTESIAN_POINT('Origin',(34.762,60.25,1.5)); #442383=CARTESIAN_POINT('',(34.587,60.25,0.)); #442384=CARTESIAN_POINT('',(34.587,60.25,0.)); #442385=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #442386=CARTESIAN_POINT('Origin',(34.762,60.25,1.5)); #442387=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #442388=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #442389=CARTESIAN_POINT('',(7.11,34.,1.5)); #442390=CARTESIAN_POINT('Origin',(7.25,34.,1.5)); #442391=CARTESIAN_POINT('',(7.11,34.,0.)); #442392=CARTESIAN_POINT('',(7.11,34.,0.)); #442393=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #442394=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #442395=CARTESIAN_POINT('',(7.075,34.,1.5)); #442396=CARTESIAN_POINT('Origin',(7.25,34.,1.5)); #442397=CARTESIAN_POINT('',(7.075,34.,0.)); #442398=CARTESIAN_POINT('',(7.075,34.,0.)); #442399=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #442400=CARTESIAN_POINT('Origin',(7.25,34.,1.5)); #442401=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #442402=CARTESIAN_POINT('Origin',(33.25,55.,0.)); #442403=CARTESIAN_POINT('',(33.11,55.,1.5)); #442404=CARTESIAN_POINT('Origin',(33.25,55.,1.5)); #442405=CARTESIAN_POINT('',(33.11,55.,0.)); #442406=CARTESIAN_POINT('',(33.11,55.,0.)); #442407=CARTESIAN_POINT('Origin',(33.25,55.,0.)); #442408=CARTESIAN_POINT('Origin',(33.25,55.,0.)); #442409=CARTESIAN_POINT('',(33.075,55.,1.5)); #442410=CARTESIAN_POINT('Origin',(33.25,55.,1.5)); #442411=CARTESIAN_POINT('',(33.075,55.,0.)); #442412=CARTESIAN_POINT('',(33.075,55.,0.)); #442413=CARTESIAN_POINT('Origin',(33.25,55.,0.)); #442414=CARTESIAN_POINT('Origin',(33.25,55.,1.5)); #442415=CARTESIAN_POINT('Origin',(33.25,55.,0.)); #442416=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #442417=CARTESIAN_POINT('',(33.11,14.75,1.5)); #442418=CARTESIAN_POINT('Origin',(33.25,14.75,1.5)); #442419=CARTESIAN_POINT('',(33.11,14.75,0.)); #442420=CARTESIAN_POINT('',(33.11,14.75,0.)); #442421=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #442422=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #442423=CARTESIAN_POINT('',(33.075,14.75,1.5)); #442424=CARTESIAN_POINT('Origin',(33.25,14.75,1.5)); #442425=CARTESIAN_POINT('',(33.075,14.75,0.)); #442426=CARTESIAN_POINT('',(33.075,14.75,0.)); #442427=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #442428=CARTESIAN_POINT('Origin',(33.25,14.75,1.5)); #442429=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #442430=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #442431=CARTESIAN_POINT('',(30.622,60.25,1.5)); #442432=CARTESIAN_POINT('Origin',(30.762,60.25,1.5)); #442433=CARTESIAN_POINT('',(30.622,60.25,0.)); #442434=CARTESIAN_POINT('',(30.622,60.25,0.)); #442435=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #442436=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #442437=CARTESIAN_POINT('',(30.587,60.25,1.5)); #442438=CARTESIAN_POINT('Origin',(30.762,60.25,1.5)); #442439=CARTESIAN_POINT('',(30.587,60.25,0.)); #442440=CARTESIAN_POINT('',(30.587,60.25,0.)); #442441=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #442442=CARTESIAN_POINT('Origin',(30.762,60.25,1.5)); #442443=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #442444=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #442445=CARTESIAN_POINT('',(7.11,34.75,1.5)); #442446=CARTESIAN_POINT('Origin',(7.25,34.75,1.5)); #442447=CARTESIAN_POINT('',(7.11,34.75,0.)); #442448=CARTESIAN_POINT('',(7.11,34.75,0.)); #442449=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #442450=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #442451=CARTESIAN_POINT('',(7.075,34.75,1.5)); #442452=CARTESIAN_POINT('Origin',(7.25,34.75,1.5)); #442453=CARTESIAN_POINT('',(7.075,34.75,0.)); #442454=CARTESIAN_POINT('',(7.075,34.75,0.)); #442455=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #442456=CARTESIAN_POINT('Origin',(7.25,34.75,1.5)); #442457=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #442458=CARTESIAN_POINT('Origin',(39.25,16.5,0.)); #442459=CARTESIAN_POINT('',(39.11,16.5,1.5)); #442460=CARTESIAN_POINT('Origin',(39.25,16.5,1.5)); #442461=CARTESIAN_POINT('',(39.11,16.5,0.)); #442462=CARTESIAN_POINT('',(39.11,16.5,0.)); #442463=CARTESIAN_POINT('Origin',(39.25,16.5,0.)); #442464=CARTESIAN_POINT('Origin',(39.25,16.5,0.)); #442465=CARTESIAN_POINT('',(39.075,16.5,1.5)); #442466=CARTESIAN_POINT('Origin',(39.25,16.5,1.5)); #442467=CARTESIAN_POINT('',(39.075,16.5,0.)); #442468=CARTESIAN_POINT('',(39.075,16.5,0.)); #442469=CARTESIAN_POINT('Origin',(39.25,16.5,0.)); #442470=CARTESIAN_POINT('Origin',(39.25,16.5,1.5)); #442471=CARTESIAN_POINT('Origin',(39.25,16.5,0.)); #442472=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #442473=CARTESIAN_POINT('',(33.86,16.25,1.5)); #442474=CARTESIAN_POINT('Origin',(34.,16.25,1.5)); #442475=CARTESIAN_POINT('',(33.86,16.25,0.)); #442476=CARTESIAN_POINT('',(33.86,16.25,0.)); #442477=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #442478=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #442479=CARTESIAN_POINT('',(33.825,16.25,1.5)); #442480=CARTESIAN_POINT('Origin',(34.,16.25,1.5)); #442481=CARTESIAN_POINT('',(33.825,16.25,0.)); #442482=CARTESIAN_POINT('',(33.825,16.25,0.)); #442483=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #442484=CARTESIAN_POINT('Origin',(34.,16.25,1.5)); #442485=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #442486=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #442487=CARTESIAN_POINT('',(30.36,11.25,1.5)); #442488=CARTESIAN_POINT('Origin',(30.5,11.25,1.5)); #442489=CARTESIAN_POINT('',(30.36,11.25,0.)); #442490=CARTESIAN_POINT('',(30.36,11.25,0.)); #442491=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #442492=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #442493=CARTESIAN_POINT('',(30.325,11.25,1.5)); #442494=CARTESIAN_POINT('Origin',(30.5,11.25,1.5)); #442495=CARTESIAN_POINT('',(30.325,11.25,0.)); #442496=CARTESIAN_POINT('',(30.325,11.25,0.)); #442497=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #442498=CARTESIAN_POINT('Origin',(30.5,11.25,1.5)); #442499=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #442500=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #442501=CARTESIAN_POINT('',(10.11,34.75,1.5)); #442502=CARTESIAN_POINT('Origin',(10.25,34.75,1.5)); #442503=CARTESIAN_POINT('',(10.11,34.75,0.)); #442504=CARTESIAN_POINT('',(10.11,34.75,0.)); #442505=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #442506=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #442507=CARTESIAN_POINT('',(10.075,34.75,1.5)); #442508=CARTESIAN_POINT('Origin',(10.25,34.75,1.5)); #442509=CARTESIAN_POINT('',(10.075,34.75,0.)); #442510=CARTESIAN_POINT('',(10.075,34.75,0.)); #442511=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #442512=CARTESIAN_POINT('Origin',(10.25,34.75,1.5)); #442513=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #442514=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #442515=CARTESIAN_POINT('',(35.61,11.25,1.5)); #442516=CARTESIAN_POINT('Origin',(35.75,11.25,1.5)); #442517=CARTESIAN_POINT('',(35.61,11.25,0.)); #442518=CARTESIAN_POINT('',(35.61,11.25,0.)); #442519=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #442520=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #442521=CARTESIAN_POINT('',(35.575,11.25,1.5)); #442522=CARTESIAN_POINT('Origin',(35.75,11.25,1.5)); #442523=CARTESIAN_POINT('',(35.575,11.25,0.)); #442524=CARTESIAN_POINT('',(35.575,11.25,0.)); #442525=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #442526=CARTESIAN_POINT('Origin',(35.75,11.25,1.5)); #442527=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #442528=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #442529=CARTESIAN_POINT('',(33.86,14.75,1.5)); #442530=CARTESIAN_POINT('Origin',(34.,14.75,1.5)); #442531=CARTESIAN_POINT('',(33.86,14.75,0.)); #442532=CARTESIAN_POINT('',(33.86,14.75,0.)); #442533=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #442534=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #442535=CARTESIAN_POINT('',(33.825,14.75,1.5)); #442536=CARTESIAN_POINT('Origin',(34.,14.75,1.5)); #442537=CARTESIAN_POINT('',(33.825,14.75,0.)); #442538=CARTESIAN_POINT('',(33.825,14.75,0.)); #442539=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #442540=CARTESIAN_POINT('Origin',(34.,14.75,1.5)); #442541=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #442542=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #442543=CARTESIAN_POINT('',(36.36,10.5,1.5)); #442544=CARTESIAN_POINT('Origin',(36.5,10.5,1.5)); #442545=CARTESIAN_POINT('',(36.36,10.5,0.)); #442546=CARTESIAN_POINT('',(36.36,10.5,0.)); #442547=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #442548=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #442549=CARTESIAN_POINT('',(36.325,10.5,1.5)); #442550=CARTESIAN_POINT('Origin',(36.5,10.5,1.5)); #442551=CARTESIAN_POINT('',(36.325,10.5,0.)); #442552=CARTESIAN_POINT('',(36.325,10.5,0.)); #442553=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #442554=CARTESIAN_POINT('Origin',(36.5,10.5,1.5)); #442555=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #442556=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #442557=CARTESIAN_POINT('',(9.36,34.75,1.5)); #442558=CARTESIAN_POINT('Origin',(9.5,34.75,1.5)); #442559=CARTESIAN_POINT('',(9.36,34.75,0.)); #442560=CARTESIAN_POINT('',(9.36,34.75,0.)); #442561=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #442562=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #442563=CARTESIAN_POINT('',(9.325,34.75,1.5)); #442564=CARTESIAN_POINT('Origin',(9.5,34.75,1.5)); #442565=CARTESIAN_POINT('',(9.325,34.75,0.)); #442566=CARTESIAN_POINT('',(9.325,34.75,0.)); #442567=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #442568=CARTESIAN_POINT('Origin',(9.5,34.75,1.5)); #442569=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #442570=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #442571=CARTESIAN_POINT('',(30.36,10.5,1.5)); #442572=CARTESIAN_POINT('Origin',(30.5,10.5,1.5)); #442573=CARTESIAN_POINT('',(30.36,10.5,0.)); #442574=CARTESIAN_POINT('',(30.36,10.5,0.)); #442575=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #442576=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #442577=CARTESIAN_POINT('',(30.325,10.5,1.5)); #442578=CARTESIAN_POINT('Origin',(30.5,10.5,1.5)); #442579=CARTESIAN_POINT('',(30.325,10.5,0.)); #442580=CARTESIAN_POINT('',(30.325,10.5,0.)); #442581=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #442582=CARTESIAN_POINT('Origin',(30.5,10.5,1.5)); #442583=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #442584=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #442585=CARTESIAN_POINT('',(39.11,15.75,1.5)); #442586=CARTESIAN_POINT('Origin',(39.25,15.75,1.5)); #442587=CARTESIAN_POINT('',(39.11,15.75,0.)); #442588=CARTESIAN_POINT('',(39.11,15.75,0.)); #442589=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #442590=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #442591=CARTESIAN_POINT('',(39.075,15.75,1.5)); #442592=CARTESIAN_POINT('Origin',(39.25,15.75,1.5)); #442593=CARTESIAN_POINT('',(39.075,15.75,0.)); #442594=CARTESIAN_POINT('',(39.075,15.75,0.)); #442595=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #442596=CARTESIAN_POINT('Origin',(39.25,15.75,1.5)); #442597=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #442598=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #442599=CARTESIAN_POINT('',(42.36,14.25,1.5)); #442600=CARTESIAN_POINT('Origin',(42.5,14.25,1.5)); #442601=CARTESIAN_POINT('',(42.36,14.25,0.)); #442602=CARTESIAN_POINT('',(42.36,14.25,0.)); #442603=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #442604=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #442605=CARTESIAN_POINT('',(42.325,14.25,1.5)); #442606=CARTESIAN_POINT('Origin',(42.5,14.25,1.5)); #442607=CARTESIAN_POINT('',(42.325,14.25,0.)); #442608=CARTESIAN_POINT('',(42.325,14.25,0.)); #442609=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #442610=CARTESIAN_POINT('Origin',(42.5,14.25,1.5)); #442611=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #442612=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #442613=CARTESIAN_POINT('',(6.36,33.25,1.5)); #442614=CARTESIAN_POINT('Origin',(6.5,33.25,1.5)); #442615=CARTESIAN_POINT('',(6.36,33.25,0.)); #442616=CARTESIAN_POINT('',(6.36,33.25,0.)); #442617=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #442618=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #442619=CARTESIAN_POINT('',(6.325,33.25,1.5)); #442620=CARTESIAN_POINT('Origin',(6.5,33.25,1.5)); #442621=CARTESIAN_POINT('',(6.325,33.25,0.)); #442622=CARTESIAN_POINT('',(6.325,33.25,0.)); #442623=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #442624=CARTESIAN_POINT('Origin',(6.5,33.25,1.5)); #442625=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #442626=CARTESIAN_POINT('Origin',(34.,55.,0.)); #442627=CARTESIAN_POINT('',(33.86,55.,1.5)); #442628=CARTESIAN_POINT('Origin',(34.,55.,1.5)); #442629=CARTESIAN_POINT('',(33.86,55.,0.)); #442630=CARTESIAN_POINT('',(33.86,55.,0.)); #442631=CARTESIAN_POINT('Origin',(34.,55.,0.)); #442632=CARTESIAN_POINT('Origin',(34.,55.,0.)); #442633=CARTESIAN_POINT('',(33.825,55.,1.5)); #442634=CARTESIAN_POINT('Origin',(34.,55.,1.5)); #442635=CARTESIAN_POINT('',(33.825,55.,0.)); #442636=CARTESIAN_POINT('',(33.825,55.,0.)); #442637=CARTESIAN_POINT('Origin',(34.,55.,0.)); #442638=CARTESIAN_POINT('Origin',(34.,55.,1.5)); #442639=CARTESIAN_POINT('Origin',(34.,55.,0.)); #442640=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #442641=CARTESIAN_POINT('',(32.36,14.75,1.5)); #442642=CARTESIAN_POINT('Origin',(32.5,14.75,1.5)); #442643=CARTESIAN_POINT('',(32.36,14.75,0.)); #442644=CARTESIAN_POINT('',(32.36,14.75,0.)); #442645=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #442646=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #442647=CARTESIAN_POINT('',(32.325,14.75,1.5)); #442648=CARTESIAN_POINT('Origin',(32.5,14.75,1.5)); #442649=CARTESIAN_POINT('',(32.325,14.75,0.)); #442650=CARTESIAN_POINT('',(32.325,14.75,0.)); #442651=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #442652=CARTESIAN_POINT('Origin',(32.5,14.75,1.5)); #442653=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #442654=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #442655=CARTESIAN_POINT('',(38.622,60.25,1.5)); #442656=CARTESIAN_POINT('Origin',(38.762,60.25,1.5)); #442657=CARTESIAN_POINT('',(38.622,60.25,0.)); #442658=CARTESIAN_POINT('',(38.622,60.25,0.)); #442659=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #442660=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #442661=CARTESIAN_POINT('',(38.587,60.25,1.5)); #442662=CARTESIAN_POINT('Origin',(38.762,60.25,1.5)); #442663=CARTESIAN_POINT('',(38.587,60.25,0.)); #442664=CARTESIAN_POINT('',(38.587,60.25,0.)); #442665=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #442666=CARTESIAN_POINT('Origin',(38.762,60.25,1.5)); #442667=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #442668=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #442669=CARTESIAN_POINT('',(7.11,33.25,1.5)); #442670=CARTESIAN_POINT('Origin',(7.25,33.25,1.5)); #442671=CARTESIAN_POINT('',(7.11,33.25,0.)); #442672=CARTESIAN_POINT('',(7.11,33.25,0.)); #442673=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #442674=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #442675=CARTESIAN_POINT('',(7.075,33.25,1.5)); #442676=CARTESIAN_POINT('Origin',(7.25,33.25,1.5)); #442677=CARTESIAN_POINT('',(7.075,33.25,0.)); #442678=CARTESIAN_POINT('',(7.075,33.25,0.)); #442679=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #442680=CARTESIAN_POINT('Origin',(7.25,33.25,1.5)); #442681=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #442682=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #442683=CARTESIAN_POINT('',(34.7975,12.25,1.5)); #442684=CARTESIAN_POINT('Origin',(34.9375,12.25,1.5)); #442685=CARTESIAN_POINT('',(34.7975,12.25,0.)); #442686=CARTESIAN_POINT('',(34.7975,12.25,0.)); #442687=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #442688=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #442689=CARTESIAN_POINT('',(34.7625,12.25,1.5)); #442690=CARTESIAN_POINT('Origin',(34.9375,12.25,1.5)); #442691=CARTESIAN_POINT('',(34.7625,12.25,0.)); #442692=CARTESIAN_POINT('',(34.7625,12.25,0.)); #442693=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #442694=CARTESIAN_POINT('Origin',(34.9375,12.25,1.5)); #442695=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #442696=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #442697=CARTESIAN_POINT('',(33.11,17.,1.5)); #442698=CARTESIAN_POINT('Origin',(33.25,17.,1.5)); #442699=CARTESIAN_POINT('',(33.11,17.,0.)); #442700=CARTESIAN_POINT('',(33.11,17.,0.)); #442701=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #442702=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #442703=CARTESIAN_POINT('',(33.075,17.,1.5)); #442704=CARTESIAN_POINT('Origin',(33.25,17.,1.5)); #442705=CARTESIAN_POINT('',(33.075,17.,0.)); #442706=CARTESIAN_POINT('',(33.075,17.,0.)); #442707=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #442708=CARTESIAN_POINT('Origin',(33.25,17.,1.5)); #442709=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #442710=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #442711=CARTESIAN_POINT('',(36.285,7.6,1.5)); #442712=CARTESIAN_POINT('Origin',(36.4,7.6,1.5)); #442713=CARTESIAN_POINT('',(36.285,7.6,0.)); #442714=CARTESIAN_POINT('',(36.285,7.6,0.)); #442715=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #442716=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #442717=CARTESIAN_POINT('',(36.25,7.6,1.5)); #442718=CARTESIAN_POINT('Origin',(36.4,7.6,1.5)); #442719=CARTESIAN_POINT('',(36.25,7.6,0.)); #442720=CARTESIAN_POINT('',(36.25,7.6,0.)); #442721=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #442722=CARTESIAN_POINT('Origin',(36.4,7.6,1.5)); #442723=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #442724=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #442725=CARTESIAN_POINT('',(6.36,34.,1.5)); #442726=CARTESIAN_POINT('Origin',(6.5,34.,1.5)); #442727=CARTESIAN_POINT('',(6.36,34.,0.)); #442728=CARTESIAN_POINT('',(6.36,34.,0.)); #442729=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #442730=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #442731=CARTESIAN_POINT('',(6.325,34.,1.5)); #442732=CARTESIAN_POINT('Origin',(6.5,34.,1.5)); #442733=CARTESIAN_POINT('',(6.325,34.,0.)); #442734=CARTESIAN_POINT('',(6.325,34.,0.)); #442735=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #442736=CARTESIAN_POINT('Origin',(6.5,34.,1.5)); #442737=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #442738=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #442739=CARTESIAN_POINT('',(41.11,13.0029,1.5)); #442740=CARTESIAN_POINT('Origin',(41.25,13.0029,1.5)); #442741=CARTESIAN_POINT('',(41.11,13.0029,0.)); #442742=CARTESIAN_POINT('',(41.11,13.0029,0.)); #442743=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #442744=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #442745=CARTESIAN_POINT('',(41.075,13.0029,1.5)); #442746=CARTESIAN_POINT('Origin',(41.25,13.0029,1.5)); #442747=CARTESIAN_POINT('',(41.075,13.0029,0.)); #442748=CARTESIAN_POINT('',(41.075,13.0029,0.)); #442749=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #442750=CARTESIAN_POINT('Origin',(41.25,13.0029,1.5)); #442751=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #442752=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #442753=CARTESIAN_POINT('',(31.61,14.75,1.5)); #442754=CARTESIAN_POINT('Origin',(31.75,14.75,1.5)); #442755=CARTESIAN_POINT('',(31.61,14.75,0.)); #442756=CARTESIAN_POINT('',(31.61,14.75,0.)); #442757=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #442758=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #442759=CARTESIAN_POINT('',(31.575,14.75,1.5)); #442760=CARTESIAN_POINT('Origin',(31.75,14.75,1.5)); #442761=CARTESIAN_POINT('',(31.575,14.75,0.)); #442762=CARTESIAN_POINT('',(31.575,14.75,0.)); #442763=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #442764=CARTESIAN_POINT('Origin',(31.75,14.75,1.5)); #442765=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #442766=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #442767=CARTESIAN_POINT('',(36.285,7.1,1.5)); #442768=CARTESIAN_POINT('Origin',(36.4,7.1,1.5)); #442769=CARTESIAN_POINT('',(36.285,7.1,0.)); #442770=CARTESIAN_POINT('',(36.285,7.1,0.)); #442771=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #442772=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #442773=CARTESIAN_POINT('',(36.25,7.1,1.5)); #442774=CARTESIAN_POINT('Origin',(36.4,7.1,1.5)); #442775=CARTESIAN_POINT('',(36.25,7.1,0.)); #442776=CARTESIAN_POINT('',(36.25,7.1,0.)); #442777=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #442778=CARTESIAN_POINT('Origin',(36.4,7.1,1.5)); #442779=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #442780=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #442781=CARTESIAN_POINT('',(6.36,34.75,1.5)); #442782=CARTESIAN_POINT('Origin',(6.5,34.75,1.5)); #442783=CARTESIAN_POINT('',(6.36,34.75,0.)); #442784=CARTESIAN_POINT('',(6.36,34.75,0.)); #442785=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #442786=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #442787=CARTESIAN_POINT('',(6.325,34.75,1.5)); #442788=CARTESIAN_POINT('Origin',(6.5,34.75,1.5)); #442789=CARTESIAN_POINT('',(6.325,34.75,0.)); #442790=CARTESIAN_POINT('',(6.325,34.75,0.)); #442791=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #442792=CARTESIAN_POINT('Origin',(6.5,34.75,1.5)); #442793=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #442794=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #442795=CARTESIAN_POINT('',(31.485,7.6,1.5)); #442796=CARTESIAN_POINT('Origin',(31.6,7.6,1.5)); #442797=CARTESIAN_POINT('',(31.485,7.6,0.)); #442798=CARTESIAN_POINT('',(31.485,7.6,0.)); #442799=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #442800=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #442801=CARTESIAN_POINT('',(31.45,7.6,1.5)); #442802=CARTESIAN_POINT('Origin',(31.6,7.6,1.5)); #442803=CARTESIAN_POINT('',(31.45,7.6,0.)); #442804=CARTESIAN_POINT('',(31.45,7.6,0.)); #442805=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #442806=CARTESIAN_POINT('Origin',(31.6,7.6,1.5)); #442807=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #442808=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #442809=CARTESIAN_POINT('',(21.235,34.,1.5)); #442810=CARTESIAN_POINT('Origin',(21.75,34.,1.5)); #442811=CARTESIAN_POINT('',(21.235,34.,0.)); #442812=CARTESIAN_POINT('',(21.235,34.,0.)); #442813=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #442814=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #442815=CARTESIAN_POINT('',(21.2,34.,1.5)); #442816=CARTESIAN_POINT('Origin',(21.75,34.,1.5)); #442817=CARTESIAN_POINT('',(21.2,34.,0.)); #442818=CARTESIAN_POINT('',(21.2,34.,0.)); #442819=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #442820=CARTESIAN_POINT('Origin',(21.75,34.,1.5)); #442821=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #442822=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #442823=CARTESIAN_POINT('',(22.735,47.75,1.5)); #442824=CARTESIAN_POINT('Origin',(22.875,47.75,1.5)); #442825=CARTESIAN_POINT('',(22.735,47.75,0.)); #442826=CARTESIAN_POINT('',(22.735,47.75,0.)); #442827=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #442828=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #442829=CARTESIAN_POINT('',(22.7,47.75,1.5)); #442830=CARTESIAN_POINT('Origin',(22.875,47.75,1.5)); #442831=CARTESIAN_POINT('',(22.7,47.75,0.)); #442832=CARTESIAN_POINT('',(22.7,47.75,0.)); #442833=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #442834=CARTESIAN_POINT('Origin',(22.875,47.75,1.5)); #442835=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #442836=CARTESIAN_POINT('Origin',(62.,34.,0.)); #442837=CARTESIAN_POINT('',(61.86,34.,1.5)); #442838=CARTESIAN_POINT('Origin',(62.,34.,1.5)); #442839=CARTESIAN_POINT('',(61.86,34.,0.)); #442840=CARTESIAN_POINT('',(61.86,34.,0.)); #442841=CARTESIAN_POINT('Origin',(62.,34.,0.)); #442842=CARTESIAN_POINT('Origin',(62.,34.,0.)); #442843=CARTESIAN_POINT('',(61.825,34.,1.5)); #442844=CARTESIAN_POINT('Origin',(62.,34.,1.5)); #442845=CARTESIAN_POINT('',(61.825,34.,0.)); #442846=CARTESIAN_POINT('',(61.825,34.,0.)); #442847=CARTESIAN_POINT('Origin',(62.,34.,0.)); #442848=CARTESIAN_POINT('Origin',(62.,34.,1.5)); #442849=CARTESIAN_POINT('Origin',(62.,34.,0.)); #442850=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #442851=CARTESIAN_POINT('',(22.735,47.,1.5)); #442852=CARTESIAN_POINT('Origin',(22.875,47.,1.5)); #442853=CARTESIAN_POINT('',(22.735,47.,0.)); #442854=CARTESIAN_POINT('',(22.735,47.,0.)); #442855=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #442856=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #442857=CARTESIAN_POINT('',(22.7,47.,1.5)); #442858=CARTESIAN_POINT('Origin',(22.875,47.,1.5)); #442859=CARTESIAN_POINT('',(22.7,47.,0.)); #442860=CARTESIAN_POINT('',(22.7,47.,0.)); #442861=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #442862=CARTESIAN_POINT('Origin',(22.875,47.,1.5)); #442863=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #442864=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #442865=CARTESIAN_POINT('',(36.11,16.25,1.5)); #442866=CARTESIAN_POINT('Origin',(36.25,16.25,1.5)); #442867=CARTESIAN_POINT('',(36.11,16.25,0.)); #442868=CARTESIAN_POINT('',(36.11,16.25,0.)); #442869=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #442870=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #442871=CARTESIAN_POINT('',(36.075,16.25,1.5)); #442872=CARTESIAN_POINT('Origin',(36.25,16.25,1.5)); #442873=CARTESIAN_POINT('',(36.075,16.25,0.)); #442874=CARTESIAN_POINT('',(36.075,16.25,0.)); #442875=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #442876=CARTESIAN_POINT('Origin',(36.25,16.25,1.5)); #442877=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #442878=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #442879=CARTESIAN_POINT('',(20.985,46.25,1.5)); #442880=CARTESIAN_POINT('Origin',(21.125,46.25,1.5)); #442881=CARTESIAN_POINT('',(20.985,46.25,0.)); #442882=CARTESIAN_POINT('',(20.985,46.25,0.)); #442883=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #442884=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #442885=CARTESIAN_POINT('',(20.95,46.25,1.5)); #442886=CARTESIAN_POINT('Origin',(21.125,46.25,1.5)); #442887=CARTESIAN_POINT('',(20.95,46.25,0.)); #442888=CARTESIAN_POINT('',(20.95,46.25,0.)); #442889=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #442890=CARTESIAN_POINT('Origin',(21.125,46.25,1.5)); #442891=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #442892=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #442893=CARTESIAN_POINT('',(61.86,34.75,1.5)); #442894=CARTESIAN_POINT('Origin',(62.,34.75,1.5)); #442895=CARTESIAN_POINT('',(61.86,34.75,0.)); #442896=CARTESIAN_POINT('',(61.86,34.75,0.)); #442897=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #442898=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #442899=CARTESIAN_POINT('',(61.825,34.75,1.5)); #442900=CARTESIAN_POINT('Origin',(62.,34.75,1.5)); #442901=CARTESIAN_POINT('',(61.825,34.75,0.)); #442902=CARTESIAN_POINT('',(61.825,34.75,0.)); #442903=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #442904=CARTESIAN_POINT('Origin',(62.,34.75,1.5)); #442905=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #442906=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #442907=CARTESIAN_POINT('',(22.735,46.25,1.5)); #442908=CARTESIAN_POINT('Origin',(22.875,46.25,1.5)); #442909=CARTESIAN_POINT('',(22.735,46.25,0.)); #442910=CARTESIAN_POINT('',(22.735,46.25,0.)); #442911=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #442912=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #442913=CARTESIAN_POINT('',(22.7,46.25,1.5)); #442914=CARTESIAN_POINT('Origin',(22.875,46.25,1.5)); #442915=CARTESIAN_POINT('',(22.7,46.25,0.)); #442916=CARTESIAN_POINT('',(22.7,46.25,0.)); #442917=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #442918=CARTESIAN_POINT('Origin',(22.875,46.25,1.5)); #442919=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #442920=CARTESIAN_POINT('Origin',(34.,17.,0.)); #442921=CARTESIAN_POINT('',(33.86,17.,1.5)); #442922=CARTESIAN_POINT('Origin',(34.,17.,1.5)); #442923=CARTESIAN_POINT('',(33.86,17.,0.)); #442924=CARTESIAN_POINT('',(33.86,17.,0.)); #442925=CARTESIAN_POINT('Origin',(34.,17.,0.)); #442926=CARTESIAN_POINT('Origin',(34.,17.,0.)); #442927=CARTESIAN_POINT('',(33.825,17.,1.5)); #442928=CARTESIAN_POINT('Origin',(34.,17.,1.5)); #442929=CARTESIAN_POINT('',(33.825,17.,0.)); #442930=CARTESIAN_POINT('',(33.825,17.,0.)); #442931=CARTESIAN_POINT('Origin',(34.,17.,0.)); #442932=CARTESIAN_POINT('Origin',(34.,17.,1.5)); #442933=CARTESIAN_POINT('Origin',(34.,17.,0.)); #442934=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #442935=CARTESIAN_POINT('',(21.86,47.75,1.5)); #442936=CARTESIAN_POINT('Origin',(22.,47.75,1.5)); #442937=CARTESIAN_POINT('',(21.86,47.75,0.)); #442938=CARTESIAN_POINT('',(21.86,47.75,0.)); #442939=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #442940=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #442941=CARTESIAN_POINT('',(21.825,47.75,1.5)); #442942=CARTESIAN_POINT('Origin',(22.,47.75,1.5)); #442943=CARTESIAN_POINT('',(21.825,47.75,0.)); #442944=CARTESIAN_POINT('',(21.825,47.75,0.)); #442945=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #442946=CARTESIAN_POINT('Origin',(22.,47.75,1.5)); #442947=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #442948=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #442949=CARTESIAN_POINT('',(61.11,34.,1.5)); #442950=CARTESIAN_POINT('Origin',(61.25,34.,1.5)); #442951=CARTESIAN_POINT('',(61.11,34.,0.)); #442952=CARTESIAN_POINT('',(61.11,34.,0.)); #442953=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #442954=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #442955=CARTESIAN_POINT('',(61.075,34.,1.5)); #442956=CARTESIAN_POINT('Origin',(61.25,34.,1.5)); #442957=CARTESIAN_POINT('',(61.075,34.,0.)); #442958=CARTESIAN_POINT('',(61.075,34.,0.)); #442959=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #442960=CARTESIAN_POINT('Origin',(61.25,34.,1.5)); #442961=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #442962=CARTESIAN_POINT('Origin',(22.,47.,0.)); #442963=CARTESIAN_POINT('',(21.86,47.,1.5)); #442964=CARTESIAN_POINT('Origin',(22.,47.,1.5)); #442965=CARTESIAN_POINT('',(21.86,47.,0.)); #442966=CARTESIAN_POINT('',(21.86,47.,0.)); #442967=CARTESIAN_POINT('Origin',(22.,47.,0.)); #442968=CARTESIAN_POINT('Origin',(22.,47.,0.)); #442969=CARTESIAN_POINT('',(21.825,47.,1.5)); #442970=CARTESIAN_POINT('Origin',(22.,47.,1.5)); #442971=CARTESIAN_POINT('',(21.825,47.,0.)); #442972=CARTESIAN_POINT('',(21.825,47.,0.)); #442973=CARTESIAN_POINT('Origin',(22.,47.,0.)); #442974=CARTESIAN_POINT('Origin',(22.,47.,1.5)); #442975=CARTESIAN_POINT('Origin',(22.,47.,0.)); #442976=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #442977=CARTESIAN_POINT('',(36.11,17.,1.5)); #442978=CARTESIAN_POINT('Origin',(36.25,17.,1.5)); #442979=CARTESIAN_POINT('',(36.11,17.,0.)); #442980=CARTESIAN_POINT('',(36.11,17.,0.)); #442981=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #442982=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #442983=CARTESIAN_POINT('',(36.075,17.,1.5)); #442984=CARTESIAN_POINT('Origin',(36.25,17.,1.5)); #442985=CARTESIAN_POINT('',(36.075,17.,0.)); #442986=CARTESIAN_POINT('',(36.075,17.,0.)); #442987=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #442988=CARTESIAN_POINT('Origin',(36.25,17.,1.5)); #442989=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #442990=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #442991=CARTESIAN_POINT('',(20.985,47.75,1.5)); #442992=CARTESIAN_POINT('Origin',(21.125,47.75,1.5)); #442993=CARTESIAN_POINT('',(20.985,47.75,0.)); #442994=CARTESIAN_POINT('',(20.985,47.75,0.)); #442995=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #442996=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #442997=CARTESIAN_POINT('',(20.95,47.75,1.5)); #442998=CARTESIAN_POINT('Origin',(21.125,47.75,1.5)); #442999=CARTESIAN_POINT('',(20.95,47.75,0.)); #443000=CARTESIAN_POINT('',(20.95,47.75,0.)); #443001=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #443002=CARTESIAN_POINT('Origin',(21.125,47.75,1.5)); #443003=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #443004=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #443005=CARTESIAN_POINT('',(61.11,34.75,1.5)); #443006=CARTESIAN_POINT('Origin',(61.25,34.75,1.5)); #443007=CARTESIAN_POINT('',(61.11,34.75,0.)); #443008=CARTESIAN_POINT('',(61.11,34.75,0.)); #443009=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #443010=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #443011=CARTESIAN_POINT('',(61.075,34.75,1.5)); #443012=CARTESIAN_POINT('Origin',(61.25,34.75,1.5)); #443013=CARTESIAN_POINT('',(61.075,34.75,0.)); #443014=CARTESIAN_POINT('',(61.075,34.75,0.)); #443015=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #443016=CARTESIAN_POINT('Origin',(61.25,34.75,1.5)); #443017=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #443018=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #443019=CARTESIAN_POINT('',(20.985,47.,1.5)); #443020=CARTESIAN_POINT('Origin',(21.125,47.,1.5)); #443021=CARTESIAN_POINT('',(20.985,47.,0.)); #443022=CARTESIAN_POINT('',(20.985,47.,0.)); #443023=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #443024=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #443025=CARTESIAN_POINT('',(20.95,47.,1.5)); #443026=CARTESIAN_POINT('Origin',(21.125,47.,1.5)); #443027=CARTESIAN_POINT('',(20.95,47.,0.)); #443028=CARTESIAN_POINT('',(20.95,47.,0.)); #443029=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #443030=CARTESIAN_POINT('Origin',(21.125,47.,1.5)); #443031=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #443032=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #443033=CARTESIAN_POINT('',(31.035,27.75,1.5)); #443034=CARTESIAN_POINT('Origin',(31.5,27.75,1.5)); #443035=CARTESIAN_POINT('',(31.035,27.75,0.)); #443036=CARTESIAN_POINT('',(31.035,27.75,0.)); #443037=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #443038=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #443039=CARTESIAN_POINT('',(31.,27.75,1.5)); #443040=CARTESIAN_POINT('Origin',(31.5,27.75,1.5)); #443041=CARTESIAN_POINT('',(31.,27.75,0.)); #443042=CARTESIAN_POINT('',(31.,27.75,0.)); #443043=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #443044=CARTESIAN_POINT('Origin',(31.5,27.75,1.5)); #443045=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #443046=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #443047=CARTESIAN_POINT('',(23.61,47.75,1.5)); #443048=CARTESIAN_POINT('Origin',(23.75,47.75,1.5)); #443049=CARTESIAN_POINT('',(23.61,47.75,0.)); #443050=CARTESIAN_POINT('',(23.61,47.75,0.)); #443051=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #443052=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #443053=CARTESIAN_POINT('',(23.575,47.75,1.5)); #443054=CARTESIAN_POINT('Origin',(23.75,47.75,1.5)); #443055=CARTESIAN_POINT('',(23.575,47.75,0.)); #443056=CARTESIAN_POINT('',(23.575,47.75,0.)); #443057=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #443058=CARTESIAN_POINT('Origin',(23.75,47.75,1.5)); #443059=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #443060=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #443061=CARTESIAN_POINT('',(58.36,34.75,1.5)); #443062=CARTESIAN_POINT('Origin',(58.5,34.75,1.5)); #443063=CARTESIAN_POINT('',(58.36,34.75,0.)); #443064=CARTESIAN_POINT('',(58.36,34.75,0.)); #443065=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #443066=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #443067=CARTESIAN_POINT('',(58.325,34.75,1.5)); #443068=CARTESIAN_POINT('Origin',(58.5,34.75,1.5)); #443069=CARTESIAN_POINT('',(58.325,34.75,0.)); #443070=CARTESIAN_POINT('',(58.325,34.75,0.)); #443071=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #443072=CARTESIAN_POINT('Origin',(58.5,34.75,1.5)); #443073=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #443074=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #443075=CARTESIAN_POINT('',(20.11,47.75,1.5)); #443076=CARTESIAN_POINT('Origin',(20.25,47.75,1.5)); #443077=CARTESIAN_POINT('',(20.11,47.75,0.)); #443078=CARTESIAN_POINT('',(20.11,47.75,0.)); #443079=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #443080=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #443081=CARTESIAN_POINT('',(20.075,47.75,1.5)); #443082=CARTESIAN_POINT('Origin',(20.25,47.75,1.5)); #443083=CARTESIAN_POINT('',(20.075,47.75,0.)); #443084=CARTESIAN_POINT('',(20.075,47.75,0.)); #443085=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #443086=CARTESIAN_POINT('Origin',(20.25,47.75,1.5)); #443087=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #443088=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #443089=CARTESIAN_POINT('',(36.11,18.5,1.5)); #443090=CARTESIAN_POINT('Origin',(36.25,18.5,1.5)); #443091=CARTESIAN_POINT('',(36.11,18.5,0.)); #443092=CARTESIAN_POINT('',(36.11,18.5,0.)); #443093=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #443094=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #443095=CARTESIAN_POINT('',(36.075,18.5,1.5)); #443096=CARTESIAN_POINT('Origin',(36.25,18.5,1.5)); #443097=CARTESIAN_POINT('',(36.075,18.5,0.)); #443098=CARTESIAN_POINT('',(36.075,18.5,0.)); #443099=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #443100=CARTESIAN_POINT('Origin',(36.25,18.5,1.5)); #443101=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #443102=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #443103=CARTESIAN_POINT('',(18.36,47.75,1.5)); #443104=CARTESIAN_POINT('Origin',(18.5,47.75,1.5)); #443105=CARTESIAN_POINT('',(18.36,47.75,0.)); #443106=CARTESIAN_POINT('',(18.36,47.75,0.)); #443107=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #443108=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #443109=CARTESIAN_POINT('',(18.325,47.75,1.5)); #443110=CARTESIAN_POINT('Origin',(18.5,47.75,1.5)); #443111=CARTESIAN_POINT('',(18.325,47.75,0.)); #443112=CARTESIAN_POINT('',(18.325,47.75,0.)); #443113=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #443114=CARTESIAN_POINT('Origin',(18.5,47.75,1.5)); #443115=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #443116=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #443117=CARTESIAN_POINT('',(58.36,34.,1.5)); #443118=CARTESIAN_POINT('Origin',(58.5,34.,1.5)); #443119=CARTESIAN_POINT('',(58.36,34.,0.)); #443120=CARTESIAN_POINT('',(58.36,34.,0.)); #443121=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #443122=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #443123=CARTESIAN_POINT('',(58.325,34.,1.5)); #443124=CARTESIAN_POINT('Origin',(58.5,34.,1.5)); #443125=CARTESIAN_POINT('',(58.325,34.,0.)); #443126=CARTESIAN_POINT('',(58.325,34.,0.)); #443127=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #443128=CARTESIAN_POINT('Origin',(58.5,34.,1.5)); #443129=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #443130=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #443131=CARTESIAN_POINT('',(20.11,46.25,1.5)); #443132=CARTESIAN_POINT('Origin',(20.25,46.25,1.5)); #443133=CARTESIAN_POINT('',(20.11,46.25,0.)); #443134=CARTESIAN_POINT('',(20.11,46.25,0.)); #443135=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #443136=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #443137=CARTESIAN_POINT('',(20.075,46.25,1.5)); #443138=CARTESIAN_POINT('Origin',(20.25,46.25,1.5)); #443139=CARTESIAN_POINT('',(20.075,46.25,0.)); #443140=CARTESIAN_POINT('',(20.075,46.25,0.)); #443141=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #443142=CARTESIAN_POINT('Origin',(20.25,46.25,1.5)); #443143=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #443144=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #443145=CARTESIAN_POINT('',(37.11,8.75,1.5)); #443146=CARTESIAN_POINT('Origin',(37.25,8.75,1.5)); #443147=CARTESIAN_POINT('',(37.11,8.75,0.)); #443148=CARTESIAN_POINT('',(37.11,8.75,0.)); #443149=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #443150=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #443151=CARTESIAN_POINT('',(37.075,8.75,1.5)); #443152=CARTESIAN_POINT('Origin',(37.25,8.75,1.5)); #443153=CARTESIAN_POINT('',(37.075,8.75,0.)); #443154=CARTESIAN_POINT('',(37.075,8.75,0.)); #443155=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #443156=CARTESIAN_POINT('Origin',(37.25,8.75,1.5)); #443157=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #443158=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #443159=CARTESIAN_POINT('',(21.86,46.25,1.5)); #443160=CARTESIAN_POINT('Origin',(22.,46.25,1.5)); #443161=CARTESIAN_POINT('',(21.86,46.25,0.)); #443162=CARTESIAN_POINT('',(21.86,46.25,0.)); #443163=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #443164=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #443165=CARTESIAN_POINT('',(21.825,46.25,1.5)); #443166=CARTESIAN_POINT('Origin',(22.,46.25,1.5)); #443167=CARTESIAN_POINT('',(21.825,46.25,0.)); #443168=CARTESIAN_POINT('',(21.825,46.25,0.)); #443169=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #443170=CARTESIAN_POINT('Origin',(22.,46.25,1.5)); #443171=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #443172=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #443173=CARTESIAN_POINT('',(58.36,33.25,1.5)); #443174=CARTESIAN_POINT('Origin',(58.5,33.25,1.5)); #443175=CARTESIAN_POINT('',(58.36,33.25,0.)); #443176=CARTESIAN_POINT('',(58.36,33.25,0.)); #443177=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #443178=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #443179=CARTESIAN_POINT('',(58.325,33.25,1.5)); #443180=CARTESIAN_POINT('Origin',(58.5,33.25,1.5)); #443181=CARTESIAN_POINT('',(58.325,33.25,0.)); #443182=CARTESIAN_POINT('',(58.325,33.25,0.)); #443183=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #443184=CARTESIAN_POINT('Origin',(58.5,33.25,1.5)); #443185=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #443186=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #443187=CARTESIAN_POINT('',(23.61,46.25,1.5)); #443188=CARTESIAN_POINT('Origin',(23.75,46.25,1.5)); #443189=CARTESIAN_POINT('',(23.61,46.25,0.)); #443190=CARTESIAN_POINT('',(23.61,46.25,0.)); #443191=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #443192=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #443193=CARTESIAN_POINT('',(23.575,46.25,1.5)); #443194=CARTESIAN_POINT('Origin',(23.75,46.25,1.5)); #443195=CARTESIAN_POINT('',(23.575,46.25,0.)); #443196=CARTESIAN_POINT('',(23.575,46.25,0.)); #443197=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #443198=CARTESIAN_POINT('Origin',(23.75,46.25,1.5)); #443199=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #443200=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #443201=CARTESIAN_POINT('',(36.11,17.75,1.5)); #443202=CARTESIAN_POINT('Origin',(36.25,17.75,1.5)); #443203=CARTESIAN_POINT('',(36.11,17.75,0.)); #443204=CARTESIAN_POINT('',(36.11,17.75,0.)); #443205=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #443206=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #443207=CARTESIAN_POINT('',(36.075,17.75,1.5)); #443208=CARTESIAN_POINT('Origin',(36.25,17.75,1.5)); #443209=CARTESIAN_POINT('',(36.075,17.75,0.)); #443210=CARTESIAN_POINT('',(36.075,17.75,0.)); #443211=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #443212=CARTESIAN_POINT('Origin',(36.25,17.75,1.5)); #443213=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #443214=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #443215=CARTESIAN_POINT('',(20.11,47.,1.5)); #443216=CARTESIAN_POINT('Origin',(20.25,47.,1.5)); #443217=CARTESIAN_POINT('',(20.11,47.,0.)); #443218=CARTESIAN_POINT('',(20.11,47.,0.)); #443219=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #443220=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #443221=CARTESIAN_POINT('',(20.075,47.,1.5)); #443222=CARTESIAN_POINT('Origin',(20.25,47.,1.5)); #443223=CARTESIAN_POINT('',(20.075,47.,0.)); #443224=CARTESIAN_POINT('',(20.075,47.,0.)); #443225=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #443226=CARTESIAN_POINT('Origin',(20.25,47.,1.5)); #443227=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #443228=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #443229=CARTESIAN_POINT('',(61.11,33.25,1.5)); #443230=CARTESIAN_POINT('Origin',(61.25,33.25,1.5)); #443231=CARTESIAN_POINT('',(61.11,33.25,0.)); #443232=CARTESIAN_POINT('',(61.11,33.25,0.)); #443233=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #443234=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #443235=CARTESIAN_POINT('',(61.075,33.25,1.5)); #443236=CARTESIAN_POINT('Origin',(61.25,33.25,1.5)); #443237=CARTESIAN_POINT('',(61.075,33.25,0.)); #443238=CARTESIAN_POINT('',(61.075,33.25,0.)); #443239=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #443240=CARTESIAN_POINT('Origin',(61.25,33.25,1.5)); #443241=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #443242=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #443243=CARTESIAN_POINT('',(23.61,47.,1.5)); #443244=CARTESIAN_POINT('Origin',(23.75,47.,1.5)); #443245=CARTESIAN_POINT('',(23.61,47.,0.)); #443246=CARTESIAN_POINT('',(23.61,47.,0.)); #443247=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #443248=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #443249=CARTESIAN_POINT('',(23.575,47.,1.5)); #443250=CARTESIAN_POINT('Origin',(23.75,47.,1.5)); #443251=CARTESIAN_POINT('',(23.575,47.,0.)); #443252=CARTESIAN_POINT('',(23.575,47.,0.)); #443253=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #443254=CARTESIAN_POINT('Origin',(23.75,47.,1.5)); #443255=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #443256=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #443257=CARTESIAN_POINT('',(51.785,34.,1.5)); #443258=CARTESIAN_POINT('Origin',(52.25,34.,1.5)); #443259=CARTESIAN_POINT('',(51.785,34.,0.)); #443260=CARTESIAN_POINT('',(51.785,34.,0.)); #443261=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #443262=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #443263=CARTESIAN_POINT('',(51.75,34.,1.5)); #443264=CARTESIAN_POINT('Origin',(52.25,34.,1.5)); #443265=CARTESIAN_POINT('',(51.75,34.,0.)); #443266=CARTESIAN_POINT('',(51.75,34.,0.)); #443267=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #443268=CARTESIAN_POINT('Origin',(52.25,34.,1.5)); #443269=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #443270=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #443271=CARTESIAN_POINT('',(15.61,47.,1.5)); #443272=CARTESIAN_POINT('Origin',(15.75,47.,1.5)); #443273=CARTESIAN_POINT('',(15.61,47.,0.)); #443274=CARTESIAN_POINT('',(15.61,47.,0.)); #443275=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #443276=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #443277=CARTESIAN_POINT('',(15.575,47.,1.5)); #443278=CARTESIAN_POINT('Origin',(15.75,47.,1.5)); #443279=CARTESIAN_POINT('',(15.575,47.,0.)); #443280=CARTESIAN_POINT('',(15.575,47.,0.)); #443281=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #443282=CARTESIAN_POINT('Origin',(15.75,47.,1.5)); #443283=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #443284=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #443285=CARTESIAN_POINT('',(57.61,34.75,1.5)); #443286=CARTESIAN_POINT('Origin',(57.75,34.75,1.5)); #443287=CARTESIAN_POINT('',(57.61,34.75,0.)); #443288=CARTESIAN_POINT('',(57.61,34.75,0.)); #443289=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #443290=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #443291=CARTESIAN_POINT('',(57.575,34.75,1.5)); #443292=CARTESIAN_POINT('Origin',(57.75,34.75,1.5)); #443293=CARTESIAN_POINT('',(57.575,34.75,0.)); #443294=CARTESIAN_POINT('',(57.575,34.75,0.)); #443295=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #443296=CARTESIAN_POINT('Origin',(57.75,34.75,1.5)); #443297=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #443298=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #443299=CARTESIAN_POINT('',(15.61,46.25,1.5)); #443300=CARTESIAN_POINT('Origin',(15.75,46.25,1.5)); #443301=CARTESIAN_POINT('',(15.61,46.25,0.)); #443302=CARTESIAN_POINT('',(15.61,46.25,0.)); #443303=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #443304=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #443305=CARTESIAN_POINT('',(15.575,46.25,1.5)); #443306=CARTESIAN_POINT('Origin',(15.75,46.25,1.5)); #443307=CARTESIAN_POINT('',(15.575,46.25,0.)); #443308=CARTESIAN_POINT('',(15.575,46.25,0.)); #443309=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #443310=CARTESIAN_POINT('Origin',(15.75,46.25,1.5)); #443311=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #443312=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #443313=CARTESIAN_POINT('',(36.11,14.75,1.5)); #443314=CARTESIAN_POINT('Origin',(36.25,14.75,1.5)); #443315=CARTESIAN_POINT('',(36.11,14.75,0.)); #443316=CARTESIAN_POINT('',(36.11,14.75,0.)); #443317=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #443318=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #443319=CARTESIAN_POINT('',(36.075,14.75,1.5)); #443320=CARTESIAN_POINT('Origin',(36.25,14.75,1.5)); #443321=CARTESIAN_POINT('',(36.075,14.75,0.)); #443322=CARTESIAN_POINT('',(36.075,14.75,0.)); #443323=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #443324=CARTESIAN_POINT('Origin',(36.25,14.75,1.5)); #443325=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #443326=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #443327=CARTESIAN_POINT('',(17.36,47.,1.5)); #443328=CARTESIAN_POINT('Origin',(17.5,47.,1.5)); #443329=CARTESIAN_POINT('',(17.36,47.,0.)); #443330=CARTESIAN_POINT('',(17.36,47.,0.)); #443331=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #443332=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #443333=CARTESIAN_POINT('',(17.325,47.,1.5)); #443334=CARTESIAN_POINT('Origin',(17.5,47.,1.5)); #443335=CARTESIAN_POINT('',(17.325,47.,0.)); #443336=CARTESIAN_POINT('',(17.325,47.,0.)); #443337=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #443338=CARTESIAN_POINT('Origin',(17.5,47.,1.5)); #443339=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #443340=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #443341=CARTESIAN_POINT('',(57.61,34.,1.5)); #443342=CARTESIAN_POINT('Origin',(57.75,34.,1.5)); #443343=CARTESIAN_POINT('',(57.61,34.,0.)); #443344=CARTESIAN_POINT('',(57.61,34.,0.)); #443345=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #443346=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #443347=CARTESIAN_POINT('',(57.575,34.,1.5)); #443348=CARTESIAN_POINT('Origin',(57.75,34.,1.5)); #443349=CARTESIAN_POINT('',(57.575,34.,0.)); #443350=CARTESIAN_POINT('',(57.575,34.,0.)); #443351=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #443352=CARTESIAN_POINT('Origin',(57.75,34.,1.5)); #443353=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #443354=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #443355=CARTESIAN_POINT('',(17.36,46.25,1.5)); #443356=CARTESIAN_POINT('Origin',(17.5,46.25,1.5)); #443357=CARTESIAN_POINT('',(17.36,46.25,0.)); #443358=CARTESIAN_POINT('',(17.36,46.25,0.)); #443359=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #443360=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #443361=CARTESIAN_POINT('',(17.325,46.25,1.5)); #443362=CARTESIAN_POINT('Origin',(17.5,46.25,1.5)); #443363=CARTESIAN_POINT('',(17.325,46.25,0.)); #443364=CARTESIAN_POINT('',(17.325,46.25,0.)); #443365=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #443366=CARTESIAN_POINT('Origin',(17.5,46.25,1.5)); #443367=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #443368=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #443369=CARTESIAN_POINT('',(33.86,17.75,1.5)); #443370=CARTESIAN_POINT('Origin',(34.,17.75,1.5)); #443371=CARTESIAN_POINT('',(33.86,17.75,0.)); #443372=CARTESIAN_POINT('',(33.86,17.75,0.)); #443373=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #443374=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #443375=CARTESIAN_POINT('',(33.825,17.75,1.5)); #443376=CARTESIAN_POINT('Origin',(34.,17.75,1.5)); #443377=CARTESIAN_POINT('',(33.825,17.75,0.)); #443378=CARTESIAN_POINT('',(33.825,17.75,0.)); #443379=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #443380=CARTESIAN_POINT('Origin',(34.,17.75,1.5)); #443381=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #443382=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #443383=CARTESIAN_POINT('',(19.235,47.,1.5)); #443384=CARTESIAN_POINT('Origin',(19.375,47.,1.5)); #443385=CARTESIAN_POINT('',(19.235,47.,0.)); #443386=CARTESIAN_POINT('',(19.235,47.,0.)); #443387=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #443388=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #443389=CARTESIAN_POINT('',(19.2,47.,1.5)); #443390=CARTESIAN_POINT('Origin',(19.375,47.,1.5)); #443391=CARTESIAN_POINT('',(19.2,47.,0.)); #443392=CARTESIAN_POINT('',(19.2,47.,0.)); #443393=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #443394=CARTESIAN_POINT('Origin',(19.375,47.,1.5)); #443395=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #443396=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #443397=CARTESIAN_POINT('',(61.86,33.25,1.5)); #443398=CARTESIAN_POINT('Origin',(62.,33.25,1.5)); #443399=CARTESIAN_POINT('',(61.86,33.25,0.)); #443400=CARTESIAN_POINT('',(61.86,33.25,0.)); #443401=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #443402=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #443403=CARTESIAN_POINT('',(61.825,33.25,1.5)); #443404=CARTESIAN_POINT('Origin',(62.,33.25,1.5)); #443405=CARTESIAN_POINT('',(61.825,33.25,0.)); #443406=CARTESIAN_POINT('',(61.825,33.25,0.)); #443407=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #443408=CARTESIAN_POINT('Origin',(62.,33.25,1.5)); #443409=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #443410=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #443411=CARTESIAN_POINT('',(19.235,47.75,1.5)); #443412=CARTESIAN_POINT('Origin',(19.375,47.75,1.5)); #443413=CARTESIAN_POINT('',(19.235,47.75,0.)); #443414=CARTESIAN_POINT('',(19.235,47.75,0.)); #443415=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #443416=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #443417=CARTESIAN_POINT('',(19.2,47.75,1.5)); #443418=CARTESIAN_POINT('Origin',(19.375,47.75,1.5)); #443419=CARTESIAN_POINT('',(19.2,47.75,0.)); #443420=CARTESIAN_POINT('',(19.2,47.75,0.)); #443421=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #443422=CARTESIAN_POINT('Origin',(19.375,47.75,1.5)); #443423=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #443424=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #443425=CARTESIAN_POINT('',(36.11,15.5,1.5)); #443426=CARTESIAN_POINT('Origin',(36.25,15.5,1.5)); #443427=CARTESIAN_POINT('',(36.11,15.5,0.)); #443428=CARTESIAN_POINT('',(36.11,15.5,0.)); #443429=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #443430=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #443431=CARTESIAN_POINT('',(36.075,15.5,1.5)); #443432=CARTESIAN_POINT('Origin',(36.25,15.5,1.5)); #443433=CARTESIAN_POINT('',(36.075,15.5,0.)); #443434=CARTESIAN_POINT('',(36.075,15.5,0.)); #443435=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #443436=CARTESIAN_POINT('Origin',(36.25,15.5,1.5)); #443437=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #443438=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #443439=CARTESIAN_POINT('',(19.235,46.25,1.5)); #443440=CARTESIAN_POINT('Origin',(19.375,46.25,1.5)); #443441=CARTESIAN_POINT('',(19.235,46.25,0.)); #443442=CARTESIAN_POINT('',(19.235,46.25,0.)); #443443=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #443444=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #443445=CARTESIAN_POINT('',(19.2,46.25,1.5)); #443446=CARTESIAN_POINT('Origin',(19.375,46.25,1.5)); #443447=CARTESIAN_POINT('',(19.2,46.25,0.)); #443448=CARTESIAN_POINT('',(19.2,46.25,0.)); #443449=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #443450=CARTESIAN_POINT('Origin',(19.375,46.25,1.5)); #443451=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #443452=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #443453=CARTESIAN_POINT('',(57.61,33.25,1.5)); #443454=CARTESIAN_POINT('Origin',(57.75,33.25,1.5)); #443455=CARTESIAN_POINT('',(57.61,33.25,0.)); #443456=CARTESIAN_POINT('',(57.61,33.25,0.)); #443457=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #443458=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #443459=CARTESIAN_POINT('',(57.575,33.25,1.5)); #443460=CARTESIAN_POINT('Origin',(57.75,33.25,1.5)); #443461=CARTESIAN_POINT('',(57.575,33.25,0.)); #443462=CARTESIAN_POINT('',(57.575,33.25,0.)); #443463=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #443464=CARTESIAN_POINT('Origin',(57.75,33.25,1.5)); #443465=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #443466=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #443467=CARTESIAN_POINT('',(17.36,47.75,1.5)); #443468=CARTESIAN_POINT('Origin',(17.5,47.75,1.5)); #443469=CARTESIAN_POINT('',(17.36,47.75,0.)); #443470=CARTESIAN_POINT('',(17.36,47.75,0.)); #443471=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #443472=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #443473=CARTESIAN_POINT('',(17.325,47.75,1.5)); #443474=CARTESIAN_POINT('Origin',(17.5,47.75,1.5)); #443475=CARTESIAN_POINT('',(17.325,47.75,0.)); #443476=CARTESIAN_POINT('',(17.325,47.75,0.)); #443477=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #443478=CARTESIAN_POINT('Origin',(17.5,47.75,1.5)); #443479=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #443480=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #443481=CARTESIAN_POINT('',(39.11,17.5,1.5)); #443482=CARTESIAN_POINT('Origin',(39.25,17.5,1.5)); #443483=CARTESIAN_POINT('',(39.11,17.5,0.)); #443484=CARTESIAN_POINT('',(39.11,17.5,0.)); #443485=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #443486=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #443487=CARTESIAN_POINT('',(39.075,17.5,1.5)); #443488=CARTESIAN_POINT('Origin',(39.25,17.5,1.5)); #443489=CARTESIAN_POINT('',(39.075,17.5,0.)); #443490=CARTESIAN_POINT('',(39.075,17.5,0.)); #443491=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #443492=CARTESIAN_POINT('Origin',(39.25,17.5,1.5)); #443493=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #443494=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #443495=CARTESIAN_POINT('',(16.485,46.25,1.5)); #443496=CARTESIAN_POINT('Origin',(16.625,46.25,1.5)); #443497=CARTESIAN_POINT('',(16.485,46.25,0.)); #443498=CARTESIAN_POINT('',(16.485,46.25,0.)); #443499=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #443500=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #443501=CARTESIAN_POINT('',(16.45,46.25,1.5)); #443502=CARTESIAN_POINT('Origin',(16.625,46.25,1.5)); #443503=CARTESIAN_POINT('',(16.45,46.25,0.)); #443504=CARTESIAN_POINT('',(16.45,46.25,0.)); #443505=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #443506=CARTESIAN_POINT('Origin',(16.625,46.25,1.5)); #443507=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #443508=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #443509=CARTESIAN_POINT('',(9.36,34.,1.5)); #443510=CARTESIAN_POINT('Origin',(9.5,34.,1.5)); #443511=CARTESIAN_POINT('',(9.36,34.,0.)); #443512=CARTESIAN_POINT('',(9.36,34.,0.)); #443513=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #443514=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #443515=CARTESIAN_POINT('',(9.325,34.,1.5)); #443516=CARTESIAN_POINT('Origin',(9.5,34.,1.5)); #443517=CARTESIAN_POINT('',(9.325,34.,0.)); #443518=CARTESIAN_POINT('',(9.325,34.,0.)); #443519=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #443520=CARTESIAN_POINT('Origin',(9.5,34.,1.5)); #443521=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #443522=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #443523=CARTESIAN_POINT('',(16.485,47.,1.5)); #443524=CARTESIAN_POINT('Origin',(16.625,47.,1.5)); #443525=CARTESIAN_POINT('',(16.485,47.,0.)); #443526=CARTESIAN_POINT('',(16.485,47.,0.)); #443527=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #443528=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #443529=CARTESIAN_POINT('',(16.45,47.,1.5)); #443530=CARTESIAN_POINT('Origin',(16.625,47.,1.5)); #443531=CARTESIAN_POINT('',(16.45,47.,0.)); #443532=CARTESIAN_POINT('',(16.45,47.,0.)); #443533=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #443534=CARTESIAN_POINT('Origin',(16.625,47.,1.5)); #443535=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #443536=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #443537=CARTESIAN_POINT('',(35.36,14.75,1.5)); #443538=CARTESIAN_POINT('Origin',(35.5,14.75,1.5)); #443539=CARTESIAN_POINT('',(35.36,14.75,0.)); #443540=CARTESIAN_POINT('',(35.36,14.75,0.)); #443541=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #443542=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #443543=CARTESIAN_POINT('',(35.325,14.75,1.5)); #443544=CARTESIAN_POINT('Origin',(35.5,14.75,1.5)); #443545=CARTESIAN_POINT('',(35.325,14.75,0.)); #443546=CARTESIAN_POINT('',(35.325,14.75,0.)); #443547=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #443548=CARTESIAN_POINT('Origin',(35.5,14.75,1.5)); #443549=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #443550=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #443551=CARTESIAN_POINT('',(15.61,47.75,1.5)); #443552=CARTESIAN_POINT('Origin',(15.75,47.75,1.5)); #443553=CARTESIAN_POINT('',(15.61,47.75,0.)); #443554=CARTESIAN_POINT('',(15.61,47.75,0.)); #443555=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #443556=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #443557=CARTESIAN_POINT('',(15.575,47.75,1.5)); #443558=CARTESIAN_POINT('Origin',(15.75,47.75,1.5)); #443559=CARTESIAN_POINT('',(15.575,47.75,0.)); #443560=CARTESIAN_POINT('',(15.575,47.75,0.)); #443561=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #443562=CARTESIAN_POINT('Origin',(15.75,47.75,1.5)); #443563=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #443564=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #443565=CARTESIAN_POINT('',(10.11,34.,1.5)); #443566=CARTESIAN_POINT('Origin',(10.25,34.,1.5)); #443567=CARTESIAN_POINT('',(10.11,34.,0.)); #443568=CARTESIAN_POINT('',(10.11,34.,0.)); #443569=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #443570=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #443571=CARTESIAN_POINT('',(10.075,34.,1.5)); #443572=CARTESIAN_POINT('Origin',(10.25,34.,1.5)); #443573=CARTESIAN_POINT('',(10.075,34.,0.)); #443574=CARTESIAN_POINT('',(10.075,34.,0.)); #443575=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #443576=CARTESIAN_POINT('Origin',(10.25,34.,1.5)); #443577=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #443578=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #443579=CARTESIAN_POINT('',(16.485,47.75,1.5)); #443580=CARTESIAN_POINT('Origin',(16.625,47.75,1.5)); #443581=CARTESIAN_POINT('',(16.485,47.75,0.)); #443582=CARTESIAN_POINT('',(16.485,47.75,0.)); #443583=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #443584=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #443585=CARTESIAN_POINT('',(16.45,47.75,1.5)); #443586=CARTESIAN_POINT('Origin',(16.625,47.75,1.5)); #443587=CARTESIAN_POINT('',(16.45,47.75,0.)); #443588=CARTESIAN_POINT('',(16.45,47.75,0.)); #443589=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #443590=CARTESIAN_POINT('Origin',(16.625,47.75,1.5)); #443591=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #443592=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #443593=CARTESIAN_POINT('',(34.61,17.,1.5)); #443594=CARTESIAN_POINT('Origin',(34.75,17.,1.5)); #443595=CARTESIAN_POINT('',(34.61,17.,0.)); #443596=CARTESIAN_POINT('',(34.61,17.,0.)); #443597=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #443598=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #443599=CARTESIAN_POINT('',(34.575,17.,1.5)); #443600=CARTESIAN_POINT('Origin',(34.75,17.,1.5)); #443601=CARTESIAN_POINT('',(34.575,17.,0.)); #443602=CARTESIAN_POINT('',(34.575,17.,0.)); #443603=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #443604=CARTESIAN_POINT('Origin',(34.75,17.,1.5)); #443605=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #443606=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #443607=CARTESIAN_POINT('',(26.61,31.,1.5)); #443608=CARTESIAN_POINT('Origin',(26.75,31.,1.5)); #443609=CARTESIAN_POINT('',(26.61,31.,0.)); #443610=CARTESIAN_POINT('',(26.61,31.,0.)); #443611=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #443612=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #443613=CARTESIAN_POINT('',(26.575,31.,1.5)); #443614=CARTESIAN_POINT('Origin',(26.75,31.,1.5)); #443615=CARTESIAN_POINT('',(26.575,31.,0.)); #443616=CARTESIAN_POINT('',(26.575,31.,0.)); #443617=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #443618=CARTESIAN_POINT('Origin',(26.75,31.,1.5)); #443619=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #443620=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #443621=CARTESIAN_POINT('',(9.36,33.25,1.5)); #443622=CARTESIAN_POINT('Origin',(9.5,33.25,1.5)); #443623=CARTESIAN_POINT('',(9.36,33.25,0.)); #443624=CARTESIAN_POINT('',(9.36,33.25,0.)); #443625=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #443626=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #443627=CARTESIAN_POINT('',(9.325,33.25,1.5)); #443628=CARTESIAN_POINT('Origin',(9.5,33.25,1.5)); #443629=CARTESIAN_POINT('',(9.325,33.25,0.)); #443630=CARTESIAN_POINT('',(9.325,33.25,0.)); #443631=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #443632=CARTESIAN_POINT('Origin',(9.5,33.25,1.5)); #443633=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #443634=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #443635=CARTESIAN_POINT('',(26.61,36.,1.5)); #443636=CARTESIAN_POINT('Origin',(26.75,36.,1.5)); #443637=CARTESIAN_POINT('',(26.61,36.,0.)); #443638=CARTESIAN_POINT('',(26.61,36.,0.)); #443639=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #443640=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #443641=CARTESIAN_POINT('',(26.575,36.,1.5)); #443642=CARTESIAN_POINT('Origin',(26.75,36.,1.5)); #443643=CARTESIAN_POINT('',(26.575,36.,0.)); #443644=CARTESIAN_POINT('',(26.575,36.,0.)); #443645=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #443646=CARTESIAN_POINT('Origin',(26.75,36.,1.5)); #443647=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #443648=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #443649=CARTESIAN_POINT('',(34.61,14.75,1.5)); #443650=CARTESIAN_POINT('Origin',(34.75,14.75,1.5)); #443651=CARTESIAN_POINT('',(34.61,14.75,0.)); #443652=CARTESIAN_POINT('',(34.61,14.75,0.)); #443653=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #443654=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #443655=CARTESIAN_POINT('',(34.575,14.75,1.5)); #443656=CARTESIAN_POINT('Origin',(34.75,14.75,1.5)); #443657=CARTESIAN_POINT('',(34.575,14.75,0.)); #443658=CARTESIAN_POINT('',(34.575,14.75,0.)); #443659=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #443660=CARTESIAN_POINT('Origin',(34.75,14.75,1.5)); #443661=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #443662=CARTESIAN_POINT('Origin',(26.,36.,0.)); #443663=CARTESIAN_POINT('',(25.86,36.,1.5)); #443664=CARTESIAN_POINT('Origin',(26.,36.,1.5)); #443665=CARTESIAN_POINT('',(25.86,36.,0.)); #443666=CARTESIAN_POINT('',(25.86,36.,0.)); #443667=CARTESIAN_POINT('Origin',(26.,36.,0.)); #443668=CARTESIAN_POINT('Origin',(26.,36.,0.)); #443669=CARTESIAN_POINT('',(25.825,36.,1.5)); #443670=CARTESIAN_POINT('Origin',(26.,36.,1.5)); #443671=CARTESIAN_POINT('',(25.825,36.,0.)); #443672=CARTESIAN_POINT('',(25.825,36.,0.)); #443673=CARTESIAN_POINT('Origin',(26.,36.,0.)); #443674=CARTESIAN_POINT('Origin',(26.,36.,1.5)); #443675=CARTESIAN_POINT('Origin',(26.,36.,0.)); #443676=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #443677=CARTESIAN_POINT('',(10.11,33.25,1.5)); #443678=CARTESIAN_POINT('Origin',(10.25,33.25,1.5)); #443679=CARTESIAN_POINT('',(10.11,33.25,0.)); #443680=CARTESIAN_POINT('',(10.11,33.25,0.)); #443681=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #443682=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #443683=CARTESIAN_POINT('',(10.075,33.25,1.5)); #443684=CARTESIAN_POINT('Origin',(10.25,33.25,1.5)); #443685=CARTESIAN_POINT('',(10.075,33.25,0.)); #443686=CARTESIAN_POINT('',(10.075,33.25,0.)); #443687=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #443688=CARTESIAN_POINT('Origin',(10.25,33.25,1.5)); #443689=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #443690=CARTESIAN_POINT('Origin',(26.,31.,0.)); #443691=CARTESIAN_POINT('',(25.86,31.,1.5)); #443692=CARTESIAN_POINT('Origin',(26.,31.,1.5)); #443693=CARTESIAN_POINT('',(25.86,31.,0.)); #443694=CARTESIAN_POINT('',(25.86,31.,0.)); #443695=CARTESIAN_POINT('Origin',(26.,31.,0.)); #443696=CARTESIAN_POINT('Origin',(26.,31.,0.)); #443697=CARTESIAN_POINT('',(25.825,31.,1.5)); #443698=CARTESIAN_POINT('Origin',(26.,31.,1.5)); #443699=CARTESIAN_POINT('',(25.825,31.,0.)); #443700=CARTESIAN_POINT('',(25.825,31.,0.)); #443701=CARTESIAN_POINT('Origin',(26.,31.,0.)); #443702=CARTESIAN_POINT('Origin',(26.,31.,1.5)); #443703=CARTESIAN_POINT('Origin',(26.,31.,0.)); #443704=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #443705=CARTESIAN_POINT('',(34.705,45.,1.5)); #443706=CARTESIAN_POINT('Origin',(35.27,45.,1.5)); #443707=CARTESIAN_POINT('',(34.705,45.,0.)); #443708=CARTESIAN_POINT('',(34.705,45.,0.)); #443709=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #443710=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #443711=CARTESIAN_POINT('',(34.67,45.,1.5)); #443712=CARTESIAN_POINT('Origin',(35.27,45.,1.5)); #443713=CARTESIAN_POINT('',(34.67,45.,0.)); #443714=CARTESIAN_POINT('',(34.67,45.,0.)); #443715=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #443716=CARTESIAN_POINT('Origin',(35.27,45.,1.5)); #443717=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #443718=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #443719=CARTESIAN_POINT('',(52.61,21.75,1.5)); #443720=CARTESIAN_POINT('Origin',(52.75,21.75,1.5)); #443721=CARTESIAN_POINT('',(52.61,21.75,0.)); #443722=CARTESIAN_POINT('',(52.61,21.75,0.)); #443723=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #443724=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #443725=CARTESIAN_POINT('',(52.575,21.75,1.5)); #443726=CARTESIAN_POINT('Origin',(52.75,21.75,1.5)); #443727=CARTESIAN_POINT('',(52.575,21.75,0.)); #443728=CARTESIAN_POINT('',(52.575,21.75,0.)); #443729=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #443730=CARTESIAN_POINT('Origin',(52.75,21.75,1.5)); #443731=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #443732=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #443733=CARTESIAN_POINT('',(33.86,49.25,1.5)); #443734=CARTESIAN_POINT('Origin',(34.,49.25,1.5)); #443735=CARTESIAN_POINT('',(33.86,49.25,0.)); #443736=CARTESIAN_POINT('',(33.86,49.25,0.)); #443737=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #443738=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #443739=CARTESIAN_POINT('',(33.825,49.25,1.5)); #443740=CARTESIAN_POINT('Origin',(34.,49.25,1.5)); #443741=CARTESIAN_POINT('',(33.825,49.25,0.)); #443742=CARTESIAN_POINT('',(33.825,49.25,0.)); #443743=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #443744=CARTESIAN_POINT('Origin',(34.,49.25,1.5)); #443745=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #443746=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #443747=CARTESIAN_POINT('',(53.36,21.75,1.5)); #443748=CARTESIAN_POINT('Origin',(53.5,21.75,1.5)); #443749=CARTESIAN_POINT('',(53.36,21.75,0.)); #443750=CARTESIAN_POINT('',(53.36,21.75,0.)); #443751=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #443752=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #443753=CARTESIAN_POINT('',(53.325,21.75,1.5)); #443754=CARTESIAN_POINT('Origin',(53.5,21.75,1.5)); #443755=CARTESIAN_POINT('',(53.325,21.75,0.)); #443756=CARTESIAN_POINT('',(53.325,21.75,0.)); #443757=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #443758=CARTESIAN_POINT('Origin',(53.5,21.75,1.5)); #443759=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #443760=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #443761=CARTESIAN_POINT('',(35.36,18.5,1.5)); #443762=CARTESIAN_POINT('Origin',(35.5,18.5,1.5)); #443763=CARTESIAN_POINT('',(35.36,18.5,0.)); #443764=CARTESIAN_POINT('',(35.36,18.5,0.)); #443765=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #443766=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #443767=CARTESIAN_POINT('',(35.325,18.5,1.5)); #443768=CARTESIAN_POINT('Origin',(35.5,18.5,1.5)); #443769=CARTESIAN_POINT('',(35.325,18.5,0.)); #443770=CARTESIAN_POINT('',(35.325,18.5,0.)); #443771=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #443772=CARTESIAN_POINT('Origin',(35.5,18.5,1.5)); #443773=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #443774=CARTESIAN_POINT('Origin',(52.,21.,0.)); #443775=CARTESIAN_POINT('',(51.86,21.,1.5)); #443776=CARTESIAN_POINT('Origin',(52.,21.,1.5)); #443777=CARTESIAN_POINT('',(51.86,21.,0.)); #443778=CARTESIAN_POINT('',(51.86,21.,0.)); #443779=CARTESIAN_POINT('Origin',(52.,21.,0.)); #443780=CARTESIAN_POINT('Origin',(52.,21.,0.)); #443781=CARTESIAN_POINT('',(51.825,21.,1.5)); #443782=CARTESIAN_POINT('Origin',(52.,21.,1.5)); #443783=CARTESIAN_POINT('',(51.825,21.,0.)); #443784=CARTESIAN_POINT('',(51.825,21.,0.)); #443785=CARTESIAN_POINT('Origin',(52.,21.,0.)); #443786=CARTESIAN_POINT('Origin',(52.,21.,1.5)); #443787=CARTESIAN_POINT('Origin',(52.,21.,0.)); #443788=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #443789=CARTESIAN_POINT('',(34.61,49.25,1.5)); #443790=CARTESIAN_POINT('Origin',(34.75,49.25,1.5)); #443791=CARTESIAN_POINT('',(34.61,49.25,0.)); #443792=CARTESIAN_POINT('',(34.61,49.25,0.)); #443793=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #443794=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #443795=CARTESIAN_POINT('',(34.575,49.25,1.5)); #443796=CARTESIAN_POINT('Origin',(34.75,49.25,1.5)); #443797=CARTESIAN_POINT('',(34.575,49.25,0.)); #443798=CARTESIAN_POINT('',(34.575,49.25,0.)); #443799=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #443800=CARTESIAN_POINT('Origin',(34.75,49.25,1.5)); #443801=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #443802=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #443803=CARTESIAN_POINT('',(53.36,21.,1.5)); #443804=CARTESIAN_POINT('Origin',(53.5,21.,1.5)); #443805=CARTESIAN_POINT('',(53.36,21.,0.)); #443806=CARTESIAN_POINT('',(53.36,21.,0.)); #443807=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #443808=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #443809=CARTESIAN_POINT('',(53.325,21.,1.5)); #443810=CARTESIAN_POINT('Origin',(53.5,21.,1.5)); #443811=CARTESIAN_POINT('',(53.325,21.,0.)); #443812=CARTESIAN_POINT('',(53.325,21.,0.)); #443813=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #443814=CARTESIAN_POINT('Origin',(53.5,21.,1.5)); #443815=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #443816=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #443817=CARTESIAN_POINT('',(27.622,19.,1.5)); #443818=CARTESIAN_POINT('Origin',(27.762,19.,1.5)); #443819=CARTESIAN_POINT('',(27.622,19.,0.)); #443820=CARTESIAN_POINT('',(27.622,19.,0.)); #443821=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #443822=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #443823=CARTESIAN_POINT('',(27.587,19.,1.5)); #443824=CARTESIAN_POINT('Origin',(27.762,19.,1.5)); #443825=CARTESIAN_POINT('',(27.587,19.,0.)); #443826=CARTESIAN_POINT('',(27.587,19.,0.)); #443827=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #443828=CARTESIAN_POINT('Origin',(27.762,19.,1.5)); #443829=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #443830=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #443831=CARTESIAN_POINT('',(42.36,16.,1.5)); #443832=CARTESIAN_POINT('Origin',(42.5,16.,1.5)); #443833=CARTESIAN_POINT('',(42.36,16.,0.)); #443834=CARTESIAN_POINT('',(42.36,16.,0.)); #443835=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #443836=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #443837=CARTESIAN_POINT('',(42.325,16.,1.5)); #443838=CARTESIAN_POINT('Origin',(42.5,16.,1.5)); #443839=CARTESIAN_POINT('',(42.325,16.,0.)); #443840=CARTESIAN_POINT('',(42.325,16.,0.)); #443841=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #443842=CARTESIAN_POINT('Origin',(42.5,16.,1.5)); #443843=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #443844=CARTESIAN_POINT('Origin',(31.,13.,0.)); #443845=CARTESIAN_POINT('',(30.86,13.,1.5)); #443846=CARTESIAN_POINT('Origin',(31.,13.,1.5)); #443847=CARTESIAN_POINT('',(30.86,13.,0.)); #443848=CARTESIAN_POINT('',(30.86,13.,0.)); #443849=CARTESIAN_POINT('Origin',(31.,13.,0.)); #443850=CARTESIAN_POINT('Origin',(31.,13.,0.)); #443851=CARTESIAN_POINT('',(30.825,13.,1.5)); #443852=CARTESIAN_POINT('Origin',(31.,13.,1.5)); #443853=CARTESIAN_POINT('',(30.825,13.,0.)); #443854=CARTESIAN_POINT('',(30.825,13.,0.)); #443855=CARTESIAN_POINT('Origin',(31.,13.,0.)); #443856=CARTESIAN_POINT('Origin',(31.,13.,1.5)); #443857=CARTESIAN_POINT('Origin',(31.,13.,0.)); #443858=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #443859=CARTESIAN_POINT('',(39.11,18.25,1.5)); #443860=CARTESIAN_POINT('Origin',(39.25,18.25,1.5)); #443861=CARTESIAN_POINT('',(39.11,18.25,0.)); #443862=CARTESIAN_POINT('',(39.11,18.25,0.)); #443863=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #443864=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #443865=CARTESIAN_POINT('',(39.075,18.25,1.5)); #443866=CARTESIAN_POINT('Origin',(39.25,18.25,1.5)); #443867=CARTESIAN_POINT('',(39.075,18.25,0.)); #443868=CARTESIAN_POINT('',(39.075,18.25,0.)); #443869=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #443870=CARTESIAN_POINT('Origin',(39.25,18.25,1.5)); #443871=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #443872=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #443873=CARTESIAN_POINT('',(35.36,17.75,1.5)); #443874=CARTESIAN_POINT('Origin',(35.5,17.75,1.5)); #443875=CARTESIAN_POINT('',(35.36,17.75,0.)); #443876=CARTESIAN_POINT('',(35.36,17.75,0.)); #443877=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #443878=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #443879=CARTESIAN_POINT('',(35.325,17.75,1.5)); #443880=CARTESIAN_POINT('Origin',(35.5,17.75,1.5)); #443881=CARTESIAN_POINT('',(35.325,17.75,0.)); #443882=CARTESIAN_POINT('',(35.325,17.75,0.)); #443883=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #443884=CARTESIAN_POINT('Origin',(35.5,17.75,1.5)); #443885=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #443886=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #443887=CARTESIAN_POINT('',(39.11,19.,1.5)); #443888=CARTESIAN_POINT('Origin',(39.25,19.,1.5)); #443889=CARTESIAN_POINT('',(39.11,19.,0.)); #443890=CARTESIAN_POINT('',(39.11,19.,0.)); #443891=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #443892=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #443893=CARTESIAN_POINT('',(39.075,19.,1.5)); #443894=CARTESIAN_POINT('Origin',(39.25,19.,1.5)); #443895=CARTESIAN_POINT('',(39.075,19.,0.)); #443896=CARTESIAN_POINT('',(39.075,19.,0.)); #443897=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #443898=CARTESIAN_POINT('Origin',(39.25,19.,1.5)); #443899=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #443900=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #443901=CARTESIAN_POINT('',(37.36,21.25,1.5)); #443902=CARTESIAN_POINT('Origin',(37.5,21.25,1.5)); #443903=CARTESIAN_POINT('',(37.36,21.25,0.)); #443904=CARTESIAN_POINT('',(37.36,21.25,0.)); #443905=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #443906=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #443907=CARTESIAN_POINT('',(37.325,21.25,1.5)); #443908=CARTESIAN_POINT('Origin',(37.5,21.25,1.5)); #443909=CARTESIAN_POINT('',(37.325,21.25,0.)); #443910=CARTESIAN_POINT('',(37.325,21.25,0.)); #443911=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #443912=CARTESIAN_POINT('Origin',(37.5,21.25,1.5)); #443913=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #443914=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #443915=CARTESIAN_POINT('',(52.61,21.,1.5)); #443916=CARTESIAN_POINT('Origin',(52.75,21.,1.5)); #443917=CARTESIAN_POINT('',(52.61,21.,0.)); #443918=CARTESIAN_POINT('',(52.61,21.,0.)); #443919=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #443920=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #443921=CARTESIAN_POINT('',(52.575,21.,1.5)); #443922=CARTESIAN_POINT('Origin',(52.75,21.,1.5)); #443923=CARTESIAN_POINT('',(52.575,21.,0.)); #443924=CARTESIAN_POINT('',(52.575,21.,0.)); #443925=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #443926=CARTESIAN_POINT('Origin',(52.75,21.,1.5)); #443927=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #443928=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #443929=CARTESIAN_POINT('',(15.285,34.,1.5)); #443930=CARTESIAN_POINT('Origin',(15.75,34.,1.5)); #443931=CARTESIAN_POINT('',(15.285,34.,0.)); #443932=CARTESIAN_POINT('',(15.285,34.,0.)); #443933=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #443934=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #443935=CARTESIAN_POINT('',(15.25,34.,1.5)); #443936=CARTESIAN_POINT('Origin',(15.75,34.,1.5)); #443937=CARTESIAN_POINT('',(15.25,34.,0.)); #443938=CARTESIAN_POINT('',(15.25,34.,0.)); #443939=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #443940=CARTESIAN_POINT('Origin',(15.75,34.,1.5)); #443941=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #443942=CARTESIAN_POINT('Origin',(16.,21.,0.)); #443943=CARTESIAN_POINT('',(15.86,21.,1.5)); #443944=CARTESIAN_POINT('Origin',(16.,21.,1.5)); #443945=CARTESIAN_POINT('',(15.86,21.,0.)); #443946=CARTESIAN_POINT('',(15.86,21.,0.)); #443947=CARTESIAN_POINT('Origin',(16.,21.,0.)); #443948=CARTESIAN_POINT('Origin',(16.,21.,0.)); #443949=CARTESIAN_POINT('',(15.825,21.,1.5)); #443950=CARTESIAN_POINT('Origin',(16.,21.,1.5)); #443951=CARTESIAN_POINT('',(15.825,21.,0.)); #443952=CARTESIAN_POINT('',(15.825,21.,0.)); #443953=CARTESIAN_POINT('Origin',(16.,21.,0.)); #443954=CARTESIAN_POINT('Origin',(16.,21.,1.5)); #443955=CARTESIAN_POINT('Origin',(16.,21.,0.)); #443956=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #443957=CARTESIAN_POINT('',(31.61,19.25,1.5)); #443958=CARTESIAN_POINT('Origin',(31.75,19.25,1.5)); #443959=CARTESIAN_POINT('',(31.61,19.25,0.)); #443960=CARTESIAN_POINT('',(31.61,19.25,0.)); #443961=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #443962=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #443963=CARTESIAN_POINT('',(31.575,19.25,1.5)); #443964=CARTESIAN_POINT('Origin',(31.75,19.25,1.5)); #443965=CARTESIAN_POINT('',(31.575,19.25,0.)); #443966=CARTESIAN_POINT('',(31.575,19.25,0.)); #443967=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #443968=CARTESIAN_POINT('Origin',(31.75,19.25,1.5)); #443969=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #443970=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #443971=CARTESIAN_POINT('',(15.86,21.75,1.5)); #443972=CARTESIAN_POINT('Origin',(16.,21.75,1.5)); #443973=CARTESIAN_POINT('',(15.86,21.75,0.)); #443974=CARTESIAN_POINT('',(15.86,21.75,0.)); #443975=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #443976=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #443977=CARTESIAN_POINT('',(15.825,21.75,1.5)); #443978=CARTESIAN_POINT('Origin',(16.,21.75,1.5)); #443979=CARTESIAN_POINT('',(15.825,21.75,0.)); #443980=CARTESIAN_POINT('',(15.825,21.75,0.)); #443981=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #443982=CARTESIAN_POINT('Origin',(16.,21.75,1.5)); #443983=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #443984=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #443985=CARTESIAN_POINT('',(33.11,16.25,1.5)); #443986=CARTESIAN_POINT('Origin',(33.25,16.25,1.5)); #443987=CARTESIAN_POINT('',(33.11,16.25,0.)); #443988=CARTESIAN_POINT('',(33.11,16.25,0.)); #443989=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #443990=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #443991=CARTESIAN_POINT('',(33.075,16.25,1.5)); #443992=CARTESIAN_POINT('Origin',(33.25,16.25,1.5)); #443993=CARTESIAN_POINT('',(33.075,16.25,0.)); #443994=CARTESIAN_POINT('',(33.075,16.25,0.)); #443995=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #443996=CARTESIAN_POINT('Origin',(33.25,16.25,1.5)); #443997=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #443998=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #443999=CARTESIAN_POINT('',(15.11,21.75,1.5)); #444000=CARTESIAN_POINT('Origin',(15.25,21.75,1.5)); #444001=CARTESIAN_POINT('',(15.11,21.75,0.)); #444002=CARTESIAN_POINT('',(15.11,21.75,0.)); #444003=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #444004=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #444005=CARTESIAN_POINT('',(15.075,21.75,1.5)); #444006=CARTESIAN_POINT('Origin',(15.25,21.75,1.5)); #444007=CARTESIAN_POINT('',(15.075,21.75,0.)); #444008=CARTESIAN_POINT('',(15.075,21.75,0.)); #444009=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #444010=CARTESIAN_POINT('Origin',(15.25,21.75,1.5)); #444011=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #444012=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #444013=CARTESIAN_POINT('',(31.61,18.5,1.5)); #444014=CARTESIAN_POINT('Origin',(31.75,18.5,1.5)); #444015=CARTESIAN_POINT('',(31.61,18.5,0.)); #444016=CARTESIAN_POINT('',(31.61,18.5,0.)); #444017=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #444018=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #444019=CARTESIAN_POINT('',(31.575,18.5,1.5)); #444020=CARTESIAN_POINT('Origin',(31.75,18.5,1.5)); #444021=CARTESIAN_POINT('',(31.575,18.5,0.)); #444022=CARTESIAN_POINT('',(31.575,18.5,0.)); #444023=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #444024=CARTESIAN_POINT('Origin',(31.75,18.5,1.5)); #444025=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #444026=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #444027=CARTESIAN_POINT('',(15.11,20.25,1.5)); #444028=CARTESIAN_POINT('Origin',(15.25,20.25,1.5)); #444029=CARTESIAN_POINT('',(15.11,20.25,0.)); #444030=CARTESIAN_POINT('',(15.11,20.25,0.)); #444031=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #444032=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #444033=CARTESIAN_POINT('',(15.075,20.25,1.5)); #444034=CARTESIAN_POINT('Origin',(15.25,20.25,1.5)); #444035=CARTESIAN_POINT('',(15.075,20.25,0.)); #444036=CARTESIAN_POINT('',(15.075,20.25,0.)); #444037=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #444038=CARTESIAN_POINT('Origin',(15.25,20.25,1.5)); #444039=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #444040=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #444041=CARTESIAN_POINT('',(33.9225,12.25,1.5)); #444042=CARTESIAN_POINT('Origin',(34.0625,12.25,1.5)); #444043=CARTESIAN_POINT('',(33.9225,12.25,0.)); #444044=CARTESIAN_POINT('',(33.9225,12.25,0.)); #444045=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #444046=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #444047=CARTESIAN_POINT('',(33.8875,12.25,1.5)); #444048=CARTESIAN_POINT('Origin',(34.0625,12.25,1.5)); #444049=CARTESIAN_POINT('',(33.8875,12.25,0.)); #444050=CARTESIAN_POINT('',(33.8875,12.25,0.)); #444051=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #444052=CARTESIAN_POINT('Origin',(34.0625,12.25,1.5)); #444053=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #444054=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #444055=CARTESIAN_POINT('',(14.36,20.25,1.5)); #444056=CARTESIAN_POINT('Origin',(14.5,20.25,1.5)); #444057=CARTESIAN_POINT('',(14.36,20.25,0.)); #444058=CARTESIAN_POINT('',(14.36,20.25,0.)); #444059=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #444060=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #444061=CARTESIAN_POINT('',(14.325,20.25,1.5)); #444062=CARTESIAN_POINT('Origin',(14.5,20.25,1.5)); #444063=CARTESIAN_POINT('',(14.325,20.25,0.)); #444064=CARTESIAN_POINT('',(14.325,20.25,0.)); #444065=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #444066=CARTESIAN_POINT('Origin',(14.5,20.25,1.5)); #444067=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #444068=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #444069=CARTESIAN_POINT('',(27.11,24.375,1.5)); #444070=CARTESIAN_POINT('Origin',(27.25,24.375,1.5)); #444071=CARTESIAN_POINT('',(27.11,24.375,0.)); #444072=CARTESIAN_POINT('',(27.11,24.375,0.)); #444073=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #444074=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #444075=CARTESIAN_POINT('',(27.075,24.375,1.5)); #444076=CARTESIAN_POINT('Origin',(27.25,24.375,1.5)); #444077=CARTESIAN_POINT('',(27.075,24.375,0.)); #444078=CARTESIAN_POINT('',(27.075,24.375,0.)); #444079=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #444080=CARTESIAN_POINT('Origin',(27.25,24.375,1.5)); #444081=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #444082=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #444083=CARTESIAN_POINT('',(15.86,20.25,1.5)); #444084=CARTESIAN_POINT('Origin',(16.,20.25,1.5)); #444085=CARTESIAN_POINT('',(15.86,20.25,0.)); #444086=CARTESIAN_POINT('',(15.86,20.25,0.)); #444087=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #444088=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #444089=CARTESIAN_POINT('',(15.825,20.25,1.5)); #444090=CARTESIAN_POINT('Origin',(16.,20.25,1.5)); #444091=CARTESIAN_POINT('',(15.825,20.25,0.)); #444092=CARTESIAN_POINT('',(15.825,20.25,0.)); #444093=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #444094=CARTESIAN_POINT('Origin',(16.,20.25,1.5)); #444095=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #444096=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #444097=CARTESIAN_POINT('',(34.61,16.25,1.5)); #444098=CARTESIAN_POINT('Origin',(34.75,16.25,1.5)); #444099=CARTESIAN_POINT('',(34.61,16.25,0.)); #444100=CARTESIAN_POINT('',(34.61,16.25,0.)); #444101=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #444102=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #444103=CARTESIAN_POINT('',(34.575,16.25,1.5)); #444104=CARTESIAN_POINT('Origin',(34.75,16.25,1.5)); #444105=CARTESIAN_POINT('',(34.575,16.25,0.)); #444106=CARTESIAN_POINT('',(34.575,16.25,0.)); #444107=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #444108=CARTESIAN_POINT('Origin',(34.75,16.25,1.5)); #444109=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #444110=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #444111=CARTESIAN_POINT('',(14.36,21.,1.5)); #444112=CARTESIAN_POINT('Origin',(14.5,21.,1.5)); #444113=CARTESIAN_POINT('',(14.36,21.,0.)); #444114=CARTESIAN_POINT('',(14.36,21.,0.)); #444115=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #444116=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #444117=CARTESIAN_POINT('',(14.325,21.,1.5)); #444118=CARTESIAN_POINT('Origin',(14.5,21.,1.5)); #444119=CARTESIAN_POINT('',(14.325,21.,0.)); #444120=CARTESIAN_POINT('',(14.325,21.,0.)); #444121=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #444122=CARTESIAN_POINT('Origin',(14.5,21.,1.5)); #444123=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #444124=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #444125=CARTESIAN_POINT('',(24.86,26.625,1.5)); #444126=CARTESIAN_POINT('Origin',(25.,26.625,1.5)); #444127=CARTESIAN_POINT('',(24.86,26.625,0.)); #444128=CARTESIAN_POINT('',(24.86,26.625,0.)); #444129=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #444130=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #444131=CARTESIAN_POINT('',(24.825,26.625,1.5)); #444132=CARTESIAN_POINT('Origin',(25.,26.625,1.5)); #444133=CARTESIAN_POINT('',(24.825,26.625,0.)); #444134=CARTESIAN_POINT('',(24.825,26.625,0.)); #444135=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #444136=CARTESIAN_POINT('Origin',(25.,26.625,1.5)); #444137=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #444138=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #444139=CARTESIAN_POINT('',(14.36,21.75,1.5)); #444140=CARTESIAN_POINT('Origin',(14.5,21.75,1.5)); #444141=CARTESIAN_POINT('',(14.36,21.75,0.)); #444142=CARTESIAN_POINT('',(14.36,21.75,0.)); #444143=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #444144=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #444145=CARTESIAN_POINT('',(14.325,21.75,1.5)); #444146=CARTESIAN_POINT('Origin',(14.5,21.75,1.5)); #444147=CARTESIAN_POINT('',(14.325,21.75,0.)); #444148=CARTESIAN_POINT('',(14.325,21.75,0.)); #444149=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #444150=CARTESIAN_POINT('Origin',(14.5,21.75,1.5)); #444151=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #444152=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #444153=CARTESIAN_POINT('',(23.735,34.,1.5)); #444154=CARTESIAN_POINT('Origin',(24.25,34.,1.5)); #444155=CARTESIAN_POINT('',(23.735,34.,0.)); #444156=CARTESIAN_POINT('',(23.735,34.,0.)); #444157=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #444158=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #444159=CARTESIAN_POINT('',(23.7,34.,1.5)); #444160=CARTESIAN_POINT('Origin',(24.25,34.,1.5)); #444161=CARTESIAN_POINT('',(23.7,34.,0.)); #444162=CARTESIAN_POINT('',(23.7,34.,0.)); #444163=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #444164=CARTESIAN_POINT('Origin',(24.25,34.,1.5)); #444165=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #444166=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #444167=CARTESIAN_POINT('',(44.11,46.25,1.5)); #444168=CARTESIAN_POINT('Origin',(44.25,46.25,1.5)); #444169=CARTESIAN_POINT('',(44.11,46.25,0.)); #444170=CARTESIAN_POINT('',(44.11,46.25,0.)); #444171=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #444172=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #444173=CARTESIAN_POINT('',(44.075,46.25,1.5)); #444174=CARTESIAN_POINT('Origin',(44.25,46.25,1.5)); #444175=CARTESIAN_POINT('',(44.075,46.25,0.)); #444176=CARTESIAN_POINT('',(44.075,46.25,0.)); #444177=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #444178=CARTESIAN_POINT('Origin',(44.25,46.25,1.5)); #444179=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #444180=CARTESIAN_POINT('Origin',(34.,50.,0.)); #444181=CARTESIAN_POINT('',(33.86,50.,1.5)); #444182=CARTESIAN_POINT('Origin',(34.,50.,1.5)); #444183=CARTESIAN_POINT('',(33.86,50.,0.)); #444184=CARTESIAN_POINT('',(33.86,50.,0.)); #444185=CARTESIAN_POINT('Origin',(34.,50.,0.)); #444186=CARTESIAN_POINT('Origin',(34.,50.,0.)); #444187=CARTESIAN_POINT('',(33.825,50.,1.5)); #444188=CARTESIAN_POINT('Origin',(34.,50.,1.5)); #444189=CARTESIAN_POINT('',(33.825,50.,0.)); #444190=CARTESIAN_POINT('',(33.825,50.,0.)); #444191=CARTESIAN_POINT('Origin',(34.,50.,0.)); #444192=CARTESIAN_POINT('Origin',(34.,50.,1.5)); #444193=CARTESIAN_POINT('Origin',(34.,50.,0.)); #444194=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #444195=CARTESIAN_POINT('',(44.11,47.75,1.5)); #444196=CARTESIAN_POINT('Origin',(44.25,47.75,1.5)); #444197=CARTESIAN_POINT('',(44.11,47.75,0.)); #444198=CARTESIAN_POINT('',(44.11,47.75,0.)); #444199=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #444200=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #444201=CARTESIAN_POINT('',(44.075,47.75,1.5)); #444202=CARTESIAN_POINT('Origin',(44.25,47.75,1.5)); #444203=CARTESIAN_POINT('',(44.075,47.75,0.)); #444204=CARTESIAN_POINT('',(44.075,47.75,0.)); #444205=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #444206=CARTESIAN_POINT('Origin',(44.25,47.75,1.5)); #444207=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #444208=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #444209=CARTESIAN_POINT('',(33.86,18.5,1.5)); #444210=CARTESIAN_POINT('Origin',(34.,18.5,1.5)); #444211=CARTESIAN_POINT('',(33.86,18.5,0.)); #444212=CARTESIAN_POINT('',(33.86,18.5,0.)); #444213=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #444214=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #444215=CARTESIAN_POINT('',(33.825,18.5,1.5)); #444216=CARTESIAN_POINT('Origin',(34.,18.5,1.5)); #444217=CARTESIAN_POINT('',(33.825,18.5,0.)); #444218=CARTESIAN_POINT('',(33.825,18.5,0.)); #444219=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #444220=CARTESIAN_POINT('Origin',(34.,18.5,1.5)); #444221=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #444222=CARTESIAN_POINT('Origin',(42.,36.,0.)); #444223=CARTESIAN_POINT('',(41.86,36.,1.5)); #444224=CARTESIAN_POINT('Origin',(42.,36.,1.5)); #444225=CARTESIAN_POINT('',(41.86,36.,0.)); #444226=CARTESIAN_POINT('',(41.86,36.,0.)); #444227=CARTESIAN_POINT('Origin',(42.,36.,0.)); #444228=CARTESIAN_POINT('Origin',(42.,36.,0.)); #444229=CARTESIAN_POINT('',(41.825,36.,1.5)); #444230=CARTESIAN_POINT('Origin',(42.,36.,1.5)); #444231=CARTESIAN_POINT('',(41.825,36.,0.)); #444232=CARTESIAN_POINT('',(41.825,36.,0.)); #444233=CARTESIAN_POINT('Origin',(42.,36.,0.)); #444234=CARTESIAN_POINT('Origin',(42.,36.,1.5)); #444235=CARTESIAN_POINT('Origin',(42.,36.,0.)); #444236=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #444237=CARTESIAN_POINT('',(34.61,50.,1.5)); #444238=CARTESIAN_POINT('Origin',(34.75,50.,1.5)); #444239=CARTESIAN_POINT('',(34.61,50.,0.)); #444240=CARTESIAN_POINT('',(34.61,50.,0.)); #444241=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #444242=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #444243=CARTESIAN_POINT('',(34.575,50.,1.5)); #444244=CARTESIAN_POINT('Origin',(34.75,50.,1.5)); #444245=CARTESIAN_POINT('',(34.575,50.,0.)); #444246=CARTESIAN_POINT('',(34.575,50.,0.)); #444247=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #444248=CARTESIAN_POINT('Origin',(34.75,50.,1.5)); #444249=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #444250=CARTESIAN_POINT('Origin',(42.,31.,0.)); #444251=CARTESIAN_POINT('',(41.86,31.,1.5)); #444252=CARTESIAN_POINT('Origin',(42.,31.,1.5)); #444253=CARTESIAN_POINT('',(41.86,31.,0.)); #444254=CARTESIAN_POINT('',(41.86,31.,0.)); #444255=CARTESIAN_POINT('Origin',(42.,31.,0.)); #444256=CARTESIAN_POINT('Origin',(42.,31.,0.)); #444257=CARTESIAN_POINT('',(41.825,31.,1.5)); #444258=CARTESIAN_POINT('Origin',(42.,31.,1.5)); #444259=CARTESIAN_POINT('',(41.825,31.,0.)); #444260=CARTESIAN_POINT('',(41.825,31.,0.)); #444261=CARTESIAN_POINT('Origin',(42.,31.,0.)); #444262=CARTESIAN_POINT('Origin',(42.,31.,1.5)); #444263=CARTESIAN_POINT('Origin',(42.,31.,0.)); #444264=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #444265=CARTESIAN_POINT('',(33.86,5.5,1.5)); #444266=CARTESIAN_POINT('Origin',(34.,5.5,1.5)); #444267=CARTESIAN_POINT('',(33.86,5.5,0.)); #444268=CARTESIAN_POINT('',(33.86,5.5,0.)); #444269=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #444270=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #444271=CARTESIAN_POINT('',(33.825,5.5,1.5)); #444272=CARTESIAN_POINT('Origin',(34.,5.5,1.5)); #444273=CARTESIAN_POINT('',(33.825,5.5,0.)); #444274=CARTESIAN_POINT('',(33.825,5.5,0.)); #444275=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #444276=CARTESIAN_POINT('Origin',(34.,5.5,1.5)); #444277=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #444278=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #444279=CARTESIAN_POINT('',(51.86,20.25,1.5)); #444280=CARTESIAN_POINT('Origin',(52.,20.25,1.5)); #444281=CARTESIAN_POINT('',(51.86,20.25,0.)); #444282=CARTESIAN_POINT('',(51.86,20.25,0.)); #444283=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #444284=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #444285=CARTESIAN_POINT('',(51.825,20.25,1.5)); #444286=CARTESIAN_POINT('Origin',(52.,20.25,1.5)); #444287=CARTESIAN_POINT('',(51.825,20.25,0.)); #444288=CARTESIAN_POINT('',(51.825,20.25,0.)); #444289=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #444290=CARTESIAN_POINT('Origin',(52.,20.25,1.5)); #444291=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #444292=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #444293=CARTESIAN_POINT('',(33.86,48.5,1.5)); #444294=CARTESIAN_POINT('Origin',(34.,48.5,1.5)); #444295=CARTESIAN_POINT('',(33.86,48.5,0.)); #444296=CARTESIAN_POINT('',(33.86,48.5,0.)); #444297=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #444298=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #444299=CARTESIAN_POINT('',(33.825,48.5,1.5)); #444300=CARTESIAN_POINT('Origin',(34.,48.5,1.5)); #444301=CARTESIAN_POINT('',(33.825,48.5,0.)); #444302=CARTESIAN_POINT('',(33.825,48.5,0.)); #444303=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #444304=CARTESIAN_POINT('Origin',(34.,48.5,1.5)); #444305=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #444306=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #444307=CARTESIAN_POINT('',(51.86,21.75,1.5)); #444308=CARTESIAN_POINT('Origin',(52.,21.75,1.5)); #444309=CARTESIAN_POINT('',(51.86,21.75,0.)); #444310=CARTESIAN_POINT('',(51.86,21.75,0.)); #444311=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #444312=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #444313=CARTESIAN_POINT('',(51.825,21.75,1.5)); #444314=CARTESIAN_POINT('Origin',(52.,21.75,1.5)); #444315=CARTESIAN_POINT('',(51.825,21.75,0.)); #444316=CARTESIAN_POINT('',(51.825,21.75,0.)); #444317=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #444318=CARTESIAN_POINT('Origin',(52.,21.75,1.5)); #444319=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #444320=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #444321=CARTESIAN_POINT('',(34.61,18.5,1.5)); #444322=CARTESIAN_POINT('Origin',(34.75,18.5,1.5)); #444323=CARTESIAN_POINT('',(34.61,18.5,0.)); #444324=CARTESIAN_POINT('',(34.61,18.5,0.)); #444325=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #444326=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #444327=CARTESIAN_POINT('',(34.575,18.5,1.5)); #444328=CARTESIAN_POINT('Origin',(34.75,18.5,1.5)); #444329=CARTESIAN_POINT('',(34.575,18.5,0.)); #444330=CARTESIAN_POINT('',(34.575,18.5,0.)); #444331=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #444332=CARTESIAN_POINT('Origin',(34.75,18.5,1.5)); #444333=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #444334=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #444335=CARTESIAN_POINT('',(52.61,20.25,1.5)); #444336=CARTESIAN_POINT('Origin',(52.75,20.25,1.5)); #444337=CARTESIAN_POINT('',(52.61,20.25,0.)); #444338=CARTESIAN_POINT('',(52.61,20.25,0.)); #444339=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #444340=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #444341=CARTESIAN_POINT('',(52.575,20.25,1.5)); #444342=CARTESIAN_POINT('Origin',(52.75,20.25,1.5)); #444343=CARTESIAN_POINT('',(52.575,20.25,0.)); #444344=CARTESIAN_POINT('',(52.575,20.25,0.)); #444345=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #444346=CARTESIAN_POINT('Origin',(52.75,20.25,1.5)); #444347=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #444348=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #444349=CARTESIAN_POINT('',(34.61,48.5,1.5)); #444350=CARTESIAN_POINT('Origin',(34.75,48.5,1.5)); #444351=CARTESIAN_POINT('',(34.61,48.5,0.)); #444352=CARTESIAN_POINT('',(34.61,48.5,0.)); #444353=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #444354=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #444355=CARTESIAN_POINT('',(34.575,48.5,1.5)); #444356=CARTESIAN_POINT('Origin',(34.75,48.5,1.5)); #444357=CARTESIAN_POINT('',(34.575,48.5,0.)); #444358=CARTESIAN_POINT('',(34.575,48.5,0.)); #444359=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #444360=CARTESIAN_POINT('Origin',(34.75,48.5,1.5)); #444361=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #444362=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #444363=CARTESIAN_POINT('',(53.36,20.25,1.5)); #444364=CARTESIAN_POINT('Origin',(53.5,20.25,1.5)); #444365=CARTESIAN_POINT('',(53.36,20.25,0.)); #444366=CARTESIAN_POINT('',(53.36,20.25,0.)); #444367=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #444368=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #444369=CARTESIAN_POINT('',(53.325,20.25,1.5)); #444370=CARTESIAN_POINT('Origin',(53.5,20.25,1.5)); #444371=CARTESIAN_POINT('',(53.325,20.25,0.)); #444372=CARTESIAN_POINT('',(53.325,20.25,0.)); #444373=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #444374=CARTESIAN_POINT('Origin',(53.5,20.25,1.5)); #444375=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #444376=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #444377=CARTESIAN_POINT('',(11.785,34.,1.5)); #444378=CARTESIAN_POINT('Origin',(12.25,34.,1.5)); #444379=CARTESIAN_POINT('',(11.785,34.,0.)); #444380=CARTESIAN_POINT('',(11.785,34.,0.)); #444381=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #444382=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #444383=CARTESIAN_POINT('',(11.75,34.,1.5)); #444384=CARTESIAN_POINT('Origin',(12.25,34.,1.5)); #444385=CARTESIAN_POINT('',(11.75,34.,0.)); #444386=CARTESIAN_POINT('',(11.75,34.,0.)); #444387=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #444388=CARTESIAN_POINT('Origin',(12.25,34.,1.5)); #444389=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #444390=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #444391=CARTESIAN_POINT('',(44.11,47.,1.5)); #444392=CARTESIAN_POINT('Origin',(44.25,47.,1.5)); #444393=CARTESIAN_POINT('',(44.11,47.,0.)); #444394=CARTESIAN_POINT('',(44.11,47.,0.)); #444395=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #444396=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #444397=CARTESIAN_POINT('',(44.075,47.,1.5)); #444398=CARTESIAN_POINT('Origin',(44.25,47.,1.5)); #444399=CARTESIAN_POINT('',(44.075,47.,0.)); #444400=CARTESIAN_POINT('',(44.075,47.,0.)); #444401=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #444402=CARTESIAN_POINT('Origin',(44.25,47.,1.5)); #444403=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #444404=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #444405=CARTESIAN_POINT('',(33.11,49.25,1.5)); #444406=CARTESIAN_POINT('Origin',(33.25,49.25,1.5)); #444407=CARTESIAN_POINT('',(33.11,49.25,0.)); #444408=CARTESIAN_POINT('',(33.11,49.25,0.)); #444409=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #444410=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #444411=CARTESIAN_POINT('',(33.075,49.25,1.5)); #444412=CARTESIAN_POINT('Origin',(33.25,49.25,1.5)); #444413=CARTESIAN_POINT('',(33.075,49.25,0.)); #444414=CARTESIAN_POINT('',(33.075,49.25,0.)); #444415=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #444416=CARTESIAN_POINT('Origin',(33.25,49.25,1.5)); #444417=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #444418=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #444419=CARTESIAN_POINT('',(47.61,47.,1.5)); #444420=CARTESIAN_POINT('Origin',(47.75,47.,1.5)); #444421=CARTESIAN_POINT('',(47.61,47.,0.)); #444422=CARTESIAN_POINT('',(47.61,47.,0.)); #444423=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #444424=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #444425=CARTESIAN_POINT('',(47.575,47.,1.5)); #444426=CARTESIAN_POINT('Origin',(47.75,47.,1.5)); #444427=CARTESIAN_POINT('',(47.575,47.,0.)); #444428=CARTESIAN_POINT('',(47.575,47.,0.)); #444429=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #444430=CARTESIAN_POINT('Origin',(47.75,47.,1.5)); #444431=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #444432=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #444433=CARTESIAN_POINT('',(33.11,18.5,1.5)); #444434=CARTESIAN_POINT('Origin',(33.25,18.5,1.5)); #444435=CARTESIAN_POINT('',(33.11,18.5,0.)); #444436=CARTESIAN_POINT('',(33.11,18.5,0.)); #444437=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #444438=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #444439=CARTESIAN_POINT('',(33.075,18.5,1.5)); #444440=CARTESIAN_POINT('Origin',(33.25,18.5,1.5)); #444441=CARTESIAN_POINT('',(33.075,18.5,0.)); #444442=CARTESIAN_POINT('',(33.075,18.5,0.)); #444443=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #444444=CARTESIAN_POINT('Origin',(33.25,18.5,1.5)); #444445=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #444446=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #444447=CARTESIAN_POINT('',(45.86,46.25,1.5)); #444448=CARTESIAN_POINT('Origin',(46.,46.25,1.5)); #444449=CARTESIAN_POINT('',(45.86,46.25,0.)); #444450=CARTESIAN_POINT('',(45.86,46.25,0.)); #444451=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #444452=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #444453=CARTESIAN_POINT('',(45.825,46.25,1.5)); #444454=CARTESIAN_POINT('Origin',(46.,46.25,1.5)); #444455=CARTESIAN_POINT('',(45.825,46.25,0.)); #444456=CARTESIAN_POINT('',(45.825,46.25,0.)); #444457=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #444458=CARTESIAN_POINT('Origin',(46.,46.25,1.5)); #444459=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #444460=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #444461=CARTESIAN_POINT('',(33.11,50.,1.5)); #444462=CARTESIAN_POINT('Origin',(33.25,50.,1.5)); #444463=CARTESIAN_POINT('',(33.11,50.,0.)); #444464=CARTESIAN_POINT('',(33.11,50.,0.)); #444465=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #444466=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #444467=CARTESIAN_POINT('',(33.075,50.,1.5)); #444468=CARTESIAN_POINT('Origin',(33.25,50.,1.5)); #444469=CARTESIAN_POINT('',(33.075,50.,0.)); #444470=CARTESIAN_POINT('',(33.075,50.,0.)); #444471=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #444472=CARTESIAN_POINT('Origin',(33.25,50.,1.5)); #444473=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #444474=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #444475=CARTESIAN_POINT('',(47.61,46.25,1.5)); #444476=CARTESIAN_POINT('Origin',(47.75,46.25,1.5)); #444477=CARTESIAN_POINT('',(47.61,46.25,0.)); #444478=CARTESIAN_POINT('',(47.61,46.25,0.)); #444479=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #444480=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #444481=CARTESIAN_POINT('',(47.575,46.25,1.5)); #444482=CARTESIAN_POINT('Origin',(47.75,46.25,1.5)); #444483=CARTESIAN_POINT('',(47.575,46.25,0.)); #444484=CARTESIAN_POINT('',(47.575,46.25,0.)); #444485=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #444486=CARTESIAN_POINT('Origin',(47.75,46.25,1.5)); #444487=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #444488=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #444489=CARTESIAN_POINT('',(33.11,5.5,1.5)); #444490=CARTESIAN_POINT('Origin',(33.25,5.5,1.5)); #444491=CARTESIAN_POINT('',(33.11,5.5,0.)); #444492=CARTESIAN_POINT('',(33.11,5.5,0.)); #444493=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #444494=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #444495=CARTESIAN_POINT('',(33.075,5.5,1.5)); #444496=CARTESIAN_POINT('Origin',(33.25,5.5,1.5)); #444497=CARTESIAN_POINT('',(33.075,5.5,0.)); #444498=CARTESIAN_POINT('',(33.075,5.5,0.)); #444499=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #444500=CARTESIAN_POINT('Origin',(33.25,5.5,1.5)); #444501=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #444502=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #444503=CARTESIAN_POINT('',(47.61,47.75,1.5)); #444504=CARTESIAN_POINT('Origin',(47.75,47.75,1.5)); #444505=CARTESIAN_POINT('',(47.61,47.75,0.)); #444506=CARTESIAN_POINT('',(47.61,47.75,0.)); #444507=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #444508=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #444509=CARTESIAN_POINT('',(47.575,47.75,1.5)); #444510=CARTESIAN_POINT('Origin',(47.75,47.75,1.5)); #444511=CARTESIAN_POINT('',(47.575,47.75,0.)); #444512=CARTESIAN_POINT('',(47.575,47.75,0.)); #444513=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #444514=CARTESIAN_POINT('Origin',(47.75,47.75,1.5)); #444515=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #444516=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #444517=CARTESIAN_POINT('',(33.11,48.5,1.5)); #444518=CARTESIAN_POINT('Origin',(33.25,48.5,1.5)); #444519=CARTESIAN_POINT('',(33.11,48.5,0.)); #444520=CARTESIAN_POINT('',(33.11,48.5,0.)); #444521=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #444522=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #444523=CARTESIAN_POINT('',(33.075,48.5,1.5)); #444524=CARTESIAN_POINT('Origin',(33.25,48.5,1.5)); #444525=CARTESIAN_POINT('',(33.075,48.5,0.)); #444526=CARTESIAN_POINT('',(33.075,48.5,0.)); #444527=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #444528=CARTESIAN_POINT('Origin',(33.25,48.5,1.5)); #444529=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #444530=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #444531=CARTESIAN_POINT('',(45.86,47.75,1.5)); #444532=CARTESIAN_POINT('Origin',(46.,47.75,1.5)); #444533=CARTESIAN_POINT('',(45.86,47.75,0.)); #444534=CARTESIAN_POINT('',(45.86,47.75,0.)); #444535=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #444536=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #444537=CARTESIAN_POINT('',(45.825,47.75,1.5)); #444538=CARTESIAN_POINT('Origin',(46.,47.75,1.5)); #444539=CARTESIAN_POINT('',(45.825,47.75,0.)); #444540=CARTESIAN_POINT('',(45.825,47.75,0.)); #444541=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #444542=CARTESIAN_POINT('Origin',(46.,47.75,1.5)); #444543=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #444544=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #444545=CARTESIAN_POINT('',(32.36,18.5,1.5)); #444546=CARTESIAN_POINT('Origin',(32.5,18.5,1.5)); #444547=CARTESIAN_POINT('',(32.36,18.5,0.)); #444548=CARTESIAN_POINT('',(32.36,18.5,0.)); #444549=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #444550=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #444551=CARTESIAN_POINT('',(32.325,18.5,1.5)); #444552=CARTESIAN_POINT('Origin',(32.5,18.5,1.5)); #444553=CARTESIAN_POINT('',(32.325,18.5,0.)); #444554=CARTESIAN_POINT('',(32.325,18.5,0.)); #444555=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #444556=CARTESIAN_POINT('Origin',(32.5,18.5,1.5)); #444557=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #444558=CARTESIAN_POINT('Origin',(46.,47.,0.)); #444559=CARTESIAN_POINT('',(45.86,47.,1.5)); #444560=CARTESIAN_POINT('Origin',(46.,47.,1.5)); #444561=CARTESIAN_POINT('',(45.86,47.,0.)); #444562=CARTESIAN_POINT('',(45.86,47.,0.)); #444563=CARTESIAN_POINT('Origin',(46.,47.,0.)); #444564=CARTESIAN_POINT('Origin',(46.,47.,0.)); #444565=CARTESIAN_POINT('',(45.825,47.,1.5)); #444566=CARTESIAN_POINT('Origin',(46.,47.,1.5)); #444567=CARTESIAN_POINT('',(45.825,47.,0.)); #444568=CARTESIAN_POINT('',(45.825,47.,0.)); #444569=CARTESIAN_POINT('Origin',(46.,47.,0.)); #444570=CARTESIAN_POINT('Origin',(46.,47.,1.5)); #444571=CARTESIAN_POINT('Origin',(46.,47.,0.)); #444572=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #444573=CARTESIAN_POINT('',(40.86,25.25,1.5)); #444574=CARTESIAN_POINT('Origin',(41.,25.25,1.5)); #444575=CARTESIAN_POINT('',(40.86,25.25,0.)); #444576=CARTESIAN_POINT('',(40.86,25.25,0.)); #444577=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #444578=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #444579=CARTESIAN_POINT('',(40.825,25.25,1.5)); #444580=CARTESIAN_POINT('Origin',(41.,25.25,1.5)); #444581=CARTESIAN_POINT('',(40.825,25.25,0.)); #444582=CARTESIAN_POINT('',(40.825,25.25,0.)); #444583=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #444584=CARTESIAN_POINT('Origin',(41.,25.25,1.5)); #444585=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #444586=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #444587=CARTESIAN_POINT('',(44.985,47.75,1.5)); #444588=CARTESIAN_POINT('Origin',(45.125,47.75,1.5)); #444589=CARTESIAN_POINT('',(44.985,47.75,0.)); #444590=CARTESIAN_POINT('',(44.985,47.75,0.)); #444591=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #444592=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #444593=CARTESIAN_POINT('',(44.95,47.75,1.5)); #444594=CARTESIAN_POINT('Origin',(45.125,47.75,1.5)); #444595=CARTESIAN_POINT('',(44.95,47.75,0.)); #444596=CARTESIAN_POINT('',(44.95,47.75,0.)); #444597=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #444598=CARTESIAN_POINT('Origin',(45.125,47.75,1.5)); #444599=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #444600=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #444601=CARTESIAN_POINT('',(29.625,45.,1.5)); #444602=CARTESIAN_POINT('Origin',(30.19,45.,1.5)); #444603=CARTESIAN_POINT('',(29.625,45.,0.)); #444604=CARTESIAN_POINT('',(29.625,45.,0.)); #444605=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #444606=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #444607=CARTESIAN_POINT('',(29.59,45.,1.5)); #444608=CARTESIAN_POINT('Origin',(30.19,45.,1.5)); #444609=CARTESIAN_POINT('',(29.59,45.,0.)); #444610=CARTESIAN_POINT('',(29.59,45.,0.)); #444611=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #444612=CARTESIAN_POINT('Origin',(30.19,45.,1.5)); #444613=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #444614=CARTESIAN_POINT('',(0.,0.,0.)); #444615=CARTESIAN_POINT('Origin',(32.73,45.,-200.)); #444616=CARTESIAN_POINT('',(32.165,45.,0.)); #444617=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #444618=CARTESIAN_POINT('',(32.165,45.,0.0349999999999895)); #444619=CARTESIAN_POINT('',(32.165,45.,-200.)); #444620=CARTESIAN_POINT('Origin',(32.73,45.,0.0349999999999895)); #444621=CARTESIAN_POINT('Origin',(32.769294,44.1,0.)); #444622=CARTESIAN_POINT('',(32.769294,44.1,0.)); #444623=CARTESIAN_POINT('',(32.847584,44.10685,0.)); #444624=CARTESIAN_POINT('',(32.769294,44.1,0.)); #444625=CARTESIAN_POINT('',(32.847584,44.10685,0.035)); #444626=CARTESIAN_POINT('',(32.847584,44.10685,0.)); #444627=CARTESIAN_POINT('',(32.769294,44.1,0.035)); #444628=CARTESIAN_POINT('',(32.769294,44.1,0.035)); #444629=CARTESIAN_POINT('',(32.769294,44.1,0.)); #444630=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.)); #444631=CARTESIAN_POINT('',(32.612416,45.89315,0.)); #444632=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.)); #444633=CARTESIAN_POINT('',(32.612416,45.89315,0.035)); #444634=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.035)); #444635=CARTESIAN_POINT('',(32.612416,45.89315,0.)); #444636=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #444637=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #444638=CARTESIAN_POINT('Origin',(32.73,45.,0.035)); #444639=CARTESIAN_POINT('Origin',(32.7282590237178,44.9986240251875,0.035)); #444640=CARTESIAN_POINT('Origin',(32.7282590237178,44.9986240251875,0.)); #444641=CARTESIAN_POINT('Origin',(37.7125,15.1125,0.)); #444642=CARTESIAN_POINT('',(37.7125,15.1125,0.)); #444643=CARTESIAN_POINT('',(37.7125,15.3875,0.)); #444644=CARTESIAN_POINT('',(37.7125,15.1125,0.)); #444645=CARTESIAN_POINT('',(37.7125,15.3875,0.035)); #444646=CARTESIAN_POINT('',(37.7125,15.3875,0.)); #444647=CARTESIAN_POINT('',(37.7125,15.1125,0.035)); #444648=CARTESIAN_POINT('',(37.7125,15.1125,0.035)); #444649=CARTESIAN_POINT('',(37.7125,15.1125,0.)); #444650=CARTESIAN_POINT('Origin',(37.0875,15.1125,0.)); #444651=CARTESIAN_POINT('',(37.0875,15.1125,0.)); #444652=CARTESIAN_POINT('',(37.0875,15.1125,0.)); #444653=CARTESIAN_POINT('',(37.0875,15.1125,0.035)); #444654=CARTESIAN_POINT('',(37.0875,15.1125,0.035)); #444655=CARTESIAN_POINT('',(37.0875,15.1125,0.)); #444656=CARTESIAN_POINT('Origin',(37.0875,15.3875,0.)); #444657=CARTESIAN_POINT('',(37.0875,15.3875,0.)); #444658=CARTESIAN_POINT('',(37.0875,15.3875,0.)); #444659=CARTESIAN_POINT('',(37.0875,15.3875,0.035)); #444660=CARTESIAN_POINT('',(37.0875,15.3875,0.035)); #444661=CARTESIAN_POINT('',(37.0875,15.3875,0.)); #444662=CARTESIAN_POINT('Origin',(37.7125,15.3875,0.)); #444663=CARTESIAN_POINT('',(37.7125,15.3875,0.)); #444664=CARTESIAN_POINT('',(37.7125,15.3875,0.035)); #444665=CARTESIAN_POINT('Origin',(37.4,15.25,0.035)); #444666=CARTESIAN_POINT('Origin',(37.4,15.25,0.)); #444667=CARTESIAN_POINT('Origin',(36.8875,13.2875,0.)); #444668=CARTESIAN_POINT('',(36.8875,13.2875,0.)); #444669=CARTESIAN_POINT('',(36.8875,13.9125,0.)); #444670=CARTESIAN_POINT('',(36.8875,13.2875,0.)); #444671=CARTESIAN_POINT('',(36.8875,13.9125,0.035)); #444672=CARTESIAN_POINT('',(36.8875,13.9125,0.)); #444673=CARTESIAN_POINT('',(36.8875,13.2875,0.035)); #444674=CARTESIAN_POINT('',(36.8875,13.2875,0.035)); #444675=CARTESIAN_POINT('',(36.8875,13.2875,0.)); #444676=CARTESIAN_POINT('Origin',(36.6125,13.2875,0.)); #444677=CARTESIAN_POINT('',(36.6125,13.2875,0.)); #444678=CARTESIAN_POINT('',(36.6125,13.2875,0.)); #444679=CARTESIAN_POINT('',(36.6125,13.2875,0.035)); #444680=CARTESIAN_POINT('',(36.6125,13.2875,0.035)); #444681=CARTESIAN_POINT('',(36.6125,13.2875,0.)); #444682=CARTESIAN_POINT('Origin',(36.6125,13.9125,0.)); #444683=CARTESIAN_POINT('',(36.6125,13.9125,0.)); #444684=CARTESIAN_POINT('',(36.6125,13.9125,0.)); #444685=CARTESIAN_POINT('',(36.6125,13.9125,0.035)); #444686=CARTESIAN_POINT('',(36.6125,13.9125,0.035)); #444687=CARTESIAN_POINT('',(36.6125,13.9125,0.)); #444688=CARTESIAN_POINT('Origin',(36.8875,13.9125,0.)); #444689=CARTESIAN_POINT('',(36.8875,13.9125,0.)); #444690=CARTESIAN_POINT('',(36.8875,13.9125,0.035)); #444691=CARTESIAN_POINT('Origin',(36.75,13.6,0.035)); #444692=CARTESIAN_POINT('Origin',(36.75,13.6,0.)); #444693=CARTESIAN_POINT('Origin',(37.7125,17.1125,0.)); #444694=CARTESIAN_POINT('',(37.7125,17.1125,0.)); #444695=CARTESIAN_POINT('',(37.7125,17.3875,0.)); #444696=CARTESIAN_POINT('',(37.7125,17.1125,0.)); #444697=CARTESIAN_POINT('',(37.7125,17.3875,0.035)); #444698=CARTESIAN_POINT('',(37.7125,17.3875,0.)); #444699=CARTESIAN_POINT('',(37.7125,17.1125,0.035)); #444700=CARTESIAN_POINT('',(37.7125,17.1125,0.035)); #444701=CARTESIAN_POINT('',(37.7125,17.1125,0.)); #444702=CARTESIAN_POINT('Origin',(37.0875,17.1125,0.)); #444703=CARTESIAN_POINT('',(37.0875,17.1125,0.)); #444704=CARTESIAN_POINT('',(37.0875,17.1125,0.)); #444705=CARTESIAN_POINT('',(37.0875,17.1125,0.035)); #444706=CARTESIAN_POINT('',(37.0875,17.1125,0.035)); #444707=CARTESIAN_POINT('',(37.0875,17.1125,0.)); #444708=CARTESIAN_POINT('Origin',(37.0875,17.3875,0.)); #444709=CARTESIAN_POINT('',(37.0875,17.3875,0.)); #444710=CARTESIAN_POINT('',(37.0875,17.3875,0.)); #444711=CARTESIAN_POINT('',(37.0875,17.3875,0.035)); #444712=CARTESIAN_POINT('',(37.0875,17.3875,0.035)); #444713=CARTESIAN_POINT('',(37.0875,17.3875,0.)); #444714=CARTESIAN_POINT('Origin',(37.7125,17.3875,0.)); #444715=CARTESIAN_POINT('',(37.7125,17.3875,0.)); #444716=CARTESIAN_POINT('',(37.7125,17.3875,0.035)); #444717=CARTESIAN_POINT('Origin',(37.4,17.25,0.035)); #444718=CARTESIAN_POINT('Origin',(37.4,17.25,0.)); #444719=CARTESIAN_POINT('Origin',(34.8875,13.2875,0.)); #444720=CARTESIAN_POINT('',(34.8875,13.2875,0.)); #444721=CARTESIAN_POINT('',(34.8875,13.9125,0.)); #444722=CARTESIAN_POINT('',(34.8875,13.2875,0.)); #444723=CARTESIAN_POINT('',(34.8875,13.9125,0.035)); #444724=CARTESIAN_POINT('',(34.8875,13.9125,0.)); #444725=CARTESIAN_POINT('',(34.8875,13.2875,0.035)); #444726=CARTESIAN_POINT('',(34.8875,13.2875,0.035)); #444727=CARTESIAN_POINT('',(34.8875,13.2875,0.)); #444728=CARTESIAN_POINT('Origin',(34.6125,13.2875,0.)); #444729=CARTESIAN_POINT('',(34.6125,13.2875,0.)); #444730=CARTESIAN_POINT('',(34.6125,13.2875,0.)); #444731=CARTESIAN_POINT('',(34.6125,13.2875,0.035)); #444732=CARTESIAN_POINT('',(34.6125,13.2875,0.035)); #444733=CARTESIAN_POINT('',(34.6125,13.2875,0.)); #444734=CARTESIAN_POINT('Origin',(34.6125,13.9125,0.)); #444735=CARTESIAN_POINT('',(34.6125,13.9125,0.)); #444736=CARTESIAN_POINT('',(34.6125,13.9125,0.)); #444737=CARTESIAN_POINT('',(34.6125,13.9125,0.035)); #444738=CARTESIAN_POINT('',(34.6125,13.9125,0.035)); #444739=CARTESIAN_POINT('',(34.6125,13.9125,0.)); #444740=CARTESIAN_POINT('Origin',(34.8875,13.9125,0.)); #444741=CARTESIAN_POINT('',(34.8875,13.9125,0.)); #444742=CARTESIAN_POINT('',(34.8875,13.9125,0.035)); #444743=CARTESIAN_POINT('Origin',(34.75,13.6,0.035)); #444744=CARTESIAN_POINT('Origin',(34.75,13.6,0.)); #444745=CARTESIAN_POINT('Origin',(30.9125,16.6125,0.)); #444746=CARTESIAN_POINT('',(30.9125,16.6125,0.)); #444747=CARTESIAN_POINT('',(30.9125,16.8875,0.)); #444748=CARTESIAN_POINT('',(30.9125,16.6125,0.)); #444749=CARTESIAN_POINT('',(30.9125,16.8875,0.035)); #444750=CARTESIAN_POINT('',(30.9125,16.8875,0.)); #444751=CARTESIAN_POINT('',(30.9125,16.6125,0.035)); #444752=CARTESIAN_POINT('',(30.9125,16.6125,0.035)); #444753=CARTESIAN_POINT('',(30.9125,16.6125,0.)); #444754=CARTESIAN_POINT('Origin',(30.2875,16.6125,0.)); #444755=CARTESIAN_POINT('',(30.2875,16.6125,0.)); #444756=CARTESIAN_POINT('',(30.2875,16.6125,0.)); #444757=CARTESIAN_POINT('',(30.2875,16.6125,0.035)); #444758=CARTESIAN_POINT('',(30.2875,16.6125,0.035)); #444759=CARTESIAN_POINT('',(30.2875,16.6125,0.)); #444760=CARTESIAN_POINT('Origin',(30.2875,16.8875,0.)); #444761=CARTESIAN_POINT('',(30.2875,16.8875,0.)); #444762=CARTESIAN_POINT('',(30.2875,16.8875,0.)); #444763=CARTESIAN_POINT('',(30.2875,16.8875,0.035)); #444764=CARTESIAN_POINT('',(30.2875,16.8875,0.035)); #444765=CARTESIAN_POINT('',(30.2875,16.8875,0.)); #444766=CARTESIAN_POINT('Origin',(30.9125,16.8875,0.)); #444767=CARTESIAN_POINT('',(30.9125,16.8875,0.)); #444768=CARTESIAN_POINT('',(30.9125,16.8875,0.035)); #444769=CARTESIAN_POINT('Origin',(30.6,16.75,0.035)); #444770=CARTESIAN_POINT('Origin',(30.6,16.75,0.)); #444771=CARTESIAN_POINT('Origin',(35.3875,13.2875,0.)); #444772=CARTESIAN_POINT('',(35.3875,13.2875,0.)); #444773=CARTESIAN_POINT('',(35.3875,13.9125,0.)); #444774=CARTESIAN_POINT('',(35.3875,13.2875,0.)); #444775=CARTESIAN_POINT('',(35.3875,13.9125,0.035)); #444776=CARTESIAN_POINT('',(35.3875,13.9125,0.)); #444777=CARTESIAN_POINT('',(35.3875,13.2875,0.035)); #444778=CARTESIAN_POINT('',(35.3875,13.2875,0.035)); #444779=CARTESIAN_POINT('',(35.3875,13.2875,0.)); #444780=CARTESIAN_POINT('Origin',(35.1125,13.2875,0.)); #444781=CARTESIAN_POINT('',(35.1125,13.2875,0.)); #444782=CARTESIAN_POINT('',(35.1125,13.2875,0.)); #444783=CARTESIAN_POINT('',(35.1125,13.2875,0.035)); #444784=CARTESIAN_POINT('',(35.1125,13.2875,0.035)); #444785=CARTESIAN_POINT('',(35.1125,13.2875,0.)); #444786=CARTESIAN_POINT('Origin',(35.1125,13.9125,0.)); #444787=CARTESIAN_POINT('',(35.1125,13.9125,0.)); #444788=CARTESIAN_POINT('',(35.1125,13.9125,0.)); #444789=CARTESIAN_POINT('',(35.1125,13.9125,0.035)); #444790=CARTESIAN_POINT('',(35.1125,13.9125,0.035)); #444791=CARTESIAN_POINT('',(35.1125,13.9125,0.)); #444792=CARTESIAN_POINT('Origin',(35.3875,13.9125,0.)); #444793=CARTESIAN_POINT('',(35.3875,13.9125,0.)); #444794=CARTESIAN_POINT('',(35.3875,13.9125,0.035)); #444795=CARTESIAN_POINT('Origin',(35.25,13.6,0.035)); #444796=CARTESIAN_POINT('Origin',(35.25,13.6,0.)); #444797=CARTESIAN_POINT('Origin',(30.9125,18.1125,0.)); #444798=CARTESIAN_POINT('',(30.9125,18.1125,0.)); #444799=CARTESIAN_POINT('',(30.9125,18.3875,0.)); #444800=CARTESIAN_POINT('',(30.9125,18.1125,0.)); #444801=CARTESIAN_POINT('',(30.9125,18.3875,0.035)); #444802=CARTESIAN_POINT('',(30.9125,18.3875,0.)); #444803=CARTESIAN_POINT('',(30.9125,18.1125,0.035)); #444804=CARTESIAN_POINT('',(30.9125,18.1125,0.035)); #444805=CARTESIAN_POINT('',(30.9125,18.1125,0.)); #444806=CARTESIAN_POINT('Origin',(30.2875,18.1125,0.)); #444807=CARTESIAN_POINT('',(30.2875,18.1125,0.)); #444808=CARTESIAN_POINT('',(30.2875,18.1125,0.)); #444809=CARTESIAN_POINT('',(30.2875,18.1125,0.035)); #444810=CARTESIAN_POINT('',(30.2875,18.1125,0.035)); #444811=CARTESIAN_POINT('',(30.2875,18.1125,0.)); #444812=CARTESIAN_POINT('Origin',(30.2875,18.3875,0.)); #444813=CARTESIAN_POINT('',(30.2875,18.3875,0.)); #444814=CARTESIAN_POINT('',(30.2875,18.3875,0.)); #444815=CARTESIAN_POINT('',(30.2875,18.3875,0.035)); #444816=CARTESIAN_POINT('',(30.2875,18.3875,0.035)); #444817=CARTESIAN_POINT('',(30.2875,18.3875,0.)); #444818=CARTESIAN_POINT('Origin',(30.9125,18.3875,0.)); #444819=CARTESIAN_POINT('',(30.9125,18.3875,0.)); #444820=CARTESIAN_POINT('',(30.9125,18.3875,0.035)); #444821=CARTESIAN_POINT('Origin',(30.6,18.25,0.035)); #444822=CARTESIAN_POINT('Origin',(30.6,18.25,0.)); #444823=CARTESIAN_POINT('Origin',(37.7125,14.6125,0.)); #444824=CARTESIAN_POINT('',(37.7125,14.6125,0.)); #444825=CARTESIAN_POINT('',(37.7125,14.8875,0.)); #444826=CARTESIAN_POINT('',(37.7125,14.6125,0.)); #444827=CARTESIAN_POINT('',(37.7125,14.8875,0.035)); #444828=CARTESIAN_POINT('',(37.7125,14.8875,0.)); #444829=CARTESIAN_POINT('',(37.7125,14.6125,0.035)); #444830=CARTESIAN_POINT('',(37.7125,14.6125,0.035)); #444831=CARTESIAN_POINT('',(37.7125,14.6125,0.)); #444832=CARTESIAN_POINT('Origin',(37.0875,14.6125,0.)); #444833=CARTESIAN_POINT('',(37.0875,14.6125,0.)); #444834=CARTESIAN_POINT('',(37.0875,14.6125,0.)); #444835=CARTESIAN_POINT('',(37.0875,14.6125,0.035)); #444836=CARTESIAN_POINT('',(37.0875,14.6125,0.035)); #444837=CARTESIAN_POINT('',(37.0875,14.6125,0.)); #444838=CARTESIAN_POINT('Origin',(37.0875,14.8875,0.)); #444839=CARTESIAN_POINT('',(37.0875,14.8875,0.)); #444840=CARTESIAN_POINT('',(37.0875,14.8875,0.)); #444841=CARTESIAN_POINT('',(37.0875,14.8875,0.035)); #444842=CARTESIAN_POINT('',(37.0875,14.8875,0.035)); #444843=CARTESIAN_POINT('',(37.0875,14.8875,0.)); #444844=CARTESIAN_POINT('Origin',(37.7125,14.8875,0.)); #444845=CARTESIAN_POINT('',(37.7125,14.8875,0.)); #444846=CARTESIAN_POINT('',(37.7125,14.8875,0.035)); #444847=CARTESIAN_POINT('Origin',(37.4,14.75,0.035)); #444848=CARTESIAN_POINT('Origin',(37.4,14.75,0.)); #444849=CARTESIAN_POINT('Origin',(37.7125,16.6125,0.)); #444850=CARTESIAN_POINT('',(37.7125,16.6125,0.)); #444851=CARTESIAN_POINT('',(37.7125,16.8875,0.)); #444852=CARTESIAN_POINT('',(37.7125,16.6125,0.)); #444853=CARTESIAN_POINT('',(37.7125,16.8875,0.035)); #444854=CARTESIAN_POINT('',(37.7125,16.8875,0.)); #444855=CARTESIAN_POINT('',(37.7125,16.6125,0.035)); #444856=CARTESIAN_POINT('',(37.7125,16.6125,0.035)); #444857=CARTESIAN_POINT('',(37.7125,16.6125,0.)); #444858=CARTESIAN_POINT('Origin',(37.0875,16.6125,0.)); #444859=CARTESIAN_POINT('',(37.0875,16.6125,0.)); #444860=CARTESIAN_POINT('',(37.0875,16.6125,0.)); #444861=CARTESIAN_POINT('',(37.0875,16.6125,0.035)); #444862=CARTESIAN_POINT('',(37.0875,16.6125,0.035)); #444863=CARTESIAN_POINT('',(37.0875,16.6125,0.)); #444864=CARTESIAN_POINT('Origin',(37.0875,16.8875,0.)); #444865=CARTESIAN_POINT('',(37.0875,16.8875,0.)); #444866=CARTESIAN_POINT('',(37.0875,16.8875,0.)); #444867=CARTESIAN_POINT('',(37.0875,16.8875,0.035)); #444868=CARTESIAN_POINT('',(37.0875,16.8875,0.035)); #444869=CARTESIAN_POINT('',(37.0875,16.8875,0.)); #444870=CARTESIAN_POINT('Origin',(37.7125,16.8875,0.)); #444871=CARTESIAN_POINT('',(37.7125,16.8875,0.)); #444872=CARTESIAN_POINT('',(37.7125,16.8875,0.035)); #444873=CARTESIAN_POINT('Origin',(37.4,16.75,0.035)); #444874=CARTESIAN_POINT('Origin',(37.4,16.75,0.)); #444875=CARTESIAN_POINT('Origin',(37.7125,14.1125,0.)); #444876=CARTESIAN_POINT('',(37.7125,14.1125,0.)); #444877=CARTESIAN_POINT('',(37.7125,14.3875,0.)); #444878=CARTESIAN_POINT('',(37.7125,14.1125,0.)); #444879=CARTESIAN_POINT('',(37.7125,14.3875,0.035)); #444880=CARTESIAN_POINT('',(37.7125,14.3875,0.)); #444881=CARTESIAN_POINT('',(37.7125,14.1125,0.035)); #444882=CARTESIAN_POINT('',(37.7125,14.1125,0.035)); #444883=CARTESIAN_POINT('',(37.7125,14.1125,0.)); #444884=CARTESIAN_POINT('Origin',(37.0875,14.1125,0.)); #444885=CARTESIAN_POINT('',(37.0875,14.1125,0.)); #444886=CARTESIAN_POINT('',(37.0875,14.1125,0.)); #444887=CARTESIAN_POINT('',(37.0875,14.1125,0.035)); #444888=CARTESIAN_POINT('',(37.0875,14.1125,0.035)); #444889=CARTESIAN_POINT('',(37.0875,14.1125,0.)); #444890=CARTESIAN_POINT('Origin',(37.0875,14.3875,0.)); #444891=CARTESIAN_POINT('',(37.0875,14.3875,0.)); #444892=CARTESIAN_POINT('',(37.0875,14.3875,0.)); #444893=CARTESIAN_POINT('',(37.0875,14.3875,0.035)); #444894=CARTESIAN_POINT('',(37.0875,14.3875,0.035)); #444895=CARTESIAN_POINT('',(37.0875,14.3875,0.)); #444896=CARTESIAN_POINT('Origin',(37.7125,14.3875,0.)); #444897=CARTESIAN_POINT('',(37.7125,14.3875,0.)); #444898=CARTESIAN_POINT('',(37.7125,14.3875,0.035)); #444899=CARTESIAN_POINT('Origin',(37.4,14.25,0.035)); #444900=CARTESIAN_POINT('Origin',(37.4,14.25,0.)); #444901=CARTESIAN_POINT('Origin',(35.27,45.,-200.)); #444902=CARTESIAN_POINT('',(34.705,45.,0.)); #444903=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #444904=CARTESIAN_POINT('',(34.705,45.,0.0349999999999895)); #444905=CARTESIAN_POINT('',(34.705,45.,-200.)); #444906=CARTESIAN_POINT('Origin',(35.27,45.,0.0349999999999895)); #444907=CARTESIAN_POINT('Origin',(35.309294,44.1,0.)); #444908=CARTESIAN_POINT('',(35.309294,44.1,0.)); #444909=CARTESIAN_POINT('',(35.387584,44.10685,0.)); #444910=CARTESIAN_POINT('',(35.309294,44.1,0.)); #444911=CARTESIAN_POINT('',(35.387584,44.10685,0.035)); #444912=CARTESIAN_POINT('',(35.387584,44.10685,0.)); #444913=CARTESIAN_POINT('',(35.309294,44.1,0.035)); #444914=CARTESIAN_POINT('',(35.309294,44.1,0.035)); #444915=CARTESIAN_POINT('',(35.309294,44.1,0.)); #444916=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,0.)); #444917=CARTESIAN_POINT('',(35.152416,45.89315,0.)); #444918=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,0.)); #444919=CARTESIAN_POINT('',(35.152416,45.89315,0.035)); #444920=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,0.035)); #444921=CARTESIAN_POINT('',(35.152416,45.89315,0.)); #444922=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #444923=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #444924=CARTESIAN_POINT('Origin',(35.27,45.,0.035)); #444925=CARTESIAN_POINT('Origin',(35.2682590237178,44.9986240251875,0.035)); #444926=CARTESIAN_POINT('Origin',(35.2682590237178,44.9986240251875,0.)); #444927=CARTESIAN_POINT('Origin',(42.25,28.5,-200.)); #444928=CARTESIAN_POINT('',(42.11,28.5,0.)); #444929=CARTESIAN_POINT('Origin',(42.25,28.5,0.)); #444930=CARTESIAN_POINT('',(42.11,28.5,0.0349999999999895)); #444931=CARTESIAN_POINT('',(42.11,28.5,-200.)); #444932=CARTESIAN_POINT('Origin',(42.25,28.5,0.0349999999999895)); #444933=CARTESIAN_POINT('Origin',(40.75,27.,-200.)); #444934=CARTESIAN_POINT('',(40.61,27.,0.)); #444935=CARTESIAN_POINT('Origin',(40.75,27.,0.)); #444936=CARTESIAN_POINT('',(40.61,27.,0.0349999999999895)); #444937=CARTESIAN_POINT('',(40.61,27.,-200.)); #444938=CARTESIAN_POINT('Origin',(40.75,27.,0.0349999999999895)); #444939=CARTESIAN_POINT('Origin',(40.771644,26.725,0.)); #444940=CARTESIAN_POINT('',(40.771644,26.725,0.)); #444941=CARTESIAN_POINT('',(40.814397,26.731772,0.)); #444942=CARTESIAN_POINT('',(40.771644,26.725,0.)); #444943=CARTESIAN_POINT('',(40.814397,26.731772,0.035)); #444944=CARTESIAN_POINT('',(40.814397,26.731772,0.)); #444945=CARTESIAN_POINT('',(40.771644,26.725,0.035)); #444946=CARTESIAN_POINT('',(40.771644,26.725,0.035)); #444947=CARTESIAN_POINT('',(40.771644,26.725,0.)); #444948=CARTESIAN_POINT('Origin',(40.7500002346035,26.9999996129187,0.)); #444949=CARTESIAN_POINT('',(40.644438,26.745147,0.)); #444950=CARTESIAN_POINT('Origin',(40.7500002346035,26.9999996129187,0.)); #444951=CARTESIAN_POINT('',(40.644438,26.745147,0.035)); #444952=CARTESIAN_POINT('Origin',(40.7500002346035,26.9999996129187,0.035)); #444953=CARTESIAN_POINT('',(40.644438,26.745147,0.)); #444954=CARTESIAN_POINT('Origin',(40.749856389188,26.9996053520337,0.)); #444955=CARTESIAN_POINT('',(40.832681,27.262288,0.)); #444956=CARTESIAN_POINT('Origin',(40.749856389188,26.9996053520337,0.)); #444957=CARTESIAN_POINT('',(40.832681,27.262288,0.035)); #444958=CARTESIAN_POINT('Origin',(40.749856389188,26.9996053520337,0.035)); #444959=CARTESIAN_POINT('',(40.832681,27.262288,0.)); #444960=CARTESIAN_POINT('Origin',(41.987713,28.417319,0.)); #444961=CARTESIAN_POINT('',(41.987713,28.417319,0.)); #444962=CARTESIAN_POINT('',(41.987713,28.417319,0.)); #444963=CARTESIAN_POINT('',(41.987713,28.417319,0.035)); #444964=CARTESIAN_POINT('',(41.987713,28.417319,0.035)); #444965=CARTESIAN_POINT('',(41.987713,28.417319,0.)); #444966=CARTESIAN_POINT('Origin',(42.250342029785,28.5003130782902,0.)); #444967=CARTESIAN_POINT('',(42.355563,28.754853,0.)); #444968=CARTESIAN_POINT('Origin',(42.250342029785,28.5003130782902,0.)); #444969=CARTESIAN_POINT('',(42.355563,28.754853,0.035)); #444970=CARTESIAN_POINT('Origin',(42.250342029785,28.5003130782902,0.035)); #444971=CARTESIAN_POINT('',(42.355563,28.754853,0.)); #444972=CARTESIAN_POINT('Origin',(42.2501441326569,28.5003951698111,0.)); #444973=CARTESIAN_POINT('',(42.167319,28.237713,0.)); #444974=CARTESIAN_POINT('Origin',(42.2501441326569,28.5003951698111,0.)); #444975=CARTESIAN_POINT('',(42.167319,28.237713,0.035)); #444976=CARTESIAN_POINT('Origin',(42.2501441326569,28.5003951698111,0.035)); #444977=CARTESIAN_POINT('',(42.167319,28.237713,0.)); #444978=CARTESIAN_POINT('Origin',(41.012287,27.082681,0.)); #444979=CARTESIAN_POINT('',(41.012287,27.082681,0.)); #444980=CARTESIAN_POINT('',(41.012287,27.082681,0.)); #444981=CARTESIAN_POINT('',(41.012287,27.082681,0.035)); #444982=CARTESIAN_POINT('',(41.012287,27.082681,0.035)); #444983=CARTESIAN_POINT('',(41.012287,27.082681,0.)); #444984=CARTESIAN_POINT('Origin',(40.7497173966391,26.9995002027522,0.)); #444985=CARTESIAN_POINT('Origin',(40.7497173966391,26.9995002027522,0.)); #444986=CARTESIAN_POINT('Origin',(40.7497173966391,26.9995002027522,0.035)); #444987=CARTESIAN_POINT('Origin',(41.5003228342862,27.7494159619455,0.035)); #444988=CARTESIAN_POINT('Origin',(41.5003228342862,27.7494159619455,0.)); #444989=CARTESIAN_POINT('Origin',(46.25,34.,-200.)); #444990=CARTESIAN_POINT('',(45.735,34.,0.)); #444991=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #444992=CARTESIAN_POINT('',(45.735,34.,0.0349999999999895)); #444993=CARTESIAN_POINT('',(45.735,34.,-200.)); #444994=CARTESIAN_POINT('Origin',(46.25,34.,0.0349999999999895)); #444995=CARTESIAN_POINT('Origin',(46.290528,33.175,0.)); #444996=CARTESIAN_POINT('',(46.290528,33.175,0.)); #444997=CARTESIAN_POINT('',(46.3712,33.182944,0.)); #444998=CARTESIAN_POINT('',(46.290528,33.175,0.)); #444999=CARTESIAN_POINT('',(46.3712,33.182944,0.035)); #445000=CARTESIAN_POINT('',(46.3712,33.182944,0.)); #445001=CARTESIAN_POINT('',(46.290528,33.175,0.035)); #445002=CARTESIAN_POINT('',(46.290528,33.175,0.035)); #445003=CARTESIAN_POINT('',(46.290528,33.175,0.)); #445004=CARTESIAN_POINT('Origin',(46.24999984599,34.0000007242556,0.)); #445005=CARTESIAN_POINT('',(46.1288,34.817056,0.)); #445006=CARTESIAN_POINT('Origin',(46.24999984599,34.0000007242556,0.)); #445007=CARTESIAN_POINT('',(46.1288,34.817056,0.035)); #445008=CARTESIAN_POINT('Origin',(46.24999984599,34.0000007242556,0.035)); #445009=CARTESIAN_POINT('',(46.1288,34.817056,0.)); #445010=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #445011=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #445012=CARTESIAN_POINT('Origin',(46.25,34.,0.035)); #445013=CARTESIAN_POINT('Origin',(46.24822648407,33.9985656780324,0.035)); #445014=CARTESIAN_POINT('Origin',(46.24822648407,33.9985656780324,0.)); #445015=CARTESIAN_POINT('Origin',(36.5,27.75,-200.)); #445016=CARTESIAN_POINT('',(36.035,27.75,0.)); #445017=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #445018=CARTESIAN_POINT('',(36.035,27.75,0.0349999999999895)); #445019=CARTESIAN_POINT('',(36.035,27.75,-200.)); #445020=CARTESIAN_POINT('Origin',(36.5,27.75,0.0349999999999895)); #445021=CARTESIAN_POINT('Origin',(36.536844,27.,0.)); #445022=CARTESIAN_POINT('',(36.536844,27.,0.)); #445023=CARTESIAN_POINT('',(36.610181,27.007222,0.)); #445024=CARTESIAN_POINT('',(36.536844,27.,0.)); #445025=CARTESIAN_POINT('',(36.610181,27.007222,0.035)); #445026=CARTESIAN_POINT('',(36.610181,27.007222,0.)); #445027=CARTESIAN_POINT('',(36.536844,27.,0.035)); #445028=CARTESIAN_POINT('',(36.536844,27.,0.035)); #445029=CARTESIAN_POINT('',(36.536844,27.,0.)); #445030=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,0.)); #445031=CARTESIAN_POINT('',(36.389819,28.492778,0.)); #445032=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,0.)); #445033=CARTESIAN_POINT('',(36.389819,28.492778,0.035)); #445034=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,0.035)); #445035=CARTESIAN_POINT('',(36.389819,28.492778,0.)); #445036=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #445037=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #445038=CARTESIAN_POINT('Origin',(36.5,27.75,0.035)); #445039=CARTESIAN_POINT('Origin',(36.4983877860344,27.7486960162912,0.035)); #445040=CARTESIAN_POINT('Origin',(36.4983877860344,27.7486960162912,0.)); #445041=CARTESIAN_POINT('Origin',(30.5,11.25,-200.)); #445042=CARTESIAN_POINT('',(30.36,11.25,0.)); #445043=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #445044=CARTESIAN_POINT('',(30.36,11.25,0.0349999999999895)); #445045=CARTESIAN_POINT('',(30.36,11.25,-200.)); #445046=CARTESIAN_POINT('Origin',(30.5,11.25,0.0349999999999895)); #445047=CARTESIAN_POINT('Origin',(30.521644,10.975,0.)); #445048=CARTESIAN_POINT('',(30.521644,10.975,0.)); #445049=CARTESIAN_POINT('',(30.564397,10.981772,0.)); #445050=CARTESIAN_POINT('',(30.521644,10.975,0.)); #445051=CARTESIAN_POINT('',(30.564397,10.981772,0.035)); #445052=CARTESIAN_POINT('',(30.564397,10.981772,0.)); #445053=CARTESIAN_POINT('',(30.521644,10.975,0.035)); #445054=CARTESIAN_POINT('',(30.521644,10.975,0.035)); #445055=CARTESIAN_POINT('',(30.521644,10.975,0.)); #445056=CARTESIAN_POINT('Origin',(30.500666927461,11.2496305438086,0.)); #445057=CARTESIAN_POINT('',(30.256072,11.123,0.)); #445058=CARTESIAN_POINT('Origin',(30.500666927461,11.2496305438086,0.)); #445059=CARTESIAN_POINT('',(30.256072,11.123,0.035)); #445060=CARTESIAN_POINT('Origin',(30.500666927461,11.2496305438086,0.035)); #445061=CARTESIAN_POINT('',(30.256072,11.123,0.)); #445062=CARTESIAN_POINT('Origin',(29.533988,11.123,0.)); #445063=CARTESIAN_POINT('',(29.533988,11.123,0.)); #445064=CARTESIAN_POINT('',(29.533988,11.123,0.)); #445065=CARTESIAN_POINT('',(29.533988,11.123,0.035)); #445066=CARTESIAN_POINT('',(29.533988,11.123,0.035)); #445067=CARTESIAN_POINT('',(29.533988,11.123,0.)); #445068=CARTESIAN_POINT('Origin',(29.5339867502996,11.250001515832,0.)); #445069=CARTESIAN_POINT('',(29.444184,11.160197,0.)); #445070=CARTESIAN_POINT('Origin',(29.5339867502996,11.250001515832,0.)); #445071=CARTESIAN_POINT('',(29.444184,11.160197,0.035)); #445072=CARTESIAN_POINT('Origin',(29.5339867502996,11.250001515832,0.035)); #445073=CARTESIAN_POINT('',(29.444184,11.160197,0.)); #445074=CARTESIAN_POINT('Origin',(26.048881,14.5555,0.)); #445075=CARTESIAN_POINT('',(26.048881,14.5555,0.)); #445076=CARTESIAN_POINT('',(26.048881,14.5555,0.)); #445077=CARTESIAN_POINT('',(26.048881,14.5555,0.035)); #445078=CARTESIAN_POINT('',(26.048881,14.5555,0.035)); #445079=CARTESIAN_POINT('',(26.048881,14.5555,0.)); #445080=CARTESIAN_POINT('Origin',(25.3429,14.5555,0.)); #445081=CARTESIAN_POINT('',(25.3429,14.5555,0.)); #445082=CARTESIAN_POINT('',(25.3429,14.5555,0.)); #445083=CARTESIAN_POINT('',(25.3429,14.5555,0.035)); #445084=CARTESIAN_POINT('',(25.3429,14.5555,0.035)); #445085=CARTESIAN_POINT('',(25.3429,14.5555,0.)); #445086=CARTESIAN_POINT('Origin',(25.3429,15.4445,0.)); #445087=CARTESIAN_POINT('',(25.3429,15.4445,0.)); #445088=CARTESIAN_POINT('',(25.3429,15.4445,0.)); #445089=CARTESIAN_POINT('',(25.3429,15.4445,0.035)); #445090=CARTESIAN_POINT('',(25.3429,15.4445,0.035)); #445091=CARTESIAN_POINT('',(25.3429,15.4445,0.)); #445092=CARTESIAN_POINT('Origin',(26.1811,15.4445,0.)); #445093=CARTESIAN_POINT('',(26.1811,15.4445,0.)); #445094=CARTESIAN_POINT('',(26.1811,15.4445,0.)); #445095=CARTESIAN_POINT('',(26.1811,15.4445,0.035)); #445096=CARTESIAN_POINT('',(26.1811,15.4445,0.035)); #445097=CARTESIAN_POINT('',(26.1811,15.4445,0.)); #445098=CARTESIAN_POINT('Origin',(26.1811,14.782494,0.)); #445099=CARTESIAN_POINT('',(26.1811,14.782494,0.)); #445100=CARTESIAN_POINT('',(26.1811,14.782494,0.)); #445101=CARTESIAN_POINT('',(26.1811,14.782494,0.035)); #445102=CARTESIAN_POINT('',(26.1811,14.782494,0.035)); #445103=CARTESIAN_POINT('',(26.1811,14.782494,0.)); #445104=CARTESIAN_POINT('Origin',(29.586594,11.377,0.)); #445105=CARTESIAN_POINT('',(29.586594,11.377,0.)); #445106=CARTESIAN_POINT('',(29.586594,11.377,0.)); #445107=CARTESIAN_POINT('',(29.586594,11.377,0.035)); #445108=CARTESIAN_POINT('',(29.586594,11.377,0.035)); #445109=CARTESIAN_POINT('',(29.586594,11.377,0.)); #445110=CARTESIAN_POINT('Origin',(30.256072,11.377,0.)); #445111=CARTESIAN_POINT('',(30.256072,11.377,0.)); #445112=CARTESIAN_POINT('',(30.256072,11.377,0.)); #445113=CARTESIAN_POINT('',(30.256072,11.377,0.035)); #445114=CARTESIAN_POINT('',(30.256072,11.377,0.035)); #445115=CARTESIAN_POINT('',(30.256072,11.377,0.)); #445116=CARTESIAN_POINT('Origin',(30.5007991253016,11.2506246242954,0.)); #445117=CARTESIAN_POINT('',(30.435603,11.518228,0.)); #445118=CARTESIAN_POINT('Origin',(30.5007991253016,11.2506246242954,0.)); #445119=CARTESIAN_POINT('',(30.435603,11.518228,0.035)); #445120=CARTESIAN_POINT('Origin',(30.5007991253016,11.2506246242954,0.035)); #445121=CARTESIAN_POINT('',(30.435603,11.518228,0.)); #445122=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #445123=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #445124=CARTESIAN_POINT('Origin',(30.5,11.25,0.035)); #445125=CARTESIAN_POINT('Origin',(27.852162884321,13.0527704628258,0.035)); #445126=CARTESIAN_POINT('Origin',(27.852162884321,13.0527704628258,0.)); #445127=CARTESIAN_POINT('Origin',(35.75,11.25,-200.)); #445128=CARTESIAN_POINT('',(35.61,11.25,0.)); #445129=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #445130=CARTESIAN_POINT('',(35.61,11.25,0.0349999999999895)); #445131=CARTESIAN_POINT('',(35.61,11.25,-200.)); #445132=CARTESIAN_POINT('Origin',(35.75,11.25,0.0349999999999895)); #445133=CARTESIAN_POINT('Origin',(35.771644,10.975,0.)); #445134=CARTESIAN_POINT('',(35.771644,10.975,0.)); #445135=CARTESIAN_POINT('',(35.814397,10.981772,0.)); #445136=CARTESIAN_POINT('',(35.771644,10.975,0.)); #445137=CARTESIAN_POINT('',(35.814397,10.981772,0.035)); #445138=CARTESIAN_POINT('',(35.814397,10.981772,0.)); #445139=CARTESIAN_POINT('',(35.771644,10.975,0.035)); #445140=CARTESIAN_POINT('',(35.771644,10.975,0.035)); #445141=CARTESIAN_POINT('',(35.771644,10.975,0.)); #445142=CARTESIAN_POINT('Origin',(35.750118471131,11.2495872515008,0.)); #445143=CARTESIAN_POINT('',(35.623,11.493928,0.)); #445144=CARTESIAN_POINT('Origin',(35.750118471131,11.2495872515008,0.)); #445145=CARTESIAN_POINT('',(35.623,11.493928,0.035)); #445146=CARTESIAN_POINT('Origin',(35.750118471131,11.2495872515008,0.035)); #445147=CARTESIAN_POINT('',(35.623,11.493928,0.)); #445148=CARTESIAN_POINT('Origin',(35.623,13.2875,0.)); #445149=CARTESIAN_POINT('',(35.623,13.2875,0.)); #445150=CARTESIAN_POINT('',(35.623,13.2875,0.)); #445151=CARTESIAN_POINT('',(35.623,13.2875,0.035)); #445152=CARTESIAN_POINT('',(35.623,13.2875,0.035)); #445153=CARTESIAN_POINT('',(35.623,13.2875,0.)); #445154=CARTESIAN_POINT('Origin',(35.6125,13.2875,0.)); #445155=CARTESIAN_POINT('',(35.6125,13.2875,0.)); #445156=CARTESIAN_POINT('',(35.6125,13.2875,0.)); #445157=CARTESIAN_POINT('',(35.6125,13.2875,0.035)); #445158=CARTESIAN_POINT('',(35.6125,13.2875,0.035)); #445159=CARTESIAN_POINT('',(35.6125,13.2875,0.)); #445160=CARTESIAN_POINT('Origin',(35.6125,13.9125,0.)); #445161=CARTESIAN_POINT('',(35.6125,13.9125,0.)); #445162=CARTESIAN_POINT('',(35.6125,13.9125,0.)); #445163=CARTESIAN_POINT('',(35.6125,13.9125,0.035)); #445164=CARTESIAN_POINT('',(35.6125,13.9125,0.035)); #445165=CARTESIAN_POINT('',(35.6125,13.9125,0.)); #445166=CARTESIAN_POINT('Origin',(35.8875,13.9125,0.)); #445167=CARTESIAN_POINT('',(35.8875,13.9125,0.)); #445168=CARTESIAN_POINT('',(35.8875,13.9125,0.)); #445169=CARTESIAN_POINT('',(35.8875,13.9125,0.035)); #445170=CARTESIAN_POINT('',(35.8875,13.9125,0.035)); #445171=CARTESIAN_POINT('',(35.8875,13.9125,0.)); #445172=CARTESIAN_POINT('Origin',(35.8875,13.2875,0.)); #445173=CARTESIAN_POINT('',(35.8875,13.2875,0.)); #445174=CARTESIAN_POINT('',(35.8875,13.2875,0.)); #445175=CARTESIAN_POINT('',(35.8875,13.2875,0.035)); #445176=CARTESIAN_POINT('',(35.8875,13.2875,0.035)); #445177=CARTESIAN_POINT('',(35.8875,13.2875,0.)); #445178=CARTESIAN_POINT('Origin',(35.877,13.2875,0.)); #445179=CARTESIAN_POINT('',(35.877,13.2875,0.)); #445180=CARTESIAN_POINT('',(35.877,13.2875,0.)); #445181=CARTESIAN_POINT('',(35.877,13.2875,0.035)); #445182=CARTESIAN_POINT('',(35.877,13.2875,0.035)); #445183=CARTESIAN_POINT('',(35.877,13.2875,0.)); #445184=CARTESIAN_POINT('Origin',(35.877,11.493928,0.)); #445185=CARTESIAN_POINT('',(35.877,11.493928,0.)); #445186=CARTESIAN_POINT('',(35.877,11.493928,0.)); #445187=CARTESIAN_POINT('',(35.877,11.493928,0.035)); #445188=CARTESIAN_POINT('',(35.877,11.493928,0.035)); #445189=CARTESIAN_POINT('',(35.877,11.493928,0.)); #445190=CARTESIAN_POINT('Origin',(35.7499453752955,11.249554310534,0.)); #445191=CARTESIAN_POINT('Origin',(35.7499453752955,11.249554310534,0.)); #445192=CARTESIAN_POINT('Origin',(35.7499453752955,11.249554310534,0.035)); #445193=CARTESIAN_POINT('Origin',(35.750393647629,12.4224979661359,0.035)); #445194=CARTESIAN_POINT('Origin',(35.750393647629,12.4224979661359,0.)); #445195=CARTESIAN_POINT('Origin',(29.147269,15.877,0.)); #445196=CARTESIAN_POINT('',(29.147269,15.877,0.)); #445197=CARTESIAN_POINT('',(27.002,18.022269,0.)); #445198=CARTESIAN_POINT('',(29.147269,15.877,0.)); #445199=CARTESIAN_POINT('',(27.002,18.022269,0.035)); #445200=CARTESIAN_POINT('',(27.002,18.022269,0.)); #445201=CARTESIAN_POINT('',(29.147269,15.877,0.035)); #445202=CARTESIAN_POINT('',(29.147269,15.877,0.035)); #445203=CARTESIAN_POINT('',(29.147269,15.877,0.)); #445204=CARTESIAN_POINT('Origin',(30.2875,15.877,0.)); #445205=CARTESIAN_POINT('',(30.2875,15.877,0.)); #445206=CARTESIAN_POINT('',(30.2875,15.877,0.)); #445207=CARTESIAN_POINT('',(30.2875,15.877,0.035)); #445208=CARTESIAN_POINT('',(30.2875,15.877,0.035)); #445209=CARTESIAN_POINT('',(30.2875,15.877,0.)); #445210=CARTESIAN_POINT('Origin',(30.2875,15.8875,0.)); #445211=CARTESIAN_POINT('',(30.2875,15.8875,0.)); #445212=CARTESIAN_POINT('',(30.2875,15.8875,0.)); #445213=CARTESIAN_POINT('',(30.2875,15.8875,0.035)); #445214=CARTESIAN_POINT('',(30.2875,15.8875,0.035)); #445215=CARTESIAN_POINT('',(30.2875,15.8875,0.)); #445216=CARTESIAN_POINT('Origin',(30.9125,15.8875,0.)); #445217=CARTESIAN_POINT('',(30.9125,15.8875,0.)); #445218=CARTESIAN_POINT('',(30.9125,15.8875,0.)); #445219=CARTESIAN_POINT('',(30.9125,15.8875,0.035)); #445220=CARTESIAN_POINT('',(30.9125,15.8875,0.035)); #445221=CARTESIAN_POINT('',(30.9125,15.8875,0.)); #445222=CARTESIAN_POINT('Origin',(30.9125,15.6125,0.)); #445223=CARTESIAN_POINT('',(30.9125,15.6125,0.)); #445224=CARTESIAN_POINT('',(30.9125,15.6125,0.)); #445225=CARTESIAN_POINT('',(30.9125,15.6125,0.035)); #445226=CARTESIAN_POINT('',(30.9125,15.6125,0.035)); #445227=CARTESIAN_POINT('',(30.9125,15.6125,0.)); #445228=CARTESIAN_POINT('Origin',(30.2875,15.6125,0.)); #445229=CARTESIAN_POINT('',(30.2875,15.6125,0.)); #445230=CARTESIAN_POINT('',(30.2875,15.6125,0.)); #445231=CARTESIAN_POINT('',(30.2875,15.6125,0.035)); #445232=CARTESIAN_POINT('',(30.2875,15.6125,0.035)); #445233=CARTESIAN_POINT('',(30.2875,15.6125,0.)); #445234=CARTESIAN_POINT('Origin',(30.2875,15.623,0.)); #445235=CARTESIAN_POINT('',(30.2875,15.623,0.)); #445236=CARTESIAN_POINT('',(30.2875,15.623,0.)); #445237=CARTESIAN_POINT('',(30.2875,15.623,0.035)); #445238=CARTESIAN_POINT('',(30.2875,15.623,0.035)); #445239=CARTESIAN_POINT('',(30.2875,15.623,0.)); #445240=CARTESIAN_POINT('Origin',(29.094663,15.623,0.)); #445241=CARTESIAN_POINT('',(29.094663,15.623,0.)); #445242=CARTESIAN_POINT('',(29.094663,15.623,0.)); #445243=CARTESIAN_POINT('',(29.094663,15.623,0.035)); #445244=CARTESIAN_POINT('',(29.094663,15.623,0.035)); #445245=CARTESIAN_POINT('',(29.094663,15.623,0.)); #445246=CARTESIAN_POINT('Origin',(29.0946617502996,15.750001515832,0.)); #445247=CARTESIAN_POINT('',(29.004859,15.660197,0.)); #445248=CARTESIAN_POINT('Origin',(29.0946617502996,15.750001515832,0.)); #445249=CARTESIAN_POINT('',(29.004859,15.660197,0.035)); #445250=CARTESIAN_POINT('Origin',(29.0946617502996,15.750001515832,0.035)); #445251=CARTESIAN_POINT('',(29.004859,15.660197,0.)); #445252=CARTESIAN_POINT('Origin',(26.785197,17.879859,0.)); #445253=CARTESIAN_POINT('',(26.785197,17.879859,0.)); #445254=CARTESIAN_POINT('',(26.785197,17.879859,0.)); #445255=CARTESIAN_POINT('',(26.785197,17.879859,0.035)); #445256=CARTESIAN_POINT('',(26.785197,17.879859,0.035)); #445257=CARTESIAN_POINT('',(26.785197,17.879859,0.)); #445258=CARTESIAN_POINT('Origin',(26.875001515832,17.9696617502996,0.)); #445259=CARTESIAN_POINT('',(26.748,17.969663,0.)); #445260=CARTESIAN_POINT('Origin',(26.875001515832,17.9696617502996,0.)); #445261=CARTESIAN_POINT('',(26.748,17.969663,0.035)); #445262=CARTESIAN_POINT('Origin',(26.875001515832,17.9696617502996,0.035)); #445263=CARTESIAN_POINT('',(26.748,17.969663,0.)); #445264=CARTESIAN_POINT('Origin',(26.748,19.808994,0.)); #445265=CARTESIAN_POINT('',(26.748,19.808994,0.)); #445266=CARTESIAN_POINT('',(26.748,19.808994,0.)); #445267=CARTESIAN_POINT('',(26.748,19.808994,0.035)); #445268=CARTESIAN_POINT('',(26.748,19.808994,0.035)); #445269=CARTESIAN_POINT('',(26.748,19.808994,0.)); #445270=CARTESIAN_POINT('Origin',(26.001494,20.5555,0.)); #445271=CARTESIAN_POINT('',(26.001494,20.5555,0.)); #445272=CARTESIAN_POINT('',(26.001494,20.5555,0.)); #445273=CARTESIAN_POINT('',(26.001494,20.5555,0.035)); #445274=CARTESIAN_POINT('',(26.001494,20.5555,0.035)); #445275=CARTESIAN_POINT('',(26.001494,20.5555,0.)); #445276=CARTESIAN_POINT('Origin',(25.3429,20.5555,0.)); #445277=CARTESIAN_POINT('',(25.3429,20.5555,0.)); #445278=CARTESIAN_POINT('',(25.3429,20.5555,0.)); #445279=CARTESIAN_POINT('',(25.3429,20.5555,0.035)); #445280=CARTESIAN_POINT('',(25.3429,20.5555,0.035)); #445281=CARTESIAN_POINT('',(25.3429,20.5555,0.)); #445282=CARTESIAN_POINT('Origin',(25.3429,21.4445,0.)); #445283=CARTESIAN_POINT('',(25.3429,21.4445,0.)); #445284=CARTESIAN_POINT('',(25.3429,21.4445,0.)); #445285=CARTESIAN_POINT('',(25.3429,21.4445,0.035)); #445286=CARTESIAN_POINT('',(25.3429,21.4445,0.035)); #445287=CARTESIAN_POINT('',(25.3429,21.4445,0.)); #445288=CARTESIAN_POINT('Origin',(26.1811,21.4445,0.)); #445289=CARTESIAN_POINT('',(26.1811,21.4445,0.)); #445290=CARTESIAN_POINT('',(26.1811,21.4445,0.)); #445291=CARTESIAN_POINT('',(26.1811,21.4445,0.035)); #445292=CARTESIAN_POINT('',(26.1811,21.4445,0.035)); #445293=CARTESIAN_POINT('',(26.1811,21.4445,0.)); #445294=CARTESIAN_POINT('Origin',(26.1811,20.735106,0.)); #445295=CARTESIAN_POINT('',(26.1811,20.735106,0.)); #445296=CARTESIAN_POINT('',(26.1811,20.735106,0.)); #445297=CARTESIAN_POINT('',(26.1811,20.735106,0.035)); #445298=CARTESIAN_POINT('',(26.1811,20.735106,0.035)); #445299=CARTESIAN_POINT('',(26.1811,20.735106,0.)); #445300=CARTESIAN_POINT('Origin',(26.964803,19.951403,0.)); #445301=CARTESIAN_POINT('',(26.964803,19.951403,0.)); #445302=CARTESIAN_POINT('',(26.964803,19.951403,0.)); #445303=CARTESIAN_POINT('',(26.964803,19.951403,0.035)); #445304=CARTESIAN_POINT('',(26.964803,19.951403,0.035)); #445305=CARTESIAN_POINT('',(26.964803,19.951403,0.)); #445306=CARTESIAN_POINT('Origin',(26.8749996912748,19.8616007497004,0.)); #445307=CARTESIAN_POINT('',(27.002,19.8616,0.)); #445308=CARTESIAN_POINT('Origin',(26.8749996912748,19.8616007497004,0.)); #445309=CARTESIAN_POINT('',(27.002,19.8616,0.035)); #445310=CARTESIAN_POINT('Origin',(26.8749996912748,19.8616007497004,0.035)); #445311=CARTESIAN_POINT('',(27.002,19.8616,0.)); #445312=CARTESIAN_POINT('Origin',(27.002,18.022269,0.)); #445313=CARTESIAN_POINT('',(27.002,18.022269,0.)); #445314=CARTESIAN_POINT('',(27.002,18.022269,0.035)); #445315=CARTESIAN_POINT('Origin',(27.6554521734073,18.0965818223084,0.035)); #445316=CARTESIAN_POINT('Origin',(27.6554521734073,18.0965818223084,0.)); #445317=CARTESIAN_POINT('Origin',(34.8875,20.0875,0.)); #445318=CARTESIAN_POINT('',(34.8875,20.0875,0.)); #445319=CARTESIAN_POINT('',(34.8875,20.7125,0.)); #445320=CARTESIAN_POINT('',(34.8875,20.0875,0.)); #445321=CARTESIAN_POINT('',(34.8875,20.7125,0.035)); #445322=CARTESIAN_POINT('',(34.8875,20.7125,0.)); #445323=CARTESIAN_POINT('',(34.8875,20.0875,0.035)); #445324=CARTESIAN_POINT('',(34.8875,20.0875,0.035)); #445325=CARTESIAN_POINT('',(34.8875,20.0875,0.)); #445326=CARTESIAN_POINT('Origin',(34.6125,20.0875,0.)); #445327=CARTESIAN_POINT('',(34.6125,20.0875,0.)); #445328=CARTESIAN_POINT('',(34.6125,20.0875,0.)); #445329=CARTESIAN_POINT('',(34.6125,20.0875,0.035)); #445330=CARTESIAN_POINT('',(34.6125,20.0875,0.035)); #445331=CARTESIAN_POINT('',(34.6125,20.0875,0.)); #445332=CARTESIAN_POINT('Origin',(34.6125,20.7125,0.)); #445333=CARTESIAN_POINT('',(34.6125,20.7125,0.)); #445334=CARTESIAN_POINT('',(34.6125,20.7125,0.)); #445335=CARTESIAN_POINT('',(34.6125,20.7125,0.035)); #445336=CARTESIAN_POINT('',(34.6125,20.7125,0.035)); #445337=CARTESIAN_POINT('',(34.6125,20.7125,0.)); #445338=CARTESIAN_POINT('Origin',(34.623,20.7125,0.)); #445339=CARTESIAN_POINT('',(34.623,20.7125,0.)); #445340=CARTESIAN_POINT('',(34.623,20.7125,0.)); #445341=CARTESIAN_POINT('',(34.623,20.7125,0.035)); #445342=CARTESIAN_POINT('',(34.623,20.7125,0.035)); #445343=CARTESIAN_POINT('',(34.623,20.7125,0.)); #445344=CARTESIAN_POINT('Origin',(34.623,21.939325,0.)); #445345=CARTESIAN_POINT('',(34.623,21.939325,0.)); #445346=CARTESIAN_POINT('',(34.623,21.939325,0.)); #445347=CARTESIAN_POINT('',(34.623,21.939325,0.035)); #445348=CARTESIAN_POINT('',(34.623,21.939325,0.035)); #445349=CARTESIAN_POINT('',(34.623,21.939325,0.)); #445350=CARTESIAN_POINT('Origin',(34.7500003087252,21.9393257497004,0.)); #445351=CARTESIAN_POINT('',(34.660197,22.029128,0.)); #445352=CARTESIAN_POINT('Origin',(34.7500003087252,21.9393257497004,0.)); #445353=CARTESIAN_POINT('',(34.660197,22.029128,0.035)); #445354=CARTESIAN_POINT('Origin',(34.7500003087252,21.9393257497004,0.035)); #445355=CARTESIAN_POINT('',(34.660197,22.029128,0.)); #445356=CARTESIAN_POINT('Origin',(37.623,24.991931,0.)); #445357=CARTESIAN_POINT('',(37.623,24.991931,0.)); #445358=CARTESIAN_POINT('',(37.623,24.991931,0.)); #445359=CARTESIAN_POINT('',(37.623,24.991931,0.035)); #445360=CARTESIAN_POINT('',(37.623,24.991931,0.035)); #445361=CARTESIAN_POINT('',(37.623,24.991931,0.)); #445362=CARTESIAN_POINT('Origin',(37.623,29.597194,0.)); #445363=CARTESIAN_POINT('',(37.623,29.597194,0.)); #445364=CARTESIAN_POINT('',(37.623,29.597194,0.)); #445365=CARTESIAN_POINT('',(37.623,29.597194,0.035)); #445366=CARTESIAN_POINT('',(37.623,29.597194,0.035)); #445367=CARTESIAN_POINT('',(37.623,29.597194,0.)); #445368=CARTESIAN_POINT('Origin',(36.817094,30.4031,0.)); #445369=CARTESIAN_POINT('',(36.817094,30.4031,0.)); #445370=CARTESIAN_POINT('',(36.817094,30.4031,0.)); #445371=CARTESIAN_POINT('',(36.817094,30.4031,0.035)); #445372=CARTESIAN_POINT('',(36.817094,30.4031,0.035)); #445373=CARTESIAN_POINT('',(36.817094,30.4031,0.)); #445374=CARTESIAN_POINT('Origin',(35.8029,30.4031,0.)); #445375=CARTESIAN_POINT('',(35.8029,30.4031,0.)); #445376=CARTESIAN_POINT('',(35.8029,30.4031,0.)); #445377=CARTESIAN_POINT('',(35.8029,30.4031,0.035)); #445378=CARTESIAN_POINT('',(35.8029,30.4031,0.035)); #445379=CARTESIAN_POINT('',(35.8029,30.4031,0.)); #445380=CARTESIAN_POINT('Origin',(35.8029,31.5969,0.)); #445381=CARTESIAN_POINT('',(35.8029,31.5969,0.)); #445382=CARTESIAN_POINT('',(35.8029,31.5969,0.)); #445383=CARTESIAN_POINT('',(35.8029,31.5969,0.035)); #445384=CARTESIAN_POINT('',(35.8029,31.5969,0.035)); #445385=CARTESIAN_POINT('',(35.8029,31.5969,0.)); #445386=CARTESIAN_POINT('Origin',(36.2728,31.5969,0.)); #445387=CARTESIAN_POINT('',(36.2728,31.5969,0.)); #445388=CARTESIAN_POINT('',(36.2728,31.5969,0.)); #445389=CARTESIAN_POINT('',(36.2728,31.5969,0.035)); #445390=CARTESIAN_POINT('',(36.2728,31.5969,0.035)); #445391=CARTESIAN_POINT('',(36.2728,31.5969,0.)); #445392=CARTESIAN_POINT('Origin',(36.2728,34.619463,0.)); #445393=CARTESIAN_POINT('',(36.2728,34.619463,0.)); #445394=CARTESIAN_POINT('',(36.2728,34.619463,0.)); #445395=CARTESIAN_POINT('',(36.2728,34.619463,0.035)); #445396=CARTESIAN_POINT('',(36.2728,34.619463,0.035)); #445397=CARTESIAN_POINT('',(36.2728,34.619463,0.)); #445398=CARTESIAN_POINT('Origin',(36.3998003087252,34.6194637497004,0.)); #445399=CARTESIAN_POINT('',(36.309997,34.709266,0.)); #445400=CARTESIAN_POINT('Origin',(36.3998003087252,34.6194637497004,0.)); #445401=CARTESIAN_POINT('',(36.309997,34.709266,0.035)); #445402=CARTESIAN_POINT('Origin',(36.3998003087252,34.6194637497004,0.035)); #445403=CARTESIAN_POINT('',(36.309997,34.709266,0.)); #445404=CARTESIAN_POINT('Origin',(38.0686,36.467869,0.)); #445405=CARTESIAN_POINT('',(38.0686,36.467869,0.)); #445406=CARTESIAN_POINT('',(38.0686,36.467869,0.)); #445407=CARTESIAN_POINT('',(38.0686,36.467869,0.035)); #445408=CARTESIAN_POINT('',(38.0686,36.467869,0.035)); #445409=CARTESIAN_POINT('',(38.0686,36.467869,0.)); #445410=CARTESIAN_POINT('Origin',(38.0686,37.4336,0.)); #445411=CARTESIAN_POINT('',(38.0686,37.4336,0.)); #445412=CARTESIAN_POINT('',(38.0686,37.4336,0.)); #445413=CARTESIAN_POINT('',(38.0686,37.4336,0.035)); #445414=CARTESIAN_POINT('',(38.0686,37.4336,0.035)); #445415=CARTESIAN_POINT('',(38.0686,37.4336,0.)); #445416=CARTESIAN_POINT('Origin',(38.1956003087252,37.4336007497004,0.)); #445417=CARTESIAN_POINT('',(38.105797,37.523403,0.)); #445418=CARTESIAN_POINT('Origin',(38.1956003087252,37.4336007497004,0.)); #445419=CARTESIAN_POINT('',(38.105797,37.523403,0.035)); #445420=CARTESIAN_POINT('Origin',(38.1956003087252,37.4336007497004,0.035)); #445421=CARTESIAN_POINT('',(38.105797,37.523403,0.)); #445422=CARTESIAN_POINT('Origin',(38.3429,37.760506,0.)); #445423=CARTESIAN_POINT('',(38.3429,37.760506,0.)); #445424=CARTESIAN_POINT('',(38.3429,37.760506,0.)); #445425=CARTESIAN_POINT('',(38.3429,37.760506,0.035)); #445426=CARTESIAN_POINT('',(38.3429,37.760506,0.035)); #445427=CARTESIAN_POINT('',(38.3429,37.760506,0.)); #445428=CARTESIAN_POINT('Origin',(38.3429,38.4445,0.)); #445429=CARTESIAN_POINT('',(38.3429,38.4445,0.)); #445430=CARTESIAN_POINT('',(38.3429,38.4445,0.)); #445431=CARTESIAN_POINT('',(38.3429,38.4445,0.035)); #445432=CARTESIAN_POINT('',(38.3429,38.4445,0.035)); #445433=CARTESIAN_POINT('',(38.3429,38.4445,0.)); #445434=CARTESIAN_POINT('Origin',(39.1811,38.4445,0.)); #445435=CARTESIAN_POINT('',(39.1811,38.4445,0.)); #445436=CARTESIAN_POINT('',(39.1811,38.4445,0.)); #445437=CARTESIAN_POINT('',(39.1811,38.4445,0.035)); #445438=CARTESIAN_POINT('',(39.1811,38.4445,0.035)); #445439=CARTESIAN_POINT('',(39.1811,38.4445,0.)); #445440=CARTESIAN_POINT('Origin',(39.1811,37.5555,0.)); #445441=CARTESIAN_POINT('',(39.1811,37.5555,0.)); #445442=CARTESIAN_POINT('',(39.1811,37.5555,0.)); #445443=CARTESIAN_POINT('',(39.1811,37.5555,0.035)); #445444=CARTESIAN_POINT('',(39.1811,37.5555,0.035)); #445445=CARTESIAN_POINT('',(39.1811,37.5555,0.)); #445446=CARTESIAN_POINT('Origin',(38.497106,37.5555,0.)); #445447=CARTESIAN_POINT('',(38.497106,37.5555,0.)); #445448=CARTESIAN_POINT('',(38.497106,37.5555,0.)); #445449=CARTESIAN_POINT('',(38.497106,37.5555,0.035)); #445450=CARTESIAN_POINT('',(38.497106,37.5555,0.035)); #445451=CARTESIAN_POINT('',(38.497106,37.5555,0.)); #445452=CARTESIAN_POINT('Origin',(38.3226,37.380994,0.)); #445453=CARTESIAN_POINT('',(38.3226,37.380994,0.)); #445454=CARTESIAN_POINT('',(38.3226,37.380994,0.)); #445455=CARTESIAN_POINT('',(38.3226,37.380994,0.035)); #445456=CARTESIAN_POINT('',(38.3226,37.380994,0.035)); #445457=CARTESIAN_POINT('',(38.3226,37.380994,0.)); #445458=CARTESIAN_POINT('Origin',(38.3226,36.415263,0.)); #445459=CARTESIAN_POINT('',(38.3226,36.415263,0.)); #445460=CARTESIAN_POINT('',(38.3226,36.415263,0.)); #445461=CARTESIAN_POINT('',(38.3226,36.415263,0.035)); #445462=CARTESIAN_POINT('',(38.3226,36.415263,0.035)); #445463=CARTESIAN_POINT('',(38.3226,36.415263,0.)); #445464=CARTESIAN_POINT('Origin',(38.195598484168,36.4152617502996,0.)); #445465=CARTESIAN_POINT('',(38.285403,36.325459,0.)); #445466=CARTESIAN_POINT('Origin',(38.195598484168,36.4152617502996,0.)); #445467=CARTESIAN_POINT('',(38.285403,36.325459,0.035)); #445468=CARTESIAN_POINT('Origin',(38.195598484168,36.4152617502996,0.035)); #445469=CARTESIAN_POINT('',(38.285403,36.325459,0.)); #445470=CARTESIAN_POINT('Origin',(36.5268,34.566856,0.)); #445471=CARTESIAN_POINT('',(36.5268,34.566856,0.)); #445472=CARTESIAN_POINT('',(36.5268,34.566856,0.)); #445473=CARTESIAN_POINT('',(36.5268,34.566856,0.035)); #445474=CARTESIAN_POINT('',(36.5268,34.566856,0.035)); #445475=CARTESIAN_POINT('',(36.5268,34.566856,0.)); #445476=CARTESIAN_POINT('Origin',(36.5268,31.5969,0.)); #445477=CARTESIAN_POINT('',(36.5268,31.5969,0.)); #445478=CARTESIAN_POINT('',(36.5268,31.5969,0.)); #445479=CARTESIAN_POINT('',(36.5268,31.5969,0.035)); #445480=CARTESIAN_POINT('',(36.5268,31.5969,0.035)); #445481=CARTESIAN_POINT('',(36.5268,31.5969,0.)); #445482=CARTESIAN_POINT('Origin',(36.9967,31.5969,0.)); #445483=CARTESIAN_POINT('',(36.9967,31.5969,0.)); #445484=CARTESIAN_POINT('',(36.9967,31.5969,0.)); #445485=CARTESIAN_POINT('',(36.9967,31.5969,0.035)); #445486=CARTESIAN_POINT('',(36.9967,31.5969,0.035)); #445487=CARTESIAN_POINT('',(36.9967,31.5969,0.)); #445488=CARTESIAN_POINT('Origin',(36.9967,30.582706,0.)); #445489=CARTESIAN_POINT('',(36.9967,30.582706,0.)); #445490=CARTESIAN_POINT('',(36.9967,30.582706,0.)); #445491=CARTESIAN_POINT('',(36.9967,30.582706,0.035)); #445492=CARTESIAN_POINT('',(36.9967,30.582706,0.035)); #445493=CARTESIAN_POINT('',(36.9967,30.582706,0.)); #445494=CARTESIAN_POINT('Origin',(37.839803,29.739603,0.)); #445495=CARTESIAN_POINT('',(37.839803,29.739603,0.)); #445496=CARTESIAN_POINT('',(37.839803,29.739603,0.)); #445497=CARTESIAN_POINT('',(37.839803,29.739603,0.035)); #445498=CARTESIAN_POINT('',(37.839803,29.739603,0.035)); #445499=CARTESIAN_POINT('',(37.839803,29.739603,0.)); #445500=CARTESIAN_POINT('Origin',(37.7499996912748,29.6498007497004,0.)); #445501=CARTESIAN_POINT('',(37.877,29.6498,0.)); #445502=CARTESIAN_POINT('Origin',(37.7499996912748,29.6498007497004,0.)); #445503=CARTESIAN_POINT('',(37.877,29.6498,0.035)); #445504=CARTESIAN_POINT('Origin',(37.7499996912748,29.6498007497004,0.035)); #445505=CARTESIAN_POINT('',(37.877,29.6498,0.)); #445506=CARTESIAN_POINT('Origin',(37.877,24.939325,0.)); #445507=CARTESIAN_POINT('',(37.877,24.939325,0.)); #445508=CARTESIAN_POINT('',(37.877,24.939325,0.)); #445509=CARTESIAN_POINT('',(37.877,24.939325,0.035)); #445510=CARTESIAN_POINT('',(37.877,24.939325,0.035)); #445511=CARTESIAN_POINT('',(37.877,24.939325,0.)); #445512=CARTESIAN_POINT('Origin',(37.7499996912748,24.9393242502996,0.)); #445513=CARTESIAN_POINT('',(37.839803,24.849522,0.)); #445514=CARTESIAN_POINT('Origin',(37.7499996912748,24.9393242502996,0.)); #445515=CARTESIAN_POINT('',(37.839803,24.849522,0.035)); #445516=CARTESIAN_POINT('Origin',(37.7499996912748,24.9393242502996,0.035)); #445517=CARTESIAN_POINT('',(37.839803,24.849522,0.)); #445518=CARTESIAN_POINT('Origin',(34.877,21.886719,0.)); #445519=CARTESIAN_POINT('',(34.877,21.886719,0.)); #445520=CARTESIAN_POINT('',(34.877,21.886719,0.)); #445521=CARTESIAN_POINT('',(34.877,21.886719,0.035)); #445522=CARTESIAN_POINT('',(34.877,21.886719,0.035)); #445523=CARTESIAN_POINT('',(34.877,21.886719,0.)); #445524=CARTESIAN_POINT('Origin',(34.877,20.7125,0.)); #445525=CARTESIAN_POINT('',(34.877,20.7125,0.)); #445526=CARTESIAN_POINT('',(34.877,20.7125,0.)); #445527=CARTESIAN_POINT('',(34.877,20.7125,0.035)); #445528=CARTESIAN_POINT('',(34.877,20.7125,0.035)); #445529=CARTESIAN_POINT('',(34.877,20.7125,0.)); #445530=CARTESIAN_POINT('Origin',(34.8875,20.7125,0.)); #445531=CARTESIAN_POINT('',(34.8875,20.7125,0.)); #445532=CARTESIAN_POINT('',(34.8875,20.7125,0.035)); #445533=CARTESIAN_POINT('Origin',(36.9232432666257,29.5060656451644,0.035)); #445534=CARTESIAN_POINT('Origin',(36.9232432666257,29.5060656451644,0.)); #445535=CARTESIAN_POINT('Origin',(32.3875,20.0875,0.)); #445536=CARTESIAN_POINT('',(32.3875,20.0875,0.)); #445537=CARTESIAN_POINT('',(32.3875,20.7125,0.)); #445538=CARTESIAN_POINT('',(32.3875,20.0875,0.)); #445539=CARTESIAN_POINT('',(32.3875,20.7125,0.035)); #445540=CARTESIAN_POINT('',(32.3875,20.7125,0.)); #445541=CARTESIAN_POINT('',(32.3875,20.0875,0.035)); #445542=CARTESIAN_POINT('',(32.3875,20.0875,0.035)); #445543=CARTESIAN_POINT('',(32.3875,20.0875,0.)); #445544=CARTESIAN_POINT('Origin',(32.1125,20.0875,0.)); #445545=CARTESIAN_POINT('',(32.1125,20.0875,0.)); #445546=CARTESIAN_POINT('',(32.1125,20.0875,0.)); #445547=CARTESIAN_POINT('',(32.1125,20.0875,0.035)); #445548=CARTESIAN_POINT('',(32.1125,20.0875,0.035)); #445549=CARTESIAN_POINT('',(32.1125,20.0875,0.)); #445550=CARTESIAN_POINT('Origin',(32.1125,20.7125,0.)); #445551=CARTESIAN_POINT('',(32.1125,20.7125,0.)); #445552=CARTESIAN_POINT('',(32.1125,20.7125,0.)); #445553=CARTESIAN_POINT('',(32.1125,20.7125,0.035)); #445554=CARTESIAN_POINT('',(32.1125,20.7125,0.035)); #445555=CARTESIAN_POINT('',(32.1125,20.7125,0.)); #445556=CARTESIAN_POINT('Origin',(32.123,20.7125,0.)); #445557=CARTESIAN_POINT('',(32.123,20.7125,0.)); #445558=CARTESIAN_POINT('',(32.123,20.7125,0.)); #445559=CARTESIAN_POINT('',(32.123,20.7125,0.035)); #445560=CARTESIAN_POINT('',(32.123,20.7125,0.035)); #445561=CARTESIAN_POINT('',(32.123,20.7125,0.)); #445562=CARTESIAN_POINT('Origin',(32.123,21.886719,0.)); #445563=CARTESIAN_POINT('',(32.123,21.886719,0.)); #445564=CARTESIAN_POINT('',(32.123,21.886719,0.)); #445565=CARTESIAN_POINT('',(32.123,21.886719,0.035)); #445566=CARTESIAN_POINT('',(32.123,21.886719,0.035)); #445567=CARTESIAN_POINT('',(32.123,21.886719,0.)); #445568=CARTESIAN_POINT('Origin',(30.160197,23.849522,0.)); #445569=CARTESIAN_POINT('',(30.160197,23.849522,0.)); #445570=CARTESIAN_POINT('',(30.160197,23.849522,0.)); #445571=CARTESIAN_POINT('',(30.160197,23.849522,0.035)); #445572=CARTESIAN_POINT('',(30.160197,23.849522,0.035)); #445573=CARTESIAN_POINT('',(30.160197,23.849522,0.)); #445574=CARTESIAN_POINT('Origin',(30.2500003087252,23.9393242502996,0.)); #445575=CARTESIAN_POINT('',(30.123,23.939325,0.)); #445576=CARTESIAN_POINT('Origin',(30.2500003087252,23.9393242502996,0.)); #445577=CARTESIAN_POINT('',(30.123,23.939325,0.035)); #445578=CARTESIAN_POINT('Origin',(30.2500003087252,23.9393242502996,0.035)); #445579=CARTESIAN_POINT('',(30.123,23.939325,0.)); #445580=CARTESIAN_POINT('Origin',(30.123,29.6498,0.)); #445581=CARTESIAN_POINT('',(30.123,29.6498,0.)); #445582=CARTESIAN_POINT('',(30.123,29.6498,0.)); #445583=CARTESIAN_POINT('',(30.123,29.6498,0.035)); #445584=CARTESIAN_POINT('',(30.123,29.6498,0.035)); #445585=CARTESIAN_POINT('',(30.123,29.6498,0.)); #445586=CARTESIAN_POINT('Origin',(30.2500003087252,29.6498007497004,0.)); #445587=CARTESIAN_POINT('',(30.160197,29.739603,0.)); #445588=CARTESIAN_POINT('Origin',(30.2500003087252,29.6498007497004,0.)); #445589=CARTESIAN_POINT('',(30.160197,29.739603,0.035)); #445590=CARTESIAN_POINT('Origin',(30.2500003087252,29.6498007497004,0.035)); #445591=CARTESIAN_POINT('',(30.160197,29.739603,0.)); #445592=CARTESIAN_POINT('Origin',(31.0033,30.582706,0.)); #445593=CARTESIAN_POINT('',(31.0033,30.582706,0.)); #445594=CARTESIAN_POINT('',(31.0033,30.582706,0.)); #445595=CARTESIAN_POINT('',(31.0033,30.582706,0.035)); #445596=CARTESIAN_POINT('',(31.0033,30.582706,0.035)); #445597=CARTESIAN_POINT('',(31.0033,30.582706,0.)); #445598=CARTESIAN_POINT('Origin',(31.0033,31.5969,0.)); #445599=CARTESIAN_POINT('',(31.0033,31.5969,0.)); #445600=CARTESIAN_POINT('',(31.0033,31.5969,0.)); #445601=CARTESIAN_POINT('',(31.0033,31.5969,0.035)); #445602=CARTESIAN_POINT('',(31.0033,31.5969,0.035)); #445603=CARTESIAN_POINT('',(31.0033,31.5969,0.)); #445604=CARTESIAN_POINT('Origin',(31.4732,31.5969,0.)); #445605=CARTESIAN_POINT('',(31.4732,31.5969,0.)); #445606=CARTESIAN_POINT('',(31.4732,31.5969,0.)); #445607=CARTESIAN_POINT('',(31.4732,31.5969,0.035)); #445608=CARTESIAN_POINT('',(31.4732,31.5969,0.035)); #445609=CARTESIAN_POINT('',(31.4732,31.5969,0.)); #445610=CARTESIAN_POINT('Origin',(31.4732,34.566856,0.)); #445611=CARTESIAN_POINT('',(31.4732,34.566856,0.)); #445612=CARTESIAN_POINT('',(31.4732,34.566856,0.)); #445613=CARTESIAN_POINT('',(31.4732,34.566856,0.035)); #445614=CARTESIAN_POINT('',(31.4732,34.566856,0.035)); #445615=CARTESIAN_POINT('',(31.4732,34.566856,0.)); #445616=CARTESIAN_POINT('Origin',(29.722697,36.317359,0.)); #445617=CARTESIAN_POINT('',(29.722697,36.317359,0.)); #445618=CARTESIAN_POINT('',(29.722697,36.317359,0.)); #445619=CARTESIAN_POINT('',(29.722697,36.317359,0.035)); #445620=CARTESIAN_POINT('',(29.722697,36.317359,0.035)); #445621=CARTESIAN_POINT('',(29.722697,36.317359,0.)); #445622=CARTESIAN_POINT('Origin',(29.8125003087252,36.4071612502996,0.)); #445623=CARTESIAN_POINT('',(29.6855,36.407162,0.)); #445624=CARTESIAN_POINT('Origin',(29.8125003087252,36.4071612502996,0.)); #445625=CARTESIAN_POINT('',(29.6855,36.407162,0.035)); #445626=CARTESIAN_POINT('Origin',(29.8125003087252,36.4071612502996,0.035)); #445627=CARTESIAN_POINT('',(29.6855,36.407162,0.)); #445628=CARTESIAN_POINT('Origin',(29.6855,37.372894,0.)); #445629=CARTESIAN_POINT('',(29.6855,37.372894,0.)); #445630=CARTESIAN_POINT('',(29.6855,37.372894,0.)); #445631=CARTESIAN_POINT('',(29.6855,37.372894,0.035)); #445632=CARTESIAN_POINT('',(29.6855,37.372894,0.035)); #445633=CARTESIAN_POINT('',(29.6855,37.372894,0.)); #445634=CARTESIAN_POINT('Origin',(29.502894,37.5555,0.)); #445635=CARTESIAN_POINT('',(29.502894,37.5555,0.)); #445636=CARTESIAN_POINT('',(29.502894,37.5555,0.)); #445637=CARTESIAN_POINT('',(29.502894,37.5555,0.035)); #445638=CARTESIAN_POINT('',(29.502894,37.5555,0.035)); #445639=CARTESIAN_POINT('',(29.502894,37.5555,0.)); #445640=CARTESIAN_POINT('Origin',(28.8189,37.5555,0.)); #445641=CARTESIAN_POINT('',(28.8189,37.5555,0.)); #445642=CARTESIAN_POINT('',(28.8189,37.5555,0.)); #445643=CARTESIAN_POINT('',(28.8189,37.5555,0.035)); #445644=CARTESIAN_POINT('',(28.8189,37.5555,0.035)); #445645=CARTESIAN_POINT('',(28.8189,37.5555,0.)); #445646=CARTESIAN_POINT('Origin',(28.8189,38.4445,0.)); #445647=CARTESIAN_POINT('',(28.8189,38.4445,0.)); #445648=CARTESIAN_POINT('',(28.8189,38.4445,0.)); #445649=CARTESIAN_POINT('',(28.8189,38.4445,0.035)); #445650=CARTESIAN_POINT('',(28.8189,38.4445,0.035)); #445651=CARTESIAN_POINT('',(28.8189,38.4445,0.)); #445652=CARTESIAN_POINT('Origin',(29.6571,38.4445,0.)); #445653=CARTESIAN_POINT('',(29.6571,38.4445,0.)); #445654=CARTESIAN_POINT('',(29.6571,38.4445,0.)); #445655=CARTESIAN_POINT('',(29.6571,38.4445,0.035)); #445656=CARTESIAN_POINT('',(29.6571,38.4445,0.035)); #445657=CARTESIAN_POINT('',(29.6571,38.4445,0.)); #445658=CARTESIAN_POINT('Origin',(29.6571,37.760506,0.)); #445659=CARTESIAN_POINT('',(29.6571,37.760506,0.)); #445660=CARTESIAN_POINT('',(29.6571,37.760506,0.)); #445661=CARTESIAN_POINT('',(29.6571,37.760506,0.035)); #445662=CARTESIAN_POINT('',(29.6571,37.760506,0.035)); #445663=CARTESIAN_POINT('',(29.6571,37.760506,0.)); #445664=CARTESIAN_POINT('Origin',(29.902303,37.515303,0.)); #445665=CARTESIAN_POINT('',(29.902303,37.515303,0.)); #445666=CARTESIAN_POINT('',(29.902303,37.515303,0.)); #445667=CARTESIAN_POINT('',(29.902303,37.515303,0.035)); #445668=CARTESIAN_POINT('',(29.902303,37.515303,0.035)); #445669=CARTESIAN_POINT('',(29.902303,37.515303,0.)); #445670=CARTESIAN_POINT('Origin',(29.8124996912748,37.4255007497004,0.)); #445671=CARTESIAN_POINT('',(29.9395,37.4255,0.)); #445672=CARTESIAN_POINT('Origin',(29.8124996912748,37.4255007497004,0.)); #445673=CARTESIAN_POINT('',(29.9395,37.4255,0.035)); #445674=CARTESIAN_POINT('Origin',(29.8124996912748,37.4255007497004,0.035)); #445675=CARTESIAN_POINT('',(29.9395,37.4255,0.)); #445676=CARTESIAN_POINT('Origin',(29.9395,36.459769,0.)); #445677=CARTESIAN_POINT('',(29.9395,36.459769,0.)); #445678=CARTESIAN_POINT('',(29.9395,36.459769,0.)); #445679=CARTESIAN_POINT('',(29.9395,36.459769,0.035)); #445680=CARTESIAN_POINT('',(29.9395,36.459769,0.035)); #445681=CARTESIAN_POINT('',(29.9395,36.459769,0.)); #445682=CARTESIAN_POINT('Origin',(31.690003,34.709266,0.)); #445683=CARTESIAN_POINT('',(31.690003,34.709266,0.)); #445684=CARTESIAN_POINT('',(31.690003,34.709266,0.)); #445685=CARTESIAN_POINT('',(31.690003,34.709266,0.035)); #445686=CARTESIAN_POINT('',(31.690003,34.709266,0.035)); #445687=CARTESIAN_POINT('',(31.690003,34.709266,0.)); #445688=CARTESIAN_POINT('Origin',(31.6001996912748,34.6194637497004,0.)); #445689=CARTESIAN_POINT('',(31.7272,34.619463,0.)); #445690=CARTESIAN_POINT('Origin',(31.6001996912748,34.6194637497004,0.)); #445691=CARTESIAN_POINT('',(31.7272,34.619463,0.035)); #445692=CARTESIAN_POINT('Origin',(31.6001996912748,34.6194637497004,0.035)); #445693=CARTESIAN_POINT('',(31.7272,34.619463,0.)); #445694=CARTESIAN_POINT('Origin',(31.7272,31.5969,0.)); #445695=CARTESIAN_POINT('',(31.7272,31.5969,0.)); #445696=CARTESIAN_POINT('',(31.7272,31.5969,0.)); #445697=CARTESIAN_POINT('',(31.7272,31.5969,0.035)); #445698=CARTESIAN_POINT('',(31.7272,31.5969,0.035)); #445699=CARTESIAN_POINT('',(31.7272,31.5969,0.)); #445700=CARTESIAN_POINT('Origin',(32.1971,31.5969,0.)); #445701=CARTESIAN_POINT('',(32.1971,31.5969,0.)); #445702=CARTESIAN_POINT('',(32.1971,31.5969,0.)); #445703=CARTESIAN_POINT('',(32.1971,31.5969,0.035)); #445704=CARTESIAN_POINT('',(32.1971,31.5969,0.035)); #445705=CARTESIAN_POINT('',(32.1971,31.5969,0.)); #445706=CARTESIAN_POINT('Origin',(32.1971,30.4031,0.)); #445707=CARTESIAN_POINT('',(32.1971,30.4031,0.)); #445708=CARTESIAN_POINT('',(32.1971,30.4031,0.)); #445709=CARTESIAN_POINT('',(32.1971,30.4031,0.035)); #445710=CARTESIAN_POINT('',(32.1971,30.4031,0.035)); #445711=CARTESIAN_POINT('',(32.1971,30.4031,0.)); #445712=CARTESIAN_POINT('Origin',(31.182906,30.4031,0.)); #445713=CARTESIAN_POINT('',(31.182906,30.4031,0.)); #445714=CARTESIAN_POINT('',(31.182906,30.4031,0.)); #445715=CARTESIAN_POINT('',(31.182906,30.4031,0.035)); #445716=CARTESIAN_POINT('',(31.182906,30.4031,0.035)); #445717=CARTESIAN_POINT('',(31.182906,30.4031,0.)); #445718=CARTESIAN_POINT('Origin',(30.377,29.597194,0.)); #445719=CARTESIAN_POINT('',(30.377,29.597194,0.)); #445720=CARTESIAN_POINT('',(30.377,29.597194,0.)); #445721=CARTESIAN_POINT('',(30.377,29.597194,0.035)); #445722=CARTESIAN_POINT('',(30.377,29.597194,0.035)); #445723=CARTESIAN_POINT('',(30.377,29.597194,0.)); #445724=CARTESIAN_POINT('Origin',(30.377,23.991931,0.)); #445725=CARTESIAN_POINT('',(30.377,23.991931,0.)); #445726=CARTESIAN_POINT('',(30.377,23.991931,0.)); #445727=CARTESIAN_POINT('',(30.377,23.991931,0.035)); #445728=CARTESIAN_POINT('',(30.377,23.991931,0.035)); #445729=CARTESIAN_POINT('',(30.377,23.991931,0.)); #445730=CARTESIAN_POINT('Origin',(32.339803,22.029128,0.)); #445731=CARTESIAN_POINT('',(32.339803,22.029128,0.)); #445732=CARTESIAN_POINT('',(32.339803,22.029128,0.)); #445733=CARTESIAN_POINT('',(32.339803,22.029128,0.035)); #445734=CARTESIAN_POINT('',(32.339803,22.029128,0.035)); #445735=CARTESIAN_POINT('',(32.339803,22.029128,0.)); #445736=CARTESIAN_POINT('Origin',(32.2499996912748,21.9393257497004,0.)); #445737=CARTESIAN_POINT('',(32.377,21.939325,0.)); #445738=CARTESIAN_POINT('Origin',(32.2499996912748,21.9393257497004,0.)); #445739=CARTESIAN_POINT('',(32.377,21.939325,0.035)); #445740=CARTESIAN_POINT('Origin',(32.2499996912748,21.9393257497004,0.035)); #445741=CARTESIAN_POINT('',(32.377,21.939325,0.)); #445742=CARTESIAN_POINT('Origin',(32.377,20.7125,0.)); #445743=CARTESIAN_POINT('',(32.377,20.7125,0.)); #445744=CARTESIAN_POINT('',(32.377,20.7125,0.)); #445745=CARTESIAN_POINT('',(32.377,20.7125,0.035)); #445746=CARTESIAN_POINT('',(32.377,20.7125,0.035)); #445747=CARTESIAN_POINT('',(32.377,20.7125,0.)); #445748=CARTESIAN_POINT('Origin',(32.3875,20.7125,0.)); #445749=CARTESIAN_POINT('',(32.3875,20.7125,0.)); #445750=CARTESIAN_POINT('',(32.3875,20.7125,0.035)); #445751=CARTESIAN_POINT('Origin',(30.8437665721638,29.6007058231927,0.035)); #445752=CARTESIAN_POINT('Origin',(30.8437665721638,29.6007058231927,0.)); #445753=CARTESIAN_POINT('Origin',(35.25,6.25,-200.)); #445754=CARTESIAN_POINT('',(35.11,6.25,0.)); #445755=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #445756=CARTESIAN_POINT('',(35.11,6.25,0.0349999999999895)); #445757=CARTESIAN_POINT('',(35.11,6.25,-200.)); #445758=CARTESIAN_POINT('Origin',(35.25,6.25,0.0349999999999895)); #445759=CARTESIAN_POINT('Origin',(35.271644,5.975,0.)); #445760=CARTESIAN_POINT('',(35.271644,5.975,0.)); #445761=CARTESIAN_POINT('',(35.314397,5.9817719,0.)); #445762=CARTESIAN_POINT('',(35.271644,5.975,0.)); #445763=CARTESIAN_POINT('',(35.314397,5.9817719,0.035)); #445764=CARTESIAN_POINT('',(35.314397,5.9817719,0.)); #445765=CARTESIAN_POINT('',(35.271644,5.975,0.035)); #445766=CARTESIAN_POINT('',(35.271644,5.975,0.035)); #445767=CARTESIAN_POINT('',(35.271644,5.975,0.)); #445768=CARTESIAN_POINT('Origin',(35.2501184813052,6.24958730150078,0.)); #445769=CARTESIAN_POINT('',(35.123,6.4939281,0.)); #445770=CARTESIAN_POINT('Origin',(35.2501184813052,6.24958730150078,0.)); #445771=CARTESIAN_POINT('',(35.123,6.4939281,0.035)); #445772=CARTESIAN_POINT('Origin',(35.2501184813052,6.24958730150078,0.035)); #445773=CARTESIAN_POINT('',(35.123,6.4939281,0.)); #445774=CARTESIAN_POINT('Origin',(35.123,7.6973938,0.)); #445775=CARTESIAN_POINT('',(35.123,7.6973938,0.)); #445776=CARTESIAN_POINT('',(35.123,7.6973938,0.)); #445777=CARTESIAN_POINT('',(35.123,7.6973938,0.035)); #445778=CARTESIAN_POINT('',(35.123,7.6973938,0.035)); #445779=CARTESIAN_POINT('',(35.123,7.6973938,0.)); #445780=CARTESIAN_POINT('Origin',(34.910197,7.9101969,0.)); #445781=CARTESIAN_POINT('',(34.910197,7.9101969,0.)); #445782=CARTESIAN_POINT('',(34.910197,7.9101969,0.)); #445783=CARTESIAN_POINT('',(34.910197,7.9101969,0.035)); #445784=CARTESIAN_POINT('',(34.910197,7.9101969,0.035)); #445785=CARTESIAN_POINT('',(34.910197,7.9101969,0.)); #445786=CARTESIAN_POINT('Origin',(35.0000004294359,7.99999920029957,0.)); #445787=CARTESIAN_POINT('',(34.873,8.,0.)); #445788=CARTESIAN_POINT('Origin',(35.0000004294359,7.99999920029957,0.)); #445789=CARTESIAN_POINT('',(34.873,8.,0.035)); #445790=CARTESIAN_POINT('Origin',(35.0000004294359,7.99999920029957,0.035)); #445791=CARTESIAN_POINT('',(34.873,8.,0.)); #445792=CARTESIAN_POINT('Origin',(34.873,10.197394,0.)); #445793=CARTESIAN_POINT('',(34.873,10.197394,0.)); #445794=CARTESIAN_POINT('',(34.873,10.197394,0.)); #445795=CARTESIAN_POINT('',(34.873,10.197394,0.035)); #445796=CARTESIAN_POINT('',(34.873,10.197394,0.035)); #445797=CARTESIAN_POINT('',(34.873,10.197394,0.)); #445798=CARTESIAN_POINT('Origin',(33.160197,11.910197,0.)); #445799=CARTESIAN_POINT('',(33.160197,11.910197,0.)); #445800=CARTESIAN_POINT('',(33.160197,11.910197,0.)); #445801=CARTESIAN_POINT('',(33.160197,11.910197,0.035)); #445802=CARTESIAN_POINT('',(33.160197,11.910197,0.035)); #445803=CARTESIAN_POINT('',(33.160197,11.910197,0.)); #445804=CARTESIAN_POINT('Origin',(33.2500003087252,11.9999992502996,0.)); #445805=CARTESIAN_POINT('',(33.123,12.,0.)); #445806=CARTESIAN_POINT('Origin',(33.2500003087252,11.9999992502996,0.)); #445807=CARTESIAN_POINT('',(33.123,12.,0.035)); #445808=CARTESIAN_POINT('Origin',(33.2500003087252,11.9999992502996,0.035)); #445809=CARTESIAN_POINT('',(33.123,12.,0.)); #445810=CARTESIAN_POINT('Origin',(33.123,13.2875,0.)); #445811=CARTESIAN_POINT('',(33.123,13.2875,0.)); #445812=CARTESIAN_POINT('',(33.123,13.2875,0.)); #445813=CARTESIAN_POINT('',(33.123,13.2875,0.035)); #445814=CARTESIAN_POINT('',(33.123,13.2875,0.035)); #445815=CARTESIAN_POINT('',(33.123,13.2875,0.)); #445816=CARTESIAN_POINT('Origin',(33.1125,13.2875,0.)); #445817=CARTESIAN_POINT('',(33.1125,13.2875,0.)); #445818=CARTESIAN_POINT('',(33.1125,13.2875,0.)); #445819=CARTESIAN_POINT('',(33.1125,13.2875,0.035)); #445820=CARTESIAN_POINT('',(33.1125,13.2875,0.035)); #445821=CARTESIAN_POINT('',(33.1125,13.2875,0.)); #445822=CARTESIAN_POINT('Origin',(33.1125,13.9125,0.)); #445823=CARTESIAN_POINT('',(33.1125,13.9125,0.)); #445824=CARTESIAN_POINT('',(33.1125,13.9125,0.)); #445825=CARTESIAN_POINT('',(33.1125,13.9125,0.035)); #445826=CARTESIAN_POINT('',(33.1125,13.9125,0.035)); #445827=CARTESIAN_POINT('',(33.1125,13.9125,0.)); #445828=CARTESIAN_POINT('Origin',(33.3875,13.9125,0.)); #445829=CARTESIAN_POINT('',(33.3875,13.9125,0.)); #445830=CARTESIAN_POINT('',(33.3875,13.9125,0.)); #445831=CARTESIAN_POINT('',(33.3875,13.9125,0.035)); #445832=CARTESIAN_POINT('',(33.3875,13.9125,0.035)); #445833=CARTESIAN_POINT('',(33.3875,13.9125,0.)); #445834=CARTESIAN_POINT('Origin',(33.3875,13.2875,0.)); #445835=CARTESIAN_POINT('',(33.3875,13.2875,0.)); #445836=CARTESIAN_POINT('',(33.3875,13.2875,0.)); #445837=CARTESIAN_POINT('',(33.3875,13.2875,0.035)); #445838=CARTESIAN_POINT('',(33.3875,13.2875,0.035)); #445839=CARTESIAN_POINT('',(33.3875,13.2875,0.)); #445840=CARTESIAN_POINT('Origin',(33.377,13.2875,0.)); #445841=CARTESIAN_POINT('',(33.377,13.2875,0.)); #445842=CARTESIAN_POINT('',(33.377,13.2875,0.)); #445843=CARTESIAN_POINT('',(33.377,13.2875,0.035)); #445844=CARTESIAN_POINT('',(33.377,13.2875,0.035)); #445845=CARTESIAN_POINT('',(33.377,13.2875,0.)); #445846=CARTESIAN_POINT('Origin',(33.377,12.052606,0.)); #445847=CARTESIAN_POINT('',(33.377,12.052606,0.)); #445848=CARTESIAN_POINT('',(33.377,12.052606,0.)); #445849=CARTESIAN_POINT('',(33.377,12.052606,0.035)); #445850=CARTESIAN_POINT('',(33.377,12.052606,0.035)); #445851=CARTESIAN_POINT('',(33.377,12.052606,0.)); #445852=CARTESIAN_POINT('Origin',(35.089803,10.339803,0.)); #445853=CARTESIAN_POINT('',(35.089803,10.339803,0.)); #445854=CARTESIAN_POINT('',(35.089803,10.339803,0.)); #445855=CARTESIAN_POINT('',(35.089803,10.339803,0.035)); #445856=CARTESIAN_POINT('',(35.089803,10.339803,0.035)); #445857=CARTESIAN_POINT('',(35.089803,10.339803,0.)); #445858=CARTESIAN_POINT('Origin',(34.9999996912748,10.2500007497004,0.)); #445859=CARTESIAN_POINT('',(35.127,10.25,0.)); #445860=CARTESIAN_POINT('Origin',(34.9999996912748,10.2500007497004,0.)); #445861=CARTESIAN_POINT('',(35.127,10.25,0.035)); #445862=CARTESIAN_POINT('Origin',(34.9999996912748,10.2500007497004,0.035)); #445863=CARTESIAN_POINT('',(35.127,10.25,0.)); #445864=CARTESIAN_POINT('Origin',(35.127,8.0526063,0.)); #445865=CARTESIAN_POINT('',(35.127,8.0526063,0.)); #445866=CARTESIAN_POINT('',(35.127,8.0526063,0.)); #445867=CARTESIAN_POINT('',(35.127,8.0526063,0.035)); #445868=CARTESIAN_POINT('',(35.127,8.0526063,0.035)); #445869=CARTESIAN_POINT('',(35.127,8.0526063,0.)); #445870=CARTESIAN_POINT('Origin',(35.339803,7.8398031,0.)); #445871=CARTESIAN_POINT('',(35.339803,7.8398031,0.)); #445872=CARTESIAN_POINT('',(35.339803,7.8398031,0.)); #445873=CARTESIAN_POINT('',(35.339803,7.8398031,0.035)); #445874=CARTESIAN_POINT('',(35.339803,7.8398031,0.035)); #445875=CARTESIAN_POINT('',(35.339803,7.8398031,0.)); #445876=CARTESIAN_POINT('Origin',(35.2499995705641,7.75000079970043,0.)); #445877=CARTESIAN_POINT('',(35.377,7.75,0.)); #445878=CARTESIAN_POINT('Origin',(35.2499995705641,7.75000079970043,0.)); #445879=CARTESIAN_POINT('',(35.377,7.75,0.035)); #445880=CARTESIAN_POINT('Origin',(35.2499995705641,7.75000079970043,0.035)); #445881=CARTESIAN_POINT('',(35.377,7.75,0.)); #445882=CARTESIAN_POINT('Origin',(35.377,6.4939281,0.)); #445883=CARTESIAN_POINT('',(35.377,6.4939281,0.)); #445884=CARTESIAN_POINT('',(35.377,6.4939281,0.)); #445885=CARTESIAN_POINT('',(35.377,6.4939281,0.035)); #445886=CARTESIAN_POINT('',(35.377,6.4939281,0.035)); #445887=CARTESIAN_POINT('',(35.377,6.4939281,0.)); #445888=CARTESIAN_POINT('Origin',(35.2499453379034,6.24955431053405,0.)); #445889=CARTESIAN_POINT('Origin',(35.2499453379034,6.24955431053405,0.)); #445890=CARTESIAN_POINT('Origin',(35.2499453379034,6.24955431053405,0.035)); #445891=CARTESIAN_POINT('Origin',(34.426958399402,9.98886986783093,0.035)); #445892=CARTESIAN_POINT('Origin',(34.426958399402,9.98886986783093,0.)); #445893=CARTESIAN_POINT('Origin',(32.75,8.25,-200.)); #445894=CARTESIAN_POINT('',(32.61,8.25,0.)); #445895=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #445896=CARTESIAN_POINT('',(32.61,8.25,0.0349999999999895)); #445897=CARTESIAN_POINT('',(32.61,8.25,-200.)); #445898=CARTESIAN_POINT('Origin',(32.75,8.25,0.0349999999999895)); #445899=CARTESIAN_POINT('Origin',(32.771644,7.975,0.)); #445900=CARTESIAN_POINT('',(32.771644,7.975,0.)); #445901=CARTESIAN_POINT('',(32.814397,7.9817719,0.)); #445902=CARTESIAN_POINT('',(32.771644,7.975,0.)); #445903=CARTESIAN_POINT('',(32.814397,7.9817719,0.035)); #445904=CARTESIAN_POINT('',(32.814397,7.9817719,0.)); #445905=CARTESIAN_POINT('',(32.771644,7.975,0.035)); #445906=CARTESIAN_POINT('',(32.771644,7.975,0.035)); #445907=CARTESIAN_POINT('',(32.771644,7.975,0.)); #445908=CARTESIAN_POINT('Origin',(32.7501184813052,8.24958730150078,0.)); #445909=CARTESIAN_POINT('',(32.623,8.4939281,0.)); #445910=CARTESIAN_POINT('Origin',(32.7501184813052,8.24958730150078,0.)); #445911=CARTESIAN_POINT('',(32.623,8.4939281,0.035)); #445912=CARTESIAN_POINT('Origin',(32.7501184813052,8.24958730150078,0.035)); #445913=CARTESIAN_POINT('',(32.623,8.4939281,0.)); #445914=CARTESIAN_POINT('Origin',(32.623,10.326056,0.)); #445915=CARTESIAN_POINT('',(32.623,10.326056,0.)); #445916=CARTESIAN_POINT('',(32.623,10.326056,0.)); #445917=CARTESIAN_POINT('',(32.623,10.326056,0.035)); #445918=CARTESIAN_POINT('',(32.623,10.326056,0.035)); #445919=CARTESIAN_POINT('',(32.623,10.326056,0.)); #445920=CARTESIAN_POINT('Origin',(31.660197,11.288859,0.)); #445921=CARTESIAN_POINT('',(31.660197,11.288859,0.)); #445922=CARTESIAN_POINT('',(31.660197,11.288859,0.)); #445923=CARTESIAN_POINT('',(31.660197,11.288859,0.035)); #445924=CARTESIAN_POINT('',(31.660197,11.288859,0.035)); #445925=CARTESIAN_POINT('',(31.660197,11.288859,0.)); #445926=CARTESIAN_POINT('Origin',(31.750001515832,11.3786617502996,0.)); #445927=CARTESIAN_POINT('',(31.623,11.378663,0.)); #445928=CARTESIAN_POINT('Origin',(31.750001515832,11.3786617502996,0.)); #445929=CARTESIAN_POINT('',(31.623,11.378663,0.035)); #445930=CARTESIAN_POINT('Origin',(31.750001515832,11.3786617502996,0.035)); #445931=CARTESIAN_POINT('',(31.623,11.378663,0.)); #445932=CARTESIAN_POINT('Origin',(31.623,13.2875,0.)); #445933=CARTESIAN_POINT('',(31.623,13.2875,0.)); #445934=CARTESIAN_POINT('',(31.623,13.2875,0.)); #445935=CARTESIAN_POINT('',(31.623,13.2875,0.035)); #445936=CARTESIAN_POINT('',(31.623,13.2875,0.035)); #445937=CARTESIAN_POINT('',(31.623,13.2875,0.)); #445938=CARTESIAN_POINT('Origin',(31.6125,13.2875,0.)); #445939=CARTESIAN_POINT('',(31.6125,13.2875,0.)); #445940=CARTESIAN_POINT('',(31.6125,13.2875,0.)); #445941=CARTESIAN_POINT('',(31.6125,13.2875,0.035)); #445942=CARTESIAN_POINT('',(31.6125,13.2875,0.035)); #445943=CARTESIAN_POINT('',(31.6125,13.2875,0.)); #445944=CARTESIAN_POINT('Origin',(31.6125,13.9125,0.)); #445945=CARTESIAN_POINT('',(31.6125,13.9125,0.)); #445946=CARTESIAN_POINT('',(31.6125,13.9125,0.)); #445947=CARTESIAN_POINT('',(31.6125,13.9125,0.035)); #445948=CARTESIAN_POINT('',(31.6125,13.9125,0.035)); #445949=CARTESIAN_POINT('',(31.6125,13.9125,0.)); #445950=CARTESIAN_POINT('Origin',(31.8875,13.9125,0.)); #445951=CARTESIAN_POINT('',(31.8875,13.9125,0.)); #445952=CARTESIAN_POINT('',(31.8875,13.9125,0.)); #445953=CARTESIAN_POINT('',(31.8875,13.9125,0.035)); #445954=CARTESIAN_POINT('',(31.8875,13.9125,0.035)); #445955=CARTESIAN_POINT('',(31.8875,13.9125,0.)); #445956=CARTESIAN_POINT('Origin',(31.8875,13.2875,0.)); #445957=CARTESIAN_POINT('',(31.8875,13.2875,0.)); #445958=CARTESIAN_POINT('',(31.8875,13.2875,0.)); #445959=CARTESIAN_POINT('',(31.8875,13.2875,0.035)); #445960=CARTESIAN_POINT('',(31.8875,13.2875,0.035)); #445961=CARTESIAN_POINT('',(31.8875,13.2875,0.)); #445962=CARTESIAN_POINT('Origin',(31.877,13.2875,0.)); #445963=CARTESIAN_POINT('',(31.877,13.2875,0.)); #445964=CARTESIAN_POINT('',(31.877,13.2875,0.)); #445965=CARTESIAN_POINT('',(31.877,13.2875,0.035)); #445966=CARTESIAN_POINT('',(31.877,13.2875,0.035)); #445967=CARTESIAN_POINT('',(31.877,13.2875,0.)); #445968=CARTESIAN_POINT('Origin',(31.877,11.431269,0.)); #445969=CARTESIAN_POINT('',(31.877,11.431269,0.)); #445970=CARTESIAN_POINT('',(31.877,11.431269,0.)); #445971=CARTESIAN_POINT('',(31.877,11.431269,0.035)); #445972=CARTESIAN_POINT('',(31.877,11.431269,0.035)); #445973=CARTESIAN_POINT('',(31.877,11.431269,0.)); #445974=CARTESIAN_POINT('Origin',(32.839803,10.468466,0.)); #445975=CARTESIAN_POINT('',(32.839803,10.468466,0.)); #445976=CARTESIAN_POINT('',(32.839803,10.468466,0.)); #445977=CARTESIAN_POINT('',(32.839803,10.468466,0.035)); #445978=CARTESIAN_POINT('',(32.839803,10.468466,0.035)); #445979=CARTESIAN_POINT('',(32.839803,10.468466,0.)); #445980=CARTESIAN_POINT('Origin',(32.7499996912748,10.3786637497004,0.)); #445981=CARTESIAN_POINT('',(32.877,10.378663,0.)); #445982=CARTESIAN_POINT('Origin',(32.7499996912748,10.3786637497004,0.)); #445983=CARTESIAN_POINT('',(32.877,10.378663,0.035)); #445984=CARTESIAN_POINT('Origin',(32.7499996912748,10.3786637497004,0.035)); #445985=CARTESIAN_POINT('',(32.877,10.378663,0.)); #445986=CARTESIAN_POINT('Origin',(32.877,8.4939281,0.)); #445987=CARTESIAN_POINT('',(32.877,8.4939281,0.)); #445988=CARTESIAN_POINT('',(32.877,8.4939281,0.)); #445989=CARTESIAN_POINT('',(32.877,8.4939281,0.035)); #445990=CARTESIAN_POINT('',(32.877,8.4939281,0.035)); #445991=CARTESIAN_POINT('',(32.877,8.4939281,0.)); #445992=CARTESIAN_POINT('Origin',(32.7499453379034,8.24955431053405,0.)); #445993=CARTESIAN_POINT('Origin',(32.7499453379034,8.24955431053405,0.)); #445994=CARTESIAN_POINT('Origin',(32.7499453379034,8.24955431053405,0.035)); #445995=CARTESIAN_POINT('Origin',(32.2454221284649,10.9145382887392,0.035)); #445996=CARTESIAN_POINT('Origin',(32.2454221284649,10.9145382887392,0.)); #445997=CARTESIAN_POINT('Origin',(34.,55.,-200.)); #445998=CARTESIAN_POINT('',(33.86,55.,0.)); #445999=CARTESIAN_POINT('Origin',(34.,55.,0.)); #446000=CARTESIAN_POINT('',(33.86,55.,0.0349999999999895)); #446001=CARTESIAN_POINT('',(33.86,55.,-200.)); #446002=CARTESIAN_POINT('Origin',(34.,55.,0.0349999999999895)); #446003=CARTESIAN_POINT('Origin',(34.021644,54.725,0.)); #446004=CARTESIAN_POINT('',(34.021644,54.725,0.)); #446005=CARTESIAN_POINT('',(34.064397,54.731772,0.)); #446006=CARTESIAN_POINT('',(34.021644,54.725,0.)); #446007=CARTESIAN_POINT('',(34.064397,54.731772,0.035)); #446008=CARTESIAN_POINT('',(34.064397,54.731772,0.)); #446009=CARTESIAN_POINT('',(34.021644,54.725,0.035)); #446010=CARTESIAN_POINT('',(34.021644,54.725,0.035)); #446011=CARTESIAN_POINT('',(34.021644,54.725,0.)); #446012=CARTESIAN_POINT('Origin',(34.000118471131,54.9995872515008,0.)); #446013=CARTESIAN_POINT('',(33.873,55.243928,0.)); #446014=CARTESIAN_POINT('Origin',(34.000118471131,54.9995872515008,0.)); #446015=CARTESIAN_POINT('',(33.873,55.243928,0.035)); #446016=CARTESIAN_POINT('Origin',(34.000118471131,54.9995872515008,0.035)); #446017=CARTESIAN_POINT('',(33.873,55.243928,0.)); #446018=CARTESIAN_POINT('Origin',(33.873,59.435394,0.)); #446019=CARTESIAN_POINT('',(33.873,59.435394,0.)); #446020=CARTESIAN_POINT('',(33.873,59.435394,0.)); #446021=CARTESIAN_POINT('',(33.873,59.435394,0.035)); #446022=CARTESIAN_POINT('',(33.873,59.435394,0.035)); #446023=CARTESIAN_POINT('',(33.873,59.435394,0.)); #446024=CARTESIAN_POINT('Origin',(33.502894,59.8055,0.)); #446025=CARTESIAN_POINT('',(33.502894,59.8055,0.)); #446026=CARTESIAN_POINT('',(33.502894,59.8055,0.)); #446027=CARTESIAN_POINT('',(33.502894,59.8055,0.035)); #446028=CARTESIAN_POINT('',(33.502894,59.8055,0.035)); #446029=CARTESIAN_POINT('',(33.502894,59.8055,0.)); #446030=CARTESIAN_POINT('Origin',(32.8189,59.8055,0.)); #446031=CARTESIAN_POINT('',(32.8189,59.8055,0.)); #446032=CARTESIAN_POINT('',(32.8189,59.8055,0.)); #446033=CARTESIAN_POINT('',(32.8189,59.8055,0.035)); #446034=CARTESIAN_POINT('',(32.8189,59.8055,0.035)); #446035=CARTESIAN_POINT('',(32.8189,59.8055,0.)); #446036=CARTESIAN_POINT('Origin',(32.8189,60.6945,0.)); #446037=CARTESIAN_POINT('',(32.8189,60.6945,0.)); #446038=CARTESIAN_POINT('',(32.8189,60.6945,0.)); #446039=CARTESIAN_POINT('',(32.8189,60.6945,0.035)); #446040=CARTESIAN_POINT('',(32.8189,60.6945,0.035)); #446041=CARTESIAN_POINT('',(32.8189,60.6945,0.)); #446042=CARTESIAN_POINT('Origin',(33.6571,60.6945,0.)); #446043=CARTESIAN_POINT('',(33.6571,60.6945,0.)); #446044=CARTESIAN_POINT('',(33.6571,60.6945,0.)); #446045=CARTESIAN_POINT('',(33.6571,60.6945,0.035)); #446046=CARTESIAN_POINT('',(33.6571,60.6945,0.035)); #446047=CARTESIAN_POINT('',(33.6571,60.6945,0.)); #446048=CARTESIAN_POINT('Origin',(33.6571,60.010506,0.)); #446049=CARTESIAN_POINT('',(33.6571,60.010506,0.)); #446050=CARTESIAN_POINT('',(33.6571,60.010506,0.)); #446051=CARTESIAN_POINT('',(33.6571,60.010506,0.035)); #446052=CARTESIAN_POINT('',(33.6571,60.010506,0.035)); #446053=CARTESIAN_POINT('',(33.6571,60.010506,0.)); #446054=CARTESIAN_POINT('Origin',(34.089803,59.577803,0.)); #446055=CARTESIAN_POINT('',(34.089803,59.577803,0.)); #446056=CARTESIAN_POINT('',(34.089803,59.577803,0.)); #446057=CARTESIAN_POINT('',(34.089803,59.577803,0.035)); #446058=CARTESIAN_POINT('',(34.089803,59.577803,0.035)); #446059=CARTESIAN_POINT('',(34.089803,59.577803,0.)); #446060=CARTESIAN_POINT('Origin',(33.9999996912748,59.4880007497004,0.)); #446061=CARTESIAN_POINT('',(34.127,59.488,0.)); #446062=CARTESIAN_POINT('Origin',(33.9999996912748,59.4880007497004,0.)); #446063=CARTESIAN_POINT('',(34.127,59.488,0.035)); #446064=CARTESIAN_POINT('Origin',(33.9999996912748,59.4880007497004,0.035)); #446065=CARTESIAN_POINT('',(34.127,59.488,0.)); #446066=CARTESIAN_POINT('Origin',(34.127,55.243928,0.)); #446067=CARTESIAN_POINT('',(34.127,55.243928,0.)); #446068=CARTESIAN_POINT('',(34.127,55.243928,0.)); #446069=CARTESIAN_POINT('',(34.127,55.243928,0.035)); #446070=CARTESIAN_POINT('',(34.127,55.243928,0.035)); #446071=CARTESIAN_POINT('',(34.127,55.243928,0.)); #446072=CARTESIAN_POINT('Origin',(33.9999453752955,54.999554310534,0.)); #446073=CARTESIAN_POINT('Origin',(33.9999453752955,54.999554310534,0.)); #446074=CARTESIAN_POINT('Origin',(33.9999453752955,54.999554310534,0.035)); #446075=CARTESIAN_POINT('Origin',(33.8090684096205,57.9492777810333,0.035)); #446076=CARTESIAN_POINT('Origin',(33.8090684096205,57.9492777810333,0.)); #446077=CARTESIAN_POINT('Origin',(34.9375,12.25,-200.)); #446078=CARTESIAN_POINT('',(34.7975,12.25,0.)); #446079=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #446080=CARTESIAN_POINT('',(34.7975,12.25,0.0349999999999895)); #446081=CARTESIAN_POINT('',(34.7975,12.25,-200.)); #446082=CARTESIAN_POINT('Origin',(34.9375,12.25,0.0349999999999895)); #446083=CARTESIAN_POINT('Origin',(34.959144,11.975,0.)); #446084=CARTESIAN_POINT('',(34.959144,11.975,0.)); #446085=CARTESIAN_POINT('',(35.001897,11.981772,0.)); #446086=CARTESIAN_POINT('',(34.959144,11.975,0.)); #446087=CARTESIAN_POINT('',(35.001897,11.981772,0.035)); #446088=CARTESIAN_POINT('',(35.001897,11.981772,0.)); #446089=CARTESIAN_POINT('',(34.959144,11.975,0.035)); #446090=CARTESIAN_POINT('',(34.959144,11.975,0.035)); #446091=CARTESIAN_POINT('',(34.959144,11.975,0.)); #446092=CARTESIAN_POINT('Origin',(34.9378155524372,12.2496034813592,0.)); #446093=CARTESIAN_POINT('',(34.675213,12.332681,0.)); #446094=CARTESIAN_POINT('Origin',(34.9378155524372,12.2496034813592,0.)); #446095=CARTESIAN_POINT('',(34.675213,12.332681,0.035)); #446096=CARTESIAN_POINT('Origin',(34.9378155524372,12.2496034813592,0.035)); #446097=CARTESIAN_POINT('',(34.675213,12.332681,0.)); #446098=CARTESIAN_POINT('Origin',(34.160197,12.847697,0.)); #446099=CARTESIAN_POINT('',(34.160197,12.847697,0.)); #446100=CARTESIAN_POINT('',(34.160197,12.847697,0.)); #446101=CARTESIAN_POINT('',(34.160197,12.847697,0.035)); #446102=CARTESIAN_POINT('',(34.160197,12.847697,0.035)); #446103=CARTESIAN_POINT('',(34.160197,12.847697,0.)); #446104=CARTESIAN_POINT('Origin',(34.2500003087252,12.9374992502996,0.)); #446105=CARTESIAN_POINT('',(34.123,12.9375,0.)); #446106=CARTESIAN_POINT('Origin',(34.2500003087252,12.9374992502996,0.)); #446107=CARTESIAN_POINT('',(34.123,12.9375,0.035)); #446108=CARTESIAN_POINT('Origin',(34.2500003087252,12.9374992502996,0.035)); #446109=CARTESIAN_POINT('',(34.123,12.9375,0.)); #446110=CARTESIAN_POINT('Origin',(34.123,13.2875,0.)); #446111=CARTESIAN_POINT('',(34.123,13.2875,0.)); #446112=CARTESIAN_POINT('',(34.123,13.2875,0.)); #446113=CARTESIAN_POINT('',(34.123,13.2875,0.035)); #446114=CARTESIAN_POINT('',(34.123,13.2875,0.035)); #446115=CARTESIAN_POINT('',(34.123,13.2875,0.)); #446116=CARTESIAN_POINT('Origin',(34.1125,13.2875,0.)); #446117=CARTESIAN_POINT('',(34.1125,13.2875,0.)); #446118=CARTESIAN_POINT('',(34.1125,13.2875,0.)); #446119=CARTESIAN_POINT('',(34.1125,13.2875,0.035)); #446120=CARTESIAN_POINT('',(34.1125,13.2875,0.035)); #446121=CARTESIAN_POINT('',(34.1125,13.2875,0.)); #446122=CARTESIAN_POINT('Origin',(34.1125,13.9125,0.)); #446123=CARTESIAN_POINT('',(34.1125,13.9125,0.)); #446124=CARTESIAN_POINT('',(34.1125,13.9125,0.)); #446125=CARTESIAN_POINT('',(34.1125,13.9125,0.035)); #446126=CARTESIAN_POINT('',(34.1125,13.9125,0.035)); #446127=CARTESIAN_POINT('',(34.1125,13.9125,0.)); #446128=CARTESIAN_POINT('Origin',(34.3875,13.9125,0.)); #446129=CARTESIAN_POINT('',(34.3875,13.9125,0.)); #446130=CARTESIAN_POINT('',(34.3875,13.9125,0.)); #446131=CARTESIAN_POINT('',(34.3875,13.9125,0.035)); #446132=CARTESIAN_POINT('',(34.3875,13.9125,0.035)); #446133=CARTESIAN_POINT('',(34.3875,13.9125,0.)); #446134=CARTESIAN_POINT('Origin',(34.3875,13.2875,0.)); #446135=CARTESIAN_POINT('',(34.3875,13.2875,0.)); #446136=CARTESIAN_POINT('',(34.3875,13.2875,0.)); #446137=CARTESIAN_POINT('',(34.3875,13.2875,0.035)); #446138=CARTESIAN_POINT('',(34.3875,13.2875,0.035)); #446139=CARTESIAN_POINT('',(34.3875,13.2875,0.)); #446140=CARTESIAN_POINT('Origin',(34.377,13.2875,0.)); #446141=CARTESIAN_POINT('',(34.377,13.2875,0.)); #446142=CARTESIAN_POINT('',(34.377,13.2875,0.)); #446143=CARTESIAN_POINT('',(34.377,13.2875,0.035)); #446144=CARTESIAN_POINT('',(34.377,13.2875,0.035)); #446145=CARTESIAN_POINT('',(34.377,13.2875,0.)); #446146=CARTESIAN_POINT('Origin',(34.377,12.990106,0.)); #446147=CARTESIAN_POINT('',(34.377,12.990106,0.)); #446148=CARTESIAN_POINT('',(34.377,12.990106,0.)); #446149=CARTESIAN_POINT('',(34.377,12.990106,0.035)); #446150=CARTESIAN_POINT('',(34.377,12.990106,0.035)); #446151=CARTESIAN_POINT('',(34.377,12.990106,0.)); #446152=CARTESIAN_POINT('Origin',(34.854819,12.512288,0.)); #446153=CARTESIAN_POINT('',(34.854819,12.512288,0.)); #446154=CARTESIAN_POINT('',(34.854819,12.512288,0.)); #446155=CARTESIAN_POINT('',(34.854819,12.512288,0.035)); #446156=CARTESIAN_POINT('',(34.854819,12.512288,0.035)); #446157=CARTESIAN_POINT('',(34.854819,12.512288,0.)); #446158=CARTESIAN_POINT('Origin',(34.873103,12.518228,0.)); #446159=CARTESIAN_POINT('',(34.873103,12.518228,0.)); #446160=CARTESIAN_POINT('',(34.873103,12.518228,0.)); #446161=CARTESIAN_POINT('',(34.873103,12.518228,0.035)); #446162=CARTESIAN_POINT('',(34.873103,12.518228,0.035)); #446163=CARTESIAN_POINT('',(34.873103,12.518228,0.)); #446164=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #446165=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #446166=CARTESIAN_POINT('Origin',(34.9375,12.25,0.035)); #446167=CARTESIAN_POINT('Origin',(34.5209046475267,12.895263396196,0.035)); #446168=CARTESIAN_POINT('Origin',(34.5209046475267,12.895263396196,0.)); #446169=CARTESIAN_POINT('Origin',(41.25,13.0029,-200.)); #446170=CARTESIAN_POINT('',(41.11,13.0029,0.)); #446171=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #446172=CARTESIAN_POINT('',(41.11,13.0029,0.0349999999999895)); #446173=CARTESIAN_POINT('',(41.11,13.0029,-200.)); #446174=CARTESIAN_POINT('Origin',(41.25,13.0029,0.0349999999999895)); #446175=CARTESIAN_POINT('Origin',(42.5,14.25,-200.)); #446176=CARTESIAN_POINT('',(42.36,14.25,0.)); #446177=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #446178=CARTESIAN_POINT('',(42.36,14.25,0.0349999999999895)); #446179=CARTESIAN_POINT('',(42.36,14.25,-200.)); #446180=CARTESIAN_POINT('Origin',(42.5,14.25,0.0349999999999895)); #446181=CARTESIAN_POINT('Origin',(41.271644,12.7279,0.)); #446182=CARTESIAN_POINT('',(41.271644,12.7279,0.)); #446183=CARTESIAN_POINT('',(41.314397,12.734672,0.)); #446184=CARTESIAN_POINT('',(41.271644,12.7279,0.)); #446185=CARTESIAN_POINT('',(41.314397,12.734672,0.035)); #446186=CARTESIAN_POINT('',(41.314397,12.734672,0.)); #446187=CARTESIAN_POINT('',(41.271644,12.7279,0.035)); #446188=CARTESIAN_POINT('',(41.271644,12.7279,0.035)); #446189=CARTESIAN_POINT('',(41.271644,12.7279,0.)); #446190=CARTESIAN_POINT('Origin',(41.2500002346035,13.0028996129187,0.)); #446191=CARTESIAN_POINT('',(41.144438,12.748047,0.)); #446192=CARTESIAN_POINT('Origin',(41.2500002346035,13.0028996129187,0.)); #446193=CARTESIAN_POINT('',(41.144438,12.748047,0.035)); #446194=CARTESIAN_POINT('Origin',(41.2500002346035,13.0028996129187,0.035)); #446195=CARTESIAN_POINT('',(41.144438,12.748047,0.)); #446196=CARTESIAN_POINT('Origin',(41.249856389188,13.0025053520337,0.)); #446197=CARTESIAN_POINT('',(41.332681,13.265188,0.)); #446198=CARTESIAN_POINT('Origin',(41.249856389188,13.0025053520337,0.)); #446199=CARTESIAN_POINT('',(41.332681,13.265188,0.035)); #446200=CARTESIAN_POINT('Origin',(41.249856389188,13.0025053520337,0.035)); #446201=CARTESIAN_POINT('',(41.332681,13.265188,0.)); #446202=CARTESIAN_POINT('Origin',(42.237,14.169506,0.)); #446203=CARTESIAN_POINT('',(42.237,14.169506,0.)); #446204=CARTESIAN_POINT('',(42.237,14.169506,0.)); #446205=CARTESIAN_POINT('',(42.237,14.169506,0.035)); #446206=CARTESIAN_POINT('',(42.237,14.169506,0.035)); #446207=CARTESIAN_POINT('',(42.237,14.169506,0.)); #446208=CARTESIAN_POINT('Origin',(42.5003309903125,14.2503001860415,0.)); #446209=CARTESIAN_POINT('',(42.605563,14.504853,0.)); #446210=CARTESIAN_POINT('Origin',(42.5003309903125,14.2503001860415,0.)); #446211=CARTESIAN_POINT('',(42.605563,14.504853,0.035)); #446212=CARTESIAN_POINT('Origin',(42.5003309903125,14.2503001860415,0.035)); #446213=CARTESIAN_POINT('',(42.605563,14.504853,0.)); #446214=CARTESIAN_POINT('Origin',(42.50014761933,14.2504000053284,0.)); #446215=CARTESIAN_POINT('',(42.415131,13.988425,0.)); #446216=CARTESIAN_POINT('Origin',(42.50014761933,14.2504000053284,0.)); #446217=CARTESIAN_POINT('',(42.415131,13.988425,0.035)); #446218=CARTESIAN_POINT('Origin',(42.50014761933,14.2504000053284,0.035)); #446219=CARTESIAN_POINT('',(42.415131,13.988425,0.)); #446220=CARTESIAN_POINT('Origin',(41.512287,13.085581,0.)); #446221=CARTESIAN_POINT('',(41.512287,13.085581,0.)); #446222=CARTESIAN_POINT('',(41.512287,13.085581,0.)); #446223=CARTESIAN_POINT('',(41.512287,13.085581,0.035)); #446224=CARTESIAN_POINT('',(41.512287,13.085581,0.035)); #446225=CARTESIAN_POINT('',(41.512287,13.085581,0.)); #446226=CARTESIAN_POINT('Origin',(41.2497173966391,13.0024002027522,0.)); #446227=CARTESIAN_POINT('Origin',(41.2497173966391,13.0024002027522,0.)); #446228=CARTESIAN_POINT('Origin',(41.2497173966391,13.0024002027522,0.035)); #446229=CARTESIAN_POINT('Origin',(41.8750480467394,13.6261168986503,0.035)); #446230=CARTESIAN_POINT('Origin',(41.8750480467394,13.6261168986503,0.)); #446231=CARTESIAN_POINT('Origin',(34.25,8.25,-200.)); #446232=CARTESIAN_POINT('',(34.11,8.25,0.)); #446233=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #446234=CARTESIAN_POINT('',(34.11,8.25,0.0349999999999895)); #446235=CARTESIAN_POINT('',(34.11,8.25,-200.)); #446236=CARTESIAN_POINT('Origin',(34.25,8.25,0.0349999999999895)); #446237=CARTESIAN_POINT('Origin',(34.271644,7.975,0.)); #446238=CARTESIAN_POINT('',(34.271644,7.975,0.)); #446239=CARTESIAN_POINT('',(34.314397,7.9817719,0.)); #446240=CARTESIAN_POINT('',(34.271644,7.975,0.)); #446241=CARTESIAN_POINT('',(34.314397,7.9817719,0.035)); #446242=CARTESIAN_POINT('',(34.314397,7.9817719,0.)); #446243=CARTESIAN_POINT('',(34.271644,7.975,0.035)); #446244=CARTESIAN_POINT('',(34.271644,7.975,0.035)); #446245=CARTESIAN_POINT('',(34.271644,7.975,0.)); #446246=CARTESIAN_POINT('Origin',(34.2501184813052,8.24958730150078,0.)); #446247=CARTESIAN_POINT('',(34.123,8.4939281,0.)); #446248=CARTESIAN_POINT('Origin',(34.2501184813052,8.24958730150078,0.)); #446249=CARTESIAN_POINT('',(34.123,8.4939281,0.035)); #446250=CARTESIAN_POINT('Origin',(34.2501184813052,8.24958730150078,0.035)); #446251=CARTESIAN_POINT('',(34.123,8.4939281,0.)); #446252=CARTESIAN_POINT('Origin',(34.123,10.240281,0.)); #446253=CARTESIAN_POINT('',(34.123,10.240281,0.)); #446254=CARTESIAN_POINT('',(34.123,10.240281,0.)); #446255=CARTESIAN_POINT('',(34.123,10.240281,0.035)); #446256=CARTESIAN_POINT('',(34.123,10.240281,0.035)); #446257=CARTESIAN_POINT('',(34.123,10.240281,0.)); #446258=CARTESIAN_POINT('Origin',(32.660197,11.703084,0.)); #446259=CARTESIAN_POINT('',(32.660197,11.703084,0.)); #446260=CARTESIAN_POINT('',(32.660197,11.703084,0.)); #446261=CARTESIAN_POINT('',(32.660197,11.703084,0.035)); #446262=CARTESIAN_POINT('',(32.660197,11.703084,0.035)); #446263=CARTESIAN_POINT('',(32.660197,11.703084,0.)); #446264=CARTESIAN_POINT('Origin',(32.750001515832,11.7928867502996,0.)); #446265=CARTESIAN_POINT('',(32.623,11.792888,0.)); #446266=CARTESIAN_POINT('Origin',(32.750001515832,11.7928867502996,0.)); #446267=CARTESIAN_POINT('',(32.623,11.792888,0.035)); #446268=CARTESIAN_POINT('Origin',(32.750001515832,11.7928867502996,0.035)); #446269=CARTESIAN_POINT('',(32.623,11.792888,0.)); #446270=CARTESIAN_POINT('Origin',(32.623,13.2875,0.)); #446271=CARTESIAN_POINT('',(32.623,13.2875,0.)); #446272=CARTESIAN_POINT('',(32.623,13.2875,0.)); #446273=CARTESIAN_POINT('',(32.623,13.2875,0.035)); #446274=CARTESIAN_POINT('',(32.623,13.2875,0.035)); #446275=CARTESIAN_POINT('',(32.623,13.2875,0.)); #446276=CARTESIAN_POINT('Origin',(32.6125,13.2875,0.)); #446277=CARTESIAN_POINT('',(32.6125,13.2875,0.)); #446278=CARTESIAN_POINT('',(32.6125,13.2875,0.)); #446279=CARTESIAN_POINT('',(32.6125,13.2875,0.035)); #446280=CARTESIAN_POINT('',(32.6125,13.2875,0.035)); #446281=CARTESIAN_POINT('',(32.6125,13.2875,0.)); #446282=CARTESIAN_POINT('Origin',(32.6125,13.9125,0.)); #446283=CARTESIAN_POINT('',(32.6125,13.9125,0.)); #446284=CARTESIAN_POINT('',(32.6125,13.9125,0.)); #446285=CARTESIAN_POINT('',(32.6125,13.9125,0.035)); #446286=CARTESIAN_POINT('',(32.6125,13.9125,0.035)); #446287=CARTESIAN_POINT('',(32.6125,13.9125,0.)); #446288=CARTESIAN_POINT('Origin',(32.8875,13.9125,0.)); #446289=CARTESIAN_POINT('',(32.8875,13.9125,0.)); #446290=CARTESIAN_POINT('',(32.8875,13.9125,0.)); #446291=CARTESIAN_POINT('',(32.8875,13.9125,0.035)); #446292=CARTESIAN_POINT('',(32.8875,13.9125,0.035)); #446293=CARTESIAN_POINT('',(32.8875,13.9125,0.)); #446294=CARTESIAN_POINT('Origin',(32.8875,13.2875,0.)); #446295=CARTESIAN_POINT('',(32.8875,13.2875,0.)); #446296=CARTESIAN_POINT('',(32.8875,13.2875,0.)); #446297=CARTESIAN_POINT('',(32.8875,13.2875,0.035)); #446298=CARTESIAN_POINT('',(32.8875,13.2875,0.035)); #446299=CARTESIAN_POINT('',(32.8875,13.2875,0.)); #446300=CARTESIAN_POINT('Origin',(32.877,13.2875,0.)); #446301=CARTESIAN_POINT('',(32.877,13.2875,0.)); #446302=CARTESIAN_POINT('',(32.877,13.2875,0.)); #446303=CARTESIAN_POINT('',(32.877,13.2875,0.035)); #446304=CARTESIAN_POINT('',(32.877,13.2875,0.035)); #446305=CARTESIAN_POINT('',(32.877,13.2875,0.)); #446306=CARTESIAN_POINT('Origin',(32.877,11.845494,0.)); #446307=CARTESIAN_POINT('',(32.877,11.845494,0.)); #446308=CARTESIAN_POINT('',(32.877,11.845494,0.)); #446309=CARTESIAN_POINT('',(32.877,11.845494,0.035)); #446310=CARTESIAN_POINT('',(32.877,11.845494,0.035)); #446311=CARTESIAN_POINT('',(32.877,11.845494,0.)); #446312=CARTESIAN_POINT('Origin',(34.339803,10.382691,0.)); #446313=CARTESIAN_POINT('',(34.339803,10.382691,0.)); #446314=CARTESIAN_POINT('',(34.339803,10.382691,0.)); #446315=CARTESIAN_POINT('',(34.339803,10.382691,0.035)); #446316=CARTESIAN_POINT('',(34.339803,10.382691,0.035)); #446317=CARTESIAN_POINT('',(34.339803,10.382691,0.)); #446318=CARTESIAN_POINT('Origin',(34.2499996912748,10.2928887497004,0.)); #446319=CARTESIAN_POINT('',(34.377,10.292888,0.)); #446320=CARTESIAN_POINT('Origin',(34.2499996912748,10.2928887497004,0.)); #446321=CARTESIAN_POINT('',(34.377,10.292888,0.035)); #446322=CARTESIAN_POINT('Origin',(34.2499996912748,10.2928887497004,0.035)); #446323=CARTESIAN_POINT('',(34.377,10.292888,0.)); #446324=CARTESIAN_POINT('Origin',(34.377,8.4939281,0.)); #446325=CARTESIAN_POINT('',(34.377,8.4939281,0.)); #446326=CARTESIAN_POINT('',(34.377,8.4939281,0.)); #446327=CARTESIAN_POINT('',(34.377,8.4939281,0.035)); #446328=CARTESIAN_POINT('',(34.377,8.4939281,0.035)); #446329=CARTESIAN_POINT('',(34.377,8.4939281,0.)); #446330=CARTESIAN_POINT('Origin',(34.2499453379034,8.24955431053404,0.)); #446331=CARTESIAN_POINT('Origin',(34.2499453379034,8.24955431053404,0.)); #446332=CARTESIAN_POINT('Origin',(34.2499453379034,8.24955431053404,0.035)); #446333=CARTESIAN_POINT('Origin',(33.5288659320722,10.9280888053752,0.035)); #446334=CARTESIAN_POINT('Origin',(33.5288659320722,10.9280888053752,0.)); #446335=CARTESIAN_POINT('Origin',(33.75,6.2426,-200.)); #446336=CARTESIAN_POINT('',(33.61,6.2426,0.)); #446337=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #446338=CARTESIAN_POINT('',(33.61,6.2426,0.0349999999999895)); #446339=CARTESIAN_POINT('',(33.61,6.2426,-200.)); #446340=CARTESIAN_POINT('Origin',(33.75,6.2426,0.0349999999999895)); #446341=CARTESIAN_POINT('Origin',(33.771644,5.9676,0.)); #446342=CARTESIAN_POINT('',(33.771644,5.9676,0.)); #446343=CARTESIAN_POINT('',(33.814397,5.9743719,0.)); #446344=CARTESIAN_POINT('',(33.771644,5.9676,0.)); #446345=CARTESIAN_POINT('',(33.814397,5.9743719,0.035)); #446346=CARTESIAN_POINT('',(33.814397,5.9743719,0.)); #446347=CARTESIAN_POINT('',(33.771644,5.9676,0.035)); #446348=CARTESIAN_POINT('',(33.771644,5.9676,0.035)); #446349=CARTESIAN_POINT('',(33.771644,5.9676,0.)); #446350=CARTESIAN_POINT('Origin',(33.7501184813052,6.24218730150078,0.)); #446351=CARTESIAN_POINT('',(33.623,6.4865281,0.)); #446352=CARTESIAN_POINT('Origin',(33.7501184813052,6.24218730150078,0.)); #446353=CARTESIAN_POINT('',(33.623,6.4865281,0.035)); #446354=CARTESIAN_POINT('Origin',(33.7501184813052,6.24218730150078,0.035)); #446355=CARTESIAN_POINT('',(33.623,6.4865281,0.)); #446356=CARTESIAN_POINT('Origin',(33.623,7.6899938,0.)); #446357=CARTESIAN_POINT('',(33.623,7.6899938,0.)); #446358=CARTESIAN_POINT('',(33.623,7.6899938,0.)); #446359=CARTESIAN_POINT('',(33.623,7.6899938,0.035)); #446360=CARTESIAN_POINT('',(33.623,7.6899938,0.035)); #446361=CARTESIAN_POINT('',(33.623,7.6899938,0.)); #446362=CARTESIAN_POINT('Origin',(33.410197,7.9027969,0.)); #446363=CARTESIAN_POINT('',(33.410197,7.9027969,0.)); #446364=CARTESIAN_POINT('',(33.410197,7.9027969,0.)); #446365=CARTESIAN_POINT('',(33.410197,7.9027969,0.035)); #446366=CARTESIAN_POINT('',(33.410197,7.9027969,0.035)); #446367=CARTESIAN_POINT('',(33.410197,7.9027969,0.)); #446368=CARTESIAN_POINT('Origin',(33.5000004294359,7.99259920029957,0.)); #446369=CARTESIAN_POINT('',(33.373,7.9926,0.)); #446370=CARTESIAN_POINT('Origin',(33.5000004294359,7.99259920029957,0.)); #446371=CARTESIAN_POINT('',(33.373,7.9926,0.035)); #446372=CARTESIAN_POINT('Origin',(33.5000004294359,7.99259920029957,0.035)); #446373=CARTESIAN_POINT('',(33.373,7.9926,0.)); #446374=CARTESIAN_POINT('Origin',(33.373,10.283169,0.)); #446375=CARTESIAN_POINT('',(33.373,10.283169,0.)); #446376=CARTESIAN_POINT('',(33.373,10.283169,0.)); #446377=CARTESIAN_POINT('',(33.373,10.283169,0.035)); #446378=CARTESIAN_POINT('',(33.373,10.283169,0.035)); #446379=CARTESIAN_POINT('',(33.373,10.283169,0.)); #446380=CARTESIAN_POINT('Origin',(32.160197,11.495972,0.)); #446381=CARTESIAN_POINT('',(32.160197,11.495972,0.)); #446382=CARTESIAN_POINT('',(32.160197,11.495972,0.)); #446383=CARTESIAN_POINT('',(32.160197,11.495972,0.035)); #446384=CARTESIAN_POINT('',(32.160197,11.495972,0.035)); #446385=CARTESIAN_POINT('',(32.160197,11.495972,0.)); #446386=CARTESIAN_POINT('Origin',(32.2500003087252,11.5857742502996,0.)); #446387=CARTESIAN_POINT('',(32.123,11.585775,0.)); #446388=CARTESIAN_POINT('Origin',(32.2500003087252,11.5857742502996,0.)); #446389=CARTESIAN_POINT('',(32.123,11.585775,0.035)); #446390=CARTESIAN_POINT('Origin',(32.2500003087252,11.5857742502996,0.035)); #446391=CARTESIAN_POINT('',(32.123,11.585775,0.)); #446392=CARTESIAN_POINT('Origin',(32.123,13.2875,0.)); #446393=CARTESIAN_POINT('',(32.123,13.2875,0.)); #446394=CARTESIAN_POINT('',(32.123,13.2875,0.)); #446395=CARTESIAN_POINT('',(32.123,13.2875,0.035)); #446396=CARTESIAN_POINT('',(32.123,13.2875,0.035)); #446397=CARTESIAN_POINT('',(32.123,13.2875,0.)); #446398=CARTESIAN_POINT('Origin',(32.1125,13.2875,0.)); #446399=CARTESIAN_POINT('',(32.1125,13.2875,0.)); #446400=CARTESIAN_POINT('',(32.1125,13.2875,0.)); #446401=CARTESIAN_POINT('',(32.1125,13.2875,0.035)); #446402=CARTESIAN_POINT('',(32.1125,13.2875,0.035)); #446403=CARTESIAN_POINT('',(32.1125,13.2875,0.)); #446404=CARTESIAN_POINT('Origin',(32.1125,13.9125,0.)); #446405=CARTESIAN_POINT('',(32.1125,13.9125,0.)); #446406=CARTESIAN_POINT('',(32.1125,13.9125,0.)); #446407=CARTESIAN_POINT('',(32.1125,13.9125,0.035)); #446408=CARTESIAN_POINT('',(32.1125,13.9125,0.035)); #446409=CARTESIAN_POINT('',(32.1125,13.9125,0.)); #446410=CARTESIAN_POINT('Origin',(32.3875,13.9125,0.)); #446411=CARTESIAN_POINT('',(32.3875,13.9125,0.)); #446412=CARTESIAN_POINT('',(32.3875,13.9125,0.)); #446413=CARTESIAN_POINT('',(32.3875,13.9125,0.035)); #446414=CARTESIAN_POINT('',(32.3875,13.9125,0.035)); #446415=CARTESIAN_POINT('',(32.3875,13.9125,0.)); #446416=CARTESIAN_POINT('Origin',(32.3875,13.2875,0.)); #446417=CARTESIAN_POINT('',(32.3875,13.2875,0.)); #446418=CARTESIAN_POINT('',(32.3875,13.2875,0.)); #446419=CARTESIAN_POINT('',(32.3875,13.2875,0.035)); #446420=CARTESIAN_POINT('',(32.3875,13.2875,0.035)); #446421=CARTESIAN_POINT('',(32.3875,13.2875,0.)); #446422=CARTESIAN_POINT('Origin',(32.377,13.2875,0.)); #446423=CARTESIAN_POINT('',(32.377,13.2875,0.)); #446424=CARTESIAN_POINT('',(32.377,13.2875,0.)); #446425=CARTESIAN_POINT('',(32.377,13.2875,0.035)); #446426=CARTESIAN_POINT('',(32.377,13.2875,0.035)); #446427=CARTESIAN_POINT('',(32.377,13.2875,0.)); #446428=CARTESIAN_POINT('Origin',(32.377,11.638381,0.)); #446429=CARTESIAN_POINT('',(32.377,11.638381,0.)); #446430=CARTESIAN_POINT('',(32.377,11.638381,0.)); #446431=CARTESIAN_POINT('',(32.377,11.638381,0.035)); #446432=CARTESIAN_POINT('',(32.377,11.638381,0.035)); #446433=CARTESIAN_POINT('',(32.377,11.638381,0.)); #446434=CARTESIAN_POINT('Origin',(33.589803,10.425578,0.)); #446435=CARTESIAN_POINT('',(33.589803,10.425578,0.)); #446436=CARTESIAN_POINT('',(33.589803,10.425578,0.)); #446437=CARTESIAN_POINT('',(33.589803,10.425578,0.035)); #446438=CARTESIAN_POINT('',(33.589803,10.425578,0.035)); #446439=CARTESIAN_POINT('',(33.589803,10.425578,0.)); #446440=CARTESIAN_POINT('Origin',(33.4999996912748,10.3357757497004,0.)); #446441=CARTESIAN_POINT('',(33.627,10.335775,0.)); #446442=CARTESIAN_POINT('Origin',(33.4999996912748,10.3357757497004,0.)); #446443=CARTESIAN_POINT('',(33.627,10.335775,0.035)); #446444=CARTESIAN_POINT('Origin',(33.4999996912748,10.3357757497004,0.035)); #446445=CARTESIAN_POINT('',(33.627,10.335775,0.)); #446446=CARTESIAN_POINT('Origin',(33.627,8.0452062,0.)); #446447=CARTESIAN_POINT('',(33.627,8.0452062,0.)); #446448=CARTESIAN_POINT('',(33.627,8.0452062,0.)); #446449=CARTESIAN_POINT('',(33.627,8.0452062,0.035)); #446450=CARTESIAN_POINT('',(33.627,8.0452062,0.035)); #446451=CARTESIAN_POINT('',(33.627,8.0452062,0.)); #446452=CARTESIAN_POINT('Origin',(33.839803,7.8324031,0.)); #446453=CARTESIAN_POINT('',(33.839803,7.8324031,0.)); #446454=CARTESIAN_POINT('',(33.839803,7.8324031,0.)); #446455=CARTESIAN_POINT('',(33.839803,7.8324031,0.035)); #446456=CARTESIAN_POINT('',(33.839803,7.8324031,0.035)); #446457=CARTESIAN_POINT('',(33.839803,7.8324031,0.)); #446458=CARTESIAN_POINT('Origin',(33.7499995705641,7.74260079970043,0.)); #446459=CARTESIAN_POINT('',(33.877,7.7426,0.)); #446460=CARTESIAN_POINT('Origin',(33.7499995705641,7.74260079970043,0.)); #446461=CARTESIAN_POINT('',(33.877,7.7426,0.035)); #446462=CARTESIAN_POINT('Origin',(33.7499995705641,7.74260079970043,0.035)); #446463=CARTESIAN_POINT('',(33.877,7.7426,0.)); #446464=CARTESIAN_POINT('Origin',(33.877,6.4865281,0.)); #446465=CARTESIAN_POINT('',(33.877,6.4865281,0.)); #446466=CARTESIAN_POINT('',(33.877,6.4865281,0.)); #446467=CARTESIAN_POINT('',(33.877,6.4865281,0.035)); #446468=CARTESIAN_POINT('',(33.877,6.4865281,0.035)); #446469=CARTESIAN_POINT('',(33.877,6.4865281,0.)); #446470=CARTESIAN_POINT('Origin',(33.7499453379034,6.24215431053405,0.)); #446471=CARTESIAN_POINT('Origin',(33.7499453379034,6.24215431053405,0.)); #446472=CARTESIAN_POINT('Origin',(33.7499453379034,6.24215431053405,0.035)); #446473=CARTESIAN_POINT('Origin',(33.0903821194736,9.94945570206301,0.035)); #446474=CARTESIAN_POINT('Origin',(33.0903821194736,9.94945570206301,0.)); #446475=CARTESIAN_POINT('Origin',(30.9125,15.1125,0.)); #446476=CARTESIAN_POINT('',(30.9125,15.1125,0.)); #446477=CARTESIAN_POINT('',(30.9125,15.3875,0.)); #446478=CARTESIAN_POINT('',(30.9125,15.1125,0.)); #446479=CARTESIAN_POINT('',(30.9125,15.3875,0.035)); #446480=CARTESIAN_POINT('',(30.9125,15.3875,0.)); #446481=CARTESIAN_POINT('',(30.9125,15.1125,0.035)); #446482=CARTESIAN_POINT('',(30.9125,15.1125,0.035)); #446483=CARTESIAN_POINT('',(30.9125,15.1125,0.)); #446484=CARTESIAN_POINT('Origin',(30.2875,15.1125,0.)); #446485=CARTESIAN_POINT('',(30.2875,15.1125,0.)); #446486=CARTESIAN_POINT('',(30.2875,15.1125,0.)); #446487=CARTESIAN_POINT('',(30.2875,15.1125,0.035)); #446488=CARTESIAN_POINT('',(30.2875,15.1125,0.035)); #446489=CARTESIAN_POINT('',(30.2875,15.1125,0.)); #446490=CARTESIAN_POINT('Origin',(30.2875,15.123,0.)); #446491=CARTESIAN_POINT('',(30.2875,15.123,0.)); #446492=CARTESIAN_POINT('',(30.2875,15.123,0.)); #446493=CARTESIAN_POINT('',(30.2875,15.123,0.035)); #446494=CARTESIAN_POINT('',(30.2875,15.123,0.035)); #446495=CARTESIAN_POINT('',(30.2875,15.123,0.)); #446496=CARTESIAN_POINT('Origin',(29.064325,15.123,0.)); #446497=CARTESIAN_POINT('',(29.064325,15.123,0.)); #446498=CARTESIAN_POINT('',(29.064325,15.123,0.)); #446499=CARTESIAN_POINT('',(29.064325,15.123,0.035)); #446500=CARTESIAN_POINT('',(29.064325,15.123,0.035)); #446501=CARTESIAN_POINT('',(29.064325,15.123,0.)); #446502=CARTESIAN_POINT('Origin',(29.0643242502996,15.2500003087252,0.)); #446503=CARTESIAN_POINT('',(28.974522,15.160197,0.)); #446504=CARTESIAN_POINT('Origin',(29.0643242502996,15.2500003087252,0.)); #446505=CARTESIAN_POINT('',(28.974522,15.160197,0.035)); #446506=CARTESIAN_POINT('Origin',(29.0643242502996,15.2500003087252,0.035)); #446507=CARTESIAN_POINT('',(28.974522,15.160197,0.)); #446508=CARTESIAN_POINT('Origin',(26.410197,17.724522,0.)); #446509=CARTESIAN_POINT('',(26.410197,17.724522,0.)); #446510=CARTESIAN_POINT('',(26.410197,17.724522,0.)); #446511=CARTESIAN_POINT('',(26.410197,17.724522,0.035)); #446512=CARTESIAN_POINT('',(26.410197,17.724522,0.035)); #446513=CARTESIAN_POINT('',(26.410197,17.724522,0.)); #446514=CARTESIAN_POINT('Origin',(26.5000003087252,17.8143242502996,0.)); #446515=CARTESIAN_POINT('',(26.373,17.814325,0.)); #446516=CARTESIAN_POINT('Origin',(26.5000003087252,17.8143242502996,0.)); #446517=CARTESIAN_POINT('',(26.373,17.814325,0.035)); #446518=CARTESIAN_POINT('Origin',(26.5000003087252,17.8143242502996,0.035)); #446519=CARTESIAN_POINT('',(26.373,17.814325,0.)); #446520=CARTESIAN_POINT('Origin',(26.373,19.572394,0.)); #446521=CARTESIAN_POINT('',(26.373,19.572394,0.)); #446522=CARTESIAN_POINT('',(26.373,19.572394,0.)); #446523=CARTESIAN_POINT('',(26.373,19.572394,0.035)); #446524=CARTESIAN_POINT('',(26.373,19.572394,0.035)); #446525=CARTESIAN_POINT('',(26.373,19.572394,0.)); #446526=CARTESIAN_POINT('Origin',(25.822394,20.123,0.)); #446527=CARTESIAN_POINT('',(25.822394,20.123,0.)); #446528=CARTESIAN_POINT('',(25.822394,20.123,0.)); #446529=CARTESIAN_POINT('',(25.822394,20.123,0.035)); #446530=CARTESIAN_POINT('',(25.822394,20.123,0.035)); #446531=CARTESIAN_POINT('',(25.822394,20.123,0.)); #446532=CARTESIAN_POINT('Origin',(22.512,20.123,0.)); #446533=CARTESIAN_POINT('',(22.512,20.123,0.)); #446534=CARTESIAN_POINT('',(22.512,20.123,0.)); #446535=CARTESIAN_POINT('',(22.512,20.123,0.035)); #446536=CARTESIAN_POINT('',(22.512,20.123,0.035)); #446537=CARTESIAN_POINT('',(22.512,20.123,0.)); #446538=CARTESIAN_POINT('Origin',(22.5119992502996,20.2500003087252,0.)); #446539=CARTESIAN_POINT('',(22.422197,20.160197,0.)); #446540=CARTESIAN_POINT('Origin',(22.5119992502996,20.2500003087252,0.)); #446541=CARTESIAN_POINT('',(22.422197,20.160197,0.035)); #446542=CARTESIAN_POINT('Origin',(22.5119992502996,20.2500003087252,0.035)); #446543=CARTESIAN_POINT('',(22.422197,20.160197,0.)); #446544=CARTESIAN_POINT('Origin',(22.026894,20.5555,0.)); #446545=CARTESIAN_POINT('',(22.026894,20.5555,0.)); #446546=CARTESIAN_POINT('',(22.026894,20.5555,0.)); #446547=CARTESIAN_POINT('',(22.026894,20.5555,0.035)); #446548=CARTESIAN_POINT('',(22.026894,20.5555,0.035)); #446549=CARTESIAN_POINT('',(22.026894,20.5555,0.)); #446550=CARTESIAN_POINT('Origin',(21.3429,20.5555,0.)); #446551=CARTESIAN_POINT('',(21.3429,20.5555,0.)); #446552=CARTESIAN_POINT('',(21.3429,20.5555,0.)); #446553=CARTESIAN_POINT('',(21.3429,20.5555,0.035)); #446554=CARTESIAN_POINT('',(21.3429,20.5555,0.035)); #446555=CARTESIAN_POINT('',(21.3429,20.5555,0.)); #446556=CARTESIAN_POINT('Origin',(21.3429,21.4445,0.)); #446557=CARTESIAN_POINT('',(21.3429,21.4445,0.)); #446558=CARTESIAN_POINT('',(21.3429,21.4445,0.)); #446559=CARTESIAN_POINT('',(21.3429,21.4445,0.035)); #446560=CARTESIAN_POINT('',(21.3429,21.4445,0.035)); #446561=CARTESIAN_POINT('',(21.3429,21.4445,0.)); #446562=CARTESIAN_POINT('Origin',(22.1811,21.4445,0.)); #446563=CARTESIAN_POINT('',(22.1811,21.4445,0.)); #446564=CARTESIAN_POINT('',(22.1811,21.4445,0.)); #446565=CARTESIAN_POINT('',(22.1811,21.4445,0.035)); #446566=CARTESIAN_POINT('',(22.1811,21.4445,0.035)); #446567=CARTESIAN_POINT('',(22.1811,21.4445,0.)); #446568=CARTESIAN_POINT('Origin',(22.1811,20.760506,0.)); #446569=CARTESIAN_POINT('',(22.1811,20.760506,0.)); #446570=CARTESIAN_POINT('',(22.1811,20.760506,0.)); #446571=CARTESIAN_POINT('',(22.1811,20.760506,0.035)); #446572=CARTESIAN_POINT('',(22.1811,20.760506,0.035)); #446573=CARTESIAN_POINT('',(22.1811,20.760506,0.)); #446574=CARTESIAN_POINT('Origin',(22.564606,20.377,0.)); #446575=CARTESIAN_POINT('',(22.564606,20.377,0.)); #446576=CARTESIAN_POINT('',(22.564606,20.377,0.)); #446577=CARTESIAN_POINT('',(22.564606,20.377,0.035)); #446578=CARTESIAN_POINT('',(22.564606,20.377,0.035)); #446579=CARTESIAN_POINT('',(22.564606,20.377,0.)); #446580=CARTESIAN_POINT('Origin',(25.875,20.377,0.)); #446581=CARTESIAN_POINT('',(25.875,20.377,0.)); #446582=CARTESIAN_POINT('',(25.875,20.377,0.)); #446583=CARTESIAN_POINT('',(25.875,20.377,0.035)); #446584=CARTESIAN_POINT('',(25.875,20.377,0.035)); #446585=CARTESIAN_POINT('',(25.875,20.377,0.)); #446586=CARTESIAN_POINT('Origin',(25.8750007497004,20.2499996912748,0.)); #446587=CARTESIAN_POINT('',(25.964803,20.339803,0.)); #446588=CARTESIAN_POINT('Origin',(25.8750007497004,20.2499996912748,0.)); #446589=CARTESIAN_POINT('',(25.964803,20.339803,0.035)); #446590=CARTESIAN_POINT('Origin',(25.8750007497004,20.2499996912748,0.035)); #446591=CARTESIAN_POINT('',(25.964803,20.339803,0.)); #446592=CARTESIAN_POINT('Origin',(26.589803,19.714803,0.)); #446593=CARTESIAN_POINT('',(26.589803,19.714803,0.)); #446594=CARTESIAN_POINT('',(26.589803,19.714803,0.)); #446595=CARTESIAN_POINT('',(26.589803,19.714803,0.035)); #446596=CARTESIAN_POINT('',(26.589803,19.714803,0.035)); #446597=CARTESIAN_POINT('',(26.589803,19.714803,0.)); #446598=CARTESIAN_POINT('Origin',(26.4999996912748,19.6250007497004,0.)); #446599=CARTESIAN_POINT('',(26.627,19.625,0.)); #446600=CARTESIAN_POINT('Origin',(26.4999996912748,19.6250007497004,0.)); #446601=CARTESIAN_POINT('',(26.627,19.625,0.035)); #446602=CARTESIAN_POINT('Origin',(26.4999996912748,19.6250007497004,0.035)); #446603=CARTESIAN_POINT('',(26.627,19.625,0.)); #446604=CARTESIAN_POINT('Origin',(26.627,17.866931,0.)); #446605=CARTESIAN_POINT('',(26.627,17.866931,0.)); #446606=CARTESIAN_POINT('',(26.627,17.866931,0.)); #446607=CARTESIAN_POINT('',(26.627,17.866931,0.035)); #446608=CARTESIAN_POINT('',(26.627,17.866931,0.035)); #446609=CARTESIAN_POINT('',(26.627,17.866931,0.)); #446610=CARTESIAN_POINT('Origin',(29.116931,15.377,0.)); #446611=CARTESIAN_POINT('',(29.116931,15.377,0.)); #446612=CARTESIAN_POINT('',(29.116931,15.377,0.)); #446613=CARTESIAN_POINT('',(29.116931,15.377,0.035)); #446614=CARTESIAN_POINT('',(29.116931,15.377,0.035)); #446615=CARTESIAN_POINT('',(29.116931,15.377,0.)); #446616=CARTESIAN_POINT('Origin',(30.2875,15.377,0.)); #446617=CARTESIAN_POINT('',(30.2875,15.377,0.)); #446618=CARTESIAN_POINT('',(30.2875,15.377,0.)); #446619=CARTESIAN_POINT('',(30.2875,15.377,0.035)); #446620=CARTESIAN_POINT('',(30.2875,15.377,0.035)); #446621=CARTESIAN_POINT('',(30.2875,15.377,0.)); #446622=CARTESIAN_POINT('Origin',(30.2875,15.3875,0.)); #446623=CARTESIAN_POINT('',(30.2875,15.3875,0.)); #446624=CARTESIAN_POINT('',(30.2875,15.3875,0.)); #446625=CARTESIAN_POINT('',(30.2875,15.3875,0.035)); #446626=CARTESIAN_POINT('',(30.2875,15.3875,0.035)); #446627=CARTESIAN_POINT('',(30.2875,15.3875,0.)); #446628=CARTESIAN_POINT('Origin',(30.9125,15.3875,0.)); #446629=CARTESIAN_POINT('',(30.9125,15.3875,0.)); #446630=CARTESIAN_POINT('',(30.9125,15.3875,0.035)); #446631=CARTESIAN_POINT('Origin',(26.0571103311485,18.4262854205438,0.035)); #446632=CARTESIAN_POINT('Origin',(26.0571103311485,18.4262854205438,0.)); #446633=CARTESIAN_POINT('Origin',(34.75,55.,-200.)); #446634=CARTESIAN_POINT('',(34.61,55.,0.)); #446635=CARTESIAN_POINT('Origin',(34.75,55.,0.)); #446636=CARTESIAN_POINT('',(34.61,55.,0.0349999999999895)); #446637=CARTESIAN_POINT('',(34.61,55.,-200.)); #446638=CARTESIAN_POINT('Origin',(34.75,55.,0.0349999999999895)); #446639=CARTESIAN_POINT('Origin',(34.771644,54.725,0.)); #446640=CARTESIAN_POINT('',(34.771644,54.725,0.)); #446641=CARTESIAN_POINT('',(34.814397,54.731772,0.)); #446642=CARTESIAN_POINT('',(34.771644,54.725,0.)); #446643=CARTESIAN_POINT('',(34.814397,54.731772,0.035)); #446644=CARTESIAN_POINT('',(34.814397,54.731772,0.)); #446645=CARTESIAN_POINT('',(34.771644,54.725,0.035)); #446646=CARTESIAN_POINT('',(34.771644,54.725,0.035)); #446647=CARTESIAN_POINT('',(34.771644,54.725,0.)); #446648=CARTESIAN_POINT('Origin',(34.750118471131,54.9995872515008,0.)); #446649=CARTESIAN_POINT('',(34.623,55.243928,0.)); #446650=CARTESIAN_POINT('Origin',(34.750118471131,54.9995872515008,0.)); #446651=CARTESIAN_POINT('',(34.623,55.243928,0.035)); #446652=CARTESIAN_POINT('Origin',(34.750118471131,54.9995872515008,0.035)); #446653=CARTESIAN_POINT('',(34.623,55.243928,0.)); #446654=CARTESIAN_POINT('Origin',(34.623,55.572394,0.)); #446655=CARTESIAN_POINT('',(34.623,55.572394,0.)); #446656=CARTESIAN_POINT('',(34.623,55.572394,0.)); #446657=CARTESIAN_POINT('',(34.623,55.572394,0.035)); #446658=CARTESIAN_POINT('',(34.623,55.572394,0.035)); #446659=CARTESIAN_POINT('',(34.623,55.572394,0.)); #446660=CARTESIAN_POINT('Origin',(34.285197,55.910197,0.)); #446661=CARTESIAN_POINT('',(34.285197,55.910197,0.)); #446662=CARTESIAN_POINT('',(34.285197,55.910197,0.)); #446663=CARTESIAN_POINT('',(34.285197,55.910197,0.035)); #446664=CARTESIAN_POINT('',(34.285197,55.910197,0.035)); #446665=CARTESIAN_POINT('',(34.285197,55.910197,0.)); #446666=CARTESIAN_POINT('Origin',(34.3750003087252,55.9999992502996,0.)); #446667=CARTESIAN_POINT('',(34.248,56.,0.)); #446668=CARTESIAN_POINT('Origin',(34.3750003087252,55.9999992502996,0.)); #446669=CARTESIAN_POINT('',(34.248,56.,0.035)); #446670=CARTESIAN_POINT('Origin',(34.3750003087252,55.9999992502996,0.035)); #446671=CARTESIAN_POINT('',(34.248,56.,0.)); #446672=CARTESIAN_POINT('Origin',(34.248,58.625,0.)); #446673=CARTESIAN_POINT('',(34.248,58.625,0.)); #446674=CARTESIAN_POINT('',(34.248,58.625,0.)); #446675=CARTESIAN_POINT('',(34.248,58.625,0.035)); #446676=CARTESIAN_POINT('',(34.248,58.625,0.035)); #446677=CARTESIAN_POINT('',(34.248,58.625,0.)); #446678=CARTESIAN_POINT('Origin',(34.3750003087252,58.6250007497004,0.)); #446679=CARTESIAN_POINT('',(34.285197,58.714803,0.)); #446680=CARTESIAN_POINT('Origin',(34.3750003087252,58.6250007497004,0.)); #446681=CARTESIAN_POINT('',(34.285197,58.714803,0.035)); #446682=CARTESIAN_POINT('Origin',(34.3750003087252,58.6250007497004,0.035)); #446683=CARTESIAN_POINT('',(34.285197,58.714803,0.)); #446684=CARTESIAN_POINT('Origin',(35.160197,59.589803,0.)); #446685=CARTESIAN_POINT('',(35.160197,59.589803,0.)); #446686=CARTESIAN_POINT('',(35.160197,59.589803,0.)); #446687=CARTESIAN_POINT('',(35.160197,59.589803,0.035)); #446688=CARTESIAN_POINT('',(35.160197,59.589803,0.035)); #446689=CARTESIAN_POINT('',(35.160197,59.589803,0.)); #446690=CARTESIAN_POINT('Origin',(35.2499992502996,59.4999996912748,0.)); #446691=CARTESIAN_POINT('',(35.25,59.627,0.)); #446692=CARTESIAN_POINT('Origin',(35.2499992502996,59.4999996912748,0.)); #446693=CARTESIAN_POINT('',(35.25,59.627,0.035)); #446694=CARTESIAN_POINT('Origin',(35.2499992502996,59.4999996912748,0.035)); #446695=CARTESIAN_POINT('',(35.25,59.627,0.)); #446696=CARTESIAN_POINT('Origin',(36.435394,59.627,0.)); #446697=CARTESIAN_POINT('',(36.435394,59.627,0.)); #446698=CARTESIAN_POINT('',(36.435394,59.627,0.)); #446699=CARTESIAN_POINT('',(36.435394,59.627,0.035)); #446700=CARTESIAN_POINT('',(36.435394,59.627,0.035)); #446701=CARTESIAN_POINT('',(36.435394,59.627,0.)); #446702=CARTESIAN_POINT('Origin',(36.8189,60.010506,0.)); #446703=CARTESIAN_POINT('',(36.8189,60.010506,0.)); #446704=CARTESIAN_POINT('',(36.8189,60.010506,0.)); #446705=CARTESIAN_POINT('',(36.8189,60.010506,0.035)); #446706=CARTESIAN_POINT('',(36.8189,60.010506,0.035)); #446707=CARTESIAN_POINT('',(36.8189,60.010506,0.)); #446708=CARTESIAN_POINT('Origin',(36.8189,60.6945,0.)); #446709=CARTESIAN_POINT('',(36.8189,60.6945,0.)); #446710=CARTESIAN_POINT('',(36.8189,60.6945,0.)); #446711=CARTESIAN_POINT('',(36.8189,60.6945,0.035)); #446712=CARTESIAN_POINT('',(36.8189,60.6945,0.035)); #446713=CARTESIAN_POINT('',(36.8189,60.6945,0.)); #446714=CARTESIAN_POINT('Origin',(37.6571,60.6945,0.)); #446715=CARTESIAN_POINT('',(37.6571,60.6945,0.)); #446716=CARTESIAN_POINT('',(37.6571,60.6945,0.)); #446717=CARTESIAN_POINT('',(37.6571,60.6945,0.035)); #446718=CARTESIAN_POINT('',(37.6571,60.6945,0.035)); #446719=CARTESIAN_POINT('',(37.6571,60.6945,0.)); #446720=CARTESIAN_POINT('Origin',(37.6571,59.8055,0.)); #446721=CARTESIAN_POINT('',(37.6571,59.8055,0.)); #446722=CARTESIAN_POINT('',(37.6571,59.8055,0.)); #446723=CARTESIAN_POINT('',(37.6571,59.8055,0.035)); #446724=CARTESIAN_POINT('',(37.6571,59.8055,0.035)); #446725=CARTESIAN_POINT('',(37.6571,59.8055,0.)); #446726=CARTESIAN_POINT('Origin',(36.973106,59.8055,0.)); #446727=CARTESIAN_POINT('',(36.973106,59.8055,0.)); #446728=CARTESIAN_POINT('',(36.973106,59.8055,0.)); #446729=CARTESIAN_POINT('',(36.973106,59.8055,0.035)); #446730=CARTESIAN_POINT('',(36.973106,59.8055,0.035)); #446731=CARTESIAN_POINT('',(36.973106,59.8055,0.)); #446732=CARTESIAN_POINT('Origin',(36.577803,59.410197,0.)); #446733=CARTESIAN_POINT('',(36.577803,59.410197,0.)); #446734=CARTESIAN_POINT('',(36.577803,59.410197,0.)); #446735=CARTESIAN_POINT('',(36.577803,59.410197,0.035)); #446736=CARTESIAN_POINT('',(36.577803,59.410197,0.035)); #446737=CARTESIAN_POINT('',(36.577803,59.410197,0.)); #446738=CARTESIAN_POINT('Origin',(36.4880007497004,59.5000003087252,0.)); #446739=CARTESIAN_POINT('',(36.488,59.373,0.)); #446740=CARTESIAN_POINT('Origin',(36.4880007497004,59.5000003087252,0.)); #446741=CARTESIAN_POINT('',(36.488,59.373,0.035)); #446742=CARTESIAN_POINT('Origin',(36.4880007497004,59.5000003087252,0.035)); #446743=CARTESIAN_POINT('',(36.488,59.373,0.)); #446744=CARTESIAN_POINT('Origin',(35.302606,59.373,0.)); #446745=CARTESIAN_POINT('',(35.302606,59.373,0.)); #446746=CARTESIAN_POINT('',(35.302606,59.373,0.)); #446747=CARTESIAN_POINT('',(35.302606,59.373,0.035)); #446748=CARTESIAN_POINT('',(35.302606,59.373,0.035)); #446749=CARTESIAN_POINT('',(35.302606,59.373,0.)); #446750=CARTESIAN_POINT('Origin',(34.502,58.572394,0.)); #446751=CARTESIAN_POINT('',(34.502,58.572394,0.)); #446752=CARTESIAN_POINT('',(34.502,58.572394,0.)); #446753=CARTESIAN_POINT('',(34.502,58.572394,0.035)); #446754=CARTESIAN_POINT('',(34.502,58.572394,0.035)); #446755=CARTESIAN_POINT('',(34.502,58.572394,0.)); #446756=CARTESIAN_POINT('Origin',(34.502,56.052606,0.)); #446757=CARTESIAN_POINT('',(34.502,56.052606,0.)); #446758=CARTESIAN_POINT('',(34.502,56.052606,0.)); #446759=CARTESIAN_POINT('',(34.502,56.052606,0.035)); #446760=CARTESIAN_POINT('',(34.502,56.052606,0.035)); #446761=CARTESIAN_POINT('',(34.502,56.052606,0.)); #446762=CARTESIAN_POINT('Origin',(34.839803,55.714803,0.)); #446763=CARTESIAN_POINT('',(34.839803,55.714803,0.)); #446764=CARTESIAN_POINT('',(34.839803,55.714803,0.)); #446765=CARTESIAN_POINT('',(34.839803,55.714803,0.035)); #446766=CARTESIAN_POINT('',(34.839803,55.714803,0.035)); #446767=CARTESIAN_POINT('',(34.839803,55.714803,0.)); #446768=CARTESIAN_POINT('Origin',(34.7499996912748,55.6250007497004,0.)); #446769=CARTESIAN_POINT('',(34.877,55.625,0.)); #446770=CARTESIAN_POINT('Origin',(34.7499996912748,55.6250007497004,0.)); #446771=CARTESIAN_POINT('',(34.877,55.625,0.035)); #446772=CARTESIAN_POINT('Origin',(34.7499996912748,55.6250007497004,0.035)); #446773=CARTESIAN_POINT('',(34.877,55.625,0.)); #446774=CARTESIAN_POINT('Origin',(34.877,55.243928,0.)); #446775=CARTESIAN_POINT('',(34.877,55.243928,0.)); #446776=CARTESIAN_POINT('',(34.877,55.243928,0.)); #446777=CARTESIAN_POINT('',(34.877,55.243928,0.035)); #446778=CARTESIAN_POINT('',(34.877,55.243928,0.035)); #446779=CARTESIAN_POINT('',(34.877,55.243928,0.)); #446780=CARTESIAN_POINT('Origin',(34.7499453752955,54.999554310534,0.)); #446781=CARTESIAN_POINT('Origin',(34.7499453752955,54.999554310534,0.)); #446782=CARTESIAN_POINT('Origin',(34.7499453752955,54.999554310534,0.035)); #446783=CARTESIAN_POINT('Origin',(35.3601048831759,58.1739023429893,0.035)); #446784=CARTESIAN_POINT('Origin',(35.3601048831759,58.1739023429893,0.)); #446785=CARTESIAN_POINT('Origin',(39.25,15.75,-200.)); #446786=CARTESIAN_POINT('',(39.11,15.75,0.)); #446787=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #446788=CARTESIAN_POINT('',(39.11,15.75,0.0349999999999895)); #446789=CARTESIAN_POINT('',(39.11,15.75,-200.)); #446790=CARTESIAN_POINT('Origin',(39.25,15.75,0.0349999999999895)); #446791=CARTESIAN_POINT('Origin',(39.271644,15.475,0.)); #446792=CARTESIAN_POINT('',(39.271644,15.475,0.)); #446793=CARTESIAN_POINT('',(39.314397,15.481772,0.)); #446794=CARTESIAN_POINT('',(39.271644,15.475,0.)); #446795=CARTESIAN_POINT('',(39.314397,15.481772,0.035)); #446796=CARTESIAN_POINT('',(39.314397,15.481772,0.)); #446797=CARTESIAN_POINT('',(39.271644,15.475,0.035)); #446798=CARTESIAN_POINT('',(39.271644,15.475,0.035)); #446799=CARTESIAN_POINT('',(39.271644,15.475,0.)); #446800=CARTESIAN_POINT('Origin',(39.250666927461,15.7496305438086,0.)); #446801=CARTESIAN_POINT('',(39.006072,15.623,0.)); #446802=CARTESIAN_POINT('Origin',(39.250666927461,15.7496305438086,0.)); #446803=CARTESIAN_POINT('',(39.006072,15.623,0.035)); #446804=CARTESIAN_POINT('Origin',(39.250666927461,15.7496305438086,0.035)); #446805=CARTESIAN_POINT('',(39.006072,15.623,0.)); #446806=CARTESIAN_POINT('Origin',(37.7125,15.623,0.)); #446807=CARTESIAN_POINT('',(37.7125,15.623,0.)); #446808=CARTESIAN_POINT('',(37.7125,15.623,0.)); #446809=CARTESIAN_POINT('',(37.7125,15.623,0.035)); #446810=CARTESIAN_POINT('',(37.7125,15.623,0.035)); #446811=CARTESIAN_POINT('',(37.7125,15.623,0.)); #446812=CARTESIAN_POINT('Origin',(37.7125,15.6125,0.)); #446813=CARTESIAN_POINT('',(37.7125,15.6125,0.)); #446814=CARTESIAN_POINT('',(37.7125,15.6125,0.)); #446815=CARTESIAN_POINT('',(37.7125,15.6125,0.035)); #446816=CARTESIAN_POINT('',(37.7125,15.6125,0.035)); #446817=CARTESIAN_POINT('',(37.7125,15.6125,0.)); #446818=CARTESIAN_POINT('Origin',(37.0875,15.6125,0.)); #446819=CARTESIAN_POINT('',(37.0875,15.6125,0.)); #446820=CARTESIAN_POINT('',(37.0875,15.6125,0.)); #446821=CARTESIAN_POINT('',(37.0875,15.6125,0.035)); #446822=CARTESIAN_POINT('',(37.0875,15.6125,0.035)); #446823=CARTESIAN_POINT('',(37.0875,15.6125,0.)); #446824=CARTESIAN_POINT('Origin',(37.0875,15.8875,0.)); #446825=CARTESIAN_POINT('',(37.0875,15.8875,0.)); #446826=CARTESIAN_POINT('',(37.0875,15.8875,0.)); #446827=CARTESIAN_POINT('',(37.0875,15.8875,0.035)); #446828=CARTESIAN_POINT('',(37.0875,15.8875,0.035)); #446829=CARTESIAN_POINT('',(37.0875,15.8875,0.)); #446830=CARTESIAN_POINT('Origin',(37.7125,15.8875,0.)); #446831=CARTESIAN_POINT('',(37.7125,15.8875,0.)); #446832=CARTESIAN_POINT('',(37.7125,15.8875,0.)); #446833=CARTESIAN_POINT('',(37.7125,15.8875,0.035)); #446834=CARTESIAN_POINT('',(37.7125,15.8875,0.035)); #446835=CARTESIAN_POINT('',(37.7125,15.8875,0.)); #446836=CARTESIAN_POINT('Origin',(37.7125,15.877,0.)); #446837=CARTESIAN_POINT('',(37.7125,15.877,0.)); #446838=CARTESIAN_POINT('',(37.7125,15.877,0.)); #446839=CARTESIAN_POINT('',(37.7125,15.877,0.035)); #446840=CARTESIAN_POINT('',(37.7125,15.877,0.035)); #446841=CARTESIAN_POINT('',(37.7125,15.877,0.)); #446842=CARTESIAN_POINT('Origin',(39.006072,15.877,0.)); #446843=CARTESIAN_POINT('',(39.006072,15.877,0.)); #446844=CARTESIAN_POINT('',(39.006072,15.877,0.)); #446845=CARTESIAN_POINT('',(39.006072,15.877,0.035)); #446846=CARTESIAN_POINT('',(39.006072,15.877,0.035)); #446847=CARTESIAN_POINT('',(39.006072,15.877,0.)); #446848=CARTESIAN_POINT('Origin',(39.2507991253016,15.7506246242954,0.)); #446849=CARTESIAN_POINT('',(39.185603,16.018228,0.)); #446850=CARTESIAN_POINT('Origin',(39.2507991253016,15.7506246242954,0.)); #446851=CARTESIAN_POINT('',(39.185603,16.018228,0.035)); #446852=CARTESIAN_POINT('Origin',(39.2507991253016,15.7506246242954,0.035)); #446853=CARTESIAN_POINT('',(39.185603,16.018228,0.)); #446854=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #446855=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #446856=CARTESIAN_POINT('Origin',(39.25,15.75,0.035)); #446857=CARTESIAN_POINT('Origin',(38.3238296826989,15.7494913580074,0.035)); #446858=CARTESIAN_POINT('Origin',(38.3238296826989,15.7494913580074,0.)); #446859=CARTESIAN_POINT('Origin',(35.3875,20.0875,0.)); #446860=CARTESIAN_POINT('',(35.3875,20.0875,0.)); #446861=CARTESIAN_POINT('',(35.3875,20.7125,0.)); #446862=CARTESIAN_POINT('',(35.3875,20.0875,0.)); #446863=CARTESIAN_POINT('',(35.3875,20.7125,0.035)); #446864=CARTESIAN_POINT('',(35.3875,20.7125,0.)); #446865=CARTESIAN_POINT('',(35.3875,20.0875,0.035)); #446866=CARTESIAN_POINT('',(35.3875,20.0875,0.035)); #446867=CARTESIAN_POINT('',(35.3875,20.0875,0.)); #446868=CARTESIAN_POINT('Origin',(35.1125,20.0875,0.)); #446869=CARTESIAN_POINT('',(35.1125,20.0875,0.)); #446870=CARTESIAN_POINT('',(35.1125,20.0875,0.)); #446871=CARTESIAN_POINT('',(35.1125,20.0875,0.035)); #446872=CARTESIAN_POINT('',(35.1125,20.0875,0.035)); #446873=CARTESIAN_POINT('',(35.1125,20.0875,0.)); #446874=CARTESIAN_POINT('Origin',(35.1125,20.7125,0.)); #446875=CARTESIAN_POINT('',(35.1125,20.7125,0.)); #446876=CARTESIAN_POINT('',(35.1125,20.7125,0.)); #446877=CARTESIAN_POINT('',(35.1125,20.7125,0.035)); #446878=CARTESIAN_POINT('',(35.1125,20.7125,0.035)); #446879=CARTESIAN_POINT('',(35.1125,20.7125,0.)); #446880=CARTESIAN_POINT('Origin',(35.123,20.7125,0.)); #446881=CARTESIAN_POINT('',(35.123,20.7125,0.)); #446882=CARTESIAN_POINT('',(35.123,20.7125,0.)); #446883=CARTESIAN_POINT('',(35.123,20.7125,0.035)); #446884=CARTESIAN_POINT('',(35.123,20.7125,0.035)); #446885=CARTESIAN_POINT('',(35.123,20.7125,0.)); #446886=CARTESIAN_POINT('Origin',(35.123,21.908988,0.)); #446887=CARTESIAN_POINT('',(35.123,21.908988,0.)); #446888=CARTESIAN_POINT('',(35.123,21.908988,0.)); #446889=CARTESIAN_POINT('',(35.123,21.908988,0.035)); #446890=CARTESIAN_POINT('',(35.123,21.908988,0.035)); #446891=CARTESIAN_POINT('',(35.123,21.908988,0.)); #446892=CARTESIAN_POINT('Origin',(35.2500003087252,21.9089887497004,0.)); #446893=CARTESIAN_POINT('',(35.160197,21.998791,0.)); #446894=CARTESIAN_POINT('Origin',(35.2500003087252,21.9089887497004,0.)); #446895=CARTESIAN_POINT('',(35.160197,21.998791,0.035)); #446896=CARTESIAN_POINT('Origin',(35.2500003087252,21.9089887497004,0.035)); #446897=CARTESIAN_POINT('',(35.160197,21.998791,0.)); #446898=CARTESIAN_POINT('Origin',(37.998,24.836594,0.)); #446899=CARTESIAN_POINT('',(37.998,24.836594,0.)); #446900=CARTESIAN_POINT('',(37.998,24.836594,0.)); #446901=CARTESIAN_POINT('',(37.998,24.836594,0.035)); #446902=CARTESIAN_POINT('',(37.998,24.836594,0.035)); #446903=CARTESIAN_POINT('',(37.998,24.836594,0.)); #446904=CARTESIAN_POINT('Origin',(37.998,30.811125,0.)); #446905=CARTESIAN_POINT('',(37.998,30.811125,0.)); #446906=CARTESIAN_POINT('',(37.998,30.811125,0.)); #446907=CARTESIAN_POINT('',(37.998,30.811125,0.035)); #446908=CARTESIAN_POINT('',(37.998,30.811125,0.035)); #446909=CARTESIAN_POINT('',(37.998,30.811125,0.)); #446910=CARTESIAN_POINT('Origin',(36.722697,32.086428,0.)); #446911=CARTESIAN_POINT('',(36.722697,32.086428,0.)); #446912=CARTESIAN_POINT('',(36.722697,32.086428,0.)); #446913=CARTESIAN_POINT('',(36.722697,32.086428,0.035)); #446914=CARTESIAN_POINT('',(36.722697,32.086428,0.035)); #446915=CARTESIAN_POINT('',(36.722697,32.086428,0.)); #446916=CARTESIAN_POINT('Origin',(36.8125003087252,32.1762302502996,0.)); #446917=CARTESIAN_POINT('',(36.6855,32.176231,0.)); #446918=CARTESIAN_POINT('Origin',(36.8125003087252,32.1762302502996,0.)); #446919=CARTESIAN_POINT('',(36.6855,32.176231,0.035)); #446920=CARTESIAN_POINT('Origin',(36.8125003087252,32.1762302502996,0.035)); #446921=CARTESIAN_POINT('',(36.6855,32.176231,0.)); #446922=CARTESIAN_POINT('Origin',(36.6855,34.501825,0.)); #446923=CARTESIAN_POINT('',(36.6855,34.501825,0.)); #446924=CARTESIAN_POINT('',(36.6855,34.501825,0.)); #446925=CARTESIAN_POINT('',(36.6855,34.501825,0.035)); #446926=CARTESIAN_POINT('',(36.6855,34.501825,0.035)); #446927=CARTESIAN_POINT('',(36.6855,34.501825,0.)); #446928=CARTESIAN_POINT('Origin',(36.8125003087252,34.5018257497004,0.)); #446929=CARTESIAN_POINT('',(36.722697,34.591628,0.)); #446930=CARTESIAN_POINT('Origin',(36.8125003087252,34.5018257497004,0.)); #446931=CARTESIAN_POINT('',(36.722697,34.591628,0.035)); #446932=CARTESIAN_POINT('Origin',(36.8125003087252,34.5018257497004,0.035)); #446933=CARTESIAN_POINT('',(36.722697,34.591628,0.)); #446934=CARTESIAN_POINT('Origin',(38.220872,36.089803,0.)); #446935=CARTESIAN_POINT('',(38.220872,36.089803,0.)); #446936=CARTESIAN_POINT('',(38.220872,36.089803,0.)); #446937=CARTESIAN_POINT('',(38.220872,36.089803,0.035)); #446938=CARTESIAN_POINT('',(38.220872,36.089803,0.035)); #446939=CARTESIAN_POINT('',(38.220872,36.089803,0.)); #446940=CARTESIAN_POINT('Origin',(38.3106742502996,35.9999996912748,0.)); #446941=CARTESIAN_POINT('',(38.310675,36.127,0.)); #446942=CARTESIAN_POINT('Origin',(38.3106742502996,35.9999996912748,0.)); #446943=CARTESIAN_POINT('',(38.310675,36.127,0.035)); #446944=CARTESIAN_POINT('Origin',(38.3106742502996,35.9999996912748,0.035)); #446945=CARTESIAN_POINT('',(38.310675,36.127,0.)); #446946=CARTESIAN_POINT('Origin',(40.258069,36.127,0.)); #446947=CARTESIAN_POINT('',(40.258069,36.127,0.)); #446948=CARTESIAN_POINT('',(40.258069,36.127,0.)); #446949=CARTESIAN_POINT('',(40.258069,36.127,0.035)); #446950=CARTESIAN_POINT('',(40.258069,36.127,0.035)); #446951=CARTESIAN_POINT('',(40.258069,36.127,0.)); #446952=CARTESIAN_POINT('Origin',(43.708872,39.577803,0.)); #446953=CARTESIAN_POINT('',(43.708872,39.577803,0.)); #446954=CARTESIAN_POINT('',(43.708872,39.577803,0.)); #446955=CARTESIAN_POINT('',(43.708872,39.577803,0.035)); #446956=CARTESIAN_POINT('',(43.708872,39.577803,0.035)); #446957=CARTESIAN_POINT('',(43.708872,39.577803,0.)); #446958=CARTESIAN_POINT('Origin',(43.7986742502996,39.4879996912748,0.)); #446959=CARTESIAN_POINT('',(43.798675,39.615,0.)); #446960=CARTESIAN_POINT('Origin',(43.7986742502996,39.4879996912748,0.)); #446961=CARTESIAN_POINT('',(43.798675,39.615,0.035)); #446962=CARTESIAN_POINT('Origin',(43.7986742502996,39.4879996912748,0.035)); #446963=CARTESIAN_POINT('',(43.798675,39.615,0.)); #446964=CARTESIAN_POINT('Origin',(47.197394,39.615,0.)); #446965=CARTESIAN_POINT('',(47.197394,39.615,0.)); #446966=CARTESIAN_POINT('',(47.197394,39.615,0.)); #446967=CARTESIAN_POINT('',(47.197394,39.615,0.035)); #446968=CARTESIAN_POINT('',(47.197394,39.615,0.035)); #446969=CARTESIAN_POINT('',(47.197394,39.615,0.)); #446970=CARTESIAN_POINT('Origin',(47.5555,39.973106,0.)); #446971=CARTESIAN_POINT('',(47.5555,39.973106,0.)); #446972=CARTESIAN_POINT('',(47.5555,39.973106,0.)); #446973=CARTESIAN_POINT('',(47.5555,39.973106,0.035)); #446974=CARTESIAN_POINT('',(47.5555,39.973106,0.035)); #446975=CARTESIAN_POINT('',(47.5555,39.973106,0.)); #446976=CARTESIAN_POINT('Origin',(47.5555,40.6571,0.)); #446977=CARTESIAN_POINT('',(47.5555,40.6571,0.)); #446978=CARTESIAN_POINT('',(47.5555,40.6571,0.)); #446979=CARTESIAN_POINT('',(47.5555,40.6571,0.035)); #446980=CARTESIAN_POINT('',(47.5555,40.6571,0.035)); #446981=CARTESIAN_POINT('',(47.5555,40.6571,0.)); #446982=CARTESIAN_POINT('Origin',(48.4445,40.6571,0.)); #446983=CARTESIAN_POINT('',(48.4445,40.6571,0.)); #446984=CARTESIAN_POINT('',(48.4445,40.6571,0.)); #446985=CARTESIAN_POINT('',(48.4445,40.6571,0.035)); #446986=CARTESIAN_POINT('',(48.4445,40.6571,0.035)); #446987=CARTESIAN_POINT('',(48.4445,40.6571,0.)); #446988=CARTESIAN_POINT('Origin',(48.4445,39.8189,0.)); #446989=CARTESIAN_POINT('',(48.4445,39.8189,0.)); #446990=CARTESIAN_POINT('',(48.4445,39.8189,0.)); #446991=CARTESIAN_POINT('',(48.4445,39.8189,0.035)); #446992=CARTESIAN_POINT('',(48.4445,39.8189,0.035)); #446993=CARTESIAN_POINT('',(48.4445,39.8189,0.)); #446994=CARTESIAN_POINT('Origin',(47.760506,39.8189,0.)); #446995=CARTESIAN_POINT('',(47.760506,39.8189,0.)); #446996=CARTESIAN_POINT('',(47.760506,39.8189,0.)); #446997=CARTESIAN_POINT('',(47.760506,39.8189,0.035)); #446998=CARTESIAN_POINT('',(47.760506,39.8189,0.035)); #446999=CARTESIAN_POINT('',(47.760506,39.8189,0.)); #447000=CARTESIAN_POINT('Origin',(47.339803,39.398197,0.)); #447001=CARTESIAN_POINT('',(47.339803,39.398197,0.)); #447002=CARTESIAN_POINT('',(47.339803,39.398197,0.)); #447003=CARTESIAN_POINT('',(47.339803,39.398197,0.035)); #447004=CARTESIAN_POINT('',(47.339803,39.398197,0.035)); #447005=CARTESIAN_POINT('',(47.339803,39.398197,0.)); #447006=CARTESIAN_POINT('Origin',(47.2500007497004,39.4880003087252,0.)); #447007=CARTESIAN_POINT('',(47.25,39.361,0.)); #447008=CARTESIAN_POINT('Origin',(47.2500007497004,39.4880003087252,0.)); #447009=CARTESIAN_POINT('',(47.25,39.361,0.035)); #447010=CARTESIAN_POINT('Origin',(47.2500007497004,39.4880003087252,0.035)); #447011=CARTESIAN_POINT('',(47.25,39.361,0.)); #447012=CARTESIAN_POINT('Origin',(43.851281,39.361,0.)); #447013=CARTESIAN_POINT('',(43.851281,39.361,0.)); #447014=CARTESIAN_POINT('',(43.851281,39.361,0.)); #447015=CARTESIAN_POINT('',(43.851281,39.361,0.035)); #447016=CARTESIAN_POINT('',(43.851281,39.361,0.035)); #447017=CARTESIAN_POINT('',(43.851281,39.361,0.)); #447018=CARTESIAN_POINT('Origin',(40.400478,35.910197,0.)); #447019=CARTESIAN_POINT('',(40.400478,35.910197,0.)); #447020=CARTESIAN_POINT('',(40.400478,35.910197,0.)); #447021=CARTESIAN_POINT('',(40.400478,35.910197,0.035)); #447022=CARTESIAN_POINT('',(40.400478,35.910197,0.035)); #447023=CARTESIAN_POINT('',(40.400478,35.910197,0.)); #447024=CARTESIAN_POINT('Origin',(40.3106757497004,36.0000003087252,0.)); #447025=CARTESIAN_POINT('',(40.310675,35.873,0.)); #447026=CARTESIAN_POINT('Origin',(40.3106757497004,36.0000003087252,0.)); #447027=CARTESIAN_POINT('',(40.310675,35.873,0.035)); #447028=CARTESIAN_POINT('Origin',(40.3106757497004,36.0000003087252,0.035)); #447029=CARTESIAN_POINT('',(40.310675,35.873,0.)); #447030=CARTESIAN_POINT('Origin',(38.363281,35.873,0.)); #447031=CARTESIAN_POINT('',(38.363281,35.873,0.)); #447032=CARTESIAN_POINT('',(38.363281,35.873,0.)); #447033=CARTESIAN_POINT('',(38.363281,35.873,0.035)); #447034=CARTESIAN_POINT('',(38.363281,35.873,0.035)); #447035=CARTESIAN_POINT('',(38.363281,35.873,0.)); #447036=CARTESIAN_POINT('Origin',(36.9395,34.449219,0.)); #447037=CARTESIAN_POINT('',(36.9395,34.449219,0.)); #447038=CARTESIAN_POINT('',(36.9395,34.449219,0.)); #447039=CARTESIAN_POINT('',(36.9395,34.449219,0.035)); #447040=CARTESIAN_POINT('',(36.9395,34.449219,0.035)); #447041=CARTESIAN_POINT('',(36.9395,34.449219,0.)); #447042=CARTESIAN_POINT('Origin',(36.9395,32.228838,0.)); #447043=CARTESIAN_POINT('',(36.9395,32.228838,0.)); #447044=CARTESIAN_POINT('',(36.9395,32.228838,0.)); #447045=CARTESIAN_POINT('',(36.9395,32.228838,0.035)); #447046=CARTESIAN_POINT('',(36.9395,32.228838,0.035)); #447047=CARTESIAN_POINT('',(36.9395,32.228838,0.)); #447048=CARTESIAN_POINT('Origin',(38.214803,30.953534,0.)); #447049=CARTESIAN_POINT('',(38.214803,30.953534,0.)); #447050=CARTESIAN_POINT('',(38.214803,30.953534,0.)); #447051=CARTESIAN_POINT('',(38.214803,30.953534,0.035)); #447052=CARTESIAN_POINT('',(38.214803,30.953534,0.035)); #447053=CARTESIAN_POINT('',(38.214803,30.953534,0.)); #447054=CARTESIAN_POINT('Origin',(38.1249996912748,30.8637317497004,0.)); #447055=CARTESIAN_POINT('',(38.252,30.863731,0.)); #447056=CARTESIAN_POINT('Origin',(38.1249996912748,30.8637317497004,0.)); #447057=CARTESIAN_POINT('',(38.252,30.863731,0.035)); #447058=CARTESIAN_POINT('Origin',(38.1249996912748,30.8637317497004,0.035)); #447059=CARTESIAN_POINT('',(38.252,30.863731,0.)); #447060=CARTESIAN_POINT('Origin',(38.252,24.783988,0.)); #447061=CARTESIAN_POINT('',(38.252,24.783988,0.)); #447062=CARTESIAN_POINT('',(38.252,24.783988,0.)); #447063=CARTESIAN_POINT('',(38.252,24.783988,0.035)); #447064=CARTESIAN_POINT('',(38.252,24.783988,0.035)); #447065=CARTESIAN_POINT('',(38.252,24.783988,0.)); #447066=CARTESIAN_POINT('Origin',(38.124998484168,24.7839867502996,0.)); #447067=CARTESIAN_POINT('',(38.214803,24.694184,0.)); #447068=CARTESIAN_POINT('Origin',(38.124998484168,24.7839867502996,0.)); #447069=CARTESIAN_POINT('',(38.214803,24.694184,0.035)); #447070=CARTESIAN_POINT('Origin',(38.124998484168,24.7839867502996,0.035)); #447071=CARTESIAN_POINT('',(38.214803,24.694184,0.)); #447072=CARTESIAN_POINT('Origin',(35.377,21.856381,0.)); #447073=CARTESIAN_POINT('',(35.377,21.856381,0.)); #447074=CARTESIAN_POINT('',(35.377,21.856381,0.)); #447075=CARTESIAN_POINT('',(35.377,21.856381,0.035)); #447076=CARTESIAN_POINT('',(35.377,21.856381,0.035)); #447077=CARTESIAN_POINT('',(35.377,21.856381,0.)); #447078=CARTESIAN_POINT('Origin',(35.377,20.7125,0.)); #447079=CARTESIAN_POINT('',(35.377,20.7125,0.)); #447080=CARTESIAN_POINT('',(35.377,20.7125,0.)); #447081=CARTESIAN_POINT('',(35.377,20.7125,0.035)); #447082=CARTESIAN_POINT('',(35.377,20.7125,0.035)); #447083=CARTESIAN_POINT('',(35.377,20.7125,0.)); #447084=CARTESIAN_POINT('Origin',(35.3875,20.7125,0.)); #447085=CARTESIAN_POINT('',(35.3875,20.7125,0.)); #447086=CARTESIAN_POINT('',(35.3875,20.7125,0.035)); #447087=CARTESIAN_POINT('Origin',(39.7759748728378,32.1993155066179,0.035)); #447088=CARTESIAN_POINT('Origin',(39.7759748728378,32.1993155066179,0.)); #447089=CARTESIAN_POINT('Origin',(31.8875,20.0875,0.)); #447090=CARTESIAN_POINT('',(31.8875,20.0875,0.)); #447091=CARTESIAN_POINT('',(31.8875,20.7125,0.)); #447092=CARTESIAN_POINT('',(31.8875,20.0875,0.)); #447093=CARTESIAN_POINT('',(31.8875,20.7125,0.035)); #447094=CARTESIAN_POINT('',(31.8875,20.7125,0.)); #447095=CARTESIAN_POINT('',(31.8875,20.0875,0.035)); #447096=CARTESIAN_POINT('',(31.8875,20.0875,0.035)); #447097=CARTESIAN_POINT('',(31.8875,20.0875,0.)); #447098=CARTESIAN_POINT('Origin',(31.6125,20.0875,0.)); #447099=CARTESIAN_POINT('',(31.6125,20.0875,0.)); #447100=CARTESIAN_POINT('',(31.6125,20.0875,0.)); #447101=CARTESIAN_POINT('',(31.6125,20.0875,0.035)); #447102=CARTESIAN_POINT('',(31.6125,20.0875,0.035)); #447103=CARTESIAN_POINT('',(31.6125,20.0875,0.)); #447104=CARTESIAN_POINT('Origin',(31.6125,20.7125,0.)); #447105=CARTESIAN_POINT('',(31.6125,20.7125,0.)); #447106=CARTESIAN_POINT('',(31.6125,20.7125,0.)); #447107=CARTESIAN_POINT('',(31.6125,20.7125,0.035)); #447108=CARTESIAN_POINT('',(31.6125,20.7125,0.035)); #447109=CARTESIAN_POINT('',(31.6125,20.7125,0.)); #447110=CARTESIAN_POINT('Origin',(31.623,20.7125,0.)); #447111=CARTESIAN_POINT('',(31.623,20.7125,0.)); #447112=CARTESIAN_POINT('',(31.623,20.7125,0.)); #447113=CARTESIAN_POINT('',(31.623,20.7125,0.035)); #447114=CARTESIAN_POINT('',(31.623,20.7125,0.035)); #447115=CARTESIAN_POINT('',(31.623,20.7125,0.)); #447116=CARTESIAN_POINT('Origin',(31.623,21.856381,0.)); #447117=CARTESIAN_POINT('',(31.623,21.856381,0.)); #447118=CARTESIAN_POINT('',(31.623,21.856381,0.)); #447119=CARTESIAN_POINT('',(31.623,21.856381,0.035)); #447120=CARTESIAN_POINT('',(31.623,21.856381,0.035)); #447121=CARTESIAN_POINT('',(31.623,21.856381,0.)); #447122=CARTESIAN_POINT('Origin',(29.785197,23.694184,0.)); #447123=CARTESIAN_POINT('',(29.785197,23.694184,0.)); #447124=CARTESIAN_POINT('',(29.785197,23.694184,0.)); #447125=CARTESIAN_POINT('',(29.785197,23.694184,0.035)); #447126=CARTESIAN_POINT('',(29.785197,23.694184,0.035)); #447127=CARTESIAN_POINT('',(29.785197,23.694184,0.)); #447128=CARTESIAN_POINT('Origin',(29.875001515832,23.7839867502996,0.)); #447129=CARTESIAN_POINT('',(29.748,23.783988,0.)); #447130=CARTESIAN_POINT('Origin',(29.875001515832,23.7839867502996,0.)); #447131=CARTESIAN_POINT('',(29.748,23.783988,0.035)); #447132=CARTESIAN_POINT('Origin',(29.875001515832,23.7839867502996,0.035)); #447133=CARTESIAN_POINT('',(29.748,23.783988,0.)); #447134=CARTESIAN_POINT('Origin',(29.748,31.125,0.)); #447135=CARTESIAN_POINT('',(29.748,31.125,0.)); #447136=CARTESIAN_POINT('',(29.748,31.125,0.)); #447137=CARTESIAN_POINT('',(29.748,31.125,0.035)); #447138=CARTESIAN_POINT('',(29.748,31.125,0.035)); #447139=CARTESIAN_POINT('',(29.748,31.125,0.)); #447140=CARTESIAN_POINT('Origin',(29.8750003087252,31.1250007497004,0.)); #447141=CARTESIAN_POINT('',(29.785197,31.214803,0.)); #447142=CARTESIAN_POINT('Origin',(29.8750003087252,31.1250007497004,0.)); #447143=CARTESIAN_POINT('',(29.785197,31.214803,0.035)); #447144=CARTESIAN_POINT('Origin',(29.8750003087252,31.1250007497004,0.035)); #447145=CARTESIAN_POINT('',(29.785197,31.214803,0.)); #447146=CARTESIAN_POINT('Origin',(31.0605,32.490106,0.)); #447147=CARTESIAN_POINT('',(31.0605,32.490106,0.)); #447148=CARTESIAN_POINT('',(31.0605,32.490106,0.)); #447149=CARTESIAN_POINT('',(31.0605,32.490106,0.035)); #447150=CARTESIAN_POINT('',(31.0605,32.490106,0.035)); #447151=CARTESIAN_POINT('',(31.0605,32.490106,0.)); #447152=CARTESIAN_POINT('Origin',(31.0605,34.449219,0.)); #447153=CARTESIAN_POINT('',(31.0605,34.449219,0.)); #447154=CARTESIAN_POINT('',(31.0605,34.449219,0.)); #447155=CARTESIAN_POINT('',(31.0605,34.449219,0.035)); #447156=CARTESIAN_POINT('',(31.0605,34.449219,0.035)); #447157=CARTESIAN_POINT('',(31.0605,34.449219,0.)); #447158=CARTESIAN_POINT('Origin',(29.636719,35.873,0.)); #447159=CARTESIAN_POINT('',(29.636719,35.873,0.)); #447160=CARTESIAN_POINT('',(29.636719,35.873,0.)); #447161=CARTESIAN_POINT('',(29.636719,35.873,0.035)); #447162=CARTESIAN_POINT('',(29.636719,35.873,0.035)); #447163=CARTESIAN_POINT('',(29.636719,35.873,0.)); #447164=CARTESIAN_POINT('Origin',(27.689325,35.873,0.)); #447165=CARTESIAN_POINT('',(27.689325,35.873,0.)); #447166=CARTESIAN_POINT('',(27.689325,35.873,0.)); #447167=CARTESIAN_POINT('',(27.689325,35.873,0.035)); #447168=CARTESIAN_POINT('',(27.689325,35.873,0.035)); #447169=CARTESIAN_POINT('',(27.689325,35.873,0.)); #447170=CARTESIAN_POINT('Origin',(27.6893242502996,36.0000003087252,0.)); #447171=CARTESIAN_POINT('',(27.599522,35.910197,0.)); #447172=CARTESIAN_POINT('Origin',(27.6893242502996,36.0000003087252,0.)); #447173=CARTESIAN_POINT('',(27.599522,35.910197,0.035)); #447174=CARTESIAN_POINT('Origin',(27.6893242502996,36.0000003087252,0.035)); #447175=CARTESIAN_POINT('',(27.599522,35.910197,0.)); #447176=CARTESIAN_POINT('Origin',(24.148719,39.361,0.)); #447177=CARTESIAN_POINT('',(24.148719,39.361,0.)); #447178=CARTESIAN_POINT('',(24.148719,39.361,0.)); #447179=CARTESIAN_POINT('',(24.148719,39.361,0.035)); #447180=CARTESIAN_POINT('',(24.148719,39.361,0.035)); #447181=CARTESIAN_POINT('',(24.148719,39.361,0.)); #447182=CARTESIAN_POINT('Origin',(20.75,39.361,0.)); #447183=CARTESIAN_POINT('',(20.75,39.361,0.)); #447184=CARTESIAN_POINT('',(20.75,39.361,0.)); #447185=CARTESIAN_POINT('',(20.75,39.361,0.035)); #447186=CARTESIAN_POINT('',(20.75,39.361,0.035)); #447187=CARTESIAN_POINT('',(20.75,39.361,0.)); #447188=CARTESIAN_POINT('Origin',(20.7499992502996,39.4880003087252,0.)); #447189=CARTESIAN_POINT('',(20.660197,39.398197,0.)); #447190=CARTESIAN_POINT('Origin',(20.7499992502996,39.4880003087252,0.)); #447191=CARTESIAN_POINT('',(20.660197,39.398197,0.035)); #447192=CARTESIAN_POINT('Origin',(20.7499992502996,39.4880003087252,0.035)); #447193=CARTESIAN_POINT('',(20.660197,39.398197,0.)); #447194=CARTESIAN_POINT('Origin',(20.239494,39.8189,0.)); #447195=CARTESIAN_POINT('',(20.239494,39.8189,0.)); #447196=CARTESIAN_POINT('',(20.239494,39.8189,0.)); #447197=CARTESIAN_POINT('',(20.239494,39.8189,0.035)); #447198=CARTESIAN_POINT('',(20.239494,39.8189,0.035)); #447199=CARTESIAN_POINT('',(20.239494,39.8189,0.)); #447200=CARTESIAN_POINT('Origin',(19.5555,39.8189,0.)); #447201=CARTESIAN_POINT('',(19.5555,39.8189,0.)); #447202=CARTESIAN_POINT('',(19.5555,39.8189,0.)); #447203=CARTESIAN_POINT('',(19.5555,39.8189,0.035)); #447204=CARTESIAN_POINT('',(19.5555,39.8189,0.035)); #447205=CARTESIAN_POINT('',(19.5555,39.8189,0.)); #447206=CARTESIAN_POINT('Origin',(19.5555,40.6571,0.)); #447207=CARTESIAN_POINT('',(19.5555,40.6571,0.)); #447208=CARTESIAN_POINT('',(19.5555,40.6571,0.)); #447209=CARTESIAN_POINT('',(19.5555,40.6571,0.035)); #447210=CARTESIAN_POINT('',(19.5555,40.6571,0.035)); #447211=CARTESIAN_POINT('',(19.5555,40.6571,0.)); #447212=CARTESIAN_POINT('Origin',(20.4445,40.6571,0.)); #447213=CARTESIAN_POINT('',(20.4445,40.6571,0.)); #447214=CARTESIAN_POINT('',(20.4445,40.6571,0.)); #447215=CARTESIAN_POINT('',(20.4445,40.6571,0.035)); #447216=CARTESIAN_POINT('',(20.4445,40.6571,0.035)); #447217=CARTESIAN_POINT('',(20.4445,40.6571,0.)); #447218=CARTESIAN_POINT('Origin',(20.4445,39.973106,0.)); #447219=CARTESIAN_POINT('',(20.4445,39.973106,0.)); #447220=CARTESIAN_POINT('',(20.4445,39.973106,0.)); #447221=CARTESIAN_POINT('',(20.4445,39.973106,0.035)); #447222=CARTESIAN_POINT('',(20.4445,39.973106,0.035)); #447223=CARTESIAN_POINT('',(20.4445,39.973106,0.)); #447224=CARTESIAN_POINT('Origin',(20.802606,39.615,0.)); #447225=CARTESIAN_POINT('',(20.802606,39.615,0.)); #447226=CARTESIAN_POINT('',(20.802606,39.615,0.)); #447227=CARTESIAN_POINT('',(20.802606,39.615,0.035)); #447228=CARTESIAN_POINT('',(20.802606,39.615,0.035)); #447229=CARTESIAN_POINT('',(20.802606,39.615,0.)); #447230=CARTESIAN_POINT('Origin',(24.201325,39.615,0.)); #447231=CARTESIAN_POINT('',(24.201325,39.615,0.)); #447232=CARTESIAN_POINT('',(24.201325,39.615,0.)); #447233=CARTESIAN_POINT('',(24.201325,39.615,0.035)); #447234=CARTESIAN_POINT('',(24.201325,39.615,0.035)); #447235=CARTESIAN_POINT('',(24.201325,39.615,0.)); #447236=CARTESIAN_POINT('Origin',(24.2013257497004,39.4879996912748,0.)); #447237=CARTESIAN_POINT('',(24.291128,39.577803,0.)); #447238=CARTESIAN_POINT('Origin',(24.2013257497004,39.4879996912748,0.)); #447239=CARTESIAN_POINT('',(24.291128,39.577803,0.035)); #447240=CARTESIAN_POINT('Origin',(24.2013257497004,39.4879996912748,0.035)); #447241=CARTESIAN_POINT('',(24.291128,39.577803,0.)); #447242=CARTESIAN_POINT('Origin',(27.741931,36.127,0.)); #447243=CARTESIAN_POINT('',(27.741931,36.127,0.)); #447244=CARTESIAN_POINT('',(27.741931,36.127,0.)); #447245=CARTESIAN_POINT('',(27.741931,36.127,0.035)); #447246=CARTESIAN_POINT('',(27.741931,36.127,0.035)); #447247=CARTESIAN_POINT('',(27.741931,36.127,0.)); #447248=CARTESIAN_POINT('Origin',(29.689325,36.127,0.)); #447249=CARTESIAN_POINT('',(29.689325,36.127,0.)); #447250=CARTESIAN_POINT('',(29.689325,36.127,0.)); #447251=CARTESIAN_POINT('',(29.689325,36.127,0.035)); #447252=CARTESIAN_POINT('',(29.689325,36.127,0.035)); #447253=CARTESIAN_POINT('',(29.689325,36.127,0.)); #447254=CARTESIAN_POINT('Origin',(29.6893257497004,35.9999996912748,0.)); #447255=CARTESIAN_POINT('',(29.779128,36.089803,0.)); #447256=CARTESIAN_POINT('Origin',(29.6893257497004,35.9999996912748,0.)); #447257=CARTESIAN_POINT('',(29.779128,36.089803,0.035)); #447258=CARTESIAN_POINT('Origin',(29.6893257497004,35.9999996912748,0.035)); #447259=CARTESIAN_POINT('',(29.779128,36.089803,0.)); #447260=CARTESIAN_POINT('Origin',(31.277303,34.591628,0.)); #447261=CARTESIAN_POINT('',(31.277303,34.591628,0.)); #447262=CARTESIAN_POINT('',(31.277303,34.591628,0.)); #447263=CARTESIAN_POINT('',(31.277303,34.591628,0.035)); #447264=CARTESIAN_POINT('',(31.277303,34.591628,0.035)); #447265=CARTESIAN_POINT('',(31.277303,34.591628,0.)); #447266=CARTESIAN_POINT('Origin',(31.1874996912748,34.5018257497004,0.)); #447267=CARTESIAN_POINT('',(31.3145,34.501825,0.)); #447268=CARTESIAN_POINT('Origin',(31.1874996912748,34.5018257497004,0.)); #447269=CARTESIAN_POINT('',(31.3145,34.501825,0.035)); #447270=CARTESIAN_POINT('Origin',(31.1874996912748,34.5018257497004,0.035)); #447271=CARTESIAN_POINT('',(31.3145,34.501825,0.)); #447272=CARTESIAN_POINT('Origin',(31.3145,32.4375,0.)); #447273=CARTESIAN_POINT('',(31.3145,32.4375,0.)); #447274=CARTESIAN_POINT('',(31.3145,32.4375,0.)); #447275=CARTESIAN_POINT('',(31.3145,32.4375,0.035)); #447276=CARTESIAN_POINT('',(31.3145,32.4375,0.035)); #447277=CARTESIAN_POINT('',(31.3145,32.4375,0.)); #447278=CARTESIAN_POINT('Origin',(31.1874996912748,32.4374992502996,0.)); #447279=CARTESIAN_POINT('',(31.277303,32.347697,0.)); #447280=CARTESIAN_POINT('Origin',(31.1874996912748,32.4374992502996,0.)); #447281=CARTESIAN_POINT('',(31.277303,32.347697,0.035)); #447282=CARTESIAN_POINT('Origin',(31.1874996912748,32.4374992502996,0.035)); #447283=CARTESIAN_POINT('',(31.277303,32.347697,0.)); #447284=CARTESIAN_POINT('Origin',(30.002,31.072394,0.)); #447285=CARTESIAN_POINT('',(30.002,31.072394,0.)); #447286=CARTESIAN_POINT('',(30.002,31.072394,0.)); #447287=CARTESIAN_POINT('',(30.002,31.072394,0.035)); #447288=CARTESIAN_POINT('',(30.002,31.072394,0.035)); #447289=CARTESIAN_POINT('',(30.002,31.072394,0.)); #447290=CARTESIAN_POINT('Origin',(30.002,23.836594,0.)); #447291=CARTESIAN_POINT('',(30.002,23.836594,0.)); #447292=CARTESIAN_POINT('',(30.002,23.836594,0.)); #447293=CARTESIAN_POINT('',(30.002,23.836594,0.035)); #447294=CARTESIAN_POINT('',(30.002,23.836594,0.035)); #447295=CARTESIAN_POINT('',(30.002,23.836594,0.)); #447296=CARTESIAN_POINT('Origin',(31.839803,21.998791,0.)); #447297=CARTESIAN_POINT('',(31.839803,21.998791,0.)); #447298=CARTESIAN_POINT('',(31.839803,21.998791,0.)); #447299=CARTESIAN_POINT('',(31.839803,21.998791,0.035)); #447300=CARTESIAN_POINT('',(31.839803,21.998791,0.035)); #447301=CARTESIAN_POINT('',(31.839803,21.998791,0.)); #447302=CARTESIAN_POINT('Origin',(31.7499996912748,21.9089887497004,0.)); #447303=CARTESIAN_POINT('',(31.877,21.908988,0.)); #447304=CARTESIAN_POINT('Origin',(31.7499996912748,21.9089887497004,0.)); #447305=CARTESIAN_POINT('',(31.877,21.908988,0.035)); #447306=CARTESIAN_POINT('Origin',(31.7499996912748,21.9089887497004,0.035)); #447307=CARTESIAN_POINT('',(31.877,21.908988,0.)); #447308=CARTESIAN_POINT('Origin',(31.877,20.7125,0.)); #447309=CARTESIAN_POINT('',(31.877,20.7125,0.)); #447310=CARTESIAN_POINT('',(31.877,20.7125,0.)); #447311=CARTESIAN_POINT('',(31.877,20.7125,0.035)); #447312=CARTESIAN_POINT('',(31.877,20.7125,0.035)); #447313=CARTESIAN_POINT('',(31.877,20.7125,0.)); #447314=CARTESIAN_POINT('Origin',(31.8875,20.7125,0.)); #447315=CARTESIAN_POINT('',(31.8875,20.7125,0.)); #447316=CARTESIAN_POINT('',(31.8875,20.7125,0.035)); #447317=CARTESIAN_POINT('Origin',(28.0156543280533,32.3115650456459,0.035)); #447318=CARTESIAN_POINT('Origin',(28.0156543280533,32.3115650456459,0.)); #447319=CARTESIAN_POINT('Origin',(30.9125,14.1125,0.)); #447320=CARTESIAN_POINT('',(30.9125,14.1125,0.)); #447321=CARTESIAN_POINT('',(30.9125,14.3875,0.)); #447322=CARTESIAN_POINT('',(30.9125,14.1125,0.)); #447323=CARTESIAN_POINT('',(30.9125,14.3875,0.035)); #447324=CARTESIAN_POINT('',(30.9125,14.3875,0.)); #447325=CARTESIAN_POINT('',(30.9125,14.1125,0.035)); #447326=CARTESIAN_POINT('',(30.9125,14.1125,0.035)); #447327=CARTESIAN_POINT('',(30.9125,14.1125,0.)); #447328=CARTESIAN_POINT('Origin',(30.2875,14.1125,0.)); #447329=CARTESIAN_POINT('',(30.2875,14.1125,0.)); #447330=CARTESIAN_POINT('',(30.2875,14.1125,0.)); #447331=CARTESIAN_POINT('',(30.2875,14.1125,0.035)); #447332=CARTESIAN_POINT('',(30.2875,14.1125,0.035)); #447333=CARTESIAN_POINT('',(30.2875,14.1125,0.)); #447334=CARTESIAN_POINT('Origin',(30.2875,14.123,0.)); #447335=CARTESIAN_POINT('',(30.2875,14.123,0.)); #447336=CARTESIAN_POINT('',(30.2875,14.123,0.)); #447337=CARTESIAN_POINT('',(30.2875,14.123,0.035)); #447338=CARTESIAN_POINT('',(30.2875,14.123,0.035)); #447339=CARTESIAN_POINT('',(30.2875,14.123,0.)); #447340=CARTESIAN_POINT('Origin',(29.00365,14.123,0.)); #447341=CARTESIAN_POINT('',(29.00365,14.123,0.)); #447342=CARTESIAN_POINT('',(29.00365,14.123,0.)); #447343=CARTESIAN_POINT('',(29.00365,14.123,0.035)); #447344=CARTESIAN_POINT('',(29.00365,14.123,0.035)); #447345=CARTESIAN_POINT('',(29.00365,14.123,0.)); #447346=CARTESIAN_POINT('Origin',(29.0036492502996,14.2500003087252,0.)); #447347=CARTESIAN_POINT('',(28.913847,14.160197,0.)); #447348=CARTESIAN_POINT('Origin',(29.0036492502996,14.2500003087252,0.)); #447349=CARTESIAN_POINT('',(28.913847,14.160197,0.035)); #447350=CARTESIAN_POINT('Origin',(29.0036492502996,14.2500003087252,0.035)); #447351=CARTESIAN_POINT('',(28.913847,14.160197,0.)); #447352=CARTESIAN_POINT('Origin',(25.951044,17.123,0.)); #447353=CARTESIAN_POINT('',(25.951044,17.123,0.)); #447354=CARTESIAN_POINT('',(25.951044,17.123,0.)); #447355=CARTESIAN_POINT('',(25.951044,17.123,0.035)); #447356=CARTESIAN_POINT('',(25.951044,17.123,0.035)); #447357=CARTESIAN_POINT('',(25.951044,17.123,0.)); #447358=CARTESIAN_POINT('Origin',(22.512,17.123,0.)); #447359=CARTESIAN_POINT('',(22.512,17.123,0.)); #447360=CARTESIAN_POINT('',(22.512,17.123,0.)); #447361=CARTESIAN_POINT('',(22.512,17.123,0.035)); #447362=CARTESIAN_POINT('',(22.512,17.123,0.035)); #447363=CARTESIAN_POINT('',(22.512,17.123,0.)); #447364=CARTESIAN_POINT('Origin',(22.5119992502996,17.2500003087252,0.)); #447365=CARTESIAN_POINT('',(22.422197,17.160197,0.)); #447366=CARTESIAN_POINT('Origin',(22.5119992502996,17.2500003087252,0.)); #447367=CARTESIAN_POINT('',(22.422197,17.160197,0.035)); #447368=CARTESIAN_POINT('Origin',(22.5119992502996,17.2500003087252,0.035)); #447369=CARTESIAN_POINT('',(22.422197,17.160197,0.)); #447370=CARTESIAN_POINT('Origin',(22.026894,17.5555,0.)); #447371=CARTESIAN_POINT('',(22.026894,17.5555,0.)); #447372=CARTESIAN_POINT('',(22.026894,17.5555,0.)); #447373=CARTESIAN_POINT('',(22.026894,17.5555,0.035)); #447374=CARTESIAN_POINT('',(22.026894,17.5555,0.035)); #447375=CARTESIAN_POINT('',(22.026894,17.5555,0.)); #447376=CARTESIAN_POINT('Origin',(21.3429,17.5555,0.)); #447377=CARTESIAN_POINT('',(21.3429,17.5555,0.)); #447378=CARTESIAN_POINT('',(21.3429,17.5555,0.)); #447379=CARTESIAN_POINT('',(21.3429,17.5555,0.035)); #447380=CARTESIAN_POINT('',(21.3429,17.5555,0.035)); #447381=CARTESIAN_POINT('',(21.3429,17.5555,0.)); #447382=CARTESIAN_POINT('Origin',(21.3429,18.4445,0.)); #447383=CARTESIAN_POINT('',(21.3429,18.4445,0.)); #447384=CARTESIAN_POINT('',(21.3429,18.4445,0.)); #447385=CARTESIAN_POINT('',(21.3429,18.4445,0.035)); #447386=CARTESIAN_POINT('',(21.3429,18.4445,0.035)); #447387=CARTESIAN_POINT('',(21.3429,18.4445,0.)); #447388=CARTESIAN_POINT('Origin',(22.1811,18.4445,0.)); #447389=CARTESIAN_POINT('',(22.1811,18.4445,0.)); #447390=CARTESIAN_POINT('',(22.1811,18.4445,0.)); #447391=CARTESIAN_POINT('',(22.1811,18.4445,0.035)); #447392=CARTESIAN_POINT('',(22.1811,18.4445,0.035)); #447393=CARTESIAN_POINT('',(22.1811,18.4445,0.)); #447394=CARTESIAN_POINT('Origin',(22.1811,17.760506,0.)); #447395=CARTESIAN_POINT('',(22.1811,17.760506,0.)); #447396=CARTESIAN_POINT('',(22.1811,17.760506,0.)); #447397=CARTESIAN_POINT('',(22.1811,17.760506,0.035)); #447398=CARTESIAN_POINT('',(22.1811,17.760506,0.035)); #447399=CARTESIAN_POINT('',(22.1811,17.760506,0.)); #447400=CARTESIAN_POINT('Origin',(22.564606,17.377,0.)); #447401=CARTESIAN_POINT('',(22.564606,17.377,0.)); #447402=CARTESIAN_POINT('',(22.564606,17.377,0.)); #447403=CARTESIAN_POINT('',(22.564606,17.377,0.035)); #447404=CARTESIAN_POINT('',(22.564606,17.377,0.035)); #447405=CARTESIAN_POINT('',(22.564606,17.377,0.)); #447406=CARTESIAN_POINT('Origin',(26.00365,17.377,0.)); #447407=CARTESIAN_POINT('',(26.00365,17.377,0.)); #447408=CARTESIAN_POINT('',(26.00365,17.377,0.)); #447409=CARTESIAN_POINT('',(26.00365,17.377,0.035)); #447410=CARTESIAN_POINT('',(26.00365,17.377,0.035)); #447411=CARTESIAN_POINT('',(26.00365,17.377,0.)); #447412=CARTESIAN_POINT('Origin',(26.0036507497004,17.2499996912748,0.)); #447413=CARTESIAN_POINT('',(26.093453,17.339803,0.)); #447414=CARTESIAN_POINT('Origin',(26.0036507497004,17.2499996912748,0.)); #447415=CARTESIAN_POINT('',(26.093453,17.339803,0.035)); #447416=CARTESIAN_POINT('Origin',(26.0036507497004,17.2499996912748,0.035)); #447417=CARTESIAN_POINT('',(26.093453,17.339803,0.)); #447418=CARTESIAN_POINT('Origin',(29.056256,14.377,0.)); #447419=CARTESIAN_POINT('',(29.056256,14.377,0.)); #447420=CARTESIAN_POINT('',(29.056256,14.377,0.)); #447421=CARTESIAN_POINT('',(29.056256,14.377,0.035)); #447422=CARTESIAN_POINT('',(29.056256,14.377,0.035)); #447423=CARTESIAN_POINT('',(29.056256,14.377,0.)); #447424=CARTESIAN_POINT('Origin',(30.2875,14.377,0.)); #447425=CARTESIAN_POINT('',(30.2875,14.377,0.)); #447426=CARTESIAN_POINT('',(30.2875,14.377,0.)); #447427=CARTESIAN_POINT('',(30.2875,14.377,0.035)); #447428=CARTESIAN_POINT('',(30.2875,14.377,0.035)); #447429=CARTESIAN_POINT('',(30.2875,14.377,0.)); #447430=CARTESIAN_POINT('Origin',(30.2875,14.3875,0.)); #447431=CARTESIAN_POINT('',(30.2875,14.3875,0.)); #447432=CARTESIAN_POINT('',(30.2875,14.3875,0.)); #447433=CARTESIAN_POINT('',(30.2875,14.3875,0.035)); #447434=CARTESIAN_POINT('',(30.2875,14.3875,0.035)); #447435=CARTESIAN_POINT('',(30.2875,14.3875,0.)); #447436=CARTESIAN_POINT('Origin',(30.9125,14.3875,0.)); #447437=CARTESIAN_POINT('',(30.9125,14.3875,0.)); #447438=CARTESIAN_POINT('',(30.9125,14.3875,0.035)); #447439=CARTESIAN_POINT('Origin',(25.9841956795068,16.3103669945351,0.035)); #447440=CARTESIAN_POINT('Origin',(25.9841956795068,16.3103669945351,0.)); #447441=CARTESIAN_POINT('Origin',(30.5,10.5,-200.)); #447442=CARTESIAN_POINT('',(30.36,10.5,0.)); #447443=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #447444=CARTESIAN_POINT('',(30.36,10.5,0.0349999999999895)); #447445=CARTESIAN_POINT('',(30.36,10.5,-200.)); #447446=CARTESIAN_POINT('Origin',(30.5,10.5,0.0349999999999895)); #447447=CARTESIAN_POINT('Origin',(22.564606,14.377,0.)); #447448=CARTESIAN_POINT('',(22.564606,14.377,0.)); #447449=CARTESIAN_POINT('',(22.1811,14.760506,0.)); #447450=CARTESIAN_POINT('',(22.564606,14.377,0.)); #447451=CARTESIAN_POINT('',(22.1811,14.760506,0.035)); #447452=CARTESIAN_POINT('',(22.1811,14.760506,0.)); #447453=CARTESIAN_POINT('',(22.564606,14.377,0.035)); #447454=CARTESIAN_POINT('',(22.564606,14.377,0.035)); #447455=CARTESIAN_POINT('',(22.564606,14.377,0.)); #447456=CARTESIAN_POINT('Origin',(26.00365,14.377,0.)); #447457=CARTESIAN_POINT('',(26.00365,14.377,0.)); #447458=CARTESIAN_POINT('',(26.00365,14.377,0.)); #447459=CARTESIAN_POINT('',(26.00365,14.377,0.035)); #447460=CARTESIAN_POINT('',(26.00365,14.377,0.035)); #447461=CARTESIAN_POINT('',(26.00365,14.377,0.)); #447462=CARTESIAN_POINT('Origin',(25.999429453094,14.2500413352333,0.)); #447463=CARTESIAN_POINT('',(26.015147,14.376094,0.)); #447464=CARTESIAN_POINT('Origin',(25.999429453094,14.2500413352333,0.)); #447465=CARTESIAN_POINT('',(26.015147,14.376094,0.035)); #447466=CARTESIAN_POINT('Origin',(25.999429453094,14.2500413352333,0.035)); #447467=CARTESIAN_POINT('',(26.015147,14.376094,0.)); #447468=CARTESIAN_POINT('Origin',(26.020578,14.374819,0.)); #447469=CARTESIAN_POINT('',(26.020578,14.374819,0.)); #447470=CARTESIAN_POINT('',(26.020578,14.374819,0.)); #447471=CARTESIAN_POINT('',(26.020578,14.374819,0.035)); #447472=CARTESIAN_POINT('',(26.020578,14.374819,0.035)); #447473=CARTESIAN_POINT('',(26.020578,14.374819,0.)); #447474=CARTESIAN_POINT('Origin',(26.035834,14.372853,0.)); #447475=CARTESIAN_POINT('',(26.035834,14.372853,0.)); #447476=CARTESIAN_POINT('',(26.035834,14.372853,0.)); #447477=CARTESIAN_POINT('',(26.035834,14.372853,0.035)); #447478=CARTESIAN_POINT('',(26.035834,14.372853,0.035)); #447479=CARTESIAN_POINT('',(26.035834,14.372853,0.)); #447480=CARTESIAN_POINT('Origin',(26.062088,14.362756,0.)); #447481=CARTESIAN_POINT('',(26.062088,14.362756,0.)); #447482=CARTESIAN_POINT('',(26.062088,14.362756,0.)); #447483=CARTESIAN_POINT('',(26.062088,14.362756,0.035)); #447484=CARTESIAN_POINT('',(26.062088,14.362756,0.035)); #447485=CARTESIAN_POINT('',(26.062088,14.362756,0.)); #447486=CARTESIAN_POINT('Origin',(26.0028716292051,14.250688419063,0.)); #447487=CARTESIAN_POINT('',(26.107769,14.321838,0.)); #447488=CARTESIAN_POINT('Origin',(26.0028716292051,14.250688419063,0.)); #447489=CARTESIAN_POINT('',(26.107769,14.321838,0.035)); #447490=CARTESIAN_POINT('Origin',(26.0028716292051,14.250688419063,0.035)); #447491=CARTESIAN_POINT('',(26.107769,14.321838,0.)); #447492=CARTESIAN_POINT('Origin',(29.802606,10.627,0.)); #447493=CARTESIAN_POINT('',(29.802606,10.627,0.)); #447494=CARTESIAN_POINT('',(29.802606,10.627,0.)); #447495=CARTESIAN_POINT('',(29.802606,10.627,0.035)); #447496=CARTESIAN_POINT('',(29.802606,10.627,0.035)); #447497=CARTESIAN_POINT('',(29.802606,10.627,0.)); #447498=CARTESIAN_POINT('Origin',(30.256072,10.627,0.)); #447499=CARTESIAN_POINT('',(30.256072,10.627,0.)); #447500=CARTESIAN_POINT('',(30.256072,10.627,0.)); #447501=CARTESIAN_POINT('',(30.256072,10.627,0.035)); #447502=CARTESIAN_POINT('',(30.256072,10.627,0.035)); #447503=CARTESIAN_POINT('',(30.256072,10.627,0.)); #447504=CARTESIAN_POINT('Origin',(30.5004640048651,10.4999800398209,0.)); #447505=CARTESIAN_POINT('',(30.754853,10.605563,0.)); #447506=CARTESIAN_POINT('Origin',(30.5004640048651,10.4999800398209,0.)); #447507=CARTESIAN_POINT('',(30.754853,10.605563,0.035)); #447508=CARTESIAN_POINT('Origin',(30.5004640048651,10.4999800398209,0.035)); #447509=CARTESIAN_POINT('',(30.754853,10.605563,0.)); #447510=CARTESIAN_POINT('Origin',(30.5003817414141,10.5001782714048,0.)); #447511=CARTESIAN_POINT('',(30.256072,10.373,0.)); #447512=CARTESIAN_POINT('Origin',(30.5003817414141,10.5001782714048,0.)); #447513=CARTESIAN_POINT('',(30.256072,10.373,0.035)); #447514=CARTESIAN_POINT('Origin',(30.5003817414141,10.5001782714048,0.035)); #447515=CARTESIAN_POINT('',(30.256072,10.373,0.)); #447516=CARTESIAN_POINT('Origin',(29.75,10.373,0.)); #447517=CARTESIAN_POINT('',(29.75,10.373,0.)); #447518=CARTESIAN_POINT('',(29.75,10.373,0.)); #447519=CARTESIAN_POINT('',(29.75,10.373,0.035)); #447520=CARTESIAN_POINT('',(29.75,10.373,0.035)); #447521=CARTESIAN_POINT('',(29.75,10.373,0.)); #447522=CARTESIAN_POINT('Origin',(29.7499992502996,10.5000003087252,0.)); #447523=CARTESIAN_POINT('',(29.660197,10.410197,0.)); #447524=CARTESIAN_POINT('Origin',(29.7499992502996,10.5000003087252,0.)); #447525=CARTESIAN_POINT('',(29.660197,10.410197,0.035)); #447526=CARTESIAN_POINT('Origin',(29.7499992502996,10.5000003087252,0.035)); #447527=CARTESIAN_POINT('',(29.660197,10.410197,0.)); #447528=CARTESIAN_POINT('Origin',(25.947394,14.123,0.)); #447529=CARTESIAN_POINT('',(25.947394,14.123,0.)); #447530=CARTESIAN_POINT('',(25.947394,14.123,0.)); #447531=CARTESIAN_POINT('',(25.947394,14.123,0.035)); #447532=CARTESIAN_POINT('',(25.947394,14.123,0.035)); #447533=CARTESIAN_POINT('',(25.947394,14.123,0.)); #447534=CARTESIAN_POINT('Origin',(22.512,14.123,0.)); #447535=CARTESIAN_POINT('',(22.512,14.123,0.)); #447536=CARTESIAN_POINT('',(22.512,14.123,0.)); #447537=CARTESIAN_POINT('',(22.512,14.123,0.035)); #447538=CARTESIAN_POINT('',(22.512,14.123,0.035)); #447539=CARTESIAN_POINT('',(22.512,14.123,0.)); #447540=CARTESIAN_POINT('Origin',(22.5119992502996,14.2500003087252,0.)); #447541=CARTESIAN_POINT('',(22.422197,14.160197,0.)); #447542=CARTESIAN_POINT('Origin',(22.5119992502996,14.2500003087252,0.)); #447543=CARTESIAN_POINT('',(22.422197,14.160197,0.035)); #447544=CARTESIAN_POINT('Origin',(22.5119992502996,14.2500003087252,0.035)); #447545=CARTESIAN_POINT('',(22.422197,14.160197,0.)); #447546=CARTESIAN_POINT('Origin',(22.026894,14.5555,0.)); #447547=CARTESIAN_POINT('',(22.026894,14.5555,0.)); #447548=CARTESIAN_POINT('',(22.026894,14.5555,0.)); #447549=CARTESIAN_POINT('',(22.026894,14.5555,0.035)); #447550=CARTESIAN_POINT('',(22.026894,14.5555,0.035)); #447551=CARTESIAN_POINT('',(22.026894,14.5555,0.)); #447552=CARTESIAN_POINT('Origin',(21.3429,14.5555,0.)); #447553=CARTESIAN_POINT('',(21.3429,14.5555,0.)); #447554=CARTESIAN_POINT('',(21.3429,14.5555,0.)); #447555=CARTESIAN_POINT('',(21.3429,14.5555,0.035)); #447556=CARTESIAN_POINT('',(21.3429,14.5555,0.035)); #447557=CARTESIAN_POINT('',(21.3429,14.5555,0.)); #447558=CARTESIAN_POINT('Origin',(21.3429,15.4445,0.)); #447559=CARTESIAN_POINT('',(21.3429,15.4445,0.)); #447560=CARTESIAN_POINT('',(21.3429,15.4445,0.)); #447561=CARTESIAN_POINT('',(21.3429,15.4445,0.035)); #447562=CARTESIAN_POINT('',(21.3429,15.4445,0.035)); #447563=CARTESIAN_POINT('',(21.3429,15.4445,0.)); #447564=CARTESIAN_POINT('Origin',(22.1811,15.4445,0.)); #447565=CARTESIAN_POINT('',(22.1811,15.4445,0.)); #447566=CARTESIAN_POINT('',(22.1811,15.4445,0.)); #447567=CARTESIAN_POINT('',(22.1811,15.4445,0.035)); #447568=CARTESIAN_POINT('',(22.1811,15.4445,0.035)); #447569=CARTESIAN_POINT('',(22.1811,15.4445,0.)); #447570=CARTESIAN_POINT('Origin',(22.1811,14.760506,0.)); #447571=CARTESIAN_POINT('',(22.1811,14.760506,0.)); #447572=CARTESIAN_POINT('',(22.1811,14.760506,0.035)); #447573=CARTESIAN_POINT('Origin',(26.037465277654,13.160107415761,0.035)); #447574=CARTESIAN_POINT('Origin',(26.037465277654,13.160107415761,0.)); #447575=CARTESIAN_POINT('Origin',(36.5,10.5,-200.)); #447576=CARTESIAN_POINT('',(36.36,10.5,0.)); #447577=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #447578=CARTESIAN_POINT('',(36.36,10.5,0.0349999999999895)); #447579=CARTESIAN_POINT('',(36.36,10.5,-200.)); #447580=CARTESIAN_POINT('Origin',(36.5,10.5,0.0349999999999895)); #447581=CARTESIAN_POINT('Origin',(36.521644,10.225,0.)); #447582=CARTESIAN_POINT('',(36.521644,10.225,0.)); #447583=CARTESIAN_POINT('',(36.564397,10.231772,0.)); #447584=CARTESIAN_POINT('',(36.521644,10.225,0.)); #447585=CARTESIAN_POINT('',(36.564397,10.231772,0.035)); #447586=CARTESIAN_POINT('',(36.564397,10.231772,0.)); #447587=CARTESIAN_POINT('',(36.521644,10.225,0.035)); #447588=CARTESIAN_POINT('',(36.521644,10.225,0.035)); #447589=CARTESIAN_POINT('',(36.521644,10.225,0.)); #447590=CARTESIAN_POINT('Origin',(36.500118471131,10.4995872515008,0.)); #447591=CARTESIAN_POINT('',(36.373,10.743928,0.)); #447592=CARTESIAN_POINT('Origin',(36.500118471131,10.4995872515008,0.)); #447593=CARTESIAN_POINT('',(36.373,10.743928,0.035)); #447594=CARTESIAN_POINT('Origin',(36.500118471131,10.4995872515008,0.035)); #447595=CARTESIAN_POINT('',(36.373,10.743928,0.)); #447596=CARTESIAN_POINT('Origin',(36.373,12.697394,0.)); #447597=CARTESIAN_POINT('',(36.373,12.697394,0.)); #447598=CARTESIAN_POINT('',(36.373,12.697394,0.)); #447599=CARTESIAN_POINT('',(36.373,12.697394,0.035)); #447600=CARTESIAN_POINT('',(36.373,12.697394,0.035)); #447601=CARTESIAN_POINT('',(36.373,12.697394,0.)); #447602=CARTESIAN_POINT('Origin',(36.160197,12.910197,0.)); #447603=CARTESIAN_POINT('',(36.160197,12.910197,0.)); #447604=CARTESIAN_POINT('',(36.160197,12.910197,0.)); #447605=CARTESIAN_POINT('',(36.160197,12.910197,0.035)); #447606=CARTESIAN_POINT('',(36.160197,12.910197,0.035)); #447607=CARTESIAN_POINT('',(36.160197,12.910197,0.)); #447608=CARTESIAN_POINT('Origin',(36.2500003087252,12.9999992502996,0.)); #447609=CARTESIAN_POINT('',(36.123,13.,0.)); #447610=CARTESIAN_POINT('Origin',(36.2500003087252,12.9999992502996,0.)); #447611=CARTESIAN_POINT('',(36.123,13.,0.035)); #447612=CARTESIAN_POINT('Origin',(36.2500003087252,12.9999992502996,0.035)); #447613=CARTESIAN_POINT('',(36.123,13.,0.)); #447614=CARTESIAN_POINT('Origin',(36.123,13.2875,0.)); #447615=CARTESIAN_POINT('',(36.123,13.2875,0.)); #447616=CARTESIAN_POINT('',(36.123,13.2875,0.)); #447617=CARTESIAN_POINT('',(36.123,13.2875,0.035)); #447618=CARTESIAN_POINT('',(36.123,13.2875,0.035)); #447619=CARTESIAN_POINT('',(36.123,13.2875,0.)); #447620=CARTESIAN_POINT('Origin',(36.1125,13.2875,0.)); #447621=CARTESIAN_POINT('',(36.1125,13.2875,0.)); #447622=CARTESIAN_POINT('',(36.1125,13.2875,0.)); #447623=CARTESIAN_POINT('',(36.1125,13.2875,0.035)); #447624=CARTESIAN_POINT('',(36.1125,13.2875,0.035)); #447625=CARTESIAN_POINT('',(36.1125,13.2875,0.)); #447626=CARTESIAN_POINT('Origin',(36.1125,13.9125,0.)); #447627=CARTESIAN_POINT('',(36.1125,13.9125,0.)); #447628=CARTESIAN_POINT('',(36.1125,13.9125,0.)); #447629=CARTESIAN_POINT('',(36.1125,13.9125,0.035)); #447630=CARTESIAN_POINT('',(36.1125,13.9125,0.035)); #447631=CARTESIAN_POINT('',(36.1125,13.9125,0.)); #447632=CARTESIAN_POINT('Origin',(36.3875,13.9125,0.)); #447633=CARTESIAN_POINT('',(36.3875,13.9125,0.)); #447634=CARTESIAN_POINT('',(36.3875,13.9125,0.)); #447635=CARTESIAN_POINT('',(36.3875,13.9125,0.035)); #447636=CARTESIAN_POINT('',(36.3875,13.9125,0.035)); #447637=CARTESIAN_POINT('',(36.3875,13.9125,0.)); #447638=CARTESIAN_POINT('Origin',(36.3875,13.2875,0.)); #447639=CARTESIAN_POINT('',(36.3875,13.2875,0.)); #447640=CARTESIAN_POINT('',(36.3875,13.2875,0.)); #447641=CARTESIAN_POINT('',(36.3875,13.2875,0.035)); #447642=CARTESIAN_POINT('',(36.3875,13.2875,0.035)); #447643=CARTESIAN_POINT('',(36.3875,13.2875,0.)); #447644=CARTESIAN_POINT('Origin',(36.377,13.2875,0.)); #447645=CARTESIAN_POINT('',(36.377,13.2875,0.)); #447646=CARTESIAN_POINT('',(36.377,13.2875,0.)); #447647=CARTESIAN_POINT('',(36.377,13.2875,0.035)); #447648=CARTESIAN_POINT('',(36.377,13.2875,0.035)); #447649=CARTESIAN_POINT('',(36.377,13.2875,0.)); #447650=CARTESIAN_POINT('Origin',(36.377,13.052606,0.)); #447651=CARTESIAN_POINT('',(36.377,13.052606,0.)); #447652=CARTESIAN_POINT('',(36.377,13.052606,0.)); #447653=CARTESIAN_POINT('',(36.377,13.052606,0.035)); #447654=CARTESIAN_POINT('',(36.377,13.052606,0.035)); #447655=CARTESIAN_POINT('',(36.377,13.052606,0.)); #447656=CARTESIAN_POINT('Origin',(36.589803,12.839803,0.)); #447657=CARTESIAN_POINT('',(36.589803,12.839803,0.)); #447658=CARTESIAN_POINT('',(36.589803,12.839803,0.)); #447659=CARTESIAN_POINT('',(36.589803,12.839803,0.035)); #447660=CARTESIAN_POINT('',(36.589803,12.839803,0.035)); #447661=CARTESIAN_POINT('',(36.589803,12.839803,0.)); #447662=CARTESIAN_POINT('Origin',(36.4999996912748,12.7500007497004,0.)); #447663=CARTESIAN_POINT('',(36.627,12.75,0.)); #447664=CARTESIAN_POINT('Origin',(36.4999996912748,12.7500007497004,0.)); #447665=CARTESIAN_POINT('',(36.627,12.75,0.035)); #447666=CARTESIAN_POINT('Origin',(36.4999996912748,12.7500007497004,0.035)); #447667=CARTESIAN_POINT('',(36.627,12.75,0.)); #447668=CARTESIAN_POINT('Origin',(36.627,10.743928,0.)); #447669=CARTESIAN_POINT('',(36.627,10.743928,0.)); #447670=CARTESIAN_POINT('',(36.627,10.743928,0.)); #447671=CARTESIAN_POINT('',(36.627,10.743928,0.035)); #447672=CARTESIAN_POINT('',(36.627,10.743928,0.035)); #447673=CARTESIAN_POINT('',(36.627,10.743928,0.)); #447674=CARTESIAN_POINT('Origin',(36.4999453752955,10.499554310534,0.)); #447675=CARTESIAN_POINT('Origin',(36.4999453752955,10.499554310534,0.)); #447676=CARTESIAN_POINT('Origin',(36.4999453752955,10.499554310534,0.035)); #447677=CARTESIAN_POINT('Origin',(36.4259025895783,12.0649288581409,0.035)); #447678=CARTESIAN_POINT('Origin',(36.4259025895783,12.0649288581409,0.)); #447679=CARTESIAN_POINT('Origin',(38.75,36.75,-200.)); #447680=CARTESIAN_POINT('',(38.61,36.75,0.)); #447681=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #447682=CARTESIAN_POINT('',(38.61,36.75,0.0349999999999895)); #447683=CARTESIAN_POINT('',(38.61,36.75,-200.)); #447684=CARTESIAN_POINT('Origin',(38.75,36.75,0.0349999999999895)); #447685=CARTESIAN_POINT('Origin',(38.771644,36.475,0.)); #447686=CARTESIAN_POINT('',(38.771644,36.475,0.)); #447687=CARTESIAN_POINT('',(38.814397,36.481772,0.)); #447688=CARTESIAN_POINT('',(38.771644,36.475,0.)); #447689=CARTESIAN_POINT('',(38.814397,36.481772,0.035)); #447690=CARTESIAN_POINT('',(38.814397,36.481772,0.)); #447691=CARTESIAN_POINT('',(38.771644,36.475,0.035)); #447692=CARTESIAN_POINT('',(38.771644,36.475,0.035)); #447693=CARTESIAN_POINT('',(38.771644,36.475,0.)); #447694=CARTESIAN_POINT('Origin',(38.7500003953475,36.7500004229918,0.)); #447695=CARTESIAN_POINT('',(38.495147,36.644438,0.)); #447696=CARTESIAN_POINT('Origin',(38.7500003953475,36.7500004229918,0.)); #447697=CARTESIAN_POINT('',(38.495147,36.644438,0.035)); #447698=CARTESIAN_POINT('Origin',(38.7500003953475,36.7500004229918,0.035)); #447699=CARTESIAN_POINT('',(38.495147,36.644438,0.)); #447700=CARTESIAN_POINT('Origin',(38.7496182367391,36.7498222285952,0.)); #447701=CARTESIAN_POINT('',(38.993928,36.877,0.)); #447702=CARTESIAN_POINT('Origin',(38.7496182367391,36.7498222285952,0.)); #447703=CARTESIAN_POINT('',(38.993928,36.877,0.035)); #447704=CARTESIAN_POINT('Origin',(38.7496182367391,36.7498222285952,0.035)); #447705=CARTESIAN_POINT('',(38.993928,36.877,0.)); #447706=CARTESIAN_POINT('Origin',(40.477731,36.877,0.)); #447707=CARTESIAN_POINT('',(40.477731,36.877,0.)); #447708=CARTESIAN_POINT('',(40.477731,36.877,0.)); #447709=CARTESIAN_POINT('',(40.477731,36.877,0.035)); #447710=CARTESIAN_POINT('',(40.477731,36.877,0.035)); #447711=CARTESIAN_POINT('',(40.477731,36.877,0.)); #447712=CARTESIAN_POINT('Origin',(43.5555,39.954769,0.)); #447713=CARTESIAN_POINT('',(43.5555,39.954769,0.)); #447714=CARTESIAN_POINT('',(43.5555,39.954769,0.)); #447715=CARTESIAN_POINT('',(43.5555,39.954769,0.035)); #447716=CARTESIAN_POINT('',(43.5555,39.954769,0.035)); #447717=CARTESIAN_POINT('',(43.5555,39.954769,0.)); #447718=CARTESIAN_POINT('Origin',(43.5555,40.6571,0.)); #447719=CARTESIAN_POINT('',(43.5555,40.6571,0.)); #447720=CARTESIAN_POINT('',(43.5555,40.6571,0.)); #447721=CARTESIAN_POINT('',(43.5555,40.6571,0.035)); #447722=CARTESIAN_POINT('',(43.5555,40.6571,0.035)); #447723=CARTESIAN_POINT('',(43.5555,40.6571,0.)); #447724=CARTESIAN_POINT('Origin',(44.4445,40.6571,0.)); #447725=CARTESIAN_POINT('',(44.4445,40.6571,0.)); #447726=CARTESIAN_POINT('',(44.4445,40.6571,0.)); #447727=CARTESIAN_POINT('',(44.4445,40.6571,0.035)); #447728=CARTESIAN_POINT('',(44.4445,40.6571,0.035)); #447729=CARTESIAN_POINT('',(44.4445,40.6571,0.)); #447730=CARTESIAN_POINT('Origin',(44.4445,39.8189,0.)); #447731=CARTESIAN_POINT('',(44.4445,39.8189,0.)); #447732=CARTESIAN_POINT('',(44.4445,39.8189,0.)); #447733=CARTESIAN_POINT('',(44.4445,39.8189,0.035)); #447734=CARTESIAN_POINT('',(44.4445,39.8189,0.035)); #447735=CARTESIAN_POINT('',(44.4445,39.8189,0.)); #447736=CARTESIAN_POINT('Origin',(43.778844,39.8189,0.)); #447737=CARTESIAN_POINT('',(43.778844,39.8189,0.)); #447738=CARTESIAN_POINT('',(43.778844,39.8189,0.)); #447739=CARTESIAN_POINT('',(43.778844,39.8189,0.035)); #447740=CARTESIAN_POINT('',(43.778844,39.8189,0.035)); #447741=CARTESIAN_POINT('',(43.778844,39.8189,0.)); #447742=CARTESIAN_POINT('Origin',(40.620141,36.660197,0.)); #447743=CARTESIAN_POINT('',(40.620141,36.660197,0.)); #447744=CARTESIAN_POINT('',(40.620141,36.660197,0.)); #447745=CARTESIAN_POINT('',(40.620141,36.660197,0.035)); #447746=CARTESIAN_POINT('',(40.620141,36.660197,0.035)); #447747=CARTESIAN_POINT('',(40.620141,36.660197,0.)); #447748=CARTESIAN_POINT('Origin',(40.5303387497004,36.7500003087252,0.)); #447749=CARTESIAN_POINT('',(40.530338,36.623,0.)); #447750=CARTESIAN_POINT('Origin',(40.5303387497004,36.7500003087252,0.)); #447751=CARTESIAN_POINT('',(40.530338,36.623,0.035)); #447752=CARTESIAN_POINT('Origin',(40.5303387497004,36.7500003087252,0.035)); #447753=CARTESIAN_POINT('',(40.530338,36.623,0.)); #447754=CARTESIAN_POINT('Origin',(38.993928,36.623,0.)); #447755=CARTESIAN_POINT('',(38.993928,36.623,0.)); #447756=CARTESIAN_POINT('',(38.993928,36.623,0.)); #447757=CARTESIAN_POINT('',(38.993928,36.623,0.035)); #447758=CARTESIAN_POINT('',(38.993928,36.623,0.035)); #447759=CARTESIAN_POINT('',(38.993928,36.623,0.)); #447760=CARTESIAN_POINT('Origin',(38.7492008746984,36.7493753757046,0.)); #447761=CARTESIAN_POINT('Origin',(38.7492008746984,36.7493753757046,0.)); #447762=CARTESIAN_POINT('Origin',(38.7492008746984,36.7493753757046,0.035)); #447763=CARTESIAN_POINT('Origin',(41.7283756855908,38.2536474597336,0.035)); #447764=CARTESIAN_POINT('Origin',(41.7283756855908,38.2536474597336,0.)); #447765=CARTESIAN_POINT('Origin',(37.1875,36.75,-200.)); #447766=CARTESIAN_POINT('',(37.0475,36.75,0.)); #447767=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #447768=CARTESIAN_POINT('',(37.0475,36.75,0.0349999999999895)); #447769=CARTESIAN_POINT('',(37.0475,36.75,-200.)); #447770=CARTESIAN_POINT('Origin',(37.1875,36.75,0.0349999999999895)); #447771=CARTESIAN_POINT('Origin',(33.8875,20.0875,0.)); #447772=CARTESIAN_POINT('',(33.8875,20.0875,0.)); #447773=CARTESIAN_POINT('',(33.8875,20.7125,0.)); #447774=CARTESIAN_POINT('',(33.8875,20.0875,0.)); #447775=CARTESIAN_POINT('',(33.8875,20.7125,0.035)); #447776=CARTESIAN_POINT('',(33.8875,20.7125,0.)); #447777=CARTESIAN_POINT('',(33.8875,20.0875,0.035)); #447778=CARTESIAN_POINT('',(33.8875,20.0875,0.035)); #447779=CARTESIAN_POINT('',(33.8875,20.0875,0.)); #447780=CARTESIAN_POINT('Origin',(33.6125,20.0875,0.)); #447781=CARTESIAN_POINT('',(33.6125,20.0875,0.)); #447782=CARTESIAN_POINT('',(33.6125,20.0875,0.)); #447783=CARTESIAN_POINT('',(33.6125,20.0875,0.035)); #447784=CARTESIAN_POINT('',(33.6125,20.0875,0.035)); #447785=CARTESIAN_POINT('',(33.6125,20.0875,0.)); #447786=CARTESIAN_POINT('Origin',(33.6125,20.7125,0.)); #447787=CARTESIAN_POINT('',(33.6125,20.7125,0.)); #447788=CARTESIAN_POINT('',(33.6125,20.7125,0.)); #447789=CARTESIAN_POINT('',(33.6125,20.7125,0.035)); #447790=CARTESIAN_POINT('',(33.6125,20.7125,0.035)); #447791=CARTESIAN_POINT('',(33.6125,20.7125,0.)); #447792=CARTESIAN_POINT('Origin',(33.623,20.7125,0.)); #447793=CARTESIAN_POINT('',(33.623,20.7125,0.)); #447794=CARTESIAN_POINT('',(33.623,20.7125,0.)); #447795=CARTESIAN_POINT('',(33.623,20.7125,0.035)); #447796=CARTESIAN_POINT('',(33.623,20.7125,0.035)); #447797=CARTESIAN_POINT('',(33.623,20.7125,0.)); #447798=CARTESIAN_POINT('Origin',(33.623,22.,0.)); #447799=CARTESIAN_POINT('',(33.623,22.,0.)); #447800=CARTESIAN_POINT('',(33.623,22.,0.)); #447801=CARTESIAN_POINT('',(33.623,22.,0.035)); #447802=CARTESIAN_POINT('',(33.623,22.,0.035)); #447803=CARTESIAN_POINT('',(33.623,22.,0.)); #447804=CARTESIAN_POINT('Origin',(33.7500003087252,22.0000007497004,0.)); #447805=CARTESIAN_POINT('',(33.660197,22.089803,0.)); #447806=CARTESIAN_POINT('Origin',(33.7500003087252,22.0000007497004,0.)); #447807=CARTESIAN_POINT('',(33.660197,22.089803,0.035)); #447808=CARTESIAN_POINT('Origin',(33.7500003087252,22.0000007497004,0.035)); #447809=CARTESIAN_POINT('',(33.660197,22.089803,0.)); #447810=CARTESIAN_POINT('Origin',(34.9355,23.365106,0.)); #447811=CARTESIAN_POINT('',(34.9355,23.365106,0.)); #447812=CARTESIAN_POINT('',(34.9355,23.365106,0.)); #447813=CARTESIAN_POINT('',(34.9355,23.365106,0.035)); #447814=CARTESIAN_POINT('',(34.9355,23.365106,0.035)); #447815=CARTESIAN_POINT('',(34.9355,23.365106,0.)); #447816=CARTESIAN_POINT('Origin',(34.9355,34.625,0.)); #447817=CARTESIAN_POINT('',(34.9355,34.625,0.)); #447818=CARTESIAN_POINT('',(34.9355,34.625,0.)); #447819=CARTESIAN_POINT('',(34.9355,34.625,0.035)); #447820=CARTESIAN_POINT('',(34.9355,34.625,0.035)); #447821=CARTESIAN_POINT('',(34.9355,34.625,0.)); #447822=CARTESIAN_POINT('Origin',(35.0625003087252,34.6250007497004,0.)); #447823=CARTESIAN_POINT('',(34.972697,34.714803,0.)); #447824=CARTESIAN_POINT('Origin',(35.0625003087252,34.6250007497004,0.)); #447825=CARTESIAN_POINT('',(34.972697,34.714803,0.035)); #447826=CARTESIAN_POINT('Origin',(35.0625003087252,34.6250007497004,0.035)); #447827=CARTESIAN_POINT('',(34.972697,34.714803,0.)); #447828=CARTESIAN_POINT('Origin',(36.925213,36.667319,0.)); #447829=CARTESIAN_POINT('',(36.925213,36.667319,0.)); #447830=CARTESIAN_POINT('',(36.925213,36.667319,0.)); #447831=CARTESIAN_POINT('',(36.925213,36.667319,0.035)); #447832=CARTESIAN_POINT('',(36.925213,36.667319,0.035)); #447833=CARTESIAN_POINT('',(36.925213,36.667319,0.)); #447834=CARTESIAN_POINT('Origin',(37.187842029785,36.7503130782902,0.)); #447835=CARTESIAN_POINT('',(37.293063,37.004853,0.)); #447836=CARTESIAN_POINT('Origin',(37.187842029785,36.7503130782902,0.)); #447837=CARTESIAN_POINT('',(37.293063,37.004853,0.035)); #447838=CARTESIAN_POINT('Origin',(37.187842029785,36.7503130782902,0.035)); #447839=CARTESIAN_POINT('',(37.293063,37.004853,0.)); #447840=CARTESIAN_POINT('Origin',(37.1876441326569,36.7503951698111,0.)); #447841=CARTESIAN_POINT('',(37.104819,36.487713,0.)); #447842=CARTESIAN_POINT('Origin',(37.1876441326569,36.7503951698111,0.)); #447843=CARTESIAN_POINT('',(37.104819,36.487713,0.035)); #447844=CARTESIAN_POINT('Origin',(37.1876441326569,36.7503951698111,0.035)); #447845=CARTESIAN_POINT('',(37.104819,36.487713,0.)); #447846=CARTESIAN_POINT('Origin',(35.1895,34.572394,0.)); #447847=CARTESIAN_POINT('',(35.1895,34.572394,0.)); #447848=CARTESIAN_POINT('',(35.1895,34.572394,0.)); #447849=CARTESIAN_POINT('',(35.1895,34.572394,0.035)); #447850=CARTESIAN_POINT('',(35.1895,34.572394,0.035)); #447851=CARTESIAN_POINT('',(35.1895,34.572394,0.)); #447852=CARTESIAN_POINT('Origin',(35.1895,23.3125,0.)); #447853=CARTESIAN_POINT('',(35.1895,23.3125,0.)); #447854=CARTESIAN_POINT('',(35.1895,23.3125,0.)); #447855=CARTESIAN_POINT('',(35.1895,23.3125,0.035)); #447856=CARTESIAN_POINT('',(35.1895,23.3125,0.035)); #447857=CARTESIAN_POINT('',(35.1895,23.3125,0.)); #447858=CARTESIAN_POINT('Origin',(35.0624996912748,23.3124992502996,0.)); #447859=CARTESIAN_POINT('',(35.152303,23.222697,0.)); #447860=CARTESIAN_POINT('Origin',(35.0624996912748,23.3124992502996,0.)); #447861=CARTESIAN_POINT('',(35.152303,23.222697,0.035)); #447862=CARTESIAN_POINT('Origin',(35.0624996912748,23.3124992502996,0.035)); #447863=CARTESIAN_POINT('',(35.152303,23.222697,0.)); #447864=CARTESIAN_POINT('Origin',(33.877,21.947394,0.)); #447865=CARTESIAN_POINT('',(33.877,21.947394,0.)); #447866=CARTESIAN_POINT('',(33.877,21.947394,0.)); #447867=CARTESIAN_POINT('',(33.877,21.947394,0.035)); #447868=CARTESIAN_POINT('',(33.877,21.947394,0.035)); #447869=CARTESIAN_POINT('',(33.877,21.947394,0.)); #447870=CARTESIAN_POINT('Origin',(33.877,20.7125,0.)); #447871=CARTESIAN_POINT('',(33.877,20.7125,0.)); #447872=CARTESIAN_POINT('',(33.877,20.7125,0.)); #447873=CARTESIAN_POINT('',(33.877,20.7125,0.035)); #447874=CARTESIAN_POINT('',(33.877,20.7125,0.035)); #447875=CARTESIAN_POINT('',(33.877,20.7125,0.)); #447876=CARTESIAN_POINT('Origin',(33.8875,20.7125,0.)); #447877=CARTESIAN_POINT('',(33.8875,20.7125,0.)); #447878=CARTESIAN_POINT('',(33.8875,20.7125,0.035)); #447879=CARTESIAN_POINT('Origin',(35.0808402986951,28.7489295320817,0.035)); #447880=CARTESIAN_POINT('Origin',(35.0808402986951,28.7489295320817,0.)); #447881=CARTESIAN_POINT('Origin',(29.25,36.75,-200.)); #447882=CARTESIAN_POINT('',(29.11,36.75,0.)); #447883=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #447884=CARTESIAN_POINT('',(29.11,36.75,0.0349999999999895)); #447885=CARTESIAN_POINT('',(29.11,36.75,-200.)); #447886=CARTESIAN_POINT('Origin',(29.25,36.75,0.0349999999999895)); #447887=CARTESIAN_POINT('Origin',(29.271644,36.475,0.)); #447888=CARTESIAN_POINT('',(29.271644,36.475,0.)); #447889=CARTESIAN_POINT('',(29.314397,36.481772,0.)); #447890=CARTESIAN_POINT('',(29.271644,36.475,0.)); #447891=CARTESIAN_POINT('',(29.314397,36.481772,0.035)); #447892=CARTESIAN_POINT('',(29.314397,36.481772,0.)); #447893=CARTESIAN_POINT('',(29.271644,36.475,0.035)); #447894=CARTESIAN_POINT('',(29.271644,36.475,0.035)); #447895=CARTESIAN_POINT('',(29.271644,36.475,0.)); #447896=CARTESIAN_POINT('Origin',(29.250666927461,36.7496305438086,0.)); #447897=CARTESIAN_POINT('',(29.006072,36.623,0.)); #447898=CARTESIAN_POINT('Origin',(29.250666927461,36.7496305438086,0.)); #447899=CARTESIAN_POINT('',(29.006072,36.623,0.035)); #447900=CARTESIAN_POINT('Origin',(29.250666927461,36.7496305438086,0.035)); #447901=CARTESIAN_POINT('',(29.006072,36.623,0.)); #447902=CARTESIAN_POINT('Origin',(27.469663,36.623,0.)); #447903=CARTESIAN_POINT('',(27.469663,36.623,0.)); #447904=CARTESIAN_POINT('',(27.469663,36.623,0.)); #447905=CARTESIAN_POINT('',(27.469663,36.623,0.035)); #447906=CARTESIAN_POINT('',(27.469663,36.623,0.035)); #447907=CARTESIAN_POINT('',(27.469663,36.623,0.)); #447908=CARTESIAN_POINT('Origin',(27.4696617502996,36.750001515832,0.)); #447909=CARTESIAN_POINT('',(27.379859,36.660197,0.)); #447910=CARTESIAN_POINT('Origin',(27.4696617502996,36.750001515832,0.)); #447911=CARTESIAN_POINT('',(27.379859,36.660197,0.035)); #447912=CARTESIAN_POINT('Origin',(27.4696617502996,36.750001515832,0.035)); #447913=CARTESIAN_POINT('',(27.379859,36.660197,0.)); #447914=CARTESIAN_POINT('Origin',(24.221156,39.8189,0.)); #447915=CARTESIAN_POINT('',(24.221156,39.8189,0.)); #447916=CARTESIAN_POINT('',(24.221156,39.8189,0.)); #447917=CARTESIAN_POINT('',(24.221156,39.8189,0.035)); #447918=CARTESIAN_POINT('',(24.221156,39.8189,0.035)); #447919=CARTESIAN_POINT('',(24.221156,39.8189,0.)); #447920=CARTESIAN_POINT('Origin',(23.5555,39.8189,0.)); #447921=CARTESIAN_POINT('',(23.5555,39.8189,0.)); #447922=CARTESIAN_POINT('',(23.5555,39.8189,0.)); #447923=CARTESIAN_POINT('',(23.5555,39.8189,0.035)); #447924=CARTESIAN_POINT('',(23.5555,39.8189,0.035)); #447925=CARTESIAN_POINT('',(23.5555,39.8189,0.)); #447926=CARTESIAN_POINT('Origin',(23.5555,40.6571,0.)); #447927=CARTESIAN_POINT('',(23.5555,40.6571,0.)); #447928=CARTESIAN_POINT('',(23.5555,40.6571,0.)); #447929=CARTESIAN_POINT('',(23.5555,40.6571,0.035)); #447930=CARTESIAN_POINT('',(23.5555,40.6571,0.035)); #447931=CARTESIAN_POINT('',(23.5555,40.6571,0.)); #447932=CARTESIAN_POINT('Origin',(24.4445,40.6571,0.)); #447933=CARTESIAN_POINT('',(24.4445,40.6571,0.)); #447934=CARTESIAN_POINT('',(24.4445,40.6571,0.)); #447935=CARTESIAN_POINT('',(24.4445,40.6571,0.035)); #447936=CARTESIAN_POINT('',(24.4445,40.6571,0.035)); #447937=CARTESIAN_POINT('',(24.4445,40.6571,0.)); #447938=CARTESIAN_POINT('Origin',(24.4445,39.954769,0.)); #447939=CARTESIAN_POINT('',(24.4445,39.954769,0.)); #447940=CARTESIAN_POINT('',(24.4445,39.954769,0.)); #447941=CARTESIAN_POINT('',(24.4445,39.954769,0.035)); #447942=CARTESIAN_POINT('',(24.4445,39.954769,0.035)); #447943=CARTESIAN_POINT('',(24.4445,39.954769,0.)); #447944=CARTESIAN_POINT('Origin',(27.522269,36.877,0.)); #447945=CARTESIAN_POINT('',(27.522269,36.877,0.)); #447946=CARTESIAN_POINT('',(27.522269,36.877,0.)); #447947=CARTESIAN_POINT('',(27.522269,36.877,0.035)); #447948=CARTESIAN_POINT('',(27.522269,36.877,0.035)); #447949=CARTESIAN_POINT('',(27.522269,36.877,0.)); #447950=CARTESIAN_POINT('Origin',(29.006072,36.877,0.)); #447951=CARTESIAN_POINT('',(29.006072,36.877,0.)); #447952=CARTESIAN_POINT('',(29.006072,36.877,0.)); #447953=CARTESIAN_POINT('',(29.006072,36.877,0.035)); #447954=CARTESIAN_POINT('',(29.006072,36.877,0.035)); #447955=CARTESIAN_POINT('',(29.006072,36.877,0.)); #447956=CARTESIAN_POINT('Origin',(29.2507991253016,36.7506246242954,0.)); #447957=CARTESIAN_POINT('',(29.185603,37.018228,0.)); #447958=CARTESIAN_POINT('Origin',(29.2507991253016,36.7506246242954,0.)); #447959=CARTESIAN_POINT('',(29.185603,37.018228,0.035)); #447960=CARTESIAN_POINT('Origin',(29.2507991253016,36.7506246242954,0.035)); #447961=CARTESIAN_POINT('',(29.185603,37.018228,0.)); #447962=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #447963=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #447964=CARTESIAN_POINT('Origin',(29.25,36.75,0.035)); #447965=CARTESIAN_POINT('Origin',(26.2713112756416,38.2541109693282,0.035)); #447966=CARTESIAN_POINT('Origin',(26.2713112756416,38.2541109693282,0.)); #447967=CARTESIAN_POINT('Origin',(30.8125,36.75,-200.)); #447968=CARTESIAN_POINT('',(30.6725,36.75,0.)); #447969=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #447970=CARTESIAN_POINT('',(30.6725,36.75,0.0349999999999895)); #447971=CARTESIAN_POINT('',(30.6725,36.75,-200.)); #447972=CARTESIAN_POINT('Origin',(30.8125,36.75,0.0349999999999895)); #447973=CARTESIAN_POINT('Origin',(33.3875,20.0875,0.)); #447974=CARTESIAN_POINT('',(33.3875,20.0875,0.)); #447975=CARTESIAN_POINT('',(33.3875,20.7125,0.)); #447976=CARTESIAN_POINT('',(33.3875,20.0875,0.)); #447977=CARTESIAN_POINT('',(33.3875,20.7125,0.035)); #447978=CARTESIAN_POINT('',(33.3875,20.7125,0.)); #447979=CARTESIAN_POINT('',(33.3875,20.0875,0.035)); #447980=CARTESIAN_POINT('',(33.3875,20.0875,0.035)); #447981=CARTESIAN_POINT('',(33.3875,20.0875,0.)); #447982=CARTESIAN_POINT('Origin',(33.1125,20.0875,0.)); #447983=CARTESIAN_POINT('',(33.1125,20.0875,0.)); #447984=CARTESIAN_POINT('',(33.1125,20.0875,0.)); #447985=CARTESIAN_POINT('',(33.1125,20.0875,0.035)); #447986=CARTESIAN_POINT('',(33.1125,20.0875,0.035)); #447987=CARTESIAN_POINT('',(33.1125,20.0875,0.)); #447988=CARTESIAN_POINT('Origin',(33.1125,20.7125,0.)); #447989=CARTESIAN_POINT('',(33.1125,20.7125,0.)); #447990=CARTESIAN_POINT('',(33.1125,20.7125,0.)); #447991=CARTESIAN_POINT('',(33.1125,20.7125,0.035)); #447992=CARTESIAN_POINT('',(33.1125,20.7125,0.035)); #447993=CARTESIAN_POINT('',(33.1125,20.7125,0.)); #447994=CARTESIAN_POINT('Origin',(33.123,20.7125,0.)); #447995=CARTESIAN_POINT('',(33.123,20.7125,0.)); #447996=CARTESIAN_POINT('',(33.123,20.7125,0.)); #447997=CARTESIAN_POINT('',(33.123,20.7125,0.035)); #447998=CARTESIAN_POINT('',(33.123,20.7125,0.035)); #447999=CARTESIAN_POINT('',(33.123,20.7125,0.)); #448000=CARTESIAN_POINT('Origin',(33.123,21.947394,0.)); #448001=CARTESIAN_POINT('',(33.123,21.947394,0.)); #448002=CARTESIAN_POINT('',(33.123,21.947394,0.)); #448003=CARTESIAN_POINT('',(33.123,21.947394,0.035)); #448004=CARTESIAN_POINT('',(33.123,21.947394,0.035)); #448005=CARTESIAN_POINT('',(33.123,21.947394,0.)); #448006=CARTESIAN_POINT('Origin',(32.847697,22.222697,0.)); #448007=CARTESIAN_POINT('',(32.847697,22.222697,0.)); #448008=CARTESIAN_POINT('',(32.847697,22.222697,0.)); #448009=CARTESIAN_POINT('',(32.847697,22.222697,0.035)); #448010=CARTESIAN_POINT('',(32.847697,22.222697,0.035)); #448011=CARTESIAN_POINT('',(32.847697,22.222697,0.)); #448012=CARTESIAN_POINT('Origin',(32.9375003087252,22.3124992502996,0.)); #448013=CARTESIAN_POINT('',(32.8105,22.3125,0.)); #448014=CARTESIAN_POINT('Origin',(32.9375003087252,22.3124992502996,0.)); #448015=CARTESIAN_POINT('',(32.8105,22.3125,0.035)); #448016=CARTESIAN_POINT('Origin',(32.9375003087252,22.3124992502996,0.035)); #448017=CARTESIAN_POINT('',(32.8105,22.3125,0.)); #448018=CARTESIAN_POINT('Origin',(32.8105,34.572394,0.)); #448019=CARTESIAN_POINT('',(32.8105,34.572394,0.)); #448020=CARTESIAN_POINT('',(32.8105,34.572394,0.)); #448021=CARTESIAN_POINT('',(32.8105,34.572394,0.035)); #448022=CARTESIAN_POINT('',(32.8105,34.572394,0.035)); #448023=CARTESIAN_POINT('',(32.8105,34.572394,0.)); #448024=CARTESIAN_POINT('Origin',(30.895181,36.487713,0.)); #448025=CARTESIAN_POINT('',(30.895181,36.487713,0.)); #448026=CARTESIAN_POINT('',(30.895181,36.487713,0.)); #448027=CARTESIAN_POINT('',(30.895181,36.487713,0.035)); #448028=CARTESIAN_POINT('',(30.895181,36.487713,0.035)); #448029=CARTESIAN_POINT('',(30.895181,36.487713,0.)); #448030=CARTESIAN_POINT('Origin',(30.8121869217098,36.750342029785,0.)); #448031=CARTESIAN_POINT('',(30.557647,36.855563,0.)); #448032=CARTESIAN_POINT('Origin',(30.8121869217098,36.750342029785,0.)); #448033=CARTESIAN_POINT('',(30.557647,36.855563,0.035)); #448034=CARTESIAN_POINT('Origin',(30.8121869217098,36.750342029785,0.035)); #448035=CARTESIAN_POINT('',(30.557647,36.855563,0.)); #448036=CARTESIAN_POINT('Origin',(30.8121053301889,36.750144110812,0.)); #448037=CARTESIAN_POINT('',(31.074788,36.667319,0.)); #448038=CARTESIAN_POINT('Origin',(30.8121053301889,36.750144110812,0.)); #448039=CARTESIAN_POINT('',(31.074788,36.667319,0.035)); #448040=CARTESIAN_POINT('Origin',(30.8121053301889,36.750144110812,0.035)); #448041=CARTESIAN_POINT('',(31.074788,36.667319,0.)); #448042=CARTESIAN_POINT('Origin',(33.027303,34.714803,0.)); #448043=CARTESIAN_POINT('',(33.027303,34.714803,0.)); #448044=CARTESIAN_POINT('',(33.027303,34.714803,0.)); #448045=CARTESIAN_POINT('',(33.027303,34.714803,0.035)); #448046=CARTESIAN_POINT('',(33.027303,34.714803,0.035)); #448047=CARTESIAN_POINT('',(33.027303,34.714803,0.)); #448048=CARTESIAN_POINT('Origin',(32.9374996912748,34.6250007497004,0.)); #448049=CARTESIAN_POINT('',(33.0645,34.625,0.)); #448050=CARTESIAN_POINT('Origin',(32.9374996912748,34.6250007497004,0.)); #448051=CARTESIAN_POINT('',(33.0645,34.625,0.035)); #448052=CARTESIAN_POINT('Origin',(32.9374996912748,34.6250007497004,0.035)); #448053=CARTESIAN_POINT('',(33.0645,34.625,0.)); #448054=CARTESIAN_POINT('Origin',(33.0645,22.365106,0.)); #448055=CARTESIAN_POINT('',(33.0645,22.365106,0.)); #448056=CARTESIAN_POINT('',(33.0645,22.365106,0.)); #448057=CARTESIAN_POINT('',(33.0645,22.365106,0.035)); #448058=CARTESIAN_POINT('',(33.0645,22.365106,0.035)); #448059=CARTESIAN_POINT('',(33.0645,22.365106,0.)); #448060=CARTESIAN_POINT('Origin',(33.339803,22.089803,0.)); #448061=CARTESIAN_POINT('',(33.339803,22.089803,0.)); #448062=CARTESIAN_POINT('',(33.339803,22.089803,0.)); #448063=CARTESIAN_POINT('',(33.339803,22.089803,0.035)); #448064=CARTESIAN_POINT('',(33.339803,22.089803,0.035)); #448065=CARTESIAN_POINT('',(33.339803,22.089803,0.)); #448066=CARTESIAN_POINT('Origin',(33.2499996912748,22.0000007497004,0.)); #448067=CARTESIAN_POINT('',(33.377,22.,0.)); #448068=CARTESIAN_POINT('Origin',(33.2499996912748,22.0000007497004,0.)); #448069=CARTESIAN_POINT('',(33.377,22.,0.035)); #448070=CARTESIAN_POINT('Origin',(33.2499996912748,22.0000007497004,0.035)); #448071=CARTESIAN_POINT('',(33.377,22.,0.)); #448072=CARTESIAN_POINT('Origin',(33.377,20.7125,0.)); #448073=CARTESIAN_POINT('',(33.377,20.7125,0.)); #448074=CARTESIAN_POINT('',(33.377,20.7125,0.)); #448075=CARTESIAN_POINT('',(33.377,20.7125,0.035)); #448076=CARTESIAN_POINT('',(33.377,20.7125,0.035)); #448077=CARTESIAN_POINT('',(33.377,20.7125,0.)); #448078=CARTESIAN_POINT('Origin',(33.3875,20.7125,0.)); #448079=CARTESIAN_POINT('',(33.3875,20.7125,0.)); #448080=CARTESIAN_POINT('',(33.3875,20.7125,0.035)); #448081=CARTESIAN_POINT('Origin',(32.7432740039159,28.883194587198,0.035)); #448082=CARTESIAN_POINT('Origin',(32.7432740039159,28.883194587198,0.)); #448083=CARTESIAN_POINT('Origin',(39.25,18.25,-200.)); #448084=CARTESIAN_POINT('',(39.11,18.25,0.)); #448085=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #448086=CARTESIAN_POINT('',(39.11,18.25,0.0349999999999895)); #448087=CARTESIAN_POINT('',(39.11,18.25,-200.)); #448088=CARTESIAN_POINT('Origin',(39.25,18.25,0.0349999999999895)); #448089=CARTESIAN_POINT('Origin',(39.271644,17.975,0.)); #448090=CARTESIAN_POINT('',(39.271644,17.975,0.)); #448091=CARTESIAN_POINT('',(39.314397,17.981772,0.)); #448092=CARTESIAN_POINT('',(39.271644,17.975,0.)); #448093=CARTESIAN_POINT('',(39.314397,17.981772,0.035)); #448094=CARTESIAN_POINT('',(39.314397,17.981772,0.)); #448095=CARTESIAN_POINT('',(39.271644,17.975,0.035)); #448096=CARTESIAN_POINT('',(39.271644,17.975,0.035)); #448097=CARTESIAN_POINT('',(39.271644,17.975,0.)); #448098=CARTESIAN_POINT('Origin',(39.250666927461,18.2496305438086,0.)); #448099=CARTESIAN_POINT('',(39.006072,18.123,0.)); #448100=CARTESIAN_POINT('Origin',(39.250666927461,18.2496305438086,0.)); #448101=CARTESIAN_POINT('',(39.006072,18.123,0.035)); #448102=CARTESIAN_POINT('Origin',(39.250666927461,18.2496305438086,0.035)); #448103=CARTESIAN_POINT('',(39.006072,18.123,0.)); #448104=CARTESIAN_POINT('Origin',(37.7125,18.123,0.)); #448105=CARTESIAN_POINT('',(37.7125,18.123,0.)); #448106=CARTESIAN_POINT('',(37.7125,18.123,0.)); #448107=CARTESIAN_POINT('',(37.7125,18.123,0.035)); #448108=CARTESIAN_POINT('',(37.7125,18.123,0.035)); #448109=CARTESIAN_POINT('',(37.7125,18.123,0.)); #448110=CARTESIAN_POINT('Origin',(37.7125,18.1125,0.)); #448111=CARTESIAN_POINT('',(37.7125,18.1125,0.)); #448112=CARTESIAN_POINT('',(37.7125,18.1125,0.)); #448113=CARTESIAN_POINT('',(37.7125,18.1125,0.035)); #448114=CARTESIAN_POINT('',(37.7125,18.1125,0.035)); #448115=CARTESIAN_POINT('',(37.7125,18.1125,0.)); #448116=CARTESIAN_POINT('Origin',(37.0875,18.1125,0.)); #448117=CARTESIAN_POINT('',(37.0875,18.1125,0.)); #448118=CARTESIAN_POINT('',(37.0875,18.1125,0.)); #448119=CARTESIAN_POINT('',(37.0875,18.1125,0.035)); #448120=CARTESIAN_POINT('',(37.0875,18.1125,0.035)); #448121=CARTESIAN_POINT('',(37.0875,18.1125,0.)); #448122=CARTESIAN_POINT('Origin',(37.0875,18.3875,0.)); #448123=CARTESIAN_POINT('',(37.0875,18.3875,0.)); #448124=CARTESIAN_POINT('',(37.0875,18.3875,0.)); #448125=CARTESIAN_POINT('',(37.0875,18.3875,0.035)); #448126=CARTESIAN_POINT('',(37.0875,18.3875,0.035)); #448127=CARTESIAN_POINT('',(37.0875,18.3875,0.)); #448128=CARTESIAN_POINT('Origin',(37.7125,18.3875,0.)); #448129=CARTESIAN_POINT('',(37.7125,18.3875,0.)); #448130=CARTESIAN_POINT('',(37.7125,18.3875,0.)); #448131=CARTESIAN_POINT('',(37.7125,18.3875,0.035)); #448132=CARTESIAN_POINT('',(37.7125,18.3875,0.035)); #448133=CARTESIAN_POINT('',(37.7125,18.3875,0.)); #448134=CARTESIAN_POINT('Origin',(37.7125,18.377,0.)); #448135=CARTESIAN_POINT('',(37.7125,18.377,0.)); #448136=CARTESIAN_POINT('',(37.7125,18.377,0.)); #448137=CARTESIAN_POINT('',(37.7125,18.377,0.035)); #448138=CARTESIAN_POINT('',(37.7125,18.377,0.035)); #448139=CARTESIAN_POINT('',(37.7125,18.377,0.)); #448140=CARTESIAN_POINT('Origin',(39.006072,18.377,0.)); #448141=CARTESIAN_POINT('',(39.006072,18.377,0.)); #448142=CARTESIAN_POINT('',(39.006072,18.377,0.)); #448143=CARTESIAN_POINT('',(39.006072,18.377,0.035)); #448144=CARTESIAN_POINT('',(39.006072,18.377,0.035)); #448145=CARTESIAN_POINT('',(39.006072,18.377,0.)); #448146=CARTESIAN_POINT('Origin',(39.2507991253016,18.2506246242954,0.)); #448147=CARTESIAN_POINT('',(39.185603,18.518228,0.)); #448148=CARTESIAN_POINT('Origin',(39.2507991253016,18.2506246242954,0.)); #448149=CARTESIAN_POINT('',(39.185603,18.518228,0.035)); #448150=CARTESIAN_POINT('Origin',(39.2507991253016,18.2506246242954,0.035)); #448151=CARTESIAN_POINT('',(39.185603,18.518228,0.)); #448152=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #448153=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #448154=CARTESIAN_POINT('Origin',(39.25,18.25,0.035)); #448155=CARTESIAN_POINT('Origin',(38.3238296826989,18.2494913580074,0.035)); #448156=CARTESIAN_POINT('Origin',(38.3238296826989,18.2494913580074,0.)); #448157=CARTESIAN_POINT('Origin',(42.5,16.,-200.)); #448158=CARTESIAN_POINT('',(42.36,16.,0.)); #448159=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #448160=CARTESIAN_POINT('',(42.36,16.,0.0349999999999895)); #448161=CARTESIAN_POINT('',(42.36,16.,-200.)); #448162=CARTESIAN_POINT('Origin',(42.5,16.,0.0349999999999895)); #448163=CARTESIAN_POINT('Origin',(42.521644,15.725,0.)); #448164=CARTESIAN_POINT('',(42.521644,15.725,0.)); #448165=CARTESIAN_POINT('',(42.564397,15.731772,0.)); #448166=CARTESIAN_POINT('',(42.521644,15.725,0.)); #448167=CARTESIAN_POINT('',(42.564397,15.731772,0.035)); #448168=CARTESIAN_POINT('',(42.564397,15.731772,0.)); #448169=CARTESIAN_POINT('',(42.521644,15.725,0.035)); #448170=CARTESIAN_POINT('',(42.521644,15.725,0.035)); #448171=CARTESIAN_POINT('',(42.521644,15.725,0.)); #448172=CARTESIAN_POINT('Origin',(42.5000003953475,16.0000004229918,0.)); #448173=CARTESIAN_POINT('',(42.245147,15.894438,0.)); #448174=CARTESIAN_POINT('Origin',(42.5000003953475,16.0000004229918,0.)); #448175=CARTESIAN_POINT('',(42.245147,15.894438,0.035)); #448176=CARTESIAN_POINT('Origin',(42.5000003953475,16.0000004229918,0.035)); #448177=CARTESIAN_POINT('',(42.245147,15.894438,0.)); #448178=CARTESIAN_POINT('Origin',(42.4996182367391,15.9998222285952,0.)); #448179=CARTESIAN_POINT('',(42.743928,16.127,0.)); #448180=CARTESIAN_POINT('Origin',(42.4996182367391,15.9998222285952,0.)); #448181=CARTESIAN_POINT('',(42.743928,16.127,0.035)); #448182=CARTESIAN_POINT('Origin',(42.4996182367391,15.9998222285952,0.035)); #448183=CARTESIAN_POINT('',(42.743928,16.127,0.)); #448184=CARTESIAN_POINT('Origin',(43.1,16.127,0.)); #448185=CARTESIAN_POINT('',(43.1,16.127,0.)); #448186=CARTESIAN_POINT('',(43.1,16.127,0.)); #448187=CARTESIAN_POINT('',(43.1,16.127,0.035)); #448188=CARTESIAN_POINT('',(43.1,16.127,0.035)); #448189=CARTESIAN_POINT('',(43.1,16.127,0.)); #448190=CARTESIAN_POINT('Origin',(43.1,16.225,0.)); #448191=CARTESIAN_POINT('',(43.1,16.225,0.)); #448192=CARTESIAN_POINT('',(43.1,16.225,0.)); #448193=CARTESIAN_POINT('',(43.1,16.225,0.035)); #448194=CARTESIAN_POINT('',(43.1,16.225,0.035)); #448195=CARTESIAN_POINT('',(43.1,16.225,0.)); #448196=CARTESIAN_POINT('Origin',(43.9,16.225,0.)); #448197=CARTESIAN_POINT('',(43.9,16.225,0.)); #448198=CARTESIAN_POINT('',(43.9,16.225,0.)); #448199=CARTESIAN_POINT('',(43.9,16.225,0.035)); #448200=CARTESIAN_POINT('',(43.9,16.225,0.035)); #448201=CARTESIAN_POINT('',(43.9,16.225,0.)); #448202=CARTESIAN_POINT('Origin',(43.9,15.825,0.)); #448203=CARTESIAN_POINT('',(43.9,15.825,0.)); #448204=CARTESIAN_POINT('',(43.9,15.825,0.)); #448205=CARTESIAN_POINT('',(43.9,15.825,0.035)); #448206=CARTESIAN_POINT('',(43.9,15.825,0.035)); #448207=CARTESIAN_POINT('',(43.9,15.825,0.)); #448208=CARTESIAN_POINT('Origin',(43.1,15.825,0.)); #448209=CARTESIAN_POINT('',(43.1,15.825,0.)); #448210=CARTESIAN_POINT('',(43.1,15.825,0.)); #448211=CARTESIAN_POINT('',(43.1,15.825,0.035)); #448212=CARTESIAN_POINT('',(43.1,15.825,0.035)); #448213=CARTESIAN_POINT('',(43.1,15.825,0.)); #448214=CARTESIAN_POINT('Origin',(43.1,15.873,0.)); #448215=CARTESIAN_POINT('',(43.1,15.873,0.)); #448216=CARTESIAN_POINT('',(43.1,15.873,0.)); #448217=CARTESIAN_POINT('',(43.1,15.873,0.035)); #448218=CARTESIAN_POINT('',(43.1,15.873,0.035)); #448219=CARTESIAN_POINT('',(43.1,15.873,0.)); #448220=CARTESIAN_POINT('Origin',(42.743928,15.873,0.)); #448221=CARTESIAN_POINT('',(42.743928,15.873,0.)); #448222=CARTESIAN_POINT('',(42.743928,15.873,0.)); #448223=CARTESIAN_POINT('',(42.743928,15.873,0.035)); #448224=CARTESIAN_POINT('',(42.743928,15.873,0.035)); #448225=CARTESIAN_POINT('',(42.743928,15.873,0.)); #448226=CARTESIAN_POINT('Origin',(42.4992008746985,15.9993753757046,0.)); #448227=CARTESIAN_POINT('Origin',(42.4992008746985,15.9993753757046,0.)); #448228=CARTESIAN_POINT('Origin',(42.4992008746985,15.9993753757046,0.035)); #448229=CARTESIAN_POINT('Origin',(43.074317921452,16.0111704677677,0.035)); #448230=CARTESIAN_POINT('Origin',(43.074317921452,16.0111704677677,0.)); #448231=CARTESIAN_POINT('Origin',(23.905,49.75,-200.)); #448232=CARTESIAN_POINT('',(23.765,49.75,0.)); #448233=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #448234=CARTESIAN_POINT('',(23.765,49.75,0.0349999999999895)); #448235=CARTESIAN_POINT('',(23.765,49.75,-200.)); #448236=CARTESIAN_POINT('Origin',(23.905,49.75,0.0349999999999895)); #448237=CARTESIAN_POINT('Origin',(20.4445,41.3429,0.)); #448238=CARTESIAN_POINT('',(20.4445,41.3429,0.)); #448239=CARTESIAN_POINT('',(20.4445,42.014894,0.)); #448240=CARTESIAN_POINT('',(20.4445,41.3429,0.)); #448241=CARTESIAN_POINT('',(20.4445,42.014894,0.035)); #448242=CARTESIAN_POINT('',(20.4445,42.014894,0.)); #448243=CARTESIAN_POINT('',(20.4445,41.3429,0.035)); #448244=CARTESIAN_POINT('',(20.4445,41.3429,0.035)); #448245=CARTESIAN_POINT('',(20.4445,41.3429,0.)); #448246=CARTESIAN_POINT('Origin',(19.5555,41.3429,0.)); #448247=CARTESIAN_POINT('',(19.5555,41.3429,0.)); #448248=CARTESIAN_POINT('',(19.5555,41.3429,0.)); #448249=CARTESIAN_POINT('',(19.5555,41.3429,0.035)); #448250=CARTESIAN_POINT('',(19.5555,41.3429,0.035)); #448251=CARTESIAN_POINT('',(19.5555,41.3429,0.)); #448252=CARTESIAN_POINT('Origin',(19.5555,42.1811,0.)); #448253=CARTESIAN_POINT('',(19.5555,42.1811,0.)); #448254=CARTESIAN_POINT('',(19.5555,42.1811,0.)); #448255=CARTESIAN_POINT('',(19.5555,42.1811,0.035)); #448256=CARTESIAN_POINT('',(19.5555,42.1811,0.035)); #448257=CARTESIAN_POINT('',(19.5555,42.1811,0.)); #448258=CARTESIAN_POINT('Origin',(20.251494,42.1811,0.)); #448259=CARTESIAN_POINT('',(20.251494,42.1811,0.)); #448260=CARTESIAN_POINT('',(20.251494,42.1811,0.)); #448261=CARTESIAN_POINT('',(20.251494,42.1811,0.035)); #448262=CARTESIAN_POINT('',(20.251494,42.1811,0.035)); #448263=CARTESIAN_POINT('',(20.251494,42.1811,0.)); #448264=CARTESIAN_POINT('Origin',(20.660197,42.589803,0.)); #448265=CARTESIAN_POINT('',(20.660197,42.589803,0.)); #448266=CARTESIAN_POINT('',(20.660197,42.589803,0.)); #448267=CARTESIAN_POINT('',(20.660197,42.589803,0.035)); #448268=CARTESIAN_POINT('',(20.660197,42.589803,0.035)); #448269=CARTESIAN_POINT('',(20.660197,42.589803,0.)); #448270=CARTESIAN_POINT('Origin',(20.7499992502996,42.4999996912748,0.)); #448271=CARTESIAN_POINT('',(20.75,42.627,0.)); #448272=CARTESIAN_POINT('Origin',(20.7499992502996,42.4999996912748,0.)); #448273=CARTESIAN_POINT('',(20.75,42.627,0.035)); #448274=CARTESIAN_POINT('Origin',(20.7499992502996,42.4999996912748,0.035)); #448275=CARTESIAN_POINT('',(20.75,42.627,0.)); #448276=CARTESIAN_POINT('Origin',(24.197394,42.627,0.)); #448277=CARTESIAN_POINT('',(24.197394,42.627,0.)); #448278=CARTESIAN_POINT('',(24.197394,42.627,0.)); #448279=CARTESIAN_POINT('',(24.197394,42.627,0.035)); #448280=CARTESIAN_POINT('',(24.197394,42.627,0.035)); #448281=CARTESIAN_POINT('',(24.197394,42.627,0.)); #448282=CARTESIAN_POINT('Origin',(24.873,43.302606,0.)); #448283=CARTESIAN_POINT('',(24.873,43.302606,0.)); #448284=CARTESIAN_POINT('',(24.873,43.302606,0.)); #448285=CARTESIAN_POINT('',(24.873,43.302606,0.035)); #448286=CARTESIAN_POINT('',(24.873,43.302606,0.035)); #448287=CARTESIAN_POINT('',(24.873,43.302606,0.)); #448288=CARTESIAN_POINT('Origin',(24.873,49.197394,0.)); #448289=CARTESIAN_POINT('',(24.873,49.197394,0.)); #448290=CARTESIAN_POINT('',(24.873,49.197394,0.)); #448291=CARTESIAN_POINT('',(24.873,49.197394,0.035)); #448292=CARTESIAN_POINT('',(24.873,49.197394,0.035)); #448293=CARTESIAN_POINT('',(24.873,49.197394,0.)); #448294=CARTESIAN_POINT('Origin',(24.447394,49.623,0.)); #448295=CARTESIAN_POINT('',(24.447394,49.623,0.)); #448296=CARTESIAN_POINT('',(24.447394,49.623,0.)); #448297=CARTESIAN_POINT('',(24.447394,49.623,0.035)); #448298=CARTESIAN_POINT('',(24.447394,49.623,0.035)); #448299=CARTESIAN_POINT('',(24.447394,49.623,0.)); #448300=CARTESIAN_POINT('Origin',(24.148928,49.623,0.)); #448301=CARTESIAN_POINT('',(24.148928,49.623,0.)); #448302=CARTESIAN_POINT('',(24.148928,49.623,0.)); #448303=CARTESIAN_POINT('',(24.148928,49.623,0.035)); #448304=CARTESIAN_POINT('',(24.148928,49.623,0.035)); #448305=CARTESIAN_POINT('',(24.148928,49.623,0.)); #448306=CARTESIAN_POINT('Origin',(23.9045362283063,49.7500204601791,0.)); #448307=CARTESIAN_POINT('',(23.650147,49.644438,0.)); #448308=CARTESIAN_POINT('Origin',(23.9045362283063,49.7500204601791,0.)); #448309=CARTESIAN_POINT('',(23.650147,49.644438,0.035)); #448310=CARTESIAN_POINT('Origin',(23.9045362283063,49.7500204601791,0.035)); #448311=CARTESIAN_POINT('',(23.650147,49.644438,0.)); #448312=CARTESIAN_POINT('Origin',(23.9046182367391,49.7498222285952,0.)); #448313=CARTESIAN_POINT('',(24.148928,49.877,0.)); #448314=CARTESIAN_POINT('Origin',(23.9046182367391,49.7498222285952,0.)); #448315=CARTESIAN_POINT('',(24.148928,49.877,0.035)); #448316=CARTESIAN_POINT('Origin',(23.9046182367391,49.7498222285952,0.035)); #448317=CARTESIAN_POINT('',(24.148928,49.877,0.)); #448318=CARTESIAN_POINT('Origin',(24.5,49.877,0.)); #448319=CARTESIAN_POINT('',(24.5,49.877,0.)); #448320=CARTESIAN_POINT('',(24.5,49.877,0.)); #448321=CARTESIAN_POINT('',(24.5,49.877,0.035)); #448322=CARTESIAN_POINT('',(24.5,49.877,0.035)); #448323=CARTESIAN_POINT('',(24.5,49.877,0.)); #448324=CARTESIAN_POINT('Origin',(24.5000007497004,49.7499996912748,0.)); #448325=CARTESIAN_POINT('',(24.589803,49.839803,0.)); #448326=CARTESIAN_POINT('Origin',(24.5000007497004,49.7499996912748,0.)); #448327=CARTESIAN_POINT('',(24.589803,49.839803,0.035)); #448328=CARTESIAN_POINT('Origin',(24.5000007497004,49.7499996912748,0.035)); #448329=CARTESIAN_POINT('',(24.589803,49.839803,0.)); #448330=CARTESIAN_POINT('Origin',(25.089803,49.339803,0.)); #448331=CARTESIAN_POINT('',(25.089803,49.339803,0.)); #448332=CARTESIAN_POINT('',(25.089803,49.339803,0.)); #448333=CARTESIAN_POINT('',(25.089803,49.339803,0.035)); #448334=CARTESIAN_POINT('',(25.089803,49.339803,0.035)); #448335=CARTESIAN_POINT('',(25.089803,49.339803,0.)); #448336=CARTESIAN_POINT('Origin',(24.9999996912748,49.2500007497004,0.)); #448337=CARTESIAN_POINT('',(25.127,49.25,0.)); #448338=CARTESIAN_POINT('Origin',(24.9999996912748,49.2500007497004,0.)); #448339=CARTESIAN_POINT('',(25.127,49.25,0.035)); #448340=CARTESIAN_POINT('Origin',(24.9999996912748,49.2500007497004,0.035)); #448341=CARTESIAN_POINT('',(25.127,49.25,0.)); #448342=CARTESIAN_POINT('Origin',(25.127,43.25,0.)); #448343=CARTESIAN_POINT('',(25.127,43.25,0.)); #448344=CARTESIAN_POINT('',(25.127,43.25,0.)); #448345=CARTESIAN_POINT('',(25.127,43.25,0.035)); #448346=CARTESIAN_POINT('',(25.127,43.25,0.035)); #448347=CARTESIAN_POINT('',(25.127,43.25,0.)); #448348=CARTESIAN_POINT('Origin',(24.9999996912748,43.2499992502996,0.)); #448349=CARTESIAN_POINT('',(25.089803,43.160197,0.)); #448350=CARTESIAN_POINT('Origin',(24.9999996912748,43.2499992502996,0.)); #448351=CARTESIAN_POINT('',(25.089803,43.160197,0.035)); #448352=CARTESIAN_POINT('Origin',(24.9999996912748,43.2499992502996,0.035)); #448353=CARTESIAN_POINT('',(25.089803,43.160197,0.)); #448354=CARTESIAN_POINT('Origin',(24.339803,42.410197,0.)); #448355=CARTESIAN_POINT('',(24.339803,42.410197,0.)); #448356=CARTESIAN_POINT('',(24.339803,42.410197,0.)); #448357=CARTESIAN_POINT('',(24.339803,42.410197,0.035)); #448358=CARTESIAN_POINT('',(24.339803,42.410197,0.035)); #448359=CARTESIAN_POINT('',(24.339803,42.410197,0.)); #448360=CARTESIAN_POINT('Origin',(24.2500007497004,42.5000003087252,0.)); #448361=CARTESIAN_POINT('',(24.25,42.373,0.)); #448362=CARTESIAN_POINT('Origin',(24.2500007497004,42.5000003087252,0.)); #448363=CARTESIAN_POINT('',(24.25,42.373,0.035)); #448364=CARTESIAN_POINT('Origin',(24.2500007497004,42.5000003087252,0.035)); #448365=CARTESIAN_POINT('',(24.25,42.373,0.)); #448366=CARTESIAN_POINT('Origin',(20.802606,42.373,0.)); #448367=CARTESIAN_POINT('',(20.802606,42.373,0.)); #448368=CARTESIAN_POINT('',(20.802606,42.373,0.)); #448369=CARTESIAN_POINT('',(20.802606,42.373,0.035)); #448370=CARTESIAN_POINT('',(20.802606,42.373,0.035)); #448371=CARTESIAN_POINT('',(20.802606,42.373,0.)); #448372=CARTESIAN_POINT('Origin',(20.4445,42.014894,0.)); #448373=CARTESIAN_POINT('',(20.4445,42.014894,0.)); #448374=CARTESIAN_POINT('',(20.4445,42.014894,0.035)); #448375=CARTESIAN_POINT('Origin',(23.5684057642852,44.8765832624027,0.035)); #448376=CARTESIAN_POINT('Origin',(23.5684057642852,44.8765832624027,0.)); #448377=CARTESIAN_POINT('Origin',(23.905,58.75,-200.)); #448378=CARTESIAN_POINT('',(23.765,58.75,0.)); #448379=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #448380=CARTESIAN_POINT('',(23.765,58.75,0.0349999999999895)); #448381=CARTESIAN_POINT('',(23.765,58.75,-200.)); #448382=CARTESIAN_POINT('Origin',(23.905,58.75,0.0349999999999895)); #448383=CARTESIAN_POINT('Origin',(24.4445,41.3429,0.)); #448384=CARTESIAN_POINT('',(24.4445,41.3429,0.)); #448385=CARTESIAN_POINT('',(24.4445,41.984556,0.)); #448386=CARTESIAN_POINT('',(24.4445,41.3429,0.)); #448387=CARTESIAN_POINT('',(24.4445,41.984556,0.035)); #448388=CARTESIAN_POINT('',(24.4445,41.984556,0.)); #448389=CARTESIAN_POINT('',(24.4445,41.3429,0.035)); #448390=CARTESIAN_POINT('',(24.4445,41.3429,0.035)); #448391=CARTESIAN_POINT('',(24.4445,41.3429,0.)); #448392=CARTESIAN_POINT('Origin',(23.5555,41.3429,0.)); #448393=CARTESIAN_POINT('',(23.5555,41.3429,0.)); #448394=CARTESIAN_POINT('',(23.5555,41.3429,0.)); #448395=CARTESIAN_POINT('',(23.5555,41.3429,0.035)); #448396=CARTESIAN_POINT('',(23.5555,41.3429,0.035)); #448397=CARTESIAN_POINT('',(23.5555,41.3429,0.)); #448398=CARTESIAN_POINT('Origin',(23.5555,42.1811,0.)); #448399=CARTESIAN_POINT('',(23.5555,42.1811,0.)); #448400=CARTESIAN_POINT('',(23.5555,42.1811,0.)); #448401=CARTESIAN_POINT('',(23.5555,42.1811,0.035)); #448402=CARTESIAN_POINT('',(23.5555,42.1811,0.035)); #448403=CARTESIAN_POINT('',(23.5555,42.1811,0.)); #448404=CARTESIAN_POINT('Origin',(24.281831,42.1811,0.)); #448405=CARTESIAN_POINT('',(24.281831,42.1811,0.)); #448406=CARTESIAN_POINT('',(24.281831,42.1811,0.)); #448407=CARTESIAN_POINT('',(24.281831,42.1811,0.035)); #448408=CARTESIAN_POINT('',(24.281831,42.1811,0.035)); #448409=CARTESIAN_POINT('',(24.281831,42.1811,0.)); #448410=CARTESIAN_POINT('Origin',(25.248,43.147269,0.)); #448411=CARTESIAN_POINT('',(25.248,43.147269,0.)); #448412=CARTESIAN_POINT('',(25.248,43.147269,0.)); #448413=CARTESIAN_POINT('',(25.248,43.147269,0.035)); #448414=CARTESIAN_POINT('',(25.248,43.147269,0.035)); #448415=CARTESIAN_POINT('',(25.248,43.147269,0.)); #448416=CARTESIAN_POINT('Origin',(25.248,57.822394,0.)); #448417=CARTESIAN_POINT('',(25.248,57.822394,0.)); #448418=CARTESIAN_POINT('',(25.248,57.822394,0.)); #448419=CARTESIAN_POINT('',(25.248,57.822394,0.035)); #448420=CARTESIAN_POINT('',(25.248,57.822394,0.035)); #448421=CARTESIAN_POINT('',(25.248,57.822394,0.)); #448422=CARTESIAN_POINT('Origin',(24.447394,58.623,0.)); #448423=CARTESIAN_POINT('',(24.447394,58.623,0.)); #448424=CARTESIAN_POINT('',(24.447394,58.623,0.)); #448425=CARTESIAN_POINT('',(24.447394,58.623,0.035)); #448426=CARTESIAN_POINT('',(24.447394,58.623,0.035)); #448427=CARTESIAN_POINT('',(24.447394,58.623,0.)); #448428=CARTESIAN_POINT('Origin',(24.148928,58.623,0.)); #448429=CARTESIAN_POINT('',(24.148928,58.623,0.)); #448430=CARTESIAN_POINT('',(24.148928,58.623,0.)); #448431=CARTESIAN_POINT('',(24.148928,58.623,0.035)); #448432=CARTESIAN_POINT('',(24.148928,58.623,0.035)); #448433=CARTESIAN_POINT('',(24.148928,58.623,0.)); #448434=CARTESIAN_POINT('Origin',(23.9045362283063,58.7500204601791,0.)); #448435=CARTESIAN_POINT('',(23.650147,58.644438,0.)); #448436=CARTESIAN_POINT('Origin',(23.9045362283063,58.7500204601791,0.)); #448437=CARTESIAN_POINT('',(23.650147,58.644438,0.035)); #448438=CARTESIAN_POINT('Origin',(23.9045362283063,58.7500204601791,0.035)); #448439=CARTESIAN_POINT('',(23.650147,58.644438,0.)); #448440=CARTESIAN_POINT('Origin',(23.9046182367391,58.7498222285952,0.)); #448441=CARTESIAN_POINT('',(24.148928,58.877,0.)); #448442=CARTESIAN_POINT('Origin',(23.9046182367391,58.7498222285952,0.)); #448443=CARTESIAN_POINT('',(24.148928,58.877,0.035)); #448444=CARTESIAN_POINT('Origin',(23.9046182367391,58.7498222285952,0.035)); #448445=CARTESIAN_POINT('',(24.148928,58.877,0.)); #448446=CARTESIAN_POINT('Origin',(24.5,58.877,0.)); #448447=CARTESIAN_POINT('',(24.5,58.877,0.)); #448448=CARTESIAN_POINT('',(24.5,58.877,0.)); #448449=CARTESIAN_POINT('',(24.5,58.877,0.035)); #448450=CARTESIAN_POINT('',(24.5,58.877,0.035)); #448451=CARTESIAN_POINT('',(24.5,58.877,0.)); #448452=CARTESIAN_POINT('Origin',(24.5000007497004,58.7499996912748,0.)); #448453=CARTESIAN_POINT('',(24.589803,58.839803,0.)); #448454=CARTESIAN_POINT('Origin',(24.5000007497004,58.7499996912748,0.)); #448455=CARTESIAN_POINT('',(24.589803,58.839803,0.035)); #448456=CARTESIAN_POINT('Origin',(24.5000007497004,58.7499996912748,0.035)); #448457=CARTESIAN_POINT('',(24.589803,58.839803,0.)); #448458=CARTESIAN_POINT('Origin',(25.464803,57.964803,0.)); #448459=CARTESIAN_POINT('',(25.464803,57.964803,0.)); #448460=CARTESIAN_POINT('',(25.464803,57.964803,0.)); #448461=CARTESIAN_POINT('',(25.464803,57.964803,0.035)); #448462=CARTESIAN_POINT('',(25.464803,57.964803,0.035)); #448463=CARTESIAN_POINT('',(25.464803,57.964803,0.)); #448464=CARTESIAN_POINT('Origin',(25.3749996912748,57.8750007497004,0.)); #448465=CARTESIAN_POINT('',(25.502,57.875,0.)); #448466=CARTESIAN_POINT('Origin',(25.3749996912748,57.8750007497004,0.)); #448467=CARTESIAN_POINT('',(25.502,57.875,0.035)); #448468=CARTESIAN_POINT('Origin',(25.3749996912748,57.8750007497004,0.035)); #448469=CARTESIAN_POINT('',(25.502,57.875,0.)); #448470=CARTESIAN_POINT('Origin',(25.502,43.094663,0.)); #448471=CARTESIAN_POINT('',(25.502,43.094663,0.)); #448472=CARTESIAN_POINT('',(25.502,43.094663,0.)); #448473=CARTESIAN_POINT('',(25.502,43.094663,0.035)); #448474=CARTESIAN_POINT('',(25.502,43.094663,0.035)); #448475=CARTESIAN_POINT('',(25.502,43.094663,0.)); #448476=CARTESIAN_POINT('Origin',(25.374998484168,43.0946617502996,0.)); #448477=CARTESIAN_POINT('',(25.464803,43.004859,0.)); #448478=CARTESIAN_POINT('Origin',(25.374998484168,43.0946617502996,0.)); #448479=CARTESIAN_POINT('',(25.464803,43.004859,0.035)); #448480=CARTESIAN_POINT('Origin',(25.374998484168,43.0946617502996,0.035)); #448481=CARTESIAN_POINT('',(25.464803,43.004859,0.)); #448482=CARTESIAN_POINT('Origin',(24.4445,41.984556,0.)); #448483=CARTESIAN_POINT('',(24.4445,41.984556,0.)); #448484=CARTESIAN_POINT('',(24.4445,41.984556,0.035)); #448485=CARTESIAN_POINT('Origin',(25.1302315194168,50.1743511391884,0.035)); #448486=CARTESIAN_POINT('Origin',(25.1302315194168,50.1743511391884,0.)); #448487=CARTESIAN_POINT('Origin',(47.905,49.75,-200.)); #448488=CARTESIAN_POINT('',(47.765,49.75,0.)); #448489=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #448490=CARTESIAN_POINT('',(47.765,49.75,0.0349999999999895)); #448491=CARTESIAN_POINT('',(47.765,49.75,-200.)); #448492=CARTESIAN_POINT('Origin',(47.905,49.75,0.0349999999999895)); #448493=CARTESIAN_POINT('Origin',(48.4445,41.3429,0.)); #448494=CARTESIAN_POINT('',(48.4445,41.3429,0.)); #448495=CARTESIAN_POINT('',(48.4445,42.1811,0.)); #448496=CARTESIAN_POINT('',(48.4445,41.3429,0.)); #448497=CARTESIAN_POINT('',(48.4445,42.1811,0.035)); #448498=CARTESIAN_POINT('',(48.4445,42.1811,0.)); #448499=CARTESIAN_POINT('',(48.4445,41.3429,0.035)); #448500=CARTESIAN_POINT('',(48.4445,41.3429,0.035)); #448501=CARTESIAN_POINT('',(48.4445,41.3429,0.)); #448502=CARTESIAN_POINT('Origin',(47.5555,41.3429,0.)); #448503=CARTESIAN_POINT('',(47.5555,41.3429,0.)); #448504=CARTESIAN_POINT('',(47.5555,41.3429,0.)); #448505=CARTESIAN_POINT('',(47.5555,41.3429,0.035)); #448506=CARTESIAN_POINT('',(47.5555,41.3429,0.035)); #448507=CARTESIAN_POINT('',(47.5555,41.3429,0.)); #448508=CARTESIAN_POINT('Origin',(47.5555,42.026894,0.)); #448509=CARTESIAN_POINT('',(47.5555,42.026894,0.)); #448510=CARTESIAN_POINT('',(47.5555,42.026894,0.)); #448511=CARTESIAN_POINT('',(47.5555,42.026894,0.035)); #448512=CARTESIAN_POINT('',(47.5555,42.026894,0.035)); #448513=CARTESIAN_POINT('',(47.5555,42.026894,0.)); #448514=CARTESIAN_POINT('Origin',(47.209394,42.373,0.)); #448515=CARTESIAN_POINT('',(47.209394,42.373,0.)); #448516=CARTESIAN_POINT('',(47.209394,42.373,0.)); #448517=CARTESIAN_POINT('',(47.209394,42.373,0.035)); #448518=CARTESIAN_POINT('',(47.209394,42.373,0.035)); #448519=CARTESIAN_POINT('',(47.209394,42.373,0.)); #448520=CARTESIAN_POINT('Origin',(43.75,42.373,0.)); #448521=CARTESIAN_POINT('',(43.75,42.373,0.)); #448522=CARTESIAN_POINT('',(43.75,42.373,0.)); #448523=CARTESIAN_POINT('',(43.75,42.373,0.035)); #448524=CARTESIAN_POINT('',(43.75,42.373,0.035)); #448525=CARTESIAN_POINT('',(43.75,42.373,0.)); #448526=CARTESIAN_POINT('Origin',(43.7499992502996,42.5000003087252,0.)); #448527=CARTESIAN_POINT('',(43.660197,42.410197,0.)); #448528=CARTESIAN_POINT('Origin',(43.7499992502996,42.5000003087252,0.)); #448529=CARTESIAN_POINT('',(43.660197,42.410197,0.035)); #448530=CARTESIAN_POINT('Origin',(43.7499992502996,42.5000003087252,0.035)); #448531=CARTESIAN_POINT('',(43.660197,42.410197,0.)); #448532=CARTESIAN_POINT('Origin',(42.910197,43.160197,0.)); #448533=CARTESIAN_POINT('',(42.910197,43.160197,0.)); #448534=CARTESIAN_POINT('',(42.910197,43.160197,0.)); #448535=CARTESIAN_POINT('',(42.910197,43.160197,0.035)); #448536=CARTESIAN_POINT('',(42.910197,43.160197,0.035)); #448537=CARTESIAN_POINT('',(42.910197,43.160197,0.)); #448538=CARTESIAN_POINT('Origin',(43.0000003087252,43.2499992502996,0.)); #448539=CARTESIAN_POINT('',(42.873,43.25,0.)); #448540=CARTESIAN_POINT('Origin',(43.0000003087252,43.2499992502996,0.)); #448541=CARTESIAN_POINT('',(42.873,43.25,0.035)); #448542=CARTESIAN_POINT('Origin',(43.0000003087252,43.2499992502996,0.035)); #448543=CARTESIAN_POINT('',(42.873,43.25,0.)); #448544=CARTESIAN_POINT('Origin',(42.873,49.25,0.)); #448545=CARTESIAN_POINT('',(42.873,49.25,0.)); #448546=CARTESIAN_POINT('',(42.873,49.25,0.)); #448547=CARTESIAN_POINT('',(42.873,49.25,0.035)); #448548=CARTESIAN_POINT('',(42.873,49.25,0.035)); #448549=CARTESIAN_POINT('',(42.873,49.25,0.)); #448550=CARTESIAN_POINT('Origin',(43.0000003087252,49.2500007497004,0.)); #448551=CARTESIAN_POINT('',(42.910197,49.339803,0.)); #448552=CARTESIAN_POINT('Origin',(43.0000003087252,49.2500007497004,0.)); #448553=CARTESIAN_POINT('',(42.910197,49.339803,0.035)); #448554=CARTESIAN_POINT('Origin',(43.0000003087252,49.2500007497004,0.035)); #448555=CARTESIAN_POINT('',(42.910197,49.339803,0.)); #448556=CARTESIAN_POINT('Origin',(43.410197,49.839803,0.)); #448557=CARTESIAN_POINT('',(43.410197,49.839803,0.)); #448558=CARTESIAN_POINT('',(43.410197,49.839803,0.)); #448559=CARTESIAN_POINT('',(43.410197,49.839803,0.035)); #448560=CARTESIAN_POINT('',(43.410197,49.839803,0.035)); #448561=CARTESIAN_POINT('',(43.410197,49.839803,0.)); #448562=CARTESIAN_POINT('Origin',(43.4999992502996,49.7499996912748,0.)); #448563=CARTESIAN_POINT('',(43.5,49.877,0.)); #448564=CARTESIAN_POINT('Origin',(43.4999992502996,49.7499996912748,0.)); #448565=CARTESIAN_POINT('',(43.5,49.877,0.035)); #448566=CARTESIAN_POINT('Origin',(43.4999992502996,49.7499996912748,0.035)); #448567=CARTESIAN_POINT('',(43.5,49.877,0.)); #448568=CARTESIAN_POINT('Origin',(47.661072,49.877,0.)); #448569=CARTESIAN_POINT('',(47.661072,49.877,0.)); #448570=CARTESIAN_POINT('',(47.661072,49.877,0.)); #448571=CARTESIAN_POINT('',(47.661072,49.877,0.035)); #448572=CARTESIAN_POINT('',(47.661072,49.877,0.035)); #448573=CARTESIAN_POINT('',(47.661072,49.877,0.)); #448574=CARTESIAN_POINT('Origin',(47.9054640048651,49.7499800398209,0.)); #448575=CARTESIAN_POINT('',(48.159853,49.855563,0.)); #448576=CARTESIAN_POINT('Origin',(47.9054640048651,49.7499800398209,0.)); #448577=CARTESIAN_POINT('',(48.159853,49.855563,0.035)); #448578=CARTESIAN_POINT('Origin',(47.9054640048651,49.7499800398209,0.035)); #448579=CARTESIAN_POINT('',(48.159853,49.855563,0.)); #448580=CARTESIAN_POINT('Origin',(47.9053817414141,49.7501782714048,0.)); #448581=CARTESIAN_POINT('',(47.661072,49.623,0.)); #448582=CARTESIAN_POINT('Origin',(47.9053817414141,49.7501782714048,0.)); #448583=CARTESIAN_POINT('',(47.661072,49.623,0.035)); #448584=CARTESIAN_POINT('Origin',(47.9053817414141,49.7501782714048,0.035)); #448585=CARTESIAN_POINT('',(47.661072,49.623,0.)); #448586=CARTESIAN_POINT('Origin',(43.552606,49.623,0.)); #448587=CARTESIAN_POINT('',(43.552606,49.623,0.)); #448588=CARTESIAN_POINT('',(43.552606,49.623,0.)); #448589=CARTESIAN_POINT('',(43.552606,49.623,0.035)); #448590=CARTESIAN_POINT('',(43.552606,49.623,0.035)); #448591=CARTESIAN_POINT('',(43.552606,49.623,0.)); #448592=CARTESIAN_POINT('Origin',(43.127,49.197394,0.)); #448593=CARTESIAN_POINT('',(43.127,49.197394,0.)); #448594=CARTESIAN_POINT('',(43.127,49.197394,0.)); #448595=CARTESIAN_POINT('',(43.127,49.197394,0.035)); #448596=CARTESIAN_POINT('',(43.127,49.197394,0.035)); #448597=CARTESIAN_POINT('',(43.127,49.197394,0.)); #448598=CARTESIAN_POINT('Origin',(43.127,43.302606,0.)); #448599=CARTESIAN_POINT('',(43.127,43.302606,0.)); #448600=CARTESIAN_POINT('',(43.127,43.302606,0.)); #448601=CARTESIAN_POINT('',(43.127,43.302606,0.035)); #448602=CARTESIAN_POINT('',(43.127,43.302606,0.035)); #448603=CARTESIAN_POINT('',(43.127,43.302606,0.)); #448604=CARTESIAN_POINT('Origin',(43.802606,42.627,0.)); #448605=CARTESIAN_POINT('',(43.802606,42.627,0.)); #448606=CARTESIAN_POINT('',(43.802606,42.627,0.)); #448607=CARTESIAN_POINT('',(43.802606,42.627,0.035)); #448608=CARTESIAN_POINT('',(43.802606,42.627,0.035)); #448609=CARTESIAN_POINT('',(43.802606,42.627,0.)); #448610=CARTESIAN_POINT('Origin',(47.262,42.627,0.)); #448611=CARTESIAN_POINT('',(47.262,42.627,0.)); #448612=CARTESIAN_POINT('',(47.262,42.627,0.)); #448613=CARTESIAN_POINT('',(47.262,42.627,0.035)); #448614=CARTESIAN_POINT('',(47.262,42.627,0.035)); #448615=CARTESIAN_POINT('',(47.262,42.627,0.)); #448616=CARTESIAN_POINT('Origin',(47.2620007497004,42.4999996912748,0.)); #448617=CARTESIAN_POINT('',(47.351803,42.589803,0.)); #448618=CARTESIAN_POINT('Origin',(47.2620007497004,42.4999996912748,0.)); #448619=CARTESIAN_POINT('',(47.351803,42.589803,0.035)); #448620=CARTESIAN_POINT('Origin',(47.2620007497004,42.4999996912748,0.035)); #448621=CARTESIAN_POINT('',(47.351803,42.589803,0.)); #448622=CARTESIAN_POINT('Origin',(47.760506,42.1811,0.)); #448623=CARTESIAN_POINT('',(47.760506,42.1811,0.)); #448624=CARTESIAN_POINT('',(47.760506,42.1811,0.)); #448625=CARTESIAN_POINT('',(47.760506,42.1811,0.035)); #448626=CARTESIAN_POINT('',(47.760506,42.1811,0.035)); #448627=CARTESIAN_POINT('',(47.760506,42.1811,0.)); #448628=CARTESIAN_POINT('Origin',(48.4445,42.1811,0.)); #448629=CARTESIAN_POINT('',(48.4445,42.1811,0.)); #448630=CARTESIAN_POINT('',(48.4445,42.1811,0.035)); #448631=CARTESIAN_POINT('Origin',(44.8614308872744,45.893056933335,0.035)); #448632=CARTESIAN_POINT('Origin',(44.8614308872744,45.893056933335,0.)); #448633=CARTESIAN_POINT('Origin',(30.9125,18.6125,0.)); #448634=CARTESIAN_POINT('',(30.9125,18.6125,0.)); #448635=CARTESIAN_POINT('',(30.9125,18.8875,0.)); #448636=CARTESIAN_POINT('',(30.9125,18.6125,0.)); #448637=CARTESIAN_POINT('',(30.9125,18.8875,0.035)); #448638=CARTESIAN_POINT('',(30.9125,18.8875,0.)); #448639=CARTESIAN_POINT('',(30.9125,18.6125,0.035)); #448640=CARTESIAN_POINT('',(30.9125,18.6125,0.035)); #448641=CARTESIAN_POINT('',(30.9125,18.6125,0.)); #448642=CARTESIAN_POINT('Origin',(30.2875,18.6125,0.)); #448643=CARTESIAN_POINT('',(30.2875,18.6125,0.)); #448644=CARTESIAN_POINT('',(30.2875,18.6125,0.)); #448645=CARTESIAN_POINT('',(30.2875,18.6125,0.035)); #448646=CARTESIAN_POINT('',(30.2875,18.6125,0.035)); #448647=CARTESIAN_POINT('',(30.2875,18.6125,0.)); #448648=CARTESIAN_POINT('Origin',(30.2875,18.623,0.)); #448649=CARTESIAN_POINT('',(30.2875,18.623,0.)); #448650=CARTESIAN_POINT('',(30.2875,18.623,0.)); #448651=CARTESIAN_POINT('',(30.2875,18.623,0.035)); #448652=CARTESIAN_POINT('',(30.2875,18.623,0.035)); #448653=CARTESIAN_POINT('',(30.2875,18.623,0.)); #448654=CARTESIAN_POINT('Origin',(29.689325,18.623,0.)); #448655=CARTESIAN_POINT('',(29.689325,18.623,0.)); #448656=CARTESIAN_POINT('',(29.689325,18.623,0.)); #448657=CARTESIAN_POINT('',(29.689325,18.623,0.035)); #448658=CARTESIAN_POINT('',(29.689325,18.623,0.035)); #448659=CARTESIAN_POINT('',(29.689325,18.623,0.)); #448660=CARTESIAN_POINT('Origin',(29.6893242502996,18.7500003087252,0.)); #448661=CARTESIAN_POINT('',(29.599522,18.660197,0.)); #448662=CARTESIAN_POINT('Origin',(29.6893242502996,18.7500003087252,0.)); #448663=CARTESIAN_POINT('',(29.599522,18.660197,0.035)); #448664=CARTESIAN_POINT('Origin',(29.6893242502996,18.7500003087252,0.035)); #448665=CARTESIAN_POINT('',(29.599522,18.660197,0.)); #448666=CARTESIAN_POINT('Origin',(28.285197,19.974522,0.)); #448667=CARTESIAN_POINT('',(28.285197,19.974522,0.)); #448668=CARTESIAN_POINT('',(28.285197,19.974522,0.)); #448669=CARTESIAN_POINT('',(28.285197,19.974522,0.035)); #448670=CARTESIAN_POINT('',(28.285197,19.974522,0.035)); #448671=CARTESIAN_POINT('',(28.285197,19.974522,0.)); #448672=CARTESIAN_POINT('Origin',(28.3750003087252,20.0643242502996,0.)); #448673=CARTESIAN_POINT('',(28.248,20.064325,0.)); #448674=CARTESIAN_POINT('Origin',(28.3750003087252,20.0643242502996,0.)); #448675=CARTESIAN_POINT('',(28.248,20.064325,0.035)); #448676=CARTESIAN_POINT('Origin',(28.3750003087252,20.0643242502996,0.035)); #448677=CARTESIAN_POINT('',(28.248,20.064325,0.)); #448678=CARTESIAN_POINT('Origin',(28.248,24.852731,0.)); #448679=CARTESIAN_POINT('',(28.248,24.852731,0.)); #448680=CARTESIAN_POINT('',(28.248,24.852731,0.)); #448681=CARTESIAN_POINT('',(28.248,24.852731,0.035)); #448682=CARTESIAN_POINT('',(28.248,24.852731,0.035)); #448683=CARTESIAN_POINT('',(28.248,24.852731,0.)); #448684=CARTESIAN_POINT('Origin',(27.227731,25.873,0.)); #448685=CARTESIAN_POINT('',(27.227731,25.873,0.)); #448686=CARTESIAN_POINT('',(27.227731,25.873,0.)); #448687=CARTESIAN_POINT('',(27.227731,25.873,0.035)); #448688=CARTESIAN_POINT('',(27.227731,25.873,0.035)); #448689=CARTESIAN_POINT('',(27.227731,25.873,0.)); #448690=CARTESIAN_POINT('Origin',(24.1811,25.873,0.)); #448691=CARTESIAN_POINT('',(24.1811,25.873,0.)); #448692=CARTESIAN_POINT('',(24.1811,25.873,0.)); #448693=CARTESIAN_POINT('',(24.1811,25.873,0.035)); #448694=CARTESIAN_POINT('',(24.1811,25.873,0.035)); #448695=CARTESIAN_POINT('',(24.1811,25.873,0.)); #448696=CARTESIAN_POINT('Origin',(24.1811,25.5555,0.)); #448697=CARTESIAN_POINT('',(24.1811,25.5555,0.)); #448698=CARTESIAN_POINT('',(24.1811,25.5555,0.)); #448699=CARTESIAN_POINT('',(24.1811,25.5555,0.035)); #448700=CARTESIAN_POINT('',(24.1811,25.5555,0.035)); #448701=CARTESIAN_POINT('',(24.1811,25.5555,0.)); #448702=CARTESIAN_POINT('Origin',(23.3429,25.5555,0.)); #448703=CARTESIAN_POINT('',(23.3429,25.5555,0.)); #448704=CARTESIAN_POINT('',(23.3429,25.5555,0.)); #448705=CARTESIAN_POINT('',(23.3429,25.5555,0.035)); #448706=CARTESIAN_POINT('',(23.3429,25.5555,0.035)); #448707=CARTESIAN_POINT('',(23.3429,25.5555,0.)); #448708=CARTESIAN_POINT('Origin',(23.3429,26.4445,0.)); #448709=CARTESIAN_POINT('',(23.3429,26.4445,0.)); #448710=CARTESIAN_POINT('',(23.3429,26.4445,0.)); #448711=CARTESIAN_POINT('',(23.3429,26.4445,0.035)); #448712=CARTESIAN_POINT('',(23.3429,26.4445,0.035)); #448713=CARTESIAN_POINT('',(23.3429,26.4445,0.)); #448714=CARTESIAN_POINT('Origin',(24.1811,26.4445,0.)); #448715=CARTESIAN_POINT('',(24.1811,26.4445,0.)); #448716=CARTESIAN_POINT('',(24.1811,26.4445,0.)); #448717=CARTESIAN_POINT('',(24.1811,26.4445,0.035)); #448718=CARTESIAN_POINT('',(24.1811,26.4445,0.035)); #448719=CARTESIAN_POINT('',(24.1811,26.4445,0.)); #448720=CARTESIAN_POINT('Origin',(24.1811,26.127,0.)); #448721=CARTESIAN_POINT('',(24.1811,26.127,0.)); #448722=CARTESIAN_POINT('',(24.1811,26.127,0.)); #448723=CARTESIAN_POINT('',(24.1811,26.127,0.035)); #448724=CARTESIAN_POINT('',(24.1811,26.127,0.035)); #448725=CARTESIAN_POINT('',(24.1811,26.127,0.)); #448726=CARTESIAN_POINT('Origin',(27.280338,26.127,0.)); #448727=CARTESIAN_POINT('',(27.280338,26.127,0.)); #448728=CARTESIAN_POINT('',(27.280338,26.127,0.)); #448729=CARTESIAN_POINT('',(27.280338,26.127,0.035)); #448730=CARTESIAN_POINT('',(27.280338,26.127,0.035)); #448731=CARTESIAN_POINT('',(27.280338,26.127,0.)); #448732=CARTESIAN_POINT('Origin',(27.2803387497004,25.9999996912748,0.)); #448733=CARTESIAN_POINT('',(27.370141,26.089803,0.)); #448734=CARTESIAN_POINT('Origin',(27.2803387497004,25.9999996912748,0.)); #448735=CARTESIAN_POINT('',(27.370141,26.089803,0.035)); #448736=CARTESIAN_POINT('Origin',(27.2803387497004,25.9999996912748,0.035)); #448737=CARTESIAN_POINT('',(27.370141,26.089803,0.)); #448738=CARTESIAN_POINT('Origin',(28.464803,24.995141,0.)); #448739=CARTESIAN_POINT('',(28.464803,24.995141,0.)); #448740=CARTESIAN_POINT('',(28.464803,24.995141,0.)); #448741=CARTESIAN_POINT('',(28.464803,24.995141,0.035)); #448742=CARTESIAN_POINT('',(28.464803,24.995141,0.035)); #448743=CARTESIAN_POINT('',(28.464803,24.995141,0.)); #448744=CARTESIAN_POINT('Origin',(28.3749996912748,24.9053387497004,0.)); #448745=CARTESIAN_POINT('',(28.502,24.905338,0.)); #448746=CARTESIAN_POINT('Origin',(28.3749996912748,24.9053387497004,0.)); #448747=CARTESIAN_POINT('',(28.502,24.905338,0.035)); #448748=CARTESIAN_POINT('Origin',(28.3749996912748,24.9053387497004,0.035)); #448749=CARTESIAN_POINT('',(28.502,24.905338,0.)); #448750=CARTESIAN_POINT('Origin',(28.502,20.116931,0.)); #448751=CARTESIAN_POINT('',(28.502,20.116931,0.)); #448752=CARTESIAN_POINT('',(28.502,20.116931,0.)); #448753=CARTESIAN_POINT('',(28.502,20.116931,0.035)); #448754=CARTESIAN_POINT('',(28.502,20.116931,0.035)); #448755=CARTESIAN_POINT('',(28.502,20.116931,0.)); #448756=CARTESIAN_POINT('Origin',(29.741931,18.877,0.)); #448757=CARTESIAN_POINT('',(29.741931,18.877,0.)); #448758=CARTESIAN_POINT('',(29.741931,18.877,0.)); #448759=CARTESIAN_POINT('',(29.741931,18.877,0.035)); #448760=CARTESIAN_POINT('',(29.741931,18.877,0.035)); #448761=CARTESIAN_POINT('',(29.741931,18.877,0.)); #448762=CARTESIAN_POINT('Origin',(30.2875,18.877,0.)); #448763=CARTESIAN_POINT('',(30.2875,18.877,0.)); #448764=CARTESIAN_POINT('',(30.2875,18.877,0.)); #448765=CARTESIAN_POINT('',(30.2875,18.877,0.035)); #448766=CARTESIAN_POINT('',(30.2875,18.877,0.035)); #448767=CARTESIAN_POINT('',(30.2875,18.877,0.)); #448768=CARTESIAN_POINT('Origin',(30.2875,18.8875,0.)); #448769=CARTESIAN_POINT('',(30.2875,18.8875,0.)); #448770=CARTESIAN_POINT('',(30.2875,18.8875,0.)); #448771=CARTESIAN_POINT('',(30.2875,18.8875,0.035)); #448772=CARTESIAN_POINT('',(30.2875,18.8875,0.035)); #448773=CARTESIAN_POINT('',(30.2875,18.8875,0.)); #448774=CARTESIAN_POINT('Origin',(30.9125,18.8875,0.)); #448775=CARTESIAN_POINT('',(30.9125,18.8875,0.)); #448776=CARTESIAN_POINT('',(30.9125,18.8875,0.035)); #448777=CARTESIAN_POINT('Origin',(27.4991029670757,23.2028246461331,0.035)); #448778=CARTESIAN_POINT('Origin',(27.4991029670757,23.2028246461331,0.)); #448779=CARTESIAN_POINT('Origin',(30.762,60.25,-200.)); #448780=CARTESIAN_POINT('',(30.622,60.25,0.)); #448781=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #448782=CARTESIAN_POINT('',(30.622,60.25,0.0349999999999895)); #448783=CARTESIAN_POINT('',(30.622,60.25,-200.)); #448784=CARTESIAN_POINT('Origin',(30.762,60.25,0.0349999999999895)); #448785=CARTESIAN_POINT('Origin',(31.1811,59.8055,0.)); #448786=CARTESIAN_POINT('',(31.1811,59.8055,0.)); #448787=CARTESIAN_POINT('',(31.1811,60.6945,0.)); #448788=CARTESIAN_POINT('',(31.1811,59.8055,0.)); #448789=CARTESIAN_POINT('',(31.1811,60.6945,0.035)); #448790=CARTESIAN_POINT('',(31.1811,60.6945,0.)); #448791=CARTESIAN_POINT('',(31.1811,59.8055,0.035)); #448792=CARTESIAN_POINT('',(31.1811,59.8055,0.035)); #448793=CARTESIAN_POINT('',(31.1811,59.8055,0.)); #448794=CARTESIAN_POINT('Origin',(30.3429,59.8055,0.)); #448795=CARTESIAN_POINT('',(30.3429,59.8055,0.)); #448796=CARTESIAN_POINT('',(30.3429,59.8055,0.)); #448797=CARTESIAN_POINT('',(30.3429,59.8055,0.035)); #448798=CARTESIAN_POINT('',(30.3429,59.8055,0.035)); #448799=CARTESIAN_POINT('',(30.3429,59.8055,0.)); #448800=CARTESIAN_POINT('Origin',(30.3429,60.6945,0.)); #448801=CARTESIAN_POINT('',(30.3429,60.6945,0.)); #448802=CARTESIAN_POINT('',(30.3429,60.6945,0.)); #448803=CARTESIAN_POINT('',(30.3429,60.6945,0.035)); #448804=CARTESIAN_POINT('',(30.3429,60.6945,0.035)); #448805=CARTESIAN_POINT('',(30.3429,60.6945,0.)); #448806=CARTESIAN_POINT('Origin',(31.1811,60.6945,0.)); #448807=CARTESIAN_POINT('',(31.1811,60.6945,0.)); #448808=CARTESIAN_POINT('',(31.1811,60.6945,0.035)); #448809=CARTESIAN_POINT('Origin',(30.762,60.25,0.035)); #448810=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #448811=CARTESIAN_POINT('Origin',(33.25,55.,-200.)); #448812=CARTESIAN_POINT('',(33.11,55.,0.)); #448813=CARTESIAN_POINT('Origin',(33.25,55.,0.)); #448814=CARTESIAN_POINT('',(33.11,55.,0.0349999999999895)); #448815=CARTESIAN_POINT('',(33.11,55.,-200.)); #448816=CARTESIAN_POINT('Origin',(33.25,55.,0.0349999999999895)); #448817=CARTESIAN_POINT('Origin',(33.271644,54.725,0.)); #448818=CARTESIAN_POINT('',(33.271644,54.725,0.)); #448819=CARTESIAN_POINT('',(33.314397,54.731772,0.)); #448820=CARTESIAN_POINT('',(33.271644,54.725,0.)); #448821=CARTESIAN_POINT('',(33.314397,54.731772,0.035)); #448822=CARTESIAN_POINT('',(33.314397,54.731772,0.)); #448823=CARTESIAN_POINT('',(33.271644,54.725,0.035)); #448824=CARTESIAN_POINT('',(33.271644,54.725,0.035)); #448825=CARTESIAN_POINT('',(33.271644,54.725,0.)); #448826=CARTESIAN_POINT('Origin',(33.250118471131,54.9995872515008,0.)); #448827=CARTESIAN_POINT('',(33.123,55.243928,0.)); #448828=CARTESIAN_POINT('Origin',(33.250118471131,54.9995872515008,0.)); #448829=CARTESIAN_POINT('',(33.123,55.243928,0.035)); #448830=CARTESIAN_POINT('Origin',(33.250118471131,54.9995872515008,0.035)); #448831=CARTESIAN_POINT('',(33.123,55.243928,0.)); #448832=CARTESIAN_POINT('Origin',(33.123,55.625,0.)); #448833=CARTESIAN_POINT('',(33.123,55.625,0.)); #448834=CARTESIAN_POINT('',(33.123,55.625,0.)); #448835=CARTESIAN_POINT('',(33.123,55.625,0.035)); #448836=CARTESIAN_POINT('',(33.123,55.625,0.035)); #448837=CARTESIAN_POINT('',(33.123,55.625,0.)); #448838=CARTESIAN_POINT('Origin',(33.2500003087252,55.6250007497004,0.)); #448839=CARTESIAN_POINT('',(33.160197,55.714803,0.)); #448840=CARTESIAN_POINT('Origin',(33.2500003087252,55.6250007497004,0.)); #448841=CARTESIAN_POINT('',(33.160197,55.714803,0.035)); #448842=CARTESIAN_POINT('Origin',(33.2500003087252,55.6250007497004,0.035)); #448843=CARTESIAN_POINT('',(33.160197,55.714803,0.)); #448844=CARTESIAN_POINT('Origin',(33.498,56.052606,0.)); #448845=CARTESIAN_POINT('',(33.498,56.052606,0.)); #448846=CARTESIAN_POINT('',(33.498,56.052606,0.)); #448847=CARTESIAN_POINT('',(33.498,56.052606,0.035)); #448848=CARTESIAN_POINT('',(33.498,56.052606,0.035)); #448849=CARTESIAN_POINT('',(33.498,56.052606,0.)); #448850=CARTESIAN_POINT('Origin',(33.498,58.572394,0.)); #448851=CARTESIAN_POINT('',(33.498,58.572394,0.)); #448852=CARTESIAN_POINT('',(33.498,58.572394,0.)); #448853=CARTESIAN_POINT('',(33.498,58.572394,0.035)); #448854=CARTESIAN_POINT('',(33.498,58.572394,0.035)); #448855=CARTESIAN_POINT('',(33.498,58.572394,0.)); #448856=CARTESIAN_POINT('Origin',(32.697394,59.373,0.)); #448857=CARTESIAN_POINT('',(32.697394,59.373,0.)); #448858=CARTESIAN_POINT('',(32.697394,59.373,0.)); #448859=CARTESIAN_POINT('',(32.697394,59.373,0.035)); #448860=CARTESIAN_POINT('',(32.697394,59.373,0.035)); #448861=CARTESIAN_POINT('',(32.697394,59.373,0.)); #448862=CARTESIAN_POINT('Origin',(29.988,59.373,0.)); #448863=CARTESIAN_POINT('',(29.988,59.373,0.)); #448864=CARTESIAN_POINT('',(29.988,59.373,0.)); #448865=CARTESIAN_POINT('',(29.988,59.373,0.035)); #448866=CARTESIAN_POINT('',(29.988,59.373,0.035)); #448867=CARTESIAN_POINT('',(29.988,59.373,0.)); #448868=CARTESIAN_POINT('Origin',(29.9879992502996,59.5000003087252,0.)); #448869=CARTESIAN_POINT('',(29.898197,59.410197,0.)); #448870=CARTESIAN_POINT('Origin',(29.9879992502996,59.5000003087252,0.)); #448871=CARTESIAN_POINT('',(29.898197,59.410197,0.035)); #448872=CARTESIAN_POINT('Origin',(29.9879992502996,59.5000003087252,0.035)); #448873=CARTESIAN_POINT('',(29.898197,59.410197,0.)); #448874=CARTESIAN_POINT('Origin',(29.502894,59.8055,0.)); #448875=CARTESIAN_POINT('',(29.502894,59.8055,0.)); #448876=CARTESIAN_POINT('',(29.502894,59.8055,0.)); #448877=CARTESIAN_POINT('',(29.502894,59.8055,0.035)); #448878=CARTESIAN_POINT('',(29.502894,59.8055,0.035)); #448879=CARTESIAN_POINT('',(29.502894,59.8055,0.)); #448880=CARTESIAN_POINT('Origin',(28.8189,59.8055,0.)); #448881=CARTESIAN_POINT('',(28.8189,59.8055,0.)); #448882=CARTESIAN_POINT('',(28.8189,59.8055,0.)); #448883=CARTESIAN_POINT('',(28.8189,59.8055,0.035)); #448884=CARTESIAN_POINT('',(28.8189,59.8055,0.035)); #448885=CARTESIAN_POINT('',(28.8189,59.8055,0.)); #448886=CARTESIAN_POINT('Origin',(28.8189,60.6945,0.)); #448887=CARTESIAN_POINT('',(28.8189,60.6945,0.)); #448888=CARTESIAN_POINT('',(28.8189,60.6945,0.)); #448889=CARTESIAN_POINT('',(28.8189,60.6945,0.035)); #448890=CARTESIAN_POINT('',(28.8189,60.6945,0.035)); #448891=CARTESIAN_POINT('',(28.8189,60.6945,0.)); #448892=CARTESIAN_POINT('Origin',(29.6571,60.6945,0.)); #448893=CARTESIAN_POINT('',(29.6571,60.6945,0.)); #448894=CARTESIAN_POINT('',(29.6571,60.6945,0.)); #448895=CARTESIAN_POINT('',(29.6571,60.6945,0.035)); #448896=CARTESIAN_POINT('',(29.6571,60.6945,0.035)); #448897=CARTESIAN_POINT('',(29.6571,60.6945,0.)); #448898=CARTESIAN_POINT('Origin',(29.6571,60.010506,0.)); #448899=CARTESIAN_POINT('',(29.6571,60.010506,0.)); #448900=CARTESIAN_POINT('',(29.6571,60.010506,0.)); #448901=CARTESIAN_POINT('',(29.6571,60.010506,0.035)); #448902=CARTESIAN_POINT('',(29.6571,60.010506,0.035)); #448903=CARTESIAN_POINT('',(29.6571,60.010506,0.)); #448904=CARTESIAN_POINT('Origin',(30.040606,59.627,0.)); #448905=CARTESIAN_POINT('',(30.040606,59.627,0.)); #448906=CARTESIAN_POINT('',(30.040606,59.627,0.)); #448907=CARTESIAN_POINT('',(30.040606,59.627,0.035)); #448908=CARTESIAN_POINT('',(30.040606,59.627,0.035)); #448909=CARTESIAN_POINT('',(30.040606,59.627,0.)); #448910=CARTESIAN_POINT('Origin',(32.75,59.627,0.)); #448911=CARTESIAN_POINT('',(32.75,59.627,0.)); #448912=CARTESIAN_POINT('',(32.75,59.627,0.)); #448913=CARTESIAN_POINT('',(32.75,59.627,0.035)); #448914=CARTESIAN_POINT('',(32.75,59.627,0.035)); #448915=CARTESIAN_POINT('',(32.75,59.627,0.)); #448916=CARTESIAN_POINT('Origin',(32.7500007497004,59.4999996912748,0.)); #448917=CARTESIAN_POINT('',(32.839803,59.589803,0.)); #448918=CARTESIAN_POINT('Origin',(32.7500007497004,59.4999996912748,0.)); #448919=CARTESIAN_POINT('',(32.839803,59.589803,0.035)); #448920=CARTESIAN_POINT('Origin',(32.7500007497004,59.4999996912748,0.035)); #448921=CARTESIAN_POINT('',(32.839803,59.589803,0.)); #448922=CARTESIAN_POINT('Origin',(33.714803,58.714803,0.)); #448923=CARTESIAN_POINT('',(33.714803,58.714803,0.)); #448924=CARTESIAN_POINT('',(33.714803,58.714803,0.)); #448925=CARTESIAN_POINT('',(33.714803,58.714803,0.035)); #448926=CARTESIAN_POINT('',(33.714803,58.714803,0.035)); #448927=CARTESIAN_POINT('',(33.714803,58.714803,0.)); #448928=CARTESIAN_POINT('Origin',(33.6249996912748,58.6250007497004,0.)); #448929=CARTESIAN_POINT('',(33.752,58.625,0.)); #448930=CARTESIAN_POINT('Origin',(33.6249996912748,58.6250007497004,0.)); #448931=CARTESIAN_POINT('',(33.752,58.625,0.035)); #448932=CARTESIAN_POINT('Origin',(33.6249996912748,58.6250007497004,0.035)); #448933=CARTESIAN_POINT('',(33.752,58.625,0.)); #448934=CARTESIAN_POINT('Origin',(33.752,56.,0.)); #448935=CARTESIAN_POINT('',(33.752,56.,0.)); #448936=CARTESIAN_POINT('',(33.752,56.,0.)); #448937=CARTESIAN_POINT('',(33.752,56.,0.035)); #448938=CARTESIAN_POINT('',(33.752,56.,0.035)); #448939=CARTESIAN_POINT('',(33.752,56.,0.)); #448940=CARTESIAN_POINT('Origin',(33.6249996912748,55.9999992502996,0.)); #448941=CARTESIAN_POINT('',(33.714803,55.910197,0.)); #448942=CARTESIAN_POINT('Origin',(33.6249996912748,55.9999992502996,0.)); #448943=CARTESIAN_POINT('',(33.714803,55.910197,0.035)); #448944=CARTESIAN_POINT('Origin',(33.6249996912748,55.9999992502996,0.035)); #448945=CARTESIAN_POINT('',(33.714803,55.910197,0.)); #448946=CARTESIAN_POINT('Origin',(33.377,55.572394,0.)); #448947=CARTESIAN_POINT('',(33.377,55.572394,0.)); #448948=CARTESIAN_POINT('',(33.377,55.572394,0.)); #448949=CARTESIAN_POINT('',(33.377,55.572394,0.035)); #448950=CARTESIAN_POINT('',(33.377,55.572394,0.035)); #448951=CARTESIAN_POINT('',(33.377,55.572394,0.)); #448952=CARTESIAN_POINT('Origin',(33.377,55.243928,0.)); #448953=CARTESIAN_POINT('',(33.377,55.243928,0.)); #448954=CARTESIAN_POINT('',(33.377,55.243928,0.)); #448955=CARTESIAN_POINT('',(33.377,55.243928,0.035)); #448956=CARTESIAN_POINT('',(33.377,55.243928,0.035)); #448957=CARTESIAN_POINT('',(33.377,55.243928,0.)); #448958=CARTESIAN_POINT('Origin',(33.2499453752955,54.999554310534,0.)); #448959=CARTESIAN_POINT('Origin',(33.2499453752955,54.999554310534,0.)); #448960=CARTESIAN_POINT('Origin',(33.2499453752955,54.999554310534,0.035)); #448961=CARTESIAN_POINT('Origin',(32.0511275290298,58.3686037152481,0.035)); #448962=CARTESIAN_POINT('Origin',(32.0511275290298,58.3686037152481,0.)); #448963=CARTESIAN_POINT('Origin',(39.25,16.5,-200.)); #448964=CARTESIAN_POINT('',(39.11,16.5,0.)); #448965=CARTESIAN_POINT('Origin',(39.25,16.5,0.)); #448966=CARTESIAN_POINT('',(39.11,16.5,0.0349999999999895)); #448967=CARTESIAN_POINT('',(39.11,16.5,-200.)); #448968=CARTESIAN_POINT('Origin',(39.25,16.5,0.0349999999999895)); #448969=CARTESIAN_POINT('Origin',(37.7125,16.1125,0.)); #448970=CARTESIAN_POINT('',(37.7125,16.1125,0.)); #448971=CARTESIAN_POINT('',(37.7125,16.123,0.)); #448972=CARTESIAN_POINT('',(37.7125,16.1125,0.)); #448973=CARTESIAN_POINT('',(37.7125,16.123,0.035)); #448974=CARTESIAN_POINT('',(37.7125,16.123,0.)); #448975=CARTESIAN_POINT('',(37.7125,16.1125,0.035)); #448976=CARTESIAN_POINT('',(37.7125,16.1125,0.035)); #448977=CARTESIAN_POINT('',(37.7125,16.1125,0.)); #448978=CARTESIAN_POINT('Origin',(37.0875,16.1125,0.)); #448979=CARTESIAN_POINT('',(37.0875,16.1125,0.)); #448980=CARTESIAN_POINT('',(37.0875,16.1125,0.)); #448981=CARTESIAN_POINT('',(37.0875,16.1125,0.035)); #448982=CARTESIAN_POINT('',(37.0875,16.1125,0.035)); #448983=CARTESIAN_POINT('',(37.0875,16.1125,0.)); #448984=CARTESIAN_POINT('Origin',(37.0875,16.3875,0.)); #448985=CARTESIAN_POINT('',(37.0875,16.3875,0.)); #448986=CARTESIAN_POINT('',(37.0875,16.3875,0.)); #448987=CARTESIAN_POINT('',(37.0875,16.3875,0.035)); #448988=CARTESIAN_POINT('',(37.0875,16.3875,0.035)); #448989=CARTESIAN_POINT('',(37.0875,16.3875,0.)); #448990=CARTESIAN_POINT('Origin',(37.7125,16.3875,0.)); #448991=CARTESIAN_POINT('',(37.7125,16.3875,0.)); #448992=CARTESIAN_POINT('',(37.7125,16.3875,0.)); #448993=CARTESIAN_POINT('',(37.7125,16.3875,0.035)); #448994=CARTESIAN_POINT('',(37.7125,16.3875,0.035)); #448995=CARTESIAN_POINT('',(37.7125,16.3875,0.)); #448996=CARTESIAN_POINT('Origin',(37.7125,16.377,0.)); #448997=CARTESIAN_POINT('',(37.7125,16.377,0.)); #448998=CARTESIAN_POINT('',(37.7125,16.377,0.)); #448999=CARTESIAN_POINT('',(37.7125,16.377,0.035)); #449000=CARTESIAN_POINT('',(37.7125,16.377,0.035)); #449001=CARTESIAN_POINT('',(37.7125,16.377,0.)); #449002=CARTESIAN_POINT('Origin',(38.197394,16.377,0.)); #449003=CARTESIAN_POINT('',(38.197394,16.377,0.)); #449004=CARTESIAN_POINT('',(38.197394,16.377,0.)); #449005=CARTESIAN_POINT('',(38.197394,16.377,0.035)); #449006=CARTESIAN_POINT('',(38.197394,16.377,0.035)); #449007=CARTESIAN_POINT('',(38.197394,16.377,0.)); #449008=CARTESIAN_POINT('Origin',(38.410197,16.589803,0.)); #449009=CARTESIAN_POINT('',(38.410197,16.589803,0.)); #449010=CARTESIAN_POINT('',(38.410197,16.589803,0.)); #449011=CARTESIAN_POINT('',(38.410197,16.589803,0.035)); #449012=CARTESIAN_POINT('',(38.410197,16.589803,0.035)); #449013=CARTESIAN_POINT('',(38.410197,16.589803,0.)); #449014=CARTESIAN_POINT('Origin',(38.4999992502996,16.4999996912748,0.)); #449015=CARTESIAN_POINT('',(38.5,16.627,0.)); #449016=CARTESIAN_POINT('Origin',(38.4999992502996,16.4999996912748,0.)); #449017=CARTESIAN_POINT('',(38.5,16.627,0.035)); #449018=CARTESIAN_POINT('Origin',(38.4999992502996,16.4999996912748,0.035)); #449019=CARTESIAN_POINT('',(38.5,16.627,0.)); #449020=CARTESIAN_POINT('Origin',(39.006072,16.627,0.)); #449021=CARTESIAN_POINT('',(39.006072,16.627,0.)); #449022=CARTESIAN_POINT('',(39.006072,16.627,0.)); #449023=CARTESIAN_POINT('',(39.006072,16.627,0.035)); #449024=CARTESIAN_POINT('',(39.006072,16.627,0.035)); #449025=CARTESIAN_POINT('',(39.006072,16.627,0.)); #449026=CARTESIAN_POINT('Origin',(39.2504640048651,16.4999800398209,0.)); #449027=CARTESIAN_POINT('',(39.504853,16.605563,0.)); #449028=CARTESIAN_POINT('Origin',(39.2504640048651,16.4999800398209,0.)); #449029=CARTESIAN_POINT('',(39.504853,16.605563,0.035)); #449030=CARTESIAN_POINT('Origin',(39.2504640048651,16.4999800398209,0.035)); #449031=CARTESIAN_POINT('',(39.504853,16.605563,0.)); #449032=CARTESIAN_POINT('Origin',(39.2503817414141,16.5001782714048,0.)); #449033=CARTESIAN_POINT('',(39.006072,16.373,0.)); #449034=CARTESIAN_POINT('Origin',(39.2503817414141,16.5001782714048,0.)); #449035=CARTESIAN_POINT('',(39.006072,16.373,0.035)); #449036=CARTESIAN_POINT('Origin',(39.2503817414141,16.5001782714048,0.035)); #449037=CARTESIAN_POINT('',(39.006072,16.373,0.)); #449038=CARTESIAN_POINT('Origin',(38.552606,16.373,0.)); #449039=CARTESIAN_POINT('',(38.552606,16.373,0.)); #449040=CARTESIAN_POINT('',(38.552606,16.373,0.)); #449041=CARTESIAN_POINT('',(38.552606,16.373,0.035)); #449042=CARTESIAN_POINT('',(38.552606,16.373,0.035)); #449043=CARTESIAN_POINT('',(38.552606,16.373,0.)); #449044=CARTESIAN_POINT('Origin',(38.339803,16.160197,0.)); #449045=CARTESIAN_POINT('',(38.339803,16.160197,0.)); #449046=CARTESIAN_POINT('',(38.339803,16.160197,0.)); #449047=CARTESIAN_POINT('',(38.339803,16.160197,0.035)); #449048=CARTESIAN_POINT('',(38.339803,16.160197,0.035)); #449049=CARTESIAN_POINT('',(38.339803,16.160197,0.)); #449050=CARTESIAN_POINT('Origin',(38.2500007497004,16.2500003087252,0.)); #449051=CARTESIAN_POINT('',(38.25,16.123,0.)); #449052=CARTESIAN_POINT('Origin',(38.2500007497004,16.2500003087252,0.)); #449053=CARTESIAN_POINT('',(38.25,16.123,0.035)); #449054=CARTESIAN_POINT('Origin',(38.2500007497004,16.2500003087252,0.035)); #449055=CARTESIAN_POINT('',(38.25,16.123,0.)); #449056=CARTESIAN_POINT('Origin',(37.7125,16.123,0.)); #449057=CARTESIAN_POINT('',(37.7125,16.123,0.)); #449058=CARTESIAN_POINT('',(37.7125,16.123,0.035)); #449059=CARTESIAN_POINT('Origin',(38.3266703856477,16.3730172453431,0.035)); #449060=CARTESIAN_POINT('Origin',(38.3266703856477,16.3730172453431,0.)); #449061=CARTESIAN_POINT('Origin',(42.,36.,-200.)); #449062=CARTESIAN_POINT('',(41.86,36.,0.)); #449063=CARTESIAN_POINT('Origin',(42.,36.,0.)); #449064=CARTESIAN_POINT('',(41.86,36.,0.0349999999999895)); #449065=CARTESIAN_POINT('',(41.86,36.,-200.)); #449066=CARTESIAN_POINT('Origin',(42.,36.,0.0349999999999895)); #449067=CARTESIAN_POINT('Origin',(52.1811,50.627,0.)); #449068=CARTESIAN_POINT('',(52.1811,50.627,0.)); #449069=CARTESIAN_POINT('',(52.1811,50.9445,0.)); #449070=CARTESIAN_POINT('',(52.1811,50.627,0.)); #449071=CARTESIAN_POINT('',(52.1811,50.9445,0.035)); #449072=CARTESIAN_POINT('',(52.1811,50.9445,0.)); #449073=CARTESIAN_POINT('',(52.1811,50.627,0.035)); #449074=CARTESIAN_POINT('',(52.1811,50.627,0.035)); #449075=CARTESIAN_POINT('',(52.1811,50.627,0.)); #449076=CARTESIAN_POINT('Origin',(53.8189,50.627,0.)); #449077=CARTESIAN_POINT('',(53.8189,50.627,0.)); #449078=CARTESIAN_POINT('',(53.8189,50.627,0.)); #449079=CARTESIAN_POINT('',(53.8189,50.627,0.035)); #449080=CARTESIAN_POINT('',(53.8189,50.627,0.035)); #449081=CARTESIAN_POINT('',(53.8189,50.627,0.)); #449082=CARTESIAN_POINT('Origin',(53.8189,50.9445,0.)); #449083=CARTESIAN_POINT('',(53.8189,50.9445,0.)); #449084=CARTESIAN_POINT('',(53.8189,50.9445,0.)); #449085=CARTESIAN_POINT('',(53.8189,50.9445,0.035)); #449086=CARTESIAN_POINT('',(53.8189,50.9445,0.035)); #449087=CARTESIAN_POINT('',(53.8189,50.9445,0.)); #449088=CARTESIAN_POINT('Origin',(54.6571,50.9445,0.)); #449089=CARTESIAN_POINT('',(54.6571,50.9445,0.)); #449090=CARTESIAN_POINT('',(54.6571,50.9445,0.)); #449091=CARTESIAN_POINT('',(54.6571,50.9445,0.035)); #449092=CARTESIAN_POINT('',(54.6571,50.9445,0.035)); #449093=CARTESIAN_POINT('',(54.6571,50.9445,0.)); #449094=CARTESIAN_POINT('Origin',(54.6571,50.0555,0.)); #449095=CARTESIAN_POINT('',(54.6571,50.0555,0.)); #449096=CARTESIAN_POINT('',(54.6571,50.0555,0.)); #449097=CARTESIAN_POINT('',(54.6571,50.0555,0.035)); #449098=CARTESIAN_POINT('',(54.6571,50.0555,0.035)); #449099=CARTESIAN_POINT('',(54.6571,50.0555,0.)); #449100=CARTESIAN_POINT('Origin',(53.8189,50.0555,0.)); #449101=CARTESIAN_POINT('',(53.8189,50.0555,0.)); #449102=CARTESIAN_POINT('',(53.8189,50.0555,0.)); #449103=CARTESIAN_POINT('',(53.8189,50.0555,0.035)); #449104=CARTESIAN_POINT('',(53.8189,50.0555,0.035)); #449105=CARTESIAN_POINT('',(53.8189,50.0555,0.)); #449106=CARTESIAN_POINT('Origin',(53.8189,50.373,0.)); #449107=CARTESIAN_POINT('',(53.8189,50.373,0.)); #449108=CARTESIAN_POINT('',(53.8189,50.373,0.)); #449109=CARTESIAN_POINT('',(53.8189,50.373,0.035)); #449110=CARTESIAN_POINT('',(53.8189,50.373,0.035)); #449111=CARTESIAN_POINT('',(53.8189,50.373,0.)); #449112=CARTESIAN_POINT('Origin',(53.302606,50.373,0.)); #449113=CARTESIAN_POINT('',(53.302606,50.373,0.)); #449114=CARTESIAN_POINT('',(53.302606,50.373,0.)); #449115=CARTESIAN_POINT('',(53.302606,50.373,0.035)); #449116=CARTESIAN_POINT('',(53.302606,50.373,0.035)); #449117=CARTESIAN_POINT('',(53.302606,50.373,0.)); #449118=CARTESIAN_POINT('Origin',(53.127,50.197394,0.)); #449119=CARTESIAN_POINT('',(53.127,50.197394,0.)); #449120=CARTESIAN_POINT('',(53.127,50.197394,0.)); #449121=CARTESIAN_POINT('',(53.127,50.197394,0.035)); #449122=CARTESIAN_POINT('',(53.127,50.197394,0.035)); #449123=CARTESIAN_POINT('',(53.127,50.197394,0.)); #449124=CARTESIAN_POINT('Origin',(53.127,46.,0.)); #449125=CARTESIAN_POINT('',(53.127,46.,0.)); #449126=CARTESIAN_POINT('',(53.127,46.,0.)); #449127=CARTESIAN_POINT('',(53.127,46.,0.035)); #449128=CARTESIAN_POINT('',(53.127,46.,0.035)); #449129=CARTESIAN_POINT('',(53.127,46.,0.)); #449130=CARTESIAN_POINT('Origin',(52.9999996912748,45.9999992502996,0.)); #449131=CARTESIAN_POINT('',(53.089803,45.910197,0.)); #449132=CARTESIAN_POINT('Origin',(52.9999996912748,45.9999992502996,0.)); #449133=CARTESIAN_POINT('',(53.089803,45.910197,0.035)); #449134=CARTESIAN_POINT('Origin',(52.9999996912748,45.9999992502996,0.035)); #449135=CARTESIAN_POINT('',(53.089803,45.910197,0.)); #449136=CARTESIAN_POINT('Origin',(52.589803,45.410197,0.)); #449137=CARTESIAN_POINT('',(52.589803,45.410197,0.)); #449138=CARTESIAN_POINT('',(52.589803,45.410197,0.)); #449139=CARTESIAN_POINT('',(52.589803,45.410197,0.035)); #449140=CARTESIAN_POINT('',(52.589803,45.410197,0.035)); #449141=CARTESIAN_POINT('',(52.589803,45.410197,0.)); #449142=CARTESIAN_POINT('Origin',(52.5000007497004,45.5000003087252,0.)); #449143=CARTESIAN_POINT('',(52.5,45.373,0.)); #449144=CARTESIAN_POINT('Origin',(52.5000007497004,45.5000003087252,0.)); #449145=CARTESIAN_POINT('',(52.5,45.373,0.035)); #449146=CARTESIAN_POINT('Origin',(52.5000007497004,45.5000003087252,0.035)); #449147=CARTESIAN_POINT('',(52.5,45.373,0.)); #449148=CARTESIAN_POINT('Origin',(50.052606,45.373,0.)); #449149=CARTESIAN_POINT('',(50.052606,45.373,0.)); #449150=CARTESIAN_POINT('',(50.052606,45.373,0.)); #449151=CARTESIAN_POINT('',(50.052606,45.373,0.035)); #449152=CARTESIAN_POINT('',(50.052606,45.373,0.035)); #449153=CARTESIAN_POINT('',(50.052606,45.373,0.)); #449154=CARTESIAN_POINT('Origin',(49.252,44.572394,0.)); #449155=CARTESIAN_POINT('',(49.252,44.572394,0.)); #449156=CARTESIAN_POINT('',(49.252,44.572394,0.)); #449157=CARTESIAN_POINT('',(49.252,44.572394,0.035)); #449158=CARTESIAN_POINT('',(49.252,44.572394,0.035)); #449159=CARTESIAN_POINT('',(49.252,44.572394,0.)); #449160=CARTESIAN_POINT('Origin',(49.252,39.344663,0.)); #449161=CARTESIAN_POINT('',(49.252,39.344663,0.)); #449162=CARTESIAN_POINT('',(49.252,39.344663,0.)); #449163=CARTESIAN_POINT('',(49.252,39.344663,0.035)); #449164=CARTESIAN_POINT('',(49.252,39.344663,0.035)); #449165=CARTESIAN_POINT('',(49.252,39.344663,0.)); #449166=CARTESIAN_POINT('Origin',(49.124998484168,39.3446617502996,0.)); #449167=CARTESIAN_POINT('',(49.214803,39.254859,0.)); #449168=CARTESIAN_POINT('Origin',(49.124998484168,39.3446617502996,0.)); #449169=CARTESIAN_POINT('',(49.214803,39.254859,0.035)); #449170=CARTESIAN_POINT('Origin',(49.124998484168,39.3446617502996,0.035)); #449171=CARTESIAN_POINT('',(49.214803,39.254859,0.)); #449172=CARTESIAN_POINT('Origin',(48.620141,38.660197,0.)); #449173=CARTESIAN_POINT('',(48.620141,38.660197,0.)); #449174=CARTESIAN_POINT('',(48.620141,38.660197,0.)); #449175=CARTESIAN_POINT('',(48.620141,38.660197,0.035)); #449176=CARTESIAN_POINT('',(48.620141,38.660197,0.035)); #449177=CARTESIAN_POINT('',(48.620141,38.660197,0.)); #449178=CARTESIAN_POINT('Origin',(48.5303387497004,38.7500003087252,0.)); #449179=CARTESIAN_POINT('',(48.530338,38.623,0.)); #449180=CARTESIAN_POINT('Origin',(48.5303387497004,38.7500003087252,0.)); #449181=CARTESIAN_POINT('',(48.530338,38.623,0.035)); #449182=CARTESIAN_POINT('Origin',(48.5303387497004,38.7500003087252,0.035)); #449183=CARTESIAN_POINT('',(48.530338,38.623,0.)); #449184=CARTESIAN_POINT('Origin',(44.173956,38.623,0.)); #449185=CARTESIAN_POINT('',(44.173956,38.623,0.)); #449186=CARTESIAN_POINT('',(44.173956,38.623,0.)); #449187=CARTESIAN_POINT('',(44.173956,38.623,0.035)); #449188=CARTESIAN_POINT('',(44.173956,38.623,0.035)); #449189=CARTESIAN_POINT('',(44.173956,38.623,0.)); #449190=CARTESIAN_POINT('Origin',(42.127,36.576044,0.)); #449191=CARTESIAN_POINT('',(42.127,36.576044,0.)); #449192=CARTESIAN_POINT('',(42.127,36.576044,0.)); #449193=CARTESIAN_POINT('',(42.127,36.576044,0.035)); #449194=CARTESIAN_POINT('',(42.127,36.576044,0.035)); #449195=CARTESIAN_POINT('',(42.127,36.576044,0.)); #449196=CARTESIAN_POINT('Origin',(42.127,36.243928,0.)); #449197=CARTESIAN_POINT('',(42.127,36.243928,0.)); #449198=CARTESIAN_POINT('',(42.127,36.243928,0.)); #449199=CARTESIAN_POINT('',(42.127,36.243928,0.035)); #449200=CARTESIAN_POINT('',(42.127,36.243928,0.035)); #449201=CARTESIAN_POINT('',(42.127,36.243928,0.)); #449202=CARTESIAN_POINT('Origin',(41.9999800398209,35.9995359951349,0.)); #449203=CARTESIAN_POINT('',(42.105563,35.745147,0.)); #449204=CARTESIAN_POINT('Origin',(41.9999800398209,35.9995359951349,0.)); #449205=CARTESIAN_POINT('',(42.105563,35.745147,0.035)); #449206=CARTESIAN_POINT('Origin',(41.9999800398209,35.9995359951349,0.035)); #449207=CARTESIAN_POINT('',(42.105563,35.745147,0.)); #449208=CARTESIAN_POINT('Origin',(42.0001782714048,35.9996182585859,0.)); #449209=CARTESIAN_POINT('',(41.873,36.243928,0.)); #449210=CARTESIAN_POINT('Origin',(42.0001782714048,35.9996182585859,0.)); #449211=CARTESIAN_POINT('',(41.873,36.243928,0.035)); #449212=CARTESIAN_POINT('Origin',(42.0001782714048,35.9996182585859,0.035)); #449213=CARTESIAN_POINT('',(41.873,36.243928,0.)); #449214=CARTESIAN_POINT('Origin',(41.873,36.62865,0.)); #449215=CARTESIAN_POINT('',(41.873,36.62865,0.)); #449216=CARTESIAN_POINT('',(41.873,36.62865,0.)); #449217=CARTESIAN_POINT('',(41.873,36.62865,0.035)); #449218=CARTESIAN_POINT('',(41.873,36.62865,0.035)); #449219=CARTESIAN_POINT('',(41.873,36.62865,0.)); #449220=CARTESIAN_POINT('Origin',(42.0000003087252,36.6286507497004,0.)); #449221=CARTESIAN_POINT('',(41.910197,36.718453,0.)); #449222=CARTESIAN_POINT('Origin',(42.0000003087252,36.6286507497004,0.)); #449223=CARTESIAN_POINT('',(41.910197,36.718453,0.035)); #449224=CARTESIAN_POINT('Origin',(42.0000003087252,36.6286507497004,0.035)); #449225=CARTESIAN_POINT('',(41.910197,36.718453,0.)); #449226=CARTESIAN_POINT('Origin',(44.031547,38.839803,0.)); #449227=CARTESIAN_POINT('',(44.031547,38.839803,0.)); #449228=CARTESIAN_POINT('',(44.031547,38.839803,0.)); #449229=CARTESIAN_POINT('',(44.031547,38.839803,0.035)); #449230=CARTESIAN_POINT('',(44.031547,38.839803,0.035)); #449231=CARTESIAN_POINT('',(44.031547,38.839803,0.)); #449232=CARTESIAN_POINT('Origin',(44.1213492502996,38.7499996912748,0.)); #449233=CARTESIAN_POINT('',(44.12135,38.877,0.)); #449234=CARTESIAN_POINT('Origin',(44.1213492502996,38.7499996912748,0.)); #449235=CARTESIAN_POINT('',(44.12135,38.877,0.035)); #449236=CARTESIAN_POINT('Origin',(44.1213492502996,38.7499996912748,0.035)); #449237=CARTESIAN_POINT('',(44.12135,38.877,0.)); #449238=CARTESIAN_POINT('Origin',(48.477731,38.877,0.)); #449239=CARTESIAN_POINT('',(48.477731,38.877,0.)); #449240=CARTESIAN_POINT('',(48.477731,38.877,0.)); #449241=CARTESIAN_POINT('',(48.477731,38.877,0.035)); #449242=CARTESIAN_POINT('',(48.477731,38.877,0.035)); #449243=CARTESIAN_POINT('',(48.477731,38.877,0.)); #449244=CARTESIAN_POINT('Origin',(48.998,39.397269,0.)); #449245=CARTESIAN_POINT('',(48.998,39.397269,0.)); #449246=CARTESIAN_POINT('',(48.998,39.397269,0.)); #449247=CARTESIAN_POINT('',(48.998,39.397269,0.035)); #449248=CARTESIAN_POINT('',(48.998,39.397269,0.035)); #449249=CARTESIAN_POINT('',(48.998,39.397269,0.)); #449250=CARTESIAN_POINT('Origin',(48.998,44.625,0.)); #449251=CARTESIAN_POINT('',(48.998,44.625,0.)); #449252=CARTESIAN_POINT('',(48.998,44.625,0.)); #449253=CARTESIAN_POINT('',(48.998,44.625,0.035)); #449254=CARTESIAN_POINT('',(48.998,44.625,0.035)); #449255=CARTESIAN_POINT('',(48.998,44.625,0.)); #449256=CARTESIAN_POINT('Origin',(49.1250003087252,44.6250007497004,0.)); #449257=CARTESIAN_POINT('',(49.035197,44.714803,0.)); #449258=CARTESIAN_POINT('Origin',(49.1250003087252,44.6250007497004,0.)); #449259=CARTESIAN_POINT('',(49.035197,44.714803,0.035)); #449260=CARTESIAN_POINT('Origin',(49.1250003087252,44.6250007497004,0.035)); #449261=CARTESIAN_POINT('',(49.035197,44.714803,0.)); #449262=CARTESIAN_POINT('Origin',(49.910197,45.589803,0.)); #449263=CARTESIAN_POINT('',(49.910197,45.589803,0.)); #449264=CARTESIAN_POINT('',(49.910197,45.589803,0.)); #449265=CARTESIAN_POINT('',(49.910197,45.589803,0.035)); #449266=CARTESIAN_POINT('',(49.910197,45.589803,0.035)); #449267=CARTESIAN_POINT('',(49.910197,45.589803,0.)); #449268=CARTESIAN_POINT('Origin',(49.9999992502996,45.4999996912748,0.)); #449269=CARTESIAN_POINT('',(50.,45.627,0.)); #449270=CARTESIAN_POINT('Origin',(49.9999992502996,45.4999996912748,0.)); #449271=CARTESIAN_POINT('',(50.,45.627,0.035)); #449272=CARTESIAN_POINT('Origin',(49.9999992502996,45.4999996912748,0.035)); #449273=CARTESIAN_POINT('',(50.,45.627,0.)); #449274=CARTESIAN_POINT('Origin',(52.447394,45.627,0.)); #449275=CARTESIAN_POINT('',(52.447394,45.627,0.)); #449276=CARTESIAN_POINT('',(52.447394,45.627,0.)); #449277=CARTESIAN_POINT('',(52.447394,45.627,0.035)); #449278=CARTESIAN_POINT('',(52.447394,45.627,0.035)); #449279=CARTESIAN_POINT('',(52.447394,45.627,0.)); #449280=CARTESIAN_POINT('Origin',(52.873,46.052606,0.)); #449281=CARTESIAN_POINT('',(52.873,46.052606,0.)); #449282=CARTESIAN_POINT('',(52.873,46.052606,0.)); #449283=CARTESIAN_POINT('',(52.873,46.052606,0.035)); #449284=CARTESIAN_POINT('',(52.873,46.052606,0.035)); #449285=CARTESIAN_POINT('',(52.873,46.052606,0.)); #449286=CARTESIAN_POINT('Origin',(52.873,50.197394,0.)); #449287=CARTESIAN_POINT('',(52.873,50.197394,0.)); #449288=CARTESIAN_POINT('',(52.873,50.197394,0.)); #449289=CARTESIAN_POINT('',(52.873,50.197394,0.035)); #449290=CARTESIAN_POINT('',(52.873,50.197394,0.035)); #449291=CARTESIAN_POINT('',(52.873,50.197394,0.)); #449292=CARTESIAN_POINT('Origin',(52.697394,50.373,0.)); #449293=CARTESIAN_POINT('',(52.697394,50.373,0.)); #449294=CARTESIAN_POINT('',(52.697394,50.373,0.)); #449295=CARTESIAN_POINT('',(52.697394,50.373,0.035)); #449296=CARTESIAN_POINT('',(52.697394,50.373,0.035)); #449297=CARTESIAN_POINT('',(52.697394,50.373,0.)); #449298=CARTESIAN_POINT('Origin',(52.1811,50.373,0.)); #449299=CARTESIAN_POINT('',(52.1811,50.373,0.)); #449300=CARTESIAN_POINT('',(52.1811,50.373,0.)); #449301=CARTESIAN_POINT('',(52.1811,50.373,0.035)); #449302=CARTESIAN_POINT('',(52.1811,50.373,0.035)); #449303=CARTESIAN_POINT('',(52.1811,50.373,0.)); #449304=CARTESIAN_POINT('Origin',(52.1811,50.0555,0.)); #449305=CARTESIAN_POINT('',(52.1811,50.0555,0.)); #449306=CARTESIAN_POINT('',(52.1811,50.0555,0.)); #449307=CARTESIAN_POINT('',(52.1811,50.0555,0.035)); #449308=CARTESIAN_POINT('',(52.1811,50.0555,0.035)); #449309=CARTESIAN_POINT('',(52.1811,50.0555,0.)); #449310=CARTESIAN_POINT('Origin',(51.3429,50.0555,0.)); #449311=CARTESIAN_POINT('',(51.3429,50.0555,0.)); #449312=CARTESIAN_POINT('',(51.3429,50.0555,0.)); #449313=CARTESIAN_POINT('',(51.3429,50.0555,0.035)); #449314=CARTESIAN_POINT('',(51.3429,50.0555,0.035)); #449315=CARTESIAN_POINT('',(51.3429,50.0555,0.)); #449316=CARTESIAN_POINT('Origin',(51.3429,50.9445,0.)); #449317=CARTESIAN_POINT('',(51.3429,50.9445,0.)); #449318=CARTESIAN_POINT('',(51.3429,50.9445,0.)); #449319=CARTESIAN_POINT('',(51.3429,50.9445,0.035)); #449320=CARTESIAN_POINT('',(51.3429,50.9445,0.035)); #449321=CARTESIAN_POINT('',(51.3429,50.9445,0.)); #449322=CARTESIAN_POINT('Origin',(52.1811,50.9445,0.)); #449323=CARTESIAN_POINT('',(52.1811,50.9445,0.)); #449324=CARTESIAN_POINT('',(52.1811,50.9445,0.035)); #449325=CARTESIAN_POINT('Origin',(49.284934876715,43.6057652269642,0.035)); #449326=CARTESIAN_POINT('Origin',(49.284934876715,43.6057652269642,0.)); #449327=CARTESIAN_POINT('Origin',(42.,31.,-200.)); #449328=CARTESIAN_POINT('',(41.86,31.,0.)); #449329=CARTESIAN_POINT('Origin',(42.,31.,0.)); #449330=CARTESIAN_POINT('',(41.86,31.,0.0349999999999895)); #449331=CARTESIAN_POINT('',(41.86,31.,-200.)); #449332=CARTESIAN_POINT('Origin',(42.,31.,0.0349999999999895)); #449333=CARTESIAN_POINT('Origin',(36.3875,20.0875,0.)); #449334=CARTESIAN_POINT('',(36.3875,20.0875,0.)); #449335=CARTESIAN_POINT('',(36.3875,20.7125,0.)); #449336=CARTESIAN_POINT('',(36.3875,20.0875,0.)); #449337=CARTESIAN_POINT('',(36.3875,20.7125,0.035)); #449338=CARTESIAN_POINT('',(36.3875,20.7125,0.)); #449339=CARTESIAN_POINT('',(36.3875,20.0875,0.035)); #449340=CARTESIAN_POINT('',(36.3875,20.0875,0.035)); #449341=CARTESIAN_POINT('',(36.3875,20.0875,0.)); #449342=CARTESIAN_POINT('Origin',(36.1125,20.0875,0.)); #449343=CARTESIAN_POINT('',(36.1125,20.0875,0.)); #449344=CARTESIAN_POINT('',(36.1125,20.0875,0.)); #449345=CARTESIAN_POINT('',(36.1125,20.0875,0.035)); #449346=CARTESIAN_POINT('',(36.1125,20.0875,0.035)); #449347=CARTESIAN_POINT('',(36.1125,20.0875,0.)); #449348=CARTESIAN_POINT('Origin',(36.1125,20.7125,0.)); #449349=CARTESIAN_POINT('',(36.1125,20.7125,0.)); #449350=CARTESIAN_POINT('',(36.1125,20.7125,0.)); #449351=CARTESIAN_POINT('',(36.1125,20.7125,0.035)); #449352=CARTESIAN_POINT('',(36.1125,20.7125,0.035)); #449353=CARTESIAN_POINT('',(36.1125,20.7125,0.)); #449354=CARTESIAN_POINT('Origin',(36.123,20.7125,0.)); #449355=CARTESIAN_POINT('',(36.123,20.7125,0.)); #449356=CARTESIAN_POINT('',(36.123,20.7125,0.)); #449357=CARTESIAN_POINT('',(36.123,20.7125,0.035)); #449358=CARTESIAN_POINT('',(36.123,20.7125,0.035)); #449359=CARTESIAN_POINT('',(36.123,20.7125,0.)); #449360=CARTESIAN_POINT('Origin',(36.123,21.848313,0.)); #449361=CARTESIAN_POINT('',(36.123,21.848313,0.)); #449362=CARTESIAN_POINT('',(36.123,21.848313,0.)); #449363=CARTESIAN_POINT('',(36.123,21.848313,0.035)); #449364=CARTESIAN_POINT('',(36.123,21.848313,0.035)); #449365=CARTESIAN_POINT('',(36.123,21.848313,0.)); #449366=CARTESIAN_POINT('Origin',(36.2500003087252,21.8483137497004,0.)); #449367=CARTESIAN_POINT('',(36.160197,21.938116,0.)); #449368=CARTESIAN_POINT('Origin',(36.2500003087252,21.8483137497004,0.)); #449369=CARTESIAN_POINT('',(36.160197,21.938116,0.035)); #449370=CARTESIAN_POINT('Origin',(36.2500003087252,21.8483137497004,0.035)); #449371=CARTESIAN_POINT('',(36.160197,21.938116,0.)); #449372=CARTESIAN_POINT('Origin',(38.748,24.525919,0.)); #449373=CARTESIAN_POINT('',(38.748,24.525919,0.)); #449374=CARTESIAN_POINT('',(38.748,24.525919,0.)); #449375=CARTESIAN_POINT('',(38.748,24.525919,0.035)); #449376=CARTESIAN_POINT('',(38.748,24.525919,0.035)); #449377=CARTESIAN_POINT('',(38.748,24.525919,0.)); #449378=CARTESIAN_POINT('Origin',(38.748,26.155338,0.)); #449379=CARTESIAN_POINT('',(38.748,26.155338,0.)); #449380=CARTESIAN_POINT('',(38.748,26.155338,0.)); #449381=CARTESIAN_POINT('',(38.748,26.155338,0.035)); #449382=CARTESIAN_POINT('',(38.748,26.155338,0.035)); #449383=CARTESIAN_POINT('',(38.748,26.155338,0.)); #449384=CARTESIAN_POINT('Origin',(38.8750003087252,26.1553387497004,0.)); #449385=CARTESIAN_POINT('',(38.785197,26.245141,0.)); #449386=CARTESIAN_POINT('Origin',(38.8750003087252,26.1553387497004,0.)); #449387=CARTESIAN_POINT('',(38.785197,26.245141,0.035)); #449388=CARTESIAN_POINT('Origin',(38.8750003087252,26.1553387497004,0.035)); #449389=CARTESIAN_POINT('',(38.785197,26.245141,0.)); #449390=CARTESIAN_POINT('Origin',(41.873,29.332944,0.)); #449391=CARTESIAN_POINT('',(41.873,29.332944,0.)); #449392=CARTESIAN_POINT('',(41.873,29.332944,0.)); #449393=CARTESIAN_POINT('',(41.873,29.332944,0.035)); #449394=CARTESIAN_POINT('',(41.873,29.332944,0.035)); #449395=CARTESIAN_POINT('',(41.873,29.332944,0.)); #449396=CARTESIAN_POINT('Origin',(41.873,30.756072,0.)); #449397=CARTESIAN_POINT('',(41.873,30.756072,0.)); #449398=CARTESIAN_POINT('',(41.873,30.756072,0.)); #449399=CARTESIAN_POINT('',(41.873,30.756072,0.035)); #449400=CARTESIAN_POINT('',(41.873,30.756072,0.035)); #449401=CARTESIAN_POINT('',(41.873,30.756072,0.)); #449402=CARTESIAN_POINT('Origin',(42.0000204601791,31.0004637716938,0.)); #449403=CARTESIAN_POINT('',(41.894438,31.254853,0.)); #449404=CARTESIAN_POINT('Origin',(42.0000204601791,31.0004637716938,0.)); #449405=CARTESIAN_POINT('',(41.894438,31.254853,0.035)); #449406=CARTESIAN_POINT('Origin',(42.0000204601791,31.0004637716938,0.035)); #449407=CARTESIAN_POINT('',(41.894438,31.254853,0.)); #449408=CARTESIAN_POINT('Origin',(41.9998222285952,31.000381763261,0.)); #449409=CARTESIAN_POINT('',(42.127,30.756072,0.)); #449410=CARTESIAN_POINT('Origin',(41.9998222285952,31.000381763261,0.)); #449411=CARTESIAN_POINT('',(42.127,30.756072,0.035)); #449412=CARTESIAN_POINT('Origin',(41.9998222285952,31.000381763261,0.035)); #449413=CARTESIAN_POINT('',(42.127,30.756072,0.)); #449414=CARTESIAN_POINT('Origin',(42.127,29.280338,0.)); #449415=CARTESIAN_POINT('',(42.127,29.280338,0.)); #449416=CARTESIAN_POINT('',(42.127,29.280338,0.)); #449417=CARTESIAN_POINT('',(42.127,29.280338,0.035)); #449418=CARTESIAN_POINT('',(42.127,29.280338,0.035)); #449419=CARTESIAN_POINT('',(42.127,29.280338,0.)); #449420=CARTESIAN_POINT('Origin',(41.999998484168,29.2803367502996,0.)); #449421=CARTESIAN_POINT('',(42.089803,29.190534,0.)); #449422=CARTESIAN_POINT('Origin',(41.999998484168,29.2803367502996,0.)); #449423=CARTESIAN_POINT('',(42.089803,29.190534,0.035)); #449424=CARTESIAN_POINT('Origin',(41.999998484168,29.2803367502996,0.035)); #449425=CARTESIAN_POINT('',(42.089803,29.190534,0.)); #449426=CARTESIAN_POINT('Origin',(39.002,26.102731,0.)); #449427=CARTESIAN_POINT('',(39.002,26.102731,0.)); #449428=CARTESIAN_POINT('',(39.002,26.102731,0.)); #449429=CARTESIAN_POINT('',(39.002,26.102731,0.035)); #449430=CARTESIAN_POINT('',(39.002,26.102731,0.035)); #449431=CARTESIAN_POINT('',(39.002,26.102731,0.)); #449432=CARTESIAN_POINT('Origin',(39.002,24.473313,0.)); #449433=CARTESIAN_POINT('',(39.002,24.473313,0.)); #449434=CARTESIAN_POINT('',(39.002,24.473313,0.)); #449435=CARTESIAN_POINT('',(39.002,24.473313,0.035)); #449436=CARTESIAN_POINT('',(39.002,24.473313,0.035)); #449437=CARTESIAN_POINT('',(39.002,24.473313,0.)); #449438=CARTESIAN_POINT('Origin',(38.874998484168,24.4733117502996,0.)); #449439=CARTESIAN_POINT('',(38.964803,24.383509,0.)); #449440=CARTESIAN_POINT('Origin',(38.874998484168,24.4733117502996,0.)); #449441=CARTESIAN_POINT('',(38.964803,24.383509,0.035)); #449442=CARTESIAN_POINT('Origin',(38.874998484168,24.4733117502996,0.035)); #449443=CARTESIAN_POINT('',(38.964803,24.383509,0.)); #449444=CARTESIAN_POINT('Origin',(36.377,21.795706,0.)); #449445=CARTESIAN_POINT('',(36.377,21.795706,0.)); #449446=CARTESIAN_POINT('',(36.377,21.795706,0.)); #449447=CARTESIAN_POINT('',(36.377,21.795706,0.035)); #449448=CARTESIAN_POINT('',(36.377,21.795706,0.035)); #449449=CARTESIAN_POINT('',(36.377,21.795706,0.)); #449450=CARTESIAN_POINT('Origin',(36.377,20.7125,0.)); #449451=CARTESIAN_POINT('',(36.377,20.7125,0.)); #449452=CARTESIAN_POINT('',(36.377,20.7125,0.)); #449453=CARTESIAN_POINT('',(36.377,20.7125,0.035)); #449454=CARTESIAN_POINT('',(36.377,20.7125,0.035)); #449455=CARTESIAN_POINT('',(36.377,20.7125,0.)); #449456=CARTESIAN_POINT('Origin',(36.3875,20.7125,0.)); #449457=CARTESIAN_POINT('',(36.3875,20.7125,0.)); #449458=CARTESIAN_POINT('',(36.3875,20.7125,0.035)); #449459=CARTESIAN_POINT('Origin',(39.1566207652118,25.6985021638584,0.035)); #449460=CARTESIAN_POINT('Origin',(39.1566207652118,25.6985021638584,0.)); #449461=CARTESIAN_POINT('Origin',(34.762,60.25,-200.)); #449462=CARTESIAN_POINT('',(34.622,60.25,0.)); #449463=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #449464=CARTESIAN_POINT('',(34.622,60.25,0.0349999999999895)); #449465=CARTESIAN_POINT('',(34.622,60.25,-200.)); #449466=CARTESIAN_POINT('Origin',(34.762,60.25,0.0349999999999895)); #449467=CARTESIAN_POINT('Origin',(35.1811,59.8055,0.)); #449468=CARTESIAN_POINT('',(35.1811,59.8055,0.)); #449469=CARTESIAN_POINT('',(35.1811,60.6945,0.)); #449470=CARTESIAN_POINT('',(35.1811,59.8055,0.)); #449471=CARTESIAN_POINT('',(35.1811,60.6945,0.035)); #449472=CARTESIAN_POINT('',(35.1811,60.6945,0.)); #449473=CARTESIAN_POINT('',(35.1811,59.8055,0.035)); #449474=CARTESIAN_POINT('',(35.1811,59.8055,0.035)); #449475=CARTESIAN_POINT('',(35.1811,59.8055,0.)); #449476=CARTESIAN_POINT('Origin',(34.3429,59.8055,0.)); #449477=CARTESIAN_POINT('',(34.3429,59.8055,0.)); #449478=CARTESIAN_POINT('',(34.3429,59.8055,0.)); #449479=CARTESIAN_POINT('',(34.3429,59.8055,0.035)); #449480=CARTESIAN_POINT('',(34.3429,59.8055,0.035)); #449481=CARTESIAN_POINT('',(34.3429,59.8055,0.)); #449482=CARTESIAN_POINT('Origin',(34.3429,60.6945,0.)); #449483=CARTESIAN_POINT('',(34.3429,60.6945,0.)); #449484=CARTESIAN_POINT('',(34.3429,60.6945,0.)); #449485=CARTESIAN_POINT('',(34.3429,60.6945,0.035)); #449486=CARTESIAN_POINT('',(34.3429,60.6945,0.035)); #449487=CARTESIAN_POINT('',(34.3429,60.6945,0.)); #449488=CARTESIAN_POINT('Origin',(35.1811,60.6945,0.)); #449489=CARTESIAN_POINT('',(35.1811,60.6945,0.)); #449490=CARTESIAN_POINT('',(35.1811,60.6945,0.035)); #449491=CARTESIAN_POINT('Origin',(34.762,60.25,0.035)); #449492=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #449493=CARTESIAN_POINT('Origin',(38.762,60.25,-200.)); #449494=CARTESIAN_POINT('',(38.622,60.25,0.)); #449495=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #449496=CARTESIAN_POINT('',(38.622,60.25,0.0349999999999895)); #449497=CARTESIAN_POINT('',(38.622,60.25,-200.)); #449498=CARTESIAN_POINT('Origin',(38.762,60.25,0.0349999999999895)); #449499=CARTESIAN_POINT('Origin',(39.1811,59.8055,0.)); #449500=CARTESIAN_POINT('',(39.1811,59.8055,0.)); #449501=CARTESIAN_POINT('',(39.1811,60.6945,0.)); #449502=CARTESIAN_POINT('',(39.1811,59.8055,0.)); #449503=CARTESIAN_POINT('',(39.1811,60.6945,0.035)); #449504=CARTESIAN_POINT('',(39.1811,60.6945,0.)); #449505=CARTESIAN_POINT('',(39.1811,59.8055,0.035)); #449506=CARTESIAN_POINT('',(39.1811,59.8055,0.035)); #449507=CARTESIAN_POINT('',(39.1811,59.8055,0.)); #449508=CARTESIAN_POINT('Origin',(38.3429,59.8055,0.)); #449509=CARTESIAN_POINT('',(38.3429,59.8055,0.)); #449510=CARTESIAN_POINT('',(38.3429,59.8055,0.)); #449511=CARTESIAN_POINT('',(38.3429,59.8055,0.035)); #449512=CARTESIAN_POINT('',(38.3429,59.8055,0.035)); #449513=CARTESIAN_POINT('',(38.3429,59.8055,0.)); #449514=CARTESIAN_POINT('Origin',(38.3429,60.6945,0.)); #449515=CARTESIAN_POINT('',(38.3429,60.6945,0.)); #449516=CARTESIAN_POINT('',(38.3429,60.6945,0.)); #449517=CARTESIAN_POINT('',(38.3429,60.6945,0.035)); #449518=CARTESIAN_POINT('',(38.3429,60.6945,0.035)); #449519=CARTESIAN_POINT('',(38.3429,60.6945,0.)); #449520=CARTESIAN_POINT('Origin',(39.1811,60.6945,0.)); #449521=CARTESIAN_POINT('',(39.1811,60.6945,0.)); #449522=CARTESIAN_POINT('',(39.1811,60.6945,0.035)); #449523=CARTESIAN_POINT('Origin',(38.762,60.25,0.035)); #449524=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #449525=CARTESIAN_POINT('Origin',(34.0625,12.25,-200.)); #449526=CARTESIAN_POINT('',(33.9225,12.25,0.)); #449527=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #449528=CARTESIAN_POINT('',(33.9225,12.25,0.0349999999999895)); #449529=CARTESIAN_POINT('',(33.9225,12.25,-200.)); #449530=CARTESIAN_POINT('Origin',(34.0625,12.25,0.0349999999999895)); #449531=CARTESIAN_POINT('Origin',(34.084144,11.975,0.)); #449532=CARTESIAN_POINT('',(34.084144,11.975,0.)); #449533=CARTESIAN_POINT('',(34.126897,11.981772,0.)); #449534=CARTESIAN_POINT('',(34.084144,11.975,0.)); #449535=CARTESIAN_POINT('',(34.126897,11.981772,0.035)); #449536=CARTESIAN_POINT('',(34.126897,11.981772,0.)); #449537=CARTESIAN_POINT('',(34.084144,11.975,0.035)); #449538=CARTESIAN_POINT('',(34.084144,11.975,0.035)); #449539=CARTESIAN_POINT('',(34.084144,11.975,0.)); #449540=CARTESIAN_POINT('Origin',(34.0628155524372,12.2496034813592,0.)); #449541=CARTESIAN_POINT('',(33.800213,12.332681,0.)); #449542=CARTESIAN_POINT('Origin',(34.0628155524372,12.2496034813592,0.)); #449543=CARTESIAN_POINT('',(33.800213,12.332681,0.035)); #449544=CARTESIAN_POINT('Origin',(34.0628155524372,12.2496034813592,0.035)); #449545=CARTESIAN_POINT('',(33.800213,12.332681,0.)); #449546=CARTESIAN_POINT('Origin',(33.660197,12.472697,0.)); #449547=CARTESIAN_POINT('',(33.660197,12.472697,0.)); #449548=CARTESIAN_POINT('',(33.660197,12.472697,0.)); #449549=CARTESIAN_POINT('',(33.660197,12.472697,0.035)); #449550=CARTESIAN_POINT('',(33.660197,12.472697,0.035)); #449551=CARTESIAN_POINT('',(33.660197,12.472697,0.)); #449552=CARTESIAN_POINT('Origin',(33.7500003087252,12.5624992502996,0.)); #449553=CARTESIAN_POINT('',(33.623,12.5625,0.)); #449554=CARTESIAN_POINT('Origin',(33.7500003087252,12.5624992502996,0.)); #449555=CARTESIAN_POINT('',(33.623,12.5625,0.035)); #449556=CARTESIAN_POINT('Origin',(33.7500003087252,12.5624992502996,0.035)); #449557=CARTESIAN_POINT('',(33.623,12.5625,0.)); #449558=CARTESIAN_POINT('Origin',(33.623,13.2875,0.)); #449559=CARTESIAN_POINT('',(33.623,13.2875,0.)); #449560=CARTESIAN_POINT('',(33.623,13.2875,0.)); #449561=CARTESIAN_POINT('',(33.623,13.2875,0.035)); #449562=CARTESIAN_POINT('',(33.623,13.2875,0.035)); #449563=CARTESIAN_POINT('',(33.623,13.2875,0.)); #449564=CARTESIAN_POINT('Origin',(33.6125,13.2875,0.)); #449565=CARTESIAN_POINT('',(33.6125,13.2875,0.)); #449566=CARTESIAN_POINT('',(33.6125,13.2875,0.)); #449567=CARTESIAN_POINT('',(33.6125,13.2875,0.035)); #449568=CARTESIAN_POINT('',(33.6125,13.2875,0.035)); #449569=CARTESIAN_POINT('',(33.6125,13.2875,0.)); #449570=CARTESIAN_POINT('Origin',(33.6125,13.9125,0.)); #449571=CARTESIAN_POINT('',(33.6125,13.9125,0.)); #449572=CARTESIAN_POINT('',(33.6125,13.9125,0.)); #449573=CARTESIAN_POINT('',(33.6125,13.9125,0.035)); #449574=CARTESIAN_POINT('',(33.6125,13.9125,0.035)); #449575=CARTESIAN_POINT('',(33.6125,13.9125,0.)); #449576=CARTESIAN_POINT('Origin',(33.8875,13.9125,0.)); #449577=CARTESIAN_POINT('',(33.8875,13.9125,0.)); #449578=CARTESIAN_POINT('',(33.8875,13.9125,0.)); #449579=CARTESIAN_POINT('',(33.8875,13.9125,0.035)); #449580=CARTESIAN_POINT('',(33.8875,13.9125,0.035)); #449581=CARTESIAN_POINT('',(33.8875,13.9125,0.)); #449582=CARTESIAN_POINT('Origin',(33.8875,13.2875,0.)); #449583=CARTESIAN_POINT('',(33.8875,13.2875,0.)); #449584=CARTESIAN_POINT('',(33.8875,13.2875,0.)); #449585=CARTESIAN_POINT('',(33.8875,13.2875,0.035)); #449586=CARTESIAN_POINT('',(33.8875,13.2875,0.035)); #449587=CARTESIAN_POINT('',(33.8875,13.2875,0.)); #449588=CARTESIAN_POINT('Origin',(33.877,13.2875,0.)); #449589=CARTESIAN_POINT('',(33.877,13.2875,0.)); #449590=CARTESIAN_POINT('',(33.877,13.2875,0.)); #449591=CARTESIAN_POINT('',(33.877,13.2875,0.035)); #449592=CARTESIAN_POINT('',(33.877,13.2875,0.035)); #449593=CARTESIAN_POINT('',(33.877,13.2875,0.)); #449594=CARTESIAN_POINT('Origin',(33.877,12.615106,0.)); #449595=CARTESIAN_POINT('',(33.877,12.615106,0.)); #449596=CARTESIAN_POINT('',(33.877,12.615106,0.)); #449597=CARTESIAN_POINT('',(33.877,12.615106,0.035)); #449598=CARTESIAN_POINT('',(33.877,12.615106,0.035)); #449599=CARTESIAN_POINT('',(33.877,12.615106,0.)); #449600=CARTESIAN_POINT('Origin',(33.979819,12.512288,0.)); #449601=CARTESIAN_POINT('',(33.979819,12.512288,0.)); #449602=CARTESIAN_POINT('',(33.979819,12.512288,0.)); #449603=CARTESIAN_POINT('',(33.979819,12.512288,0.035)); #449604=CARTESIAN_POINT('',(33.979819,12.512288,0.035)); #449605=CARTESIAN_POINT('',(33.979819,12.512288,0.)); #449606=CARTESIAN_POINT('Origin',(33.998103,12.518228,0.)); #449607=CARTESIAN_POINT('',(33.998103,12.518228,0.)); #449608=CARTESIAN_POINT('',(33.998103,12.518228,0.)); #449609=CARTESIAN_POINT('',(33.998103,12.518228,0.035)); #449610=CARTESIAN_POINT('',(33.998103,12.518228,0.035)); #449611=CARTESIAN_POINT('',(33.998103,12.518228,0.)); #449612=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #449613=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #449614=CARTESIAN_POINT('Origin',(34.0625,12.25,0.035)); #449615=CARTESIAN_POINT('Origin',(33.8533052452561,12.9049823991978,0.035)); #449616=CARTESIAN_POINT('Origin',(33.8533052452561,12.9049823991978,0.)); #449617=CARTESIAN_POINT('Origin',(31.5,25.25,-200.)); #449618=CARTESIAN_POINT('',(31.035,25.25,0.)); #449619=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #449620=CARTESIAN_POINT('',(31.035,25.25,0.0349999999999895)); #449621=CARTESIAN_POINT('',(31.035,25.25,-200.)); #449622=CARTESIAN_POINT('Origin',(31.5,25.25,0.0349999999999895)); #449623=CARTESIAN_POINT('Origin',(31.536844,24.5,0.)); #449624=CARTESIAN_POINT('',(31.536844,24.5,0.)); #449625=CARTESIAN_POINT('',(31.610181,24.507222,0.)); #449626=CARTESIAN_POINT('',(31.536844,24.5,0.)); #449627=CARTESIAN_POINT('',(31.610181,24.507222,0.035)); #449628=CARTESIAN_POINT('',(31.610181,24.507222,0.)); #449629=CARTESIAN_POINT('',(31.536844,24.5,0.035)); #449630=CARTESIAN_POINT('',(31.536844,24.5,0.035)); #449631=CARTESIAN_POINT('',(31.536844,24.5,0.)); #449632=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,0.)); #449633=CARTESIAN_POINT('',(31.389819,25.992778,0.)); #449634=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,0.)); #449635=CARTESIAN_POINT('',(31.389819,25.992778,0.035)); #449636=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,0.035)); #449637=CARTESIAN_POINT('',(31.389819,25.992778,0.)); #449638=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #449639=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #449640=CARTESIAN_POINT('Origin',(31.5,25.25,0.035)); #449641=CARTESIAN_POINT('Origin',(31.4983877860344,25.2486960162912,0.035)); #449642=CARTESIAN_POINT('Origin',(31.4983877860344,25.2486960162912,0.)); #449643=CARTESIAN_POINT('Origin',(34.,23.125,-200.)); #449644=CARTESIAN_POINT('',(33.86,23.125,0.)); #449645=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #449646=CARTESIAN_POINT('',(33.86,23.125,0.0349999999999895)); #449647=CARTESIAN_POINT('',(33.86,23.125,-200.)); #449648=CARTESIAN_POINT('Origin',(34.,23.125,0.0349999999999895)); #449649=CARTESIAN_POINT('Origin',(34.,25.25,-200.)); #449650=CARTESIAN_POINT('',(33.535,25.25,0.)); #449651=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #449652=CARTESIAN_POINT('',(33.535,25.25,0.0349999999999895)); #449653=CARTESIAN_POINT('',(33.535,25.25,-200.)); #449654=CARTESIAN_POINT('Origin',(34.,25.25,0.0349999999999895)); #449655=CARTESIAN_POINT('Origin',(34.021644,22.85,0.)); #449656=CARTESIAN_POINT('',(34.021644,22.85,0.)); #449657=CARTESIAN_POINT('',(34.064397,22.856772,0.)); #449658=CARTESIAN_POINT('',(34.021644,22.85,0.)); #449659=CARTESIAN_POINT('',(34.064397,22.856772,0.035)); #449660=CARTESIAN_POINT('',(34.064397,22.856772,0.)); #449661=CARTESIAN_POINT('',(34.021644,22.85,0.035)); #449662=CARTESIAN_POINT('',(34.021644,22.85,0.035)); #449663=CARTESIAN_POINT('',(34.021644,22.85,0.)); #449664=CARTESIAN_POINT('Origin',(34.000118471131,23.1245872515008,0.)); #449665=CARTESIAN_POINT('',(33.873,23.368928,0.)); #449666=CARTESIAN_POINT('Origin',(34.000118471131,23.1245872515008,0.)); #449667=CARTESIAN_POINT('',(33.873,23.368928,0.035)); #449668=CARTESIAN_POINT('Origin',(34.000118471131,23.1245872515008,0.035)); #449669=CARTESIAN_POINT('',(33.873,23.368928,0.)); #449670=CARTESIAN_POINT('Origin',(33.873,24.510569,0.)); #449671=CARTESIAN_POINT('',(33.873,24.510569,0.)); #449672=CARTESIAN_POINT('',(33.873,24.510569,0.)); #449673=CARTESIAN_POINT('',(33.873,24.510569,0.035)); #449674=CARTESIAN_POINT('',(33.873,24.510569,0.035)); #449675=CARTESIAN_POINT('',(33.873,24.510569,0.)); #449676=CARTESIAN_POINT('Origin',(34.0000038341175,25.2503277801282,0.)); #449677=CARTESIAN_POINT('',(33.889819,25.992778,0.)); #449678=CARTESIAN_POINT('Origin',(34.0000038341175,25.2503277801282,0.)); #449679=CARTESIAN_POINT('',(33.889819,25.992778,0.035)); #449680=CARTESIAN_POINT('Origin',(34.0000038341175,25.2503277801282,0.035)); #449681=CARTESIAN_POINT('',(33.889819,25.992778,0.)); #449682=CARTESIAN_POINT('Origin',(33.9999489832548,25.250319660007,0.)); #449683=CARTESIAN_POINT('',(34.127,24.510569,0.)); #449684=CARTESIAN_POINT('Origin',(33.9999489832548,25.250319660007,0.)); #449685=CARTESIAN_POINT('',(34.127,24.510569,0.035)); #449686=CARTESIAN_POINT('Origin',(33.9999489832548,25.250319660007,0.035)); #449687=CARTESIAN_POINT('',(34.127,24.510569,0.)); #449688=CARTESIAN_POINT('Origin',(34.127,23.368928,0.)); #449689=CARTESIAN_POINT('',(34.127,23.368928,0.)); #449690=CARTESIAN_POINT('',(34.127,23.368928,0.)); #449691=CARTESIAN_POINT('',(34.127,23.368928,0.035)); #449692=CARTESIAN_POINT('',(34.127,23.368928,0.035)); #449693=CARTESIAN_POINT('',(34.127,23.368928,0.)); #449694=CARTESIAN_POINT('Origin',(33.9999453752955,23.124554310534,0.)); #449695=CARTESIAN_POINT('Origin',(33.9999453752955,23.124554310534,0.)); #449696=CARTESIAN_POINT('Origin',(33.9999453752955,23.124554310534,0.035)); #449697=CARTESIAN_POINT('Origin',(33.9975582586068,24.5191898424235,0.035)); #449698=CARTESIAN_POINT('Origin',(33.9975582586068,24.5191898424235,0.)); #449699=CARTESIAN_POINT('Origin',(36.5,25.25,-200.)); #449700=CARTESIAN_POINT('',(36.035,25.25,0.)); #449701=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #449702=CARTESIAN_POINT('',(36.035,25.25,0.0349999999999895)); #449703=CARTESIAN_POINT('',(36.035,25.25,-200.)); #449704=CARTESIAN_POINT('Origin',(36.5,25.25,0.0349999999999895)); #449705=CARTESIAN_POINT('Origin',(36.536844,24.5,0.)); #449706=CARTESIAN_POINT('',(36.536844,24.5,0.)); #449707=CARTESIAN_POINT('',(36.610181,24.507222,0.)); #449708=CARTESIAN_POINT('',(36.536844,24.5,0.)); #449709=CARTESIAN_POINT('',(36.610181,24.507222,0.035)); #449710=CARTESIAN_POINT('',(36.610181,24.507222,0.)); #449711=CARTESIAN_POINT('',(36.536844,24.5,0.035)); #449712=CARTESIAN_POINT('',(36.536844,24.5,0.035)); #449713=CARTESIAN_POINT('',(36.536844,24.5,0.)); #449714=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,0.)); #449715=CARTESIAN_POINT('',(36.389819,25.992778,0.)); #449716=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,0.)); #449717=CARTESIAN_POINT('',(36.389819,25.992778,0.035)); #449718=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,0.035)); #449719=CARTESIAN_POINT('',(36.389819,25.992778,0.)); #449720=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #449721=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #449722=CARTESIAN_POINT('Origin',(36.5,25.25,0.035)); #449723=CARTESIAN_POINT('Origin',(36.4983877860344,25.2486960162912,0.035)); #449724=CARTESIAN_POINT('Origin',(36.4983877860344,25.2486960162912,0.)); #449725=CARTESIAN_POINT('Origin',(30.19,45.,-200.)); #449726=CARTESIAN_POINT('',(29.625,45.,0.)); #449727=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #449728=CARTESIAN_POINT('',(29.625,45.,0.0349999999999895)); #449729=CARTESIAN_POINT('',(29.625,45.,-200.)); #449730=CARTESIAN_POINT('Origin',(30.19,45.,0.0349999999999895)); #449731=CARTESIAN_POINT('Origin',(31.09,44.1,0.)); #449732=CARTESIAN_POINT('',(31.09,44.1,0.)); #449733=CARTESIAN_POINT('',(31.09,45.9,0.)); #449734=CARTESIAN_POINT('',(31.09,44.1,0.)); #449735=CARTESIAN_POINT('',(31.09,45.9,0.035)); #449736=CARTESIAN_POINT('',(31.09,45.9,0.)); #449737=CARTESIAN_POINT('',(31.09,44.1,0.035)); #449738=CARTESIAN_POINT('',(31.09,44.1,0.035)); #449739=CARTESIAN_POINT('',(31.09,44.1,0.)); #449740=CARTESIAN_POINT('Origin',(29.29,44.1,0.)); #449741=CARTESIAN_POINT('',(29.29,44.1,0.)); #449742=CARTESIAN_POINT('',(29.29,44.1,0.)); #449743=CARTESIAN_POINT('',(29.29,44.1,0.035)); #449744=CARTESIAN_POINT('',(29.29,44.1,0.035)); #449745=CARTESIAN_POINT('',(29.29,44.1,0.)); #449746=CARTESIAN_POINT('Origin',(29.29,45.9,0.)); #449747=CARTESIAN_POINT('',(29.29,45.9,0.)); #449748=CARTESIAN_POINT('',(29.29,45.9,0.)); #449749=CARTESIAN_POINT('',(29.29,45.9,0.035)); #449750=CARTESIAN_POINT('',(29.29,45.9,0.035)); #449751=CARTESIAN_POINT('',(29.29,45.9,0.)); #449752=CARTESIAN_POINT('Origin',(31.09,45.9,0.)); #449753=CARTESIAN_POINT('',(31.09,45.9,0.)); #449754=CARTESIAN_POINT('',(31.09,45.9,0.035)); #449755=CARTESIAN_POINT('Origin',(30.19,45.,0.035)); #449756=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #449757=CARTESIAN_POINT('Origin',(26.,36.,-200.)); #449758=CARTESIAN_POINT('',(25.86,36.,0.)); #449759=CARTESIAN_POINT('Origin',(26.,36.,0.)); #449760=CARTESIAN_POINT('',(25.86,36.,0.0349999999999895)); #449761=CARTESIAN_POINT('',(25.86,36.,-200.)); #449762=CARTESIAN_POINT('Origin',(26.,36.,0.0349999999999895)); #449763=CARTESIAN_POINT('Origin',(14.1811,50.627,0.)); #449764=CARTESIAN_POINT('',(14.1811,50.627,0.)); #449765=CARTESIAN_POINT('',(14.1811,50.9445,0.)); #449766=CARTESIAN_POINT('',(14.1811,50.627,0.)); #449767=CARTESIAN_POINT('',(14.1811,50.9445,0.035)); #449768=CARTESIAN_POINT('',(14.1811,50.9445,0.)); #449769=CARTESIAN_POINT('',(14.1811,50.627,0.035)); #449770=CARTESIAN_POINT('',(14.1811,50.627,0.035)); #449771=CARTESIAN_POINT('',(14.1811,50.627,0.)); #449772=CARTESIAN_POINT('Origin',(15.8189,50.627,0.)); #449773=CARTESIAN_POINT('',(15.8189,50.627,0.)); #449774=CARTESIAN_POINT('',(15.8189,50.627,0.)); #449775=CARTESIAN_POINT('',(15.8189,50.627,0.035)); #449776=CARTESIAN_POINT('',(15.8189,50.627,0.035)); #449777=CARTESIAN_POINT('',(15.8189,50.627,0.)); #449778=CARTESIAN_POINT('Origin',(15.8189,50.9445,0.)); #449779=CARTESIAN_POINT('',(15.8189,50.9445,0.)); #449780=CARTESIAN_POINT('',(15.8189,50.9445,0.)); #449781=CARTESIAN_POINT('',(15.8189,50.9445,0.035)); #449782=CARTESIAN_POINT('',(15.8189,50.9445,0.035)); #449783=CARTESIAN_POINT('',(15.8189,50.9445,0.)); #449784=CARTESIAN_POINT('Origin',(16.6571,50.9445,0.)); #449785=CARTESIAN_POINT('',(16.6571,50.9445,0.)); #449786=CARTESIAN_POINT('',(16.6571,50.9445,0.)); #449787=CARTESIAN_POINT('',(16.6571,50.9445,0.035)); #449788=CARTESIAN_POINT('',(16.6571,50.9445,0.035)); #449789=CARTESIAN_POINT('',(16.6571,50.9445,0.)); #449790=CARTESIAN_POINT('Origin',(16.6571,50.0555,0.)); #449791=CARTESIAN_POINT('',(16.6571,50.0555,0.)); #449792=CARTESIAN_POINT('',(16.6571,50.0555,0.)); #449793=CARTESIAN_POINT('',(16.6571,50.0555,0.035)); #449794=CARTESIAN_POINT('',(16.6571,50.0555,0.035)); #449795=CARTESIAN_POINT('',(16.6571,50.0555,0.)); #449796=CARTESIAN_POINT('Origin',(15.8189,50.0555,0.)); #449797=CARTESIAN_POINT('',(15.8189,50.0555,0.)); #449798=CARTESIAN_POINT('',(15.8189,50.0555,0.)); #449799=CARTESIAN_POINT('',(15.8189,50.0555,0.035)); #449800=CARTESIAN_POINT('',(15.8189,50.0555,0.035)); #449801=CARTESIAN_POINT('',(15.8189,50.0555,0.)); #449802=CARTESIAN_POINT('Origin',(15.8189,50.373,0.)); #449803=CARTESIAN_POINT('',(15.8189,50.373,0.)); #449804=CARTESIAN_POINT('',(15.8189,50.373,0.)); #449805=CARTESIAN_POINT('',(15.8189,50.373,0.035)); #449806=CARTESIAN_POINT('',(15.8189,50.373,0.035)); #449807=CARTESIAN_POINT('',(15.8189,50.373,0.)); #449808=CARTESIAN_POINT('Origin',(15.302606,50.373,0.)); #449809=CARTESIAN_POINT('',(15.302606,50.373,0.)); #449810=CARTESIAN_POINT('',(15.302606,50.373,0.)); #449811=CARTESIAN_POINT('',(15.302606,50.373,0.035)); #449812=CARTESIAN_POINT('',(15.302606,50.373,0.035)); #449813=CARTESIAN_POINT('',(15.302606,50.373,0.)); #449814=CARTESIAN_POINT('Origin',(15.127,50.197394,0.)); #449815=CARTESIAN_POINT('',(15.127,50.197394,0.)); #449816=CARTESIAN_POINT('',(15.127,50.197394,0.)); #449817=CARTESIAN_POINT('',(15.127,50.197394,0.035)); #449818=CARTESIAN_POINT('',(15.127,50.197394,0.035)); #449819=CARTESIAN_POINT('',(15.127,50.197394,0.)); #449820=CARTESIAN_POINT('Origin',(15.127,46.052606,0.)); #449821=CARTESIAN_POINT('',(15.127,46.052606,0.)); #449822=CARTESIAN_POINT('',(15.127,46.052606,0.)); #449823=CARTESIAN_POINT('',(15.127,46.052606,0.035)); #449824=CARTESIAN_POINT('',(15.127,46.052606,0.035)); #449825=CARTESIAN_POINT('',(15.127,46.052606,0.)); #449826=CARTESIAN_POINT('Origin',(15.552606,45.627,0.)); #449827=CARTESIAN_POINT('',(15.552606,45.627,0.)); #449828=CARTESIAN_POINT('',(15.552606,45.627,0.)); #449829=CARTESIAN_POINT('',(15.552606,45.627,0.035)); #449830=CARTESIAN_POINT('',(15.552606,45.627,0.035)); #449831=CARTESIAN_POINT('',(15.552606,45.627,0.)); #449832=CARTESIAN_POINT('Origin',(18.,45.627,0.)); #449833=CARTESIAN_POINT('',(18.,45.627,0.)); #449834=CARTESIAN_POINT('',(18.,45.627,0.)); #449835=CARTESIAN_POINT('',(18.,45.627,0.035)); #449836=CARTESIAN_POINT('',(18.,45.627,0.035)); #449837=CARTESIAN_POINT('',(18.,45.627,0.)); #449838=CARTESIAN_POINT('Origin',(18.0000007497004,45.4999996912748,0.)); #449839=CARTESIAN_POINT('',(18.089803,45.589803,0.)); #449840=CARTESIAN_POINT('Origin',(18.0000007497004,45.4999996912748,0.)); #449841=CARTESIAN_POINT('',(18.089803,45.589803,0.035)); #449842=CARTESIAN_POINT('Origin',(18.0000007497004,45.4999996912748,0.035)); #449843=CARTESIAN_POINT('',(18.089803,45.589803,0.)); #449844=CARTESIAN_POINT('Origin',(18.964803,44.714803,0.)); #449845=CARTESIAN_POINT('',(18.964803,44.714803,0.)); #449846=CARTESIAN_POINT('',(18.964803,44.714803,0.)); #449847=CARTESIAN_POINT('',(18.964803,44.714803,0.035)); #449848=CARTESIAN_POINT('',(18.964803,44.714803,0.035)); #449849=CARTESIAN_POINT('',(18.964803,44.714803,0.)); #449850=CARTESIAN_POINT('Origin',(18.8749996912748,44.6250007497004,0.)); #449851=CARTESIAN_POINT('',(19.002,44.625,0.)); #449852=CARTESIAN_POINT('Origin',(18.8749996912748,44.6250007497004,0.)); #449853=CARTESIAN_POINT('',(19.002,44.625,0.035)); #449854=CARTESIAN_POINT('Origin',(18.8749996912748,44.6250007497004,0.035)); #449855=CARTESIAN_POINT('',(19.002,44.625,0.)); #449856=CARTESIAN_POINT('Origin',(19.002,39.397269,0.)); #449857=CARTESIAN_POINT('',(19.002,39.397269,0.)); #449858=CARTESIAN_POINT('',(19.002,39.397269,0.)); #449859=CARTESIAN_POINT('',(19.002,39.397269,0.035)); #449860=CARTESIAN_POINT('',(19.002,39.397269,0.035)); #449861=CARTESIAN_POINT('',(19.002,39.397269,0.)); #449862=CARTESIAN_POINT('Origin',(19.522269,38.877,0.)); #449863=CARTESIAN_POINT('',(19.522269,38.877,0.)); #449864=CARTESIAN_POINT('',(19.522269,38.877,0.)); #449865=CARTESIAN_POINT('',(19.522269,38.877,0.035)); #449866=CARTESIAN_POINT('',(19.522269,38.877,0.035)); #449867=CARTESIAN_POINT('',(19.522269,38.877,0.)); #449868=CARTESIAN_POINT('Origin',(23.87865,38.877,0.)); #449869=CARTESIAN_POINT('',(23.87865,38.877,0.)); #449870=CARTESIAN_POINT('',(23.87865,38.877,0.)); #449871=CARTESIAN_POINT('',(23.87865,38.877,0.035)); #449872=CARTESIAN_POINT('',(23.87865,38.877,0.035)); #449873=CARTESIAN_POINT('',(23.87865,38.877,0.)); #449874=CARTESIAN_POINT('Origin',(23.8786507497004,38.7499996912748,0.)); #449875=CARTESIAN_POINT('',(23.968453,38.839803,0.)); #449876=CARTESIAN_POINT('Origin',(23.8786507497004,38.7499996912748,0.)); #449877=CARTESIAN_POINT('',(23.968453,38.839803,0.035)); #449878=CARTESIAN_POINT('Origin',(23.8786507497004,38.7499996912748,0.035)); #449879=CARTESIAN_POINT('',(23.968453,38.839803,0.)); #449880=CARTESIAN_POINT('Origin',(26.089803,36.718453,0.)); #449881=CARTESIAN_POINT('',(26.089803,36.718453,0.)); #449882=CARTESIAN_POINT('',(26.089803,36.718453,0.)); #449883=CARTESIAN_POINT('',(26.089803,36.718453,0.035)); #449884=CARTESIAN_POINT('',(26.089803,36.718453,0.035)); #449885=CARTESIAN_POINT('',(26.089803,36.718453,0.)); #449886=CARTESIAN_POINT('Origin',(25.9999996912748,36.6286507497004,0.)); #449887=CARTESIAN_POINT('',(26.127,36.62865,0.)); #449888=CARTESIAN_POINT('Origin',(25.9999996912748,36.6286507497004,0.)); #449889=CARTESIAN_POINT('',(26.127,36.62865,0.035)); #449890=CARTESIAN_POINT('Origin',(25.9999996912748,36.6286507497004,0.035)); #449891=CARTESIAN_POINT('',(26.127,36.62865,0.)); #449892=CARTESIAN_POINT('Origin',(26.127,36.243928,0.)); #449893=CARTESIAN_POINT('',(26.127,36.243928,0.)); #449894=CARTESIAN_POINT('',(26.127,36.243928,0.)); #449895=CARTESIAN_POINT('',(26.127,36.243928,0.035)); #449896=CARTESIAN_POINT('',(26.127,36.243928,0.035)); #449897=CARTESIAN_POINT('',(26.127,36.243928,0.)); #449898=CARTESIAN_POINT('Origin',(25.9999800398209,35.9995359951349,0.)); #449899=CARTESIAN_POINT('',(26.105563,35.745147,0.)); #449900=CARTESIAN_POINT('Origin',(25.9999800398209,35.9995359951349,0.)); #449901=CARTESIAN_POINT('',(26.105563,35.745147,0.035)); #449902=CARTESIAN_POINT('Origin',(25.9999800398209,35.9995359951349,0.035)); #449903=CARTESIAN_POINT('',(26.105563,35.745147,0.)); #449904=CARTESIAN_POINT('Origin',(26.0001782714048,35.9996182585859,0.)); #449905=CARTESIAN_POINT('',(25.873,36.243928,0.)); #449906=CARTESIAN_POINT('Origin',(26.0001782714048,35.9996182585859,0.)); #449907=CARTESIAN_POINT('',(25.873,36.243928,0.035)); #449908=CARTESIAN_POINT('Origin',(26.0001782714048,35.9996182585859,0.035)); #449909=CARTESIAN_POINT('',(25.873,36.243928,0.)); #449910=CARTESIAN_POINT('Origin',(25.873,36.576044,0.)); #449911=CARTESIAN_POINT('',(25.873,36.576044,0.)); #449912=CARTESIAN_POINT('',(25.873,36.576044,0.)); #449913=CARTESIAN_POINT('',(25.873,36.576044,0.035)); #449914=CARTESIAN_POINT('',(25.873,36.576044,0.035)); #449915=CARTESIAN_POINT('',(25.873,36.576044,0.)); #449916=CARTESIAN_POINT('Origin',(23.826044,38.623,0.)); #449917=CARTESIAN_POINT('',(23.826044,38.623,0.)); #449918=CARTESIAN_POINT('',(23.826044,38.623,0.)); #449919=CARTESIAN_POINT('',(23.826044,38.623,0.035)); #449920=CARTESIAN_POINT('',(23.826044,38.623,0.035)); #449921=CARTESIAN_POINT('',(23.826044,38.623,0.)); #449922=CARTESIAN_POINT('Origin',(19.469663,38.623,0.)); #449923=CARTESIAN_POINT('',(19.469663,38.623,0.)); #449924=CARTESIAN_POINT('',(19.469663,38.623,0.)); #449925=CARTESIAN_POINT('',(19.469663,38.623,0.035)); #449926=CARTESIAN_POINT('',(19.469663,38.623,0.035)); #449927=CARTESIAN_POINT('',(19.469663,38.623,0.)); #449928=CARTESIAN_POINT('Origin',(19.4696617502996,38.750001515832,0.)); #449929=CARTESIAN_POINT('',(19.379859,38.660197,0.)); #449930=CARTESIAN_POINT('Origin',(19.4696617502996,38.750001515832,0.)); #449931=CARTESIAN_POINT('',(19.379859,38.660197,0.035)); #449932=CARTESIAN_POINT('Origin',(19.4696617502996,38.750001515832,0.035)); #449933=CARTESIAN_POINT('',(19.379859,38.660197,0.)); #449934=CARTESIAN_POINT('Origin',(18.785197,39.254859,0.)); #449935=CARTESIAN_POINT('',(18.785197,39.254859,0.)); #449936=CARTESIAN_POINT('',(18.785197,39.254859,0.)); #449937=CARTESIAN_POINT('',(18.785197,39.254859,0.035)); #449938=CARTESIAN_POINT('',(18.785197,39.254859,0.035)); #449939=CARTESIAN_POINT('',(18.785197,39.254859,0.)); #449940=CARTESIAN_POINT('Origin',(18.875001515832,39.3446617502996,0.)); #449941=CARTESIAN_POINT('',(18.748,39.344663,0.)); #449942=CARTESIAN_POINT('Origin',(18.875001515832,39.3446617502996,0.)); #449943=CARTESIAN_POINT('',(18.748,39.344663,0.035)); #449944=CARTESIAN_POINT('Origin',(18.875001515832,39.3446617502996,0.035)); #449945=CARTESIAN_POINT('',(18.748,39.344663,0.)); #449946=CARTESIAN_POINT('Origin',(18.748,44.572394,0.)); #449947=CARTESIAN_POINT('',(18.748,44.572394,0.)); #449948=CARTESIAN_POINT('',(18.748,44.572394,0.)); #449949=CARTESIAN_POINT('',(18.748,44.572394,0.035)); #449950=CARTESIAN_POINT('',(18.748,44.572394,0.035)); #449951=CARTESIAN_POINT('',(18.748,44.572394,0.)); #449952=CARTESIAN_POINT('Origin',(17.947394,45.373,0.)); #449953=CARTESIAN_POINT('',(17.947394,45.373,0.)); #449954=CARTESIAN_POINT('',(17.947394,45.373,0.)); #449955=CARTESIAN_POINT('',(17.947394,45.373,0.035)); #449956=CARTESIAN_POINT('',(17.947394,45.373,0.035)); #449957=CARTESIAN_POINT('',(17.947394,45.373,0.)); #449958=CARTESIAN_POINT('Origin',(15.5,45.373,0.)); #449959=CARTESIAN_POINT('',(15.5,45.373,0.)); #449960=CARTESIAN_POINT('',(15.5,45.373,0.)); #449961=CARTESIAN_POINT('',(15.5,45.373,0.035)); #449962=CARTESIAN_POINT('',(15.5,45.373,0.035)); #449963=CARTESIAN_POINT('',(15.5,45.373,0.)); #449964=CARTESIAN_POINT('Origin',(15.4999992502996,45.5000003087252,0.)); #449965=CARTESIAN_POINT('',(15.410197,45.410197,0.)); #449966=CARTESIAN_POINT('Origin',(15.4999992502996,45.5000003087252,0.)); #449967=CARTESIAN_POINT('',(15.410197,45.410197,0.035)); #449968=CARTESIAN_POINT('Origin',(15.4999992502996,45.5000003087252,0.035)); #449969=CARTESIAN_POINT('',(15.410197,45.410197,0.)); #449970=CARTESIAN_POINT('Origin',(14.910197,45.910197,0.)); #449971=CARTESIAN_POINT('',(14.910197,45.910197,0.)); #449972=CARTESIAN_POINT('',(14.910197,45.910197,0.)); #449973=CARTESIAN_POINT('',(14.910197,45.910197,0.035)); #449974=CARTESIAN_POINT('',(14.910197,45.910197,0.035)); #449975=CARTESIAN_POINT('',(14.910197,45.910197,0.)); #449976=CARTESIAN_POINT('Origin',(15.0000003087252,45.9999992502996,0.)); #449977=CARTESIAN_POINT('',(14.873,46.,0.)); #449978=CARTESIAN_POINT('Origin',(15.0000003087252,45.9999992502996,0.)); #449979=CARTESIAN_POINT('',(14.873,46.,0.035)); #449980=CARTESIAN_POINT('Origin',(15.0000003087252,45.9999992502996,0.035)); #449981=CARTESIAN_POINT('',(14.873,46.,0.)); #449982=CARTESIAN_POINT('Origin',(14.873,50.197394,0.)); #449983=CARTESIAN_POINT('',(14.873,50.197394,0.)); #449984=CARTESIAN_POINT('',(14.873,50.197394,0.)); #449985=CARTESIAN_POINT('',(14.873,50.197394,0.035)); #449986=CARTESIAN_POINT('',(14.873,50.197394,0.035)); #449987=CARTESIAN_POINT('',(14.873,50.197394,0.)); #449988=CARTESIAN_POINT('Origin',(14.697394,50.373,0.)); #449989=CARTESIAN_POINT('',(14.697394,50.373,0.)); #449990=CARTESIAN_POINT('',(14.697394,50.373,0.)); #449991=CARTESIAN_POINT('',(14.697394,50.373,0.035)); #449992=CARTESIAN_POINT('',(14.697394,50.373,0.035)); #449993=CARTESIAN_POINT('',(14.697394,50.373,0.)); #449994=CARTESIAN_POINT('Origin',(14.1811,50.373,0.)); #449995=CARTESIAN_POINT('',(14.1811,50.373,0.)); #449996=CARTESIAN_POINT('',(14.1811,50.373,0.)); #449997=CARTESIAN_POINT('',(14.1811,50.373,0.035)); #449998=CARTESIAN_POINT('',(14.1811,50.373,0.035)); #449999=CARTESIAN_POINT('',(14.1811,50.373,0.)); #450000=CARTESIAN_POINT('Origin',(14.1811,50.0555,0.)); #450001=CARTESIAN_POINT('',(14.1811,50.0555,0.)); #450002=CARTESIAN_POINT('',(14.1811,50.0555,0.)); #450003=CARTESIAN_POINT('',(14.1811,50.0555,0.035)); #450004=CARTESIAN_POINT('',(14.1811,50.0555,0.035)); #450005=CARTESIAN_POINT('',(14.1811,50.0555,0.)); #450006=CARTESIAN_POINT('Origin',(13.3429,50.0555,0.)); #450007=CARTESIAN_POINT('',(13.3429,50.0555,0.)); #450008=CARTESIAN_POINT('',(13.3429,50.0555,0.)); #450009=CARTESIAN_POINT('',(13.3429,50.0555,0.035)); #450010=CARTESIAN_POINT('',(13.3429,50.0555,0.035)); #450011=CARTESIAN_POINT('',(13.3429,50.0555,0.)); #450012=CARTESIAN_POINT('Origin',(13.3429,50.9445,0.)); #450013=CARTESIAN_POINT('',(13.3429,50.9445,0.)); #450014=CARTESIAN_POINT('',(13.3429,50.9445,0.)); #450015=CARTESIAN_POINT('',(13.3429,50.9445,0.035)); #450016=CARTESIAN_POINT('',(13.3429,50.9445,0.035)); #450017=CARTESIAN_POINT('',(13.3429,50.9445,0.)); #450018=CARTESIAN_POINT('Origin',(14.1811,50.9445,0.)); #450019=CARTESIAN_POINT('',(14.1811,50.9445,0.)); #450020=CARTESIAN_POINT('',(14.1811,50.9445,0.035)); #450021=CARTESIAN_POINT('Origin',(18.7152913946059,43.605765231695,0.035)); #450022=CARTESIAN_POINT('Origin',(18.7152913946059,43.605765231695,0.)); #450023=CARTESIAN_POINT('Origin',(26.,31.,-200.)); #450024=CARTESIAN_POINT('',(25.86,31.,0.)); #450025=CARTESIAN_POINT('Origin',(26.,31.,0.)); #450026=CARTESIAN_POINT('',(25.86,31.,0.0349999999999895)); #450027=CARTESIAN_POINT('',(25.86,31.,-200.)); #450028=CARTESIAN_POINT('Origin',(26.,31.,0.0349999999999895)); #450029=CARTESIAN_POINT('Origin',(30.9125,19.6125,0.)); #450030=CARTESIAN_POINT('',(30.9125,19.6125,0.)); #450031=CARTESIAN_POINT('',(30.9125,19.8875,0.)); #450032=CARTESIAN_POINT('',(30.9125,19.6125,0.)); #450033=CARTESIAN_POINT('',(30.9125,19.8875,0.035)); #450034=CARTESIAN_POINT('',(30.9125,19.8875,0.)); #450035=CARTESIAN_POINT('',(30.9125,19.6125,0.035)); #450036=CARTESIAN_POINT('',(30.9125,19.6125,0.035)); #450037=CARTESIAN_POINT('',(30.9125,19.6125,0.)); #450038=CARTESIAN_POINT('Origin',(30.2875,19.6125,0.)); #450039=CARTESIAN_POINT('',(30.2875,19.6125,0.)); #450040=CARTESIAN_POINT('',(30.2875,19.6125,0.)); #450041=CARTESIAN_POINT('',(30.2875,19.6125,0.035)); #450042=CARTESIAN_POINT('',(30.2875,19.6125,0.035)); #450043=CARTESIAN_POINT('',(30.2875,19.6125,0.)); #450044=CARTESIAN_POINT('Origin',(30.2875,19.623,0.)); #450045=CARTESIAN_POINT('',(30.2875,19.623,0.)); #450046=CARTESIAN_POINT('',(30.2875,19.623,0.)); #450047=CARTESIAN_POINT('',(30.2875,19.623,0.035)); #450048=CARTESIAN_POINT('',(30.2875,19.623,0.035)); #450049=CARTESIAN_POINT('',(30.2875,19.623,0.)); #450050=CARTESIAN_POINT('Origin',(29.75,19.623,0.)); #450051=CARTESIAN_POINT('',(29.75,19.623,0.)); #450052=CARTESIAN_POINT('',(29.75,19.623,0.)); #450053=CARTESIAN_POINT('',(29.75,19.623,0.035)); #450054=CARTESIAN_POINT('',(29.75,19.623,0.035)); #450055=CARTESIAN_POINT('',(29.75,19.623,0.)); #450056=CARTESIAN_POINT('Origin',(29.7499992502996,19.7500003087252,0.)); #450057=CARTESIAN_POINT('',(29.660197,19.660197,0.)); #450058=CARTESIAN_POINT('Origin',(29.7499992502996,19.7500003087252,0.)); #450059=CARTESIAN_POINT('',(29.660197,19.660197,0.035)); #450060=CARTESIAN_POINT('Origin',(29.7499992502996,19.7500003087252,0.035)); #450061=CARTESIAN_POINT('',(29.660197,19.660197,0.)); #450062=CARTESIAN_POINT('Origin',(29.035197,20.285197,0.)); #450063=CARTESIAN_POINT('',(29.035197,20.285197,0.)); #450064=CARTESIAN_POINT('',(29.035197,20.285197,0.)); #450065=CARTESIAN_POINT('',(29.035197,20.285197,0.035)); #450066=CARTESIAN_POINT('',(29.035197,20.285197,0.035)); #450067=CARTESIAN_POINT('',(29.035197,20.285197,0.)); #450068=CARTESIAN_POINT('Origin',(29.1250003087252,20.3749992502996,0.)); #450069=CARTESIAN_POINT('',(28.998,20.375,0.)); #450070=CARTESIAN_POINT('Origin',(29.1250003087252,20.3749992502996,0.)); #450071=CARTESIAN_POINT('',(28.998,20.375,0.035)); #450072=CARTESIAN_POINT('Origin',(29.1250003087252,20.3749992502996,0.035)); #450073=CARTESIAN_POINT('',(28.998,20.375,0.)); #450074=CARTESIAN_POINT('Origin',(28.998,26.102731,0.)); #450075=CARTESIAN_POINT('',(28.998,26.102731,0.)); #450076=CARTESIAN_POINT('',(28.998,26.102731,0.)); #450077=CARTESIAN_POINT('',(28.998,26.102731,0.035)); #450078=CARTESIAN_POINT('',(28.998,26.102731,0.035)); #450079=CARTESIAN_POINT('',(28.998,26.102731,0.)); #450080=CARTESIAN_POINT('Origin',(25.910197,29.190534,0.)); #450081=CARTESIAN_POINT('',(25.910197,29.190534,0.)); #450082=CARTESIAN_POINT('',(25.910197,29.190534,0.)); #450083=CARTESIAN_POINT('',(25.910197,29.190534,0.035)); #450084=CARTESIAN_POINT('',(25.910197,29.190534,0.035)); #450085=CARTESIAN_POINT('',(25.910197,29.190534,0.)); #450086=CARTESIAN_POINT('Origin',(26.000001515832,29.2803367502996,0.)); #450087=CARTESIAN_POINT('',(25.873,29.280338,0.)); #450088=CARTESIAN_POINT('Origin',(26.000001515832,29.2803367502996,0.)); #450089=CARTESIAN_POINT('',(25.873,29.280338,0.035)); #450090=CARTESIAN_POINT('Origin',(26.000001515832,29.2803367502996,0.035)); #450091=CARTESIAN_POINT('',(25.873,29.280338,0.)); #450092=CARTESIAN_POINT('Origin',(25.873,30.756072,0.)); #450093=CARTESIAN_POINT('',(25.873,30.756072,0.)); #450094=CARTESIAN_POINT('',(25.873,30.756072,0.)); #450095=CARTESIAN_POINT('',(25.873,30.756072,0.035)); #450096=CARTESIAN_POINT('',(25.873,30.756072,0.035)); #450097=CARTESIAN_POINT('',(25.873,30.756072,0.)); #450098=CARTESIAN_POINT('Origin',(26.0000204601791,31.0004637716938,0.)); #450099=CARTESIAN_POINT('',(25.894438,31.254853,0.)); #450100=CARTESIAN_POINT('Origin',(26.0000204601791,31.0004637716938,0.)); #450101=CARTESIAN_POINT('',(25.894438,31.254853,0.035)); #450102=CARTESIAN_POINT('Origin',(26.0000204601791,31.0004637716938,0.035)); #450103=CARTESIAN_POINT('',(25.894438,31.254853,0.)); #450104=CARTESIAN_POINT('Origin',(25.9998222285952,31.000381763261,0.)); #450105=CARTESIAN_POINT('',(26.127,30.756072,0.)); #450106=CARTESIAN_POINT('Origin',(25.9998222285952,31.000381763261,0.)); #450107=CARTESIAN_POINT('',(26.127,30.756072,0.035)); #450108=CARTESIAN_POINT('Origin',(25.9998222285952,31.000381763261,0.035)); #450109=CARTESIAN_POINT('',(26.127,30.756072,0.)); #450110=CARTESIAN_POINT('Origin',(26.127,29.332944,0.)); #450111=CARTESIAN_POINT('',(26.127,29.332944,0.)); #450112=CARTESIAN_POINT('',(26.127,29.332944,0.)); #450113=CARTESIAN_POINT('',(26.127,29.332944,0.035)); #450114=CARTESIAN_POINT('',(26.127,29.332944,0.035)); #450115=CARTESIAN_POINT('',(26.127,29.332944,0.)); #450116=CARTESIAN_POINT('Origin',(29.214803,26.245141,0.)); #450117=CARTESIAN_POINT('',(29.214803,26.245141,0.)); #450118=CARTESIAN_POINT('',(29.214803,26.245141,0.)); #450119=CARTESIAN_POINT('',(29.214803,26.245141,0.035)); #450120=CARTESIAN_POINT('',(29.214803,26.245141,0.035)); #450121=CARTESIAN_POINT('',(29.214803,26.245141,0.)); #450122=CARTESIAN_POINT('Origin',(29.1249996912748,26.1553387497004,0.)); #450123=CARTESIAN_POINT('',(29.252,26.155338,0.)); #450124=CARTESIAN_POINT('Origin',(29.1249996912748,26.1553387497004,0.)); #450125=CARTESIAN_POINT('',(29.252,26.155338,0.035)); #450126=CARTESIAN_POINT('Origin',(29.1249996912748,26.1553387497004,0.035)); #450127=CARTESIAN_POINT('',(29.252,26.155338,0.)); #450128=CARTESIAN_POINT('Origin',(29.252,20.427606,0.)); #450129=CARTESIAN_POINT('',(29.252,20.427606,0.)); #450130=CARTESIAN_POINT('',(29.252,20.427606,0.)); #450131=CARTESIAN_POINT('',(29.252,20.427606,0.035)); #450132=CARTESIAN_POINT('',(29.252,20.427606,0.035)); #450133=CARTESIAN_POINT('',(29.252,20.427606,0.)); #450134=CARTESIAN_POINT('Origin',(29.802606,19.877,0.)); #450135=CARTESIAN_POINT('',(29.802606,19.877,0.)); #450136=CARTESIAN_POINT('',(29.802606,19.877,0.)); #450137=CARTESIAN_POINT('',(29.802606,19.877,0.035)); #450138=CARTESIAN_POINT('',(29.802606,19.877,0.035)); #450139=CARTESIAN_POINT('',(29.802606,19.877,0.)); #450140=CARTESIAN_POINT('Origin',(30.2875,19.877,0.)); #450141=CARTESIAN_POINT('',(30.2875,19.877,0.)); #450142=CARTESIAN_POINT('',(30.2875,19.877,0.)); #450143=CARTESIAN_POINT('',(30.2875,19.877,0.035)); #450144=CARTESIAN_POINT('',(30.2875,19.877,0.035)); #450145=CARTESIAN_POINT('',(30.2875,19.877,0.)); #450146=CARTESIAN_POINT('Origin',(30.2875,19.8875,0.)); #450147=CARTESIAN_POINT('',(30.2875,19.8875,0.)); #450148=CARTESIAN_POINT('',(30.2875,19.8875,0.)); #450149=CARTESIAN_POINT('',(30.2875,19.8875,0.035)); #450150=CARTESIAN_POINT('',(30.2875,19.8875,0.035)); #450151=CARTESIAN_POINT('',(30.2875,19.8875,0.)); #450152=CARTESIAN_POINT('Origin',(30.9125,19.8875,0.)); #450153=CARTESIAN_POINT('',(30.9125,19.8875,0.)); #450154=CARTESIAN_POINT('',(30.9125,19.8875,0.035)); #450155=CARTESIAN_POINT('Origin',(28.3105463995539,25.1761637447075,0.035)); #450156=CARTESIAN_POINT('Origin',(28.3105463995539,25.1761637447075,0.)); #450157=CARTESIAN_POINT('Origin',(31.4311,52.5555,0.)); #450158=CARTESIAN_POINT('',(31.4311,52.5555,0.)); #450159=CARTESIAN_POINT('',(31.4311,53.4445,0.)); #450160=CARTESIAN_POINT('',(31.4311,52.5555,0.)); #450161=CARTESIAN_POINT('',(31.4311,53.4445,0.035)); #450162=CARTESIAN_POINT('',(31.4311,53.4445,0.)); #450163=CARTESIAN_POINT('',(31.4311,52.5555,0.035)); #450164=CARTESIAN_POINT('',(31.4311,52.5555,0.035)); #450165=CARTESIAN_POINT('',(31.4311,52.5555,0.)); #450166=CARTESIAN_POINT('Origin',(30.5929,52.5555,0.)); #450167=CARTESIAN_POINT('',(30.5929,52.5555,0.)); #450168=CARTESIAN_POINT('',(30.5929,52.5555,0.)); #450169=CARTESIAN_POINT('',(30.5929,52.5555,0.035)); #450170=CARTESIAN_POINT('',(30.5929,52.5555,0.035)); #450171=CARTESIAN_POINT('',(30.5929,52.5555,0.)); #450172=CARTESIAN_POINT('Origin',(30.5929,53.4445,0.)); #450173=CARTESIAN_POINT('',(30.5929,53.4445,0.)); #450174=CARTESIAN_POINT('',(30.5929,53.4445,0.)); #450175=CARTESIAN_POINT('',(30.5929,53.4445,0.035)); #450176=CARTESIAN_POINT('',(30.5929,53.4445,0.035)); #450177=CARTESIAN_POINT('',(30.5929,53.4445,0.)); #450178=CARTESIAN_POINT('Origin',(30.885,53.4445,0.)); #450179=CARTESIAN_POINT('',(30.885,53.4445,0.)); #450180=CARTESIAN_POINT('',(30.885,53.4445,0.)); #450181=CARTESIAN_POINT('',(30.885,53.4445,0.035)); #450182=CARTESIAN_POINT('',(30.885,53.4445,0.035)); #450183=CARTESIAN_POINT('',(30.885,53.4445,0.)); #450184=CARTESIAN_POINT('Origin',(30.885,56.5555,0.)); #450185=CARTESIAN_POINT('',(30.885,56.5555,0.)); #450186=CARTESIAN_POINT('',(30.885,56.5555,0.)); #450187=CARTESIAN_POINT('',(30.885,56.5555,0.035)); #450188=CARTESIAN_POINT('',(30.885,56.5555,0.035)); #450189=CARTESIAN_POINT('',(30.885,56.5555,0.)); #450190=CARTESIAN_POINT('Origin',(30.5929,56.5555,0.)); #450191=CARTESIAN_POINT('',(30.5929,56.5555,0.)); #450192=CARTESIAN_POINT('',(30.5929,56.5555,0.)); #450193=CARTESIAN_POINT('',(30.5929,56.5555,0.035)); #450194=CARTESIAN_POINT('',(30.5929,56.5555,0.035)); #450195=CARTESIAN_POINT('',(30.5929,56.5555,0.)); #450196=CARTESIAN_POINT('Origin',(30.5929,57.4445,0.)); #450197=CARTESIAN_POINT('',(30.5929,57.4445,0.)); #450198=CARTESIAN_POINT('',(30.5929,57.4445,0.)); #450199=CARTESIAN_POINT('',(30.5929,57.4445,0.035)); #450200=CARTESIAN_POINT('',(30.5929,57.4445,0.035)); #450201=CARTESIAN_POINT('',(30.5929,57.4445,0.)); #450202=CARTESIAN_POINT('Origin',(31.4311,57.4445,0.)); #450203=CARTESIAN_POINT('',(31.4311,57.4445,0.)); #450204=CARTESIAN_POINT('',(31.4311,57.4445,0.)); #450205=CARTESIAN_POINT('',(31.4311,57.4445,0.035)); #450206=CARTESIAN_POINT('',(31.4311,57.4445,0.035)); #450207=CARTESIAN_POINT('',(31.4311,57.4445,0.)); #450208=CARTESIAN_POINT('Origin',(31.4311,56.5555,0.)); #450209=CARTESIAN_POINT('',(31.4311,56.5555,0.)); #450210=CARTESIAN_POINT('',(31.4311,56.5555,0.)); #450211=CARTESIAN_POINT('',(31.4311,56.5555,0.035)); #450212=CARTESIAN_POINT('',(31.4311,56.5555,0.035)); #450213=CARTESIAN_POINT('',(31.4311,56.5555,0.)); #450214=CARTESIAN_POINT('Origin',(31.139,56.5555,0.)); #450215=CARTESIAN_POINT('',(31.139,56.5555,0.)); #450216=CARTESIAN_POINT('',(31.139,56.5555,0.)); #450217=CARTESIAN_POINT('',(31.139,56.5555,0.035)); #450218=CARTESIAN_POINT('',(31.139,56.5555,0.035)); #450219=CARTESIAN_POINT('',(31.139,56.5555,0.)); #450220=CARTESIAN_POINT('Origin',(31.139,53.4445,0.)); #450221=CARTESIAN_POINT('',(31.139,53.4445,0.)); #450222=CARTESIAN_POINT('',(31.139,53.4445,0.)); #450223=CARTESIAN_POINT('',(31.139,53.4445,0.035)); #450224=CARTESIAN_POINT('',(31.139,53.4445,0.035)); #450225=CARTESIAN_POINT('',(31.139,53.4445,0.)); #450226=CARTESIAN_POINT('Origin',(31.4311,53.4445,0.)); #450227=CARTESIAN_POINT('',(31.4311,53.4445,0.)); #450228=CARTESIAN_POINT('',(31.4311,53.4445,0.035)); #450229=CARTESIAN_POINT('Origin',(31.012,55.,0.035)); #450230=CARTESIAN_POINT('Origin',(31.012,55.,0.)); #450231=CARTESIAN_POINT('Origin',(37.4071,52.5555,0.)); #450232=CARTESIAN_POINT('',(37.4071,52.5555,0.)); #450233=CARTESIAN_POINT('',(37.4071,53.4445,0.)); #450234=CARTESIAN_POINT('',(37.4071,52.5555,0.)); #450235=CARTESIAN_POINT('',(37.4071,53.4445,0.035)); #450236=CARTESIAN_POINT('',(37.4071,53.4445,0.)); #450237=CARTESIAN_POINT('',(37.4071,52.5555,0.035)); #450238=CARTESIAN_POINT('',(37.4071,52.5555,0.035)); #450239=CARTESIAN_POINT('',(37.4071,52.5555,0.)); #450240=CARTESIAN_POINT('Origin',(36.5689,52.5555,0.)); #450241=CARTESIAN_POINT('',(36.5689,52.5555,0.)); #450242=CARTESIAN_POINT('',(36.5689,52.5555,0.)); #450243=CARTESIAN_POINT('',(36.5689,52.5555,0.035)); #450244=CARTESIAN_POINT('',(36.5689,52.5555,0.035)); #450245=CARTESIAN_POINT('',(36.5689,52.5555,0.)); #450246=CARTESIAN_POINT('Origin',(36.5689,53.4445,0.)); #450247=CARTESIAN_POINT('',(36.5689,53.4445,0.)); #450248=CARTESIAN_POINT('',(36.5689,53.4445,0.)); #450249=CARTESIAN_POINT('',(36.5689,53.4445,0.035)); #450250=CARTESIAN_POINT('',(36.5689,53.4445,0.035)); #450251=CARTESIAN_POINT('',(36.5689,53.4445,0.)); #450252=CARTESIAN_POINT('Origin',(36.861,53.4445,0.)); #450253=CARTESIAN_POINT('',(36.861,53.4445,0.)); #450254=CARTESIAN_POINT('',(36.861,53.4445,0.)); #450255=CARTESIAN_POINT('',(36.861,53.4445,0.035)); #450256=CARTESIAN_POINT('',(36.861,53.4445,0.035)); #450257=CARTESIAN_POINT('',(36.861,53.4445,0.)); #450258=CARTESIAN_POINT('Origin',(36.861,56.5555,0.)); #450259=CARTESIAN_POINT('',(36.861,56.5555,0.)); #450260=CARTESIAN_POINT('',(36.861,56.5555,0.)); #450261=CARTESIAN_POINT('',(36.861,56.5555,0.035)); #450262=CARTESIAN_POINT('',(36.861,56.5555,0.035)); #450263=CARTESIAN_POINT('',(36.861,56.5555,0.)); #450264=CARTESIAN_POINT('Origin',(36.5689,56.5555,0.)); #450265=CARTESIAN_POINT('',(36.5689,56.5555,0.)); #450266=CARTESIAN_POINT('',(36.5689,56.5555,0.)); #450267=CARTESIAN_POINT('',(36.5689,56.5555,0.035)); #450268=CARTESIAN_POINT('',(36.5689,56.5555,0.035)); #450269=CARTESIAN_POINT('',(36.5689,56.5555,0.)); #450270=CARTESIAN_POINT('Origin',(36.5689,57.4445,0.)); #450271=CARTESIAN_POINT('',(36.5689,57.4445,0.)); #450272=CARTESIAN_POINT('',(36.5689,57.4445,0.)); #450273=CARTESIAN_POINT('',(36.5689,57.4445,0.035)); #450274=CARTESIAN_POINT('',(36.5689,57.4445,0.035)); #450275=CARTESIAN_POINT('',(36.5689,57.4445,0.)); #450276=CARTESIAN_POINT('Origin',(37.4071,57.4445,0.)); #450277=CARTESIAN_POINT('',(37.4071,57.4445,0.)); #450278=CARTESIAN_POINT('',(37.4071,57.4445,0.)); #450279=CARTESIAN_POINT('',(37.4071,57.4445,0.035)); #450280=CARTESIAN_POINT('',(37.4071,57.4445,0.035)); #450281=CARTESIAN_POINT('',(37.4071,57.4445,0.)); #450282=CARTESIAN_POINT('Origin',(37.4071,56.5555,0.)); #450283=CARTESIAN_POINT('',(37.4071,56.5555,0.)); #450284=CARTESIAN_POINT('',(37.4071,56.5555,0.)); #450285=CARTESIAN_POINT('',(37.4071,56.5555,0.035)); #450286=CARTESIAN_POINT('',(37.4071,56.5555,0.035)); #450287=CARTESIAN_POINT('',(37.4071,56.5555,0.)); #450288=CARTESIAN_POINT('Origin',(37.115,56.5555,0.)); #450289=CARTESIAN_POINT('',(37.115,56.5555,0.)); #450290=CARTESIAN_POINT('',(37.115,56.5555,0.)); #450291=CARTESIAN_POINT('',(37.115,56.5555,0.035)); #450292=CARTESIAN_POINT('',(37.115,56.5555,0.035)); #450293=CARTESIAN_POINT('',(37.115,56.5555,0.)); #450294=CARTESIAN_POINT('Origin',(37.115,53.4445,0.)); #450295=CARTESIAN_POINT('',(37.115,53.4445,0.)); #450296=CARTESIAN_POINT('',(37.115,53.4445,0.)); #450297=CARTESIAN_POINT('',(37.115,53.4445,0.035)); #450298=CARTESIAN_POINT('',(37.115,53.4445,0.035)); #450299=CARTESIAN_POINT('',(37.115,53.4445,0.)); #450300=CARTESIAN_POINT('Origin',(37.4071,53.4445,0.)); #450301=CARTESIAN_POINT('',(37.4071,53.4445,0.)); #450302=CARTESIAN_POINT('',(37.4071,53.4445,0.035)); #450303=CARTESIAN_POINT('Origin',(36.988,55.,0.035)); #450304=CARTESIAN_POINT('Origin',(36.988,55.,0.)); #450305=CARTESIAN_POINT('Origin',(47.905,58.75,-200.)); #450306=CARTESIAN_POINT('',(47.765,58.75,0.)); #450307=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #450308=CARTESIAN_POINT('',(47.765,58.75,0.0349999999999895)); #450309=CARTESIAN_POINT('',(47.765,58.75,-200.)); #450310=CARTESIAN_POINT('Origin',(47.905,58.75,0.0349999999999895)); #450311=CARTESIAN_POINT('Origin',(44.4445,41.3429,0.)); #450312=CARTESIAN_POINT('',(44.4445,41.3429,0.)); #450313=CARTESIAN_POINT('',(44.4445,42.1811,0.)); #450314=CARTESIAN_POINT('',(44.4445,41.3429,0.)); #450315=CARTESIAN_POINT('',(44.4445,42.1811,0.035)); #450316=CARTESIAN_POINT('',(44.4445,42.1811,0.)); #450317=CARTESIAN_POINT('',(44.4445,41.3429,0.035)); #450318=CARTESIAN_POINT('',(44.4445,41.3429,0.035)); #450319=CARTESIAN_POINT('',(44.4445,41.3429,0.)); #450320=CARTESIAN_POINT('Origin',(43.5555,41.3429,0.)); #450321=CARTESIAN_POINT('',(43.5555,41.3429,0.)); #450322=CARTESIAN_POINT('',(43.5555,41.3429,0.)); #450323=CARTESIAN_POINT('',(43.5555,41.3429,0.035)); #450324=CARTESIAN_POINT('',(43.5555,41.3429,0.035)); #450325=CARTESIAN_POINT('',(43.5555,41.3429,0.)); #450326=CARTESIAN_POINT('Origin',(43.5555,41.984556,0.)); #450327=CARTESIAN_POINT('',(43.5555,41.984556,0.)); #450328=CARTESIAN_POINT('',(43.5555,41.984556,0.)); #450329=CARTESIAN_POINT('',(43.5555,41.984556,0.035)); #450330=CARTESIAN_POINT('',(43.5555,41.984556,0.035)); #450331=CARTESIAN_POINT('',(43.5555,41.984556,0.)); #450332=CARTESIAN_POINT('Origin',(42.535197,43.004859,0.)); #450333=CARTESIAN_POINT('',(42.535197,43.004859,0.)); #450334=CARTESIAN_POINT('',(42.535197,43.004859,0.)); #450335=CARTESIAN_POINT('',(42.535197,43.004859,0.035)); #450336=CARTESIAN_POINT('',(42.535197,43.004859,0.035)); #450337=CARTESIAN_POINT('',(42.535197,43.004859,0.)); #450338=CARTESIAN_POINT('Origin',(42.625001515832,43.0946617502996,0.)); #450339=CARTESIAN_POINT('',(42.498,43.094663,0.)); #450340=CARTESIAN_POINT('Origin',(42.625001515832,43.0946617502996,0.)); #450341=CARTESIAN_POINT('',(42.498,43.094663,0.035)); #450342=CARTESIAN_POINT('Origin',(42.625001515832,43.0946617502996,0.035)); #450343=CARTESIAN_POINT('',(42.498,43.094663,0.)); #450344=CARTESIAN_POINT('Origin',(42.498,57.875,0.)); #450345=CARTESIAN_POINT('',(42.498,57.875,0.)); #450346=CARTESIAN_POINT('',(42.498,57.875,0.)); #450347=CARTESIAN_POINT('',(42.498,57.875,0.035)); #450348=CARTESIAN_POINT('',(42.498,57.875,0.035)); #450349=CARTESIAN_POINT('',(42.498,57.875,0.)); #450350=CARTESIAN_POINT('Origin',(42.6250003087252,57.8750007497004,0.)); #450351=CARTESIAN_POINT('',(42.535197,57.964803,0.)); #450352=CARTESIAN_POINT('Origin',(42.6250003087252,57.8750007497004,0.)); #450353=CARTESIAN_POINT('',(42.535197,57.964803,0.035)); #450354=CARTESIAN_POINT('Origin',(42.6250003087252,57.8750007497004,0.035)); #450355=CARTESIAN_POINT('',(42.535197,57.964803,0.)); #450356=CARTESIAN_POINT('Origin',(43.410197,58.839803,0.)); #450357=CARTESIAN_POINT('',(43.410197,58.839803,0.)); #450358=CARTESIAN_POINT('',(43.410197,58.839803,0.)); #450359=CARTESIAN_POINT('',(43.410197,58.839803,0.035)); #450360=CARTESIAN_POINT('',(43.410197,58.839803,0.035)); #450361=CARTESIAN_POINT('',(43.410197,58.839803,0.)); #450362=CARTESIAN_POINT('Origin',(43.4999992502996,58.7499996912748,0.)); #450363=CARTESIAN_POINT('',(43.5,58.877,0.)); #450364=CARTESIAN_POINT('Origin',(43.4999992502996,58.7499996912748,0.)); #450365=CARTESIAN_POINT('',(43.5,58.877,0.035)); #450366=CARTESIAN_POINT('Origin',(43.4999992502996,58.7499996912748,0.035)); #450367=CARTESIAN_POINT('',(43.5,58.877,0.)); #450368=CARTESIAN_POINT('Origin',(47.661072,58.877,0.)); #450369=CARTESIAN_POINT('',(47.661072,58.877,0.)); #450370=CARTESIAN_POINT('',(47.661072,58.877,0.)); #450371=CARTESIAN_POINT('',(47.661072,58.877,0.035)); #450372=CARTESIAN_POINT('',(47.661072,58.877,0.035)); #450373=CARTESIAN_POINT('',(47.661072,58.877,0.)); #450374=CARTESIAN_POINT('Origin',(47.9054640048651,58.7499800398209,0.)); #450375=CARTESIAN_POINT('',(48.159853,58.855563,0.)); #450376=CARTESIAN_POINT('Origin',(47.9054640048651,58.7499800398209,0.)); #450377=CARTESIAN_POINT('',(48.159853,58.855563,0.035)); #450378=CARTESIAN_POINT('Origin',(47.9054640048651,58.7499800398209,0.035)); #450379=CARTESIAN_POINT('',(48.159853,58.855563,0.)); #450380=CARTESIAN_POINT('Origin',(47.9053817414141,58.7501782714048,0.)); #450381=CARTESIAN_POINT('',(47.661072,58.623,0.)); #450382=CARTESIAN_POINT('Origin',(47.9053817414141,58.7501782714048,0.)); #450383=CARTESIAN_POINT('',(47.661072,58.623,0.035)); #450384=CARTESIAN_POINT('Origin',(47.9053817414141,58.7501782714048,0.035)); #450385=CARTESIAN_POINT('',(47.661072,58.623,0.)); #450386=CARTESIAN_POINT('Origin',(43.552606,58.623,0.)); #450387=CARTESIAN_POINT('',(43.552606,58.623,0.)); #450388=CARTESIAN_POINT('',(43.552606,58.623,0.)); #450389=CARTESIAN_POINT('',(43.552606,58.623,0.035)); #450390=CARTESIAN_POINT('',(43.552606,58.623,0.035)); #450391=CARTESIAN_POINT('',(43.552606,58.623,0.)); #450392=CARTESIAN_POINT('Origin',(42.752,57.822394,0.)); #450393=CARTESIAN_POINT('',(42.752,57.822394,0.)); #450394=CARTESIAN_POINT('',(42.752,57.822394,0.)); #450395=CARTESIAN_POINT('',(42.752,57.822394,0.035)); #450396=CARTESIAN_POINT('',(42.752,57.822394,0.035)); #450397=CARTESIAN_POINT('',(42.752,57.822394,0.)); #450398=CARTESIAN_POINT('Origin',(42.752,43.147269,0.)); #450399=CARTESIAN_POINT('',(42.752,43.147269,0.)); #450400=CARTESIAN_POINT('',(42.752,43.147269,0.)); #450401=CARTESIAN_POINT('',(42.752,43.147269,0.035)); #450402=CARTESIAN_POINT('',(42.752,43.147269,0.035)); #450403=CARTESIAN_POINT('',(42.752,43.147269,0.)); #450404=CARTESIAN_POINT('Origin',(43.718169,42.1811,0.)); #450405=CARTESIAN_POINT('',(43.718169,42.1811,0.)); #450406=CARTESIAN_POINT('',(43.718169,42.1811,0.)); #450407=CARTESIAN_POINT('',(43.718169,42.1811,0.035)); #450408=CARTESIAN_POINT('',(43.718169,42.1811,0.035)); #450409=CARTESIAN_POINT('',(43.718169,42.1811,0.)); #450410=CARTESIAN_POINT('Origin',(44.4445,42.1811,0.)); #450411=CARTESIAN_POINT('',(44.4445,42.1811,0.)); #450412=CARTESIAN_POINT('',(44.4445,42.1811,0.035)); #450413=CARTESIAN_POINT('Origin',(43.4471772334597,51.5427125048615,0.035)); #450414=CARTESIAN_POINT('Origin',(43.4471772334597,51.5427125048615,0.)); #450415=CARTESIAN_POINT('Origin',(37.5,21.25,-200.)); #450416=CARTESIAN_POINT('',(37.36,21.25,0.)); #450417=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #450418=CARTESIAN_POINT('',(37.36,21.25,0.0349999999999895)); #450419=CARTESIAN_POINT('',(37.36,21.25,-200.)); #450420=CARTESIAN_POINT('Origin',(37.5,21.25,0.0349999999999895)); #450421=CARTESIAN_POINT('Origin',(37.521644,20.975,0.)); #450422=CARTESIAN_POINT('',(37.521644,20.975,0.)); #450423=CARTESIAN_POINT('',(37.564397,20.981772,0.)); #450424=CARTESIAN_POINT('',(37.521644,20.975,0.)); #450425=CARTESIAN_POINT('',(37.564397,20.981772,0.035)); #450426=CARTESIAN_POINT('',(37.564397,20.981772,0.)); #450427=CARTESIAN_POINT('',(37.521644,20.975,0.035)); #450428=CARTESIAN_POINT('',(37.521644,20.975,0.035)); #450429=CARTESIAN_POINT('',(37.521644,20.975,0.)); #450430=CARTESIAN_POINT('Origin',(37.500118471131,21.2495872515008,0.)); #450431=CARTESIAN_POINT('',(37.373,21.493928,0.)); #450432=CARTESIAN_POINT('Origin',(37.500118471131,21.2495872515008,0.)); #450433=CARTESIAN_POINT('',(37.373,21.493928,0.035)); #450434=CARTESIAN_POINT('Origin',(37.500118471131,21.2495872515008,0.035)); #450435=CARTESIAN_POINT('',(37.373,21.493928,0.)); #450436=CARTESIAN_POINT('Origin',(37.373,22.567975,0.)); #450437=CARTESIAN_POINT('',(37.373,22.567975,0.)); #450438=CARTESIAN_POINT('',(37.373,22.567975,0.)); #450439=CARTESIAN_POINT('',(37.373,22.567975,0.035)); #450440=CARTESIAN_POINT('',(37.373,22.567975,0.035)); #450441=CARTESIAN_POINT('',(37.373,22.567975,0.)); #450442=CARTESIAN_POINT('Origin',(37.5000003087252,22.5679757497004,0.)); #450443=CARTESIAN_POINT('',(37.410197,22.657778,0.)); #450444=CARTESIAN_POINT('Origin',(37.5000003087252,22.5679757497004,0.)); #450445=CARTESIAN_POINT('',(37.410197,22.657778,0.035)); #450446=CARTESIAN_POINT('Origin',(37.5000003087252,22.5679757497004,0.035)); #450447=CARTESIAN_POINT('',(37.410197,22.657778,0.)); #450448=CARTESIAN_POINT('Origin',(38.592222,23.839803,0.)); #450449=CARTESIAN_POINT('',(38.592222,23.839803,0.)); #450450=CARTESIAN_POINT('',(38.592222,23.839803,0.)); #450451=CARTESIAN_POINT('',(38.592222,23.839803,0.035)); #450452=CARTESIAN_POINT('',(38.592222,23.839803,0.035)); #450453=CARTESIAN_POINT('',(38.592222,23.839803,0.)); #450454=CARTESIAN_POINT('Origin',(38.6820242502996,23.7499996912748,0.)); #450455=CARTESIAN_POINT('',(38.682025,23.877,0.)); #450456=CARTESIAN_POINT('Origin',(38.6820242502996,23.7499996912748,0.)); #450457=CARTESIAN_POINT('',(38.682025,23.877,0.035)); #450458=CARTESIAN_POINT('Origin',(38.6820242502996,23.7499996912748,0.035)); #450459=CARTESIAN_POINT('',(38.682025,23.877,0.)); #450460=CARTESIAN_POINT('Origin',(44.959394,23.877,0.)); #450461=CARTESIAN_POINT('',(44.959394,23.877,0.)); #450462=CARTESIAN_POINT('',(44.959394,23.877,0.)); #450463=CARTESIAN_POINT('',(44.959394,23.877,0.035)); #450464=CARTESIAN_POINT('',(44.959394,23.877,0.035)); #450465=CARTESIAN_POINT('',(44.959394,23.877,0.)); #450466=CARTESIAN_POINT('Origin',(45.635,24.552606,0.)); #450467=CARTESIAN_POINT('',(45.635,24.552606,0.)); #450468=CARTESIAN_POINT('',(45.635,24.552606,0.)); #450469=CARTESIAN_POINT('',(45.635,24.552606,0.035)); #450470=CARTESIAN_POINT('',(45.635,24.552606,0.035)); #450471=CARTESIAN_POINT('',(45.635,24.552606,0.)); #450472=CARTESIAN_POINT('Origin',(45.635,25.5555,0.)); #450473=CARTESIAN_POINT('',(45.635,25.5555,0.)); #450474=CARTESIAN_POINT('',(45.635,25.5555,0.)); #450475=CARTESIAN_POINT('',(45.635,25.5555,0.035)); #450476=CARTESIAN_POINT('',(45.635,25.5555,0.035)); #450477=CARTESIAN_POINT('',(45.635,25.5555,0.)); #450478=CARTESIAN_POINT('Origin',(45.3429,25.5555,0.)); #450479=CARTESIAN_POINT('',(45.3429,25.5555,0.)); #450480=CARTESIAN_POINT('',(45.3429,25.5555,0.)); #450481=CARTESIAN_POINT('',(45.3429,25.5555,0.035)); #450482=CARTESIAN_POINT('',(45.3429,25.5555,0.035)); #450483=CARTESIAN_POINT('',(45.3429,25.5555,0.)); #450484=CARTESIAN_POINT('Origin',(45.3429,26.4445,0.)); #450485=CARTESIAN_POINT('',(45.3429,26.4445,0.)); #450486=CARTESIAN_POINT('',(45.3429,26.4445,0.)); #450487=CARTESIAN_POINT('',(45.3429,26.4445,0.035)); #450488=CARTESIAN_POINT('',(45.3429,26.4445,0.035)); #450489=CARTESIAN_POINT('',(45.3429,26.4445,0.)); #450490=CARTESIAN_POINT('Origin',(46.1811,26.4445,0.)); #450491=CARTESIAN_POINT('',(46.1811,26.4445,0.)); #450492=CARTESIAN_POINT('',(46.1811,26.4445,0.)); #450493=CARTESIAN_POINT('',(46.1811,26.4445,0.035)); #450494=CARTESIAN_POINT('',(46.1811,26.4445,0.035)); #450495=CARTESIAN_POINT('',(46.1811,26.4445,0.)); #450496=CARTESIAN_POINT('Origin',(46.1811,25.5555,0.)); #450497=CARTESIAN_POINT('',(46.1811,25.5555,0.)); #450498=CARTESIAN_POINT('',(46.1811,25.5555,0.)); #450499=CARTESIAN_POINT('',(46.1811,25.5555,0.035)); #450500=CARTESIAN_POINT('',(46.1811,25.5555,0.035)); #450501=CARTESIAN_POINT('',(46.1811,25.5555,0.)); #450502=CARTESIAN_POINT('Origin',(45.889,25.5555,0.)); #450503=CARTESIAN_POINT('',(45.889,25.5555,0.)); #450504=CARTESIAN_POINT('',(45.889,25.5555,0.)); #450505=CARTESIAN_POINT('',(45.889,25.5555,0.035)); #450506=CARTESIAN_POINT('',(45.889,25.5555,0.035)); #450507=CARTESIAN_POINT('',(45.889,25.5555,0.)); #450508=CARTESIAN_POINT('Origin',(45.889,24.5,0.)); #450509=CARTESIAN_POINT('',(45.889,24.5,0.)); #450510=CARTESIAN_POINT('',(45.889,24.5,0.)); #450511=CARTESIAN_POINT('',(45.889,24.5,0.035)); #450512=CARTESIAN_POINT('',(45.889,24.5,0.035)); #450513=CARTESIAN_POINT('',(45.889,24.5,0.)); #450514=CARTESIAN_POINT('Origin',(45.7619996912748,24.4999992502996,0.)); #450515=CARTESIAN_POINT('',(45.851803,24.410197,0.)); #450516=CARTESIAN_POINT('Origin',(45.7619996912748,24.4999992502996,0.)); #450517=CARTESIAN_POINT('',(45.851803,24.410197,0.035)); #450518=CARTESIAN_POINT('Origin',(45.7619996912748,24.4999992502996,0.035)); #450519=CARTESIAN_POINT('',(45.851803,24.410197,0.)); #450520=CARTESIAN_POINT('Origin',(45.101803,23.660197,0.)); #450521=CARTESIAN_POINT('',(45.101803,23.660197,0.)); #450522=CARTESIAN_POINT('',(45.101803,23.660197,0.)); #450523=CARTESIAN_POINT('',(45.101803,23.660197,0.035)); #450524=CARTESIAN_POINT('',(45.101803,23.660197,0.035)); #450525=CARTESIAN_POINT('',(45.101803,23.660197,0.)); #450526=CARTESIAN_POINT('Origin',(45.0120007497004,23.7500003087252,0.)); #450527=CARTESIAN_POINT('',(45.012,23.623,0.)); #450528=CARTESIAN_POINT('Origin',(45.0120007497004,23.7500003087252,0.)); #450529=CARTESIAN_POINT('',(45.012,23.623,0.035)); #450530=CARTESIAN_POINT('Origin',(45.0120007497004,23.7500003087252,0.035)); #450531=CARTESIAN_POINT('',(45.012,23.623,0.)); #450532=CARTESIAN_POINT('Origin',(38.734631,23.623,0.)); #450533=CARTESIAN_POINT('',(38.734631,23.623,0.)); #450534=CARTESIAN_POINT('',(38.734631,23.623,0.)); #450535=CARTESIAN_POINT('',(38.734631,23.623,0.035)); #450536=CARTESIAN_POINT('',(38.734631,23.623,0.035)); #450537=CARTESIAN_POINT('',(38.734631,23.623,0.)); #450538=CARTESIAN_POINT('Origin',(37.627,22.515369,0.)); #450539=CARTESIAN_POINT('',(37.627,22.515369,0.)); #450540=CARTESIAN_POINT('',(37.627,22.515369,0.)); #450541=CARTESIAN_POINT('',(37.627,22.515369,0.035)); #450542=CARTESIAN_POINT('',(37.627,22.515369,0.035)); #450543=CARTESIAN_POINT('',(37.627,22.515369,0.)); #450544=CARTESIAN_POINT('Origin',(37.627,21.493928,0.)); #450545=CARTESIAN_POINT('',(37.627,21.493928,0.)); #450546=CARTESIAN_POINT('',(37.627,21.493928,0.)); #450547=CARTESIAN_POINT('',(37.627,21.493928,0.035)); #450548=CARTESIAN_POINT('',(37.627,21.493928,0.035)); #450549=CARTESIAN_POINT('',(37.627,21.493928,0.)); #450550=CARTESIAN_POINT('Origin',(37.4999453752955,21.249554310534,0.)); #450551=CARTESIAN_POINT('Origin',(37.4999453752955,21.249554310534,0.)); #450552=CARTESIAN_POINT('Origin',(37.4999453752955,21.249554310534,0.035)); #450553=CARTESIAN_POINT('Origin',(41.8484885615349,23.8021035997745,0.035)); #450554=CARTESIAN_POINT('Origin',(41.8484885615349,23.8021035997745,0.)); #450555=CARTESIAN_POINT('Origin',(31.,13.,-200.)); #450556=CARTESIAN_POINT('',(30.86,13.,0.)); #450557=CARTESIAN_POINT('Origin',(31.,13.,0.)); #450558=CARTESIAN_POINT('',(30.86,13.,0.0349999999999895)); #450559=CARTESIAN_POINT('',(30.86,13.,-200.)); #450560=CARTESIAN_POINT('Origin',(31.,13.,0.0349999999999895)); #450561=CARTESIAN_POINT('Origin',(31.021644,12.725,0.)); #450562=CARTESIAN_POINT('',(31.021644,12.725,0.)); #450563=CARTESIAN_POINT('',(31.064397,12.731772,0.)); #450564=CARTESIAN_POINT('',(31.021644,12.725,0.)); #450565=CARTESIAN_POINT('',(31.064397,12.731772,0.035)); #450566=CARTESIAN_POINT('',(31.064397,12.731772,0.)); #450567=CARTESIAN_POINT('',(31.021644,12.725,0.035)); #450568=CARTESIAN_POINT('',(31.021644,12.725,0.035)); #450569=CARTESIAN_POINT('',(31.021644,12.725,0.)); #450570=CARTESIAN_POINT('Origin',(31.0000002346035,12.9999996129187,0.)); #450571=CARTESIAN_POINT('',(30.894438,12.745147,0.)); #450572=CARTESIAN_POINT('Origin',(31.0000002346035,12.9999996129187,0.)); #450573=CARTESIAN_POINT('',(30.894438,12.745147,0.035)); #450574=CARTESIAN_POINT('Origin',(31.0000002346035,12.9999996129187,0.035)); #450575=CARTESIAN_POINT('',(30.894438,12.745147,0.)); #450576=CARTESIAN_POINT('Origin',(30.999856389188,12.9996053520337,0.)); #450577=CARTESIAN_POINT('',(31.082681,13.262288,0.)); #450578=CARTESIAN_POINT('Origin',(30.999856389188,12.9996053520337,0.)); #450579=CARTESIAN_POINT('',(31.082681,13.262288,0.035)); #450580=CARTESIAN_POINT('Origin',(30.999856389188,12.9996053520337,0.035)); #450581=CARTESIAN_POINT('',(31.082681,13.262288,0.)); #450582=CARTESIAN_POINT('Origin',(31.1125,13.292106,0.)); #450583=CARTESIAN_POINT('',(31.1125,13.292106,0.)); #450584=CARTESIAN_POINT('',(31.1125,13.292106,0.)); #450585=CARTESIAN_POINT('',(31.1125,13.292106,0.035)); #450586=CARTESIAN_POINT('',(31.1125,13.292106,0.035)); #450587=CARTESIAN_POINT('',(31.1125,13.292106,0.)); #450588=CARTESIAN_POINT('Origin',(31.1125,13.9125,0.)); #450589=CARTESIAN_POINT('',(31.1125,13.9125,0.)); #450590=CARTESIAN_POINT('',(31.1125,13.9125,0.)); #450591=CARTESIAN_POINT('',(31.1125,13.9125,0.035)); #450592=CARTESIAN_POINT('',(31.1125,13.9125,0.035)); #450593=CARTESIAN_POINT('',(31.1125,13.9125,0.)); #450594=CARTESIAN_POINT('Origin',(31.3875,13.9125,0.)); #450595=CARTESIAN_POINT('',(31.3875,13.9125,0.)); #450596=CARTESIAN_POINT('',(31.3875,13.9125,0.)); #450597=CARTESIAN_POINT('',(31.3875,13.9125,0.035)); #450598=CARTESIAN_POINT('',(31.3875,13.9125,0.035)); #450599=CARTESIAN_POINT('',(31.3875,13.9125,0.)); #450600=CARTESIAN_POINT('Origin',(31.3875,13.2875,0.)); #450601=CARTESIAN_POINT('',(31.3875,13.2875,0.)); #450602=CARTESIAN_POINT('',(31.3875,13.2875,0.)); #450603=CARTESIAN_POINT('',(31.3875,13.2875,0.035)); #450604=CARTESIAN_POINT('',(31.3875,13.2875,0.035)); #450605=CARTESIAN_POINT('',(31.3875,13.2875,0.)); #450606=CARTESIAN_POINT('Origin',(31.377,13.2875,0.)); #450607=CARTESIAN_POINT('',(31.377,13.2875,0.)); #450608=CARTESIAN_POINT('',(31.377,13.2875,0.)); #450609=CARTESIAN_POINT('',(31.377,13.2875,0.035)); #450610=CARTESIAN_POINT('',(31.377,13.2875,0.035)); #450611=CARTESIAN_POINT('',(31.377,13.2875,0.)); #450612=CARTESIAN_POINT('Origin',(31.377,13.25,0.)); #450613=CARTESIAN_POINT('',(31.377,13.25,0.)); #450614=CARTESIAN_POINT('',(31.377,13.25,0.)); #450615=CARTESIAN_POINT('',(31.377,13.25,0.035)); #450616=CARTESIAN_POINT('',(31.377,13.25,0.035)); #450617=CARTESIAN_POINT('',(31.377,13.25,0.)); #450618=CARTESIAN_POINT('Origin',(31.2499996912748,13.2499992502996,0.)); #450619=CARTESIAN_POINT('',(31.339803,13.160197,0.)); #450620=CARTESIAN_POINT('Origin',(31.2499996912748,13.2499992502996,0.)); #450621=CARTESIAN_POINT('',(31.339803,13.160197,0.035)); #450622=CARTESIAN_POINT('Origin',(31.2499996912748,13.2499992502996,0.035)); #450623=CARTESIAN_POINT('',(31.339803,13.160197,0.)); #450624=CARTESIAN_POINT('Origin',(31.262288,13.082681,0.)); #450625=CARTESIAN_POINT('',(31.262288,13.082681,0.)); #450626=CARTESIAN_POINT('',(31.262288,13.082681,0.)); #450627=CARTESIAN_POINT('',(31.262288,13.082681,0.035)); #450628=CARTESIAN_POINT('',(31.262288,13.082681,0.035)); #450629=CARTESIAN_POINT('',(31.262288,13.082681,0.)); #450630=CARTESIAN_POINT('Origin',(30.9997178966391,12.9995006690401,0.)); #450631=CARTESIAN_POINT('Origin',(30.9997178966391,12.9995006690401,0.)); #450632=CARTESIAN_POINT('Origin',(30.9997178966391,12.9995006690401,0.035)); #450633=CARTESIAN_POINT('Origin',(31.1318788498671,13.305647586349,0.035)); #450634=CARTESIAN_POINT('Origin',(31.1318788498671,13.305647586349,0.)); #450635=CARTESIAN_POINT('Origin',(30.9125,17.6125,0.)); #450636=CARTESIAN_POINT('',(30.9125,17.6125,0.)); #450637=CARTESIAN_POINT('',(30.9125,17.8875,0.)); #450638=CARTESIAN_POINT('',(30.9125,17.6125,0.)); #450639=CARTESIAN_POINT('',(30.9125,17.8875,0.035)); #450640=CARTESIAN_POINT('',(30.9125,17.8875,0.)); #450641=CARTESIAN_POINT('',(30.9125,17.6125,0.035)); #450642=CARTESIAN_POINT('',(30.9125,17.6125,0.035)); #450643=CARTESIAN_POINT('',(30.9125,17.6125,0.)); #450644=CARTESIAN_POINT('Origin',(30.2875,17.6125,0.)); #450645=CARTESIAN_POINT('',(30.2875,17.6125,0.)); #450646=CARTESIAN_POINT('',(30.2875,17.6125,0.)); #450647=CARTESIAN_POINT('',(30.2875,17.6125,0.035)); #450648=CARTESIAN_POINT('',(30.2875,17.6125,0.035)); #450649=CARTESIAN_POINT('',(30.2875,17.6125,0.)); #450650=CARTESIAN_POINT('Origin',(30.2875,17.623,0.)); #450651=CARTESIAN_POINT('',(30.2875,17.623,0.)); #450652=CARTESIAN_POINT('',(30.2875,17.623,0.)); #450653=CARTESIAN_POINT('',(30.2875,17.623,0.035)); #450654=CARTESIAN_POINT('',(30.2875,17.623,0.035)); #450655=CARTESIAN_POINT('',(30.2875,17.623,0.)); #450656=CARTESIAN_POINT('Origin',(30.158988,17.623,0.)); #450657=CARTESIAN_POINT('',(30.158988,17.623,0.)); #450658=CARTESIAN_POINT('',(30.158988,17.623,0.)); #450659=CARTESIAN_POINT('',(30.158988,17.623,0.035)); #450660=CARTESIAN_POINT('',(30.158988,17.623,0.035)); #450661=CARTESIAN_POINT('',(30.158988,17.623,0.)); #450662=CARTESIAN_POINT('Origin',(30.1589867502996,17.750001515832,0.)); #450663=CARTESIAN_POINT('',(30.069184,17.660197,0.)); #450664=CARTESIAN_POINT('Origin',(30.1589867502996,17.750001515832,0.)); #450665=CARTESIAN_POINT('',(30.069184,17.660197,0.035)); #450666=CARTESIAN_POINT('Origin',(30.1589867502996,17.750001515832,0.035)); #450667=CARTESIAN_POINT('',(30.069184,17.660197,0.)); #450668=CARTESIAN_POINT('Origin',(27.910197,19.819184,0.)); #450669=CARTESIAN_POINT('',(27.910197,19.819184,0.)); #450670=CARTESIAN_POINT('',(27.910197,19.819184,0.)); #450671=CARTESIAN_POINT('',(27.910197,19.819184,0.035)); #450672=CARTESIAN_POINT('',(27.910197,19.819184,0.035)); #450673=CARTESIAN_POINT('',(27.910197,19.819184,0.)); #450674=CARTESIAN_POINT('Origin',(28.000001515832,19.9089867502996,0.)); #450675=CARTESIAN_POINT('',(27.873,19.908988,0.)); #450676=CARTESIAN_POINT('Origin',(28.000001515832,19.9089867502996,0.)); #450677=CARTESIAN_POINT('',(27.873,19.908988,0.035)); #450678=CARTESIAN_POINT('Origin',(28.000001515832,19.9089867502996,0.035)); #450679=CARTESIAN_POINT('',(27.873,19.908988,0.)); #450680=CARTESIAN_POINT('Origin',(27.873,24.697394,0.)); #450681=CARTESIAN_POINT('',(27.873,24.697394,0.)); #450682=CARTESIAN_POINT('',(27.873,24.697394,0.)); #450683=CARTESIAN_POINT('',(27.873,24.697394,0.035)); #450684=CARTESIAN_POINT('',(27.873,24.697394,0.035)); #450685=CARTESIAN_POINT('',(27.873,24.697394,0.)); #450686=CARTESIAN_POINT('Origin',(27.447394,25.123,0.)); #450687=CARTESIAN_POINT('',(27.447394,25.123,0.)); #450688=CARTESIAN_POINT('',(27.447394,25.123,0.)); #450689=CARTESIAN_POINT('',(27.447394,25.123,0.035)); #450690=CARTESIAN_POINT('',(27.447394,25.123,0.035)); #450691=CARTESIAN_POINT('',(27.447394,25.123,0.)); #450692=CARTESIAN_POINT('Origin',(23.25,25.123,0.)); #450693=CARTESIAN_POINT('',(23.25,25.123,0.)); #450694=CARTESIAN_POINT('',(23.25,25.123,0.)); #450695=CARTESIAN_POINT('',(23.25,25.123,0.035)); #450696=CARTESIAN_POINT('',(23.25,25.123,0.035)); #450697=CARTESIAN_POINT('',(23.25,25.123,0.)); #450698=CARTESIAN_POINT('Origin',(23.2499992502996,25.2500003087252,0.)); #450699=CARTESIAN_POINT('',(23.160197,25.160197,0.)); #450700=CARTESIAN_POINT('Origin',(23.2499992502996,25.2500003087252,0.)); #450701=CARTESIAN_POINT('',(23.160197,25.160197,0.035)); #450702=CARTESIAN_POINT('Origin',(23.2499992502996,25.2500003087252,0.035)); #450703=CARTESIAN_POINT('',(23.160197,25.160197,0.)); #450704=CARTESIAN_POINT('Origin',(22.910197,25.410197,0.)); #450705=CARTESIAN_POINT('',(22.910197,25.410197,0.)); #450706=CARTESIAN_POINT('',(22.910197,25.410197,0.)); #450707=CARTESIAN_POINT('',(22.910197,25.410197,0.035)); #450708=CARTESIAN_POINT('',(22.910197,25.410197,0.035)); #450709=CARTESIAN_POINT('',(22.910197,25.410197,0.)); #450710=CARTESIAN_POINT('Origin',(23.0000003087252,25.4999992502996,0.)); #450711=CARTESIAN_POINT('',(22.873,25.5,0.)); #450712=CARTESIAN_POINT('Origin',(23.0000003087252,25.4999992502996,0.)); #450713=CARTESIAN_POINT('',(22.873,25.5,0.035)); #450714=CARTESIAN_POINT('Origin',(23.0000003087252,25.4999992502996,0.035)); #450715=CARTESIAN_POINT('',(22.873,25.5,0.)); #450716=CARTESIAN_POINT('Origin',(22.873,27.447394,0.)); #450717=CARTESIAN_POINT('',(22.873,27.447394,0.)); #450718=CARTESIAN_POINT('',(22.873,27.447394,0.)); #450719=CARTESIAN_POINT('',(22.873,27.447394,0.035)); #450720=CARTESIAN_POINT('',(22.873,27.447394,0.035)); #450721=CARTESIAN_POINT('',(22.873,27.447394,0.)); #450722=CARTESIAN_POINT('Origin',(22.209394,28.111,0.)); #450723=CARTESIAN_POINT('',(22.209394,28.111,0.)); #450724=CARTESIAN_POINT('',(22.209394,28.111,0.)); #450725=CARTESIAN_POINT('',(22.209394,28.111,0.035)); #450726=CARTESIAN_POINT('',(22.209394,28.111,0.035)); #450727=CARTESIAN_POINT('',(22.209394,28.111,0.)); #450728=CARTESIAN_POINT('Origin',(21.4445,28.111,0.)); #450729=CARTESIAN_POINT('',(21.4445,28.111,0.)); #450730=CARTESIAN_POINT('',(21.4445,28.111,0.)); #450731=CARTESIAN_POINT('',(21.4445,28.111,0.035)); #450732=CARTESIAN_POINT('',(21.4445,28.111,0.035)); #450733=CARTESIAN_POINT('',(21.4445,28.111,0.)); #450734=CARTESIAN_POINT('Origin',(21.4445,27.8189,0.)); #450735=CARTESIAN_POINT('',(21.4445,27.8189,0.)); #450736=CARTESIAN_POINT('',(21.4445,27.8189,0.)); #450737=CARTESIAN_POINT('',(21.4445,27.8189,0.035)); #450738=CARTESIAN_POINT('',(21.4445,27.8189,0.035)); #450739=CARTESIAN_POINT('',(21.4445,27.8189,0.)); #450740=CARTESIAN_POINT('Origin',(20.5555,27.8189,0.)); #450741=CARTESIAN_POINT('',(20.5555,27.8189,0.)); #450742=CARTESIAN_POINT('',(20.5555,27.8189,0.)); #450743=CARTESIAN_POINT('',(20.5555,27.8189,0.035)); #450744=CARTESIAN_POINT('',(20.5555,27.8189,0.035)); #450745=CARTESIAN_POINT('',(20.5555,27.8189,0.)); #450746=CARTESIAN_POINT('Origin',(20.5555,28.6571,0.)); #450747=CARTESIAN_POINT('',(20.5555,28.6571,0.)); #450748=CARTESIAN_POINT('',(20.5555,28.6571,0.)); #450749=CARTESIAN_POINT('',(20.5555,28.6571,0.035)); #450750=CARTESIAN_POINT('',(20.5555,28.6571,0.035)); #450751=CARTESIAN_POINT('',(20.5555,28.6571,0.)); #450752=CARTESIAN_POINT('Origin',(21.4445,28.6571,0.)); #450753=CARTESIAN_POINT('',(21.4445,28.6571,0.)); #450754=CARTESIAN_POINT('',(21.4445,28.6571,0.)); #450755=CARTESIAN_POINT('',(21.4445,28.6571,0.035)); #450756=CARTESIAN_POINT('',(21.4445,28.6571,0.035)); #450757=CARTESIAN_POINT('',(21.4445,28.6571,0.)); #450758=CARTESIAN_POINT('Origin',(21.4445,28.365,0.)); #450759=CARTESIAN_POINT('',(21.4445,28.365,0.)); #450760=CARTESIAN_POINT('',(21.4445,28.365,0.)); #450761=CARTESIAN_POINT('',(21.4445,28.365,0.035)); #450762=CARTESIAN_POINT('',(21.4445,28.365,0.035)); #450763=CARTESIAN_POINT('',(21.4445,28.365,0.)); #450764=CARTESIAN_POINT('Origin',(22.262,28.365,0.)); #450765=CARTESIAN_POINT('',(22.262,28.365,0.)); #450766=CARTESIAN_POINT('',(22.262,28.365,0.)); #450767=CARTESIAN_POINT('',(22.262,28.365,0.035)); #450768=CARTESIAN_POINT('',(22.262,28.365,0.035)); #450769=CARTESIAN_POINT('',(22.262,28.365,0.)); #450770=CARTESIAN_POINT('Origin',(22.2620007497004,28.2379996912748,0.)); #450771=CARTESIAN_POINT('',(22.351803,28.327803,0.)); #450772=CARTESIAN_POINT('Origin',(22.2620007497004,28.2379996912748,0.)); #450773=CARTESIAN_POINT('',(22.351803,28.327803,0.035)); #450774=CARTESIAN_POINT('Origin',(22.2620007497004,28.2379996912748,0.035)); #450775=CARTESIAN_POINT('',(22.351803,28.327803,0.)); #450776=CARTESIAN_POINT('Origin',(23.089803,27.589803,0.)); #450777=CARTESIAN_POINT('',(23.089803,27.589803,0.)); #450778=CARTESIAN_POINT('',(23.089803,27.589803,0.)); #450779=CARTESIAN_POINT('',(23.089803,27.589803,0.035)); #450780=CARTESIAN_POINT('',(23.089803,27.589803,0.035)); #450781=CARTESIAN_POINT('',(23.089803,27.589803,0.)); #450782=CARTESIAN_POINT('Origin',(22.9999996912748,27.5000007497004,0.)); #450783=CARTESIAN_POINT('',(23.127,27.5,0.)); #450784=CARTESIAN_POINT('Origin',(22.9999996912748,27.5000007497004,0.)); #450785=CARTESIAN_POINT('',(23.127,27.5,0.035)); #450786=CARTESIAN_POINT('Origin',(22.9999996912748,27.5000007497004,0.035)); #450787=CARTESIAN_POINT('',(23.127,27.5,0.)); #450788=CARTESIAN_POINT('Origin',(23.127,25.552606,0.)); #450789=CARTESIAN_POINT('',(23.127,25.552606,0.)); #450790=CARTESIAN_POINT('',(23.127,25.552606,0.)); #450791=CARTESIAN_POINT('',(23.127,25.552606,0.035)); #450792=CARTESIAN_POINT('',(23.127,25.552606,0.035)); #450793=CARTESIAN_POINT('',(23.127,25.552606,0.)); #450794=CARTESIAN_POINT('Origin',(23.302606,25.377,0.)); #450795=CARTESIAN_POINT('',(23.302606,25.377,0.)); #450796=CARTESIAN_POINT('',(23.302606,25.377,0.)); #450797=CARTESIAN_POINT('',(23.302606,25.377,0.035)); #450798=CARTESIAN_POINT('',(23.302606,25.377,0.035)); #450799=CARTESIAN_POINT('',(23.302606,25.377,0.)); #450800=CARTESIAN_POINT('Origin',(27.5,25.377,0.)); #450801=CARTESIAN_POINT('',(27.5,25.377,0.)); #450802=CARTESIAN_POINT('',(27.5,25.377,0.)); #450803=CARTESIAN_POINT('',(27.5,25.377,0.035)); #450804=CARTESIAN_POINT('',(27.5,25.377,0.035)); #450805=CARTESIAN_POINT('',(27.5,25.377,0.)); #450806=CARTESIAN_POINT('Origin',(27.5000007497004,25.2499996912748,0.)); #450807=CARTESIAN_POINT('',(27.589803,25.339803,0.)); #450808=CARTESIAN_POINT('Origin',(27.5000007497004,25.2499996912748,0.)); #450809=CARTESIAN_POINT('',(27.589803,25.339803,0.035)); #450810=CARTESIAN_POINT('Origin',(27.5000007497004,25.2499996912748,0.035)); #450811=CARTESIAN_POINT('',(27.589803,25.339803,0.)); #450812=CARTESIAN_POINT('Origin',(28.089803,24.839803,0.)); #450813=CARTESIAN_POINT('',(28.089803,24.839803,0.)); #450814=CARTESIAN_POINT('',(28.089803,24.839803,0.)); #450815=CARTESIAN_POINT('',(28.089803,24.839803,0.035)); #450816=CARTESIAN_POINT('',(28.089803,24.839803,0.035)); #450817=CARTESIAN_POINT('',(28.089803,24.839803,0.)); #450818=CARTESIAN_POINT('Origin',(27.9999996912748,24.7500007497004,0.)); #450819=CARTESIAN_POINT('',(28.127,24.75,0.)); #450820=CARTESIAN_POINT('Origin',(27.9999996912748,24.7500007497004,0.)); #450821=CARTESIAN_POINT('',(28.127,24.75,0.035)); #450822=CARTESIAN_POINT('Origin',(27.9999996912748,24.7500007497004,0.035)); #450823=CARTESIAN_POINT('',(28.127,24.75,0.)); #450824=CARTESIAN_POINT('Origin',(28.127,19.961594,0.)); #450825=CARTESIAN_POINT('',(28.127,19.961594,0.)); #450826=CARTESIAN_POINT('',(28.127,19.961594,0.)); #450827=CARTESIAN_POINT('',(28.127,19.961594,0.035)); #450828=CARTESIAN_POINT('',(28.127,19.961594,0.035)); #450829=CARTESIAN_POINT('',(28.127,19.961594,0.)); #450830=CARTESIAN_POINT('Origin',(30.211594,17.877,0.)); #450831=CARTESIAN_POINT('',(30.211594,17.877,0.)); #450832=CARTESIAN_POINT('',(30.211594,17.877,0.)); #450833=CARTESIAN_POINT('',(30.211594,17.877,0.035)); #450834=CARTESIAN_POINT('',(30.211594,17.877,0.035)); #450835=CARTESIAN_POINT('',(30.211594,17.877,0.)); #450836=CARTESIAN_POINT('Origin',(30.2875,17.877,0.)); #450837=CARTESIAN_POINT('',(30.2875,17.877,0.)); #450838=CARTESIAN_POINT('',(30.2875,17.877,0.)); #450839=CARTESIAN_POINT('',(30.2875,17.877,0.035)); #450840=CARTESIAN_POINT('',(30.2875,17.877,0.035)); #450841=CARTESIAN_POINT('',(30.2875,17.877,0.)); #450842=CARTESIAN_POINT('Origin',(30.2875,17.8875,0.)); #450843=CARTESIAN_POINT('',(30.2875,17.8875,0.)); #450844=CARTESIAN_POINT('',(30.2875,17.8875,0.)); #450845=CARTESIAN_POINT('',(30.2875,17.8875,0.035)); #450846=CARTESIAN_POINT('',(30.2875,17.8875,0.035)); #450847=CARTESIAN_POINT('',(30.2875,17.8875,0.)); #450848=CARTESIAN_POINT('Origin',(30.9125,17.8875,0.)); #450849=CARTESIAN_POINT('',(30.9125,17.8875,0.)); #450850=CARTESIAN_POINT('',(30.9125,17.8875,0.035)); #450851=CARTESIAN_POINT('Origin',(25.9913852768299,23.8094637378829,0.035)); #450852=CARTESIAN_POINT('Origin',(25.9913852768299,23.8094637378829,0.)); #450853=CARTESIAN_POINT('Origin',(25.,26.625,-200.)); #450854=CARTESIAN_POINT('',(24.86,26.625,0.)); #450855=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #450856=CARTESIAN_POINT('',(24.86,26.625,0.0349999999999895)); #450857=CARTESIAN_POINT('',(24.86,26.625,-200.)); #450858=CARTESIAN_POINT('Origin',(25.,26.625,0.0349999999999895)); #450859=CARTESIAN_POINT('Origin',(25.021644,26.35,0.)); #450860=CARTESIAN_POINT('',(25.021644,26.35,0.)); #450861=CARTESIAN_POINT('',(25.064397,26.356772,0.)); #450862=CARTESIAN_POINT('',(25.021644,26.35,0.)); #450863=CARTESIAN_POINT('',(25.064397,26.356772,0.035)); #450864=CARTESIAN_POINT('',(25.064397,26.356772,0.)); #450865=CARTESIAN_POINT('',(25.021644,26.35,0.035)); #450866=CARTESIAN_POINT('',(25.021644,26.35,0.035)); #450867=CARTESIAN_POINT('',(25.021644,26.35,0.)); #450868=CARTESIAN_POINT('Origin',(25.000118471131,26.6245872515008,0.)); #450869=CARTESIAN_POINT('',(24.873,26.868928,0.)); #450870=CARTESIAN_POINT('Origin',(25.000118471131,26.6245872515008,0.)); #450871=CARTESIAN_POINT('',(24.873,26.868928,0.035)); #450872=CARTESIAN_POINT('Origin',(25.000118471131,26.6245872515008,0.035)); #450873=CARTESIAN_POINT('',(24.873,26.868928,0.)); #450874=CARTESIAN_POINT('Origin',(24.873,27.8189,0.)); #450875=CARTESIAN_POINT('',(24.873,27.8189,0.)); #450876=CARTESIAN_POINT('',(24.873,27.8189,0.)); #450877=CARTESIAN_POINT('',(24.873,27.8189,0.035)); #450878=CARTESIAN_POINT('',(24.873,27.8189,0.035)); #450879=CARTESIAN_POINT('',(24.873,27.8189,0.)); #450880=CARTESIAN_POINT('Origin',(24.5555,27.8189,0.)); #450881=CARTESIAN_POINT('',(24.5555,27.8189,0.)); #450882=CARTESIAN_POINT('',(24.5555,27.8189,0.)); #450883=CARTESIAN_POINT('',(24.5555,27.8189,0.035)); #450884=CARTESIAN_POINT('',(24.5555,27.8189,0.035)); #450885=CARTESIAN_POINT('',(24.5555,27.8189,0.)); #450886=CARTESIAN_POINT('Origin',(24.5555,28.6571,0.)); #450887=CARTESIAN_POINT('',(24.5555,28.6571,0.)); #450888=CARTESIAN_POINT('',(24.5555,28.6571,0.)); #450889=CARTESIAN_POINT('',(24.5555,28.6571,0.035)); #450890=CARTESIAN_POINT('',(24.5555,28.6571,0.035)); #450891=CARTESIAN_POINT('',(24.5555,28.6571,0.)); #450892=CARTESIAN_POINT('Origin',(25.4445,28.6571,0.)); #450893=CARTESIAN_POINT('',(25.4445,28.6571,0.)); #450894=CARTESIAN_POINT('',(25.4445,28.6571,0.)); #450895=CARTESIAN_POINT('',(25.4445,28.6571,0.035)); #450896=CARTESIAN_POINT('',(25.4445,28.6571,0.035)); #450897=CARTESIAN_POINT('',(25.4445,28.6571,0.)); #450898=CARTESIAN_POINT('Origin',(25.4445,27.8189,0.)); #450899=CARTESIAN_POINT('',(25.4445,27.8189,0.)); #450900=CARTESIAN_POINT('',(25.4445,27.8189,0.)); #450901=CARTESIAN_POINT('',(25.4445,27.8189,0.035)); #450902=CARTESIAN_POINT('',(25.4445,27.8189,0.035)); #450903=CARTESIAN_POINT('',(25.4445,27.8189,0.)); #450904=CARTESIAN_POINT('Origin',(25.127,27.8189,0.)); #450905=CARTESIAN_POINT('',(25.127,27.8189,0.)); #450906=CARTESIAN_POINT('',(25.127,27.8189,0.)); #450907=CARTESIAN_POINT('',(25.127,27.8189,0.035)); #450908=CARTESIAN_POINT('',(25.127,27.8189,0.035)); #450909=CARTESIAN_POINT('',(25.127,27.8189,0.)); #450910=CARTESIAN_POINT('Origin',(25.127,26.868928,0.)); #450911=CARTESIAN_POINT('',(25.127,26.868928,0.)); #450912=CARTESIAN_POINT('',(25.127,26.868928,0.)); #450913=CARTESIAN_POINT('',(25.127,26.868928,0.035)); #450914=CARTESIAN_POINT('',(25.127,26.868928,0.035)); #450915=CARTESIAN_POINT('',(25.127,26.868928,0.)); #450916=CARTESIAN_POINT('Origin',(24.9999453752955,26.624554310534,0.)); #450917=CARTESIAN_POINT('Origin',(24.9999453752955,26.624554310534,0.)); #450918=CARTESIAN_POINT('Origin',(24.9999453752955,26.624554310534,0.035)); #450919=CARTESIAN_POINT('Origin',(25.0003956139149,27.6252102582004,0.035)); #450920=CARTESIAN_POINT('Origin',(25.0003956139149,27.6252102582004,0.)); #450921=CARTESIAN_POINT('Origin',(27.25,24.375,-200.)); #450922=CARTESIAN_POINT('',(27.11,24.375,0.)); #450923=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #450924=CARTESIAN_POINT('',(27.11,24.375,0.0349999999999895)); #450925=CARTESIAN_POINT('',(27.11,24.375,-200.)); #450926=CARTESIAN_POINT('Origin',(27.25,24.375,0.0349999999999895)); #450927=CARTESIAN_POINT('Origin',(27.762,19.,-200.)); #450928=CARTESIAN_POINT('',(27.622,19.,0.)); #450929=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #450930=CARTESIAN_POINT('',(27.622,19.,0.0349999999999895)); #450931=CARTESIAN_POINT('',(27.622,19.,-200.)); #450932=CARTESIAN_POINT('Origin',(27.762,19.,0.0349999999999895)); #450933=CARTESIAN_POINT('Origin',(27.123,18.125,0.)); #450934=CARTESIAN_POINT('',(27.123,18.125,0.)); #450935=CARTESIAN_POINT('',(27.123603,18.1196,0.)); #450936=CARTESIAN_POINT('',(27.123,18.125,0.)); #450937=CARTESIAN_POINT('',(27.123603,18.1196,0.035)); #450938=CARTESIAN_POINT('',(27.123603,18.1196,0.)); #450939=CARTESIAN_POINT('',(27.123,18.125,0.035)); #450940=CARTESIAN_POINT('',(27.123,18.125,0.035)); #450941=CARTESIAN_POINT('',(27.123,18.125,0.)); #450942=CARTESIAN_POINT('Origin',(27.123,24.131072,0.)); #450943=CARTESIAN_POINT('',(27.123,24.131072,0.)); #450944=CARTESIAN_POINT('',(27.123,24.131072,0.)); #450945=CARTESIAN_POINT('',(27.123,24.131072,0.035)); #450946=CARTESIAN_POINT('',(27.123,24.131072,0.035)); #450947=CARTESIAN_POINT('',(27.123,24.131072,0.)); #450948=CARTESIAN_POINT('Origin',(27.2500204601791,24.3754637716938,0.)); #450949=CARTESIAN_POINT('',(27.144438,24.629853,0.)); #450950=CARTESIAN_POINT('Origin',(27.2500204601791,24.3754637716938,0.)); #450951=CARTESIAN_POINT('',(27.144438,24.629853,0.035)); #450952=CARTESIAN_POINT('Origin',(27.2500204601791,24.3754637716938,0.035)); #450953=CARTESIAN_POINT('',(27.144438,24.629853,0.)); #450954=CARTESIAN_POINT('Origin',(27.2498222285952,24.375381763261,0.)); #450955=CARTESIAN_POINT('',(27.377,24.131072,0.)); #450956=CARTESIAN_POINT('Origin',(27.2498222285952,24.375381763261,0.)); #450957=CARTESIAN_POINT('',(27.377,24.131072,0.035)); #450958=CARTESIAN_POINT('Origin',(27.2498222285952,24.375381763261,0.035)); #450959=CARTESIAN_POINT('',(27.377,24.131072,0.)); #450960=CARTESIAN_POINT('Origin',(27.377,19.302606,0.)); #450961=CARTESIAN_POINT('',(27.377,19.302606,0.)); #450962=CARTESIAN_POINT('',(27.377,19.302606,0.)); #450963=CARTESIAN_POINT('',(27.377,19.302606,0.035)); #450964=CARTESIAN_POINT('',(27.377,19.302606,0.035)); #450965=CARTESIAN_POINT('',(27.377,19.302606,0.)); #450966=CARTESIAN_POINT('Origin',(27.53045,19.149156,0.)); #450967=CARTESIAN_POINT('',(27.53045,19.149156,0.)); #450968=CARTESIAN_POINT('',(27.53045,19.149156,0.)); #450969=CARTESIAN_POINT('',(27.53045,19.149156,0.035)); #450970=CARTESIAN_POINT('',(27.53045,19.149156,0.035)); #450971=CARTESIAN_POINT('',(27.53045,19.149156,0.)); #450972=CARTESIAN_POINT('Origin',(27.7622462131514,18.9999969802787,0.)); #450973=CARTESIAN_POINT('',(27.9972,19.144131,0.)); #450974=CARTESIAN_POINT('Origin',(27.7622462131514,18.9999969802787,0.)); #450975=CARTESIAN_POINT('',(27.9972,19.144131,0.035)); #450976=CARTESIAN_POINT('Origin',(27.7622462131514,18.9999969802787,0.035)); #450977=CARTESIAN_POINT('',(27.9972,19.144131,0.)); #450978=CARTESIAN_POINT('Origin',(27.7621765406626,19.0001109316411,0.)); #450979=CARTESIAN_POINT('',(27.53045,18.850844,0.)); #450980=CARTESIAN_POINT('Origin',(27.7621765406626,19.0001109316411,0.)); #450981=CARTESIAN_POINT('',(27.53045,18.850844,0.035)); #450982=CARTESIAN_POINT('Origin',(27.7621765406626,19.0001109316411,0.035)); #450983=CARTESIAN_POINT('',(27.53045,18.850844,0.)); #450984=CARTESIAN_POINT('Origin',(27.377,18.697394,0.)); #450985=CARTESIAN_POINT('',(27.377,18.697394,0.)); #450986=CARTESIAN_POINT('',(27.377,18.697394,0.)); #450987=CARTESIAN_POINT('',(27.377,18.697394,0.035)); #450988=CARTESIAN_POINT('',(27.377,18.697394,0.035)); #450989=CARTESIAN_POINT('',(27.377,18.697394,0.)); #450990=CARTESIAN_POINT('Origin',(27.377,18.177606,0.)); #450991=CARTESIAN_POINT('',(27.377,18.177606,0.)); #450992=CARTESIAN_POINT('',(27.377,18.177606,0.)); #450993=CARTESIAN_POINT('',(27.377,18.177606,0.035)); #450994=CARTESIAN_POINT('',(27.377,18.177606,0.035)); #450995=CARTESIAN_POINT('',(27.377,18.177606,0.)); #450996=CARTESIAN_POINT('Origin',(29.177606,16.377,0.)); #450997=CARTESIAN_POINT('',(29.177606,16.377,0.)); #450998=CARTESIAN_POINT('',(29.177606,16.377,0.)); #450999=CARTESIAN_POINT('',(29.177606,16.377,0.035)); #451000=CARTESIAN_POINT('',(29.177606,16.377,0.035)); #451001=CARTESIAN_POINT('',(29.177606,16.377,0.)); #451002=CARTESIAN_POINT('Origin',(30.2875,16.377,0.)); #451003=CARTESIAN_POINT('',(30.2875,16.377,0.)); #451004=CARTESIAN_POINT('',(30.2875,16.377,0.)); #451005=CARTESIAN_POINT('',(30.2875,16.377,0.035)); #451006=CARTESIAN_POINT('',(30.2875,16.377,0.035)); #451007=CARTESIAN_POINT('',(30.2875,16.377,0.)); #451008=CARTESIAN_POINT('Origin',(30.2875,16.3875,0.)); #451009=CARTESIAN_POINT('',(30.2875,16.3875,0.)); #451010=CARTESIAN_POINT('',(30.2875,16.3875,0.)); #451011=CARTESIAN_POINT('',(30.2875,16.3875,0.035)); #451012=CARTESIAN_POINT('',(30.2875,16.3875,0.035)); #451013=CARTESIAN_POINT('',(30.2875,16.3875,0.)); #451014=CARTESIAN_POINT('Origin',(30.9125,16.3875,0.)); #451015=CARTESIAN_POINT('',(30.9125,16.3875,0.)); #451016=CARTESIAN_POINT('',(30.9125,16.3875,0.)); #451017=CARTESIAN_POINT('',(30.9125,16.3875,0.035)); #451018=CARTESIAN_POINT('',(30.9125,16.3875,0.035)); #451019=CARTESIAN_POINT('',(30.9125,16.3875,0.)); #451020=CARTESIAN_POINT('Origin',(30.9125,16.1125,0.)); #451021=CARTESIAN_POINT('',(30.9125,16.1125,0.)); #451022=CARTESIAN_POINT('',(30.9125,16.1125,0.)); #451023=CARTESIAN_POINT('',(30.9125,16.1125,0.035)); #451024=CARTESIAN_POINT('',(30.9125,16.1125,0.035)); #451025=CARTESIAN_POINT('',(30.9125,16.1125,0.)); #451026=CARTESIAN_POINT('Origin',(30.2875,16.1125,0.)); #451027=CARTESIAN_POINT('',(30.2875,16.1125,0.)); #451028=CARTESIAN_POINT('',(30.2875,16.1125,0.)); #451029=CARTESIAN_POINT('',(30.2875,16.1125,0.035)); #451030=CARTESIAN_POINT('',(30.2875,16.1125,0.035)); #451031=CARTESIAN_POINT('',(30.2875,16.1125,0.)); #451032=CARTESIAN_POINT('Origin',(30.2875,16.123,0.)); #451033=CARTESIAN_POINT('',(30.2875,16.123,0.)); #451034=CARTESIAN_POINT('',(30.2875,16.123,0.)); #451035=CARTESIAN_POINT('',(30.2875,16.123,0.035)); #451036=CARTESIAN_POINT('',(30.2875,16.123,0.035)); #451037=CARTESIAN_POINT('',(30.2875,16.123,0.)); #451038=CARTESIAN_POINT('Origin',(29.125,16.123,0.)); #451039=CARTESIAN_POINT('',(29.125,16.123,0.)); #451040=CARTESIAN_POINT('',(29.125,16.123,0.)); #451041=CARTESIAN_POINT('',(29.125,16.123,0.035)); #451042=CARTESIAN_POINT('',(29.125,16.123,0.035)); #451043=CARTESIAN_POINT('',(29.125,16.123,0.)); #451044=CARTESIAN_POINT('Origin',(29.1249992502996,16.2500003087252,0.)); #451045=CARTESIAN_POINT('',(29.035197,16.160197,0.)); #451046=CARTESIAN_POINT('Origin',(29.1249992502996,16.2500003087252,0.)); #451047=CARTESIAN_POINT('',(29.035197,16.160197,0.035)); #451048=CARTESIAN_POINT('Origin',(29.1249992502996,16.2500003087252,0.035)); #451049=CARTESIAN_POINT('',(29.035197,16.160197,0.)); #451050=CARTESIAN_POINT('Origin',(27.160197,18.035197,0.)); #451051=CARTESIAN_POINT('',(27.160197,18.035197,0.)); #451052=CARTESIAN_POINT('',(27.160197,18.035197,0.)); #451053=CARTESIAN_POINT('',(27.160197,18.035197,0.035)); #451054=CARTESIAN_POINT('',(27.160197,18.035197,0.035)); #451055=CARTESIAN_POINT('',(27.160197,18.035197,0.)); #451056=CARTESIAN_POINT('Origin',(27.2502707078348,18.1243840062321,0.)); #451057=CARTESIAN_POINT('Origin',(27.2502707078348,18.1243840062321,0.)); #451058=CARTESIAN_POINT('Origin',(27.2502707078348,18.1243840062321,0.035)); #451059=CARTESIAN_POINT('Origin',(27.9492334460114,19.5544507610988,0.035)); #451060=CARTESIAN_POINT('Origin',(27.9492334460114,19.5544507610988,0.)); #451061=CARTESIAN_POINT('Origin',(30.9125,14.6125,0.)); #451062=CARTESIAN_POINT('',(30.9125,14.6125,0.)); #451063=CARTESIAN_POINT('',(30.9125,14.8875,0.)); #451064=CARTESIAN_POINT('',(30.9125,14.6125,0.)); #451065=CARTESIAN_POINT('',(30.9125,14.8875,0.035)); #451066=CARTESIAN_POINT('',(30.9125,14.8875,0.)); #451067=CARTESIAN_POINT('',(30.9125,14.6125,0.035)); #451068=CARTESIAN_POINT('',(30.9125,14.6125,0.035)); #451069=CARTESIAN_POINT('',(30.9125,14.6125,0.)); #451070=CARTESIAN_POINT('Origin',(30.2875,14.6125,0.)); #451071=CARTESIAN_POINT('',(30.2875,14.6125,0.)); #451072=CARTESIAN_POINT('',(30.2875,14.6125,0.)); #451073=CARTESIAN_POINT('',(30.2875,14.6125,0.035)); #451074=CARTESIAN_POINT('',(30.2875,14.6125,0.035)); #451075=CARTESIAN_POINT('',(30.2875,14.6125,0.)); #451076=CARTESIAN_POINT('Origin',(30.2875,14.623,0.)); #451077=CARTESIAN_POINT('',(30.2875,14.623,0.)); #451078=CARTESIAN_POINT('',(30.2875,14.623,0.)); #451079=CARTESIAN_POINT('',(30.2875,14.623,0.035)); #451080=CARTESIAN_POINT('',(30.2875,14.623,0.035)); #451081=CARTESIAN_POINT('',(30.2875,14.623,0.)); #451082=CARTESIAN_POINT('Origin',(29.033988,14.623,0.)); #451083=CARTESIAN_POINT('',(29.033988,14.623,0.)); #451084=CARTESIAN_POINT('',(29.033988,14.623,0.)); #451085=CARTESIAN_POINT('',(29.033988,14.623,0.035)); #451086=CARTESIAN_POINT('',(29.033988,14.623,0.035)); #451087=CARTESIAN_POINT('',(29.033988,14.623,0.)); #451088=CARTESIAN_POINT('Origin',(29.0339867502996,14.750001515832,0.)); #451089=CARTESIAN_POINT('',(28.944184,14.660197,0.)); #451090=CARTESIAN_POINT('Origin',(29.0339867502996,14.750001515832,0.)); #451091=CARTESIAN_POINT('',(28.944184,14.660197,0.035)); #451092=CARTESIAN_POINT('Origin',(29.0339867502996,14.750001515832,0.035)); #451093=CARTESIAN_POINT('',(28.944184,14.660197,0.)); #451094=CARTESIAN_POINT('Origin',(26.048881,17.5555,0.)); #451095=CARTESIAN_POINT('',(26.048881,17.5555,0.)); #451096=CARTESIAN_POINT('',(26.048881,17.5555,0.)); #451097=CARTESIAN_POINT('',(26.048881,17.5555,0.035)); #451098=CARTESIAN_POINT('',(26.048881,17.5555,0.035)); #451099=CARTESIAN_POINT('',(26.048881,17.5555,0.)); #451100=CARTESIAN_POINT('Origin',(25.3429,17.5555,0.)); #451101=CARTESIAN_POINT('',(25.3429,17.5555,0.)); #451102=CARTESIAN_POINT('',(25.3429,17.5555,0.)); #451103=CARTESIAN_POINT('',(25.3429,17.5555,0.035)); #451104=CARTESIAN_POINT('',(25.3429,17.5555,0.035)); #451105=CARTESIAN_POINT('',(25.3429,17.5555,0.)); #451106=CARTESIAN_POINT('Origin',(25.3429,18.4445,0.)); #451107=CARTESIAN_POINT('',(25.3429,18.4445,0.)); #451108=CARTESIAN_POINT('',(25.3429,18.4445,0.)); #451109=CARTESIAN_POINT('',(25.3429,18.4445,0.035)); #451110=CARTESIAN_POINT('',(25.3429,18.4445,0.035)); #451111=CARTESIAN_POINT('',(25.3429,18.4445,0.)); #451112=CARTESIAN_POINT('Origin',(26.1811,18.4445,0.)); #451113=CARTESIAN_POINT('',(26.1811,18.4445,0.)); #451114=CARTESIAN_POINT('',(26.1811,18.4445,0.)); #451115=CARTESIAN_POINT('',(26.1811,18.4445,0.035)); #451116=CARTESIAN_POINT('',(26.1811,18.4445,0.035)); #451117=CARTESIAN_POINT('',(26.1811,18.4445,0.)); #451118=CARTESIAN_POINT('Origin',(26.1811,17.782494,0.)); #451119=CARTESIAN_POINT('',(26.1811,17.782494,0.)); #451120=CARTESIAN_POINT('',(26.1811,17.782494,0.)); #451121=CARTESIAN_POINT('',(26.1811,17.782494,0.035)); #451122=CARTESIAN_POINT('',(26.1811,17.782494,0.035)); #451123=CARTESIAN_POINT('',(26.1811,17.782494,0.)); #451124=CARTESIAN_POINT('Origin',(29.086594,14.877,0.)); #451125=CARTESIAN_POINT('',(29.086594,14.877,0.)); #451126=CARTESIAN_POINT('',(29.086594,14.877,0.)); #451127=CARTESIAN_POINT('',(29.086594,14.877,0.035)); #451128=CARTESIAN_POINT('',(29.086594,14.877,0.035)); #451129=CARTESIAN_POINT('',(29.086594,14.877,0.)); #451130=CARTESIAN_POINT('Origin',(30.2875,14.877,0.)); #451131=CARTESIAN_POINT('',(30.2875,14.877,0.)); #451132=CARTESIAN_POINT('',(30.2875,14.877,0.)); #451133=CARTESIAN_POINT('',(30.2875,14.877,0.035)); #451134=CARTESIAN_POINT('',(30.2875,14.877,0.035)); #451135=CARTESIAN_POINT('',(30.2875,14.877,0.)); #451136=CARTESIAN_POINT('Origin',(30.2875,14.8875,0.)); #451137=CARTESIAN_POINT('',(30.2875,14.8875,0.)); #451138=CARTESIAN_POINT('',(30.2875,14.8875,0.)); #451139=CARTESIAN_POINT('',(30.2875,14.8875,0.035)); #451140=CARTESIAN_POINT('',(30.2875,14.8875,0.035)); #451141=CARTESIAN_POINT('',(30.2875,14.8875,0.)); #451142=CARTESIAN_POINT('Origin',(30.9125,14.8875,0.)); #451143=CARTESIAN_POINT('',(30.9125,14.8875,0.)); #451144=CARTESIAN_POINT('',(30.9125,14.8875,0.035)); #451145=CARTESIAN_POINT('Origin',(27.8487115046656,16.1933622267642,0.035)); #451146=CARTESIAN_POINT('Origin',(27.8487115046656,16.1933622267642,0.)); #451147=CARTESIAN_POINT('Origin',(14.5,21.75,-200.)); #451148=CARTESIAN_POINT('',(14.36,21.75,0.)); #451149=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #451150=CARTESIAN_POINT('',(14.36,21.75,0.0349999999999895)); #451151=CARTESIAN_POINT('',(14.36,21.75,-200.)); #451152=CARTESIAN_POINT('Origin',(14.5,21.75,0.0349999999999895)); #451153=CARTESIAN_POINT('Origin',(14.5,21.,-200.)); #451154=CARTESIAN_POINT('',(14.36,21.,0.)); #451155=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #451156=CARTESIAN_POINT('',(14.36,21.,0.0349999999999895)); #451157=CARTESIAN_POINT('',(14.36,21.,-200.)); #451158=CARTESIAN_POINT('Origin',(14.5,21.,0.0349999999999895)); #451159=CARTESIAN_POINT('Origin',(16.,20.25,-200.)); #451160=CARTESIAN_POINT('',(15.86,20.25,0.)); #451161=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #451162=CARTESIAN_POINT('',(15.86,20.25,0.0349999999999895)); #451163=CARTESIAN_POINT('',(15.86,20.25,-200.)); #451164=CARTESIAN_POINT('Origin',(16.,20.25,0.0349999999999895)); #451165=CARTESIAN_POINT('Origin',(14.5,20.25,-200.)); #451166=CARTESIAN_POINT('',(14.36,20.25,0.)); #451167=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #451168=CARTESIAN_POINT('',(14.36,20.25,0.0349999999999895)); #451169=CARTESIAN_POINT('',(14.36,20.25,-200.)); #451170=CARTESIAN_POINT('Origin',(14.5,20.25,0.0349999999999895)); #451171=CARTESIAN_POINT('Origin',(15.25,20.25,-200.)); #451172=CARTESIAN_POINT('',(15.11,20.25,0.)); #451173=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #451174=CARTESIAN_POINT('',(15.11,20.25,0.0349999999999895)); #451175=CARTESIAN_POINT('',(15.11,20.25,-200.)); #451176=CARTESIAN_POINT('Origin',(15.25,20.25,0.0349999999999895)); #451177=CARTESIAN_POINT('Origin',(15.25,21.75,-200.)); #451178=CARTESIAN_POINT('',(15.11,21.75,0.)); #451179=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #451180=CARTESIAN_POINT('',(15.11,21.75,0.0349999999999895)); #451181=CARTESIAN_POINT('',(15.11,21.75,-200.)); #451182=CARTESIAN_POINT('Origin',(15.25,21.75,0.0349999999999895)); #451183=CARTESIAN_POINT('Origin',(16.,21.75,-200.)); #451184=CARTESIAN_POINT('',(15.86,21.75,0.)); #451185=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #451186=CARTESIAN_POINT('',(15.86,21.75,0.0349999999999895)); #451187=CARTESIAN_POINT('',(15.86,21.75,-200.)); #451188=CARTESIAN_POINT('Origin',(16.,21.75,0.0349999999999895)); #451189=CARTESIAN_POINT('Origin',(16.,21.,-200.)); #451190=CARTESIAN_POINT('',(15.86,21.,0.)); #451191=CARTESIAN_POINT('Origin',(16.,21.,0.)); #451192=CARTESIAN_POINT('',(15.86,21.,0.0349999999999895)); #451193=CARTESIAN_POINT('',(15.86,21.,-200.)); #451194=CARTESIAN_POINT('Origin',(16.,21.,0.0349999999999895)); #451195=CARTESIAN_POINT('Origin',(15.75,34.,-200.)); #451196=CARTESIAN_POINT('',(15.285,34.,0.)); #451197=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #451198=CARTESIAN_POINT('',(15.285,34.,0.0349999999999895)); #451199=CARTESIAN_POINT('',(15.285,34.,-200.)); #451200=CARTESIAN_POINT('Origin',(15.75,34.,0.0349999999999895)); #451201=CARTESIAN_POINT('Origin',(21.75,34.,-200.)); #451202=CARTESIAN_POINT('',(21.235,34.,0.)); #451203=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #451204=CARTESIAN_POINT('',(21.235,34.,0.0349999999999895)); #451205=CARTESIAN_POINT('',(21.235,34.,-200.)); #451206=CARTESIAN_POINT('Origin',(21.75,34.,0.0349999999999895)); #451207=CARTESIAN_POINT('Origin',(15.25,21.,-200.)); #451208=CARTESIAN_POINT('',(15.11,21.,0.)); #451209=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #451210=CARTESIAN_POINT('',(15.11,21.,0.0349999999999895)); #451211=CARTESIAN_POINT('',(15.11,21.,-200.)); #451212=CARTESIAN_POINT('Origin',(15.25,21.,0.0349999999999895)); #451213=CARTESIAN_POINT('Origin',(30.9125,19.1125,0.)); #451214=CARTESIAN_POINT('',(30.9125,19.1125,0.)); #451215=CARTESIAN_POINT('',(30.9125,19.3875,0.)); #451216=CARTESIAN_POINT('',(30.9125,19.1125,0.)); #451217=CARTESIAN_POINT('',(30.9125,19.3875,0.035)); #451218=CARTESIAN_POINT('',(30.9125,19.3875,0.)); #451219=CARTESIAN_POINT('',(30.9125,19.1125,0.035)); #451220=CARTESIAN_POINT('',(30.9125,19.1125,0.035)); #451221=CARTESIAN_POINT('',(30.9125,19.1125,0.)); #451222=CARTESIAN_POINT('Origin',(30.2875,19.1125,0.)); #451223=CARTESIAN_POINT('',(30.2875,19.1125,0.)); #451224=CARTESIAN_POINT('',(30.2875,19.1125,0.)); #451225=CARTESIAN_POINT('',(30.2875,19.1125,0.035)); #451226=CARTESIAN_POINT('',(30.2875,19.1125,0.035)); #451227=CARTESIAN_POINT('',(30.2875,19.1125,0.)); #451228=CARTESIAN_POINT('Origin',(30.2875,19.123,0.)); #451229=CARTESIAN_POINT('',(30.2875,19.123,0.)); #451230=CARTESIAN_POINT('',(30.2875,19.123,0.)); #451231=CARTESIAN_POINT('',(30.2875,19.123,0.035)); #451232=CARTESIAN_POINT('',(30.2875,19.123,0.035)); #451233=CARTESIAN_POINT('',(30.2875,19.123,0.)); #451234=CARTESIAN_POINT('Origin',(29.719663,19.123,0.)); #451235=CARTESIAN_POINT('',(29.719663,19.123,0.)); #451236=CARTESIAN_POINT('',(29.719663,19.123,0.)); #451237=CARTESIAN_POINT('',(29.719663,19.123,0.035)); #451238=CARTESIAN_POINT('',(29.719663,19.123,0.035)); #451239=CARTESIAN_POINT('',(29.719663,19.123,0.)); #451240=CARTESIAN_POINT('Origin',(29.7196617502996,19.250001515832,0.)); #451241=CARTESIAN_POINT('',(29.629859,19.160197,0.)); #451242=CARTESIAN_POINT('Origin',(29.7196617502996,19.250001515832,0.)); #451243=CARTESIAN_POINT('',(29.629859,19.160197,0.035)); #451244=CARTESIAN_POINT('Origin',(29.7196617502996,19.250001515832,0.035)); #451245=CARTESIAN_POINT('',(29.629859,19.160197,0.)); #451246=CARTESIAN_POINT('Origin',(28.660197,20.129859,0.)); #451247=CARTESIAN_POINT('',(28.660197,20.129859,0.)); #451248=CARTESIAN_POINT('',(28.660197,20.129859,0.)); #451249=CARTESIAN_POINT('',(28.660197,20.129859,0.035)); #451250=CARTESIAN_POINT('',(28.660197,20.129859,0.035)); #451251=CARTESIAN_POINT('',(28.660197,20.129859,0.)); #451252=CARTESIAN_POINT('Origin',(28.750001515832,20.2196617502996,0.)); #451253=CARTESIAN_POINT('',(28.623,20.219663,0.)); #451254=CARTESIAN_POINT('Origin',(28.750001515832,20.2196617502996,0.)); #451255=CARTESIAN_POINT('',(28.623,20.219663,0.035)); #451256=CARTESIAN_POINT('Origin',(28.750001515832,20.2196617502996,0.035)); #451257=CARTESIAN_POINT('',(28.623,20.219663,0.)); #451258=CARTESIAN_POINT('Origin',(28.623,25.947394,0.)); #451259=CARTESIAN_POINT('',(28.623,25.947394,0.)); #451260=CARTESIAN_POINT('',(28.623,25.947394,0.)); #451261=CARTESIAN_POINT('',(28.623,25.947394,0.035)); #451262=CARTESIAN_POINT('',(28.623,25.947394,0.035)); #451263=CARTESIAN_POINT('',(28.623,25.947394,0.)); #451264=CARTESIAN_POINT('Origin',(25.697394,28.873,0.)); #451265=CARTESIAN_POINT('',(25.697394,28.873,0.)); #451266=CARTESIAN_POINT('',(25.697394,28.873,0.)); #451267=CARTESIAN_POINT('',(25.697394,28.873,0.035)); #451268=CARTESIAN_POINT('',(25.697394,28.873,0.035)); #451269=CARTESIAN_POINT('',(25.697394,28.873,0.)); #451270=CARTESIAN_POINT('Origin',(20.375,28.873,0.)); #451271=CARTESIAN_POINT('',(20.375,28.873,0.)); #451272=CARTESIAN_POINT('',(20.375,28.873,0.)); #451273=CARTESIAN_POINT('',(20.375,28.873,0.035)); #451274=CARTESIAN_POINT('',(20.375,28.873,0.035)); #451275=CARTESIAN_POINT('',(20.375,28.873,0.)); #451276=CARTESIAN_POINT('Origin',(20.375,27.635022,0.)); #451277=CARTESIAN_POINT('',(20.375,27.635022,0.)); #451278=CARTESIAN_POINT('',(20.375,27.635022,0.)); #451279=CARTESIAN_POINT('',(20.375,27.635022,0.035)); #451280=CARTESIAN_POINT('',(20.375,27.635022,0.035)); #451281=CARTESIAN_POINT('',(20.375,27.635022,0.)); #451282=CARTESIAN_POINT('Origin',(20.376459,27.625825,0.)); #451283=CARTESIAN_POINT('',(20.376459,27.625825,0.)); #451284=CARTESIAN_POINT('',(20.376459,27.625825,0.)); #451285=CARTESIAN_POINT('',(20.376459,27.625825,0.035)); #451286=CARTESIAN_POINT('',(20.376459,27.625825,0.035)); #451287=CARTESIAN_POINT('',(20.376459,27.625825,0.)); #451288=CARTESIAN_POINT('Origin',(20.382984,27.625,0.)); #451289=CARTESIAN_POINT('',(20.382984,27.625,0.)); #451290=CARTESIAN_POINT('',(20.382984,27.625,0.)); #451291=CARTESIAN_POINT('',(20.382984,27.625,0.035)); #451292=CARTESIAN_POINT('',(20.382984,27.625,0.035)); #451293=CARTESIAN_POINT('',(20.382984,27.625,0.)); #451294=CARTESIAN_POINT('Origin',(21.614978,27.625,0.)); #451295=CARTESIAN_POINT('',(21.614978,27.625,0.)); #451296=CARTESIAN_POINT('',(21.614978,27.625,0.)); #451297=CARTESIAN_POINT('',(21.614978,27.625,0.035)); #451298=CARTESIAN_POINT('',(21.614978,27.625,0.035)); #451299=CARTESIAN_POINT('',(21.614978,27.625,0.)); #451300=CARTESIAN_POINT('Origin',(21.624175,27.626459,0.)); #451301=CARTESIAN_POINT('',(21.624175,27.626459,0.)); #451302=CARTESIAN_POINT('',(21.624175,27.626459,0.)); #451303=CARTESIAN_POINT('',(21.624175,27.626459,0.035)); #451304=CARTESIAN_POINT('',(21.624175,27.626459,0.035)); #451305=CARTESIAN_POINT('',(21.624175,27.626459,0.)); #451306=CARTESIAN_POINT('Origin',(21.625,27.632984,0.)); #451307=CARTESIAN_POINT('',(21.625,27.632984,0.)); #451308=CARTESIAN_POINT('',(21.625,27.632984,0.)); #451309=CARTESIAN_POINT('',(21.625,27.632984,0.035)); #451310=CARTESIAN_POINT('',(21.625,27.632984,0.035)); #451311=CARTESIAN_POINT('',(21.625,27.632984,0.)); #451312=CARTESIAN_POINT('Origin',(21.625,27.8824,0.)); #451313=CARTESIAN_POINT('',(21.625,27.8824,0.)); #451314=CARTESIAN_POINT('',(21.625,27.8824,0.)); #451315=CARTESIAN_POINT('',(21.625,27.8824,0.035)); #451316=CARTESIAN_POINT('',(21.625,27.8824,0.035)); #451317=CARTESIAN_POINT('',(21.625,27.8824,0.)); #451318=CARTESIAN_POINT('Origin',(21.633538,27.928181,0.)); #451319=CARTESIAN_POINT('',(21.633538,27.928181,0.)); #451320=CARTESIAN_POINT('',(21.633538,27.928181,0.)); #451321=CARTESIAN_POINT('',(21.633538,27.928181,0.035)); #451322=CARTESIAN_POINT('',(21.633538,27.928181,0.035)); #451323=CARTESIAN_POINT('',(21.633538,27.928181,0.)); #451324=CARTESIAN_POINT('Origin',(21.660778,27.970759,0.)); #451325=CARTESIAN_POINT('',(21.660778,27.970759,0.)); #451326=CARTESIAN_POINT('',(21.660778,27.970759,0.)); #451327=CARTESIAN_POINT('',(21.660778,27.970759,0.035)); #451328=CARTESIAN_POINT('',(21.660778,27.970759,0.035)); #451329=CARTESIAN_POINT('',(21.660778,27.970759,0.)); #451330=CARTESIAN_POINT('Origin',(21.702466,27.999341,0.)); #451331=CARTESIAN_POINT('',(21.702466,27.999341,0.)); #451332=CARTESIAN_POINT('',(21.702466,27.999341,0.)); #451333=CARTESIAN_POINT('',(21.702466,27.999341,0.035)); #451334=CARTESIAN_POINT('',(21.702466,27.999341,0.035)); #451335=CARTESIAN_POINT('',(21.702466,27.999341,0.)); #451336=CARTESIAN_POINT('Origin',(21.752,28.0094,0.)); #451337=CARTESIAN_POINT('',(21.752,28.0094,0.)); #451338=CARTESIAN_POINT('',(21.752,28.0094,0.)); #451339=CARTESIAN_POINT('',(21.752,28.0094,0.035)); #451340=CARTESIAN_POINT('',(21.752,28.0094,0.035)); #451341=CARTESIAN_POINT('',(21.752,28.0094,0.)); #451342=CARTESIAN_POINT('Origin',(22.114706,28.0094,0.)); #451343=CARTESIAN_POINT('',(22.114706,28.0094,0.)); #451344=CARTESIAN_POINT('',(22.114706,28.0094,0.)); #451345=CARTESIAN_POINT('',(22.114706,28.0094,0.035)); #451346=CARTESIAN_POINT('',(22.114706,28.0094,0.035)); #451347=CARTESIAN_POINT('',(22.114706,28.0094,0.)); #451348=CARTESIAN_POINT('Origin',(22.162369,28.000116,0.)); #451349=CARTESIAN_POINT('',(22.162369,28.000116,0.)); #451350=CARTESIAN_POINT('',(22.162369,28.000116,0.)); #451351=CARTESIAN_POINT('',(22.162369,28.000116,0.035)); #451352=CARTESIAN_POINT('',(22.162369,28.000116,0.035)); #451353=CARTESIAN_POINT('',(22.162369,28.000116,0.)); #451354=CARTESIAN_POINT('Origin',(22.204509,27.972203,0.)); #451355=CARTESIAN_POINT('',(22.204509,27.972203,0.)); #451356=CARTESIAN_POINT('',(22.204509,27.972203,0.)); #451357=CARTESIAN_POINT('',(22.204509,27.972203,0.035)); #451358=CARTESIAN_POINT('',(22.204509,27.972203,0.035)); #451359=CARTESIAN_POINT('',(22.204509,27.972203,0.)); #451360=CARTESIAN_POINT('Origin',(22.734203,27.442509,0.)); #451361=CARTESIAN_POINT('',(22.734203,27.442509,0.)); #451362=CARTESIAN_POINT('',(22.734203,27.442509,0.)); #451363=CARTESIAN_POINT('',(22.734203,27.442509,0.035)); #451364=CARTESIAN_POINT('',(22.734203,27.442509,0.035)); #451365=CARTESIAN_POINT('',(22.734203,27.442509,0.)); #451366=CARTESIAN_POINT('Origin',(22.761341,27.402241,0.)); #451367=CARTESIAN_POINT('',(22.761341,27.402241,0.)); #451368=CARTESIAN_POINT('',(22.761341,27.402241,0.)); #451369=CARTESIAN_POINT('',(22.761341,27.402241,0.035)); #451370=CARTESIAN_POINT('',(22.761341,27.402241,0.035)); #451371=CARTESIAN_POINT('',(22.761341,27.402241,0.)); #451372=CARTESIAN_POINT('Origin',(22.7714,27.352706,0.)); #451373=CARTESIAN_POINT('',(22.7714,27.352706,0.)); #451374=CARTESIAN_POINT('',(22.7714,27.352706,0.)); #451375=CARTESIAN_POINT('',(22.7714,27.352706,0.035)); #451376=CARTESIAN_POINT('',(22.7714,27.352706,0.035)); #451377=CARTESIAN_POINT('',(22.7714,27.352706,0.)); #451378=CARTESIAN_POINT('Origin',(22.7714,25.627,0.)); #451379=CARTESIAN_POINT('',(22.7714,25.627,0.)); #451380=CARTESIAN_POINT('',(22.7714,25.627,0.)); #451381=CARTESIAN_POINT('',(22.7714,25.627,0.035)); #451382=CARTESIAN_POINT('',(22.7714,25.627,0.035)); #451383=CARTESIAN_POINT('',(22.7714,25.627,0.)); #451384=CARTESIAN_POINT('Origin',(22.762863,25.581219,0.)); #451385=CARTESIAN_POINT('',(22.762863,25.581219,0.)); #451386=CARTESIAN_POINT('',(22.762863,25.581219,0.)); #451387=CARTESIAN_POINT('',(22.762863,25.581219,0.035)); #451388=CARTESIAN_POINT('',(22.762863,25.581219,0.035)); #451389=CARTESIAN_POINT('',(22.762863,25.581219,0.)); #451390=CARTESIAN_POINT('Origin',(22.735622,25.538641,0.)); #451391=CARTESIAN_POINT('',(22.735622,25.538641,0.)); #451392=CARTESIAN_POINT('',(22.735622,25.538641,0.)); #451393=CARTESIAN_POINT('',(22.735622,25.538641,0.035)); #451394=CARTESIAN_POINT('',(22.735622,25.538641,0.035)); #451395=CARTESIAN_POINT('',(22.735622,25.538641,0.)); #451396=CARTESIAN_POINT('Origin',(22.693934,25.510059,0.)); #451397=CARTESIAN_POINT('',(22.693934,25.510059,0.)); #451398=CARTESIAN_POINT('',(22.693934,25.510059,0.)); #451399=CARTESIAN_POINT('',(22.693934,25.510059,0.035)); #451400=CARTESIAN_POINT('',(22.693934,25.510059,0.035)); #451401=CARTESIAN_POINT('',(22.693934,25.510059,0.)); #451402=CARTESIAN_POINT('Origin',(22.6444,25.5,0.)); #451403=CARTESIAN_POINT('',(22.6444,25.5,0.)); #451404=CARTESIAN_POINT('',(22.6444,25.5,0.)); #451405=CARTESIAN_POINT('',(22.6444,25.5,0.035)); #451406=CARTESIAN_POINT('',(22.6444,25.5,0.035)); #451407=CARTESIAN_POINT('',(22.6444,25.5,0.)); #451408=CARTESIAN_POINT('Origin',(20.758994,25.5,0.)); #451409=CARTESIAN_POINT('',(20.758994,25.5,0.)); #451410=CARTESIAN_POINT('',(20.758994,25.5,0.)); #451411=CARTESIAN_POINT('',(20.758994,25.5,0.035)); #451412=CARTESIAN_POINT('',(20.758994,25.5,0.035)); #451413=CARTESIAN_POINT('',(20.758994,25.5,0.)); #451414=CARTESIAN_POINT('Origin',(20.749975,25.498716,0.)); #451415=CARTESIAN_POINT('',(20.749975,25.498716,0.)); #451416=CARTESIAN_POINT('',(20.749975,25.498716,0.)); #451417=CARTESIAN_POINT('',(20.749975,25.498716,0.035)); #451418=CARTESIAN_POINT('',(20.749975,25.498716,0.035)); #451419=CARTESIAN_POINT('',(20.749975,25.498716,0.)); #451420=CARTESIAN_POINT('Origin',(20.744347,25.494347,0.)); #451421=CARTESIAN_POINT('',(20.744347,25.494347,0.)); #451422=CARTESIAN_POINT('',(20.744347,25.494347,0.)); #451423=CARTESIAN_POINT('',(20.744347,25.494347,0.035)); #451424=CARTESIAN_POINT('',(20.744347,25.494347,0.035)); #451425=CARTESIAN_POINT('',(20.744347,25.494347,0.)); #451426=CARTESIAN_POINT('Origin',(19.506366,24.256366,0.)); #451427=CARTESIAN_POINT('',(19.506366,24.256366,0.)); #451428=CARTESIAN_POINT('',(19.506366,24.256366,0.)); #451429=CARTESIAN_POINT('',(19.506366,24.256366,0.035)); #451430=CARTESIAN_POINT('',(19.506366,24.256366,0.035)); #451431=CARTESIAN_POINT('',(19.506366,24.256366,0.)); #451432=CARTESIAN_POINT('Origin',(19.500891,24.249072,0.)); #451433=CARTESIAN_POINT('',(19.500891,24.249072,0.)); #451434=CARTESIAN_POINT('',(19.500891,24.249072,0.)); #451435=CARTESIAN_POINT('',(19.500891,24.249072,0.035)); #451436=CARTESIAN_POINT('',(19.500891,24.249072,0.035)); #451437=CARTESIAN_POINT('',(19.500891,24.249072,0.)); #451438=CARTESIAN_POINT('Origin',(19.5,24.242016,0.)); #451439=CARTESIAN_POINT('',(19.5,24.242016,0.)); #451440=CARTESIAN_POINT('',(19.5,24.242016,0.)); #451441=CARTESIAN_POINT('',(19.5,24.242016,0.035)); #451442=CARTESIAN_POINT('',(19.5,24.242016,0.035)); #451443=CARTESIAN_POINT('',(19.5,24.242016,0.)); #451444=CARTESIAN_POINT('Origin',(19.5,20.552606,0.)); #451445=CARTESIAN_POINT('',(19.5,20.552606,0.)); #451446=CARTESIAN_POINT('',(19.5,20.552606,0.)); #451447=CARTESIAN_POINT('',(19.5,20.552606,0.035)); #451448=CARTESIAN_POINT('',(19.5,20.552606,0.035)); #451449=CARTESIAN_POINT('',(19.5,20.552606,0.)); #451450=CARTESIAN_POINT('Origin',(19.490716,20.504944,0.)); #451451=CARTESIAN_POINT('',(19.490716,20.504944,0.)); #451452=CARTESIAN_POINT('',(19.490716,20.504944,0.)); #451453=CARTESIAN_POINT('',(19.490716,20.504944,0.035)); #451454=CARTESIAN_POINT('',(19.490716,20.504944,0.035)); #451455=CARTESIAN_POINT('',(19.490716,20.504944,0.)); #451456=CARTESIAN_POINT('Origin',(19.462803,20.462803,0.)); #451457=CARTESIAN_POINT('',(19.462803,20.462803,0.)); #451458=CARTESIAN_POINT('',(19.462803,20.462803,0.)); #451459=CARTESIAN_POINT('',(19.462803,20.462803,0.035)); #451460=CARTESIAN_POINT('',(19.462803,20.462803,0.035)); #451461=CARTESIAN_POINT('',(19.462803,20.462803,0.)); #451462=CARTESIAN_POINT('Origin',(18.287197,19.287197,0.)); #451463=CARTESIAN_POINT('',(18.287197,19.287197,0.)); #451464=CARTESIAN_POINT('',(18.287197,19.287197,0.)); #451465=CARTESIAN_POINT('',(18.287197,19.287197,0.035)); #451466=CARTESIAN_POINT('',(18.287197,19.287197,0.035)); #451467=CARTESIAN_POINT('',(18.287197,19.287197,0.)); #451468=CARTESIAN_POINT('Origin',(18.246928,19.260059,0.)); #451469=CARTESIAN_POINT('',(18.246928,19.260059,0.)); #451470=CARTESIAN_POINT('',(18.246928,19.260059,0.)); #451471=CARTESIAN_POINT('',(18.246928,19.260059,0.035)); #451472=CARTESIAN_POINT('',(18.246928,19.260059,0.035)); #451473=CARTESIAN_POINT('',(18.246928,19.260059,0.)); #451474=CARTESIAN_POINT('Origin',(18.197394,19.25,0.)); #451475=CARTESIAN_POINT('',(18.197394,19.25,0.)); #451476=CARTESIAN_POINT('',(18.197394,19.25,0.)); #451477=CARTESIAN_POINT('',(18.197394,19.25,0.035)); #451478=CARTESIAN_POINT('',(18.197394,19.25,0.035)); #451479=CARTESIAN_POINT('',(18.197394,19.25,0.)); #451480=CARTESIAN_POINT('Origin',(14.302606,19.25,0.)); #451481=CARTESIAN_POINT('',(14.302606,19.25,0.)); #451482=CARTESIAN_POINT('',(14.302606,19.25,0.)); #451483=CARTESIAN_POINT('',(14.302606,19.25,0.035)); #451484=CARTESIAN_POINT('',(14.302606,19.25,0.035)); #451485=CARTESIAN_POINT('',(14.302606,19.25,0.)); #451486=CARTESIAN_POINT('Origin',(14.254944,19.259284,0.)); #451487=CARTESIAN_POINT('',(14.254944,19.259284,0.)); #451488=CARTESIAN_POINT('',(14.254944,19.259284,0.)); #451489=CARTESIAN_POINT('',(14.254944,19.259284,0.035)); #451490=CARTESIAN_POINT('',(14.254944,19.259284,0.035)); #451491=CARTESIAN_POINT('',(14.254944,19.259284,0.)); #451492=CARTESIAN_POINT('Origin',(14.212803,19.287197,0.)); #451493=CARTESIAN_POINT('',(14.212803,19.287197,0.)); #451494=CARTESIAN_POINT('',(14.212803,19.287197,0.)); #451495=CARTESIAN_POINT('',(14.212803,19.287197,0.035)); #451496=CARTESIAN_POINT('',(14.212803,19.287197,0.035)); #451497=CARTESIAN_POINT('',(14.212803,19.287197,0.)); #451498=CARTESIAN_POINT('Origin',(14.037197,19.462803,0.)); #451499=CARTESIAN_POINT('',(14.037197,19.462803,0.)); #451500=CARTESIAN_POINT('',(14.037197,19.462803,0.)); #451501=CARTESIAN_POINT('',(14.037197,19.462803,0.035)); #451502=CARTESIAN_POINT('',(14.037197,19.462803,0.035)); #451503=CARTESIAN_POINT('',(14.037197,19.462803,0.)); #451504=CARTESIAN_POINT('Origin',(14.010059,19.503072,0.)); #451505=CARTESIAN_POINT('',(14.010059,19.503072,0.)); #451506=CARTESIAN_POINT('',(14.010059,19.503072,0.)); #451507=CARTESIAN_POINT('',(14.010059,19.503072,0.035)); #451508=CARTESIAN_POINT('',(14.010059,19.503072,0.035)); #451509=CARTESIAN_POINT('',(14.010059,19.503072,0.)); #451510=CARTESIAN_POINT('Origin',(14.,19.552606,0.)); #451511=CARTESIAN_POINT('',(14.,19.552606,0.)); #451512=CARTESIAN_POINT('',(14.,19.552606,0.)); #451513=CARTESIAN_POINT('',(14.,19.552606,0.035)); #451514=CARTESIAN_POINT('',(14.,19.552606,0.035)); #451515=CARTESIAN_POINT('',(14.,19.552606,0.)); #451516=CARTESIAN_POINT('Origin',(14.,37.993891,0.)); #451517=CARTESIAN_POINT('',(14.,37.993891,0.)); #451518=CARTESIAN_POINT('',(14.,37.993891,0.)); #451519=CARTESIAN_POINT('',(14.,37.993891,0.035)); #451520=CARTESIAN_POINT('',(14.,37.993891,0.035)); #451521=CARTESIAN_POINT('',(14.,37.993891,0.)); #451522=CARTESIAN_POINT('Origin',(13.999603,37.998013,0.)); #451523=CARTESIAN_POINT('',(13.999603,37.998013,0.)); #451524=CARTESIAN_POINT('',(13.999603,37.998013,0.)); #451525=CARTESIAN_POINT('',(13.999603,37.998013,0.035)); #451526=CARTESIAN_POINT('',(13.999603,37.998013,0.035)); #451527=CARTESIAN_POINT('',(13.999603,37.998013,0.)); #451528=CARTESIAN_POINT('Origin',(13.999091,37.999566,0.)); #451529=CARTESIAN_POINT('',(13.999091,37.999566,0.)); #451530=CARTESIAN_POINT('',(13.999091,37.999566,0.)); #451531=CARTESIAN_POINT('',(13.999091,37.999566,0.035)); #451532=CARTESIAN_POINT('',(13.999091,37.999566,0.035)); #451533=CARTESIAN_POINT('',(13.999091,37.999566,0.)); #451534=CARTESIAN_POINT('Origin',(13.994891,38.006131,0.)); #451535=CARTESIAN_POINT('',(13.994891,38.006131,0.)); #451536=CARTESIAN_POINT('',(13.994891,38.006131,0.)); #451537=CARTESIAN_POINT('',(13.994891,38.006131,0.035)); #451538=CARTESIAN_POINT('',(13.994891,38.006131,0.035)); #451539=CARTESIAN_POINT('',(13.994891,38.006131,0.)); #451540=CARTESIAN_POINT('Origin',(10.279434,42.464678,0.)); #451541=CARTESIAN_POINT('',(10.279434,42.464678,0.)); #451542=CARTESIAN_POINT('',(10.279434,42.464678,0.)); #451543=CARTESIAN_POINT('',(10.279434,42.464678,0.035)); #451544=CARTESIAN_POINT('',(10.279434,42.464678,0.035)); #451545=CARTESIAN_POINT('',(10.279434,42.464678,0.)); #451546=CARTESIAN_POINT('Origin',(10.260059,42.496447,0.)); #451547=CARTESIAN_POINT('',(10.260059,42.496447,0.)); #451548=CARTESIAN_POINT('',(10.260059,42.496447,0.)); #451549=CARTESIAN_POINT('',(10.260059,42.496447,0.035)); #451550=CARTESIAN_POINT('',(10.260059,42.496447,0.035)); #451551=CARTESIAN_POINT('',(10.260059,42.496447,0.)); #451552=CARTESIAN_POINT('Origin',(10.25,42.545981,0.)); #451553=CARTESIAN_POINT('',(10.25,42.545981,0.)); #451554=CARTESIAN_POINT('',(10.25,42.545981,0.)); #451555=CARTESIAN_POINT('',(10.25,42.545981,0.035)); #451556=CARTESIAN_POINT('',(10.25,42.545981,0.035)); #451557=CARTESIAN_POINT('',(10.25,42.545981,0.)); #451558=CARTESIAN_POINT('Origin',(10.25,49.572394,0.)); #451559=CARTESIAN_POINT('',(10.25,49.572394,0.)); #451560=CARTESIAN_POINT('',(10.25,49.572394,0.)); #451561=CARTESIAN_POINT('',(10.25,49.572394,0.035)); #451562=CARTESIAN_POINT('',(10.25,49.572394,0.035)); #451563=CARTESIAN_POINT('',(10.25,49.572394,0.)); #451564=CARTESIAN_POINT('Origin',(10.259284,49.620056,0.)); #451565=CARTESIAN_POINT('',(10.259284,49.620056,0.)); #451566=CARTESIAN_POINT('',(10.259284,49.620056,0.)); #451567=CARTESIAN_POINT('',(10.259284,49.620056,0.035)); #451568=CARTESIAN_POINT('',(10.259284,49.620056,0.035)); #451569=CARTESIAN_POINT('',(10.259284,49.620056,0.)); #451570=CARTESIAN_POINT('Origin',(10.287197,49.662197,0.)); #451571=CARTESIAN_POINT('',(10.287197,49.662197,0.)); #451572=CARTESIAN_POINT('',(10.287197,49.662197,0.)); #451573=CARTESIAN_POINT('',(10.287197,49.662197,0.035)); #451574=CARTESIAN_POINT('',(10.287197,49.662197,0.035)); #451575=CARTESIAN_POINT('',(10.287197,49.662197,0.)); #451576=CARTESIAN_POINT('Origin',(11.587803,50.962803,0.)); #451577=CARTESIAN_POINT('',(11.587803,50.962803,0.)); #451578=CARTESIAN_POINT('',(11.587803,50.962803,0.)); #451579=CARTESIAN_POINT('',(11.587803,50.962803,0.035)); #451580=CARTESIAN_POINT('',(11.587803,50.962803,0.035)); #451581=CARTESIAN_POINT('',(11.587803,50.962803,0.)); #451582=CARTESIAN_POINT('Origin',(11.628072,50.989941,0.)); #451583=CARTESIAN_POINT('',(11.628072,50.989941,0.)); #451584=CARTESIAN_POINT('',(11.628072,50.989941,0.)); #451585=CARTESIAN_POINT('',(11.628072,50.989941,0.035)); #451586=CARTESIAN_POINT('',(11.628072,50.989941,0.035)); #451587=CARTESIAN_POINT('',(11.628072,50.989941,0.)); #451588=CARTESIAN_POINT('Origin',(11.677606,51.,0.)); #451589=CARTESIAN_POINT('',(11.677606,51.,0.)); #451590=CARTESIAN_POINT('',(11.677606,51.,0.)); #451591=CARTESIAN_POINT('',(11.677606,51.,0.035)); #451592=CARTESIAN_POINT('',(11.677606,51.,0.035)); #451593=CARTESIAN_POINT('',(11.677606,51.,0.)); #451594=CARTESIAN_POINT('Origin',(13.0635,51.,0.)); #451595=CARTESIAN_POINT('',(13.0635,51.,0.)); #451596=CARTESIAN_POINT('',(13.0635,51.,0.)); #451597=CARTESIAN_POINT('',(13.0635,51.,0.035)); #451598=CARTESIAN_POINT('',(13.0635,51.,0.035)); #451599=CARTESIAN_POINT('',(13.0635,51.,0.)); #451600=CARTESIAN_POINT('Origin',(13.109281,50.991463,0.)); #451601=CARTESIAN_POINT('',(13.109281,50.991463,0.)); #451602=CARTESIAN_POINT('',(13.109281,50.991463,0.)); #451603=CARTESIAN_POINT('',(13.109281,50.991463,0.035)); #451604=CARTESIAN_POINT('',(13.109281,50.991463,0.035)); #451605=CARTESIAN_POINT('',(13.109281,50.991463,0.)); #451606=CARTESIAN_POINT('Origin',(13.151859,50.964222,0.)); #451607=CARTESIAN_POINT('',(13.151859,50.964222,0.)); #451608=CARTESIAN_POINT('',(13.151859,50.964222,0.)); #451609=CARTESIAN_POINT('',(13.151859,50.964222,0.035)); #451610=CARTESIAN_POINT('',(13.151859,50.964222,0.035)); #451611=CARTESIAN_POINT('',(13.151859,50.964222,0.)); #451612=CARTESIAN_POINT('Origin',(13.180441,50.922534,0.)); #451613=CARTESIAN_POINT('',(13.180441,50.922534,0.)); #451614=CARTESIAN_POINT('',(13.180441,50.922534,0.)); #451615=CARTESIAN_POINT('',(13.180441,50.922534,0.035)); #451616=CARTESIAN_POINT('',(13.180441,50.922534,0.035)); #451617=CARTESIAN_POINT('',(13.180441,50.922534,0.)); #451618=CARTESIAN_POINT('Origin',(13.1905,50.873,0.)); #451619=CARTESIAN_POINT('',(13.1905,50.873,0.)); #451620=CARTESIAN_POINT('',(13.1905,50.873,0.)); #451621=CARTESIAN_POINT('',(13.1905,50.873,0.035)); #451622=CARTESIAN_POINT('',(13.1905,50.873,0.035)); #451623=CARTESIAN_POINT('',(13.1905,50.873,0.)); #451624=CARTESIAN_POINT('Origin',(13.1905,49.992375,0.)); #451625=CARTESIAN_POINT('',(13.1905,49.992375,0.)); #451626=CARTESIAN_POINT('',(13.1905,49.992375,0.)); #451627=CARTESIAN_POINT('',(13.1905,49.992375,0.035)); #451628=CARTESIAN_POINT('',(13.1905,49.992375,0.035)); #451629=CARTESIAN_POINT('',(13.1905,49.992375,0.)); #451630=CARTESIAN_POINT('Origin',(13.279775,49.9031,0.)); #451631=CARTESIAN_POINT('',(13.279775,49.9031,0.)); #451632=CARTESIAN_POINT('',(13.279775,49.9031,0.)); #451633=CARTESIAN_POINT('',(13.279775,49.9031,0.035)); #451634=CARTESIAN_POINT('',(13.279775,49.9031,0.035)); #451635=CARTESIAN_POINT('',(13.279775,49.9031,0.)); #451636=CARTESIAN_POINT('Origin',(14.244225,49.9031,0.)); #451637=CARTESIAN_POINT('',(14.244225,49.9031,0.)); #451638=CARTESIAN_POINT('',(14.244225,49.9031,0.)); #451639=CARTESIAN_POINT('',(14.244225,49.9031,0.035)); #451640=CARTESIAN_POINT('',(14.244225,49.9031,0.035)); #451641=CARTESIAN_POINT('',(14.244225,49.9031,0.)); #451642=CARTESIAN_POINT('Origin',(14.3335,49.992375,0.)); #451643=CARTESIAN_POINT('',(14.3335,49.992375,0.)); #451644=CARTESIAN_POINT('',(14.3335,49.992375,0.)); #451645=CARTESIAN_POINT('',(14.3335,49.992375,0.035)); #451646=CARTESIAN_POINT('',(14.3335,49.992375,0.035)); #451647=CARTESIAN_POINT('',(14.3335,49.992375,0.)); #451648=CARTESIAN_POINT('Origin',(14.3335,50.1444,0.)); #451649=CARTESIAN_POINT('',(14.3335,50.1444,0.)); #451650=CARTESIAN_POINT('',(14.3335,50.1444,0.)); #451651=CARTESIAN_POINT('',(14.3335,50.1444,0.035)); #451652=CARTESIAN_POINT('',(14.3335,50.1444,0.035)); #451653=CARTESIAN_POINT('',(14.3335,50.1444,0.)); #451654=CARTESIAN_POINT('Origin',(14.342037,50.190181,0.)); #451655=CARTESIAN_POINT('',(14.342037,50.190181,0.)); #451656=CARTESIAN_POINT('',(14.342037,50.190181,0.)); #451657=CARTESIAN_POINT('',(14.342037,50.190181,0.035)); #451658=CARTESIAN_POINT('',(14.342037,50.190181,0.035)); #451659=CARTESIAN_POINT('',(14.342037,50.190181,0.)); #451660=CARTESIAN_POINT('Origin',(14.369278,50.232759,0.)); #451661=CARTESIAN_POINT('',(14.369278,50.232759,0.)); #451662=CARTESIAN_POINT('',(14.369278,50.232759,0.)); #451663=CARTESIAN_POINT('',(14.369278,50.232759,0.035)); #451664=CARTESIAN_POINT('',(14.369278,50.232759,0.035)); #451665=CARTESIAN_POINT('',(14.369278,50.232759,0.)); #451666=CARTESIAN_POINT('Origin',(14.410966,50.261341,0.)); #451667=CARTESIAN_POINT('',(14.410966,50.261341,0.)); #451668=CARTESIAN_POINT('',(14.410966,50.261341,0.)); #451669=CARTESIAN_POINT('',(14.410966,50.261341,0.035)); #451670=CARTESIAN_POINT('',(14.410966,50.261341,0.035)); #451671=CARTESIAN_POINT('',(14.410966,50.261341,0.)); #451672=CARTESIAN_POINT('Origin',(14.4605,50.2714,0.)); #451673=CARTESIAN_POINT('',(14.4605,50.2714,0.)); #451674=CARTESIAN_POINT('',(14.4605,50.2714,0.)); #451675=CARTESIAN_POINT('',(14.4605,50.2714,0.035)); #451676=CARTESIAN_POINT('',(14.4605,50.2714,0.035)); #451677=CARTESIAN_POINT('',(14.4605,50.2714,0.)); #451678=CARTESIAN_POINT('Origin',(14.602706,50.2714,0.)); #451679=CARTESIAN_POINT('',(14.602706,50.2714,0.)); #451680=CARTESIAN_POINT('',(14.602706,50.2714,0.)); #451681=CARTESIAN_POINT('',(14.602706,50.2714,0.035)); #451682=CARTESIAN_POINT('',(14.602706,50.2714,0.035)); #451683=CARTESIAN_POINT('',(14.602706,50.2714,0.)); #451684=CARTESIAN_POINT('Origin',(14.650369,50.262116,0.)); #451685=CARTESIAN_POINT('',(14.650369,50.262116,0.)); #451686=CARTESIAN_POINT('',(14.650369,50.262116,0.)); #451687=CARTESIAN_POINT('',(14.650369,50.262116,0.035)); #451688=CARTESIAN_POINT('',(14.650369,50.262116,0.035)); #451689=CARTESIAN_POINT('',(14.650369,50.262116,0.)); #451690=CARTESIAN_POINT('Origin',(14.692509,50.234203,0.)); #451691=CARTESIAN_POINT('',(14.692509,50.234203,0.)); #451692=CARTESIAN_POINT('',(14.692509,50.234203,0.)); #451693=CARTESIAN_POINT('',(14.692509,50.234203,0.035)); #451694=CARTESIAN_POINT('',(14.692509,50.234203,0.035)); #451695=CARTESIAN_POINT('',(14.692509,50.234203,0.)); #451696=CARTESIAN_POINT('Origin',(14.734203,50.192509,0.)); #451697=CARTESIAN_POINT('',(14.734203,50.192509,0.)); #451698=CARTESIAN_POINT('',(14.734203,50.192509,0.)); #451699=CARTESIAN_POINT('',(14.734203,50.192509,0.035)); #451700=CARTESIAN_POINT('',(14.734203,50.192509,0.035)); #451701=CARTESIAN_POINT('',(14.734203,50.192509,0.)); #451702=CARTESIAN_POINT('Origin',(14.761341,50.152241,0.)); #451703=CARTESIAN_POINT('',(14.761341,50.152241,0.)); #451704=CARTESIAN_POINT('',(14.761341,50.152241,0.)); #451705=CARTESIAN_POINT('',(14.761341,50.152241,0.035)); #451706=CARTESIAN_POINT('',(14.761341,50.152241,0.035)); #451707=CARTESIAN_POINT('',(14.761341,50.152241,0.)); #451708=CARTESIAN_POINT('Origin',(14.7714,50.102706,0.)); #451709=CARTESIAN_POINT('',(14.7714,50.102706,0.)); #451710=CARTESIAN_POINT('',(14.7714,50.102706,0.)); #451711=CARTESIAN_POINT('',(14.7714,50.102706,0.035)); #451712=CARTESIAN_POINT('',(14.7714,50.102706,0.035)); #451713=CARTESIAN_POINT('',(14.7714,50.102706,0.)); #451714=CARTESIAN_POINT('Origin',(14.7714,45.905313,0.)); #451715=CARTESIAN_POINT('',(14.7714,45.905313,0.)); #451716=CARTESIAN_POINT('',(14.7714,45.905313,0.)); #451717=CARTESIAN_POINT('',(14.7714,45.905313,0.035)); #451718=CARTESIAN_POINT('',(14.7714,45.905313,0.035)); #451719=CARTESIAN_POINT('',(14.7714,45.905313,0.)); #451720=CARTESIAN_POINT('Origin',(15.405313,45.2714,0.)); #451721=CARTESIAN_POINT('',(15.405313,45.2714,0.)); #451722=CARTESIAN_POINT('',(15.405313,45.2714,0.)); #451723=CARTESIAN_POINT('',(15.405313,45.2714,0.035)); #451724=CARTESIAN_POINT('',(15.405313,45.2714,0.035)); #451725=CARTESIAN_POINT('',(15.405313,45.2714,0.)); #451726=CARTESIAN_POINT('Origin',(17.852706,45.2714,0.)); #451727=CARTESIAN_POINT('',(17.852706,45.2714,0.)); #451728=CARTESIAN_POINT('',(17.852706,45.2714,0.)); #451729=CARTESIAN_POINT('',(17.852706,45.2714,0.035)); #451730=CARTESIAN_POINT('',(17.852706,45.2714,0.035)); #451731=CARTESIAN_POINT('',(17.852706,45.2714,0.)); #451732=CARTESIAN_POINT('Origin',(17.900369,45.262116,0.)); #451733=CARTESIAN_POINT('',(17.900369,45.262116,0.)); #451734=CARTESIAN_POINT('',(17.900369,45.262116,0.)); #451735=CARTESIAN_POINT('',(17.900369,45.262116,0.035)); #451736=CARTESIAN_POINT('',(17.900369,45.262116,0.035)); #451737=CARTESIAN_POINT('',(17.900369,45.262116,0.)); #451738=CARTESIAN_POINT('Origin',(17.942509,45.234203,0.)); #451739=CARTESIAN_POINT('',(17.942509,45.234203,0.)); #451740=CARTESIAN_POINT('',(17.942509,45.234203,0.)); #451741=CARTESIAN_POINT('',(17.942509,45.234203,0.035)); #451742=CARTESIAN_POINT('',(17.942509,45.234203,0.035)); #451743=CARTESIAN_POINT('',(17.942509,45.234203,0.)); #451744=CARTESIAN_POINT('Origin',(18.609203,44.567509,0.)); #451745=CARTESIAN_POINT('',(18.609203,44.567509,0.)); #451746=CARTESIAN_POINT('',(18.609203,44.567509,0.)); #451747=CARTESIAN_POINT('',(18.609203,44.567509,0.035)); #451748=CARTESIAN_POINT('',(18.609203,44.567509,0.035)); #451749=CARTESIAN_POINT('',(18.609203,44.567509,0.)); #451750=CARTESIAN_POINT('Origin',(18.636341,44.527241,0.)); #451751=CARTESIAN_POINT('',(18.636341,44.527241,0.)); #451752=CARTESIAN_POINT('',(18.636341,44.527241,0.)); #451753=CARTESIAN_POINT('',(18.636341,44.527241,0.035)); #451754=CARTESIAN_POINT('',(18.636341,44.527241,0.035)); #451755=CARTESIAN_POINT('',(18.636341,44.527241,0.)); #451756=CARTESIAN_POINT('Origin',(18.6464,44.477706,0.)); #451757=CARTESIAN_POINT('',(18.6464,44.477706,0.)); #451758=CARTESIAN_POINT('',(18.6464,44.477706,0.)); #451759=CARTESIAN_POINT('',(18.6464,44.477706,0.035)); #451760=CARTESIAN_POINT('',(18.6464,44.477706,0.035)); #451761=CARTESIAN_POINT('',(18.6464,44.477706,0.)); #451762=CARTESIAN_POINT('Origin',(18.6464,39.249975,0.)); #451763=CARTESIAN_POINT('',(18.6464,39.249975,0.)); #451764=CARTESIAN_POINT('',(18.6464,39.249975,0.)); #451765=CARTESIAN_POINT('',(18.6464,39.249975,0.035)); #451766=CARTESIAN_POINT('',(18.6464,39.249975,0.035)); #451767=CARTESIAN_POINT('',(18.6464,39.249975,0.)); #451768=CARTESIAN_POINT('Origin',(19.374975,38.5214,0.)); #451769=CARTESIAN_POINT('',(19.374975,38.5214,0.)); #451770=CARTESIAN_POINT('',(19.374975,38.5214,0.)); #451771=CARTESIAN_POINT('',(19.374975,38.5214,0.035)); #451772=CARTESIAN_POINT('',(19.374975,38.5214,0.035)); #451773=CARTESIAN_POINT('',(19.374975,38.5214,0.)); #451774=CARTESIAN_POINT('Origin',(23.731356,38.5214,0.)); #451775=CARTESIAN_POINT('',(23.731356,38.5214,0.)); #451776=CARTESIAN_POINT('',(23.731356,38.5214,0.)); #451777=CARTESIAN_POINT('',(23.731356,38.5214,0.035)); #451778=CARTESIAN_POINT('',(23.731356,38.5214,0.035)); #451779=CARTESIAN_POINT('',(23.731356,38.5214,0.)); #451780=CARTESIAN_POINT('Origin',(23.779019,38.512116,0.)); #451781=CARTESIAN_POINT('',(23.779019,38.512116,0.)); #451782=CARTESIAN_POINT('',(23.779019,38.512116,0.)); #451783=CARTESIAN_POINT('',(23.779019,38.512116,0.035)); #451784=CARTESIAN_POINT('',(23.779019,38.512116,0.035)); #451785=CARTESIAN_POINT('',(23.779019,38.512116,0.)); #451786=CARTESIAN_POINT('Origin',(23.821159,38.484203,0.)); #451787=CARTESIAN_POINT('',(23.821159,38.484203,0.)); #451788=CARTESIAN_POINT('',(23.821159,38.484203,0.)); #451789=CARTESIAN_POINT('',(23.821159,38.484203,0.035)); #451790=CARTESIAN_POINT('',(23.821159,38.484203,0.035)); #451791=CARTESIAN_POINT('',(23.821159,38.484203,0.)); #451792=CARTESIAN_POINT('Origin',(25.734203,36.571159,0.)); #451793=CARTESIAN_POINT('',(25.734203,36.571159,0.)); #451794=CARTESIAN_POINT('',(25.734203,36.571159,0.)); #451795=CARTESIAN_POINT('',(25.734203,36.571159,0.035)); #451796=CARTESIAN_POINT('',(25.734203,36.571159,0.035)); #451797=CARTESIAN_POINT('',(25.734203,36.571159,0.)); #451798=CARTESIAN_POINT('Origin',(25.761341,36.530891,0.)); #451799=CARTESIAN_POINT('',(25.761341,36.530891,0.)); #451800=CARTESIAN_POINT('',(25.761341,36.530891,0.)); #451801=CARTESIAN_POINT('',(25.761341,36.530891,0.035)); #451802=CARTESIAN_POINT('',(25.761341,36.530891,0.035)); #451803=CARTESIAN_POINT('',(25.761341,36.530891,0.)); #451804=CARTESIAN_POINT('Origin',(25.7714,36.481356,0.)); #451805=CARTESIAN_POINT('',(25.7714,36.481356,0.)); #451806=CARTESIAN_POINT('',(25.7714,36.481356,0.)); #451807=CARTESIAN_POINT('',(25.7714,36.481356,0.035)); #451808=CARTESIAN_POINT('',(25.7714,36.481356,0.035)); #451809=CARTESIAN_POINT('',(25.7714,36.481356,0.)); #451810=CARTESIAN_POINT('Origin',(25.7714,36.428441,0.)); #451811=CARTESIAN_POINT('',(25.7714,36.428441,0.)); #451812=CARTESIAN_POINT('',(25.7714,36.428441,0.)); #451813=CARTESIAN_POINT('',(25.7714,36.428441,0.035)); #451814=CARTESIAN_POINT('',(25.7714,36.428441,0.035)); #451815=CARTESIAN_POINT('',(25.7714,36.428441,0.)); #451816=CARTESIAN_POINT('Origin',(25.762116,36.380778,0.)); #451817=CARTESIAN_POINT('',(25.762116,36.380778,0.)); #451818=CARTESIAN_POINT('',(25.762116,36.380778,0.)); #451819=CARTESIAN_POINT('',(25.762116,36.380778,0.035)); #451820=CARTESIAN_POINT('',(25.762116,36.380778,0.035)); #451821=CARTESIAN_POINT('',(25.762116,36.380778,0.)); #451822=CARTESIAN_POINT('Origin',(25.734203,36.338638,0.)); #451823=CARTESIAN_POINT('',(25.734203,36.338638,0.)); #451824=CARTESIAN_POINT('',(25.734203,36.338638,0.)); #451825=CARTESIAN_POINT('',(25.734203,36.338638,0.035)); #451826=CARTESIAN_POINT('',(25.734203,36.338638,0.035)); #451827=CARTESIAN_POINT('',(25.734203,36.338638,0.)); #451828=CARTESIAN_POINT('Origin',(25.5726,36.177034,0.)); #451829=CARTESIAN_POINT('',(25.5726,36.177034,0.)); #451830=CARTESIAN_POINT('',(25.5726,36.177034,0.)); #451831=CARTESIAN_POINT('',(25.5726,36.177034,0.035)); #451832=CARTESIAN_POINT('',(25.5726,36.177034,0.035)); #451833=CARTESIAN_POINT('',(25.5726,36.177034,0.)); #451834=CARTESIAN_POINT('Origin',(25.5726,36.127,0.)); #451835=CARTESIAN_POINT('',(25.5726,36.127,0.)); #451836=CARTESIAN_POINT('',(25.5726,36.127,0.)); #451837=CARTESIAN_POINT('',(25.5726,36.127,0.035)); #451838=CARTESIAN_POINT('',(25.5726,36.127,0.035)); #451839=CARTESIAN_POINT('',(25.5726,36.127,0.)); #451840=CARTESIAN_POINT('Origin',(25.564063,36.081219,0.)); #451841=CARTESIAN_POINT('',(25.564063,36.081219,0.)); #451842=CARTESIAN_POINT('',(25.564063,36.081219,0.)); #451843=CARTESIAN_POINT('',(25.564063,36.081219,0.035)); #451844=CARTESIAN_POINT('',(25.564063,36.081219,0.035)); #451845=CARTESIAN_POINT('',(25.564063,36.081219,0.)); #451846=CARTESIAN_POINT('Origin',(25.536822,36.038641,0.)); #451847=CARTESIAN_POINT('',(25.536822,36.038641,0.)); #451848=CARTESIAN_POINT('',(25.536822,36.038641,0.)); #451849=CARTESIAN_POINT('',(25.536822,36.038641,0.035)); #451850=CARTESIAN_POINT('',(25.536822,36.038641,0.035)); #451851=CARTESIAN_POINT('',(25.536822,36.038641,0.)); #451852=CARTESIAN_POINT('Origin',(25.495134,36.010059,0.)); #451853=CARTESIAN_POINT('',(25.495134,36.010059,0.)); #451854=CARTESIAN_POINT('',(25.495134,36.010059,0.)); #451855=CARTESIAN_POINT('',(25.495134,36.010059,0.035)); #451856=CARTESIAN_POINT('',(25.495134,36.010059,0.035)); #451857=CARTESIAN_POINT('',(25.495134,36.010059,0.)); #451858=CARTESIAN_POINT('Origin',(25.4456,36.,0.)); #451859=CARTESIAN_POINT('',(25.4456,36.,0.)); #451860=CARTESIAN_POINT('',(25.4456,36.,0.)); #451861=CARTESIAN_POINT('',(25.4456,36.,0.035)); #451862=CARTESIAN_POINT('',(25.4456,36.,0.035)); #451863=CARTESIAN_POINT('',(25.4456,36.,0.)); #451864=CARTESIAN_POINT('Origin',(24.008994,36.,0.)); #451865=CARTESIAN_POINT('',(24.008994,36.,0.)); #451866=CARTESIAN_POINT('',(24.008994,36.,0.)); #451867=CARTESIAN_POINT('',(24.008994,36.,0.035)); #451868=CARTESIAN_POINT('',(24.008994,36.,0.035)); #451869=CARTESIAN_POINT('',(24.008994,36.,0.)); #451870=CARTESIAN_POINT('Origin',(23.999975,35.998716,0.)); #451871=CARTESIAN_POINT('',(23.999975,35.998716,0.)); #451872=CARTESIAN_POINT('',(23.999975,35.998716,0.)); #451873=CARTESIAN_POINT('',(23.999975,35.998716,0.035)); #451874=CARTESIAN_POINT('',(23.999975,35.998716,0.035)); #451875=CARTESIAN_POINT('',(23.999975,35.998716,0.)); #451876=CARTESIAN_POINT('Origin',(23.994347,35.994347,0.)); #451877=CARTESIAN_POINT('',(23.994347,35.994347,0.)); #451878=CARTESIAN_POINT('',(23.994347,35.994347,0.)); #451879=CARTESIAN_POINT('',(23.994347,35.994347,0.035)); #451880=CARTESIAN_POINT('',(23.994347,35.994347,0.035)); #451881=CARTESIAN_POINT('',(23.994347,35.994347,0.)); #451882=CARTESIAN_POINT('Origin',(23.006366,35.006366,0.)); #451883=CARTESIAN_POINT('',(23.006366,35.006366,0.)); #451884=CARTESIAN_POINT('',(23.006366,35.006366,0.)); #451885=CARTESIAN_POINT('',(23.006366,35.006366,0.035)); #451886=CARTESIAN_POINT('',(23.006366,35.006366,0.035)); #451887=CARTESIAN_POINT('',(23.006366,35.006366,0.)); #451888=CARTESIAN_POINT('Origin',(23.000891,34.999072,0.)); #451889=CARTESIAN_POINT('',(23.000891,34.999072,0.)); #451890=CARTESIAN_POINT('',(23.000891,34.999072,0.)); #451891=CARTESIAN_POINT('',(23.000891,34.999072,0.035)); #451892=CARTESIAN_POINT('',(23.000891,34.999072,0.035)); #451893=CARTESIAN_POINT('',(23.000891,34.999072,0.)); #451894=CARTESIAN_POINT('Origin',(23.,34.992016,0.)); #451895=CARTESIAN_POINT('',(23.,34.992016,0.)); #451896=CARTESIAN_POINT('',(23.,34.992016,0.)); #451897=CARTESIAN_POINT('',(23.,34.992016,0.035)); #451898=CARTESIAN_POINT('',(23.,34.992016,0.035)); #451899=CARTESIAN_POINT('',(23.,34.992016,0.)); #451900=CARTESIAN_POINT('Origin',(23.,31.802606,0.)); #451901=CARTESIAN_POINT('',(23.,31.802606,0.)); #451902=CARTESIAN_POINT('',(23.,31.802606,0.)); #451903=CARTESIAN_POINT('',(23.,31.802606,0.035)); #451904=CARTESIAN_POINT('',(23.,31.802606,0.035)); #451905=CARTESIAN_POINT('',(23.,31.802606,0.)); #451906=CARTESIAN_POINT('Origin',(22.990716,31.754944,0.)); #451907=CARTESIAN_POINT('',(22.990716,31.754944,0.)); #451908=CARTESIAN_POINT('',(22.990716,31.754944,0.)); #451909=CARTESIAN_POINT('',(22.990716,31.754944,0.035)); #451910=CARTESIAN_POINT('',(22.990716,31.754944,0.035)); #451911=CARTESIAN_POINT('',(22.990716,31.754944,0.)); #451912=CARTESIAN_POINT('Origin',(22.962803,31.712803,0.)); #451913=CARTESIAN_POINT('',(22.962803,31.712803,0.)); #451914=CARTESIAN_POINT('',(22.962803,31.712803,0.)); #451915=CARTESIAN_POINT('',(22.962803,31.712803,0.035)); #451916=CARTESIAN_POINT('',(22.962803,31.712803,0.035)); #451917=CARTESIAN_POINT('',(22.962803,31.712803,0.)); #451918=CARTESIAN_POINT('Origin',(21.662197,30.412197,0.)); #451919=CARTESIAN_POINT('',(21.662197,30.412197,0.)); #451920=CARTESIAN_POINT('',(21.662197,30.412197,0.)); #451921=CARTESIAN_POINT('',(21.662197,30.412197,0.035)); #451922=CARTESIAN_POINT('',(21.662197,30.412197,0.035)); #451923=CARTESIAN_POINT('',(21.662197,30.412197,0.)); #451924=CARTESIAN_POINT('Origin',(21.621928,30.385059,0.)); #451925=CARTESIAN_POINT('',(21.621928,30.385059,0.)); #451926=CARTESIAN_POINT('',(21.621928,30.385059,0.)); #451927=CARTESIAN_POINT('',(21.621928,30.385059,0.035)); #451928=CARTESIAN_POINT('',(21.621928,30.385059,0.035)); #451929=CARTESIAN_POINT('',(21.621928,30.385059,0.)); #451930=CARTESIAN_POINT('Origin',(21.572394,30.375,0.)); #451931=CARTESIAN_POINT('',(21.572394,30.375,0.)); #451932=CARTESIAN_POINT('',(21.572394,30.375,0.)); #451933=CARTESIAN_POINT('',(21.572394,30.375,0.035)); #451934=CARTESIAN_POINT('',(21.572394,30.375,0.035)); #451935=CARTESIAN_POINT('',(21.572394,30.375,0.)); #451936=CARTESIAN_POINT('Origin',(20.385022,30.375,0.)); #451937=CARTESIAN_POINT('',(20.385022,30.375,0.)); #451938=CARTESIAN_POINT('',(20.385022,30.375,0.)); #451939=CARTESIAN_POINT('',(20.385022,30.375,0.035)); #451940=CARTESIAN_POINT('',(20.385022,30.375,0.035)); #451941=CARTESIAN_POINT('',(20.385022,30.375,0.)); #451942=CARTESIAN_POINT('Origin',(20.375825,30.373541,0.)); #451943=CARTESIAN_POINT('',(20.375825,30.373541,0.)); #451944=CARTESIAN_POINT('',(20.375825,30.373541,0.)); #451945=CARTESIAN_POINT('',(20.375825,30.373541,0.035)); #451946=CARTESIAN_POINT('',(20.375825,30.373541,0.035)); #451947=CARTESIAN_POINT('',(20.375825,30.373541,0.)); #451948=CARTESIAN_POINT('Origin',(20.375,30.367016,0.)); #451949=CARTESIAN_POINT('',(20.375,30.367016,0.)); #451950=CARTESIAN_POINT('',(20.375,30.367016,0.)); #451951=CARTESIAN_POINT('',(20.375,30.367016,0.035)); #451952=CARTESIAN_POINT('',(20.375,30.367016,0.035)); #451953=CARTESIAN_POINT('',(20.375,30.367016,0.)); #451954=CARTESIAN_POINT('Origin',(20.375,29.127,0.)); #451955=CARTESIAN_POINT('',(20.375,29.127,0.)); #451956=CARTESIAN_POINT('',(20.375,29.127,0.)); #451957=CARTESIAN_POINT('',(20.375,29.127,0.035)); #451958=CARTESIAN_POINT('',(20.375,29.127,0.035)); #451959=CARTESIAN_POINT('',(20.375,29.127,0.)); #451960=CARTESIAN_POINT('Origin',(25.75,29.127,0.)); #451961=CARTESIAN_POINT('',(25.75,29.127,0.)); #451962=CARTESIAN_POINT('',(25.75,29.127,0.)); #451963=CARTESIAN_POINT('',(25.75,29.127,0.035)); #451964=CARTESIAN_POINT('',(25.75,29.127,0.035)); #451965=CARTESIAN_POINT('',(25.75,29.127,0.)); #451966=CARTESIAN_POINT('Origin',(25.7500007497004,28.9999996912748,0.)); #451967=CARTESIAN_POINT('',(25.839803,29.089803,0.)); #451968=CARTESIAN_POINT('Origin',(25.7500007497004,28.9999996912748,0.)); #451969=CARTESIAN_POINT('',(25.839803,29.089803,0.035)); #451970=CARTESIAN_POINT('Origin',(25.7500007497004,28.9999996912748,0.035)); #451971=CARTESIAN_POINT('',(25.839803,29.089803,0.)); #451972=CARTESIAN_POINT('Origin',(28.839803,26.089803,0.)); #451973=CARTESIAN_POINT('',(28.839803,26.089803,0.)); #451974=CARTESIAN_POINT('',(28.839803,26.089803,0.)); #451975=CARTESIAN_POINT('',(28.839803,26.089803,0.035)); #451976=CARTESIAN_POINT('',(28.839803,26.089803,0.035)); #451977=CARTESIAN_POINT('',(28.839803,26.089803,0.)); #451978=CARTESIAN_POINT('Origin',(28.7499996912748,26.0000007497004,0.)); #451979=CARTESIAN_POINT('',(28.877,26.,0.)); #451980=CARTESIAN_POINT('Origin',(28.7499996912748,26.0000007497004,0.)); #451981=CARTESIAN_POINT('',(28.877,26.,0.035)); #451982=CARTESIAN_POINT('Origin',(28.7499996912748,26.0000007497004,0.035)); #451983=CARTESIAN_POINT('',(28.877,26.,0.)); #451984=CARTESIAN_POINT('Origin',(28.877,20.272269,0.)); #451985=CARTESIAN_POINT('',(28.877,20.272269,0.)); #451986=CARTESIAN_POINT('',(28.877,20.272269,0.)); #451987=CARTESIAN_POINT('',(28.877,20.272269,0.035)); #451988=CARTESIAN_POINT('',(28.877,20.272269,0.035)); #451989=CARTESIAN_POINT('',(28.877,20.272269,0.)); #451990=CARTESIAN_POINT('Origin',(29.772269,19.377,0.)); #451991=CARTESIAN_POINT('',(29.772269,19.377,0.)); #451992=CARTESIAN_POINT('',(29.772269,19.377,0.)); #451993=CARTESIAN_POINT('',(29.772269,19.377,0.035)); #451994=CARTESIAN_POINT('',(29.772269,19.377,0.035)); #451995=CARTESIAN_POINT('',(29.772269,19.377,0.)); #451996=CARTESIAN_POINT('Origin',(30.2875,19.377,0.)); #451997=CARTESIAN_POINT('',(30.2875,19.377,0.)); #451998=CARTESIAN_POINT('',(30.2875,19.377,0.)); #451999=CARTESIAN_POINT('',(30.2875,19.377,0.035)); #452000=CARTESIAN_POINT('',(30.2875,19.377,0.035)); #452001=CARTESIAN_POINT('',(30.2875,19.377,0.)); #452002=CARTESIAN_POINT('Origin',(30.2875,19.3875,0.)); #452003=CARTESIAN_POINT('',(30.2875,19.3875,0.)); #452004=CARTESIAN_POINT('',(30.2875,19.3875,0.)); #452005=CARTESIAN_POINT('',(30.2875,19.3875,0.035)); #452006=CARTESIAN_POINT('',(30.2875,19.3875,0.035)); #452007=CARTESIAN_POINT('',(30.2875,19.3875,0.)); #452008=CARTESIAN_POINT('Origin',(30.9125,19.3875,0.)); #452009=CARTESIAN_POINT('',(30.9125,19.3875,0.)); #452010=CARTESIAN_POINT('',(30.9125,19.3875,0.035)); #452011=CARTESIAN_POINT('Origin',(19.8038403601717,32.3192964978018,0.035)); #452012=CARTESIAN_POINT('Origin',(19.8038403601717,32.3192964978018,0.)); #452013=CARTESIAN_POINT('Origin',(31.5,27.75,-200.)); #452014=CARTESIAN_POINT('',(31.035,27.75,0.)); #452015=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #452016=CARTESIAN_POINT('',(31.035,27.75,0.0349999999999895)); #452017=CARTESIAN_POINT('',(31.035,27.75,-200.)); #452018=CARTESIAN_POINT('Origin',(31.5,27.75,0.0349999999999895)); #452019=CARTESIAN_POINT('Origin',(31.536844,27.,0.)); #452020=CARTESIAN_POINT('',(31.536844,27.,0.)); #452021=CARTESIAN_POINT('',(31.610181,27.007222,0.)); #452022=CARTESIAN_POINT('',(31.536844,27.,0.)); #452023=CARTESIAN_POINT('',(31.610181,27.007222,0.035)); #452024=CARTESIAN_POINT('',(31.610181,27.007222,0.)); #452025=CARTESIAN_POINT('',(31.536844,27.,0.035)); #452026=CARTESIAN_POINT('',(31.536844,27.,0.035)); #452027=CARTESIAN_POINT('',(31.536844,27.,0.)); #452028=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,0.)); #452029=CARTESIAN_POINT('',(31.389819,28.492778,0.)); #452030=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,0.)); #452031=CARTESIAN_POINT('',(31.389819,28.492778,0.035)); #452032=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,0.035)); #452033=CARTESIAN_POINT('',(31.389819,28.492778,0.)); #452034=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #452035=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #452036=CARTESIAN_POINT('Origin',(31.5,27.75,0.035)); #452037=CARTESIAN_POINT('Origin',(31.4983877860344,27.7486960162912,0.035)); #452038=CARTESIAN_POINT('Origin',(31.4983877860344,27.7486960162912,0.)); #452039=CARTESIAN_POINT('Origin',(33.696416,58.909663,0.)); #452040=CARTESIAN_POINT('',(33.696416,58.909663,0.)); #452041=CARTESIAN_POINT('',(33.726034,58.916172,0.)); #452042=CARTESIAN_POINT('',(33.696416,58.909663,0.)); #452043=CARTESIAN_POINT('',(33.726034,58.916172,0.035)); #452044=CARTESIAN_POINT('',(33.726034,58.916172,0.)); #452045=CARTESIAN_POINT('',(33.696416,58.909663,0.035)); #452046=CARTESIAN_POINT('',(33.696416,58.909663,0.035)); #452047=CARTESIAN_POINT('',(33.696416,58.909663,0.)); #452048=CARTESIAN_POINT('Origin',(33.666603,58.915222,0.)); #452049=CARTESIAN_POINT('',(33.666603,58.915222,0.)); #452050=CARTESIAN_POINT('',(33.666603,58.915222,0.)); #452051=CARTESIAN_POINT('',(33.666603,58.915222,0.035)); #452052=CARTESIAN_POINT('',(33.666603,58.915222,0.035)); #452053=CARTESIAN_POINT('',(33.666603,58.915222,0.)); #452054=CARTESIAN_POINT('Origin',(33.641319,58.931972,0.)); #452055=CARTESIAN_POINT('',(33.641319,58.931972,0.)); #452056=CARTESIAN_POINT('',(33.641319,58.931972,0.)); #452057=CARTESIAN_POINT('',(33.641319,58.931972,0.035)); #452058=CARTESIAN_POINT('',(33.641319,58.931972,0.035)); #452059=CARTESIAN_POINT('',(33.641319,58.931972,0.)); #452060=CARTESIAN_POINT('Origin',(33.050272,59.523019,0.)); #452061=CARTESIAN_POINT('',(33.050272,59.523019,0.)); #452062=CARTESIAN_POINT('',(33.050272,59.523019,0.)); #452063=CARTESIAN_POINT('',(33.050272,59.523019,0.035)); #452064=CARTESIAN_POINT('',(33.050272,59.523019,0.035)); #452065=CARTESIAN_POINT('',(33.050272,59.523019,0.)); #452066=CARTESIAN_POINT('Origin',(33.034972,59.544956,0.)); #452067=CARTESIAN_POINT('',(33.034972,59.544956,0.)); #452068=CARTESIAN_POINT('',(33.034972,59.544956,0.)); #452069=CARTESIAN_POINT('',(33.034972,59.544956,0.035)); #452070=CARTESIAN_POINT('',(33.034972,59.544956,0.035)); #452071=CARTESIAN_POINT('',(33.034972,59.544956,0.)); #452072=CARTESIAN_POINT('Origin',(33.027991,59.574472,0.)); #452073=CARTESIAN_POINT('',(33.027991,59.574472,0.)); #452074=CARTESIAN_POINT('',(33.027991,59.574472,0.)); #452075=CARTESIAN_POINT('',(33.027991,59.574472,0.035)); #452076=CARTESIAN_POINT('',(33.027991,59.574472,0.035)); #452077=CARTESIAN_POINT('',(33.027991,59.574472,0.)); #452078=CARTESIAN_POINT('Origin',(33.033075,59.604369,0.)); #452079=CARTESIAN_POINT('',(33.033075,59.604369,0.)); #452080=CARTESIAN_POINT('',(33.033075,59.604369,0.)); #452081=CARTESIAN_POINT('',(33.033075,59.604369,0.035)); #452082=CARTESIAN_POINT('',(33.033075,59.604369,0.035)); #452083=CARTESIAN_POINT('',(33.033075,59.604369,0.)); #452084=CARTESIAN_POINT('Origin',(33.049419,59.629916,0.)); #452085=CARTESIAN_POINT('',(33.049419,59.629916,0.)); #452086=CARTESIAN_POINT('',(33.049419,59.629916,0.)); #452087=CARTESIAN_POINT('',(33.049419,59.629916,0.035)); #452088=CARTESIAN_POINT('',(33.049419,59.629916,0.035)); #452089=CARTESIAN_POINT('',(33.049419,59.629916,0.)); #452090=CARTESIAN_POINT('Origin',(33.074431,59.647066,0.)); #452091=CARTESIAN_POINT('',(33.074431,59.647066,0.)); #452092=CARTESIAN_POINT('',(33.074431,59.647066,0.)); #452093=CARTESIAN_POINT('',(33.074431,59.647066,0.035)); #452094=CARTESIAN_POINT('',(33.074431,59.647066,0.035)); #452095=CARTESIAN_POINT('',(33.074431,59.647066,0.)); #452096=CARTESIAN_POINT('Origin',(33.104153,59.6531,0.)); #452097=CARTESIAN_POINT('',(33.104153,59.6531,0.)); #452098=CARTESIAN_POINT('',(33.104153,59.6531,0.)); #452099=CARTESIAN_POINT('',(33.104153,59.6531,0.035)); #452100=CARTESIAN_POINT('',(33.104153,59.6531,0.035)); #452101=CARTESIAN_POINT('',(33.104153,59.6531,0.)); #452102=CARTESIAN_POINT('Origin',(33.480047,59.6531,0.)); #452103=CARTESIAN_POINT('',(33.480047,59.6531,0.)); #452104=CARTESIAN_POINT('',(33.480047,59.6531,0.)); #452105=CARTESIAN_POINT('',(33.480047,59.6531,0.035)); #452106=CARTESIAN_POINT('',(33.480047,59.6531,0.035)); #452107=CARTESIAN_POINT('',(33.480047,59.6531,0.)); #452108=CARTESIAN_POINT('Origin',(33.508644,59.647531,0.)); #452109=CARTESIAN_POINT('',(33.508644,59.647531,0.)); #452110=CARTESIAN_POINT('',(33.508644,59.647531,0.)); #452111=CARTESIAN_POINT('',(33.508644,59.647531,0.035)); #452112=CARTESIAN_POINT('',(33.508644,59.647531,0.035)); #452113=CARTESIAN_POINT('',(33.508644,59.647531,0.)); #452114=CARTESIAN_POINT('Origin',(33.533928,59.630781,0.)); #452115=CARTESIAN_POINT('',(33.533928,59.630781,0.)); #452116=CARTESIAN_POINT('',(33.533928,59.630781,0.)); #452117=CARTESIAN_POINT('',(33.533928,59.630781,0.035)); #452118=CARTESIAN_POINT('',(33.533928,59.630781,0.035)); #452119=CARTESIAN_POINT('',(33.533928,59.630781,0.)); #452120=CARTESIAN_POINT('Origin',(33.749081,59.415628,0.)); #452121=CARTESIAN_POINT('',(33.749081,59.415628,0.)); #452122=CARTESIAN_POINT('',(33.749081,59.415628,0.)); #452123=CARTESIAN_POINT('',(33.749081,59.415628,0.035)); #452124=CARTESIAN_POINT('',(33.749081,59.415628,0.035)); #452125=CARTESIAN_POINT('',(33.749081,59.415628,0.)); #452126=CARTESIAN_POINT('Origin',(33.765366,59.391469,0.)); #452127=CARTESIAN_POINT('',(33.765366,59.391469,0.)); #452128=CARTESIAN_POINT('',(33.765366,59.391469,0.)); #452129=CARTESIAN_POINT('',(33.765366,59.391469,0.035)); #452130=CARTESIAN_POINT('',(33.765366,59.391469,0.035)); #452131=CARTESIAN_POINT('',(33.765366,59.391469,0.)); #452132=CARTESIAN_POINT('Origin',(33.7714,59.361747,0.)); #452133=CARTESIAN_POINT('',(33.7714,59.361747,0.)); #452134=CARTESIAN_POINT('',(33.7714,59.361747,0.)); #452135=CARTESIAN_POINT('',(33.7714,59.361747,0.035)); #452136=CARTESIAN_POINT('',(33.7714,59.361747,0.035)); #452137=CARTESIAN_POINT('',(33.7714,59.361747,0.)); #452138=CARTESIAN_POINT('Origin',(33.7714,58.985853,0.)); #452139=CARTESIAN_POINT('',(33.7714,58.985853,0.)); #452140=CARTESIAN_POINT('',(33.7714,58.985853,0.)); #452141=CARTESIAN_POINT('',(33.7714,58.985853,0.035)); #452142=CARTESIAN_POINT('',(33.7714,58.985853,0.035)); #452143=CARTESIAN_POINT('',(33.7714,58.985853,0.)); #452144=CARTESIAN_POINT('Origin',(33.766706,58.959522,0.)); #452145=CARTESIAN_POINT('',(33.766706,58.959522,0.)); #452146=CARTESIAN_POINT('',(33.766706,58.959522,0.)); #452147=CARTESIAN_POINT('',(33.766706,58.959522,0.035)); #452148=CARTESIAN_POINT('',(33.766706,58.959522,0.035)); #452149=CARTESIAN_POINT('',(33.766706,58.959522,0.)); #452150=CARTESIAN_POINT('Origin',(33.750772,58.933716,0.)); #452151=CARTESIAN_POINT('',(33.750772,58.933716,0.)); #452152=CARTESIAN_POINT('',(33.750772,58.933716,0.)); #452153=CARTESIAN_POINT('',(33.750772,58.933716,0.035)); #452154=CARTESIAN_POINT('',(33.750772,58.933716,0.035)); #452155=CARTESIAN_POINT('',(33.750772,58.933716,0.)); #452156=CARTESIAN_POINT('Origin',(33.726034,58.916172,0.)); #452157=CARTESIAN_POINT('',(33.726034,58.916172,0.)); #452158=CARTESIAN_POINT('',(33.726034,58.916172,0.035)); #452159=CARTESIAN_POINT('Origin',(33.4631122168991,59.3448100598658,0.035)); #452160=CARTESIAN_POINT('Origin',(33.4631122168991,59.3448100598658,0.)); #452161=CARTESIAN_POINT('Origin',(26.446416,29.19,0.)); #452162=CARTESIAN_POINT('',(26.446416,29.19,0.)); #452163=CARTESIAN_POINT('',(26.476034,29.196509,0.)); #452164=CARTESIAN_POINT('',(26.446416,29.19,0.)); #452165=CARTESIAN_POINT('',(26.476034,29.196509,0.035)); #452166=CARTESIAN_POINT('',(26.476034,29.196509,0.)); #452167=CARTESIAN_POINT('',(26.446416,29.19,0.035)); #452168=CARTESIAN_POINT('',(26.446416,29.19,0.035)); #452169=CARTESIAN_POINT('',(26.446416,29.19,0.)); #452170=CARTESIAN_POINT('Origin',(26.416603,29.195559,0.)); #452171=CARTESIAN_POINT('',(26.416603,29.195559,0.)); #452172=CARTESIAN_POINT('',(26.416603,29.195559,0.)); #452173=CARTESIAN_POINT('',(26.416603,29.195559,0.035)); #452174=CARTESIAN_POINT('',(26.416603,29.195559,0.035)); #452175=CARTESIAN_POINT('',(26.416603,29.195559,0.)); #452176=CARTESIAN_POINT('Origin',(26.391319,29.212309,0.)); #452177=CARTESIAN_POINT('',(26.391319,29.212309,0.)); #452178=CARTESIAN_POINT('',(26.391319,29.212309,0.)); #452179=CARTESIAN_POINT('',(26.391319,29.212309,0.035)); #452180=CARTESIAN_POINT('',(26.391319,29.212309,0.035)); #452181=CARTESIAN_POINT('',(26.391319,29.212309,0.)); #452182=CARTESIAN_POINT('Origin',(26.250919,29.352709,0.)); #452183=CARTESIAN_POINT('',(26.250919,29.352709,0.)); #452184=CARTESIAN_POINT('',(26.250919,29.352709,0.)); #452185=CARTESIAN_POINT('',(26.250919,29.352709,0.035)); #452186=CARTESIAN_POINT('',(26.250919,29.352709,0.035)); #452187=CARTESIAN_POINT('',(26.250919,29.352709,0.)); #452188=CARTESIAN_POINT('Origin',(26.234634,29.376869,0.)); #452189=CARTESIAN_POINT('',(26.234634,29.376869,0.)); #452190=CARTESIAN_POINT('',(26.234634,29.376869,0.)); #452191=CARTESIAN_POINT('',(26.234634,29.376869,0.035)); #452192=CARTESIAN_POINT('',(26.234634,29.376869,0.035)); #452193=CARTESIAN_POINT('',(26.234634,29.376869,0.)); #452194=CARTESIAN_POINT('Origin',(26.2286,29.406591,0.)); #452195=CARTESIAN_POINT('',(26.2286,29.406591,0.)); #452196=CARTESIAN_POINT('',(26.2286,29.406591,0.)); #452197=CARTESIAN_POINT('',(26.2286,29.406591,0.035)); #452198=CARTESIAN_POINT('',(26.2286,29.406591,0.035)); #452199=CARTESIAN_POINT('',(26.2286,29.406591,0.)); #452200=CARTESIAN_POINT('Origin',(26.2286,30.592603,0.)); #452201=CARTESIAN_POINT('',(26.2286,30.592603,0.)); #452202=CARTESIAN_POINT('',(26.2286,30.592603,0.)); #452203=CARTESIAN_POINT('',(26.2286,30.592603,0.035)); #452204=CARTESIAN_POINT('',(26.2286,30.592603,0.035)); #452205=CARTESIAN_POINT('',(26.2286,30.592603,0.)); #452206=CARTESIAN_POINT('Origin',(26.234169,30.6212,0.)); #452207=CARTESIAN_POINT('',(26.234169,30.6212,0.)); #452208=CARTESIAN_POINT('',(26.234169,30.6212,0.)); #452209=CARTESIAN_POINT('',(26.234169,30.6212,0.035)); #452210=CARTESIAN_POINT('',(26.234169,30.6212,0.035)); #452211=CARTESIAN_POINT('',(26.234169,30.6212,0.)); #452212=CARTESIAN_POINT('Origin',(26.250919,30.646484,0.)); #452213=CARTESIAN_POINT('',(26.250919,30.646484,0.)); #452214=CARTESIAN_POINT('',(26.250919,30.646484,0.)); #452215=CARTESIAN_POINT('',(26.250919,30.646484,0.035)); #452216=CARTESIAN_POINT('',(26.250919,30.646484,0.035)); #452217=CARTESIAN_POINT('',(26.250919,30.646484,0.)); #452218=CARTESIAN_POINT('Origin',(26.321119,30.716684,0.)); #452219=CARTESIAN_POINT('',(26.321119,30.716684,0.)); #452220=CARTESIAN_POINT('',(26.321119,30.716684,0.)); #452221=CARTESIAN_POINT('',(26.321119,30.716684,0.035)); #452222=CARTESIAN_POINT('',(26.321119,30.716684,0.035)); #452223=CARTESIAN_POINT('',(26.321119,30.716684,0.)); #452224=CARTESIAN_POINT('Origin',(26.344166,30.732484,0.)); #452225=CARTESIAN_POINT('',(26.344166,30.732484,0.)); #452226=CARTESIAN_POINT('',(26.344166,30.732484,0.)); #452227=CARTESIAN_POINT('',(26.344166,30.732484,0.035)); #452228=CARTESIAN_POINT('',(26.344166,30.732484,0.035)); #452229=CARTESIAN_POINT('',(26.344166,30.732484,0.)); #452230=CARTESIAN_POINT('Origin',(26.373784,30.738994,0.)); #452231=CARTESIAN_POINT('',(26.373784,30.738994,0.)); #452232=CARTESIAN_POINT('',(26.373784,30.738994,0.)); #452233=CARTESIAN_POINT('',(26.373784,30.738994,0.035)); #452234=CARTESIAN_POINT('',(26.373784,30.738994,0.035)); #452235=CARTESIAN_POINT('',(26.373784,30.738994,0.)); #452236=CARTESIAN_POINT('Origin',(26.403597,30.733434,0.)); #452237=CARTESIAN_POINT('',(26.403597,30.733434,0.)); #452238=CARTESIAN_POINT('',(26.403597,30.733434,0.)); #452239=CARTESIAN_POINT('',(26.403597,30.733434,0.035)); #452240=CARTESIAN_POINT('',(26.403597,30.733434,0.035)); #452241=CARTESIAN_POINT('',(26.403597,30.733434,0.)); #452242=CARTESIAN_POINT('Origin',(26.428881,30.716684,0.)); #452243=CARTESIAN_POINT('',(26.428881,30.716684,0.)); #452244=CARTESIAN_POINT('',(26.428881,30.716684,0.)); #452245=CARTESIAN_POINT('',(26.428881,30.716684,0.035)); #452246=CARTESIAN_POINT('',(26.428881,30.716684,0.035)); #452247=CARTESIAN_POINT('',(26.428881,30.716684,0.)); #452248=CARTESIAN_POINT('Origin',(26.499081,30.646484,0.)); #452249=CARTESIAN_POINT('',(26.499081,30.646484,0.)); #452250=CARTESIAN_POINT('',(26.499081,30.646484,0.)); #452251=CARTESIAN_POINT('',(26.499081,30.646484,0.035)); #452252=CARTESIAN_POINT('',(26.499081,30.646484,0.035)); #452253=CARTESIAN_POINT('',(26.499081,30.646484,0.)); #452254=CARTESIAN_POINT('Origin',(26.515366,30.622325,0.)); #452255=CARTESIAN_POINT('',(26.515366,30.622325,0.)); #452256=CARTESIAN_POINT('',(26.515366,30.622325,0.)); #452257=CARTESIAN_POINT('',(26.515366,30.622325,0.035)); #452258=CARTESIAN_POINT('',(26.515366,30.622325,0.035)); #452259=CARTESIAN_POINT('',(26.515366,30.622325,0.)); #452260=CARTESIAN_POINT('Origin',(26.5214,30.592603,0.)); #452261=CARTESIAN_POINT('',(26.5214,30.592603,0.)); #452262=CARTESIAN_POINT('',(26.5214,30.592603,0.)); #452263=CARTESIAN_POINT('',(26.5214,30.592603,0.035)); #452264=CARTESIAN_POINT('',(26.5214,30.592603,0.035)); #452265=CARTESIAN_POINT('',(26.5214,30.592603,0.)); #452266=CARTESIAN_POINT('Origin',(26.5214,29.266191,0.)); #452267=CARTESIAN_POINT('',(26.5214,29.266191,0.)); #452268=CARTESIAN_POINT('',(26.5214,29.266191,0.)); #452269=CARTESIAN_POINT('',(26.5214,29.266191,0.035)); #452270=CARTESIAN_POINT('',(26.5214,29.266191,0.035)); #452271=CARTESIAN_POINT('',(26.5214,29.266191,0.)); #452272=CARTESIAN_POINT('Origin',(26.516706,29.239859,0.)); #452273=CARTESIAN_POINT('',(26.516706,29.239859,0.)); #452274=CARTESIAN_POINT('',(26.516706,29.239859,0.)); #452275=CARTESIAN_POINT('',(26.516706,29.239859,0.035)); #452276=CARTESIAN_POINT('',(26.516706,29.239859,0.035)); #452277=CARTESIAN_POINT('',(26.516706,29.239859,0.)); #452278=CARTESIAN_POINT('Origin',(26.500772,29.214053,0.)); #452279=CARTESIAN_POINT('',(26.500772,29.214053,0.)); #452280=CARTESIAN_POINT('',(26.500772,29.214053,0.)); #452281=CARTESIAN_POINT('',(26.500772,29.214053,0.035)); #452282=CARTESIAN_POINT('',(26.500772,29.214053,0.035)); #452283=CARTESIAN_POINT('',(26.500772,29.214053,0.)); #452284=CARTESIAN_POINT('Origin',(26.476034,29.196509,0.)); #452285=CARTESIAN_POINT('',(26.476034,29.196509,0.)); #452286=CARTESIAN_POINT('',(26.476034,29.196509,0.035)); #452287=CARTESIAN_POINT('Origin',(26.3803781637128,29.9651016304476,0.035)); #452288=CARTESIAN_POINT('Origin',(26.3803781637128,29.9651016304476,0.)); #452289=CARTESIAN_POINT('Origin',(28.983003,36.2286,0.)); #452290=CARTESIAN_POINT('',(28.983003,36.2286,0.)); #452291=CARTESIAN_POINT('',(29.012725,36.234634,0.)); #452292=CARTESIAN_POINT('',(28.983003,36.2286,0.)); #452293=CARTESIAN_POINT('',(29.012725,36.234634,0.035)); #452294=CARTESIAN_POINT('',(29.012725,36.234634,0.)); #452295=CARTESIAN_POINT('',(28.983003,36.2286,0.035)); #452296=CARTESIAN_POINT('',(28.983003,36.2286,0.035)); #452297=CARTESIAN_POINT('',(28.983003,36.2286,0.)); #452298=CARTESIAN_POINT('Origin',(27.815578,36.2286,0.)); #452299=CARTESIAN_POINT('',(27.815578,36.2286,0.)); #452300=CARTESIAN_POINT('',(27.815578,36.2286,0.)); #452301=CARTESIAN_POINT('',(27.815578,36.2286,0.035)); #452302=CARTESIAN_POINT('',(27.815578,36.2286,0.035)); #452303=CARTESIAN_POINT('',(27.815578,36.2286,0.)); #452304=CARTESIAN_POINT('Origin',(27.786981,36.234169,0.)); #452305=CARTESIAN_POINT('',(27.786981,36.234169,0.)); #452306=CARTESIAN_POINT('',(27.786981,36.234169,0.)); #452307=CARTESIAN_POINT('',(27.786981,36.234169,0.035)); #452308=CARTESIAN_POINT('',(27.786981,36.234169,0.035)); #452309=CARTESIAN_POINT('',(27.786981,36.234169,0.)); #452310=CARTESIAN_POINT('Origin',(27.761697,36.250919,0.)); #452311=CARTESIAN_POINT('',(27.761697,36.250919,0.)); #452312=CARTESIAN_POINT('',(27.761697,36.250919,0.)); #452313=CARTESIAN_POINT('',(27.761697,36.250919,0.035)); #452314=CARTESIAN_POINT('',(27.761697,36.250919,0.035)); #452315=CARTESIAN_POINT('',(27.761697,36.250919,0.)); #452316=CARTESIAN_POINT('Origin',(27.621297,36.391319,0.)); #452317=CARTESIAN_POINT('',(27.621297,36.391319,0.)); #452318=CARTESIAN_POINT('',(27.621297,36.391319,0.)); #452319=CARTESIAN_POINT('',(27.621297,36.391319,0.035)); #452320=CARTESIAN_POINT('',(27.621297,36.391319,0.035)); #452321=CARTESIAN_POINT('',(27.621297,36.391319,0.)); #452322=CARTESIAN_POINT('Origin',(27.605997,36.413256,0.)); #452323=CARTESIAN_POINT('',(27.605997,36.413256,0.)); #452324=CARTESIAN_POINT('',(27.605997,36.413256,0.)); #452325=CARTESIAN_POINT('',(27.605997,36.413256,0.035)); #452326=CARTESIAN_POINT('',(27.605997,36.413256,0.035)); #452327=CARTESIAN_POINT('',(27.605997,36.413256,0.)); #452328=CARTESIAN_POINT('Origin',(27.599016,36.442772,0.)); #452329=CARTESIAN_POINT('',(27.599016,36.442772,0.)); #452330=CARTESIAN_POINT('',(27.599016,36.442772,0.)); #452331=CARTESIAN_POINT('',(27.599016,36.442772,0.035)); #452332=CARTESIAN_POINT('',(27.599016,36.442772,0.035)); #452333=CARTESIAN_POINT('',(27.599016,36.442772,0.)); #452334=CARTESIAN_POINT('Origin',(27.6041,36.472669,0.)); #452335=CARTESIAN_POINT('',(27.6041,36.472669,0.)); #452336=CARTESIAN_POINT('',(27.6041,36.472669,0.)); #452337=CARTESIAN_POINT('',(27.6041,36.472669,0.035)); #452338=CARTESIAN_POINT('',(27.6041,36.472669,0.035)); #452339=CARTESIAN_POINT('',(27.6041,36.472669,0.)); #452340=CARTESIAN_POINT('Origin',(27.620444,36.498216,0.)); #452341=CARTESIAN_POINT('',(27.620444,36.498216,0.)); #452342=CARTESIAN_POINT('',(27.620444,36.498216,0.)); #452343=CARTESIAN_POINT('',(27.620444,36.498216,0.035)); #452344=CARTESIAN_POINT('',(27.620444,36.498216,0.035)); #452345=CARTESIAN_POINT('',(27.620444,36.498216,0.)); #452346=CARTESIAN_POINT('Origin',(27.645456,36.515366,0.)); #452347=CARTESIAN_POINT('',(27.645456,36.515366,0.)); #452348=CARTESIAN_POINT('',(27.645456,36.515366,0.)); #452349=CARTESIAN_POINT('',(27.645456,36.515366,0.035)); #452350=CARTESIAN_POINT('',(27.645456,36.515366,0.035)); #452351=CARTESIAN_POINT('',(27.645456,36.515366,0.)); #452352=CARTESIAN_POINT('Origin',(27.675178,36.5214,0.)); #452353=CARTESIAN_POINT('',(27.675178,36.5214,0.)); #452354=CARTESIAN_POINT('',(27.675178,36.5214,0.)); #452355=CARTESIAN_POINT('',(27.675178,36.5214,0.035)); #452356=CARTESIAN_POINT('',(27.675178,36.5214,0.035)); #452357=CARTESIAN_POINT('',(27.675178,36.5214,0.)); #452358=CARTESIAN_POINT('Origin',(28.842603,36.5214,0.)); #452359=CARTESIAN_POINT('',(28.842603,36.5214,0.)); #452360=CARTESIAN_POINT('',(28.842603,36.5214,0.)); #452361=CARTESIAN_POINT('',(28.842603,36.5214,0.035)); #452362=CARTESIAN_POINT('',(28.842603,36.5214,0.035)); #452363=CARTESIAN_POINT('',(28.842603,36.5214,0.)); #452364=CARTESIAN_POINT('Origin',(28.8712,36.515831,0.)); #452365=CARTESIAN_POINT('',(28.8712,36.515831,0.)); #452366=CARTESIAN_POINT('',(28.8712,36.515831,0.)); #452367=CARTESIAN_POINT('',(28.8712,36.515831,0.035)); #452368=CARTESIAN_POINT('',(28.8712,36.515831,0.035)); #452369=CARTESIAN_POINT('',(28.8712,36.515831,0.)); #452370=CARTESIAN_POINT('Origin',(28.896484,36.499081,0.)); #452371=CARTESIAN_POINT('',(28.896484,36.499081,0.)); #452372=CARTESIAN_POINT('',(28.896484,36.499081,0.)); #452373=CARTESIAN_POINT('',(28.896484,36.499081,0.035)); #452374=CARTESIAN_POINT('',(28.896484,36.499081,0.035)); #452375=CARTESIAN_POINT('',(28.896484,36.499081,0.)); #452376=CARTESIAN_POINT('Origin',(29.036884,36.358681,0.)); #452377=CARTESIAN_POINT('',(29.036884,36.358681,0.)); #452378=CARTESIAN_POINT('',(29.036884,36.358681,0.)); #452379=CARTESIAN_POINT('',(29.036884,36.358681,0.035)); #452380=CARTESIAN_POINT('',(29.036884,36.358681,0.035)); #452381=CARTESIAN_POINT('',(29.036884,36.358681,0.)); #452382=CARTESIAN_POINT('Origin',(29.052184,36.336744,0.)); #452383=CARTESIAN_POINT('',(29.052184,36.336744,0.)); #452384=CARTESIAN_POINT('',(29.052184,36.336744,0.)); #452385=CARTESIAN_POINT('',(29.052184,36.336744,0.035)); #452386=CARTESIAN_POINT('',(29.052184,36.336744,0.035)); #452387=CARTESIAN_POINT('',(29.052184,36.336744,0.)); #452388=CARTESIAN_POINT('Origin',(29.059166,36.307228,0.)); #452389=CARTESIAN_POINT('',(29.059166,36.307228,0.)); #452390=CARTESIAN_POINT('',(29.059166,36.307228,0.)); #452391=CARTESIAN_POINT('',(29.059166,36.307228,0.035)); #452392=CARTESIAN_POINT('',(29.059166,36.307228,0.035)); #452393=CARTESIAN_POINT('',(29.059166,36.307228,0.)); #452394=CARTESIAN_POINT('Origin',(29.054081,36.277331,0.)); #452395=CARTESIAN_POINT('',(29.054081,36.277331,0.)); #452396=CARTESIAN_POINT('',(29.054081,36.277331,0.)); #452397=CARTESIAN_POINT('',(29.054081,36.277331,0.035)); #452398=CARTESIAN_POINT('',(29.054081,36.277331,0.035)); #452399=CARTESIAN_POINT('',(29.054081,36.277331,0.)); #452400=CARTESIAN_POINT('Origin',(29.037738,36.251784,0.)); #452401=CARTESIAN_POINT('',(29.037738,36.251784,0.)); #452402=CARTESIAN_POINT('',(29.037738,36.251784,0.)); #452403=CARTESIAN_POINT('',(29.037738,36.251784,0.035)); #452404=CARTESIAN_POINT('',(29.037738,36.251784,0.035)); #452405=CARTESIAN_POINT('',(29.037738,36.251784,0.)); #452406=CARTESIAN_POINT('Origin',(29.012725,36.234634,0.)); #452407=CARTESIAN_POINT('',(29.012725,36.234634,0.)); #452408=CARTESIAN_POINT('',(29.012725,36.234634,0.035)); #452409=CARTESIAN_POINT('Origin',(28.3290906676392,36.3749999765408,0.035)); #452410=CARTESIAN_POINT('Origin',(28.3290906676392,36.3749999765408,0.)); #452411=CARTESIAN_POINT('Origin',(41.557228,29.190028,0.)); #452412=CARTESIAN_POINT('',(41.557228,29.190028,0.)); #452413=CARTESIAN_POINT('',(41.586744,29.197009,0.)); #452414=CARTESIAN_POINT('',(41.557228,29.190028,0.)); #452415=CARTESIAN_POINT('',(41.586744,29.197009,0.035)); #452416=CARTESIAN_POINT('',(41.586744,29.197009,0.)); #452417=CARTESIAN_POINT('',(41.557228,29.190028,0.035)); #452418=CARTESIAN_POINT('',(41.557228,29.190028,0.035)); #452419=CARTESIAN_POINT('',(41.557228,29.190028,0.)); #452420=CARTESIAN_POINT('Origin',(41.527331,29.195113,0.)); #452421=CARTESIAN_POINT('',(41.527331,29.195113,0.)); #452422=CARTESIAN_POINT('',(41.527331,29.195113,0.)); #452423=CARTESIAN_POINT('',(41.527331,29.195113,0.035)); #452424=CARTESIAN_POINT('',(41.527331,29.195113,0.035)); #452425=CARTESIAN_POINT('',(41.527331,29.195113,0.)); #452426=CARTESIAN_POINT('Origin',(41.501784,29.211456,0.)); #452427=CARTESIAN_POINT('',(41.501784,29.211456,0.)); #452428=CARTESIAN_POINT('',(41.501784,29.211456,0.)); #452429=CARTESIAN_POINT('',(41.501784,29.211456,0.035)); #452430=CARTESIAN_POINT('',(41.501784,29.211456,0.035)); #452431=CARTESIAN_POINT('',(41.501784,29.211456,0.)); #452432=CARTESIAN_POINT('Origin',(41.484634,29.236469,0.)); #452433=CARTESIAN_POINT('',(41.484634,29.236469,0.)); #452434=CARTESIAN_POINT('',(41.484634,29.236469,0.)); #452435=CARTESIAN_POINT('',(41.484634,29.236469,0.035)); #452436=CARTESIAN_POINT('',(41.484634,29.236469,0.035)); #452437=CARTESIAN_POINT('',(41.484634,29.236469,0.)); #452438=CARTESIAN_POINT('Origin',(41.4786,29.266191,0.)); #452439=CARTESIAN_POINT('',(41.4786,29.266191,0.)); #452440=CARTESIAN_POINT('',(41.4786,29.266191,0.)); #452441=CARTESIAN_POINT('',(41.4786,29.266191,0.035)); #452442=CARTESIAN_POINT('',(41.4786,29.266191,0.035)); #452443=CARTESIAN_POINT('',(41.4786,29.266191,0.)); #452444=CARTESIAN_POINT('Origin',(41.4786,30.592603,0.)); #452445=CARTESIAN_POINT('',(41.4786,30.592603,0.)); #452446=CARTESIAN_POINT('',(41.4786,30.592603,0.)); #452447=CARTESIAN_POINT('',(41.4786,30.592603,0.035)); #452448=CARTESIAN_POINT('',(41.4786,30.592603,0.035)); #452449=CARTESIAN_POINT('',(41.4786,30.592603,0.)); #452450=CARTESIAN_POINT('Origin',(41.484169,30.6212,0.)); #452451=CARTESIAN_POINT('',(41.484169,30.6212,0.)); #452452=CARTESIAN_POINT('',(41.484169,30.6212,0.)); #452453=CARTESIAN_POINT('',(41.484169,30.6212,0.035)); #452454=CARTESIAN_POINT('',(41.484169,30.6212,0.035)); #452455=CARTESIAN_POINT('',(41.484169,30.6212,0.)); #452456=CARTESIAN_POINT('Origin',(41.500919,30.646484,0.)); #452457=CARTESIAN_POINT('',(41.500919,30.646484,0.)); #452458=CARTESIAN_POINT('',(41.500919,30.646484,0.)); #452459=CARTESIAN_POINT('',(41.500919,30.646484,0.035)); #452460=CARTESIAN_POINT('',(41.500919,30.646484,0.035)); #452461=CARTESIAN_POINT('',(41.500919,30.646484,0.)); #452462=CARTESIAN_POINT('Origin',(41.571119,30.716684,0.)); #452463=CARTESIAN_POINT('',(41.571119,30.716684,0.)); #452464=CARTESIAN_POINT('',(41.571119,30.716684,0.)); #452465=CARTESIAN_POINT('',(41.571119,30.716684,0.035)); #452466=CARTESIAN_POINT('',(41.571119,30.716684,0.035)); #452467=CARTESIAN_POINT('',(41.571119,30.716684,0.)); #452468=CARTESIAN_POINT('Origin',(41.594166,30.732484,0.)); #452469=CARTESIAN_POINT('',(41.594166,30.732484,0.)); #452470=CARTESIAN_POINT('',(41.594166,30.732484,0.)); #452471=CARTESIAN_POINT('',(41.594166,30.732484,0.035)); #452472=CARTESIAN_POINT('',(41.594166,30.732484,0.035)); #452473=CARTESIAN_POINT('',(41.594166,30.732484,0.)); #452474=CARTESIAN_POINT('Origin',(41.623784,30.738994,0.)); #452475=CARTESIAN_POINT('',(41.623784,30.738994,0.)); #452476=CARTESIAN_POINT('',(41.623784,30.738994,0.)); #452477=CARTESIAN_POINT('',(41.623784,30.738994,0.035)); #452478=CARTESIAN_POINT('',(41.623784,30.738994,0.035)); #452479=CARTESIAN_POINT('',(41.623784,30.738994,0.)); #452480=CARTESIAN_POINT('Origin',(41.653597,30.733434,0.)); #452481=CARTESIAN_POINT('',(41.653597,30.733434,0.)); #452482=CARTESIAN_POINT('',(41.653597,30.733434,0.)); #452483=CARTESIAN_POINT('',(41.653597,30.733434,0.035)); #452484=CARTESIAN_POINT('',(41.653597,30.733434,0.035)); #452485=CARTESIAN_POINT('',(41.653597,30.733434,0.)); #452486=CARTESIAN_POINT('Origin',(41.678881,30.716684,0.)); #452487=CARTESIAN_POINT('',(41.678881,30.716684,0.)); #452488=CARTESIAN_POINT('',(41.678881,30.716684,0.)); #452489=CARTESIAN_POINT('',(41.678881,30.716684,0.035)); #452490=CARTESIAN_POINT('',(41.678881,30.716684,0.035)); #452491=CARTESIAN_POINT('',(41.678881,30.716684,0.)); #452492=CARTESIAN_POINT('Origin',(41.749081,30.646484,0.)); #452493=CARTESIAN_POINT('',(41.749081,30.646484,0.)); #452494=CARTESIAN_POINT('',(41.749081,30.646484,0.)); #452495=CARTESIAN_POINT('',(41.749081,30.646484,0.035)); #452496=CARTESIAN_POINT('',(41.749081,30.646484,0.035)); #452497=CARTESIAN_POINT('',(41.749081,30.646484,0.)); #452498=CARTESIAN_POINT('Origin',(41.765366,30.622325,0.)); #452499=CARTESIAN_POINT('',(41.765366,30.622325,0.)); #452500=CARTESIAN_POINT('',(41.765366,30.622325,0.)); #452501=CARTESIAN_POINT('',(41.765366,30.622325,0.035)); #452502=CARTESIAN_POINT('',(41.765366,30.622325,0.035)); #452503=CARTESIAN_POINT('',(41.765366,30.622325,0.)); #452504=CARTESIAN_POINT('Origin',(41.7714,30.592603,0.)); #452505=CARTESIAN_POINT('',(41.7714,30.592603,0.)); #452506=CARTESIAN_POINT('',(41.7714,30.592603,0.)); #452507=CARTESIAN_POINT('',(41.7714,30.592603,0.035)); #452508=CARTESIAN_POINT('',(41.7714,30.592603,0.035)); #452509=CARTESIAN_POINT('',(41.7714,30.592603,0.)); #452510=CARTESIAN_POINT('Origin',(41.7714,29.406591,0.)); #452511=CARTESIAN_POINT('',(41.7714,29.406591,0.)); #452512=CARTESIAN_POINT('',(41.7714,29.406591,0.)); #452513=CARTESIAN_POINT('',(41.7714,29.406591,0.035)); #452514=CARTESIAN_POINT('',(41.7714,29.406591,0.035)); #452515=CARTESIAN_POINT('',(41.7714,29.406591,0.)); #452516=CARTESIAN_POINT('Origin',(41.765831,29.377994,0.)); #452517=CARTESIAN_POINT('',(41.765831,29.377994,0.)); #452518=CARTESIAN_POINT('',(41.765831,29.377994,0.)); #452519=CARTESIAN_POINT('',(41.765831,29.377994,0.035)); #452520=CARTESIAN_POINT('',(41.765831,29.377994,0.035)); #452521=CARTESIAN_POINT('',(41.765831,29.377994,0.)); #452522=CARTESIAN_POINT('Origin',(41.749081,29.352709,0.)); #452523=CARTESIAN_POINT('',(41.749081,29.352709,0.)); #452524=CARTESIAN_POINT('',(41.749081,29.352709,0.)); #452525=CARTESIAN_POINT('',(41.749081,29.352709,0.035)); #452526=CARTESIAN_POINT('',(41.749081,29.352709,0.035)); #452527=CARTESIAN_POINT('',(41.749081,29.352709,0.)); #452528=CARTESIAN_POINT('Origin',(41.608681,29.212309,0.)); #452529=CARTESIAN_POINT('',(41.608681,29.212309,0.)); #452530=CARTESIAN_POINT('',(41.608681,29.212309,0.)); #452531=CARTESIAN_POINT('',(41.608681,29.212309,0.035)); #452532=CARTESIAN_POINT('',(41.608681,29.212309,0.035)); #452533=CARTESIAN_POINT('',(41.608681,29.212309,0.)); #452534=CARTESIAN_POINT('Origin',(41.586744,29.197009,0.)); #452535=CARTESIAN_POINT('',(41.586744,29.197009,0.)); #452536=CARTESIAN_POINT('',(41.586744,29.197009,0.035)); #452537=CARTESIAN_POINT('Origin',(41.6196236974021,29.9650999970932,0.035)); #452538=CARTESIAN_POINT('Origin',(41.6196236974021,29.9650999970932,0.)); #452539=CARTESIAN_POINT('Origin',(40.,38.75,-200.)); #452540=CARTESIAN_POINT('',(39.86,38.75,0.)); #452541=CARTESIAN_POINT('Origin',(40.,38.75,0.)); #452542=CARTESIAN_POINT('',(39.86,38.75,0.0349999999999895)); #452543=CARTESIAN_POINT('',(39.86,38.75,-200.)); #452544=CARTESIAN_POINT('Origin',(40.,38.75,0.0349999999999895)); #452545=CARTESIAN_POINT('Origin',(40.,39.5,-200.)); #452546=CARTESIAN_POINT('',(39.86,39.5,0.)); #452547=CARTESIAN_POINT('Origin',(40.,39.5,0.)); #452548=CARTESIAN_POINT('',(39.86,39.5,0.0349999999999895)); #452549=CARTESIAN_POINT('',(39.86,39.5,-200.)); #452550=CARTESIAN_POINT('Origin',(40.,39.5,0.0349999999999895)); #452551=CARTESIAN_POINT('Origin',(40.,38.,-200.)); #452552=CARTESIAN_POINT('',(39.86,38.,0.)); #452553=CARTESIAN_POINT('Origin',(40.,38.,0.)); #452554=CARTESIAN_POINT('',(39.86,38.,0.0349999999999895)); #452555=CARTESIAN_POINT('',(39.86,38.,-200.)); #452556=CARTESIAN_POINT('Origin',(40.,38.,0.0349999999999895)); #452557=CARTESIAN_POINT('Origin',(40.404084,36.9786,0.)); #452558=CARTESIAN_POINT('',(40.404084,36.9786,0.)); #452559=CARTESIAN_POINT('',(40.433806,36.984634,0.)); #452560=CARTESIAN_POINT('',(40.404084,36.9786,0.)); #452561=CARTESIAN_POINT('',(40.433806,36.984634,0.035)); #452562=CARTESIAN_POINT('',(40.433806,36.984634,0.)); #452563=CARTESIAN_POINT('',(40.404084,36.9786,0.035)); #452564=CARTESIAN_POINT('',(40.404084,36.9786,0.035)); #452565=CARTESIAN_POINT('',(40.404084,36.9786,0.)); #452566=CARTESIAN_POINT('Origin',(39.157397,36.9786,0.)); #452567=CARTESIAN_POINT('',(39.157397,36.9786,0.)); #452568=CARTESIAN_POINT('',(39.157397,36.9786,0.)); #452569=CARTESIAN_POINT('',(39.157397,36.9786,0.035)); #452570=CARTESIAN_POINT('',(39.157397,36.9786,0.035)); #452571=CARTESIAN_POINT('',(39.157397,36.9786,0.)); #452572=CARTESIAN_POINT('Origin',(39.1288,36.984169,0.)); #452573=CARTESIAN_POINT('',(39.1288,36.984169,0.)); #452574=CARTESIAN_POINT('',(39.1288,36.984169,0.)); #452575=CARTESIAN_POINT('',(39.1288,36.984169,0.035)); #452576=CARTESIAN_POINT('',(39.1288,36.984169,0.035)); #452577=CARTESIAN_POINT('',(39.1288,36.984169,0.)); #452578=CARTESIAN_POINT('Origin',(39.103516,37.000919,0.)); #452579=CARTESIAN_POINT('',(39.103516,37.000919,0.)); #452580=CARTESIAN_POINT('',(39.103516,37.000919,0.)); #452581=CARTESIAN_POINT('',(39.103516,37.000919,0.035)); #452582=CARTESIAN_POINT('',(39.103516,37.000919,0.035)); #452583=CARTESIAN_POINT('',(39.103516,37.000919,0.)); #452584=CARTESIAN_POINT('Origin',(38.927034,37.1774,0.)); #452585=CARTESIAN_POINT('',(38.927034,37.1774,0.)); #452586=CARTESIAN_POINT('',(38.927034,37.1774,0.)); #452587=CARTESIAN_POINT('',(38.927034,37.1774,0.035)); #452588=CARTESIAN_POINT('',(38.927034,37.1774,0.035)); #452589=CARTESIAN_POINT('',(38.927034,37.1774,0.)); #452590=CARTESIAN_POINT('Origin',(38.572966,37.1774,0.)); #452591=CARTESIAN_POINT('',(38.572966,37.1774,0.)); #452592=CARTESIAN_POINT('',(38.572966,37.1774,0.)); #452593=CARTESIAN_POINT('',(38.572966,37.1774,0.035)); #452594=CARTESIAN_POINT('',(38.572966,37.1774,0.035)); #452595=CARTESIAN_POINT('',(38.572966,37.1774,0.)); #452596=CARTESIAN_POINT('Origin',(38.554281,37.158716,0.)); #452597=CARTESIAN_POINT('',(38.554281,37.158716,0.)); #452598=CARTESIAN_POINT('',(38.554281,37.158716,0.)); #452599=CARTESIAN_POINT('',(38.554281,37.158716,0.035)); #452600=CARTESIAN_POINT('',(38.554281,37.158716,0.035)); #452601=CARTESIAN_POINT('',(38.554281,37.158716,0.)); #452602=CARTESIAN_POINT('Origin',(38.532344,37.143416,0.)); #452603=CARTESIAN_POINT('',(38.532344,37.143416,0.)); #452604=CARTESIAN_POINT('',(38.532344,37.143416,0.)); #452605=CARTESIAN_POINT('',(38.532344,37.143416,0.035)); #452606=CARTESIAN_POINT('',(38.532344,37.143416,0.035)); #452607=CARTESIAN_POINT('',(38.532344,37.143416,0.)); #452608=CARTESIAN_POINT('Origin',(38.502828,37.136434,0.)); #452609=CARTESIAN_POINT('',(38.502828,37.136434,0.)); #452610=CARTESIAN_POINT('',(38.502828,37.136434,0.)); #452611=CARTESIAN_POINT('',(38.502828,37.136434,0.035)); #452612=CARTESIAN_POINT('',(38.502828,37.136434,0.035)); #452613=CARTESIAN_POINT('',(38.502828,37.136434,0.)); #452614=CARTESIAN_POINT('Origin',(38.472931,37.141519,0.)); #452615=CARTESIAN_POINT('',(38.472931,37.141519,0.)); #452616=CARTESIAN_POINT('',(38.472931,37.141519,0.)); #452617=CARTESIAN_POINT('',(38.472931,37.141519,0.035)); #452618=CARTESIAN_POINT('',(38.472931,37.141519,0.035)); #452619=CARTESIAN_POINT('',(38.472931,37.141519,0.)); #452620=CARTESIAN_POINT('Origin',(38.447384,37.157863,0.)); #452621=CARTESIAN_POINT('',(38.447384,37.157863,0.)); #452622=CARTESIAN_POINT('',(38.447384,37.157863,0.)); #452623=CARTESIAN_POINT('',(38.447384,37.157863,0.035)); #452624=CARTESIAN_POINT('',(38.447384,37.157863,0.035)); #452625=CARTESIAN_POINT('',(38.447384,37.157863,0.)); #452626=CARTESIAN_POINT('Origin',(38.430234,37.182875,0.)); #452627=CARTESIAN_POINT('',(38.430234,37.182875,0.)); #452628=CARTESIAN_POINT('',(38.430234,37.182875,0.)); #452629=CARTESIAN_POINT('',(38.430234,37.182875,0.035)); #452630=CARTESIAN_POINT('',(38.430234,37.182875,0.035)); #452631=CARTESIAN_POINT('',(38.430234,37.182875,0.)); #452632=CARTESIAN_POINT('Origin',(38.4242,37.212597,0.)); #452633=CARTESIAN_POINT('',(38.4242,37.212597,0.)); #452634=CARTESIAN_POINT('',(38.4242,37.212597,0.)); #452635=CARTESIAN_POINT('',(38.4242,37.212597,0.035)); #452636=CARTESIAN_POINT('',(38.4242,37.212597,0.035)); #452637=CARTESIAN_POINT('',(38.4242,37.212597,0.)); #452638=CARTESIAN_POINT('Origin',(38.4242,37.307347,0.)); #452639=CARTESIAN_POINT('',(38.4242,37.307347,0.)); #452640=CARTESIAN_POINT('',(38.4242,37.307347,0.)); #452641=CARTESIAN_POINT('',(38.4242,37.307347,0.035)); #452642=CARTESIAN_POINT('',(38.4242,37.307347,0.035)); #452643=CARTESIAN_POINT('',(38.4242,37.307347,0.)); #452644=CARTESIAN_POINT('Origin',(38.429769,37.335944,0.)); #452645=CARTESIAN_POINT('',(38.429769,37.335944,0.)); #452646=CARTESIAN_POINT('',(38.429769,37.335944,0.)); #452647=CARTESIAN_POINT('',(38.429769,37.335944,0.035)); #452648=CARTESIAN_POINT('',(38.429769,37.335944,0.035)); #452649=CARTESIAN_POINT('',(38.429769,37.335944,0.)); #452650=CARTESIAN_POINT('Origin',(38.446519,37.361228,0.)); #452651=CARTESIAN_POINT('',(38.446519,37.361228,0.)); #452652=CARTESIAN_POINT('',(38.446519,37.361228,0.)); #452653=CARTESIAN_POINT('',(38.446519,37.361228,0.035)); #452654=CARTESIAN_POINT('',(38.446519,37.361228,0.035)); #452655=CARTESIAN_POINT('',(38.446519,37.361228,0.)); #452656=CARTESIAN_POINT('Origin',(38.466072,37.380781,0.)); #452657=CARTESIAN_POINT('',(38.466072,37.380781,0.)); #452658=CARTESIAN_POINT('',(38.466072,37.380781,0.)); #452659=CARTESIAN_POINT('',(38.466072,37.380781,0.035)); #452660=CARTESIAN_POINT('',(38.466072,37.380781,0.035)); #452661=CARTESIAN_POINT('',(38.466072,37.380781,0.)); #452662=CARTESIAN_POINT('Origin',(38.490231,37.397066,0.)); #452663=CARTESIAN_POINT('',(38.490231,37.397066,0.)); #452664=CARTESIAN_POINT('',(38.490231,37.397066,0.)); #452665=CARTESIAN_POINT('',(38.490231,37.397066,0.035)); #452666=CARTESIAN_POINT('',(38.490231,37.397066,0.035)); #452667=CARTESIAN_POINT('',(38.490231,37.397066,0.)); #452668=CARTESIAN_POINT('Origin',(38.519953,37.4031,0.)); #452669=CARTESIAN_POINT('',(38.519953,37.4031,0.)); #452670=CARTESIAN_POINT('',(38.519953,37.4031,0.)); #452671=CARTESIAN_POINT('',(38.519953,37.4031,0.035)); #452672=CARTESIAN_POINT('',(38.519953,37.4031,0.035)); #452673=CARTESIAN_POINT('',(38.519953,37.4031,0.)); #452674=CARTESIAN_POINT('Origin',(39.244228,37.4031,0.)); #452675=CARTESIAN_POINT('',(39.244228,37.4031,0.)); #452676=CARTESIAN_POINT('',(39.244228,37.4031,0.)); #452677=CARTESIAN_POINT('',(39.244228,37.4031,0.035)); #452678=CARTESIAN_POINT('',(39.244228,37.4031,0.035)); #452679=CARTESIAN_POINT('',(39.244228,37.4031,0.)); #452680=CARTESIAN_POINT('Origin',(39.3335,37.492372,0.)); #452681=CARTESIAN_POINT('',(39.3335,37.492372,0.)); #452682=CARTESIAN_POINT('',(39.3335,37.492372,0.)); #452683=CARTESIAN_POINT('',(39.3335,37.492372,0.035)); #452684=CARTESIAN_POINT('',(39.3335,37.492372,0.035)); #452685=CARTESIAN_POINT('',(39.3335,37.492372,0.)); #452686=CARTESIAN_POINT('Origin',(39.3335,38.507628,0.)); #452687=CARTESIAN_POINT('',(39.3335,38.507628,0.)); #452688=CARTESIAN_POINT('',(39.3335,38.507628,0.)); #452689=CARTESIAN_POINT('',(39.3335,38.507628,0.035)); #452690=CARTESIAN_POINT('',(39.3335,38.507628,0.035)); #452691=CARTESIAN_POINT('',(39.3335,38.507628,0.)); #452692=CARTESIAN_POINT('Origin',(39.244228,38.5969,0.)); #452693=CARTESIAN_POINT('',(39.244228,38.5969,0.)); #452694=CARTESIAN_POINT('',(39.244228,38.5969,0.)); #452695=CARTESIAN_POINT('',(39.244228,38.5969,0.035)); #452696=CARTESIAN_POINT('',(39.244228,38.5969,0.035)); #452697=CARTESIAN_POINT('',(39.244228,38.5969,0.)); #452698=CARTESIAN_POINT('Origin',(38.342153,38.5969,0.)); #452699=CARTESIAN_POINT('',(38.342153,38.5969,0.)); #452700=CARTESIAN_POINT('',(38.342153,38.5969,0.)); #452701=CARTESIAN_POINT('',(38.342153,38.5969,0.035)); #452702=CARTESIAN_POINT('',(38.342153,38.5969,0.035)); #452703=CARTESIAN_POINT('',(38.342153,38.5969,0.)); #452704=CARTESIAN_POINT('Origin',(38.315822,38.601594,0.)); #452705=CARTESIAN_POINT('',(38.315822,38.601594,0.)); #452706=CARTESIAN_POINT('',(38.315822,38.601594,0.)); #452707=CARTESIAN_POINT('',(38.315822,38.601594,0.035)); #452708=CARTESIAN_POINT('',(38.315822,38.601594,0.035)); #452709=CARTESIAN_POINT('',(38.315822,38.601594,0.)); #452710=CARTESIAN_POINT('Origin',(38.290016,38.617528,0.)); #452711=CARTESIAN_POINT('',(38.290016,38.617528,0.)); #452712=CARTESIAN_POINT('',(38.290016,38.617528,0.)); #452713=CARTESIAN_POINT('',(38.290016,38.617528,0.035)); #452714=CARTESIAN_POINT('',(38.290016,38.617528,0.035)); #452715=CARTESIAN_POINT('',(38.290016,38.617528,0.)); #452716=CARTESIAN_POINT('Origin',(38.272472,38.642266,0.)); #452717=CARTESIAN_POINT('',(38.272472,38.642266,0.)); #452718=CARTESIAN_POINT('',(38.272472,38.642266,0.)); #452719=CARTESIAN_POINT('',(38.272472,38.642266,0.035)); #452720=CARTESIAN_POINT('',(38.272472,38.642266,0.035)); #452721=CARTESIAN_POINT('',(38.272472,38.642266,0.)); #452722=CARTESIAN_POINT('Origin',(38.265963,38.671884,0.)); #452723=CARTESIAN_POINT('',(38.265963,38.671884,0.)); #452724=CARTESIAN_POINT('',(38.265963,38.671884,0.)); #452725=CARTESIAN_POINT('',(38.265963,38.671884,0.035)); #452726=CARTESIAN_POINT('',(38.265963,38.671884,0.035)); #452727=CARTESIAN_POINT('',(38.265963,38.671884,0.)); #452728=CARTESIAN_POINT('Origin',(38.271522,38.701697,0.)); #452729=CARTESIAN_POINT('',(38.271522,38.701697,0.)); #452730=CARTESIAN_POINT('',(38.271522,38.701697,0.)); #452731=CARTESIAN_POINT('',(38.271522,38.701697,0.035)); #452732=CARTESIAN_POINT('',(38.271522,38.701697,0.035)); #452733=CARTESIAN_POINT('',(38.271522,38.701697,0.)); #452734=CARTESIAN_POINT('Origin',(38.288272,38.726981,0.)); #452735=CARTESIAN_POINT('',(38.288272,38.726981,0.)); #452736=CARTESIAN_POINT('',(38.288272,38.726981,0.)); #452737=CARTESIAN_POINT('',(38.288272,38.726981,0.035)); #452738=CARTESIAN_POINT('',(38.288272,38.726981,0.035)); #452739=CARTESIAN_POINT('',(38.288272,38.726981,0.)); #452740=CARTESIAN_POINT('Origin',(42.310372,42.749081,0.)); #452741=CARTESIAN_POINT('',(42.310372,42.749081,0.)); #452742=CARTESIAN_POINT('',(42.310372,42.749081,0.)); #452743=CARTESIAN_POINT('',(42.310372,42.749081,0.035)); #452744=CARTESIAN_POINT('',(42.310372,42.749081,0.035)); #452745=CARTESIAN_POINT('',(42.310372,42.749081,0.)); #452746=CARTESIAN_POINT('Origin',(42.334531,42.765366,0.)); #452747=CARTESIAN_POINT('',(42.334531,42.765366,0.)); #452748=CARTESIAN_POINT('',(42.334531,42.765366,0.)); #452749=CARTESIAN_POINT('',(42.334531,42.765366,0.035)); #452750=CARTESIAN_POINT('',(42.334531,42.765366,0.035)); #452751=CARTESIAN_POINT('',(42.334531,42.765366,0.)); #452752=CARTESIAN_POINT('Origin',(42.339706,42.766416,0.)); #452753=CARTESIAN_POINT('',(42.339706,42.766416,0.)); #452754=CARTESIAN_POINT('',(42.339706,42.766416,0.)); #452755=CARTESIAN_POINT('',(42.339706,42.766416,0.035)); #452756=CARTESIAN_POINT('',(42.339706,42.766416,0.035)); #452757=CARTESIAN_POINT('',(42.339706,42.766416,0.)); #452758=CARTESIAN_POINT('Origin',(42.43095,42.857659,0.)); #452759=CARTESIAN_POINT('',(42.43095,42.857659,0.)); #452760=CARTESIAN_POINT('',(42.43095,42.857659,0.)); #452761=CARTESIAN_POINT('',(42.43095,42.857659,0.035)); #452762=CARTESIAN_POINT('',(42.43095,42.857659,0.035)); #452763=CARTESIAN_POINT('',(42.43095,42.857659,0.)); #452764=CARTESIAN_POINT('Origin',(42.453997,42.873459,0.)); #452765=CARTESIAN_POINT('',(42.453997,42.873459,0.)); #452766=CARTESIAN_POINT('',(42.453997,42.873459,0.)); #452767=CARTESIAN_POINT('',(42.453997,42.873459,0.035)); #452768=CARTESIAN_POINT('',(42.453997,42.873459,0.035)); #452769=CARTESIAN_POINT('',(42.453997,42.873459,0.)); #452770=CARTESIAN_POINT('Origin',(42.483616,42.879969,0.)); #452771=CARTESIAN_POINT('',(42.483616,42.879969,0.)); #452772=CARTESIAN_POINT('',(42.483616,42.879969,0.)); #452773=CARTESIAN_POINT('',(42.483616,42.879969,0.035)); #452774=CARTESIAN_POINT('',(42.483616,42.879969,0.035)); #452775=CARTESIAN_POINT('',(42.483616,42.879969,0.)); #452776=CARTESIAN_POINT('Origin',(42.513428,42.874409,0.)); #452777=CARTESIAN_POINT('',(42.513428,42.874409,0.)); #452778=CARTESIAN_POINT('',(42.513428,42.874409,0.)); #452779=CARTESIAN_POINT('',(42.513428,42.874409,0.035)); #452780=CARTESIAN_POINT('',(42.513428,42.874409,0.035)); #452781=CARTESIAN_POINT('',(42.513428,42.874409,0.)); #452782=CARTESIAN_POINT('Origin',(42.538713,42.857659,0.)); #452783=CARTESIAN_POINT('',(42.538713,42.857659,0.)); #452784=CARTESIAN_POINT('',(42.538713,42.857659,0.)); #452785=CARTESIAN_POINT('',(42.538713,42.857659,0.035)); #452786=CARTESIAN_POINT('',(42.538713,42.857659,0.035)); #452787=CARTESIAN_POINT('',(42.538713,42.857659,0.)); #452788=CARTESIAN_POINT('Origin',(43.380781,42.015591,0.)); #452789=CARTESIAN_POINT('',(43.380781,42.015591,0.)); #452790=CARTESIAN_POINT('',(43.380781,42.015591,0.)); #452791=CARTESIAN_POINT('',(43.380781,42.015591,0.035)); #452792=CARTESIAN_POINT('',(43.380781,42.015591,0.035)); #452793=CARTESIAN_POINT('',(43.380781,42.015591,0.)); #452794=CARTESIAN_POINT('Origin',(43.397066,41.991431,0.)); #452795=CARTESIAN_POINT('',(43.397066,41.991431,0.)); #452796=CARTESIAN_POINT('',(43.397066,41.991431,0.)); #452797=CARTESIAN_POINT('',(43.397066,41.991431,0.035)); #452798=CARTESIAN_POINT('',(43.397066,41.991431,0.035)); #452799=CARTESIAN_POINT('',(43.397066,41.991431,0.)); #452800=CARTESIAN_POINT('Origin',(43.4031,41.961709,0.)); #452801=CARTESIAN_POINT('',(43.4031,41.961709,0.)); #452802=CARTESIAN_POINT('',(43.4031,41.961709,0.)); #452803=CARTESIAN_POINT('',(43.4031,41.961709,0.035)); #452804=CARTESIAN_POINT('',(43.4031,41.961709,0.035)); #452805=CARTESIAN_POINT('',(43.4031,41.961709,0.)); #452806=CARTESIAN_POINT('Origin',(43.4031,41.279772,0.)); #452807=CARTESIAN_POINT('',(43.4031,41.279772,0.)); #452808=CARTESIAN_POINT('',(43.4031,41.279772,0.)); #452809=CARTESIAN_POINT('',(43.4031,41.279772,0.035)); #452810=CARTESIAN_POINT('',(43.4031,41.279772,0.035)); #452811=CARTESIAN_POINT('',(43.4031,41.279772,0.)); #452812=CARTESIAN_POINT('Origin',(43.492372,41.1905,0.)); #452813=CARTESIAN_POINT('',(43.492372,41.1905,0.)); #452814=CARTESIAN_POINT('',(43.492372,41.1905,0.)); #452815=CARTESIAN_POINT('',(43.492372,41.1905,0.035)); #452816=CARTESIAN_POINT('',(43.492372,41.1905,0.035)); #452817=CARTESIAN_POINT('',(43.492372,41.1905,0.)); #452818=CARTESIAN_POINT('Origin',(44.507628,41.1905,0.)); #452819=CARTESIAN_POINT('',(44.507628,41.1905,0.)); #452820=CARTESIAN_POINT('',(44.507628,41.1905,0.)); #452821=CARTESIAN_POINT('',(44.507628,41.1905,0.035)); #452822=CARTESIAN_POINT('',(44.507628,41.1905,0.035)); #452823=CARTESIAN_POINT('',(44.507628,41.1905,0.)); #452824=CARTESIAN_POINT('Origin',(44.5969,41.279772,0.)); #452825=CARTESIAN_POINT('',(44.5969,41.279772,0.)); #452826=CARTESIAN_POINT('',(44.5969,41.279772,0.)); #452827=CARTESIAN_POINT('',(44.5969,41.279772,0.035)); #452828=CARTESIAN_POINT('',(44.5969,41.279772,0.035)); #452829=CARTESIAN_POINT('',(44.5969,41.279772,0.)); #452830=CARTESIAN_POINT('Origin',(44.5969,42.1952,0.)); #452831=CARTESIAN_POINT('',(44.5969,42.1952,0.)); #452832=CARTESIAN_POINT('',(44.5969,42.1952,0.)); #452833=CARTESIAN_POINT('',(44.5969,42.1952,0.035)); #452834=CARTESIAN_POINT('',(44.5969,42.1952,0.035)); #452835=CARTESIAN_POINT('',(44.5969,42.1952,0.)); #452836=CARTESIAN_POINT('Origin',(44.602022,42.222669,0.)); #452837=CARTESIAN_POINT('',(44.602022,42.222669,0.)); #452838=CARTESIAN_POINT('',(44.602022,42.222669,0.)); #452839=CARTESIAN_POINT('',(44.602022,42.222669,0.035)); #452840=CARTESIAN_POINT('',(44.602022,42.222669,0.035)); #452841=CARTESIAN_POINT('',(44.602022,42.222669,0.)); #452842=CARTESIAN_POINT('Origin',(44.618366,42.248216,0.)); #452843=CARTESIAN_POINT('',(44.618366,42.248216,0.)); #452844=CARTESIAN_POINT('',(44.618366,42.248216,0.)); #452845=CARTESIAN_POINT('',(44.618366,42.248216,0.035)); #452846=CARTESIAN_POINT('',(44.618366,42.248216,0.035)); #452847=CARTESIAN_POINT('',(44.618366,42.248216,0.)); #452848=CARTESIAN_POINT('Origin',(44.643378,42.265366,0.)); #452849=CARTESIAN_POINT('',(44.643378,42.265366,0.)); #452850=CARTESIAN_POINT('',(44.643378,42.265366,0.)); #452851=CARTESIAN_POINT('',(44.643378,42.265366,0.035)); #452852=CARTESIAN_POINT('',(44.643378,42.265366,0.035)); #452853=CARTESIAN_POINT('',(44.643378,42.265366,0.)); #452854=CARTESIAN_POINT('Origin',(44.6731,42.2714,0.)); #452855=CARTESIAN_POINT('',(44.6731,42.2714,0.)); #452856=CARTESIAN_POINT('',(44.6731,42.2714,0.)); #452857=CARTESIAN_POINT('',(44.6731,42.2714,0.035)); #452858=CARTESIAN_POINT('',(44.6731,42.2714,0.035)); #452859=CARTESIAN_POINT('',(44.6731,42.2714,0.)); #452860=CARTESIAN_POINT('Origin',(47.135747,42.2714,0.)); #452861=CARTESIAN_POINT('',(47.135747,42.2714,0.)); #452862=CARTESIAN_POINT('',(47.135747,42.2714,0.)); #452863=CARTESIAN_POINT('',(47.135747,42.2714,0.035)); #452864=CARTESIAN_POINT('',(47.135747,42.2714,0.035)); #452865=CARTESIAN_POINT('',(47.135747,42.2714,0.)); #452866=CARTESIAN_POINT('Origin',(47.164344,42.265831,0.)); #452867=CARTESIAN_POINT('',(47.164344,42.265831,0.)); #452868=CARTESIAN_POINT('',(47.164344,42.265831,0.)); #452869=CARTESIAN_POINT('',(47.164344,42.265831,0.035)); #452870=CARTESIAN_POINT('',(47.164344,42.265831,0.035)); #452871=CARTESIAN_POINT('',(47.164344,42.265831,0.)); #452872=CARTESIAN_POINT('Origin',(47.189628,42.249081,0.)); #452873=CARTESIAN_POINT('',(47.189628,42.249081,0.)); #452874=CARTESIAN_POINT('',(47.189628,42.249081,0.)); #452875=CARTESIAN_POINT('',(47.189628,42.249081,0.035)); #452876=CARTESIAN_POINT('',(47.189628,42.249081,0.035)); #452877=CARTESIAN_POINT('',(47.189628,42.249081,0.)); #452878=CARTESIAN_POINT('Origin',(47.380781,42.057928,0.)); #452879=CARTESIAN_POINT('',(47.380781,42.057928,0.)); #452880=CARTESIAN_POINT('',(47.380781,42.057928,0.)); #452881=CARTESIAN_POINT('',(47.380781,42.057928,0.035)); #452882=CARTESIAN_POINT('',(47.380781,42.057928,0.035)); #452883=CARTESIAN_POINT('',(47.380781,42.057928,0.)); #452884=CARTESIAN_POINT('Origin',(47.397066,42.033769,0.)); #452885=CARTESIAN_POINT('',(47.397066,42.033769,0.)); #452886=CARTESIAN_POINT('',(47.397066,42.033769,0.)); #452887=CARTESIAN_POINT('',(47.397066,42.033769,0.035)); #452888=CARTESIAN_POINT('',(47.397066,42.033769,0.035)); #452889=CARTESIAN_POINT('',(47.397066,42.033769,0.)); #452890=CARTESIAN_POINT('Origin',(47.4031,42.004047,0.)); #452891=CARTESIAN_POINT('',(47.4031,42.004047,0.)); #452892=CARTESIAN_POINT('',(47.4031,42.004047,0.)); #452893=CARTESIAN_POINT('',(47.4031,42.004047,0.035)); #452894=CARTESIAN_POINT('',(47.4031,42.004047,0.035)); #452895=CARTESIAN_POINT('',(47.4031,42.004047,0.)); #452896=CARTESIAN_POINT('Origin',(47.4031,41.279772,0.)); #452897=CARTESIAN_POINT('',(47.4031,41.279772,0.)); #452898=CARTESIAN_POINT('',(47.4031,41.279772,0.)); #452899=CARTESIAN_POINT('',(47.4031,41.279772,0.035)); #452900=CARTESIAN_POINT('',(47.4031,41.279772,0.035)); #452901=CARTESIAN_POINT('',(47.4031,41.279772,0.)); #452902=CARTESIAN_POINT('Origin',(47.492372,41.1905,0.)); #452903=CARTESIAN_POINT('',(47.492372,41.1905,0.)); #452904=CARTESIAN_POINT('',(47.492372,41.1905,0.)); #452905=CARTESIAN_POINT('',(47.492372,41.1905,0.035)); #452906=CARTESIAN_POINT('',(47.492372,41.1905,0.035)); #452907=CARTESIAN_POINT('',(47.492372,41.1905,0.)); #452908=CARTESIAN_POINT('Origin',(48.4452,41.1905,0.)); #452909=CARTESIAN_POINT('',(48.4452,41.1905,0.)); #452910=CARTESIAN_POINT('',(48.4452,41.1905,0.)); #452911=CARTESIAN_POINT('',(48.4452,41.1905,0.035)); #452912=CARTESIAN_POINT('',(48.4452,41.1905,0.035)); #452913=CARTESIAN_POINT('',(48.4452,41.1905,0.)); #452914=CARTESIAN_POINT('Origin',(48.472669,41.185378,0.)); #452915=CARTESIAN_POINT('',(48.472669,41.185378,0.)); #452916=CARTESIAN_POINT('',(48.472669,41.185378,0.)); #452917=CARTESIAN_POINT('',(48.472669,41.185378,0.035)); #452918=CARTESIAN_POINT('',(48.472669,41.185378,0.035)); #452919=CARTESIAN_POINT('',(48.472669,41.185378,0.)); #452920=CARTESIAN_POINT('Origin',(48.498216,41.169034,0.)); #452921=CARTESIAN_POINT('',(48.498216,41.169034,0.)); #452922=CARTESIAN_POINT('',(48.498216,41.169034,0.)); #452923=CARTESIAN_POINT('',(48.498216,41.169034,0.035)); #452924=CARTESIAN_POINT('',(48.498216,41.169034,0.035)); #452925=CARTESIAN_POINT('',(48.498216,41.169034,0.)); #452926=CARTESIAN_POINT('Origin',(48.515366,41.144022,0.)); #452927=CARTESIAN_POINT('',(48.515366,41.144022,0.)); #452928=CARTESIAN_POINT('',(48.515366,41.144022,0.)); #452929=CARTESIAN_POINT('',(48.515366,41.144022,0.035)); #452930=CARTESIAN_POINT('',(48.515366,41.144022,0.035)); #452931=CARTESIAN_POINT('',(48.515366,41.144022,0.)); #452932=CARTESIAN_POINT('Origin',(48.5214,41.1143,0.)); #452933=CARTESIAN_POINT('',(48.5214,41.1143,0.)); #452934=CARTESIAN_POINT('',(48.5214,41.1143,0.)); #452935=CARTESIAN_POINT('',(48.5214,41.1143,0.035)); #452936=CARTESIAN_POINT('',(48.5214,41.1143,0.035)); #452937=CARTESIAN_POINT('',(48.5214,41.1143,0.)); #452938=CARTESIAN_POINT('Origin',(48.5214,40.8857,0.)); #452939=CARTESIAN_POINT('',(48.5214,40.8857,0.)); #452940=CARTESIAN_POINT('',(48.5214,40.8857,0.)); #452941=CARTESIAN_POINT('',(48.5214,40.8857,0.035)); #452942=CARTESIAN_POINT('',(48.5214,40.8857,0.035)); #452943=CARTESIAN_POINT('',(48.5214,40.8857,0.)); #452944=CARTESIAN_POINT('Origin',(48.516278,40.858231,0.)); #452945=CARTESIAN_POINT('',(48.516278,40.858231,0.)); #452946=CARTESIAN_POINT('',(48.516278,40.858231,0.)); #452947=CARTESIAN_POINT('',(48.516278,40.858231,0.035)); #452948=CARTESIAN_POINT('',(48.516278,40.858231,0.035)); #452949=CARTESIAN_POINT('',(48.516278,40.858231,0.)); #452950=CARTESIAN_POINT('Origin',(48.499934,40.832684,0.)); #452951=CARTESIAN_POINT('',(48.499934,40.832684,0.)); #452952=CARTESIAN_POINT('',(48.499934,40.832684,0.)); #452953=CARTESIAN_POINT('',(48.499934,40.832684,0.035)); #452954=CARTESIAN_POINT('',(48.499934,40.832684,0.035)); #452955=CARTESIAN_POINT('',(48.499934,40.832684,0.)); #452956=CARTESIAN_POINT('Origin',(48.474922,40.815534,0.)); #452957=CARTESIAN_POINT('',(48.474922,40.815534,0.)); #452958=CARTESIAN_POINT('',(48.474922,40.815534,0.)); #452959=CARTESIAN_POINT('',(48.474922,40.815534,0.035)); #452960=CARTESIAN_POINT('',(48.474922,40.815534,0.035)); #452961=CARTESIAN_POINT('',(48.474922,40.815534,0.)); #452962=CARTESIAN_POINT('Origin',(48.4452,40.8095,0.)); #452963=CARTESIAN_POINT('',(48.4452,40.8095,0.)); #452964=CARTESIAN_POINT('',(48.4452,40.8095,0.)); #452965=CARTESIAN_POINT('',(48.4452,40.8095,0.035)); #452966=CARTESIAN_POINT('',(48.4452,40.8095,0.035)); #452967=CARTESIAN_POINT('',(48.4452,40.8095,0.)); #452968=CARTESIAN_POINT('Origin',(47.492372,40.8095,0.)); #452969=CARTESIAN_POINT('',(47.492372,40.8095,0.)); #452970=CARTESIAN_POINT('',(47.492372,40.8095,0.)); #452971=CARTESIAN_POINT('',(47.492372,40.8095,0.035)); #452972=CARTESIAN_POINT('',(47.492372,40.8095,0.035)); #452973=CARTESIAN_POINT('',(47.492372,40.8095,0.)); #452974=CARTESIAN_POINT('Origin',(47.4031,40.720228,0.)); #452975=CARTESIAN_POINT('',(47.4031,40.720228,0.)); #452976=CARTESIAN_POINT('',(47.4031,40.720228,0.)); #452977=CARTESIAN_POINT('',(47.4031,40.720228,0.035)); #452978=CARTESIAN_POINT('',(47.4031,40.720228,0.035)); #452979=CARTESIAN_POINT('',(47.4031,40.720228,0.)); #452980=CARTESIAN_POINT('Origin',(47.4031,39.995953,0.)); #452981=CARTESIAN_POINT('',(47.4031,39.995953,0.)); #452982=CARTESIAN_POINT('',(47.4031,39.995953,0.)); #452983=CARTESIAN_POINT('',(47.4031,39.995953,0.035)); #452984=CARTESIAN_POINT('',(47.4031,39.995953,0.035)); #452985=CARTESIAN_POINT('',(47.4031,39.995953,0.)); #452986=CARTESIAN_POINT('Origin',(47.397531,39.967356,0.)); #452987=CARTESIAN_POINT('',(47.397531,39.967356,0.)); #452988=CARTESIAN_POINT('',(47.397531,39.967356,0.)); #452989=CARTESIAN_POINT('',(47.397531,39.967356,0.035)); #452990=CARTESIAN_POINT('',(47.397531,39.967356,0.035)); #452991=CARTESIAN_POINT('',(47.397531,39.967356,0.)); #452992=CARTESIAN_POINT('Origin',(47.380781,39.942072,0.)); #452993=CARTESIAN_POINT('',(47.380781,39.942072,0.)); #452994=CARTESIAN_POINT('',(47.380781,39.942072,0.)); #452995=CARTESIAN_POINT('',(47.380781,39.942072,0.035)); #452996=CARTESIAN_POINT('',(47.380781,39.942072,0.035)); #452997=CARTESIAN_POINT('',(47.380781,39.942072,0.)); #452998=CARTESIAN_POINT('Origin',(47.177628,39.738919,0.)); #452999=CARTESIAN_POINT('',(47.177628,39.738919,0.)); #453000=CARTESIAN_POINT('',(47.177628,39.738919,0.)); #453001=CARTESIAN_POINT('',(47.177628,39.738919,0.035)); #453002=CARTESIAN_POINT('',(47.177628,39.738919,0.035)); #453003=CARTESIAN_POINT('',(47.177628,39.738919,0.)); #453004=CARTESIAN_POINT('Origin',(47.153469,39.722634,0.)); #453005=CARTESIAN_POINT('',(47.153469,39.722634,0.)); #453006=CARTESIAN_POINT('',(47.153469,39.722634,0.)); #453007=CARTESIAN_POINT('',(47.153469,39.722634,0.035)); #453008=CARTESIAN_POINT('',(47.153469,39.722634,0.035)); #453009=CARTESIAN_POINT('',(47.153469,39.722634,0.)); #453010=CARTESIAN_POINT('Origin',(47.123747,39.7166,0.)); #453011=CARTESIAN_POINT('',(47.123747,39.7166,0.)); #453012=CARTESIAN_POINT('',(47.123747,39.7166,0.)); #453013=CARTESIAN_POINT('',(47.123747,39.7166,0.035)); #453014=CARTESIAN_POINT('',(47.123747,39.7166,0.035)); #453015=CARTESIAN_POINT('',(47.123747,39.7166,0.)); #453016=CARTESIAN_POINT('Origin',(44.6731,39.7166,0.)); #453017=CARTESIAN_POINT('',(44.6731,39.7166,0.)); #453018=CARTESIAN_POINT('',(44.6731,39.7166,0.)); #453019=CARTESIAN_POINT('',(44.6731,39.7166,0.035)); #453020=CARTESIAN_POINT('',(44.6731,39.7166,0.035)); #453021=CARTESIAN_POINT('',(44.6731,39.7166,0.)); #453022=CARTESIAN_POINT('Origin',(44.645631,39.721722,0.)); #453023=CARTESIAN_POINT('',(44.645631,39.721722,0.)); #453024=CARTESIAN_POINT('',(44.645631,39.721722,0.)); #453025=CARTESIAN_POINT('',(44.645631,39.721722,0.035)); #453026=CARTESIAN_POINT('',(44.645631,39.721722,0.035)); #453027=CARTESIAN_POINT('',(44.645631,39.721722,0.)); #453028=CARTESIAN_POINT('Origin',(44.620084,39.738066,0.)); #453029=CARTESIAN_POINT('',(44.620084,39.738066,0.)); #453030=CARTESIAN_POINT('',(44.620084,39.738066,0.)); #453031=CARTESIAN_POINT('',(44.620084,39.738066,0.035)); #453032=CARTESIAN_POINT('',(44.620084,39.738066,0.035)); #453033=CARTESIAN_POINT('',(44.620084,39.738066,0.)); #453034=CARTESIAN_POINT('Origin',(44.602934,39.763078,0.)); #453035=CARTESIAN_POINT('',(44.602934,39.763078,0.)); #453036=CARTESIAN_POINT('',(44.602934,39.763078,0.)); #453037=CARTESIAN_POINT('',(44.602934,39.763078,0.035)); #453038=CARTESIAN_POINT('',(44.602934,39.763078,0.035)); #453039=CARTESIAN_POINT('',(44.602934,39.763078,0.)); #453040=CARTESIAN_POINT('Origin',(44.5969,39.7928,0.)); #453041=CARTESIAN_POINT('',(44.5969,39.7928,0.)); #453042=CARTESIAN_POINT('',(44.5969,39.7928,0.)); #453043=CARTESIAN_POINT('',(44.5969,39.7928,0.035)); #453044=CARTESIAN_POINT('',(44.5969,39.7928,0.035)); #453045=CARTESIAN_POINT('',(44.5969,39.7928,0.)); #453046=CARTESIAN_POINT('Origin',(44.5969,40.720228,0.)); #453047=CARTESIAN_POINT('',(44.5969,40.720228,0.)); #453048=CARTESIAN_POINT('',(44.5969,40.720228,0.)); #453049=CARTESIAN_POINT('',(44.5969,40.720228,0.035)); #453050=CARTESIAN_POINT('',(44.5969,40.720228,0.035)); #453051=CARTESIAN_POINT('',(44.5969,40.720228,0.)); #453052=CARTESIAN_POINT('Origin',(44.507628,40.8095,0.)); #453053=CARTESIAN_POINT('',(44.507628,40.8095,0.)); #453054=CARTESIAN_POINT('',(44.507628,40.8095,0.)); #453055=CARTESIAN_POINT('',(44.507628,40.8095,0.035)); #453056=CARTESIAN_POINT('',(44.507628,40.8095,0.035)); #453057=CARTESIAN_POINT('',(44.507628,40.8095,0.)); #453058=CARTESIAN_POINT('Origin',(43.492372,40.8095,0.)); #453059=CARTESIAN_POINT('',(43.492372,40.8095,0.)); #453060=CARTESIAN_POINT('',(43.492372,40.8095,0.)); #453061=CARTESIAN_POINT('',(43.492372,40.8095,0.035)); #453062=CARTESIAN_POINT('',(43.492372,40.8095,0.035)); #453063=CARTESIAN_POINT('',(43.492372,40.8095,0.)); #453064=CARTESIAN_POINT('Origin',(43.4031,40.720228,0.)); #453065=CARTESIAN_POINT('',(43.4031,40.720228,0.)); #453066=CARTESIAN_POINT('',(43.4031,40.720228,0.)); #453067=CARTESIAN_POINT('',(43.4031,40.720228,0.035)); #453068=CARTESIAN_POINT('',(43.4031,40.720228,0.035)); #453069=CARTESIAN_POINT('',(43.4031,40.720228,0.)); #453070=CARTESIAN_POINT('Origin',(43.4031,39.977616,0.)); #453071=CARTESIAN_POINT('',(43.4031,39.977616,0.)); #453072=CARTESIAN_POINT('',(43.4031,39.977616,0.)); #453073=CARTESIAN_POINT('',(43.4031,39.977616,0.035)); #453074=CARTESIAN_POINT('',(43.4031,39.977616,0.035)); #453075=CARTESIAN_POINT('',(43.4031,39.977616,0.)); #453076=CARTESIAN_POINT('Origin',(43.397531,39.949019,0.)); #453077=CARTESIAN_POINT('',(43.397531,39.949019,0.)); #453078=CARTESIAN_POINT('',(43.397531,39.949019,0.)); #453079=CARTESIAN_POINT('',(43.397531,39.949019,0.035)); #453080=CARTESIAN_POINT('',(43.397531,39.949019,0.035)); #453081=CARTESIAN_POINT('',(43.397531,39.949019,0.)); #453082=CARTESIAN_POINT('Origin',(43.380781,39.923734,0.)); #453083=CARTESIAN_POINT('',(43.380781,39.923734,0.)); #453084=CARTESIAN_POINT('',(43.380781,39.923734,0.)); #453085=CARTESIAN_POINT('',(43.380781,39.923734,0.035)); #453086=CARTESIAN_POINT('',(43.380781,39.923734,0.035)); #453087=CARTESIAN_POINT('',(43.380781,39.923734,0.)); #453088=CARTESIAN_POINT('Origin',(40.457966,37.000919,0.)); #453089=CARTESIAN_POINT('',(40.457966,37.000919,0.)); #453090=CARTESIAN_POINT('',(40.457966,37.000919,0.)); #453091=CARTESIAN_POINT('',(40.457966,37.000919,0.035)); #453092=CARTESIAN_POINT('',(40.457966,37.000919,0.035)); #453093=CARTESIAN_POINT('',(40.457966,37.000919,0.)); #453094=CARTESIAN_POINT('Origin',(40.433806,36.984634,0.)); #453095=CARTESIAN_POINT('',(40.433806,36.984634,0.)); #453096=CARTESIAN_POINT('',(40.433806,36.984634,0.035)); #453097=CARTESIAN_POINT('Origin',(43.074845476427,40.1334671705209,0.035)); #453098=CARTESIAN_POINT('Origin',(43.074845476427,40.1334671705209,0.)); #453099=CARTESIAN_POINT('Origin',(38.123747,16.4786,0.)); #453100=CARTESIAN_POINT('',(38.123747,16.4786,0.)); #453101=CARTESIAN_POINT('',(38.153469,16.484634,0.)); #453102=CARTESIAN_POINT('',(38.123747,16.4786,0.)); #453103=CARTESIAN_POINT('',(38.153469,16.484634,0.035)); #453104=CARTESIAN_POINT('',(38.153469,16.484634,0.)); #453105=CARTESIAN_POINT('',(38.123747,16.4786,0.035)); #453106=CARTESIAN_POINT('',(38.123747,16.4786,0.035)); #453107=CARTESIAN_POINT('',(38.123747,16.4786,0.)); #453108=CARTESIAN_POINT('Origin',(37.9411,16.4786,0.)); #453109=CARTESIAN_POINT('',(37.9411,16.4786,0.)); #453110=CARTESIAN_POINT('',(37.9411,16.4786,0.)); #453111=CARTESIAN_POINT('',(37.9411,16.4786,0.035)); #453112=CARTESIAN_POINT('',(37.9411,16.4786,0.035)); #453113=CARTESIAN_POINT('',(37.9411,16.4786,0.)); #453114=CARTESIAN_POINT('Origin',(37.913631,16.483722,0.)); #453115=CARTESIAN_POINT('',(37.913631,16.483722,0.)); #453116=CARTESIAN_POINT('',(37.913631,16.483722,0.)); #453117=CARTESIAN_POINT('',(37.913631,16.483722,0.035)); #453118=CARTESIAN_POINT('',(37.913631,16.483722,0.035)); #453119=CARTESIAN_POINT('',(37.913631,16.483722,0.)); #453120=CARTESIAN_POINT('Origin',(37.888084,16.500066,0.)); #453121=CARTESIAN_POINT('',(37.888084,16.500066,0.)); #453122=CARTESIAN_POINT('',(37.888084,16.500066,0.)); #453123=CARTESIAN_POINT('',(37.888084,16.500066,0.035)); #453124=CARTESIAN_POINT('',(37.888084,16.500066,0.035)); #453125=CARTESIAN_POINT('',(37.888084,16.500066,0.)); #453126=CARTESIAN_POINT('Origin',(37.870934,16.525078,0.)); #453127=CARTESIAN_POINT('',(37.870934,16.525078,0.)); #453128=CARTESIAN_POINT('',(37.870934,16.525078,0.)); #453129=CARTESIAN_POINT('',(37.870934,16.525078,0.035)); #453130=CARTESIAN_POINT('',(37.870934,16.525078,0.035)); #453131=CARTESIAN_POINT('',(37.870934,16.525078,0.)); #453132=CARTESIAN_POINT('Origin',(37.8649,16.5548,0.)); #453133=CARTESIAN_POINT('',(37.8649,16.5548,0.)); #453134=CARTESIAN_POINT('',(37.8649,16.5548,0.)); #453135=CARTESIAN_POINT('',(37.8649,16.5548,0.035)); #453136=CARTESIAN_POINT('',(37.8649,16.5548,0.035)); #453137=CARTESIAN_POINT('',(37.8649,16.5548,0.)); #453138=CARTESIAN_POINT('Origin',(37.8649,16.952697,0.)); #453139=CARTESIAN_POINT('',(37.8649,16.952697,0.)); #453140=CARTESIAN_POINT('',(37.8649,16.952697,0.)); #453141=CARTESIAN_POINT('',(37.8649,16.952697,0.035)); #453142=CARTESIAN_POINT('',(37.8649,16.952697,0.035)); #453143=CARTESIAN_POINT('',(37.8649,16.952697,0.)); #453144=CARTESIAN_POINT('Origin',(37.853609,16.969166,0.)); #453145=CARTESIAN_POINT('',(37.853609,16.969166,0.)); #453146=CARTESIAN_POINT('',(37.853609,16.969166,0.)); #453147=CARTESIAN_POINT('',(37.853609,16.969166,0.035)); #453148=CARTESIAN_POINT('',(37.853609,16.969166,0.035)); #453149=CARTESIAN_POINT('',(37.853609,16.969166,0.)); #453150=CARTESIAN_POINT('Origin',(37.8471,16.998784,0.)); #453151=CARTESIAN_POINT('',(37.8471,16.998784,0.)); #453152=CARTESIAN_POINT('',(37.8471,16.998784,0.)); #453153=CARTESIAN_POINT('',(37.8471,16.998784,0.035)); #453154=CARTESIAN_POINT('',(37.8471,16.998784,0.035)); #453155=CARTESIAN_POINT('',(37.8471,16.998784,0.)); #453156=CARTESIAN_POINT('Origin',(37.852659,17.028597,0.)); #453157=CARTESIAN_POINT('',(37.852659,17.028597,0.)); #453158=CARTESIAN_POINT('',(37.852659,17.028597,0.)); #453159=CARTESIAN_POINT('',(37.852659,17.028597,0.035)); #453160=CARTESIAN_POINT('',(37.852659,17.028597,0.035)); #453161=CARTESIAN_POINT('',(37.852659,17.028597,0.)); #453162=CARTESIAN_POINT('Origin',(37.8649,17.047075,0.)); #453163=CARTESIAN_POINT('',(37.8649,17.047075,0.)); #453164=CARTESIAN_POINT('',(37.8649,17.047075,0.)); #453165=CARTESIAN_POINT('',(37.8649,17.047075,0.035)); #453166=CARTESIAN_POINT('',(37.8649,17.047075,0.035)); #453167=CARTESIAN_POINT('',(37.8649,17.047075,0.)); #453168=CARTESIAN_POINT('Origin',(37.8649,17.4452,0.)); #453169=CARTESIAN_POINT('',(37.8649,17.4452,0.)); #453170=CARTESIAN_POINT('',(37.8649,17.4452,0.)); #453171=CARTESIAN_POINT('',(37.8649,17.4452,0.035)); #453172=CARTESIAN_POINT('',(37.8649,17.4452,0.035)); #453173=CARTESIAN_POINT('',(37.8649,17.4452,0.)); #453174=CARTESIAN_POINT('Origin',(37.870022,17.472669,0.)); #453175=CARTESIAN_POINT('',(37.870022,17.472669,0.)); #453176=CARTESIAN_POINT('',(37.870022,17.472669,0.)); #453177=CARTESIAN_POINT('',(37.870022,17.472669,0.035)); #453178=CARTESIAN_POINT('',(37.870022,17.472669,0.035)); #453179=CARTESIAN_POINT('',(37.870022,17.472669,0.)); #453180=CARTESIAN_POINT('Origin',(37.886366,17.498216,0.)); #453181=CARTESIAN_POINT('',(37.886366,17.498216,0.)); #453182=CARTESIAN_POINT('',(37.886366,17.498216,0.)); #453183=CARTESIAN_POINT('',(37.886366,17.498216,0.035)); #453184=CARTESIAN_POINT('',(37.886366,17.498216,0.035)); #453185=CARTESIAN_POINT('',(37.886366,17.498216,0.)); #453186=CARTESIAN_POINT('Origin',(37.911378,17.515366,0.)); #453187=CARTESIAN_POINT('',(37.911378,17.515366,0.)); #453188=CARTESIAN_POINT('',(37.911378,17.515366,0.)); #453189=CARTESIAN_POINT('',(37.911378,17.515366,0.035)); #453190=CARTESIAN_POINT('',(37.911378,17.515366,0.035)); #453191=CARTESIAN_POINT('',(37.911378,17.515366,0.)); #453192=CARTESIAN_POINT('Origin',(37.9411,17.5214,0.)); #453193=CARTESIAN_POINT('',(37.9411,17.5214,0.)); #453194=CARTESIAN_POINT('',(37.9411,17.5214,0.)); #453195=CARTESIAN_POINT('',(37.9411,17.5214,0.035)); #453196=CARTESIAN_POINT('',(37.9411,17.5214,0.035)); #453197=CARTESIAN_POINT('',(37.9411,17.5214,0.)); #453198=CARTESIAN_POINT('Origin',(38.123747,17.5214,0.)); #453199=CARTESIAN_POINT('',(38.123747,17.5214,0.)); #453200=CARTESIAN_POINT('',(38.123747,17.5214,0.)); #453201=CARTESIAN_POINT('',(38.123747,17.5214,0.035)); #453202=CARTESIAN_POINT('',(38.123747,17.5214,0.035)); #453203=CARTESIAN_POINT('',(38.123747,17.5214,0.)); #453204=CARTESIAN_POINT('Origin',(38.152344,17.515831,0.)); #453205=CARTESIAN_POINT('',(38.152344,17.515831,0.)); #453206=CARTESIAN_POINT('',(38.152344,17.515831,0.)); #453207=CARTESIAN_POINT('',(38.152344,17.515831,0.035)); #453208=CARTESIAN_POINT('',(38.152344,17.515831,0.035)); #453209=CARTESIAN_POINT('',(38.152344,17.515831,0.)); #453210=CARTESIAN_POINT('Origin',(38.177628,17.499081,0.)); #453211=CARTESIAN_POINT('',(38.177628,17.499081,0.)); #453212=CARTESIAN_POINT('',(38.177628,17.499081,0.)); #453213=CARTESIAN_POINT('',(38.177628,17.499081,0.035)); #453214=CARTESIAN_POINT('',(38.177628,17.499081,0.035)); #453215=CARTESIAN_POINT('',(38.177628,17.499081,0.)); #453216=CARTESIAN_POINT('Origin',(38.405309,17.2714,0.)); #453217=CARTESIAN_POINT('',(38.405309,17.2714,0.)); #453218=CARTESIAN_POINT('',(38.405309,17.2714,0.)); #453219=CARTESIAN_POINT('',(38.405309,17.2714,0.035)); #453220=CARTESIAN_POINT('',(38.405309,17.2714,0.035)); #453221=CARTESIAN_POINT('',(38.405309,17.2714,0.)); #453222=CARTESIAN_POINT('Origin',(38.842603,17.2714,0.)); #453223=CARTESIAN_POINT('',(38.842603,17.2714,0.)); #453224=CARTESIAN_POINT('',(38.842603,17.2714,0.)); #453225=CARTESIAN_POINT('',(38.842603,17.2714,0.035)); #453226=CARTESIAN_POINT('',(38.842603,17.2714,0.035)); #453227=CARTESIAN_POINT('',(38.842603,17.2714,0.)); #453228=CARTESIAN_POINT('Origin',(38.8712,17.265831,0.)); #453229=CARTESIAN_POINT('',(38.8712,17.265831,0.)); #453230=CARTESIAN_POINT('',(38.8712,17.265831,0.)); #453231=CARTESIAN_POINT('',(38.8712,17.265831,0.035)); #453232=CARTESIAN_POINT('',(38.8712,17.265831,0.035)); #453233=CARTESIAN_POINT('',(38.8712,17.265831,0.)); #453234=CARTESIAN_POINT('Origin',(38.896484,17.249081,0.)); #453235=CARTESIAN_POINT('',(38.896484,17.249081,0.)); #453236=CARTESIAN_POINT('',(38.896484,17.249081,0.)); #453237=CARTESIAN_POINT('',(38.896484,17.249081,0.035)); #453238=CARTESIAN_POINT('',(38.896484,17.249081,0.035)); #453239=CARTESIAN_POINT('',(38.896484,17.249081,0.)); #453240=CARTESIAN_POINT('Origin',(39.091684,17.053881,0.)); #453241=CARTESIAN_POINT('',(39.091684,17.053881,0.)); #453242=CARTESIAN_POINT('',(39.091684,17.053881,0.)); #453243=CARTESIAN_POINT('',(39.091684,17.053881,0.035)); #453244=CARTESIAN_POINT('',(39.091684,17.053881,0.035)); #453245=CARTESIAN_POINT('',(39.091684,17.053881,0.)); #453246=CARTESIAN_POINT('Origin',(39.107484,17.030834,0.)); #453247=CARTESIAN_POINT('',(39.107484,17.030834,0.)); #453248=CARTESIAN_POINT('',(39.107484,17.030834,0.)); #453249=CARTESIAN_POINT('',(39.107484,17.030834,0.035)); #453250=CARTESIAN_POINT('',(39.107484,17.030834,0.035)); #453251=CARTESIAN_POINT('',(39.107484,17.030834,0.)); #453252=CARTESIAN_POINT('Origin',(39.113994,17.001216,0.)); #453253=CARTESIAN_POINT('',(39.113994,17.001216,0.)); #453254=CARTESIAN_POINT('',(39.113994,17.001216,0.)); #453255=CARTESIAN_POINT('',(39.113994,17.001216,0.035)); #453256=CARTESIAN_POINT('',(39.113994,17.001216,0.035)); #453257=CARTESIAN_POINT('',(39.113994,17.001216,0.)); #453258=CARTESIAN_POINT('Origin',(39.108434,16.971403,0.)); #453259=CARTESIAN_POINT('',(39.108434,16.971403,0.)); #453260=CARTESIAN_POINT('',(39.108434,16.971403,0.)); #453261=CARTESIAN_POINT('',(39.108434,16.971403,0.035)); #453262=CARTESIAN_POINT('',(39.108434,16.971403,0.035)); #453263=CARTESIAN_POINT('',(39.108434,16.971403,0.)); #453264=CARTESIAN_POINT('Origin',(39.091684,16.946119,0.)); #453265=CARTESIAN_POINT('',(39.091684,16.946119,0.)); #453266=CARTESIAN_POINT('',(39.091684,16.946119,0.)); #453267=CARTESIAN_POINT('',(39.091684,16.946119,0.035)); #453268=CARTESIAN_POINT('',(39.091684,16.946119,0.035)); #453269=CARTESIAN_POINT('',(39.091684,16.946119,0.)); #453270=CARTESIAN_POINT('Origin',(38.896484,16.750919,0.)); #453271=CARTESIAN_POINT('',(38.896484,16.750919,0.)); #453272=CARTESIAN_POINT('',(38.896484,16.750919,0.)); #453273=CARTESIAN_POINT('',(38.896484,16.750919,0.035)); #453274=CARTESIAN_POINT('',(38.896484,16.750919,0.035)); #453275=CARTESIAN_POINT('',(38.896484,16.750919,0.)); #453276=CARTESIAN_POINT('Origin',(38.872325,16.734634,0.)); #453277=CARTESIAN_POINT('',(38.872325,16.734634,0.)); #453278=CARTESIAN_POINT('',(38.872325,16.734634,0.)); #453279=CARTESIAN_POINT('',(38.872325,16.734634,0.035)); #453280=CARTESIAN_POINT('',(38.872325,16.734634,0.035)); #453281=CARTESIAN_POINT('',(38.872325,16.734634,0.)); #453282=CARTESIAN_POINT('Origin',(38.842603,16.7286,0.)); #453283=CARTESIAN_POINT('',(38.842603,16.7286,0.)); #453284=CARTESIAN_POINT('',(38.842603,16.7286,0.)); #453285=CARTESIAN_POINT('',(38.842603,16.7286,0.035)); #453286=CARTESIAN_POINT('',(38.842603,16.7286,0.035)); #453287=CARTESIAN_POINT('',(38.842603,16.7286,0.)); #453288=CARTESIAN_POINT('Origin',(38.405309,16.7286,0.)); #453289=CARTESIAN_POINT('',(38.405309,16.7286,0.)); #453290=CARTESIAN_POINT('',(38.405309,16.7286,0.)); #453291=CARTESIAN_POINT('',(38.405309,16.7286,0.035)); #453292=CARTESIAN_POINT('',(38.405309,16.7286,0.035)); #453293=CARTESIAN_POINT('',(38.405309,16.7286,0.)); #453294=CARTESIAN_POINT('Origin',(38.177628,16.500919,0.)); #453295=CARTESIAN_POINT('',(38.177628,16.500919,0.)); #453296=CARTESIAN_POINT('',(38.177628,16.500919,0.)); #453297=CARTESIAN_POINT('',(38.177628,16.500919,0.035)); #453298=CARTESIAN_POINT('',(38.177628,16.500919,0.035)); #453299=CARTESIAN_POINT('',(38.177628,16.500919,0.)); #453300=CARTESIAN_POINT('Origin',(38.153469,16.484634,0.)); #453301=CARTESIAN_POINT('',(38.153469,16.484634,0.)); #453302=CARTESIAN_POINT('',(38.153469,16.484634,0.035)); #453303=CARTESIAN_POINT('Origin',(38.3560814325145,16.9999994065418,0.035)); #453304=CARTESIAN_POINT('Origin',(38.3560814325145,16.9999994065418,0.)); #453305=CARTESIAN_POINT('Origin',(30.182228,29.934491,0.)); #453306=CARTESIAN_POINT('',(30.182228,29.934491,0.)); #453307=CARTESIAN_POINT('',(30.211744,29.941472,0.)); #453308=CARTESIAN_POINT('',(30.182228,29.934491,0.)); #453309=CARTESIAN_POINT('',(30.211744,29.941472,0.035)); #453310=CARTESIAN_POINT('',(30.211744,29.941472,0.)); #453311=CARTESIAN_POINT('',(30.182228,29.934491,0.035)); #453312=CARTESIAN_POINT('',(30.182228,29.934491,0.035)); #453313=CARTESIAN_POINT('',(30.182228,29.934491,0.)); #453314=CARTESIAN_POINT('Origin',(30.152331,29.939575,0.)); #453315=CARTESIAN_POINT('',(30.152331,29.939575,0.)); #453316=CARTESIAN_POINT('',(30.152331,29.939575,0.)); #453317=CARTESIAN_POINT('',(30.152331,29.939575,0.035)); #453318=CARTESIAN_POINT('',(30.152331,29.939575,0.035)); #453319=CARTESIAN_POINT('',(30.152331,29.939575,0.)); #453320=CARTESIAN_POINT('Origin',(30.126784,29.955919,0.)); #453321=CARTESIAN_POINT('',(30.126784,29.955919,0.)); #453322=CARTESIAN_POINT('',(30.126784,29.955919,0.)); #453323=CARTESIAN_POINT('',(30.126784,29.955919,0.035)); #453324=CARTESIAN_POINT('',(30.126784,29.955919,0.035)); #453325=CARTESIAN_POINT('',(30.126784,29.955919,0.)); #453326=CARTESIAN_POINT('Origin',(30.109634,29.980931,0.)); #453327=CARTESIAN_POINT('',(30.109634,29.980931,0.)); #453328=CARTESIAN_POINT('',(30.109634,29.980931,0.)); #453329=CARTESIAN_POINT('',(30.109634,29.980931,0.035)); #453330=CARTESIAN_POINT('',(30.109634,29.980931,0.035)); #453331=CARTESIAN_POINT('',(30.109634,29.980931,0.)); #453332=CARTESIAN_POINT('Origin',(30.1036,30.010653,0.)); #453333=CARTESIAN_POINT('',(30.1036,30.010653,0.)); #453334=CARTESIAN_POINT('',(30.1036,30.010653,0.)); #453335=CARTESIAN_POINT('',(30.1036,30.010653,0.035)); #453336=CARTESIAN_POINT('',(30.1036,30.010653,0.035)); #453337=CARTESIAN_POINT('',(30.1036,30.010653,0.)); #453338=CARTESIAN_POINT('Origin',(30.1036,30.998747,0.)); #453339=CARTESIAN_POINT('',(30.1036,30.998747,0.)); #453340=CARTESIAN_POINT('',(30.1036,30.998747,0.)); #453341=CARTESIAN_POINT('',(30.1036,30.998747,0.035)); #453342=CARTESIAN_POINT('',(30.1036,30.998747,0.035)); #453343=CARTESIAN_POINT('',(30.1036,30.998747,0.)); #453344=CARTESIAN_POINT('Origin',(30.109169,31.027344,0.)); #453345=CARTESIAN_POINT('',(30.109169,31.027344,0.)); #453346=CARTESIAN_POINT('',(30.109169,31.027344,0.)); #453347=CARTESIAN_POINT('',(30.109169,31.027344,0.035)); #453348=CARTESIAN_POINT('',(30.109169,31.027344,0.035)); #453349=CARTESIAN_POINT('',(30.109169,31.027344,0.)); #453350=CARTESIAN_POINT('Origin',(30.125919,31.052628,0.)); #453351=CARTESIAN_POINT('',(30.125919,31.052628,0.)); #453352=CARTESIAN_POINT('',(30.125919,31.052628,0.)); #453353=CARTESIAN_POINT('',(30.125919,31.052628,0.035)); #453354=CARTESIAN_POINT('',(30.125919,31.052628,0.035)); #453355=CARTESIAN_POINT('',(30.125919,31.052628,0.)); #453356=CARTESIAN_POINT('Origin',(30.720819,31.647528,0.)); #453357=CARTESIAN_POINT('',(30.720819,31.647528,0.)); #453358=CARTESIAN_POINT('',(30.720819,31.647528,0.)); #453359=CARTESIAN_POINT('',(30.720819,31.647528,0.035)); #453360=CARTESIAN_POINT('',(30.720819,31.647528,0.035)); #453361=CARTESIAN_POINT('',(30.720819,31.647528,0.)); #453362=CARTESIAN_POINT('Origin',(30.742756,31.662828,0.)); #453363=CARTESIAN_POINT('',(30.742756,31.662828,0.)); #453364=CARTESIAN_POINT('',(30.742756,31.662828,0.)); #453365=CARTESIAN_POINT('',(30.742756,31.662828,0.035)); #453366=CARTESIAN_POINT('',(30.742756,31.662828,0.035)); #453367=CARTESIAN_POINT('',(30.742756,31.662828,0.)); #453368=CARTESIAN_POINT('Origin',(30.772272,31.669809,0.)); #453369=CARTESIAN_POINT('',(30.772272,31.669809,0.)); #453370=CARTESIAN_POINT('',(30.772272,31.669809,0.)); #453371=CARTESIAN_POINT('',(30.772272,31.669809,0.035)); #453372=CARTESIAN_POINT('',(30.772272,31.669809,0.035)); #453373=CARTESIAN_POINT('',(30.772272,31.669809,0.)); #453374=CARTESIAN_POINT('Origin',(30.802169,31.664725,0.)); #453375=CARTESIAN_POINT('',(30.802169,31.664725,0.)); #453376=CARTESIAN_POINT('',(30.802169,31.664725,0.)); #453377=CARTESIAN_POINT('',(30.802169,31.664725,0.035)); #453378=CARTESIAN_POINT('',(30.802169,31.664725,0.035)); #453379=CARTESIAN_POINT('',(30.802169,31.664725,0.)); #453380=CARTESIAN_POINT('Origin',(30.827716,31.648381,0.)); #453381=CARTESIAN_POINT('',(30.827716,31.648381,0.)); #453382=CARTESIAN_POINT('',(30.827716,31.648381,0.)); #453383=CARTESIAN_POINT('',(30.827716,31.648381,0.035)); #453384=CARTESIAN_POINT('',(30.827716,31.648381,0.035)); #453385=CARTESIAN_POINT('',(30.827716,31.648381,0.)); #453386=CARTESIAN_POINT('Origin',(30.844866,31.623369,0.)); #453387=CARTESIAN_POINT('',(30.844866,31.623369,0.)); #453388=CARTESIAN_POINT('',(30.844866,31.623369,0.)); #453389=CARTESIAN_POINT('',(30.844866,31.623369,0.035)); #453390=CARTESIAN_POINT('',(30.844866,31.623369,0.035)); #453391=CARTESIAN_POINT('',(30.844866,31.623369,0.)); #453392=CARTESIAN_POINT('Origin',(30.8509,31.593647,0.)); #453393=CARTESIAN_POINT('',(30.8509,31.593647,0.)); #453394=CARTESIAN_POINT('',(30.8509,31.593647,0.)); #453395=CARTESIAN_POINT('',(30.8509,31.593647,0.035)); #453396=CARTESIAN_POINT('',(30.8509,31.593647,0.035)); #453397=CARTESIAN_POINT('',(30.8509,31.593647,0.)); #453398=CARTESIAN_POINT('Origin',(30.8509,30.605553,0.)); #453399=CARTESIAN_POINT('',(30.8509,30.605553,0.)); #453400=CARTESIAN_POINT('',(30.8509,30.605553,0.)); #453401=CARTESIAN_POINT('',(30.8509,30.605553,0.035)); #453402=CARTESIAN_POINT('',(30.8509,30.605553,0.035)); #453403=CARTESIAN_POINT('',(30.8509,30.605553,0.)); #453404=CARTESIAN_POINT('Origin',(30.845331,30.576956,0.)); #453405=CARTESIAN_POINT('',(30.845331,30.576956,0.)); #453406=CARTESIAN_POINT('',(30.845331,30.576956,0.)); #453407=CARTESIAN_POINT('',(30.845331,30.576956,0.035)); #453408=CARTESIAN_POINT('',(30.845331,30.576956,0.035)); #453409=CARTESIAN_POINT('',(30.845331,30.576956,0.)); #453410=CARTESIAN_POINT('Origin',(30.828581,30.551672,0.)); #453411=CARTESIAN_POINT('',(30.828581,30.551672,0.)); #453412=CARTESIAN_POINT('',(30.828581,30.551672,0.)); #453413=CARTESIAN_POINT('',(30.828581,30.551672,0.035)); #453414=CARTESIAN_POINT('',(30.828581,30.551672,0.035)); #453415=CARTESIAN_POINT('',(30.828581,30.551672,0.)); #453416=CARTESIAN_POINT('Origin',(30.233681,29.956772,0.)); #453417=CARTESIAN_POINT('',(30.233681,29.956772,0.)); #453418=CARTESIAN_POINT('',(30.233681,29.956772,0.)); #453419=CARTESIAN_POINT('',(30.233681,29.956772,0.035)); #453420=CARTESIAN_POINT('',(30.233681,29.956772,0.035)); #453421=CARTESIAN_POINT('',(30.233681,29.956772,0.)); #453422=CARTESIAN_POINT('Origin',(30.211744,29.941472,0.)); #453423=CARTESIAN_POINT('',(30.211744,29.941472,0.)); #453424=CARTESIAN_POINT('',(30.211744,29.941472,0.035)); #453425=CARTESIAN_POINT('Origin',(30.47725,30.80215,0.035)); #453426=CARTESIAN_POINT('Origin',(30.47725,30.80215,0.)); #453427=CARTESIAN_POINT('Origin',(38.842603,17.7286,0.)); #453428=CARTESIAN_POINT('',(38.842603,17.7286,0.)); #453429=CARTESIAN_POINT('',(38.872325,17.734634,0.)); #453430=CARTESIAN_POINT('',(38.842603,17.7286,0.)); #453431=CARTESIAN_POINT('',(38.872325,17.734634,0.035)); #453432=CARTESIAN_POINT('',(38.872325,17.734634,0.)); #453433=CARTESIAN_POINT('',(38.842603,17.7286,0.035)); #453434=CARTESIAN_POINT('',(38.842603,17.7286,0.035)); #453435=CARTESIAN_POINT('',(38.842603,17.7286,0.)); #453436=CARTESIAN_POINT('Origin',(38.626253,17.7286,0.)); #453437=CARTESIAN_POINT('',(38.626253,17.7286,0.)); #453438=CARTESIAN_POINT('',(38.626253,17.7286,0.)); #453439=CARTESIAN_POINT('',(38.626253,17.7286,0.035)); #453440=CARTESIAN_POINT('',(38.626253,17.7286,0.035)); #453441=CARTESIAN_POINT('',(38.626253,17.7286,0.)); #453442=CARTESIAN_POINT('Origin',(38.597656,17.734169,0.)); #453443=CARTESIAN_POINT('',(38.597656,17.734169,0.)); #453444=CARTESIAN_POINT('',(38.597656,17.734169,0.)); #453445=CARTESIAN_POINT('',(38.597656,17.734169,0.035)); #453446=CARTESIAN_POINT('',(38.597656,17.734169,0.035)); #453447=CARTESIAN_POINT('',(38.597656,17.734169,0.)); #453448=CARTESIAN_POINT('Origin',(38.572372,17.750919,0.)); #453449=CARTESIAN_POINT('',(38.572372,17.750919,0.)); #453450=CARTESIAN_POINT('',(38.572372,17.750919,0.)); #453451=CARTESIAN_POINT('',(38.572372,17.750919,0.035)); #453452=CARTESIAN_POINT('',(38.572372,17.750919,0.035)); #453453=CARTESIAN_POINT('',(38.572372,17.750919,0.)); #453454=CARTESIAN_POINT('Origin',(38.431972,17.891319,0.)); #453455=CARTESIAN_POINT('',(38.431972,17.891319,0.)); #453456=CARTESIAN_POINT('',(38.431972,17.891319,0.)); #453457=CARTESIAN_POINT('',(38.431972,17.891319,0.035)); #453458=CARTESIAN_POINT('',(38.431972,17.891319,0.035)); #453459=CARTESIAN_POINT('',(38.431972,17.891319,0.)); #453460=CARTESIAN_POINT('Origin',(38.416672,17.913256,0.)); #453461=CARTESIAN_POINT('',(38.416672,17.913256,0.)); #453462=CARTESIAN_POINT('',(38.416672,17.913256,0.)); #453463=CARTESIAN_POINT('',(38.416672,17.913256,0.035)); #453464=CARTESIAN_POINT('',(38.416672,17.913256,0.035)); #453465=CARTESIAN_POINT('',(38.416672,17.913256,0.)); #453466=CARTESIAN_POINT('Origin',(38.409691,17.942772,0.)); #453467=CARTESIAN_POINT('',(38.409691,17.942772,0.)); #453468=CARTESIAN_POINT('',(38.409691,17.942772,0.)); #453469=CARTESIAN_POINT('',(38.409691,17.942772,0.035)); #453470=CARTESIAN_POINT('',(38.409691,17.942772,0.035)); #453471=CARTESIAN_POINT('',(38.409691,17.942772,0.)); #453472=CARTESIAN_POINT('Origin',(38.414775,17.972669,0.)); #453473=CARTESIAN_POINT('',(38.414775,17.972669,0.)); #453474=CARTESIAN_POINT('',(38.414775,17.972669,0.)); #453475=CARTESIAN_POINT('',(38.414775,17.972669,0.035)); #453476=CARTESIAN_POINT('',(38.414775,17.972669,0.035)); #453477=CARTESIAN_POINT('',(38.414775,17.972669,0.)); #453478=CARTESIAN_POINT('Origin',(38.431119,17.998216,0.)); #453479=CARTESIAN_POINT('',(38.431119,17.998216,0.)); #453480=CARTESIAN_POINT('',(38.431119,17.998216,0.)); #453481=CARTESIAN_POINT('',(38.431119,17.998216,0.035)); #453482=CARTESIAN_POINT('',(38.431119,17.998216,0.035)); #453483=CARTESIAN_POINT('',(38.431119,17.998216,0.)); #453484=CARTESIAN_POINT('Origin',(38.456131,18.015366,0.)); #453485=CARTESIAN_POINT('',(38.456131,18.015366,0.)); #453486=CARTESIAN_POINT('',(38.456131,18.015366,0.)); #453487=CARTESIAN_POINT('',(38.456131,18.015366,0.035)); #453488=CARTESIAN_POINT('',(38.456131,18.015366,0.035)); #453489=CARTESIAN_POINT('',(38.456131,18.015366,0.)); #453490=CARTESIAN_POINT('Origin',(38.485853,18.0214,0.)); #453491=CARTESIAN_POINT('',(38.485853,18.0214,0.)); #453492=CARTESIAN_POINT('',(38.485853,18.0214,0.)); #453493=CARTESIAN_POINT('',(38.485853,18.0214,0.035)); #453494=CARTESIAN_POINT('',(38.485853,18.0214,0.035)); #453495=CARTESIAN_POINT('',(38.485853,18.0214,0.)); #453496=CARTESIAN_POINT('Origin',(38.842603,18.0214,0.)); #453497=CARTESIAN_POINT('',(38.842603,18.0214,0.)); #453498=CARTESIAN_POINT('',(38.842603,18.0214,0.)); #453499=CARTESIAN_POINT('',(38.842603,18.0214,0.035)); #453500=CARTESIAN_POINT('',(38.842603,18.0214,0.035)); #453501=CARTESIAN_POINT('',(38.842603,18.0214,0.)); #453502=CARTESIAN_POINT('Origin',(38.8712,18.015831,0.)); #453503=CARTESIAN_POINT('',(38.8712,18.015831,0.)); #453504=CARTESIAN_POINT('',(38.8712,18.015831,0.)); #453505=CARTESIAN_POINT('',(38.8712,18.015831,0.035)); #453506=CARTESIAN_POINT('',(38.8712,18.015831,0.035)); #453507=CARTESIAN_POINT('',(38.8712,18.015831,0.)); #453508=CARTESIAN_POINT('Origin',(38.896484,17.999081,0.)); #453509=CARTESIAN_POINT('',(38.896484,17.999081,0.)); #453510=CARTESIAN_POINT('',(38.896484,17.999081,0.)); #453511=CARTESIAN_POINT('',(38.896484,17.999081,0.035)); #453512=CARTESIAN_POINT('',(38.896484,17.999081,0.035)); #453513=CARTESIAN_POINT('',(38.896484,17.999081,0.)); #453514=CARTESIAN_POINT('Origin',(38.966684,17.928881,0.)); #453515=CARTESIAN_POINT('',(38.966684,17.928881,0.)); #453516=CARTESIAN_POINT('',(38.966684,17.928881,0.)); #453517=CARTESIAN_POINT('',(38.966684,17.928881,0.035)); #453518=CARTESIAN_POINT('',(38.966684,17.928881,0.035)); #453519=CARTESIAN_POINT('',(38.966684,17.928881,0.)); #453520=CARTESIAN_POINT('Origin',(38.982484,17.905834,0.)); #453521=CARTESIAN_POINT('',(38.982484,17.905834,0.)); #453522=CARTESIAN_POINT('',(38.982484,17.905834,0.)); #453523=CARTESIAN_POINT('',(38.982484,17.905834,0.035)); #453524=CARTESIAN_POINT('',(38.982484,17.905834,0.035)); #453525=CARTESIAN_POINT('',(38.982484,17.905834,0.)); #453526=CARTESIAN_POINT('Origin',(38.988994,17.876216,0.)); #453527=CARTESIAN_POINT('',(38.988994,17.876216,0.)); #453528=CARTESIAN_POINT('',(38.988994,17.876216,0.)); #453529=CARTESIAN_POINT('',(38.988994,17.876216,0.035)); #453530=CARTESIAN_POINT('',(38.988994,17.876216,0.035)); #453531=CARTESIAN_POINT('',(38.988994,17.876216,0.)); #453532=CARTESIAN_POINT('Origin',(38.983434,17.846403,0.)); #453533=CARTESIAN_POINT('',(38.983434,17.846403,0.)); #453534=CARTESIAN_POINT('',(38.983434,17.846403,0.)); #453535=CARTESIAN_POINT('',(38.983434,17.846403,0.035)); #453536=CARTESIAN_POINT('',(38.983434,17.846403,0.035)); #453537=CARTESIAN_POINT('',(38.983434,17.846403,0.)); #453538=CARTESIAN_POINT('Origin',(38.966684,17.821119,0.)); #453539=CARTESIAN_POINT('',(38.966684,17.821119,0.)); #453540=CARTESIAN_POINT('',(38.966684,17.821119,0.)); #453541=CARTESIAN_POINT('',(38.966684,17.821119,0.035)); #453542=CARTESIAN_POINT('',(38.966684,17.821119,0.035)); #453543=CARTESIAN_POINT('',(38.966684,17.821119,0.)); #453544=CARTESIAN_POINT('Origin',(38.896484,17.750919,0.)); #453545=CARTESIAN_POINT('',(38.896484,17.750919,0.)); #453546=CARTESIAN_POINT('',(38.896484,17.750919,0.)); #453547=CARTESIAN_POINT('',(38.896484,17.750919,0.035)); #453548=CARTESIAN_POINT('',(38.896484,17.750919,0.035)); #453549=CARTESIAN_POINT('',(38.896484,17.750919,0.)); #453550=CARTESIAN_POINT('Origin',(38.872325,17.734634,0.)); #453551=CARTESIAN_POINT('',(38.872325,17.734634,0.)); #453552=CARTESIAN_POINT('',(38.872325,17.734634,0.035)); #453553=CARTESIAN_POINT('Origin',(38.7007398034252,17.8875872966964,0.035)); #453554=CARTESIAN_POINT('Origin',(38.7007398034252,17.8875872966964,0.)); #453555=CARTESIAN_POINT('Origin',(41.,25.25,-200.)); #453556=CARTESIAN_POINT('',(40.86,25.25,0.)); #453557=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #453558=CARTESIAN_POINT('',(40.86,25.25,0.0349999999999895)); #453559=CARTESIAN_POINT('',(40.86,25.25,-200.)); #453560=CARTESIAN_POINT('Origin',(41.,25.25,0.0349999999999895)); #453561=CARTESIAN_POINT('Origin',(39.1500000454174,34.565,-200.)); #453562=CARTESIAN_POINT('',(38.5500000151391,34.565,0.0349999999999895)); #453563=CARTESIAN_POINT('',(39.1500000454174,34.565,0.0349999999999895)); #453564=CARTESIAN_POINT('',(40.7828720988787,34.565,0.0350000000000072)); #453565=CARTESIAN_POINT('',(38.5500000151391,34.565,0.)); #453566=CARTESIAN_POINT('',(38.5500000151391,34.565,-200.)); #453567=CARTESIAN_POINT('',(39.1500000454174,34.565,0.)); #453568=CARTESIAN_POINT('',(40.7828720988787,34.565,0.)); #453569=CARTESIAN_POINT('',(39.1500000454174,34.565,-200.)); #453570=CARTESIAN_POINT('Origin',(39.15,34.,-200.)); #453571=CARTESIAN_POINT('',(39.1499999848609,33.435,0.0349999999999895)); #453572=CARTESIAN_POINT('Origin',(39.15,34.,0.0349999999999895)); #453573=CARTESIAN_POINT('',(39.1499999848609,33.435,0.)); #453574=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #453575=CARTESIAN_POINT('',(39.1499999848609,33.435,-200.)); #453576=CARTESIAN_POINT('Origin',(38.5499999545827,33.435,-200.)); #453577=CARTESIAN_POINT('',(38.5499999545827,33.435,0.0349999999999895)); #453578=CARTESIAN_POINT('',(40.4828720534613,33.435,0.0350000000000072)); #453579=CARTESIAN_POINT('',(38.5499999545827,33.435,0.)); #453580=CARTESIAN_POINT('',(40.4828720534613,33.435,0.)); #453581=CARTESIAN_POINT('',(38.5499999545827,33.435,-200.)); #453582=CARTESIAN_POINT('Origin',(38.55,34.,-200.)); #453583=CARTESIAN_POINT('Origin',(38.55,34.,0.0349999999999895)); #453584=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #453585=CARTESIAN_POINT('Origin',(43.75,34.,-200.)); #453586=CARTESIAN_POINT('',(43.235,34.,0.)); #453587=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #453588=CARTESIAN_POINT('',(43.235,34.,0.0349999999999895)); #453589=CARTESIAN_POINT('',(43.235,34.,-200.)); #453590=CARTESIAN_POINT('Origin',(43.75,34.,0.0349999999999895)); #453591=CARTESIAN_POINT('Origin',(41.,24.5,-200.)); #453592=CARTESIAN_POINT('',(40.86,24.5,0.)); #453593=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #453594=CARTESIAN_POINT('',(40.86,24.5,0.0349999999999895)); #453595=CARTESIAN_POINT('',(40.86,24.5,-200.)); #453596=CARTESIAN_POINT('Origin',(41.,24.5,0.0349999999999895)); #453597=CARTESIAN_POINT('Origin',(41.,26.,-200.)); #453598=CARTESIAN_POINT('',(40.86,26.,0.)); #453599=CARTESIAN_POINT('Origin',(41.,26.,0.)); #453600=CARTESIAN_POINT('',(40.86,26.,0.0349999999999895)); #453601=CARTESIAN_POINT('',(40.86,26.,-200.)); #453602=CARTESIAN_POINT('Origin',(41.,26.,0.0349999999999895)); #453603=CARTESIAN_POINT('Origin',(41.75,25.25,-200.)); #453604=CARTESIAN_POINT('',(41.61,25.25,0.)); #453605=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #453606=CARTESIAN_POINT('',(41.61,25.25,0.0349999999999895)); #453607=CARTESIAN_POINT('',(41.61,25.25,-200.)); #453608=CARTESIAN_POINT('Origin',(41.75,25.25,0.0349999999999895)); #453609=CARTESIAN_POINT('Origin',(41.75,26.,-200.)); #453610=CARTESIAN_POINT('',(41.61,26.,0.)); #453611=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #453612=CARTESIAN_POINT('',(41.61,26.,0.0349999999999895)); #453613=CARTESIAN_POINT('',(41.61,26.,-200.)); #453614=CARTESIAN_POINT('Origin',(41.75,26.,0.0349999999999895)); #453615=CARTESIAN_POINT('Origin',(42.5,24.5,-200.)); #453616=CARTESIAN_POINT('',(42.36,24.5,0.)); #453617=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #453618=CARTESIAN_POINT('',(42.36,24.5,0.0349999999999895)); #453619=CARTESIAN_POINT('',(42.36,24.5,-200.)); #453620=CARTESIAN_POINT('Origin',(42.5,24.5,0.0349999999999895)); #453621=CARTESIAN_POINT('Origin',(41.75,24.5,-200.)); #453622=CARTESIAN_POINT('',(41.61,24.5,0.)); #453623=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #453624=CARTESIAN_POINT('',(41.61,24.5,0.0349999999999895)); #453625=CARTESIAN_POINT('',(41.61,24.5,-200.)); #453626=CARTESIAN_POINT('Origin',(41.75,24.5,0.0349999999999895)); #453627=CARTESIAN_POINT('Origin',(42.5,25.25,-200.)); #453628=CARTESIAN_POINT('',(42.36,25.25,0.)); #453629=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #453630=CARTESIAN_POINT('',(42.36,25.25,0.0349999999999895)); #453631=CARTESIAN_POINT('',(42.36,25.25,-200.)); #453632=CARTESIAN_POINT('Origin',(42.5,25.25,0.0349999999999895)); #453633=CARTESIAN_POINT('Origin',(42.5,26.,-200.)); #453634=CARTESIAN_POINT('',(42.36,26.,0.)); #453635=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #453636=CARTESIAN_POINT('',(42.36,26.,0.0349999999999895)); #453637=CARTESIAN_POINT('',(42.36,26.,-200.)); #453638=CARTESIAN_POINT('Origin',(42.5,26.,0.0349999999999895)); #453639=CARTESIAN_POINT('Origin',(46.444419,33.0226,0.)); #453640=CARTESIAN_POINT('',(46.444419,33.0226,0.)); #453641=CARTESIAN_POINT('',(46.055581,33.0226,0.)); #453642=CARTESIAN_POINT('',(46.444419,33.0226,0.)); #453643=CARTESIAN_POINT('',(46.055581,33.0226,0.035)); #453644=CARTESIAN_POINT('',(46.055581,33.0226,0.)); #453645=CARTESIAN_POINT('',(46.444419,33.0226,0.035)); #453646=CARTESIAN_POINT('',(46.444419,33.0226,0.035)); #453647=CARTESIAN_POINT('',(46.444419,33.0226,0.)); #453648=CARTESIAN_POINT('Origin',(46.803653,33.1714,0.)); #453649=CARTESIAN_POINT('',(46.803653,33.1714,0.)); #453650=CARTESIAN_POINT('',(46.803653,33.1714,0.)); #453651=CARTESIAN_POINT('',(46.803653,33.1714,0.035)); #453652=CARTESIAN_POINT('',(46.803653,33.1714,0.035)); #453653=CARTESIAN_POINT('',(46.803653,33.1714,0.)); #453654=CARTESIAN_POINT('Origin',(47.0786,33.446347,0.)); #453655=CARTESIAN_POINT('',(47.0786,33.446347,0.)); #453656=CARTESIAN_POINT('',(47.0786,33.446347,0.)); #453657=CARTESIAN_POINT('',(47.0786,33.446347,0.035)); #453658=CARTESIAN_POINT('',(47.0786,33.446347,0.035)); #453659=CARTESIAN_POINT('',(47.0786,33.446347,0.)); #453660=CARTESIAN_POINT('Origin',(47.2274,33.805581,0.)); #453661=CARTESIAN_POINT('',(47.2274,33.805581,0.)); #453662=CARTESIAN_POINT('',(47.2274,33.805581,0.)); #453663=CARTESIAN_POINT('',(47.2274,33.805581,0.035)); #453664=CARTESIAN_POINT('',(47.2274,33.805581,0.035)); #453665=CARTESIAN_POINT('',(47.2274,33.805581,0.)); #453666=CARTESIAN_POINT('Origin',(47.2274,34.194419,0.)); #453667=CARTESIAN_POINT('',(47.2274,34.194419,0.)); #453668=CARTESIAN_POINT('',(47.2274,34.194419,0.)); #453669=CARTESIAN_POINT('',(47.2274,34.194419,0.035)); #453670=CARTESIAN_POINT('',(47.2274,34.194419,0.035)); #453671=CARTESIAN_POINT('',(47.2274,34.194419,0.)); #453672=CARTESIAN_POINT('Origin',(47.0786,34.553653,0.)); #453673=CARTESIAN_POINT('',(47.0786,34.553653,0.)); #453674=CARTESIAN_POINT('',(47.0786,34.553653,0.)); #453675=CARTESIAN_POINT('',(47.0786,34.553653,0.035)); #453676=CARTESIAN_POINT('',(47.0786,34.553653,0.035)); #453677=CARTESIAN_POINT('',(47.0786,34.553653,0.)); #453678=CARTESIAN_POINT('Origin',(46.803653,34.8286,0.)); #453679=CARTESIAN_POINT('',(46.803653,34.8286,0.)); #453680=CARTESIAN_POINT('',(46.803653,34.8286,0.)); #453681=CARTESIAN_POINT('',(46.803653,34.8286,0.035)); #453682=CARTESIAN_POINT('',(46.803653,34.8286,0.035)); #453683=CARTESIAN_POINT('',(46.803653,34.8286,0.)); #453684=CARTESIAN_POINT('Origin',(46.444419,34.9774,0.)); #453685=CARTESIAN_POINT('',(46.444419,34.9774,0.)); #453686=CARTESIAN_POINT('',(46.444419,34.9774,0.)); #453687=CARTESIAN_POINT('',(46.444419,34.9774,0.035)); #453688=CARTESIAN_POINT('',(46.444419,34.9774,0.035)); #453689=CARTESIAN_POINT('',(46.444419,34.9774,0.)); #453690=CARTESIAN_POINT('Origin',(46.055581,34.9774,0.)); #453691=CARTESIAN_POINT('',(46.055581,34.9774,0.)); #453692=CARTESIAN_POINT('',(46.055581,34.9774,0.)); #453693=CARTESIAN_POINT('',(46.055581,34.9774,0.035)); #453694=CARTESIAN_POINT('',(46.055581,34.9774,0.035)); #453695=CARTESIAN_POINT('',(46.055581,34.9774,0.)); #453696=CARTESIAN_POINT('Origin',(45.696347,34.8286,0.)); #453697=CARTESIAN_POINT('',(45.696347,34.8286,0.)); #453698=CARTESIAN_POINT('',(45.696347,34.8286,0.)); #453699=CARTESIAN_POINT('',(45.696347,34.8286,0.035)); #453700=CARTESIAN_POINT('',(45.696347,34.8286,0.035)); #453701=CARTESIAN_POINT('',(45.696347,34.8286,0.)); #453702=CARTESIAN_POINT('Origin',(45.4214,34.553653,0.)); #453703=CARTESIAN_POINT('',(45.4214,34.553653,0.)); #453704=CARTESIAN_POINT('',(45.4214,34.553653,0.)); #453705=CARTESIAN_POINT('',(45.4214,34.553653,0.035)); #453706=CARTESIAN_POINT('',(45.4214,34.553653,0.035)); #453707=CARTESIAN_POINT('',(45.4214,34.553653,0.)); #453708=CARTESIAN_POINT('Origin',(45.2726,34.194419,0.)); #453709=CARTESIAN_POINT('',(45.2726,34.194419,0.)); #453710=CARTESIAN_POINT('',(45.2726,34.194419,0.)); #453711=CARTESIAN_POINT('',(45.2726,34.194419,0.035)); #453712=CARTESIAN_POINT('',(45.2726,34.194419,0.035)); #453713=CARTESIAN_POINT('',(45.2726,34.194419,0.)); #453714=CARTESIAN_POINT('Origin',(45.2726,33.805581,0.)); #453715=CARTESIAN_POINT('',(45.2726,33.805581,0.)); #453716=CARTESIAN_POINT('',(45.2726,33.805581,0.)); #453717=CARTESIAN_POINT('',(45.2726,33.805581,0.035)); #453718=CARTESIAN_POINT('',(45.2726,33.805581,0.035)); #453719=CARTESIAN_POINT('',(45.2726,33.805581,0.)); #453720=CARTESIAN_POINT('Origin',(45.4214,33.446347,0.)); #453721=CARTESIAN_POINT('',(45.4214,33.446347,0.)); #453722=CARTESIAN_POINT('',(45.4214,33.446347,0.)); #453723=CARTESIAN_POINT('',(45.4214,33.446347,0.035)); #453724=CARTESIAN_POINT('',(45.4214,33.446347,0.035)); #453725=CARTESIAN_POINT('',(45.4214,33.446347,0.)); #453726=CARTESIAN_POINT('Origin',(45.696347,33.1714,0.)); #453727=CARTESIAN_POINT('',(45.696347,33.1714,0.)); #453728=CARTESIAN_POINT('',(45.696347,33.1714,0.)); #453729=CARTESIAN_POINT('',(45.696347,33.1714,0.035)); #453730=CARTESIAN_POINT('',(45.696347,33.1714,0.035)); #453731=CARTESIAN_POINT('',(45.696347,33.1714,0.)); #453732=CARTESIAN_POINT('Origin',(46.055581,33.0226,0.)); #453733=CARTESIAN_POINT('',(46.055581,33.0226,0.)); #453734=CARTESIAN_POINT('',(46.055581,33.0226,0.035)); #453735=CARTESIAN_POINT('Origin',(39.0722,19.9786,0.)); #453736=CARTESIAN_POINT('',(39.0722,19.9786,0.)); #453737=CARTESIAN_POINT('',(39.101922,19.984634,0.)); #453738=CARTESIAN_POINT('',(39.0722,19.9786,0.)); #453739=CARTESIAN_POINT('',(39.101922,19.984634,0.035)); #453740=CARTESIAN_POINT('',(39.101922,19.984634,0.)); #453741=CARTESIAN_POINT('',(39.0722,19.9786,0.035)); #453742=CARTESIAN_POINT('',(39.0722,19.9786,0.035)); #453743=CARTESIAN_POINT('',(39.0722,19.9786,0.)); #453744=CARTESIAN_POINT('Origin',(37.868491,19.9786,0.)); #453745=CARTESIAN_POINT('',(37.868491,19.9786,0.)); #453746=CARTESIAN_POINT('',(37.868491,19.9786,0.)); #453747=CARTESIAN_POINT('',(37.868491,19.9786,0.035)); #453748=CARTESIAN_POINT('',(37.868491,19.9786,0.035)); #453749=CARTESIAN_POINT('',(37.868491,19.9786,0.)); #453750=CARTESIAN_POINT('Origin',(37.839894,19.984169,0.)); #453751=CARTESIAN_POINT('',(37.839894,19.984169,0.)); #453752=CARTESIAN_POINT('',(37.839894,19.984169,0.)); #453753=CARTESIAN_POINT('',(37.839894,19.984169,0.035)); #453754=CARTESIAN_POINT('',(37.839894,19.984169,0.035)); #453755=CARTESIAN_POINT('',(37.839894,19.984169,0.)); #453756=CARTESIAN_POINT('Origin',(37.814609,20.000919,0.)); #453757=CARTESIAN_POINT('',(37.814609,20.000919,0.)); #453758=CARTESIAN_POINT('',(37.814609,20.000919,0.)); #453759=CARTESIAN_POINT('',(37.814609,20.000919,0.035)); #453760=CARTESIAN_POINT('',(37.814609,20.000919,0.035)); #453761=CARTESIAN_POINT('',(37.814609,20.000919,0.)); #453762=CARTESIAN_POINT('Origin',(37.775628,20.0399,0.)); #453763=CARTESIAN_POINT('',(37.775628,20.0399,0.)); #453764=CARTESIAN_POINT('',(37.775628,20.0399,0.)); #453765=CARTESIAN_POINT('',(37.775628,20.0399,0.035)); #453766=CARTESIAN_POINT('',(37.775628,20.0399,0.035)); #453767=CARTESIAN_POINT('',(37.775628,20.0399,0.)); #453768=CARTESIAN_POINT('Origin',(37.7048,20.0399,0.)); #453769=CARTESIAN_POINT('',(37.7048,20.0399,0.)); #453770=CARTESIAN_POINT('',(37.7048,20.0399,0.)); #453771=CARTESIAN_POINT('',(37.7048,20.0399,0.035)); #453772=CARTESIAN_POINT('',(37.7048,20.0399,0.035)); #453773=CARTESIAN_POINT('',(37.7048,20.0399,0.)); #453774=CARTESIAN_POINT('Origin',(37.677331,20.045022,0.)); #453775=CARTESIAN_POINT('',(37.677331,20.045022,0.)); #453776=CARTESIAN_POINT('',(37.677331,20.045022,0.)); #453777=CARTESIAN_POINT('',(37.677331,20.045022,0.035)); #453778=CARTESIAN_POINT('',(37.677331,20.045022,0.035)); #453779=CARTESIAN_POINT('',(37.677331,20.045022,0.)); #453780=CARTESIAN_POINT('Origin',(37.651784,20.061366,0.)); #453781=CARTESIAN_POINT('',(37.651784,20.061366,0.)); #453782=CARTESIAN_POINT('',(37.651784,20.061366,0.)); #453783=CARTESIAN_POINT('',(37.651784,20.061366,0.035)); #453784=CARTESIAN_POINT('',(37.651784,20.061366,0.035)); #453785=CARTESIAN_POINT('',(37.651784,20.061366,0.)); #453786=CARTESIAN_POINT('Origin',(37.634634,20.086378,0.)); #453787=CARTESIAN_POINT('',(37.634634,20.086378,0.)); #453788=CARTESIAN_POINT('',(37.634634,20.086378,0.)); #453789=CARTESIAN_POINT('',(37.634634,20.086378,0.035)); #453790=CARTESIAN_POINT('',(37.634634,20.086378,0.035)); #453791=CARTESIAN_POINT('',(37.634634,20.086378,0.)); #453792=CARTESIAN_POINT('Origin',(37.6286,20.1161,0.)); #453793=CARTESIAN_POINT('',(37.6286,20.1161,0.)); #453794=CARTESIAN_POINT('',(37.6286,20.1161,0.)); #453795=CARTESIAN_POINT('',(37.6286,20.1161,0.035)); #453796=CARTESIAN_POINT('',(37.6286,20.1161,0.035)); #453797=CARTESIAN_POINT('',(37.6286,20.1161,0.)); #453798=CARTESIAN_POINT('Origin',(37.6286,20.219691,0.)); #453799=CARTESIAN_POINT('',(37.6286,20.219691,0.)); #453800=CARTESIAN_POINT('',(37.6286,20.219691,0.)); #453801=CARTESIAN_POINT('',(37.6286,20.219691,0.035)); #453802=CARTESIAN_POINT('',(37.6286,20.219691,0.035)); #453803=CARTESIAN_POINT('',(37.6286,20.219691,0.)); #453804=CARTESIAN_POINT('Origin',(37.219691,20.6286,0.)); #453805=CARTESIAN_POINT('',(37.219691,20.6286,0.)); #453806=CARTESIAN_POINT('',(37.219691,20.6286,0.)); #453807=CARTESIAN_POINT('',(37.219691,20.6286,0.035)); #453808=CARTESIAN_POINT('',(37.219691,20.6286,0.035)); #453809=CARTESIAN_POINT('',(37.219691,20.6286,0.)); #453810=CARTESIAN_POINT('Origin',(37.1161,20.6286,0.)); #453811=CARTESIAN_POINT('',(37.1161,20.6286,0.)); #453812=CARTESIAN_POINT('',(37.1161,20.6286,0.)); #453813=CARTESIAN_POINT('',(37.1161,20.6286,0.035)); #453814=CARTESIAN_POINT('',(37.1161,20.6286,0.035)); #453815=CARTESIAN_POINT('',(37.1161,20.6286,0.)); #453816=CARTESIAN_POINT('Origin',(37.088631,20.633722,0.)); #453817=CARTESIAN_POINT('',(37.088631,20.633722,0.)); #453818=CARTESIAN_POINT('',(37.088631,20.633722,0.)); #453819=CARTESIAN_POINT('',(37.088631,20.633722,0.035)); #453820=CARTESIAN_POINT('',(37.088631,20.633722,0.035)); #453821=CARTESIAN_POINT('',(37.088631,20.633722,0.)); #453822=CARTESIAN_POINT('Origin',(37.063084,20.650066,0.)); #453823=CARTESIAN_POINT('',(37.063084,20.650066,0.)); #453824=CARTESIAN_POINT('',(37.063084,20.650066,0.)); #453825=CARTESIAN_POINT('',(37.063084,20.650066,0.035)); #453826=CARTESIAN_POINT('',(37.063084,20.650066,0.035)); #453827=CARTESIAN_POINT('',(37.063084,20.650066,0.)); #453828=CARTESIAN_POINT('Origin',(37.045934,20.675078,0.)); #453829=CARTESIAN_POINT('',(37.045934,20.675078,0.)); #453830=CARTESIAN_POINT('',(37.045934,20.675078,0.)); #453831=CARTESIAN_POINT('',(37.045934,20.675078,0.035)); #453832=CARTESIAN_POINT('',(37.045934,20.675078,0.035)); #453833=CARTESIAN_POINT('',(37.045934,20.675078,0.)); #453834=CARTESIAN_POINT('Origin',(37.0399,20.7048,0.)); #453835=CARTESIAN_POINT('',(37.0399,20.7048,0.)); #453836=CARTESIAN_POINT('',(37.0399,20.7048,0.)); #453837=CARTESIAN_POINT('',(37.0399,20.7048,0.035)); #453838=CARTESIAN_POINT('',(37.0399,20.7048,0.035)); #453839=CARTESIAN_POINT('',(37.0399,20.7048,0.)); #453840=CARTESIAN_POINT('Origin',(37.0399,20.775628,0.)); #453841=CARTESIAN_POINT('',(37.0399,20.775628,0.)); #453842=CARTESIAN_POINT('',(37.0399,20.775628,0.)); #453843=CARTESIAN_POINT('',(37.0399,20.775628,0.035)); #453844=CARTESIAN_POINT('',(37.0399,20.775628,0.035)); #453845=CARTESIAN_POINT('',(37.0399,20.775628,0.)); #453846=CARTESIAN_POINT('Origin',(36.950628,20.8649,0.)); #453847=CARTESIAN_POINT('',(36.950628,20.8649,0.)); #453848=CARTESIAN_POINT('',(36.950628,20.8649,0.)); #453849=CARTESIAN_POINT('',(36.950628,20.8649,0.035)); #453850=CARTESIAN_POINT('',(36.950628,20.8649,0.035)); #453851=CARTESIAN_POINT('',(36.950628,20.8649,0.)); #453852=CARTESIAN_POINT('Origin',(36.5548,20.8649,0.)); #453853=CARTESIAN_POINT('',(36.5548,20.8649,0.)); #453854=CARTESIAN_POINT('',(36.5548,20.8649,0.)); #453855=CARTESIAN_POINT('',(36.5548,20.8649,0.035)); #453856=CARTESIAN_POINT('',(36.5548,20.8649,0.035)); #453857=CARTESIAN_POINT('',(36.5548,20.8649,0.)); #453858=CARTESIAN_POINT('Origin',(36.527331,20.870022,0.)); #453859=CARTESIAN_POINT('',(36.527331,20.870022,0.)); #453860=CARTESIAN_POINT('',(36.527331,20.870022,0.)); #453861=CARTESIAN_POINT('',(36.527331,20.870022,0.035)); #453862=CARTESIAN_POINT('',(36.527331,20.870022,0.035)); #453863=CARTESIAN_POINT('',(36.527331,20.870022,0.)); #453864=CARTESIAN_POINT('Origin',(36.501784,20.886366,0.)); #453865=CARTESIAN_POINT('',(36.501784,20.886366,0.)); #453866=CARTESIAN_POINT('',(36.501784,20.886366,0.)); #453867=CARTESIAN_POINT('',(36.501784,20.886366,0.035)); #453868=CARTESIAN_POINT('',(36.501784,20.886366,0.035)); #453869=CARTESIAN_POINT('',(36.501784,20.886366,0.)); #453870=CARTESIAN_POINT('Origin',(36.484634,20.911378,0.)); #453871=CARTESIAN_POINT('',(36.484634,20.911378,0.)); #453872=CARTESIAN_POINT('',(36.484634,20.911378,0.)); #453873=CARTESIAN_POINT('',(36.484634,20.911378,0.035)); #453874=CARTESIAN_POINT('',(36.484634,20.911378,0.035)); #453875=CARTESIAN_POINT('',(36.484634,20.911378,0.)); #453876=CARTESIAN_POINT('Origin',(36.4786,20.9411,0.)); #453877=CARTESIAN_POINT('',(36.4786,20.9411,0.)); #453878=CARTESIAN_POINT('',(36.4786,20.9411,0.)); #453879=CARTESIAN_POINT('',(36.4786,20.9411,0.035)); #453880=CARTESIAN_POINT('',(36.4786,20.9411,0.035)); #453881=CARTESIAN_POINT('',(36.4786,20.9411,0.)); #453882=CARTESIAN_POINT('Origin',(36.4786,21.722059,0.)); #453883=CARTESIAN_POINT('',(36.4786,21.722059,0.)); #453884=CARTESIAN_POINT('',(36.4786,21.722059,0.)); #453885=CARTESIAN_POINT('',(36.4786,21.722059,0.035)); #453886=CARTESIAN_POINT('',(36.4786,21.722059,0.035)); #453887=CARTESIAN_POINT('',(36.4786,21.722059,0.)); #453888=CARTESIAN_POINT('Origin',(36.484169,21.750656,0.)); #453889=CARTESIAN_POINT('',(36.484169,21.750656,0.)); #453890=CARTESIAN_POINT('',(36.484169,21.750656,0.)); #453891=CARTESIAN_POINT('',(36.484169,21.750656,0.035)); #453892=CARTESIAN_POINT('',(36.484169,21.750656,0.035)); #453893=CARTESIAN_POINT('',(36.484169,21.750656,0.)); #453894=CARTESIAN_POINT('Origin',(36.500919,21.775941,0.)); #453895=CARTESIAN_POINT('',(36.500919,21.775941,0.)); #453896=CARTESIAN_POINT('',(36.500919,21.775941,0.)); #453897=CARTESIAN_POINT('',(36.500919,21.775941,0.035)); #453898=CARTESIAN_POINT('',(36.500919,21.775941,0.035)); #453899=CARTESIAN_POINT('',(36.500919,21.775941,0.)); #453900=CARTESIAN_POINT('Origin',(37.141319,22.416341,0.)); #453901=CARTESIAN_POINT('',(37.141319,22.416341,0.)); #453902=CARTESIAN_POINT('',(37.141319,22.416341,0.)); #453903=CARTESIAN_POINT('',(37.141319,22.416341,0.035)); #453904=CARTESIAN_POINT('',(37.141319,22.416341,0.035)); #453905=CARTESIAN_POINT('',(37.141319,22.416341,0.)); #453906=CARTESIAN_POINT('Origin',(37.163256,22.431641,0.)); #453907=CARTESIAN_POINT('',(37.163256,22.431641,0.)); #453908=CARTESIAN_POINT('',(37.163256,22.431641,0.)); #453909=CARTESIAN_POINT('',(37.163256,22.431641,0.035)); #453910=CARTESIAN_POINT('',(37.163256,22.431641,0.035)); #453911=CARTESIAN_POINT('',(37.163256,22.431641,0.)); #453912=CARTESIAN_POINT('Origin',(37.192772,22.438622,0.)); #453913=CARTESIAN_POINT('',(37.192772,22.438622,0.)); #453914=CARTESIAN_POINT('',(37.192772,22.438622,0.)); #453915=CARTESIAN_POINT('',(37.192772,22.438622,0.035)); #453916=CARTESIAN_POINT('',(37.192772,22.438622,0.035)); #453917=CARTESIAN_POINT('',(37.192772,22.438622,0.)); #453918=CARTESIAN_POINT('Origin',(37.222669,22.433537,0.)); #453919=CARTESIAN_POINT('',(37.222669,22.433537,0.)); #453920=CARTESIAN_POINT('',(37.222669,22.433537,0.)); #453921=CARTESIAN_POINT('',(37.222669,22.433537,0.035)); #453922=CARTESIAN_POINT('',(37.222669,22.433537,0.035)); #453923=CARTESIAN_POINT('',(37.222669,22.433537,0.)); #453924=CARTESIAN_POINT('Origin',(37.248216,22.417194,0.)); #453925=CARTESIAN_POINT('',(37.248216,22.417194,0.)); #453926=CARTESIAN_POINT('',(37.248216,22.417194,0.)); #453927=CARTESIAN_POINT('',(37.248216,22.417194,0.035)); #453928=CARTESIAN_POINT('',(37.248216,22.417194,0.035)); #453929=CARTESIAN_POINT('',(37.248216,22.417194,0.)); #453930=CARTESIAN_POINT('Origin',(37.265366,22.392181,0.)); #453931=CARTESIAN_POINT('',(37.265366,22.392181,0.)); #453932=CARTESIAN_POINT('',(37.265366,22.392181,0.)); #453933=CARTESIAN_POINT('',(37.265366,22.392181,0.035)); #453934=CARTESIAN_POINT('',(37.265366,22.392181,0.035)); #453935=CARTESIAN_POINT('',(37.265366,22.392181,0.)); #453936=CARTESIAN_POINT('Origin',(37.2714,22.362459,0.)); #453937=CARTESIAN_POINT('',(37.2714,22.362459,0.)); #453938=CARTESIAN_POINT('',(37.2714,22.362459,0.)); #453939=CARTESIAN_POINT('',(37.2714,22.362459,0.035)); #453940=CARTESIAN_POINT('',(37.2714,22.362459,0.035)); #453941=CARTESIAN_POINT('',(37.2714,22.362459,0.)); #453942=CARTESIAN_POINT('Origin',(37.2714,21.657397,0.)); #453943=CARTESIAN_POINT('',(37.2714,21.657397,0.)); #453944=CARTESIAN_POINT('',(37.2714,21.657397,0.)); #453945=CARTESIAN_POINT('',(37.2714,21.657397,0.035)); #453946=CARTESIAN_POINT('',(37.2714,21.657397,0.035)); #453947=CARTESIAN_POINT('',(37.2714,21.657397,0.)); #453948=CARTESIAN_POINT('Origin',(37.265831,21.6288,0.)); #453949=CARTESIAN_POINT('',(37.265831,21.6288,0.)); #453950=CARTESIAN_POINT('',(37.265831,21.6288,0.)); #453951=CARTESIAN_POINT('',(37.265831,21.6288,0.035)); #453952=CARTESIAN_POINT('',(37.265831,21.6288,0.035)); #453953=CARTESIAN_POINT('',(37.265831,21.6288,0.)); #453954=CARTESIAN_POINT('Origin',(37.249081,21.603516,0.)); #453955=CARTESIAN_POINT('',(37.249081,21.603516,0.)); #453956=CARTESIAN_POINT('',(37.249081,21.603516,0.)); #453957=CARTESIAN_POINT('',(37.249081,21.603516,0.035)); #453958=CARTESIAN_POINT('',(37.249081,21.603516,0.035)); #453959=CARTESIAN_POINT('',(37.249081,21.603516,0.)); #453960=CARTESIAN_POINT('Origin',(37.0726,21.427034,0.)); #453961=CARTESIAN_POINT('',(37.0726,21.427034,0.)); #453962=CARTESIAN_POINT('',(37.0726,21.427034,0.)); #453963=CARTESIAN_POINT('',(37.0726,21.427034,0.035)); #453964=CARTESIAN_POINT('',(37.0726,21.427034,0.035)); #453965=CARTESIAN_POINT('',(37.0726,21.427034,0.)); #453966=CARTESIAN_POINT('Origin',(37.0726,21.072966,0.)); #453967=CARTESIAN_POINT('',(37.0726,21.072966,0.)); #453968=CARTESIAN_POINT('',(37.0726,21.072966,0.)); #453969=CARTESIAN_POINT('',(37.0726,21.072966,0.035)); #453970=CARTESIAN_POINT('',(37.0726,21.072966,0.035)); #453971=CARTESIAN_POINT('',(37.0726,21.072966,0.)); #453972=CARTESIAN_POINT('Origin',(37.322966,20.8226,0.)); #453973=CARTESIAN_POINT('',(37.322966,20.8226,0.)); #453974=CARTESIAN_POINT('',(37.322966,20.8226,0.)); #453975=CARTESIAN_POINT('',(37.322966,20.8226,0.035)); #453976=CARTESIAN_POINT('',(37.322966,20.8226,0.035)); #453977=CARTESIAN_POINT('',(37.322966,20.8226,0.)); #453978=CARTESIAN_POINT('Origin',(37.677034,20.8226,0.)); #453979=CARTESIAN_POINT('',(37.677034,20.8226,0.)); #453980=CARTESIAN_POINT('',(37.677034,20.8226,0.)); #453981=CARTESIAN_POINT('',(37.677034,20.8226,0.035)); #453982=CARTESIAN_POINT('',(37.677034,20.8226,0.035)); #453983=CARTESIAN_POINT('',(37.677034,20.8226,0.)); #453984=CARTESIAN_POINT('Origin',(37.9274,21.072966,0.)); #453985=CARTESIAN_POINT('',(37.9274,21.072966,0.)); #453986=CARTESIAN_POINT('',(37.9274,21.072966,0.)); #453987=CARTESIAN_POINT('',(37.9274,21.072966,0.035)); #453988=CARTESIAN_POINT('',(37.9274,21.072966,0.035)); #453989=CARTESIAN_POINT('',(37.9274,21.072966,0.)); #453990=CARTESIAN_POINT('Origin',(37.9274,21.427034,0.)); #453991=CARTESIAN_POINT('',(37.9274,21.427034,0.)); #453992=CARTESIAN_POINT('',(37.9274,21.427034,0.)); #453993=CARTESIAN_POINT('',(37.9274,21.427034,0.035)); #453994=CARTESIAN_POINT('',(37.9274,21.427034,0.035)); #453995=CARTESIAN_POINT('',(37.9274,21.427034,0.)); #453996=CARTESIAN_POINT('Origin',(37.750919,21.603516,0.)); #453997=CARTESIAN_POINT('',(37.750919,21.603516,0.)); #453998=CARTESIAN_POINT('',(37.750919,21.603516,0.)); #453999=CARTESIAN_POINT('',(37.750919,21.603516,0.035)); #454000=CARTESIAN_POINT('',(37.750919,21.603516,0.035)); #454001=CARTESIAN_POINT('',(37.750919,21.603516,0.)); #454002=CARTESIAN_POINT('Origin',(37.734634,21.627675,0.)); #454003=CARTESIAN_POINT('',(37.734634,21.627675,0.)); #454004=CARTESIAN_POINT('',(37.734634,21.627675,0.)); #454005=CARTESIAN_POINT('',(37.734634,21.627675,0.035)); #454006=CARTESIAN_POINT('',(37.734634,21.627675,0.035)); #454007=CARTESIAN_POINT('',(37.734634,21.627675,0.)); #454008=CARTESIAN_POINT('Origin',(37.7286,21.657397,0.)); #454009=CARTESIAN_POINT('',(37.7286,21.657397,0.)); #454010=CARTESIAN_POINT('',(37.7286,21.657397,0.)); #454011=CARTESIAN_POINT('',(37.7286,21.657397,0.035)); #454012=CARTESIAN_POINT('',(37.7286,21.657397,0.035)); #454013=CARTESIAN_POINT('',(37.7286,21.657397,0.)); #454014=CARTESIAN_POINT('Origin',(37.7286,22.441722,0.)); #454015=CARTESIAN_POINT('',(37.7286,22.441722,0.)); #454016=CARTESIAN_POINT('',(37.7286,22.441722,0.)); #454017=CARTESIAN_POINT('',(37.7286,22.441722,0.035)); #454018=CARTESIAN_POINT('',(37.7286,22.441722,0.035)); #454019=CARTESIAN_POINT('',(37.7286,22.441722,0.)); #454020=CARTESIAN_POINT('Origin',(37.734169,22.470319,0.)); #454021=CARTESIAN_POINT('',(37.734169,22.470319,0.)); #454022=CARTESIAN_POINT('',(37.734169,22.470319,0.)); #454023=CARTESIAN_POINT('',(37.734169,22.470319,0.035)); #454024=CARTESIAN_POINT('',(37.734169,22.470319,0.035)); #454025=CARTESIAN_POINT('',(37.734169,22.470319,0.)); #454026=CARTESIAN_POINT('Origin',(37.750919,22.495603,0.)); #454027=CARTESIAN_POINT('',(37.750919,22.495603,0.)); #454028=CARTESIAN_POINT('',(37.750919,22.495603,0.)); #454029=CARTESIAN_POINT('',(37.750919,22.495603,0.035)); #454030=CARTESIAN_POINT('',(37.750919,22.495603,0.035)); #454031=CARTESIAN_POINT('',(37.750919,22.495603,0.)); #454032=CARTESIAN_POINT('Origin',(38.754397,23.499081,0.)); #454033=CARTESIAN_POINT('',(38.754397,23.499081,0.)); #454034=CARTESIAN_POINT('',(38.754397,23.499081,0.)); #454035=CARTESIAN_POINT('',(38.754397,23.499081,0.035)); #454036=CARTESIAN_POINT('',(38.754397,23.499081,0.035)); #454037=CARTESIAN_POINT('',(38.754397,23.499081,0.)); #454038=CARTESIAN_POINT('Origin',(38.778556,23.515366,0.)); #454039=CARTESIAN_POINT('',(38.778556,23.515366,0.)); #454040=CARTESIAN_POINT('',(38.778556,23.515366,0.)); #454041=CARTESIAN_POINT('',(38.778556,23.515366,0.035)); #454042=CARTESIAN_POINT('',(38.778556,23.515366,0.035)); #454043=CARTESIAN_POINT('',(38.778556,23.515366,0.)); #454044=CARTESIAN_POINT('Origin',(38.808278,23.5214,0.)); #454045=CARTESIAN_POINT('',(38.808278,23.5214,0.)); #454046=CARTESIAN_POINT('',(38.808278,23.5214,0.)); #454047=CARTESIAN_POINT('',(38.808278,23.5214,0.035)); #454048=CARTESIAN_POINT('',(38.808278,23.5214,0.035)); #454049=CARTESIAN_POINT('',(38.808278,23.5214,0.)); #454050=CARTESIAN_POINT('Origin',(45.106691,23.5214,0.)); #454051=CARTESIAN_POINT('',(45.106691,23.5214,0.)); #454052=CARTESIAN_POINT('',(45.106691,23.5214,0.)); #454053=CARTESIAN_POINT('',(45.106691,23.5214,0.035)); #454054=CARTESIAN_POINT('',(45.106691,23.5214,0.035)); #454055=CARTESIAN_POINT('',(45.106691,23.5214,0.)); #454056=CARTESIAN_POINT('Origin',(45.9906,24.405309,0.)); #454057=CARTESIAN_POINT('',(45.9906,24.405309,0.)); #454058=CARTESIAN_POINT('',(45.9906,24.405309,0.)); #454059=CARTESIAN_POINT('',(45.9906,24.405309,0.035)); #454060=CARTESIAN_POINT('',(45.9906,24.405309,0.035)); #454061=CARTESIAN_POINT('',(45.9906,24.405309,0.)); #454062=CARTESIAN_POINT('Origin',(45.9906,25.3269,0.)); #454063=CARTESIAN_POINT('',(45.9906,25.3269,0.)); #454064=CARTESIAN_POINT('',(45.9906,25.3269,0.)); #454065=CARTESIAN_POINT('',(45.9906,25.3269,0.035)); #454066=CARTESIAN_POINT('',(45.9906,25.3269,0.035)); #454067=CARTESIAN_POINT('',(45.9906,25.3269,0.)); #454068=CARTESIAN_POINT('Origin',(45.995722,25.354369,0.)); #454069=CARTESIAN_POINT('',(45.995722,25.354369,0.)); #454070=CARTESIAN_POINT('',(45.995722,25.354369,0.)); #454071=CARTESIAN_POINT('',(45.995722,25.354369,0.035)); #454072=CARTESIAN_POINT('',(45.995722,25.354369,0.035)); #454073=CARTESIAN_POINT('',(45.995722,25.354369,0.)); #454074=CARTESIAN_POINT('Origin',(46.012066,25.379916,0.)); #454075=CARTESIAN_POINT('',(46.012066,25.379916,0.)); #454076=CARTESIAN_POINT('',(46.012066,25.379916,0.)); #454077=CARTESIAN_POINT('',(46.012066,25.379916,0.035)); #454078=CARTESIAN_POINT('',(46.012066,25.379916,0.035)); #454079=CARTESIAN_POINT('',(46.012066,25.379916,0.)); #454080=CARTESIAN_POINT('Origin',(46.037078,25.397066,0.)); #454081=CARTESIAN_POINT('',(46.037078,25.397066,0.)); #454082=CARTESIAN_POINT('',(46.037078,25.397066,0.)); #454083=CARTESIAN_POINT('',(46.037078,25.397066,0.035)); #454084=CARTESIAN_POINT('',(46.037078,25.397066,0.035)); #454085=CARTESIAN_POINT('',(46.037078,25.397066,0.)); #454086=CARTESIAN_POINT('Origin',(46.0668,25.4031,0.)); #454087=CARTESIAN_POINT('',(46.0668,25.4031,0.)); #454088=CARTESIAN_POINT('',(46.0668,25.4031,0.)); #454089=CARTESIAN_POINT('',(46.0668,25.4031,0.035)); #454090=CARTESIAN_POINT('',(46.0668,25.4031,0.035)); #454091=CARTESIAN_POINT('',(46.0668,25.4031,0.)); #454092=CARTESIAN_POINT('Origin',(46.244228,25.4031,0.)); #454093=CARTESIAN_POINT('',(46.244228,25.4031,0.)); #454094=CARTESIAN_POINT('',(46.244228,25.4031,0.)); #454095=CARTESIAN_POINT('',(46.244228,25.4031,0.035)); #454096=CARTESIAN_POINT('',(46.244228,25.4031,0.035)); #454097=CARTESIAN_POINT('',(46.244228,25.4031,0.)); #454098=CARTESIAN_POINT('Origin',(46.3335,25.492372,0.)); #454099=CARTESIAN_POINT('',(46.3335,25.492372,0.)); #454100=CARTESIAN_POINT('',(46.3335,25.492372,0.)); #454101=CARTESIAN_POINT('',(46.3335,25.492372,0.035)); #454102=CARTESIAN_POINT('',(46.3335,25.492372,0.035)); #454103=CARTESIAN_POINT('',(46.3335,25.492372,0.)); #454104=CARTESIAN_POINT('Origin',(46.3335,26.507628,0.)); #454105=CARTESIAN_POINT('',(46.3335,26.507628,0.)); #454106=CARTESIAN_POINT('',(46.3335,26.507628,0.)); #454107=CARTESIAN_POINT('',(46.3335,26.507628,0.035)); #454108=CARTESIAN_POINT('',(46.3335,26.507628,0.035)); #454109=CARTESIAN_POINT('',(46.3335,26.507628,0.)); #454110=CARTESIAN_POINT('Origin',(46.244228,26.5969,0.)); #454111=CARTESIAN_POINT('',(46.244228,26.5969,0.)); #454112=CARTESIAN_POINT('',(46.244228,26.5969,0.)); #454113=CARTESIAN_POINT('',(46.244228,26.5969,0.035)); #454114=CARTESIAN_POINT('',(46.244228,26.5969,0.035)); #454115=CARTESIAN_POINT('',(46.244228,26.5969,0.)); #454116=CARTESIAN_POINT('Origin',(45.279772,26.5969,0.)); #454117=CARTESIAN_POINT('',(45.279772,26.5969,0.)); #454118=CARTESIAN_POINT('',(45.279772,26.5969,0.)); #454119=CARTESIAN_POINT('',(45.279772,26.5969,0.035)); #454120=CARTESIAN_POINT('',(45.279772,26.5969,0.035)); #454121=CARTESIAN_POINT('',(45.279772,26.5969,0.)); #454122=CARTESIAN_POINT('Origin',(45.1905,26.507628,0.)); #454123=CARTESIAN_POINT('',(45.1905,26.507628,0.)); #454124=CARTESIAN_POINT('',(45.1905,26.507628,0.)); #454125=CARTESIAN_POINT('',(45.1905,26.507628,0.035)); #454126=CARTESIAN_POINT('',(45.1905,26.507628,0.035)); #454127=CARTESIAN_POINT('',(45.1905,26.507628,0.)); #454128=CARTESIAN_POINT('Origin',(45.1905,25.492372,0.)); #454129=CARTESIAN_POINT('',(45.1905,25.492372,0.)); #454130=CARTESIAN_POINT('',(45.1905,25.492372,0.)); #454131=CARTESIAN_POINT('',(45.1905,25.492372,0.035)); #454132=CARTESIAN_POINT('',(45.1905,25.492372,0.035)); #454133=CARTESIAN_POINT('',(45.1905,25.492372,0.)); #454134=CARTESIAN_POINT('Origin',(45.279772,25.4031,0.)); #454135=CARTESIAN_POINT('',(45.279772,25.4031,0.)); #454136=CARTESIAN_POINT('',(45.279772,25.4031,0.)); #454137=CARTESIAN_POINT('',(45.279772,25.4031,0.035)); #454138=CARTESIAN_POINT('',(45.279772,25.4031,0.035)); #454139=CARTESIAN_POINT('',(45.279772,25.4031,0.)); #454140=CARTESIAN_POINT('Origin',(45.4572,25.4031,0.)); #454141=CARTESIAN_POINT('',(45.4572,25.4031,0.)); #454142=CARTESIAN_POINT('',(45.4572,25.4031,0.)); #454143=CARTESIAN_POINT('',(45.4572,25.4031,0.035)); #454144=CARTESIAN_POINT('',(45.4572,25.4031,0.035)); #454145=CARTESIAN_POINT('',(45.4572,25.4031,0.)); #454146=CARTESIAN_POINT('Origin',(45.484669,25.397978,0.)); #454147=CARTESIAN_POINT('',(45.484669,25.397978,0.)); #454148=CARTESIAN_POINT('',(45.484669,25.397978,0.)); #454149=CARTESIAN_POINT('',(45.484669,25.397978,0.035)); #454150=CARTESIAN_POINT('',(45.484669,25.397978,0.035)); #454151=CARTESIAN_POINT('',(45.484669,25.397978,0.)); #454152=CARTESIAN_POINT('Origin',(45.510216,25.381634,0.)); #454153=CARTESIAN_POINT('',(45.510216,25.381634,0.)); #454154=CARTESIAN_POINT('',(45.510216,25.381634,0.)); #454155=CARTESIAN_POINT('',(45.510216,25.381634,0.035)); #454156=CARTESIAN_POINT('',(45.510216,25.381634,0.035)); #454157=CARTESIAN_POINT('',(45.510216,25.381634,0.)); #454158=CARTESIAN_POINT('Origin',(45.527366,25.356622,0.)); #454159=CARTESIAN_POINT('',(45.527366,25.356622,0.)); #454160=CARTESIAN_POINT('',(45.527366,25.356622,0.)); #454161=CARTESIAN_POINT('',(45.527366,25.356622,0.035)); #454162=CARTESIAN_POINT('',(45.527366,25.356622,0.035)); #454163=CARTESIAN_POINT('',(45.527366,25.356622,0.)); #454164=CARTESIAN_POINT('Origin',(45.5334,25.3269,0.)); #454165=CARTESIAN_POINT('',(45.5334,25.3269,0.)); #454166=CARTESIAN_POINT('',(45.5334,25.3269,0.)); #454167=CARTESIAN_POINT('',(45.5334,25.3269,0.035)); #454168=CARTESIAN_POINT('',(45.5334,25.3269,0.035)); #454169=CARTESIAN_POINT('',(45.5334,25.3269,0.)); #454170=CARTESIAN_POINT('Origin',(45.5334,24.626253,0.)); #454171=CARTESIAN_POINT('',(45.5334,24.626253,0.)); #454172=CARTESIAN_POINT('',(45.5334,24.626253,0.)); #454173=CARTESIAN_POINT('',(45.5334,24.626253,0.035)); #454174=CARTESIAN_POINT('',(45.5334,24.626253,0.035)); #454175=CARTESIAN_POINT('',(45.5334,24.626253,0.)); #454176=CARTESIAN_POINT('Origin',(45.527831,24.597656,0.)); #454177=CARTESIAN_POINT('',(45.527831,24.597656,0.)); #454178=CARTESIAN_POINT('',(45.527831,24.597656,0.)); #454179=CARTESIAN_POINT('',(45.527831,24.597656,0.035)); #454180=CARTESIAN_POINT('',(45.527831,24.597656,0.035)); #454181=CARTESIAN_POINT('',(45.527831,24.597656,0.)); #454182=CARTESIAN_POINT('Origin',(45.511081,24.572372,0.)); #454183=CARTESIAN_POINT('',(45.511081,24.572372,0.)); #454184=CARTESIAN_POINT('',(45.511081,24.572372,0.)); #454185=CARTESIAN_POINT('',(45.511081,24.572372,0.035)); #454186=CARTESIAN_POINT('',(45.511081,24.572372,0.035)); #454187=CARTESIAN_POINT('',(45.511081,24.572372,0.)); #454188=CARTESIAN_POINT('Origin',(44.939628,24.000919,0.)); #454189=CARTESIAN_POINT('',(44.939628,24.000919,0.)); #454190=CARTESIAN_POINT('',(44.939628,24.000919,0.)); #454191=CARTESIAN_POINT('',(44.939628,24.000919,0.035)); #454192=CARTESIAN_POINT('',(44.939628,24.000919,0.035)); #454193=CARTESIAN_POINT('',(44.939628,24.000919,0.)); #454194=CARTESIAN_POINT('Origin',(44.915469,23.984634,0.)); #454195=CARTESIAN_POINT('',(44.915469,23.984634,0.)); #454196=CARTESIAN_POINT('',(44.915469,23.984634,0.)); #454197=CARTESIAN_POINT('',(44.915469,23.984634,0.035)); #454198=CARTESIAN_POINT('',(44.915469,23.984634,0.035)); #454199=CARTESIAN_POINT('',(44.915469,23.984634,0.)); #454200=CARTESIAN_POINT('Origin',(44.885747,23.9786,0.)); #454201=CARTESIAN_POINT('',(44.885747,23.9786,0.)); #454202=CARTESIAN_POINT('',(44.885747,23.9786,0.)); #454203=CARTESIAN_POINT('',(44.885747,23.9786,0.035)); #454204=CARTESIAN_POINT('',(44.885747,23.9786,0.035)); #454205=CARTESIAN_POINT('',(44.885747,23.9786,0.)); #454206=CARTESIAN_POINT('Origin',(38.887541,23.9786,0.)); #454207=CARTESIAN_POINT('',(38.887541,23.9786,0.)); #454208=CARTESIAN_POINT('',(38.887541,23.9786,0.)); #454209=CARTESIAN_POINT('',(38.887541,23.9786,0.035)); #454210=CARTESIAN_POINT('',(38.887541,23.9786,0.035)); #454211=CARTESIAN_POINT('',(38.887541,23.9786,0.)); #454212=CARTESIAN_POINT('Origin',(38.861209,23.983294,0.)); #454213=CARTESIAN_POINT('',(38.861209,23.983294,0.)); #454214=CARTESIAN_POINT('',(38.861209,23.983294,0.)); #454215=CARTESIAN_POINT('',(38.861209,23.983294,0.035)); #454216=CARTESIAN_POINT('',(38.861209,23.983294,0.035)); #454217=CARTESIAN_POINT('',(38.861209,23.983294,0.)); #454218=CARTESIAN_POINT('Origin',(38.835403,23.999228,0.)); #454219=CARTESIAN_POINT('',(38.835403,23.999228,0.)); #454220=CARTESIAN_POINT('',(38.835403,23.999228,0.)); #454221=CARTESIAN_POINT('',(38.835403,23.999228,0.035)); #454222=CARTESIAN_POINT('',(38.835403,23.999228,0.035)); #454223=CARTESIAN_POINT('',(38.835403,23.999228,0.)); #454224=CARTESIAN_POINT('Origin',(38.817859,24.023966,0.)); #454225=CARTESIAN_POINT('',(38.817859,24.023966,0.)); #454226=CARTESIAN_POINT('',(38.817859,24.023966,0.)); #454227=CARTESIAN_POINT('',(38.817859,24.023966,0.035)); #454228=CARTESIAN_POINT('',(38.817859,24.023966,0.035)); #454229=CARTESIAN_POINT('',(38.817859,24.023966,0.)); #454230=CARTESIAN_POINT('Origin',(38.81135,24.053584,0.)); #454231=CARTESIAN_POINT('',(38.81135,24.053584,0.)); #454232=CARTESIAN_POINT('',(38.81135,24.053584,0.)); #454233=CARTESIAN_POINT('',(38.81135,24.053584,0.035)); #454234=CARTESIAN_POINT('',(38.81135,24.053584,0.035)); #454235=CARTESIAN_POINT('',(38.81135,24.053584,0.)); #454236=CARTESIAN_POINT('Origin',(38.816909,24.083397,0.)); #454237=CARTESIAN_POINT('',(38.816909,24.083397,0.)); #454238=CARTESIAN_POINT('',(38.816909,24.083397,0.)); #454239=CARTESIAN_POINT('',(38.816909,24.083397,0.035)); #454240=CARTESIAN_POINT('',(38.816909,24.083397,0.035)); #454241=CARTESIAN_POINT('',(38.816909,24.083397,0.)); #454242=CARTESIAN_POINT('Origin',(38.833659,24.108681,0.)); #454243=CARTESIAN_POINT('',(38.833659,24.108681,0.)); #454244=CARTESIAN_POINT('',(38.833659,24.108681,0.)); #454245=CARTESIAN_POINT('',(38.833659,24.108681,0.035)); #454246=CARTESIAN_POINT('',(38.833659,24.108681,0.035)); #454247=CARTESIAN_POINT('',(38.833659,24.108681,0.)); #454248=CARTESIAN_POINT('Origin',(39.1036,24.378622,0.)); #454249=CARTESIAN_POINT('',(39.1036,24.378622,0.)); #454250=CARTESIAN_POINT('',(39.1036,24.378622,0.)); #454251=CARTESIAN_POINT('',(39.1036,24.378622,0.035)); #454252=CARTESIAN_POINT('',(39.1036,24.378622,0.035)); #454253=CARTESIAN_POINT('',(39.1036,24.378622,0.)); #454254=CARTESIAN_POINT('Origin',(39.1036,26.029084,0.)); #454255=CARTESIAN_POINT('',(39.1036,26.029084,0.)); #454256=CARTESIAN_POINT('',(39.1036,26.029084,0.)); #454257=CARTESIAN_POINT('',(39.1036,26.029084,0.035)); #454258=CARTESIAN_POINT('',(39.1036,26.029084,0.035)); #454259=CARTESIAN_POINT('',(39.1036,26.029084,0.)); #454260=CARTESIAN_POINT('Origin',(39.109169,26.057681,0.)); #454261=CARTESIAN_POINT('',(39.109169,26.057681,0.)); #454262=CARTESIAN_POINT('',(39.109169,26.057681,0.)); #454263=CARTESIAN_POINT('',(39.109169,26.057681,0.035)); #454264=CARTESIAN_POINT('',(39.109169,26.057681,0.035)); #454265=CARTESIAN_POINT('',(39.109169,26.057681,0.)); #454266=CARTESIAN_POINT('Origin',(39.125919,26.082966,0.)); #454267=CARTESIAN_POINT('',(39.125919,26.082966,0.)); #454268=CARTESIAN_POINT('',(39.125919,26.082966,0.)); #454269=CARTESIAN_POINT('',(39.125919,26.082966,0.035)); #454270=CARTESIAN_POINT('',(39.125919,26.082966,0.035)); #454271=CARTESIAN_POINT('',(39.125919,26.082966,0.)); #454272=CARTESIAN_POINT('Origin',(40.192519,27.149566,0.)); #454273=CARTESIAN_POINT('',(40.192519,27.149566,0.)); #454274=CARTESIAN_POINT('',(40.192519,27.149566,0.)); #454275=CARTESIAN_POINT('',(40.192519,27.149566,0.035)); #454276=CARTESIAN_POINT('',(40.192519,27.149566,0.035)); #454277=CARTESIAN_POINT('',(40.192519,27.149566,0.)); #454278=CARTESIAN_POINT('Origin',(40.214456,27.164866,0.)); #454279=CARTESIAN_POINT('',(40.214456,27.164866,0.)); #454280=CARTESIAN_POINT('',(40.214456,27.164866,0.)); #454281=CARTESIAN_POINT('',(40.214456,27.164866,0.035)); #454282=CARTESIAN_POINT('',(40.214456,27.164866,0.035)); #454283=CARTESIAN_POINT('',(40.214456,27.164866,0.)); #454284=CARTESIAN_POINT('Origin',(40.243972,27.171847,0.)); #454285=CARTESIAN_POINT('',(40.243972,27.171847,0.)); #454286=CARTESIAN_POINT('',(40.243972,27.171847,0.)); #454287=CARTESIAN_POINT('',(40.243972,27.171847,0.035)); #454288=CARTESIAN_POINT('',(40.243972,27.171847,0.035)); #454289=CARTESIAN_POINT('',(40.243972,27.171847,0.)); #454290=CARTESIAN_POINT('Origin',(40.273869,27.166763,0.)); #454291=CARTESIAN_POINT('',(40.273869,27.166763,0.)); #454292=CARTESIAN_POINT('',(40.273869,27.166763,0.)); #454293=CARTESIAN_POINT('',(40.273869,27.166763,0.035)); #454294=CARTESIAN_POINT('',(40.273869,27.166763,0.035)); #454295=CARTESIAN_POINT('',(40.273869,27.166763,0.)); #454296=CARTESIAN_POINT('Origin',(40.299416,27.150419,0.)); #454297=CARTESIAN_POINT('',(40.299416,27.150419,0.)); #454298=CARTESIAN_POINT('',(40.299416,27.150419,0.)); #454299=CARTESIAN_POINT('',(40.299416,27.150419,0.035)); #454300=CARTESIAN_POINT('',(40.299416,27.150419,0.035)); #454301=CARTESIAN_POINT('',(40.299416,27.150419,0.)); #454302=CARTESIAN_POINT('Origin',(40.316566,27.125406,0.)); #454303=CARTESIAN_POINT('',(40.316566,27.125406,0.)); #454304=CARTESIAN_POINT('',(40.316566,27.125406,0.)); #454305=CARTESIAN_POINT('',(40.316566,27.125406,0.035)); #454306=CARTESIAN_POINT('',(40.316566,27.125406,0.035)); #454307=CARTESIAN_POINT('',(40.316566,27.125406,0.)); #454308=CARTESIAN_POINT('Origin',(40.3226,27.095684,0.)); #454309=CARTESIAN_POINT('',(40.3226,27.095684,0.)); #454310=CARTESIAN_POINT('',(40.3226,27.095684,0.)); #454311=CARTESIAN_POINT('',(40.3226,27.095684,0.035)); #454312=CARTESIAN_POINT('',(40.3226,27.095684,0.035)); #454313=CARTESIAN_POINT('',(40.3226,27.095684,0.)); #454314=CARTESIAN_POINT('Origin',(40.3226,26.822966,0.)); #454315=CARTESIAN_POINT('',(40.3226,26.822966,0.)); #454316=CARTESIAN_POINT('',(40.3226,26.822966,0.)); #454317=CARTESIAN_POINT('',(40.3226,26.822966,0.035)); #454318=CARTESIAN_POINT('',(40.3226,26.822966,0.035)); #454319=CARTESIAN_POINT('',(40.3226,26.822966,0.)); #454320=CARTESIAN_POINT('Origin',(40.572966,26.5726,0.)); #454321=CARTESIAN_POINT('',(40.572966,26.5726,0.)); #454322=CARTESIAN_POINT('',(40.572966,26.5726,0.)); #454323=CARTESIAN_POINT('',(40.572966,26.5726,0.035)); #454324=CARTESIAN_POINT('',(40.572966,26.5726,0.035)); #454325=CARTESIAN_POINT('',(40.572966,26.5726,0.)); #454326=CARTESIAN_POINT('Origin',(40.927034,26.5726,0.)); #454327=CARTESIAN_POINT('',(40.927034,26.5726,0.)); #454328=CARTESIAN_POINT('',(40.927034,26.5726,0.)); #454329=CARTESIAN_POINT('',(40.927034,26.5726,0.035)); #454330=CARTESIAN_POINT('',(40.927034,26.5726,0.035)); #454331=CARTESIAN_POINT('',(40.927034,26.5726,0.)); #454332=CARTESIAN_POINT('Origin',(41.1774,26.822966,0.)); #454333=CARTESIAN_POINT('',(41.1774,26.822966,0.)); #454334=CARTESIAN_POINT('',(41.1774,26.822966,0.)); #454335=CARTESIAN_POINT('',(41.1774,26.822966,0.035)); #454336=CARTESIAN_POINT('',(41.1774,26.822966,0.035)); #454337=CARTESIAN_POINT('',(41.1774,26.822966,0.)); #454338=CARTESIAN_POINT('Origin',(41.1774,27.072547,0.)); #454339=CARTESIAN_POINT('',(41.1774,27.072547,0.)); #454340=CARTESIAN_POINT('',(41.1774,27.072547,0.)); #454341=CARTESIAN_POINT('',(41.1774,27.072547,0.035)); #454342=CARTESIAN_POINT('',(41.1774,27.072547,0.035)); #454343=CARTESIAN_POINT('',(41.1774,27.072547,0.)); #454344=CARTESIAN_POINT('Origin',(41.182969,27.101144,0.)); #454345=CARTESIAN_POINT('',(41.182969,27.101144,0.)); #454346=CARTESIAN_POINT('',(41.182969,27.101144,0.)); #454347=CARTESIAN_POINT('',(41.182969,27.101144,0.035)); #454348=CARTESIAN_POINT('',(41.182969,27.101144,0.035)); #454349=CARTESIAN_POINT('',(41.182969,27.101144,0.)); #454350=CARTESIAN_POINT('Origin',(41.199719,27.126428,0.)); #454351=CARTESIAN_POINT('',(41.199719,27.126428,0.)); #454352=CARTESIAN_POINT('',(41.199719,27.126428,0.)); #454353=CARTESIAN_POINT('',(41.199719,27.126428,0.035)); #454354=CARTESIAN_POINT('',(41.199719,27.126428,0.035)); #454355=CARTESIAN_POINT('',(41.199719,27.126428,0.)); #454356=CARTESIAN_POINT('Origin',(42.123572,28.050281,0.)); #454357=CARTESIAN_POINT('',(42.123572,28.050281,0.)); #454358=CARTESIAN_POINT('',(42.123572,28.050281,0.)); #454359=CARTESIAN_POINT('',(42.123572,28.050281,0.035)); #454360=CARTESIAN_POINT('',(42.123572,28.050281,0.035)); #454361=CARTESIAN_POINT('',(42.123572,28.050281,0.)); #454362=CARTESIAN_POINT('Origin',(42.147731,28.066566,0.)); #454363=CARTESIAN_POINT('',(42.147731,28.066566,0.)); #454364=CARTESIAN_POINT('',(42.147731,28.066566,0.)); #454365=CARTESIAN_POINT('',(42.147731,28.066566,0.035)); #454366=CARTESIAN_POINT('',(42.147731,28.066566,0.035)); #454367=CARTESIAN_POINT('',(42.147731,28.066566,0.)); #454368=CARTESIAN_POINT('Origin',(42.177453,28.0726,0.)); #454369=CARTESIAN_POINT('',(42.177453,28.0726,0.)); #454370=CARTESIAN_POINT('',(42.177453,28.0726,0.)); #454371=CARTESIAN_POINT('',(42.177453,28.0726,0.035)); #454372=CARTESIAN_POINT('',(42.177453,28.0726,0.035)); #454373=CARTESIAN_POINT('',(42.177453,28.0726,0.)); #454374=CARTESIAN_POINT('Origin',(42.427034,28.0726,0.)); #454375=CARTESIAN_POINT('',(42.427034,28.0726,0.)); #454376=CARTESIAN_POINT('',(42.427034,28.0726,0.)); #454377=CARTESIAN_POINT('',(42.427034,28.0726,0.035)); #454378=CARTESIAN_POINT('',(42.427034,28.0726,0.035)); #454379=CARTESIAN_POINT('',(42.427034,28.0726,0.)); #454380=CARTESIAN_POINT('Origin',(42.6774,28.322966,0.)); #454381=CARTESIAN_POINT('',(42.6774,28.322966,0.)); #454382=CARTESIAN_POINT('',(42.6774,28.322966,0.)); #454383=CARTESIAN_POINT('',(42.6774,28.322966,0.035)); #454384=CARTESIAN_POINT('',(42.6774,28.322966,0.035)); #454385=CARTESIAN_POINT('',(42.6774,28.322966,0.)); #454386=CARTESIAN_POINT('Origin',(42.6774,28.677034,0.)); #454387=CARTESIAN_POINT('',(42.6774,28.677034,0.)); #454388=CARTESIAN_POINT('',(42.6774,28.677034,0.)); #454389=CARTESIAN_POINT('',(42.6774,28.677034,0.035)); #454390=CARTESIAN_POINT('',(42.6774,28.677034,0.035)); #454391=CARTESIAN_POINT('',(42.6774,28.677034,0.)); #454392=CARTESIAN_POINT('Origin',(42.427034,28.9274,0.)); #454393=CARTESIAN_POINT('',(42.427034,28.9274,0.)); #454394=CARTESIAN_POINT('',(42.427034,28.9274,0.)); #454395=CARTESIAN_POINT('',(42.427034,28.9274,0.035)); #454396=CARTESIAN_POINT('',(42.427034,28.9274,0.035)); #454397=CARTESIAN_POINT('',(42.427034,28.9274,0.)); #454398=CARTESIAN_POINT('Origin',(42.154316,28.9274,0.)); #454399=CARTESIAN_POINT('',(42.154316,28.9274,0.)); #454400=CARTESIAN_POINT('',(42.154316,28.9274,0.)); #454401=CARTESIAN_POINT('',(42.154316,28.9274,0.035)); #454402=CARTESIAN_POINT('',(42.154316,28.9274,0.035)); #454403=CARTESIAN_POINT('',(42.154316,28.9274,0.)); #454404=CARTESIAN_POINT('Origin',(42.127984,28.932094,0.)); #454405=CARTESIAN_POINT('',(42.127984,28.932094,0.)); #454406=CARTESIAN_POINT('',(42.127984,28.932094,0.)); #454407=CARTESIAN_POINT('',(42.127984,28.932094,0.035)); #454408=CARTESIAN_POINT('',(42.127984,28.932094,0.035)); #454409=CARTESIAN_POINT('',(42.127984,28.932094,0.)); #454410=CARTESIAN_POINT('Origin',(42.102178,28.948028,0.)); #454411=CARTESIAN_POINT('',(42.102178,28.948028,0.)); #454412=CARTESIAN_POINT('',(42.102178,28.948028,0.)); #454413=CARTESIAN_POINT('',(42.102178,28.948028,0.035)); #454414=CARTESIAN_POINT('',(42.102178,28.948028,0.035)); #454415=CARTESIAN_POINT('',(42.102178,28.948028,0.)); #454416=CARTESIAN_POINT('Origin',(42.084634,28.972766,0.)); #454417=CARTESIAN_POINT('',(42.084634,28.972766,0.)); #454418=CARTESIAN_POINT('',(42.084634,28.972766,0.)); #454419=CARTESIAN_POINT('',(42.084634,28.972766,0.035)); #454420=CARTESIAN_POINT('',(42.084634,28.972766,0.035)); #454421=CARTESIAN_POINT('',(42.084634,28.972766,0.)); #454422=CARTESIAN_POINT('Origin',(42.078125,29.002384,0.)); #454423=CARTESIAN_POINT('',(42.078125,29.002384,0.)); #454424=CARTESIAN_POINT('',(42.078125,29.002384,0.)); #454425=CARTESIAN_POINT('',(42.078125,29.002384,0.035)); #454426=CARTESIAN_POINT('',(42.078125,29.002384,0.035)); #454427=CARTESIAN_POINT('',(42.078125,29.002384,0.)); #454428=CARTESIAN_POINT('Origin',(42.083684,29.032197,0.)); #454429=CARTESIAN_POINT('',(42.083684,29.032197,0.)); #454430=CARTESIAN_POINT('',(42.083684,29.032197,0.)); #454431=CARTESIAN_POINT('',(42.083684,29.032197,0.035)); #454432=CARTESIAN_POINT('',(42.083684,29.032197,0.035)); #454433=CARTESIAN_POINT('',(42.083684,29.032197,0.)); #454434=CARTESIAN_POINT('Origin',(42.100434,29.057481,0.)); #454435=CARTESIAN_POINT('',(42.100434,29.057481,0.)); #454436=CARTESIAN_POINT('',(42.100434,29.057481,0.)); #454437=CARTESIAN_POINT('',(42.100434,29.057481,0.035)); #454438=CARTESIAN_POINT('',(42.100434,29.057481,0.035)); #454439=CARTESIAN_POINT('',(42.100434,29.057481,0.)); #454440=CARTESIAN_POINT('Origin',(42.2286,29.185647,0.)); #454441=CARTESIAN_POINT('',(42.2286,29.185647,0.)); #454442=CARTESIAN_POINT('',(42.2286,29.185647,0.)); #454443=CARTESIAN_POINT('',(42.2286,29.185647,0.035)); #454444=CARTESIAN_POINT('',(42.2286,29.185647,0.035)); #454445=CARTESIAN_POINT('',(42.2286,29.185647,0.)); #454446=CARTESIAN_POINT('Origin',(42.2286,30.592603,0.)); #454447=CARTESIAN_POINT('',(42.2286,30.592603,0.)); #454448=CARTESIAN_POINT('',(42.2286,30.592603,0.)); #454449=CARTESIAN_POINT('',(42.2286,30.592603,0.035)); #454450=CARTESIAN_POINT('',(42.2286,30.592603,0.035)); #454451=CARTESIAN_POINT('',(42.2286,30.592603,0.)); #454452=CARTESIAN_POINT('Origin',(42.234169,30.6212,0.)); #454453=CARTESIAN_POINT('',(42.234169,30.6212,0.)); #454454=CARTESIAN_POINT('',(42.234169,30.6212,0.)); #454455=CARTESIAN_POINT('',(42.234169,30.6212,0.035)); #454456=CARTESIAN_POINT('',(42.234169,30.6212,0.035)); #454457=CARTESIAN_POINT('',(42.234169,30.6212,0.)); #454458=CARTESIAN_POINT('Origin',(42.250919,30.646484,0.)); #454459=CARTESIAN_POINT('',(42.250919,30.646484,0.)); #454460=CARTESIAN_POINT('',(42.250919,30.646484,0.)); #454461=CARTESIAN_POINT('',(42.250919,30.646484,0.035)); #454462=CARTESIAN_POINT('',(42.250919,30.646484,0.035)); #454463=CARTESIAN_POINT('',(42.250919,30.646484,0.)); #454464=CARTESIAN_POINT('Origin',(42.4274,30.822966,0.)); #454465=CARTESIAN_POINT('',(42.4274,30.822966,0.)); #454466=CARTESIAN_POINT('',(42.4274,30.822966,0.)); #454467=CARTESIAN_POINT('',(42.4274,30.822966,0.035)); #454468=CARTESIAN_POINT('',(42.4274,30.822966,0.035)); #454469=CARTESIAN_POINT('',(42.4274,30.822966,0.)); #454470=CARTESIAN_POINT('Origin',(42.4274,31.177034,0.)); #454471=CARTESIAN_POINT('',(42.4274,31.177034,0.)); #454472=CARTESIAN_POINT('',(42.4274,31.177034,0.)); #454473=CARTESIAN_POINT('',(42.4274,31.177034,0.035)); #454474=CARTESIAN_POINT('',(42.4274,31.177034,0.035)); #454475=CARTESIAN_POINT('',(42.4274,31.177034,0.)); #454476=CARTESIAN_POINT('Origin',(42.177034,31.4274,0.)); #454477=CARTESIAN_POINT('',(42.177034,31.4274,0.)); #454478=CARTESIAN_POINT('',(42.177034,31.4274,0.)); #454479=CARTESIAN_POINT('',(42.177034,31.4274,0.035)); #454480=CARTESIAN_POINT('',(42.177034,31.4274,0.035)); #454481=CARTESIAN_POINT('',(42.177034,31.4274,0.)); #454482=CARTESIAN_POINT('Origin',(41.822966,31.4274,0.)); #454483=CARTESIAN_POINT('',(41.822966,31.4274,0.)); #454484=CARTESIAN_POINT('',(41.822966,31.4274,0.)); #454485=CARTESIAN_POINT('',(41.822966,31.4274,0.035)); #454486=CARTESIAN_POINT('',(41.822966,31.4274,0.035)); #454487=CARTESIAN_POINT('',(41.822966,31.4274,0.)); #454488=CARTESIAN_POINT('Origin',(41.678881,31.283316,0.)); #454489=CARTESIAN_POINT('',(41.678881,31.283316,0.)); #454490=CARTESIAN_POINT('',(41.678881,31.283316,0.)); #454491=CARTESIAN_POINT('',(41.678881,31.283316,0.035)); #454492=CARTESIAN_POINT('',(41.678881,31.283316,0.035)); #454493=CARTESIAN_POINT('',(41.678881,31.283316,0.)); #454494=CARTESIAN_POINT('Origin',(41.655834,31.267516,0.)); #454495=CARTESIAN_POINT('',(41.655834,31.267516,0.)); #454496=CARTESIAN_POINT('',(41.655834,31.267516,0.)); #454497=CARTESIAN_POINT('',(41.655834,31.267516,0.035)); #454498=CARTESIAN_POINT('',(41.655834,31.267516,0.035)); #454499=CARTESIAN_POINT('',(41.655834,31.267516,0.)); #454500=CARTESIAN_POINT('Origin',(41.626216,31.261006,0.)); #454501=CARTESIAN_POINT('',(41.626216,31.261006,0.)); #454502=CARTESIAN_POINT('',(41.626216,31.261006,0.)); #454503=CARTESIAN_POINT('',(41.626216,31.261006,0.035)); #454504=CARTESIAN_POINT('',(41.626216,31.261006,0.035)); #454505=CARTESIAN_POINT('',(41.626216,31.261006,0.)); #454506=CARTESIAN_POINT('Origin',(41.596403,31.266566,0.)); #454507=CARTESIAN_POINT('',(41.596403,31.266566,0.)); #454508=CARTESIAN_POINT('',(41.596403,31.266566,0.)); #454509=CARTESIAN_POINT('',(41.596403,31.266566,0.035)); #454510=CARTESIAN_POINT('',(41.596403,31.266566,0.035)); #454511=CARTESIAN_POINT('',(41.596403,31.266566,0.)); #454512=CARTESIAN_POINT('Origin',(41.571119,31.283316,0.)); #454513=CARTESIAN_POINT('',(41.571119,31.283316,0.)); #454514=CARTESIAN_POINT('',(41.571119,31.283316,0.)); #454515=CARTESIAN_POINT('',(41.571119,31.283316,0.035)); #454516=CARTESIAN_POINT('',(41.571119,31.283316,0.035)); #454517=CARTESIAN_POINT('',(41.571119,31.283316,0.)); #454518=CARTESIAN_POINT('Origin',(41.427034,31.4274,0.)); #454519=CARTESIAN_POINT('',(41.427034,31.4274,0.)); #454520=CARTESIAN_POINT('',(41.427034,31.4274,0.)); #454521=CARTESIAN_POINT('',(41.427034,31.4274,0.035)); #454522=CARTESIAN_POINT('',(41.427034,31.4274,0.035)); #454523=CARTESIAN_POINT('',(41.427034,31.4274,0.)); #454524=CARTESIAN_POINT('Origin',(41.072966,31.4274,0.)); #454525=CARTESIAN_POINT('',(41.072966,31.4274,0.)); #454526=CARTESIAN_POINT('',(41.072966,31.4274,0.)); #454527=CARTESIAN_POINT('',(41.072966,31.4274,0.035)); #454528=CARTESIAN_POINT('',(41.072966,31.4274,0.035)); #454529=CARTESIAN_POINT('',(41.072966,31.4274,0.)); #454530=CARTESIAN_POINT('Origin',(40.8226,31.177034,0.)); #454531=CARTESIAN_POINT('',(40.8226,31.177034,0.)); #454532=CARTESIAN_POINT('',(40.8226,31.177034,0.)); #454533=CARTESIAN_POINT('',(40.8226,31.177034,0.035)); #454534=CARTESIAN_POINT('',(40.8226,31.177034,0.035)); #454535=CARTESIAN_POINT('',(40.8226,31.177034,0.)); #454536=CARTESIAN_POINT('Origin',(40.8226,30.822966,0.)); #454537=CARTESIAN_POINT('',(40.8226,30.822966,0.)); #454538=CARTESIAN_POINT('',(40.8226,30.822966,0.)); #454539=CARTESIAN_POINT('',(40.8226,30.822966,0.035)); #454540=CARTESIAN_POINT('',(40.8226,30.822966,0.035)); #454541=CARTESIAN_POINT('',(40.8226,30.822966,0.)); #454542=CARTESIAN_POINT('Origin',(40.999081,30.646484,0.)); #454543=CARTESIAN_POINT('',(40.999081,30.646484,0.)); #454544=CARTESIAN_POINT('',(40.999081,30.646484,0.)); #454545=CARTESIAN_POINT('',(40.999081,30.646484,0.035)); #454546=CARTESIAN_POINT('',(40.999081,30.646484,0.035)); #454547=CARTESIAN_POINT('',(40.999081,30.646484,0.)); #454548=CARTESIAN_POINT('Origin',(41.015366,30.622325,0.)); #454549=CARTESIAN_POINT('',(41.015366,30.622325,0.)); #454550=CARTESIAN_POINT('',(41.015366,30.622325,0.)); #454551=CARTESIAN_POINT('',(41.015366,30.622325,0.035)); #454552=CARTESIAN_POINT('',(41.015366,30.622325,0.035)); #454553=CARTESIAN_POINT('',(41.015366,30.622325,0.)); #454554=CARTESIAN_POINT('Origin',(41.0214,30.592603,0.)); #454555=CARTESIAN_POINT('',(41.0214,30.592603,0.)); #454556=CARTESIAN_POINT('',(41.0214,30.592603,0.)); #454557=CARTESIAN_POINT('',(41.0214,30.592603,0.035)); #454558=CARTESIAN_POINT('',(41.0214,30.592603,0.035)); #454559=CARTESIAN_POINT('',(41.0214,30.592603,0.)); #454560=CARTESIAN_POINT('Origin',(41.0214,29.186928,0.)); #454561=CARTESIAN_POINT('',(41.0214,29.186928,0.)); #454562=CARTESIAN_POINT('',(41.0214,29.186928,0.)); #454563=CARTESIAN_POINT('',(41.0214,29.186928,0.035)); #454564=CARTESIAN_POINT('',(41.0214,29.186928,0.035)); #454565=CARTESIAN_POINT('',(41.0214,29.186928,0.)); #454566=CARTESIAN_POINT('Origin',(41.015831,29.158331,0.)); #454567=CARTESIAN_POINT('',(41.015831,29.158331,0.)); #454568=CARTESIAN_POINT('',(41.015831,29.158331,0.)); #454569=CARTESIAN_POINT('',(41.015831,29.158331,0.035)); #454570=CARTESIAN_POINT('',(41.015831,29.158331,0.035)); #454571=CARTESIAN_POINT('',(41.015831,29.158331,0.)); #454572=CARTESIAN_POINT('Origin',(40.999081,29.133047,0.)); #454573=CARTESIAN_POINT('',(40.999081,29.133047,0.)); #454574=CARTESIAN_POINT('',(40.999081,29.133047,0.)); #454575=CARTESIAN_POINT('',(40.999081,29.133047,0.035)); #454576=CARTESIAN_POINT('',(40.999081,29.133047,0.035)); #454577=CARTESIAN_POINT('',(40.999081,29.133047,0.)); #454578=CARTESIAN_POINT('Origin',(38.483681,26.617647,0.)); #454579=CARTESIAN_POINT('',(38.483681,26.617647,0.)); #454580=CARTESIAN_POINT('',(38.483681,26.617647,0.)); #454581=CARTESIAN_POINT('',(38.483681,26.617647,0.035)); #454582=CARTESIAN_POINT('',(38.483681,26.617647,0.035)); #454583=CARTESIAN_POINT('',(38.483681,26.617647,0.)); #454584=CARTESIAN_POINT('Origin',(38.461744,26.602347,0.)); #454585=CARTESIAN_POINT('',(38.461744,26.602347,0.)); #454586=CARTESIAN_POINT('',(38.461744,26.602347,0.)); #454587=CARTESIAN_POINT('',(38.461744,26.602347,0.035)); #454588=CARTESIAN_POINT('',(38.461744,26.602347,0.035)); #454589=CARTESIAN_POINT('',(38.461744,26.602347,0.)); #454590=CARTESIAN_POINT('Origin',(38.432228,26.595366,0.)); #454591=CARTESIAN_POINT('',(38.432228,26.595366,0.)); #454592=CARTESIAN_POINT('',(38.432228,26.595366,0.)); #454593=CARTESIAN_POINT('',(38.432228,26.595366,0.035)); #454594=CARTESIAN_POINT('',(38.432228,26.595366,0.035)); #454595=CARTESIAN_POINT('',(38.432228,26.595366,0.)); #454596=CARTESIAN_POINT('Origin',(38.402331,26.60045,0.)); #454597=CARTESIAN_POINT('',(38.402331,26.60045,0.)); #454598=CARTESIAN_POINT('',(38.402331,26.60045,0.)); #454599=CARTESIAN_POINT('',(38.402331,26.60045,0.035)); #454600=CARTESIAN_POINT('',(38.402331,26.60045,0.035)); #454601=CARTESIAN_POINT('',(38.402331,26.60045,0.)); #454602=CARTESIAN_POINT('Origin',(38.376784,26.616794,0.)); #454603=CARTESIAN_POINT('',(38.376784,26.616794,0.)); #454604=CARTESIAN_POINT('',(38.376784,26.616794,0.)); #454605=CARTESIAN_POINT('',(38.376784,26.616794,0.035)); #454606=CARTESIAN_POINT('',(38.376784,26.616794,0.035)); #454607=CARTESIAN_POINT('',(38.376784,26.616794,0.)); #454608=CARTESIAN_POINT('Origin',(38.359634,26.641806,0.)); #454609=CARTESIAN_POINT('',(38.359634,26.641806,0.)); #454610=CARTESIAN_POINT('',(38.359634,26.641806,0.)); #454611=CARTESIAN_POINT('',(38.359634,26.641806,0.035)); #454612=CARTESIAN_POINT('',(38.359634,26.641806,0.035)); #454613=CARTESIAN_POINT('',(38.359634,26.641806,0.)); #454614=CARTESIAN_POINT('Origin',(38.3536,26.671528,0.)); #454615=CARTESIAN_POINT('',(38.3536,26.671528,0.)); #454616=CARTESIAN_POINT('',(38.3536,26.671528,0.)); #454617=CARTESIAN_POINT('',(38.3536,26.671528,0.035)); #454618=CARTESIAN_POINT('',(38.3536,26.671528,0.035)); #454619=CARTESIAN_POINT('',(38.3536,26.671528,0.)); #454620=CARTESIAN_POINT('Origin',(38.3536,30.958422,0.)); #454621=CARTESIAN_POINT('',(38.3536,30.958422,0.)); #454622=CARTESIAN_POINT('',(38.3536,30.958422,0.)); #454623=CARTESIAN_POINT('',(38.3536,30.958422,0.035)); #454624=CARTESIAN_POINT('',(38.3536,30.958422,0.035)); #454625=CARTESIAN_POINT('',(38.3536,30.958422,0.)); #454626=CARTESIAN_POINT('Origin',(37.063419,32.248603,0.)); #454627=CARTESIAN_POINT('',(37.063419,32.248603,0.)); #454628=CARTESIAN_POINT('',(37.063419,32.248603,0.)); #454629=CARTESIAN_POINT('',(37.063419,32.248603,0.035)); #454630=CARTESIAN_POINT('',(37.063419,32.248603,0.035)); #454631=CARTESIAN_POINT('',(37.063419,32.248603,0.)); #454632=CARTESIAN_POINT('Origin',(37.047134,32.272762,0.)); #454633=CARTESIAN_POINT('',(37.047134,32.272762,0.)); #454634=CARTESIAN_POINT('',(37.047134,32.272762,0.)); #454635=CARTESIAN_POINT('',(37.047134,32.272762,0.035)); #454636=CARTESIAN_POINT('',(37.047134,32.272762,0.035)); #454637=CARTESIAN_POINT('',(37.047134,32.272762,0.)); #454638=CARTESIAN_POINT('Origin',(37.0411,32.302484,0.)); #454639=CARTESIAN_POINT('',(37.0411,32.302484,0.)); #454640=CARTESIAN_POINT('',(37.0411,32.302484,0.)); #454641=CARTESIAN_POINT('',(37.0411,32.302484,0.035)); #454642=CARTESIAN_POINT('',(37.0411,32.302484,0.035)); #454643=CARTESIAN_POINT('',(37.0411,32.302484,0.)); #454644=CARTESIAN_POINT('Origin',(37.0411,34.375572,0.)); #454645=CARTESIAN_POINT('',(37.0411,34.375572,0.)); #454646=CARTESIAN_POINT('',(37.0411,34.375572,0.)); #454647=CARTESIAN_POINT('',(37.0411,34.375572,0.035)); #454648=CARTESIAN_POINT('',(37.0411,34.375572,0.035)); #454649=CARTESIAN_POINT('',(37.0411,34.375572,0.)); #454650=CARTESIAN_POINT('Origin',(37.046669,34.404169,0.)); #454651=CARTESIAN_POINT('',(37.046669,34.404169,0.)); #454652=CARTESIAN_POINT('',(37.046669,34.404169,0.)); #454653=CARTESIAN_POINT('',(37.046669,34.404169,0.035)); #454654=CARTESIAN_POINT('',(37.046669,34.404169,0.035)); #454655=CARTESIAN_POINT('',(37.046669,34.404169,0.)); #454656=CARTESIAN_POINT('Origin',(37.063419,34.429453,0.)); #454657=CARTESIAN_POINT('',(37.063419,34.429453,0.)); #454658=CARTESIAN_POINT('',(37.063419,34.429453,0.)); #454659=CARTESIAN_POINT('',(37.063419,34.429453,0.035)); #454660=CARTESIAN_POINT('',(37.063419,34.429453,0.035)); #454661=CARTESIAN_POINT('',(37.063419,34.429453,0.)); #454662=CARTESIAN_POINT('Origin',(38.383047,35.749081,0.)); #454663=CARTESIAN_POINT('',(38.383047,35.749081,0.)); #454664=CARTESIAN_POINT('',(38.383047,35.749081,0.)); #454665=CARTESIAN_POINT('',(38.383047,35.749081,0.035)); #454666=CARTESIAN_POINT('',(38.383047,35.749081,0.035)); #454667=CARTESIAN_POINT('',(38.383047,35.749081,0.)); #454668=CARTESIAN_POINT('Origin',(38.407206,35.765366,0.)); #454669=CARTESIAN_POINT('',(38.407206,35.765366,0.)); #454670=CARTESIAN_POINT('',(38.407206,35.765366,0.)); #454671=CARTESIAN_POINT('',(38.407206,35.765366,0.035)); #454672=CARTESIAN_POINT('',(38.407206,35.765366,0.035)); #454673=CARTESIAN_POINT('',(38.407206,35.765366,0.)); #454674=CARTESIAN_POINT('Origin',(38.436928,35.7714,0.)); #454675=CARTESIAN_POINT('',(38.436928,35.7714,0.)); #454676=CARTESIAN_POINT('',(38.436928,35.7714,0.)); #454677=CARTESIAN_POINT('',(38.436928,35.7714,0.035)); #454678=CARTESIAN_POINT('',(38.436928,35.7714,0.035)); #454679=CARTESIAN_POINT('',(38.436928,35.7714,0.)); #454680=CARTESIAN_POINT('Origin',(40.405366,35.7714,0.)); #454681=CARTESIAN_POINT('',(40.405366,35.7714,0.)); #454682=CARTESIAN_POINT('',(40.405366,35.7714,0.)); #454683=CARTESIAN_POINT('',(40.405366,35.7714,0.035)); #454684=CARTESIAN_POINT('',(40.405366,35.7714,0.035)); #454685=CARTESIAN_POINT('',(40.405366,35.7714,0.)); #454686=CARTESIAN_POINT('Origin',(40.692519,36.058553,0.)); #454687=CARTESIAN_POINT('',(40.692519,36.058553,0.)); #454688=CARTESIAN_POINT('',(40.692519,36.058553,0.)); #454689=CARTESIAN_POINT('',(40.692519,36.058553,0.035)); #454690=CARTESIAN_POINT('',(40.692519,36.058553,0.035)); #454691=CARTESIAN_POINT('',(40.692519,36.058553,0.)); #454692=CARTESIAN_POINT('Origin',(40.714456,36.073853,0.)); #454693=CARTESIAN_POINT('',(40.714456,36.073853,0.)); #454694=CARTESIAN_POINT('',(40.714456,36.073853,0.)); #454695=CARTESIAN_POINT('',(40.714456,36.073853,0.035)); #454696=CARTESIAN_POINT('',(40.714456,36.073853,0.035)); #454697=CARTESIAN_POINT('',(40.714456,36.073853,0.)); #454698=CARTESIAN_POINT('Origin',(40.743972,36.080834,0.)); #454699=CARTESIAN_POINT('',(40.743972,36.080834,0.)); #454700=CARTESIAN_POINT('',(40.743972,36.080834,0.)); #454701=CARTESIAN_POINT('',(40.743972,36.080834,0.035)); #454702=CARTESIAN_POINT('',(40.743972,36.080834,0.035)); #454703=CARTESIAN_POINT('',(40.743972,36.080834,0.)); #454704=CARTESIAN_POINT('Origin',(40.773869,36.07575,0.)); #454705=CARTESIAN_POINT('',(40.773869,36.07575,0.)); #454706=CARTESIAN_POINT('',(40.773869,36.07575,0.)); #454707=CARTESIAN_POINT('',(40.773869,36.07575,0.035)); #454708=CARTESIAN_POINT('',(40.773869,36.07575,0.035)); #454709=CARTESIAN_POINT('',(40.773869,36.07575,0.)); #454710=CARTESIAN_POINT('Origin',(40.799416,36.059406,0.)); #454711=CARTESIAN_POINT('',(40.799416,36.059406,0.)); #454712=CARTESIAN_POINT('',(40.799416,36.059406,0.)); #454713=CARTESIAN_POINT('',(40.799416,36.059406,0.035)); #454714=CARTESIAN_POINT('',(40.799416,36.059406,0.035)); #454715=CARTESIAN_POINT('',(40.799416,36.059406,0.)); #454716=CARTESIAN_POINT('Origin',(40.816566,36.034394,0.)); #454717=CARTESIAN_POINT('',(40.816566,36.034394,0.)); #454718=CARTESIAN_POINT('',(40.816566,36.034394,0.)); #454719=CARTESIAN_POINT('',(40.816566,36.034394,0.035)); #454720=CARTESIAN_POINT('',(40.816566,36.034394,0.035)); #454721=CARTESIAN_POINT('',(40.816566,36.034394,0.)); #454722=CARTESIAN_POINT('Origin',(40.8226,36.004672,0.)); #454723=CARTESIAN_POINT('',(40.8226,36.004672,0.)); #454724=CARTESIAN_POINT('',(40.8226,36.004672,0.)); #454725=CARTESIAN_POINT('',(40.8226,36.004672,0.035)); #454726=CARTESIAN_POINT('',(40.8226,36.004672,0.035)); #454727=CARTESIAN_POINT('',(40.8226,36.004672,0.)); #454728=CARTESIAN_POINT('Origin',(40.8226,35.822966,0.)); #454729=CARTESIAN_POINT('',(40.8226,35.822966,0.)); #454730=CARTESIAN_POINT('',(40.8226,35.822966,0.)); #454731=CARTESIAN_POINT('',(40.8226,35.822966,0.035)); #454732=CARTESIAN_POINT('',(40.8226,35.822966,0.035)); #454733=CARTESIAN_POINT('',(40.8226,35.822966,0.)); #454734=CARTESIAN_POINT('Origin',(41.072966,35.5726,0.)); #454735=CARTESIAN_POINT('',(41.072966,35.5726,0.)); #454736=CARTESIAN_POINT('',(41.072966,35.5726,0.)); #454737=CARTESIAN_POINT('',(41.072966,35.5726,0.035)); #454738=CARTESIAN_POINT('',(41.072966,35.5726,0.035)); #454739=CARTESIAN_POINT('',(41.072966,35.5726,0.)); #454740=CARTESIAN_POINT('Origin',(41.427034,35.5726,0.)); #454741=CARTESIAN_POINT('',(41.427034,35.5726,0.)); #454742=CARTESIAN_POINT('',(41.427034,35.5726,0.)); #454743=CARTESIAN_POINT('',(41.427034,35.5726,0.035)); #454744=CARTESIAN_POINT('',(41.427034,35.5726,0.035)); #454745=CARTESIAN_POINT('',(41.427034,35.5726,0.)); #454746=CARTESIAN_POINT('Origin',(41.571119,35.716684,0.)); #454747=CARTESIAN_POINT('',(41.571119,35.716684,0.)); #454748=CARTESIAN_POINT('',(41.571119,35.716684,0.)); #454749=CARTESIAN_POINT('',(41.571119,35.716684,0.035)); #454750=CARTESIAN_POINT('',(41.571119,35.716684,0.035)); #454751=CARTESIAN_POINT('',(41.571119,35.716684,0.)); #454752=CARTESIAN_POINT('Origin',(41.594166,35.732484,0.)); #454753=CARTESIAN_POINT('',(41.594166,35.732484,0.)); #454754=CARTESIAN_POINT('',(41.594166,35.732484,0.)); #454755=CARTESIAN_POINT('',(41.594166,35.732484,0.035)); #454756=CARTESIAN_POINT('',(41.594166,35.732484,0.035)); #454757=CARTESIAN_POINT('',(41.594166,35.732484,0.)); #454758=CARTESIAN_POINT('Origin',(41.623784,35.738994,0.)); #454759=CARTESIAN_POINT('',(41.623784,35.738994,0.)); #454760=CARTESIAN_POINT('',(41.623784,35.738994,0.)); #454761=CARTESIAN_POINT('',(41.623784,35.738994,0.035)); #454762=CARTESIAN_POINT('',(41.623784,35.738994,0.035)); #454763=CARTESIAN_POINT('',(41.623784,35.738994,0.)); #454764=CARTESIAN_POINT('Origin',(41.653597,35.733434,0.)); #454765=CARTESIAN_POINT('',(41.653597,35.733434,0.)); #454766=CARTESIAN_POINT('',(41.653597,35.733434,0.)); #454767=CARTESIAN_POINT('',(41.653597,35.733434,0.035)); #454768=CARTESIAN_POINT('',(41.653597,35.733434,0.035)); #454769=CARTESIAN_POINT('',(41.653597,35.733434,0.)); #454770=CARTESIAN_POINT('Origin',(41.678881,35.716684,0.)); #454771=CARTESIAN_POINT('',(41.678881,35.716684,0.)); #454772=CARTESIAN_POINT('',(41.678881,35.716684,0.)); #454773=CARTESIAN_POINT('',(41.678881,35.716684,0.035)); #454774=CARTESIAN_POINT('',(41.678881,35.716684,0.035)); #454775=CARTESIAN_POINT('',(41.678881,35.716684,0.)); #454776=CARTESIAN_POINT('Origin',(41.822966,35.5726,0.)); #454777=CARTESIAN_POINT('',(41.822966,35.5726,0.)); #454778=CARTESIAN_POINT('',(41.822966,35.5726,0.)); #454779=CARTESIAN_POINT('',(41.822966,35.5726,0.035)); #454780=CARTESIAN_POINT('',(41.822966,35.5726,0.035)); #454781=CARTESIAN_POINT('',(41.822966,35.5726,0.)); #454782=CARTESIAN_POINT('Origin',(42.177034,35.5726,0.)); #454783=CARTESIAN_POINT('',(42.177034,35.5726,0.)); #454784=CARTESIAN_POINT('',(42.177034,35.5726,0.)); #454785=CARTESIAN_POINT('',(42.177034,35.5726,0.035)); #454786=CARTESIAN_POINT('',(42.177034,35.5726,0.035)); #454787=CARTESIAN_POINT('',(42.177034,35.5726,0.)); #454788=CARTESIAN_POINT('Origin',(42.4274,35.822966,0.)); #454789=CARTESIAN_POINT('',(42.4274,35.822966,0.)); #454790=CARTESIAN_POINT('',(42.4274,35.822966,0.)); #454791=CARTESIAN_POINT('',(42.4274,35.822966,0.035)); #454792=CARTESIAN_POINT('',(42.4274,35.822966,0.035)); #454793=CARTESIAN_POINT('',(42.4274,35.822966,0.)); #454794=CARTESIAN_POINT('Origin',(42.4274,36.177034,0.)); #454795=CARTESIAN_POINT('',(42.4274,36.177034,0.)); #454796=CARTESIAN_POINT('',(42.4274,36.177034,0.)); #454797=CARTESIAN_POINT('',(42.4274,36.177034,0.035)); #454798=CARTESIAN_POINT('',(42.4274,36.177034,0.035)); #454799=CARTESIAN_POINT('',(42.4274,36.177034,0.)); #454800=CARTESIAN_POINT('Origin',(42.250919,36.353516,0.)); #454801=CARTESIAN_POINT('',(42.250919,36.353516,0.)); #454802=CARTESIAN_POINT('',(42.250919,36.353516,0.)); #454803=CARTESIAN_POINT('',(42.250919,36.353516,0.035)); #454804=CARTESIAN_POINT('',(42.250919,36.353516,0.035)); #454805=CARTESIAN_POINT('',(42.250919,36.353516,0.)); #454806=CARTESIAN_POINT('Origin',(42.234634,36.377675,0.)); #454807=CARTESIAN_POINT('',(42.234634,36.377675,0.)); #454808=CARTESIAN_POINT('',(42.234634,36.377675,0.)); #454809=CARTESIAN_POINT('',(42.234634,36.377675,0.035)); #454810=CARTESIAN_POINT('',(42.234634,36.377675,0.035)); #454811=CARTESIAN_POINT('',(42.234634,36.377675,0.)); #454812=CARTESIAN_POINT('Origin',(42.2286,36.407397,0.)); #454813=CARTESIAN_POINT('',(42.2286,36.407397,0.)); #454814=CARTESIAN_POINT('',(42.2286,36.407397,0.)); #454815=CARTESIAN_POINT('',(42.2286,36.407397,0.035)); #454816=CARTESIAN_POINT('',(42.2286,36.407397,0.035)); #454817=CARTESIAN_POINT('',(42.2286,36.407397,0.)); #454818=CARTESIAN_POINT('Origin',(42.2286,36.502397,0.)); #454819=CARTESIAN_POINT('',(42.2286,36.502397,0.)); #454820=CARTESIAN_POINT('',(42.2286,36.502397,0.)); #454821=CARTESIAN_POINT('',(42.2286,36.502397,0.035)); #454822=CARTESIAN_POINT('',(42.2286,36.502397,0.035)); #454823=CARTESIAN_POINT('',(42.2286,36.502397,0.)); #454824=CARTESIAN_POINT('Origin',(42.234169,36.530994,0.)); #454825=CARTESIAN_POINT('',(42.234169,36.530994,0.)); #454826=CARTESIAN_POINT('',(42.234169,36.530994,0.)); #454827=CARTESIAN_POINT('',(42.234169,36.530994,0.035)); #454828=CARTESIAN_POINT('',(42.234169,36.530994,0.035)); #454829=CARTESIAN_POINT('',(42.234169,36.530994,0.)); #454830=CARTESIAN_POINT('Origin',(42.250919,36.556278,0.)); #454831=CARTESIAN_POINT('',(42.250919,36.556278,0.)); #454832=CARTESIAN_POINT('',(42.250919,36.556278,0.)); #454833=CARTESIAN_POINT('',(42.250919,36.556278,0.035)); #454834=CARTESIAN_POINT('',(42.250919,36.556278,0.035)); #454835=CARTESIAN_POINT('',(42.250919,36.556278,0.)); #454836=CARTESIAN_POINT('Origin',(44.193722,38.499081,0.)); #454837=CARTESIAN_POINT('',(44.193722,38.499081,0.)); #454838=CARTESIAN_POINT('',(44.193722,38.499081,0.)); #454839=CARTESIAN_POINT('',(44.193722,38.499081,0.035)); #454840=CARTESIAN_POINT('',(44.193722,38.499081,0.035)); #454841=CARTESIAN_POINT('',(44.193722,38.499081,0.)); #454842=CARTESIAN_POINT('Origin',(44.217881,38.515366,0.)); #454843=CARTESIAN_POINT('',(44.217881,38.515366,0.)); #454844=CARTESIAN_POINT('',(44.217881,38.515366,0.)); #454845=CARTESIAN_POINT('',(44.217881,38.515366,0.035)); #454846=CARTESIAN_POINT('',(44.217881,38.515366,0.035)); #454847=CARTESIAN_POINT('',(44.217881,38.515366,0.)); #454848=CARTESIAN_POINT('Origin',(44.247603,38.5214,0.)); #454849=CARTESIAN_POINT('',(44.247603,38.5214,0.)); #454850=CARTESIAN_POINT('',(44.247603,38.5214,0.)); #454851=CARTESIAN_POINT('',(44.247603,38.5214,0.035)); #454852=CARTESIAN_POINT('',(44.247603,38.5214,0.035)); #454853=CARTESIAN_POINT('',(44.247603,38.5214,0.)); #454854=CARTESIAN_POINT('Origin',(48.3222,38.5214,0.)); #454855=CARTESIAN_POINT('',(48.3222,38.5214,0.)); #454856=CARTESIAN_POINT('',(48.3222,38.5214,0.)); #454857=CARTESIAN_POINT('',(48.3222,38.5214,0.035)); #454858=CARTESIAN_POINT('',(48.3222,38.5214,0.035)); #454859=CARTESIAN_POINT('',(48.3222,38.5214,0.)); #454860=CARTESIAN_POINT('Origin',(48.349669,38.516278,0.)); #454861=CARTESIAN_POINT('',(48.349669,38.516278,0.)); #454862=CARTESIAN_POINT('',(48.349669,38.516278,0.)); #454863=CARTESIAN_POINT('',(48.349669,38.516278,0.035)); #454864=CARTESIAN_POINT('',(48.349669,38.516278,0.035)); #454865=CARTESIAN_POINT('',(48.349669,38.516278,0.)); #454866=CARTESIAN_POINT('Origin',(48.375216,38.499934,0.)); #454867=CARTESIAN_POINT('',(48.375216,38.499934,0.)); #454868=CARTESIAN_POINT('',(48.375216,38.499934,0.)); #454869=CARTESIAN_POINT('',(48.375216,38.499934,0.035)); #454870=CARTESIAN_POINT('',(48.375216,38.499934,0.035)); #454871=CARTESIAN_POINT('',(48.375216,38.499934,0.)); #454872=CARTESIAN_POINT('Origin',(48.392366,38.474922,0.)); #454873=CARTESIAN_POINT('',(48.392366,38.474922,0.)); #454874=CARTESIAN_POINT('',(48.392366,38.474922,0.)); #454875=CARTESIAN_POINT('',(48.392366,38.474922,0.035)); #454876=CARTESIAN_POINT('',(48.392366,38.474922,0.035)); #454877=CARTESIAN_POINT('',(48.392366,38.474922,0.)); #454878=CARTESIAN_POINT('Origin',(48.3984,38.4452,0.)); #454879=CARTESIAN_POINT('',(48.3984,38.4452,0.)); #454880=CARTESIAN_POINT('',(48.3984,38.4452,0.)); #454881=CARTESIAN_POINT('',(48.3984,38.4452,0.035)); #454882=CARTESIAN_POINT('',(48.3984,38.4452,0.035)); #454883=CARTESIAN_POINT('',(48.3984,38.4452,0.)); #454884=CARTESIAN_POINT('Origin',(48.3984,23.57365,0.)); #454885=CARTESIAN_POINT('',(48.3984,23.57365,0.)); #454886=CARTESIAN_POINT('',(48.3984,23.57365,0.)); #454887=CARTESIAN_POINT('',(48.3984,23.57365,0.035)); #454888=CARTESIAN_POINT('',(48.3984,23.57365,0.035)); #454889=CARTESIAN_POINT('',(48.3984,23.57365,0.)); #454890=CARTESIAN_POINT('Origin',(48.392831,23.545053,0.)); #454891=CARTESIAN_POINT('',(48.392831,23.545053,0.)); #454892=CARTESIAN_POINT('',(48.392831,23.545053,0.)); #454893=CARTESIAN_POINT('',(48.392831,23.545053,0.035)); #454894=CARTESIAN_POINT('',(48.392831,23.545053,0.035)); #454895=CARTESIAN_POINT('',(48.392831,23.545053,0.)); #454896=CARTESIAN_POINT('Origin',(48.376081,23.519769,0.)); #454897=CARTESIAN_POINT('',(48.376081,23.519769,0.)); #454898=CARTESIAN_POINT('',(48.376081,23.519769,0.)); #454899=CARTESIAN_POINT('',(48.376081,23.519769,0.035)); #454900=CARTESIAN_POINT('',(48.376081,23.519769,0.035)); #454901=CARTESIAN_POINT('',(48.376081,23.519769,0.)); #454902=CARTESIAN_POINT('Origin',(47.230231,22.373919,0.)); #454903=CARTESIAN_POINT('',(47.230231,22.373919,0.)); #454904=CARTESIAN_POINT('',(47.230231,22.373919,0.)); #454905=CARTESIAN_POINT('',(47.230231,22.373919,0.035)); #454906=CARTESIAN_POINT('',(47.230231,22.373919,0.035)); #454907=CARTESIAN_POINT('',(47.230231,22.373919,0.)); #454908=CARTESIAN_POINT('Origin',(47.206072,22.357634,0.)); #454909=CARTESIAN_POINT('',(47.206072,22.357634,0.)); #454910=CARTESIAN_POINT('',(47.206072,22.357634,0.)); #454911=CARTESIAN_POINT('',(47.206072,22.357634,0.035)); #454912=CARTESIAN_POINT('',(47.206072,22.357634,0.035)); #454913=CARTESIAN_POINT('',(47.206072,22.357634,0.)); #454914=CARTESIAN_POINT('Origin',(47.17635,22.3516,0.)); #454915=CARTESIAN_POINT('',(47.17635,22.3516,0.)); #454916=CARTESIAN_POINT('',(47.17635,22.3516,0.)); #454917=CARTESIAN_POINT('',(47.17635,22.3516,0.035)); #454918=CARTESIAN_POINT('',(47.17635,22.3516,0.035)); #454919=CARTESIAN_POINT('',(47.17635,22.3516,0.)); #454920=CARTESIAN_POINT('Origin',(40.504047,22.3516,0.)); #454921=CARTESIAN_POINT('',(40.504047,22.3516,0.)); #454922=CARTESIAN_POINT('',(40.504047,22.3516,0.)); #454923=CARTESIAN_POINT('',(40.504047,22.3516,0.035)); #454924=CARTESIAN_POINT('',(40.504047,22.3516,0.035)); #454925=CARTESIAN_POINT('',(40.504047,22.3516,0.)); #454926=CARTESIAN_POINT('Origin',(40.478691,22.3489,0.)); #454927=CARTESIAN_POINT('',(40.478691,22.3489,0.)); #454928=CARTESIAN_POINT('',(40.478691,22.3489,0.)); #454929=CARTESIAN_POINT('',(40.478691,22.3489,0.035)); #454930=CARTESIAN_POINT('',(40.478691,22.3489,0.035)); #454931=CARTESIAN_POINT('',(40.478691,22.3489,0.)); #454932=CARTESIAN_POINT('Origin',(40.458337,22.342194,0.)); #454933=CARTESIAN_POINT('',(40.458337,22.342194,0.)); #454934=CARTESIAN_POINT('',(40.458337,22.342194,0.)); #454935=CARTESIAN_POINT('',(40.458337,22.342194,0.035)); #454936=CARTESIAN_POINT('',(40.458337,22.342194,0.035)); #454937=CARTESIAN_POINT('',(40.458337,22.342194,0.)); #454938=CARTESIAN_POINT('Origin',(40.438803,22.330731,0.)); #454939=CARTESIAN_POINT('',(40.438803,22.330731,0.)); #454940=CARTESIAN_POINT('',(40.438803,22.330731,0.)); #454941=CARTESIAN_POINT('',(40.438803,22.330731,0.035)); #454942=CARTESIAN_POINT('',(40.438803,22.330731,0.035)); #454943=CARTESIAN_POINT('',(40.438803,22.330731,0.)); #454944=CARTESIAN_POINT('Origin',(40.426134,22.319822,0.)); #454945=CARTESIAN_POINT('',(40.426134,22.319822,0.)); #454946=CARTESIAN_POINT('',(40.426134,22.319822,0.)); #454947=CARTESIAN_POINT('',(40.426134,22.319822,0.035)); #454948=CARTESIAN_POINT('',(40.426134,22.319822,0.035)); #454949=CARTESIAN_POINT('',(40.426134,22.319822,0.)); #454950=CARTESIAN_POINT('Origin',(39.181022,21.074709,0.)); #454951=CARTESIAN_POINT('',(39.181022,21.074709,0.)); #454952=CARTESIAN_POINT('',(39.181022,21.074709,0.)); #454953=CARTESIAN_POINT('',(39.181022,21.074709,0.035)); #454954=CARTESIAN_POINT('',(39.181022,21.074709,0.035)); #454955=CARTESIAN_POINT('',(39.181022,21.074709,0.)); #454956=CARTESIAN_POINT('Origin',(39.165003,21.054869,0.)); #454957=CARTESIAN_POINT('',(39.165003,21.054869,0.)); #454958=CARTESIAN_POINT('',(39.165003,21.054869,0.)); #454959=CARTESIAN_POINT('',(39.165003,21.054869,0.035)); #454960=CARTESIAN_POINT('',(39.165003,21.054869,0.035)); #454961=CARTESIAN_POINT('',(39.165003,21.054869,0.)); #454962=CARTESIAN_POINT('Origin',(39.155353,21.035728,0.)); #454963=CARTESIAN_POINT('',(39.155353,21.035728,0.)); #454964=CARTESIAN_POINT('',(39.155353,21.035728,0.)); #454965=CARTESIAN_POINT('',(39.155353,21.035728,0.035)); #454966=CARTESIAN_POINT('',(39.155353,21.035728,0.035)); #454967=CARTESIAN_POINT('',(39.155353,21.035728,0.)); #454968=CARTESIAN_POINT('Origin',(39.149641,21.013816,0.)); #454969=CARTESIAN_POINT('',(39.149641,21.013816,0.)); #454970=CARTESIAN_POINT('',(39.149641,21.013816,0.)); #454971=CARTESIAN_POINT('',(39.149641,21.013816,0.035)); #454972=CARTESIAN_POINT('',(39.149641,21.013816,0.035)); #454973=CARTESIAN_POINT('',(39.149641,21.013816,0.)); #454974=CARTESIAN_POINT('Origin',(39.1484,20.997153,0.)); #454975=CARTESIAN_POINT('',(39.1484,20.997153,0.)); #454976=CARTESIAN_POINT('',(39.1484,20.997153,0.)); #454977=CARTESIAN_POINT('',(39.1484,20.997153,0.035)); #454978=CARTESIAN_POINT('',(39.1484,20.997153,0.035)); #454979=CARTESIAN_POINT('',(39.1484,20.997153,0.)); #454980=CARTESIAN_POINT('Origin',(39.1484,20.0548,0.)); #454981=CARTESIAN_POINT('',(39.1484,20.0548,0.)); #454982=CARTESIAN_POINT('',(39.1484,20.0548,0.)); #454983=CARTESIAN_POINT('',(39.1484,20.0548,0.035)); #454984=CARTESIAN_POINT('',(39.1484,20.0548,0.035)); #454985=CARTESIAN_POINT('',(39.1484,20.0548,0.)); #454986=CARTESIAN_POINT('Origin',(39.143278,20.027331,0.)); #454987=CARTESIAN_POINT('',(39.143278,20.027331,0.)); #454988=CARTESIAN_POINT('',(39.143278,20.027331,0.)); #454989=CARTESIAN_POINT('',(39.143278,20.027331,0.035)); #454990=CARTESIAN_POINT('',(39.143278,20.027331,0.035)); #454991=CARTESIAN_POINT('',(39.143278,20.027331,0.)); #454992=CARTESIAN_POINT('Origin',(39.126934,20.001784,0.)); #454993=CARTESIAN_POINT('',(39.126934,20.001784,0.)); #454994=CARTESIAN_POINT('',(39.126934,20.001784,0.)); #454995=CARTESIAN_POINT('',(39.126934,20.001784,0.035)); #454996=CARTESIAN_POINT('',(39.126934,20.001784,0.035)); #454997=CARTESIAN_POINT('',(39.126934,20.001784,0.)); #454998=CARTESIAN_POINT('Origin',(39.101922,19.984634,0.)); #454999=CARTESIAN_POINT('',(39.101922,19.984634,0.)); #455000=CARTESIAN_POINT('',(39.101922,19.984634,0.035)); #455001=CARTESIAN_POINT('Origin',(42.41574415234,27.8916757443844,0.035)); #455002=CARTESIAN_POINT('Origin',(42.41574415234,27.8916757443844,0.)); #455003=CARTESIAN_POINT('Origin',(38.842603,15.9786,0.)); #455004=CARTESIAN_POINT('',(38.842603,15.9786,0.)); #455005=CARTESIAN_POINT('',(38.872325,15.984634,0.)); #455006=CARTESIAN_POINT('',(38.842603,15.9786,0.)); #455007=CARTESIAN_POINT('',(38.872325,15.984634,0.035)); #455008=CARTESIAN_POINT('',(38.872325,15.984634,0.)); #455009=CARTESIAN_POINT('',(38.842603,15.9786,0.035)); #455010=CARTESIAN_POINT('',(38.842603,15.9786,0.035)); #455011=CARTESIAN_POINT('',(38.842603,15.9786,0.)); #455012=CARTESIAN_POINT('Origin',(38.485853,15.9786,0.)); #455013=CARTESIAN_POINT('',(38.485853,15.9786,0.)); #455014=CARTESIAN_POINT('',(38.485853,15.9786,0.)); #455015=CARTESIAN_POINT('',(38.485853,15.9786,0.035)); #455016=CARTESIAN_POINT('',(38.485853,15.9786,0.035)); #455017=CARTESIAN_POINT('',(38.485853,15.9786,0.)); #455018=CARTESIAN_POINT('Origin',(38.459522,15.983294,0.)); #455019=CARTESIAN_POINT('',(38.459522,15.983294,0.)); #455020=CARTESIAN_POINT('',(38.459522,15.983294,0.)); #455021=CARTESIAN_POINT('',(38.459522,15.983294,0.035)); #455022=CARTESIAN_POINT('',(38.459522,15.983294,0.035)); #455023=CARTESIAN_POINT('',(38.459522,15.983294,0.)); #455024=CARTESIAN_POINT('Origin',(38.433716,15.999228,0.)); #455025=CARTESIAN_POINT('',(38.433716,15.999228,0.)); #455026=CARTESIAN_POINT('',(38.433716,15.999228,0.)); #455027=CARTESIAN_POINT('',(38.433716,15.999228,0.035)); #455028=CARTESIAN_POINT('',(38.433716,15.999228,0.035)); #455029=CARTESIAN_POINT('',(38.433716,15.999228,0.)); #455030=CARTESIAN_POINT('Origin',(38.416172,16.023966,0.)); #455031=CARTESIAN_POINT('',(38.416172,16.023966,0.)); #455032=CARTESIAN_POINT('',(38.416172,16.023966,0.)); #455033=CARTESIAN_POINT('',(38.416172,16.023966,0.035)); #455034=CARTESIAN_POINT('',(38.416172,16.023966,0.035)); #455035=CARTESIAN_POINT('',(38.416172,16.023966,0.)); #455036=CARTESIAN_POINT('Origin',(38.409663,16.053584,0.)); #455037=CARTESIAN_POINT('',(38.409663,16.053584,0.)); #455038=CARTESIAN_POINT('',(38.409663,16.053584,0.)); #455039=CARTESIAN_POINT('',(38.409663,16.053584,0.035)); #455040=CARTESIAN_POINT('',(38.409663,16.053584,0.035)); #455041=CARTESIAN_POINT('',(38.409663,16.053584,0.)); #455042=CARTESIAN_POINT('Origin',(38.415222,16.083397,0.)); #455043=CARTESIAN_POINT('',(38.415222,16.083397,0.)); #455044=CARTESIAN_POINT('',(38.415222,16.083397,0.)); #455045=CARTESIAN_POINT('',(38.415222,16.083397,0.035)); #455046=CARTESIAN_POINT('',(38.415222,16.083397,0.035)); #455047=CARTESIAN_POINT('',(38.415222,16.083397,0.)); #455048=CARTESIAN_POINT('Origin',(38.431972,16.108681,0.)); #455049=CARTESIAN_POINT('',(38.431972,16.108681,0.)); #455050=CARTESIAN_POINT('',(38.431972,16.108681,0.)); #455051=CARTESIAN_POINT('',(38.431972,16.108681,0.035)); #455052=CARTESIAN_POINT('',(38.431972,16.108681,0.035)); #455053=CARTESIAN_POINT('',(38.431972,16.108681,0.)); #455054=CARTESIAN_POINT('Origin',(38.572372,16.249081,0.)); #455055=CARTESIAN_POINT('',(38.572372,16.249081,0.)); #455056=CARTESIAN_POINT('',(38.572372,16.249081,0.)); #455057=CARTESIAN_POINT('',(38.572372,16.249081,0.035)); #455058=CARTESIAN_POINT('',(38.572372,16.249081,0.035)); #455059=CARTESIAN_POINT('',(38.572372,16.249081,0.)); #455060=CARTESIAN_POINT('Origin',(38.596531,16.265366,0.)); #455061=CARTESIAN_POINT('',(38.596531,16.265366,0.)); #455062=CARTESIAN_POINT('',(38.596531,16.265366,0.)); #455063=CARTESIAN_POINT('',(38.596531,16.265366,0.035)); #455064=CARTESIAN_POINT('',(38.596531,16.265366,0.035)); #455065=CARTESIAN_POINT('',(38.596531,16.265366,0.)); #455066=CARTESIAN_POINT('Origin',(38.626253,16.2714,0.)); #455067=CARTESIAN_POINT('',(38.626253,16.2714,0.)); #455068=CARTESIAN_POINT('',(38.626253,16.2714,0.)); #455069=CARTESIAN_POINT('',(38.626253,16.2714,0.035)); #455070=CARTESIAN_POINT('',(38.626253,16.2714,0.035)); #455071=CARTESIAN_POINT('',(38.626253,16.2714,0.)); #455072=CARTESIAN_POINT('Origin',(38.842603,16.2714,0.)); #455073=CARTESIAN_POINT('',(38.842603,16.2714,0.)); #455074=CARTESIAN_POINT('',(38.842603,16.2714,0.)); #455075=CARTESIAN_POINT('',(38.842603,16.2714,0.035)); #455076=CARTESIAN_POINT('',(38.842603,16.2714,0.035)); #455077=CARTESIAN_POINT('',(38.842603,16.2714,0.)); #455078=CARTESIAN_POINT('Origin',(38.8712,16.265831,0.)); #455079=CARTESIAN_POINT('',(38.8712,16.265831,0.)); #455080=CARTESIAN_POINT('',(38.8712,16.265831,0.)); #455081=CARTESIAN_POINT('',(38.8712,16.265831,0.035)); #455082=CARTESIAN_POINT('',(38.8712,16.265831,0.035)); #455083=CARTESIAN_POINT('',(38.8712,16.265831,0.)); #455084=CARTESIAN_POINT('Origin',(38.896484,16.249081,0.)); #455085=CARTESIAN_POINT('',(38.896484,16.249081,0.)); #455086=CARTESIAN_POINT('',(38.896484,16.249081,0.)); #455087=CARTESIAN_POINT('',(38.896484,16.249081,0.035)); #455088=CARTESIAN_POINT('',(38.896484,16.249081,0.035)); #455089=CARTESIAN_POINT('',(38.896484,16.249081,0.)); #455090=CARTESIAN_POINT('Origin',(38.966684,16.178881,0.)); #455091=CARTESIAN_POINT('',(38.966684,16.178881,0.)); #455092=CARTESIAN_POINT('',(38.966684,16.178881,0.)); #455093=CARTESIAN_POINT('',(38.966684,16.178881,0.035)); #455094=CARTESIAN_POINT('',(38.966684,16.178881,0.035)); #455095=CARTESIAN_POINT('',(38.966684,16.178881,0.)); #455096=CARTESIAN_POINT('Origin',(38.982484,16.155834,0.)); #455097=CARTESIAN_POINT('',(38.982484,16.155834,0.)); #455098=CARTESIAN_POINT('',(38.982484,16.155834,0.)); #455099=CARTESIAN_POINT('',(38.982484,16.155834,0.035)); #455100=CARTESIAN_POINT('',(38.982484,16.155834,0.035)); #455101=CARTESIAN_POINT('',(38.982484,16.155834,0.)); #455102=CARTESIAN_POINT('Origin',(38.988994,16.126216,0.)); #455103=CARTESIAN_POINT('',(38.988994,16.126216,0.)); #455104=CARTESIAN_POINT('',(38.988994,16.126216,0.)); #455105=CARTESIAN_POINT('',(38.988994,16.126216,0.035)); #455106=CARTESIAN_POINT('',(38.988994,16.126216,0.035)); #455107=CARTESIAN_POINT('',(38.988994,16.126216,0.)); #455108=CARTESIAN_POINT('Origin',(38.983434,16.096403,0.)); #455109=CARTESIAN_POINT('',(38.983434,16.096403,0.)); #455110=CARTESIAN_POINT('',(38.983434,16.096403,0.)); #455111=CARTESIAN_POINT('',(38.983434,16.096403,0.035)); #455112=CARTESIAN_POINT('',(38.983434,16.096403,0.035)); #455113=CARTESIAN_POINT('',(38.983434,16.096403,0.)); #455114=CARTESIAN_POINT('Origin',(38.966684,16.071119,0.)); #455115=CARTESIAN_POINT('',(38.966684,16.071119,0.)); #455116=CARTESIAN_POINT('',(38.966684,16.071119,0.)); #455117=CARTESIAN_POINT('',(38.966684,16.071119,0.035)); #455118=CARTESIAN_POINT('',(38.966684,16.071119,0.035)); #455119=CARTESIAN_POINT('',(38.966684,16.071119,0.)); #455120=CARTESIAN_POINT('Origin',(38.896484,16.000919,0.)); #455121=CARTESIAN_POINT('',(38.896484,16.000919,0.)); #455122=CARTESIAN_POINT('',(38.896484,16.000919,0.)); #455123=CARTESIAN_POINT('',(38.896484,16.000919,0.035)); #455124=CARTESIAN_POINT('',(38.896484,16.000919,0.035)); #455125=CARTESIAN_POINT('',(38.896484,16.000919,0.)); #455126=CARTESIAN_POINT('Origin',(38.872325,15.984634,0.)); #455127=CARTESIAN_POINT('',(38.872325,15.984634,0.)); #455128=CARTESIAN_POINT('',(38.872325,15.984634,0.035)); #455129=CARTESIAN_POINT('Origin',(38.7007434002236,16.1124083912077,0.035)); #455130=CARTESIAN_POINT('Origin',(38.7007434002236,16.1124083912077,0.)); #455131=CARTESIAN_POINT('Origin',(37.821416,29.934463,0.)); #455132=CARTESIAN_POINT('',(37.821416,29.934463,0.)); #455133=CARTESIAN_POINT('',(37.851034,29.940972,0.)); #455134=CARTESIAN_POINT('',(37.821416,29.934463,0.)); #455135=CARTESIAN_POINT('',(37.851034,29.940972,0.035)); #455136=CARTESIAN_POINT('',(37.851034,29.940972,0.)); #455137=CARTESIAN_POINT('',(37.821416,29.934463,0.035)); #455138=CARTESIAN_POINT('',(37.821416,29.934463,0.035)); #455139=CARTESIAN_POINT('',(37.821416,29.934463,0.)); #455140=CARTESIAN_POINT('Origin',(37.791603,29.940022,0.)); #455141=CARTESIAN_POINT('',(37.791603,29.940022,0.)); #455142=CARTESIAN_POINT('',(37.791603,29.940022,0.)); #455143=CARTESIAN_POINT('',(37.791603,29.940022,0.035)); #455144=CARTESIAN_POINT('',(37.791603,29.940022,0.035)); #455145=CARTESIAN_POINT('',(37.791603,29.940022,0.)); #455146=CARTESIAN_POINT('Origin',(37.766319,29.956772,0.)); #455147=CARTESIAN_POINT('',(37.766319,29.956772,0.)); #455148=CARTESIAN_POINT('',(37.766319,29.956772,0.)); #455149=CARTESIAN_POINT('',(37.766319,29.956772,0.035)); #455150=CARTESIAN_POINT('',(37.766319,29.956772,0.035)); #455151=CARTESIAN_POINT('',(37.766319,29.956772,0.)); #455152=CARTESIAN_POINT('Origin',(37.171419,30.551672,0.)); #455153=CARTESIAN_POINT('',(37.171419,30.551672,0.)); #455154=CARTESIAN_POINT('',(37.171419,30.551672,0.)); #455155=CARTESIAN_POINT('',(37.171419,30.551672,0.035)); #455156=CARTESIAN_POINT('',(37.171419,30.551672,0.035)); #455157=CARTESIAN_POINT('',(37.171419,30.551672,0.)); #455158=CARTESIAN_POINT('Origin',(37.155134,30.575831,0.)); #455159=CARTESIAN_POINT('',(37.155134,30.575831,0.)); #455160=CARTESIAN_POINT('',(37.155134,30.575831,0.)); #455161=CARTESIAN_POINT('',(37.155134,30.575831,0.035)); #455162=CARTESIAN_POINT('',(37.155134,30.575831,0.035)); #455163=CARTESIAN_POINT('',(37.155134,30.575831,0.)); #455164=CARTESIAN_POINT('Origin',(37.1491,30.605553,0.)); #455165=CARTESIAN_POINT('',(37.1491,30.605553,0.)); #455166=CARTESIAN_POINT('',(37.1491,30.605553,0.)); #455167=CARTESIAN_POINT('',(37.1491,30.605553,0.035)); #455168=CARTESIAN_POINT('',(37.1491,30.605553,0.035)); #455169=CARTESIAN_POINT('',(37.1491,30.605553,0.)); #455170=CARTESIAN_POINT('Origin',(37.1491,31.332378,0.)); #455171=CARTESIAN_POINT('',(37.1491,31.332378,0.)); #455172=CARTESIAN_POINT('',(37.1491,31.332378,0.)); #455173=CARTESIAN_POINT('',(37.1491,31.332378,0.035)); #455174=CARTESIAN_POINT('',(37.1491,31.332378,0.035)); #455175=CARTESIAN_POINT('',(37.1491,31.332378,0.)); #455176=CARTESIAN_POINT('Origin',(37.153794,31.358709,0.)); #455177=CARTESIAN_POINT('',(37.153794,31.358709,0.)); #455178=CARTESIAN_POINT('',(37.153794,31.358709,0.)); #455179=CARTESIAN_POINT('',(37.153794,31.358709,0.035)); #455180=CARTESIAN_POINT('',(37.153794,31.358709,0.035)); #455181=CARTESIAN_POINT('',(37.153794,31.358709,0.)); #455182=CARTESIAN_POINT('Origin',(37.169728,31.384516,0.)); #455183=CARTESIAN_POINT('',(37.169728,31.384516,0.)); #455184=CARTESIAN_POINT('',(37.169728,31.384516,0.)); #455185=CARTESIAN_POINT('',(37.169728,31.384516,0.035)); #455186=CARTESIAN_POINT('',(37.169728,31.384516,0.035)); #455187=CARTESIAN_POINT('',(37.169728,31.384516,0.)); #455188=CARTESIAN_POINT('Origin',(37.194466,31.402059,0.)); #455189=CARTESIAN_POINT('',(37.194466,31.402059,0.)); #455190=CARTESIAN_POINT('',(37.194466,31.402059,0.)); #455191=CARTESIAN_POINT('',(37.194466,31.402059,0.035)); #455192=CARTESIAN_POINT('',(37.194466,31.402059,0.035)); #455193=CARTESIAN_POINT('',(37.194466,31.402059,0.)); #455194=CARTESIAN_POINT('Origin',(37.224084,31.408569,0.)); #455195=CARTESIAN_POINT('',(37.224084,31.408569,0.)); #455196=CARTESIAN_POINT('',(37.224084,31.408569,0.)); #455197=CARTESIAN_POINT('',(37.224084,31.408569,0.035)); #455198=CARTESIAN_POINT('',(37.224084,31.408569,0.035)); #455199=CARTESIAN_POINT('',(37.224084,31.408569,0.)); #455200=CARTESIAN_POINT('Origin',(37.253897,31.403009,0.)); #455201=CARTESIAN_POINT('',(37.253897,31.403009,0.)); #455202=CARTESIAN_POINT('',(37.253897,31.403009,0.)); #455203=CARTESIAN_POINT('',(37.253897,31.403009,0.035)); #455204=CARTESIAN_POINT('',(37.253897,31.403009,0.035)); #455205=CARTESIAN_POINT('',(37.253897,31.403009,0.)); #455206=CARTESIAN_POINT('Origin',(37.279181,31.386259,0.)); #455207=CARTESIAN_POINT('',(37.279181,31.386259,0.)); #455208=CARTESIAN_POINT('',(37.279181,31.386259,0.)); #455209=CARTESIAN_POINT('',(37.279181,31.386259,0.035)); #455210=CARTESIAN_POINT('',(37.279181,31.386259,0.035)); #455211=CARTESIAN_POINT('',(37.279181,31.386259,0.)); #455212=CARTESIAN_POINT('Origin',(37.874081,30.791359,0.)); #455213=CARTESIAN_POINT('',(37.874081,30.791359,0.)); #455214=CARTESIAN_POINT('',(37.874081,30.791359,0.)); #455215=CARTESIAN_POINT('',(37.874081,30.791359,0.035)); #455216=CARTESIAN_POINT('',(37.874081,30.791359,0.035)); #455217=CARTESIAN_POINT('',(37.874081,30.791359,0.)); #455218=CARTESIAN_POINT('Origin',(37.890366,30.7672,0.)); #455219=CARTESIAN_POINT('',(37.890366,30.7672,0.)); #455220=CARTESIAN_POINT('',(37.890366,30.7672,0.)); #455221=CARTESIAN_POINT('',(37.890366,30.7672,0.035)); #455222=CARTESIAN_POINT('',(37.890366,30.7672,0.035)); #455223=CARTESIAN_POINT('',(37.890366,30.7672,0.)); #455224=CARTESIAN_POINT('Origin',(37.8964,30.737478,0.)); #455225=CARTESIAN_POINT('',(37.8964,30.737478,0.)); #455226=CARTESIAN_POINT('',(37.8964,30.737478,0.)); #455227=CARTESIAN_POINT('',(37.8964,30.737478,0.035)); #455228=CARTESIAN_POINT('',(37.8964,30.737478,0.035)); #455229=CARTESIAN_POINT('',(37.8964,30.737478,0.)); #455230=CARTESIAN_POINT('Origin',(37.8964,30.010653,0.)); #455231=CARTESIAN_POINT('',(37.8964,30.010653,0.)); #455232=CARTESIAN_POINT('',(37.8964,30.010653,0.)); #455233=CARTESIAN_POINT('',(37.8964,30.010653,0.035)); #455234=CARTESIAN_POINT('',(37.8964,30.010653,0.035)); #455235=CARTESIAN_POINT('',(37.8964,30.010653,0.)); #455236=CARTESIAN_POINT('Origin',(37.891706,29.984322,0.)); #455237=CARTESIAN_POINT('',(37.891706,29.984322,0.)); #455238=CARTESIAN_POINT('',(37.891706,29.984322,0.)); #455239=CARTESIAN_POINT('',(37.891706,29.984322,0.035)); #455240=CARTESIAN_POINT('',(37.891706,29.984322,0.035)); #455241=CARTESIAN_POINT('',(37.891706,29.984322,0.)); #455242=CARTESIAN_POINT('Origin',(37.875772,29.958516,0.)); #455243=CARTESIAN_POINT('',(37.875772,29.958516,0.)); #455244=CARTESIAN_POINT('',(37.875772,29.958516,0.)); #455245=CARTESIAN_POINT('',(37.875772,29.958516,0.035)); #455246=CARTESIAN_POINT('',(37.875772,29.958516,0.035)); #455247=CARTESIAN_POINT('',(37.875772,29.958516,0.)); #455248=CARTESIAN_POINT('Origin',(37.851034,29.940972,0.)); #455249=CARTESIAN_POINT('',(37.851034,29.940972,0.)); #455250=CARTESIAN_POINT('',(37.851034,29.940972,0.035)); #455251=CARTESIAN_POINT('Origin',(37.5227499364871,30.6715156469963,0.035)); #455252=CARTESIAN_POINT('Origin',(37.5227499364871,30.6715156469963,0.)); #455253=CARTESIAN_POINT('Origin',(33.25,48.5,-200.)); #455254=CARTESIAN_POINT('',(33.11,48.5,0.)); #455255=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #455256=CARTESIAN_POINT('',(33.11,48.5,0.0349999999999895)); #455257=CARTESIAN_POINT('',(33.11,48.5,-200.)); #455258=CARTESIAN_POINT('Origin',(33.25,48.5,0.0349999999999895)); #455259=CARTESIAN_POINT('Origin',(33.25,50.,-200.)); #455260=CARTESIAN_POINT('',(33.11,50.,0.)); #455261=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #455262=CARTESIAN_POINT('',(33.11,50.,0.0349999999999895)); #455263=CARTESIAN_POINT('',(33.11,50.,-200.)); #455264=CARTESIAN_POINT('Origin',(33.25,50.,0.0349999999999895)); #455265=CARTESIAN_POINT('Origin',(33.25,49.25,-200.)); #455266=CARTESIAN_POINT('',(33.11,49.25,0.)); #455267=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #455268=CARTESIAN_POINT('',(33.11,49.25,0.0349999999999895)); #455269=CARTESIAN_POINT('',(33.11,49.25,-200.)); #455270=CARTESIAN_POINT('Origin',(33.25,49.25,0.0349999999999895)); #455271=CARTESIAN_POINT('Origin',(12.25,34.,-200.)); #455272=CARTESIAN_POINT('',(11.785,34.,0.)); #455273=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #455274=CARTESIAN_POINT('',(11.785,34.,0.0349999999999895)); #455275=CARTESIAN_POINT('',(11.785,34.,-200.)); #455276=CARTESIAN_POINT('Origin',(12.25,34.,0.0349999999999895)); #455277=CARTESIAN_POINT('Origin',(34.75,48.5,-200.)); #455278=CARTESIAN_POINT('',(34.61,48.5,0.)); #455279=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #455280=CARTESIAN_POINT('',(34.61,48.5,0.0349999999999895)); #455281=CARTESIAN_POINT('',(34.61,48.5,-200.)); #455282=CARTESIAN_POINT('Origin',(34.75,48.5,0.0349999999999895)); #455283=CARTESIAN_POINT('Origin',(34.,48.5,-200.)); #455284=CARTESIAN_POINT('',(33.86,48.5,0.)); #455285=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #455286=CARTESIAN_POINT('',(33.86,48.5,0.0349999999999895)); #455287=CARTESIAN_POINT('',(33.86,48.5,-200.)); #455288=CARTESIAN_POINT('Origin',(34.,48.5,0.0349999999999895)); #455289=CARTESIAN_POINT('Origin',(34.75,50.,-200.)); #455290=CARTESIAN_POINT('',(34.61,50.,0.)); #455291=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #455292=CARTESIAN_POINT('',(34.61,50.,0.0349999999999895)); #455293=CARTESIAN_POINT('',(34.61,50.,-200.)); #455294=CARTESIAN_POINT('Origin',(34.75,50.,0.0349999999999895)); #455295=CARTESIAN_POINT('Origin',(34.,50.,-200.)); #455296=CARTESIAN_POINT('',(33.86,50.,0.)); #455297=CARTESIAN_POINT('Origin',(34.,50.,0.)); #455298=CARTESIAN_POINT('',(33.86,50.,0.0349999999999895)); #455299=CARTESIAN_POINT('',(33.86,50.,-200.)); #455300=CARTESIAN_POINT('Origin',(34.,50.,0.0349999999999895)); #455301=CARTESIAN_POINT('Origin',(34.75,49.25,-200.)); #455302=CARTESIAN_POINT('',(34.61,49.25,0.)); #455303=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #455304=CARTESIAN_POINT('',(34.61,49.25,0.0349999999999895)); #455305=CARTESIAN_POINT('',(34.61,49.25,-200.)); #455306=CARTESIAN_POINT('Origin',(34.75,49.25,0.0349999999999895)); #455307=CARTESIAN_POINT('Origin',(34.,49.25,-200.)); #455308=CARTESIAN_POINT('',(33.86,49.25,0.)); #455309=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #455310=CARTESIAN_POINT('',(33.86,49.25,0.0349999999999895)); #455311=CARTESIAN_POINT('',(33.86,49.25,-200.)); #455312=CARTESIAN_POINT('Origin',(34.,49.25,0.0349999999999895)); #455313=CARTESIAN_POINT('Origin',(10.25,33.25,-200.)); #455314=CARTESIAN_POINT('',(10.11,33.25,0.)); #455315=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #455316=CARTESIAN_POINT('',(10.11,33.25,0.0349999999999895)); #455317=CARTESIAN_POINT('',(10.11,33.25,-200.)); #455318=CARTESIAN_POINT('Origin',(10.25,33.25,0.0349999999999895)); #455319=CARTESIAN_POINT('Origin',(9.5,33.25,-200.)); #455320=CARTESIAN_POINT('',(9.36,33.25,0.)); #455321=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #455322=CARTESIAN_POINT('',(9.36,33.25,0.0349999999999895)); #455323=CARTESIAN_POINT('',(9.36,33.25,-200.)); #455324=CARTESIAN_POINT('Origin',(9.5,33.25,0.0349999999999895)); #455325=CARTESIAN_POINT('Origin',(10.25,34.,-200.)); #455326=CARTESIAN_POINT('',(10.11,34.,0.)); #455327=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #455328=CARTESIAN_POINT('',(10.11,34.,0.0349999999999895)); #455329=CARTESIAN_POINT('',(10.11,34.,-200.)); #455330=CARTESIAN_POINT('Origin',(10.25,34.,0.0349999999999895)); #455331=CARTESIAN_POINT('Origin',(9.5,34.,-200.)); #455332=CARTESIAN_POINT('',(9.36,34.,0.)); #455333=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #455334=CARTESIAN_POINT('',(9.36,34.,0.0349999999999895)); #455335=CARTESIAN_POINT('',(9.36,34.,-200.)); #455336=CARTESIAN_POINT('Origin',(9.5,34.,0.0349999999999895)); #455337=CARTESIAN_POINT('Origin',(57.75,33.25,-200.)); #455338=CARTESIAN_POINT('',(57.61,33.25,0.)); #455339=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #455340=CARTESIAN_POINT('',(57.61,33.25,0.0349999999999895)); #455341=CARTESIAN_POINT('',(57.61,33.25,-200.)); #455342=CARTESIAN_POINT('Origin',(57.75,33.25,0.0349999999999895)); #455343=CARTESIAN_POINT('Origin',(62.,33.25,-200.)); #455344=CARTESIAN_POINT('',(61.86,33.25,0.)); #455345=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #455346=CARTESIAN_POINT('',(61.86,33.25,0.0349999999999895)); #455347=CARTESIAN_POINT('',(61.86,33.25,-200.)); #455348=CARTESIAN_POINT('Origin',(62.,33.25,0.0349999999999895)); #455349=CARTESIAN_POINT('Origin',(57.75,34.,-200.)); #455350=CARTESIAN_POINT('',(57.61,34.,0.)); #455351=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #455352=CARTESIAN_POINT('',(57.61,34.,0.0349999999999895)); #455353=CARTESIAN_POINT('',(57.61,34.,-200.)); #455354=CARTESIAN_POINT('Origin',(57.75,34.,0.0349999999999895)); #455355=CARTESIAN_POINT('Origin',(57.75,34.75,-200.)); #455356=CARTESIAN_POINT('',(57.61,34.75,0.)); #455357=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #455358=CARTESIAN_POINT('',(57.61,34.75,0.0349999999999895)); #455359=CARTESIAN_POINT('',(57.61,34.75,-200.)); #455360=CARTESIAN_POINT('Origin',(57.75,34.75,0.0349999999999895)); #455361=CARTESIAN_POINT('Origin',(61.25,33.25,-200.)); #455362=CARTESIAN_POINT('',(61.11,33.25,0.)); #455363=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #455364=CARTESIAN_POINT('',(61.11,33.25,0.0349999999999895)); #455365=CARTESIAN_POINT('',(61.11,33.25,-200.)); #455366=CARTESIAN_POINT('Origin',(61.25,33.25,0.0349999999999895)); #455367=CARTESIAN_POINT('Origin',(58.5,33.25,-200.)); #455368=CARTESIAN_POINT('',(58.36,33.25,0.)); #455369=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #455370=CARTESIAN_POINT('',(58.36,33.25,0.0349999999999895)); #455371=CARTESIAN_POINT('',(58.36,33.25,-200.)); #455372=CARTESIAN_POINT('Origin',(58.5,33.25,0.0349999999999895)); #455373=CARTESIAN_POINT('Origin',(58.5,34.,-200.)); #455374=CARTESIAN_POINT('',(58.36,34.,0.)); #455375=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #455376=CARTESIAN_POINT('',(58.36,34.,0.0349999999999895)); #455377=CARTESIAN_POINT('',(58.36,34.,-200.)); #455378=CARTESIAN_POINT('Origin',(58.5,34.,0.0349999999999895)); #455379=CARTESIAN_POINT('Origin',(58.5,34.75,-200.)); #455380=CARTESIAN_POINT('',(58.36,34.75,0.)); #455381=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #455382=CARTESIAN_POINT('',(58.36,34.75,0.0349999999999895)); #455383=CARTESIAN_POINT('',(58.36,34.75,-200.)); #455384=CARTESIAN_POINT('Origin',(58.5,34.75,0.0349999999999895)); #455385=CARTESIAN_POINT('Origin',(61.25,34.75,-200.)); #455386=CARTESIAN_POINT('',(61.11,34.75,0.)); #455387=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #455388=CARTESIAN_POINT('',(61.11,34.75,0.0349999999999895)); #455389=CARTESIAN_POINT('',(61.11,34.75,-200.)); #455390=CARTESIAN_POINT('Origin',(61.25,34.75,0.0349999999999895)); #455391=CARTESIAN_POINT('Origin',(61.25,34.,-200.)); #455392=CARTESIAN_POINT('',(61.11,34.,0.)); #455393=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #455394=CARTESIAN_POINT('',(61.11,34.,0.0349999999999895)); #455395=CARTESIAN_POINT('',(61.11,34.,-200.)); #455396=CARTESIAN_POINT('Origin',(61.25,34.,0.0349999999999895)); #455397=CARTESIAN_POINT('Origin',(62.,34.75,-200.)); #455398=CARTESIAN_POINT('',(61.86,34.75,0.)); #455399=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #455400=CARTESIAN_POINT('',(61.86,34.75,0.0349999999999895)); #455401=CARTESIAN_POINT('',(61.86,34.75,-200.)); #455402=CARTESIAN_POINT('Origin',(62.,34.75,0.0349999999999895)); #455403=CARTESIAN_POINT('Origin',(62.,34.,-200.)); #455404=CARTESIAN_POINT('',(61.86,34.,0.)); #455405=CARTESIAN_POINT('Origin',(62.,34.,0.)); #455406=CARTESIAN_POINT('',(61.86,34.,0.0349999999999895)); #455407=CARTESIAN_POINT('',(61.86,34.,-200.)); #455408=CARTESIAN_POINT('Origin',(62.,34.,0.0349999999999895)); #455409=CARTESIAN_POINT('Origin',(6.5,34.75,-200.)); #455410=CARTESIAN_POINT('',(6.36,34.75,0.)); #455411=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #455412=CARTESIAN_POINT('',(6.36,34.75,0.0349999999999895)); #455413=CARTESIAN_POINT('',(6.36,34.75,-200.)); #455414=CARTESIAN_POINT('Origin',(6.5,34.75,0.0349999999999895)); #455415=CARTESIAN_POINT('Origin',(6.5,34.,-200.)); #455416=CARTESIAN_POINT('',(6.36,34.,0.)); #455417=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #455418=CARTESIAN_POINT('',(6.36,34.,0.0349999999999895)); #455419=CARTESIAN_POINT('',(6.36,34.,-200.)); #455420=CARTESIAN_POINT('Origin',(6.5,34.,0.0349999999999895)); #455421=CARTESIAN_POINT('Origin',(7.25,33.25,-200.)); #455422=CARTESIAN_POINT('',(7.11,33.25,0.)); #455423=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #455424=CARTESIAN_POINT('',(7.11,33.25,0.0349999999999895)); #455425=CARTESIAN_POINT('',(7.11,33.25,-200.)); #455426=CARTESIAN_POINT('Origin',(7.25,33.25,0.0349999999999895)); #455427=CARTESIAN_POINT('Origin',(6.5,33.25,-200.)); #455428=CARTESIAN_POINT('',(6.36,33.25,0.)); #455429=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #455430=CARTESIAN_POINT('',(6.36,33.25,0.0349999999999895)); #455431=CARTESIAN_POINT('',(6.36,33.25,-200.)); #455432=CARTESIAN_POINT('Origin',(6.5,33.25,0.0349999999999895)); #455433=CARTESIAN_POINT('Origin',(9.5,34.75,-200.)); #455434=CARTESIAN_POINT('',(9.36,34.75,0.)); #455435=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #455436=CARTESIAN_POINT('',(9.36,34.75,0.0349999999999895)); #455437=CARTESIAN_POINT('',(9.36,34.75,-200.)); #455438=CARTESIAN_POINT('Origin',(9.5,34.75,0.0349999999999895)); #455439=CARTESIAN_POINT('Origin',(10.25,34.75,-200.)); #455440=CARTESIAN_POINT('',(10.11,34.75,0.)); #455441=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #455442=CARTESIAN_POINT('',(10.11,34.75,0.0349999999999895)); #455443=CARTESIAN_POINT('',(10.11,34.75,-200.)); #455444=CARTESIAN_POINT('Origin',(10.25,34.75,0.0349999999999895)); #455445=CARTESIAN_POINT('Origin',(7.25,34.75,-200.)); #455446=CARTESIAN_POINT('',(7.11,34.75,0.)); #455447=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #455448=CARTESIAN_POINT('',(7.11,34.75,0.0349999999999895)); #455449=CARTESIAN_POINT('',(7.11,34.75,-200.)); #455450=CARTESIAN_POINT('Origin',(7.25,34.75,0.0349999999999895)); #455451=CARTESIAN_POINT('Origin',(7.25,34.,-200.)); #455452=CARTESIAN_POINT('',(7.11,34.,0.)); #455453=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #455454=CARTESIAN_POINT('',(7.11,34.,0.0349999999999895)); #455455=CARTESIAN_POINT('',(7.11,34.,-200.)); #455456=CARTESIAN_POINT('Origin',(7.25,34.,0.0349999999999895)); #455457=CARTESIAN_POINT('Origin',(55.75,34.,-200.)); #455458=CARTESIAN_POINT('',(55.285,34.,0.)); #455459=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #455460=CARTESIAN_POINT('',(55.285,34.,0.0349999999999895)); #455461=CARTESIAN_POINT('',(55.285,34.,-200.)); #455462=CARTESIAN_POINT('Origin',(55.75,34.,0.0349999999999895)); #455463=CARTESIAN_POINT('Origin',(29.995,2.09999997467882,-200.)); #455464=CARTESIAN_POINT('',(29.995,2.89999999155961,0.0349999999999895)); #455465=CARTESIAN_POINT('',(29.995,2.09999997467882,0.0349999999999895)); #455466=CARTESIAN_POINT('',(29.995,18.050000005869,0.0350000000000072)); #455467=CARTESIAN_POINT('',(29.995,2.89999999155961,0.)); #455468=CARTESIAN_POINT('',(29.995,2.89999999155961,-200.)); #455469=CARTESIAN_POINT('',(29.995,2.09999997467882,0.)); #455470=CARTESIAN_POINT('',(29.995,18.050000005869,0.)); #455471=CARTESIAN_POINT('',(29.995,2.09999997467882,-200.)); #455472=CARTESIAN_POINT('Origin',(29.68,2.1,-200.)); #455473=CARTESIAN_POINT('',(29.365,2.10000000844039,0.0349999999999895)); #455474=CARTESIAN_POINT('Origin',(29.68,2.1,0.0349999999999895)); #455475=CARTESIAN_POINT('',(29.365,2.10000000844039,0.)); #455476=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #455477=CARTESIAN_POINT('',(29.365,2.10000000844039,-200.)); #455478=CARTESIAN_POINT('Origin',(29.365,2.90000002532118,-200.)); #455479=CARTESIAN_POINT('',(29.365,2.90000002532118,0.0349999999999895)); #455480=CARTESIAN_POINT('',(29.365,18.4500000311902,0.0350000000000072)); #455481=CARTESIAN_POINT('',(29.365,2.90000002532118,0.)); #455482=CARTESIAN_POINT('',(29.365,18.4500000311902,0.)); #455483=CARTESIAN_POINT('',(29.365,2.90000002532118,-200.)); #455484=CARTESIAN_POINT('Origin',(29.68,2.9,-200.)); #455485=CARTESIAN_POINT('Origin',(29.68,2.9,0.0349999999999895)); #455486=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #455487=CARTESIAN_POINT('Origin',(30.8,7.6,-200.)); #455488=CARTESIAN_POINT('',(30.685,7.6,0.)); #455489=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #455490=CARTESIAN_POINT('',(30.685,7.6,0.0349999999999895)); #455491=CARTESIAN_POINT('',(30.685,7.6,-200.)); #455492=CARTESIAN_POINT('Origin',(30.8,7.6,0.0349999999999895)); #455493=CARTESIAN_POINT('Origin',(37.2,7.1,-200.)); #455494=CARTESIAN_POINT('',(37.085,7.1,0.)); #455495=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #455496=CARTESIAN_POINT('',(37.085,7.1,0.0349999999999895)); #455497=CARTESIAN_POINT('',(37.085,7.1,-200.)); #455498=CARTESIAN_POINT('Origin',(37.2,7.1,0.0349999999999895)); #455499=CARTESIAN_POINT('Origin',(37.2,7.6,-200.)); #455500=CARTESIAN_POINT('',(37.085,7.6,0.)); #455501=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #455502=CARTESIAN_POINT('',(37.085,7.6,0.0349999999999895)); #455503=CARTESIAN_POINT('',(37.085,7.6,-200.)); #455504=CARTESIAN_POINT('Origin',(37.2,7.6,0.0349999999999895)); #455505=CARTESIAN_POINT('Origin',(30.8,7.1,-200.)); #455506=CARTESIAN_POINT('',(30.685,7.1,0.)); #455507=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #455508=CARTESIAN_POINT('',(30.685,7.1,0.0349999999999895)); #455509=CARTESIAN_POINT('',(30.685,7.1,-200.)); #455510=CARTESIAN_POINT('Origin',(30.8,7.1,0.0349999999999895)); #455511=CARTESIAN_POINT('Origin',(29.995,6.27999997467882,-200.)); #455512=CARTESIAN_POINT('',(29.995,7.07999999155961,0.0349999999999895)); #455513=CARTESIAN_POINT('',(29.995,6.27999997467882,0.0349999999999895)); #455514=CARTESIAN_POINT('',(29.995,20.140000005869,0.0350000000000072)); #455515=CARTESIAN_POINT('',(29.995,7.07999999155961,0.)); #455516=CARTESIAN_POINT('',(29.995,7.07999999155961,-200.)); #455517=CARTESIAN_POINT('',(29.995,6.27999997467882,0.)); #455518=CARTESIAN_POINT('',(29.995,20.140000005869,0.)); #455519=CARTESIAN_POINT('',(29.995,6.27999997467882,-200.)); #455520=CARTESIAN_POINT('Origin',(29.68,6.28,-200.)); #455521=CARTESIAN_POINT('',(29.365,6.28000000844039,0.0349999999999895)); #455522=CARTESIAN_POINT('Origin',(29.68,6.28,0.0349999999999895)); #455523=CARTESIAN_POINT('',(29.365,6.28000000844039,0.)); #455524=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #455525=CARTESIAN_POINT('',(29.365,6.28000000844039,-200.)); #455526=CARTESIAN_POINT('Origin',(29.365,7.08000002532118,-200.)); #455527=CARTESIAN_POINT('',(29.365,7.08000002532118,0.0349999999999895)); #455528=CARTESIAN_POINT('',(29.365,20.5400000311902,0.0350000000000072)); #455529=CARTESIAN_POINT('',(29.365,7.08000002532118,0.)); #455530=CARTESIAN_POINT('',(29.365,20.5400000311902,0.)); #455531=CARTESIAN_POINT('',(29.365,7.08000002532118,-200.)); #455532=CARTESIAN_POINT('Origin',(29.68,7.08,-200.)); #455533=CARTESIAN_POINT('Origin',(29.68,7.08,0.0349999999999895)); #455534=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #455535=CARTESIAN_POINT('Origin',(38.635,2.09999997467882,-200.)); #455536=CARTESIAN_POINT('',(38.635,2.89999999155961,0.0349999999999895)); #455537=CARTESIAN_POINT('',(38.635,2.09999997467882,0.0349999999999895)); #455538=CARTESIAN_POINT('',(38.635,18.050000005869,0.0350000000000072)); #455539=CARTESIAN_POINT('',(38.635,2.89999999155961,0.)); #455540=CARTESIAN_POINT('',(38.635,2.89999999155961,-200.)); #455541=CARTESIAN_POINT('',(38.635,2.09999997467882,0.)); #455542=CARTESIAN_POINT('',(38.635,18.050000005869,0.)); #455543=CARTESIAN_POINT('',(38.635,2.09999997467882,-200.)); #455544=CARTESIAN_POINT('Origin',(38.32,2.1,-200.)); #455545=CARTESIAN_POINT('',(38.005,2.10000000844039,0.0349999999999895)); #455546=CARTESIAN_POINT('Origin',(38.32,2.1,0.0349999999999895)); #455547=CARTESIAN_POINT('',(38.005,2.10000000844039,0.)); #455548=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #455549=CARTESIAN_POINT('',(38.005,2.10000000844039,-200.)); #455550=CARTESIAN_POINT('Origin',(38.005,2.90000002532118,-200.)); #455551=CARTESIAN_POINT('',(38.005,2.90000002532118,0.0349999999999895)); #455552=CARTESIAN_POINT('',(38.005,18.4500000311902,0.0350000000000072)); #455553=CARTESIAN_POINT('',(38.005,2.90000002532118,0.)); #455554=CARTESIAN_POINT('',(38.005,18.4500000311902,0.)); #455555=CARTESIAN_POINT('',(38.005,2.90000002532118,-200.)); #455556=CARTESIAN_POINT('Origin',(38.32,2.9,-200.)); #455557=CARTESIAN_POINT('Origin',(38.32,2.9,0.0349999999999895)); #455558=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #455559=CARTESIAN_POINT('Origin',(38.635,6.27999997467882,-200.)); #455560=CARTESIAN_POINT('',(38.635,7.07999999155961,0.0349999999999895)); #455561=CARTESIAN_POINT('',(38.635,6.27999997467882,0.0349999999999895)); #455562=CARTESIAN_POINT('',(38.635,20.140000005869,0.0350000000000072)); #455563=CARTESIAN_POINT('',(38.635,7.07999999155961,0.)); #455564=CARTESIAN_POINT('',(38.635,7.07999999155961,-200.)); #455565=CARTESIAN_POINT('',(38.635,6.27999997467882,0.)); #455566=CARTESIAN_POINT('',(38.635,20.140000005869,0.)); #455567=CARTESIAN_POINT('',(38.635,6.27999997467882,-200.)); #455568=CARTESIAN_POINT('Origin',(38.32,6.28,-200.)); #455569=CARTESIAN_POINT('',(38.005,6.28000000844039,0.0349999999999895)); #455570=CARTESIAN_POINT('Origin',(38.32,6.28,0.0349999999999895)); #455571=CARTESIAN_POINT('',(38.005,6.28000000844039,0.)); #455572=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #455573=CARTESIAN_POINT('',(38.005,6.28000000844039,-200.)); #455574=CARTESIAN_POINT('Origin',(38.005,7.08000002532118,-200.)); #455575=CARTESIAN_POINT('',(38.005,7.08000002532118,0.0349999999999895)); #455576=CARTESIAN_POINT('',(38.005,20.5400000311902,0.0350000000000072)); #455577=CARTESIAN_POINT('',(38.005,7.08000002532118,0.)); #455578=CARTESIAN_POINT('',(38.005,20.5400000311902,0.)); #455579=CARTESIAN_POINT('',(38.005,7.08000002532118,-200.)); #455580=CARTESIAN_POINT('Origin',(38.32,7.08,-200.)); #455581=CARTESIAN_POINT('Origin',(38.32,7.08,0.0349999999999895)); #455582=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #455583=CARTESIAN_POINT('Origin',(55.25,21.75,-200.)); #455584=CARTESIAN_POINT('',(55.11,21.75,0.)); #455585=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #455586=CARTESIAN_POINT('',(55.11,21.75,0.0349999999999895)); #455587=CARTESIAN_POINT('',(55.11,21.75,-200.)); #455588=CARTESIAN_POINT('Origin',(55.25,21.75,0.0349999999999895)); #455589=CARTESIAN_POINT('Origin',(55.25,21.,-200.)); #455590=CARTESIAN_POINT('',(55.11,21.,0.)); #455591=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #455592=CARTESIAN_POINT('',(55.11,21.,0.0349999999999895)); #455593=CARTESIAN_POINT('',(55.11,21.,-200.)); #455594=CARTESIAN_POINT('Origin',(55.25,21.,0.0349999999999895)); #455595=CARTESIAN_POINT('Origin',(37.81,45.,-200.)); #455596=CARTESIAN_POINT('',(37.245,45.,0.)); #455597=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #455598=CARTESIAN_POINT('',(37.245,45.,0.0349999999999895)); #455599=CARTESIAN_POINT('',(37.245,45.,-200.)); #455600=CARTESIAN_POINT('Origin',(37.81,45.,0.0349999999999895)); #455601=CARTESIAN_POINT('Origin',(12.75,21.,-200.)); #455602=CARTESIAN_POINT('',(12.61,21.,0.)); #455603=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #455604=CARTESIAN_POINT('',(12.61,21.,0.0349999999999895)); #455605=CARTESIAN_POINT('',(12.61,21.,-200.)); #455606=CARTESIAN_POINT('Origin',(12.75,21.,0.0349999999999895)); #455607=CARTESIAN_POINT('Origin',(56.,20.25,-200.)); #455608=CARTESIAN_POINT('',(55.86,20.25,0.)); #455609=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #455610=CARTESIAN_POINT('',(55.86,20.25,0.0349999999999895)); #455611=CARTESIAN_POINT('',(55.86,20.25,-200.)); #455612=CARTESIAN_POINT('Origin',(56.,20.25,0.0349999999999895)); #455613=CARTESIAN_POINT('Origin',(56.,21.75,-200.)); #455614=CARTESIAN_POINT('',(55.86,21.75,0.)); #455615=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #455616=CARTESIAN_POINT('',(55.86,21.75,0.0349999999999895)); #455617=CARTESIAN_POINT('',(55.86,21.75,-200.)); #455618=CARTESIAN_POINT('Origin',(56.,21.75,0.0349999999999895)); #455619=CARTESIAN_POINT('Origin',(56.,21.,-200.)); #455620=CARTESIAN_POINT('',(55.86,21.,0.)); #455621=CARTESIAN_POINT('Origin',(56.,21.,0.)); #455622=CARTESIAN_POINT('',(55.86,21.,0.0349999999999895)); #455623=CARTESIAN_POINT('',(55.86,21.,-200.)); #455624=CARTESIAN_POINT('Origin',(56.,21.,0.0349999999999895)); #455625=CARTESIAN_POINT('Origin',(54.5,20.25,-200.)); #455626=CARTESIAN_POINT('',(54.36,20.25,0.)); #455627=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #455628=CARTESIAN_POINT('',(54.36,20.25,0.0349999999999895)); #455629=CARTESIAN_POINT('',(54.36,20.25,-200.)); #455630=CARTESIAN_POINT('Origin',(54.5,20.25,0.0349999999999895)); #455631=CARTESIAN_POINT('Origin',(55.25,20.25,-200.)); #455632=CARTESIAN_POINT('',(55.11,20.25,0.)); #455633=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #455634=CARTESIAN_POINT('',(55.11,20.25,0.0349999999999895)); #455635=CARTESIAN_POINT('',(55.11,20.25,-200.)); #455636=CARTESIAN_POINT('Origin',(55.25,20.25,0.0349999999999895)); #455637=CARTESIAN_POINT('Origin',(54.5,21.,-200.)); #455638=CARTESIAN_POINT('',(54.36,21.,0.)); #455639=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #455640=CARTESIAN_POINT('',(54.36,21.,0.0349999999999895)); #455641=CARTESIAN_POINT('',(54.36,21.,-200.)); #455642=CARTESIAN_POINT('Origin',(54.5,21.,0.0349999999999895)); #455643=CARTESIAN_POINT('Origin',(54.5,21.75,-200.)); #455644=CARTESIAN_POINT('',(54.36,21.75,0.)); #455645=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #455646=CARTESIAN_POINT('',(54.36,21.75,0.0349999999999895)); #455647=CARTESIAN_POINT('',(54.36,21.75,-200.)); #455648=CARTESIAN_POINT('Origin',(54.5,21.75,0.0349999999999895)); #455649=CARTESIAN_POINT('Origin',(12.,21.,-200.)); #455650=CARTESIAN_POINT('',(11.86,21.,0.)); #455651=CARTESIAN_POINT('Origin',(12.,21.,0.)); #455652=CARTESIAN_POINT('',(11.86,21.,0.0349999999999895)); #455653=CARTESIAN_POINT('',(11.86,21.,-200.)); #455654=CARTESIAN_POINT('Origin',(12.,21.,0.0349999999999895)); #455655=CARTESIAN_POINT('Origin',(12.,20.25,-200.)); #455656=CARTESIAN_POINT('',(11.86,20.25,0.)); #455657=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #455658=CARTESIAN_POINT('',(11.86,20.25,0.0349999999999895)); #455659=CARTESIAN_POINT('',(11.86,20.25,-200.)); #455660=CARTESIAN_POINT('Origin',(12.,20.25,0.0349999999999895)); #455661=CARTESIAN_POINT('Origin',(12.75,21.75,-200.)); #455662=CARTESIAN_POINT('',(12.61,21.75,0.)); #455663=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #455664=CARTESIAN_POINT('',(12.61,21.75,0.0349999999999895)); #455665=CARTESIAN_POINT('',(12.61,21.75,-200.)); #455666=CARTESIAN_POINT('Origin',(12.75,21.75,0.0349999999999895)); #455667=CARTESIAN_POINT('Origin',(12.75,20.25,-200.)); #455668=CARTESIAN_POINT('',(12.61,20.25,0.)); #455669=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #455670=CARTESIAN_POINT('',(12.61,20.25,0.0349999999999895)); #455671=CARTESIAN_POINT('',(12.61,20.25,-200.)); #455672=CARTESIAN_POINT('Origin',(12.75,20.25,0.0349999999999895)); #455673=CARTESIAN_POINT('Origin',(13.5,21.75,-200.)); #455674=CARTESIAN_POINT('',(13.36,21.75,0.)); #455675=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #455676=CARTESIAN_POINT('',(13.36,21.75,0.0349999999999895)); #455677=CARTESIAN_POINT('',(13.36,21.75,-200.)); #455678=CARTESIAN_POINT('Origin',(13.5,21.75,0.0349999999999895)); #455679=CARTESIAN_POINT('Origin',(12.,21.75,-200.)); #455680=CARTESIAN_POINT('',(11.86,21.75,0.)); #455681=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #455682=CARTESIAN_POINT('',(11.86,21.75,0.0349999999999895)); #455683=CARTESIAN_POINT('',(11.86,21.75,-200.)); #455684=CARTESIAN_POINT('Origin',(12.,21.75,0.0349999999999895)); #455685=CARTESIAN_POINT('Origin',(13.5,21.,-200.)); #455686=CARTESIAN_POINT('',(13.36,21.,0.)); #455687=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #455688=CARTESIAN_POINT('',(13.36,21.,0.0349999999999895)); #455689=CARTESIAN_POINT('',(13.36,21.,-200.)); #455690=CARTESIAN_POINT('Origin',(13.5,21.,0.0349999999999895)); #455691=CARTESIAN_POINT('Origin',(13.5,20.25,-200.)); #455692=CARTESIAN_POINT('',(13.36,20.25,0.)); #455693=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #455694=CARTESIAN_POINT('',(13.36,20.25,0.0349999999999895)); #455695=CARTESIAN_POINT('',(13.36,20.25,-200.)); #455696=CARTESIAN_POINT('Origin',(13.5,20.25,0.0349999999999895)); #455697=CARTESIAN_POINT('Origin',(31.153128,43.9476,0.)); #455698=CARTESIAN_POINT('',(31.153128,43.9476,0.)); #455699=CARTESIAN_POINT('',(29.226872,43.9476,0.)); #455700=CARTESIAN_POINT('',(31.153128,43.9476,0.)); #455701=CARTESIAN_POINT('',(29.226872,43.9476,0.035)); #455702=CARTESIAN_POINT('',(29.226872,43.9476,0.)); #455703=CARTESIAN_POINT('',(31.153128,43.9476,0.035)); #455704=CARTESIAN_POINT('',(31.153128,43.9476,0.035)); #455705=CARTESIAN_POINT('',(31.153128,43.9476,0.)); #455706=CARTESIAN_POINT('Origin',(31.2424,44.036872,0.)); #455707=CARTESIAN_POINT('',(31.2424,44.036872,0.)); #455708=CARTESIAN_POINT('',(31.2424,44.036872,0.)); #455709=CARTESIAN_POINT('',(31.2424,44.036872,0.035)); #455710=CARTESIAN_POINT('',(31.2424,44.036872,0.035)); #455711=CARTESIAN_POINT('',(31.2424,44.036872,0.)); #455712=CARTESIAN_POINT('Origin',(31.2424,45.963128,0.)); #455713=CARTESIAN_POINT('',(31.2424,45.963128,0.)); #455714=CARTESIAN_POINT('',(31.2424,45.963128,0.)); #455715=CARTESIAN_POINT('',(31.2424,45.963128,0.035)); #455716=CARTESIAN_POINT('',(31.2424,45.963128,0.035)); #455717=CARTESIAN_POINT('',(31.2424,45.963128,0.)); #455718=CARTESIAN_POINT('Origin',(31.153128,46.0524,0.)); #455719=CARTESIAN_POINT('',(31.153128,46.0524,0.)); #455720=CARTESIAN_POINT('',(31.153128,46.0524,0.)); #455721=CARTESIAN_POINT('',(31.153128,46.0524,0.035)); #455722=CARTESIAN_POINT('',(31.153128,46.0524,0.035)); #455723=CARTESIAN_POINT('',(31.153128,46.0524,0.)); #455724=CARTESIAN_POINT('Origin',(29.226872,46.0524,0.)); #455725=CARTESIAN_POINT('',(29.226872,46.0524,0.)); #455726=CARTESIAN_POINT('',(29.226872,46.0524,0.)); #455727=CARTESIAN_POINT('',(29.226872,46.0524,0.035)); #455728=CARTESIAN_POINT('',(29.226872,46.0524,0.035)); #455729=CARTESIAN_POINT('',(29.226872,46.0524,0.)); #455730=CARTESIAN_POINT('Origin',(29.1376,45.963128,0.)); #455731=CARTESIAN_POINT('',(29.1376,45.963128,0.)); #455732=CARTESIAN_POINT('',(29.1376,45.963128,0.)); #455733=CARTESIAN_POINT('',(29.1376,45.963128,0.035)); #455734=CARTESIAN_POINT('',(29.1376,45.963128,0.035)); #455735=CARTESIAN_POINT('',(29.1376,45.963128,0.)); #455736=CARTESIAN_POINT('Origin',(29.1376,44.036872,0.)); #455737=CARTESIAN_POINT('',(29.1376,44.036872,0.)); #455738=CARTESIAN_POINT('',(29.1376,44.036872,0.)); #455739=CARTESIAN_POINT('',(29.1376,44.036872,0.035)); #455740=CARTESIAN_POINT('',(29.1376,44.036872,0.035)); #455741=CARTESIAN_POINT('',(29.1376,44.036872,0.)); #455742=CARTESIAN_POINT('Origin',(29.226872,43.9476,0.)); #455743=CARTESIAN_POINT('',(29.226872,43.9476,0.)); #455744=CARTESIAN_POINT('',(29.226872,43.9476,0.035)); #455745=CARTESIAN_POINT('Origin',(32.939338,43.9476,0.)); #455746=CARTESIAN_POINT('',(32.939338,43.9476,0.)); #455747=CARTESIAN_POINT('',(32.520663,43.9476,0.)); #455748=CARTESIAN_POINT('',(32.939338,43.9476,0.)); #455749=CARTESIAN_POINT('',(32.520663,43.9476,0.035)); #455750=CARTESIAN_POINT('',(32.520663,43.9476,0.)); #455751=CARTESIAN_POINT('',(32.939338,43.9476,0.035)); #455752=CARTESIAN_POINT('',(32.939338,43.9476,0.035)); #455753=CARTESIAN_POINT('',(32.939338,43.9476,0.)); #455754=CARTESIAN_POINT('Origin',(33.326138,44.107819,0.)); #455755=CARTESIAN_POINT('',(33.326138,44.107819,0.)); #455756=CARTESIAN_POINT('',(33.326138,44.107819,0.)); #455757=CARTESIAN_POINT('',(33.326138,44.107819,0.035)); #455758=CARTESIAN_POINT('',(33.326138,44.107819,0.035)); #455759=CARTESIAN_POINT('',(33.326138,44.107819,0.)); #455760=CARTESIAN_POINT('Origin',(33.622181,44.403863,0.)); #455761=CARTESIAN_POINT('',(33.622181,44.403863,0.)); #455762=CARTESIAN_POINT('',(33.622181,44.403863,0.)); #455763=CARTESIAN_POINT('',(33.622181,44.403863,0.035)); #455764=CARTESIAN_POINT('',(33.622181,44.403863,0.035)); #455765=CARTESIAN_POINT('',(33.622181,44.403863,0.)); #455766=CARTESIAN_POINT('Origin',(33.7824,44.790663,0.)); #455767=CARTESIAN_POINT('',(33.7824,44.790663,0.)); #455768=CARTESIAN_POINT('',(33.7824,44.790663,0.)); #455769=CARTESIAN_POINT('',(33.7824,44.790663,0.035)); #455770=CARTESIAN_POINT('',(33.7824,44.790663,0.035)); #455771=CARTESIAN_POINT('',(33.7824,44.790663,0.)); #455772=CARTESIAN_POINT('Origin',(33.7824,45.209338,0.)); #455773=CARTESIAN_POINT('',(33.7824,45.209338,0.)); #455774=CARTESIAN_POINT('',(33.7824,45.209338,0.)); #455775=CARTESIAN_POINT('',(33.7824,45.209338,0.035)); #455776=CARTESIAN_POINT('',(33.7824,45.209338,0.035)); #455777=CARTESIAN_POINT('',(33.7824,45.209338,0.)); #455778=CARTESIAN_POINT('Origin',(33.622181,45.596138,0.)); #455779=CARTESIAN_POINT('',(33.622181,45.596138,0.)); #455780=CARTESIAN_POINT('',(33.622181,45.596138,0.)); #455781=CARTESIAN_POINT('',(33.622181,45.596138,0.035)); #455782=CARTESIAN_POINT('',(33.622181,45.596138,0.035)); #455783=CARTESIAN_POINT('',(33.622181,45.596138,0.)); #455784=CARTESIAN_POINT('Origin',(33.326138,45.892181,0.)); #455785=CARTESIAN_POINT('',(33.326138,45.892181,0.)); #455786=CARTESIAN_POINT('',(33.326138,45.892181,0.)); #455787=CARTESIAN_POINT('',(33.326138,45.892181,0.035)); #455788=CARTESIAN_POINT('',(33.326138,45.892181,0.035)); #455789=CARTESIAN_POINT('',(33.326138,45.892181,0.)); #455790=CARTESIAN_POINT('Origin',(32.939338,46.0524,0.)); #455791=CARTESIAN_POINT('',(32.939338,46.0524,0.)); #455792=CARTESIAN_POINT('',(32.939338,46.0524,0.)); #455793=CARTESIAN_POINT('',(32.939338,46.0524,0.035)); #455794=CARTESIAN_POINT('',(32.939338,46.0524,0.035)); #455795=CARTESIAN_POINT('',(32.939338,46.0524,0.)); #455796=CARTESIAN_POINT('Origin',(32.520663,46.0524,0.)); #455797=CARTESIAN_POINT('',(32.520663,46.0524,0.)); #455798=CARTESIAN_POINT('',(32.520663,46.0524,0.)); #455799=CARTESIAN_POINT('',(32.520663,46.0524,0.035)); #455800=CARTESIAN_POINT('',(32.520663,46.0524,0.035)); #455801=CARTESIAN_POINT('',(32.520663,46.0524,0.)); #455802=CARTESIAN_POINT('Origin',(32.133862,45.892181,0.)); #455803=CARTESIAN_POINT('',(32.133862,45.892181,0.)); #455804=CARTESIAN_POINT('',(32.133862,45.892181,0.)); #455805=CARTESIAN_POINT('',(32.133862,45.892181,0.035)); #455806=CARTESIAN_POINT('',(32.133862,45.892181,0.035)); #455807=CARTESIAN_POINT('',(32.133862,45.892181,0.)); #455808=CARTESIAN_POINT('Origin',(31.837819,45.596138,0.)); #455809=CARTESIAN_POINT('',(31.837819,45.596138,0.)); #455810=CARTESIAN_POINT('',(31.837819,45.596138,0.)); #455811=CARTESIAN_POINT('',(31.837819,45.596138,0.035)); #455812=CARTESIAN_POINT('',(31.837819,45.596138,0.035)); #455813=CARTESIAN_POINT('',(31.837819,45.596138,0.)); #455814=CARTESIAN_POINT('Origin',(31.6776,45.209338,0.)); #455815=CARTESIAN_POINT('',(31.6776,45.209338,0.)); #455816=CARTESIAN_POINT('',(31.6776,45.209338,0.)); #455817=CARTESIAN_POINT('',(31.6776,45.209338,0.035)); #455818=CARTESIAN_POINT('',(31.6776,45.209338,0.035)); #455819=CARTESIAN_POINT('',(31.6776,45.209338,0.)); #455820=CARTESIAN_POINT('Origin',(31.6776,44.790663,0.)); #455821=CARTESIAN_POINT('',(31.6776,44.790663,0.)); #455822=CARTESIAN_POINT('',(31.6776,44.790663,0.)); #455823=CARTESIAN_POINT('',(31.6776,44.790663,0.035)); #455824=CARTESIAN_POINT('',(31.6776,44.790663,0.035)); #455825=CARTESIAN_POINT('',(31.6776,44.790663,0.)); #455826=CARTESIAN_POINT('Origin',(31.837819,44.403863,0.)); #455827=CARTESIAN_POINT('',(31.837819,44.403863,0.)); #455828=CARTESIAN_POINT('',(31.837819,44.403863,0.)); #455829=CARTESIAN_POINT('',(31.837819,44.403863,0.035)); #455830=CARTESIAN_POINT('',(31.837819,44.403863,0.035)); #455831=CARTESIAN_POINT('',(31.837819,44.403863,0.)); #455832=CARTESIAN_POINT('Origin',(32.133862,44.107819,0.)); #455833=CARTESIAN_POINT('',(32.133862,44.107819,0.)); #455834=CARTESIAN_POINT('',(32.133862,44.107819,0.)); #455835=CARTESIAN_POINT('',(32.133862,44.107819,0.035)); #455836=CARTESIAN_POINT('',(32.133862,44.107819,0.035)); #455837=CARTESIAN_POINT('',(32.133862,44.107819,0.)); #455838=CARTESIAN_POINT('Origin',(32.520663,43.9476,0.)); #455839=CARTESIAN_POINT('',(32.520663,43.9476,0.)); #455840=CARTESIAN_POINT('',(32.520663,43.9476,0.035)); #455841=CARTESIAN_POINT('Origin',(35.479337,43.9476,0.)); #455842=CARTESIAN_POINT('',(35.479337,43.9476,0.)); #455843=CARTESIAN_POINT('',(35.060662,43.9476,0.)); #455844=CARTESIAN_POINT('',(35.479337,43.9476,0.)); #455845=CARTESIAN_POINT('',(35.060662,43.9476,0.035)); #455846=CARTESIAN_POINT('',(35.060662,43.9476,0.)); #455847=CARTESIAN_POINT('',(35.479337,43.9476,0.035)); #455848=CARTESIAN_POINT('',(35.479337,43.9476,0.035)); #455849=CARTESIAN_POINT('',(35.479337,43.9476,0.)); #455850=CARTESIAN_POINT('Origin',(35.866138,44.107819,0.)); #455851=CARTESIAN_POINT('',(35.866138,44.107819,0.)); #455852=CARTESIAN_POINT('',(35.866138,44.107819,0.)); #455853=CARTESIAN_POINT('',(35.866138,44.107819,0.035)); #455854=CARTESIAN_POINT('',(35.866138,44.107819,0.035)); #455855=CARTESIAN_POINT('',(35.866138,44.107819,0.)); #455856=CARTESIAN_POINT('Origin',(36.162181,44.403863,0.)); #455857=CARTESIAN_POINT('',(36.162181,44.403863,0.)); #455858=CARTESIAN_POINT('',(36.162181,44.403863,0.)); #455859=CARTESIAN_POINT('',(36.162181,44.403863,0.035)); #455860=CARTESIAN_POINT('',(36.162181,44.403863,0.035)); #455861=CARTESIAN_POINT('',(36.162181,44.403863,0.)); #455862=CARTESIAN_POINT('Origin',(36.3224,44.790663,0.)); #455863=CARTESIAN_POINT('',(36.3224,44.790663,0.)); #455864=CARTESIAN_POINT('',(36.3224,44.790663,0.)); #455865=CARTESIAN_POINT('',(36.3224,44.790663,0.035)); #455866=CARTESIAN_POINT('',(36.3224,44.790663,0.035)); #455867=CARTESIAN_POINT('',(36.3224,44.790663,0.)); #455868=CARTESIAN_POINT('Origin',(36.3224,45.209338,0.)); #455869=CARTESIAN_POINT('',(36.3224,45.209338,0.)); #455870=CARTESIAN_POINT('',(36.3224,45.209338,0.)); #455871=CARTESIAN_POINT('',(36.3224,45.209338,0.035)); #455872=CARTESIAN_POINT('',(36.3224,45.209338,0.035)); #455873=CARTESIAN_POINT('',(36.3224,45.209338,0.)); #455874=CARTESIAN_POINT('Origin',(36.162181,45.596138,0.)); #455875=CARTESIAN_POINT('',(36.162181,45.596138,0.)); #455876=CARTESIAN_POINT('',(36.162181,45.596138,0.)); #455877=CARTESIAN_POINT('',(36.162181,45.596138,0.035)); #455878=CARTESIAN_POINT('',(36.162181,45.596138,0.035)); #455879=CARTESIAN_POINT('',(36.162181,45.596138,0.)); #455880=CARTESIAN_POINT('Origin',(35.866138,45.892181,0.)); #455881=CARTESIAN_POINT('',(35.866138,45.892181,0.)); #455882=CARTESIAN_POINT('',(35.866138,45.892181,0.)); #455883=CARTESIAN_POINT('',(35.866138,45.892181,0.035)); #455884=CARTESIAN_POINT('',(35.866138,45.892181,0.035)); #455885=CARTESIAN_POINT('',(35.866138,45.892181,0.)); #455886=CARTESIAN_POINT('Origin',(35.479337,46.0524,0.)); #455887=CARTESIAN_POINT('',(35.479337,46.0524,0.)); #455888=CARTESIAN_POINT('',(35.479337,46.0524,0.)); #455889=CARTESIAN_POINT('',(35.479337,46.0524,0.035)); #455890=CARTESIAN_POINT('',(35.479337,46.0524,0.035)); #455891=CARTESIAN_POINT('',(35.479337,46.0524,0.)); #455892=CARTESIAN_POINT('Origin',(35.060662,46.0524,0.)); #455893=CARTESIAN_POINT('',(35.060662,46.0524,0.)); #455894=CARTESIAN_POINT('',(35.060662,46.0524,0.)); #455895=CARTESIAN_POINT('',(35.060662,46.0524,0.035)); #455896=CARTESIAN_POINT('',(35.060662,46.0524,0.035)); #455897=CARTESIAN_POINT('',(35.060662,46.0524,0.)); #455898=CARTESIAN_POINT('Origin',(34.673862,45.892181,0.)); #455899=CARTESIAN_POINT('',(34.673862,45.892181,0.)); #455900=CARTESIAN_POINT('',(34.673862,45.892181,0.)); #455901=CARTESIAN_POINT('',(34.673862,45.892181,0.035)); #455902=CARTESIAN_POINT('',(34.673862,45.892181,0.035)); #455903=CARTESIAN_POINT('',(34.673862,45.892181,0.)); #455904=CARTESIAN_POINT('Origin',(34.377819,45.596138,0.)); #455905=CARTESIAN_POINT('',(34.377819,45.596138,0.)); #455906=CARTESIAN_POINT('',(34.377819,45.596138,0.)); #455907=CARTESIAN_POINT('',(34.377819,45.596138,0.035)); #455908=CARTESIAN_POINT('',(34.377819,45.596138,0.035)); #455909=CARTESIAN_POINT('',(34.377819,45.596138,0.)); #455910=CARTESIAN_POINT('Origin',(34.2176,45.209338,0.)); #455911=CARTESIAN_POINT('',(34.2176,45.209338,0.)); #455912=CARTESIAN_POINT('',(34.2176,45.209338,0.)); #455913=CARTESIAN_POINT('',(34.2176,45.209338,0.035)); #455914=CARTESIAN_POINT('',(34.2176,45.209338,0.035)); #455915=CARTESIAN_POINT('',(34.2176,45.209338,0.)); #455916=CARTESIAN_POINT('Origin',(34.2176,44.790663,0.)); #455917=CARTESIAN_POINT('',(34.2176,44.790663,0.)); #455918=CARTESIAN_POINT('',(34.2176,44.790663,0.)); #455919=CARTESIAN_POINT('',(34.2176,44.790663,0.035)); #455920=CARTESIAN_POINT('',(34.2176,44.790663,0.035)); #455921=CARTESIAN_POINT('',(34.2176,44.790663,0.)); #455922=CARTESIAN_POINT('Origin',(34.377819,44.403863,0.)); #455923=CARTESIAN_POINT('',(34.377819,44.403863,0.)); #455924=CARTESIAN_POINT('',(34.377819,44.403863,0.)); #455925=CARTESIAN_POINT('',(34.377819,44.403863,0.035)); #455926=CARTESIAN_POINT('',(34.377819,44.403863,0.035)); #455927=CARTESIAN_POINT('',(34.377819,44.403863,0.)); #455928=CARTESIAN_POINT('Origin',(34.673862,44.107819,0.)); #455929=CARTESIAN_POINT('',(34.673862,44.107819,0.)); #455930=CARTESIAN_POINT('',(34.673862,44.107819,0.)); #455931=CARTESIAN_POINT('',(34.673862,44.107819,0.035)); #455932=CARTESIAN_POINT('',(34.673862,44.107819,0.035)); #455933=CARTESIAN_POINT('',(34.673862,44.107819,0.)); #455934=CARTESIAN_POINT('Origin',(35.060662,43.9476,0.)); #455935=CARTESIAN_POINT('',(35.060662,43.9476,0.)); #455936=CARTESIAN_POINT('',(35.060662,43.9476,0.035)); #455937=CARTESIAN_POINT('Origin',(37.470228,52.4031,0.)); #455938=CARTESIAN_POINT('',(37.470228,52.4031,0.)); #455939=CARTESIAN_POINT('',(36.505772,52.4031,0.)); #455940=CARTESIAN_POINT('',(37.470228,52.4031,0.)); #455941=CARTESIAN_POINT('',(36.505772,52.4031,0.035)); #455942=CARTESIAN_POINT('',(36.505772,52.4031,0.)); #455943=CARTESIAN_POINT('',(37.470228,52.4031,0.035)); #455944=CARTESIAN_POINT('',(37.470228,52.4031,0.035)); #455945=CARTESIAN_POINT('',(37.470228,52.4031,0.)); #455946=CARTESIAN_POINT('Origin',(37.5595,52.492372,0.)); #455947=CARTESIAN_POINT('',(37.5595,52.492372,0.)); #455948=CARTESIAN_POINT('',(37.5595,52.492372,0.)); #455949=CARTESIAN_POINT('',(37.5595,52.492372,0.035)); #455950=CARTESIAN_POINT('',(37.5595,52.492372,0.035)); #455951=CARTESIAN_POINT('',(37.5595,52.492372,0.)); #455952=CARTESIAN_POINT('Origin',(37.5595,53.507628,0.)); #455953=CARTESIAN_POINT('',(37.5595,53.507628,0.)); #455954=CARTESIAN_POINT('',(37.5595,53.507628,0.)); #455955=CARTESIAN_POINT('',(37.5595,53.507628,0.035)); #455956=CARTESIAN_POINT('',(37.5595,53.507628,0.035)); #455957=CARTESIAN_POINT('',(37.5595,53.507628,0.)); #455958=CARTESIAN_POINT('Origin',(37.470228,53.5969,0.)); #455959=CARTESIAN_POINT('',(37.470228,53.5969,0.)); #455960=CARTESIAN_POINT('',(37.470228,53.5969,0.)); #455961=CARTESIAN_POINT('',(37.470228,53.5969,0.035)); #455962=CARTESIAN_POINT('',(37.470228,53.5969,0.035)); #455963=CARTESIAN_POINT('',(37.470228,53.5969,0.)); #455964=CARTESIAN_POINT('Origin',(37.2928,53.5969,0.)); #455965=CARTESIAN_POINT('',(37.2928,53.5969,0.)); #455966=CARTESIAN_POINT('',(37.2928,53.5969,0.)); #455967=CARTESIAN_POINT('',(37.2928,53.5969,0.035)); #455968=CARTESIAN_POINT('',(37.2928,53.5969,0.035)); #455969=CARTESIAN_POINT('',(37.2928,53.5969,0.)); #455970=CARTESIAN_POINT('Origin',(37.265331,53.602022,0.)); #455971=CARTESIAN_POINT('',(37.265331,53.602022,0.)); #455972=CARTESIAN_POINT('',(37.265331,53.602022,0.)); #455973=CARTESIAN_POINT('',(37.265331,53.602022,0.035)); #455974=CARTESIAN_POINT('',(37.265331,53.602022,0.035)); #455975=CARTESIAN_POINT('',(37.265331,53.602022,0.)); #455976=CARTESIAN_POINT('Origin',(37.239784,53.618366,0.)); #455977=CARTESIAN_POINT('',(37.239784,53.618366,0.)); #455978=CARTESIAN_POINT('',(37.239784,53.618366,0.)); #455979=CARTESIAN_POINT('',(37.239784,53.618366,0.035)); #455980=CARTESIAN_POINT('',(37.239784,53.618366,0.035)); #455981=CARTESIAN_POINT('',(37.239784,53.618366,0.)); #455982=CARTESIAN_POINT('Origin',(37.222634,53.643378,0.)); #455983=CARTESIAN_POINT('',(37.222634,53.643378,0.)); #455984=CARTESIAN_POINT('',(37.222634,53.643378,0.)); #455985=CARTESIAN_POINT('',(37.222634,53.643378,0.035)); #455986=CARTESIAN_POINT('',(37.222634,53.643378,0.035)); #455987=CARTESIAN_POINT('',(37.222634,53.643378,0.)); #455988=CARTESIAN_POINT('Origin',(37.2166,53.6731,0.)); #455989=CARTESIAN_POINT('',(37.2166,53.6731,0.)); #455990=CARTESIAN_POINT('',(37.2166,53.6731,0.)); #455991=CARTESIAN_POINT('',(37.2166,53.6731,0.035)); #455992=CARTESIAN_POINT('',(37.2166,53.6731,0.035)); #455993=CARTESIAN_POINT('',(37.2166,53.6731,0.)); #455994=CARTESIAN_POINT('Origin',(37.2166,56.3269,0.)); #455995=CARTESIAN_POINT('',(37.2166,56.3269,0.)); #455996=CARTESIAN_POINT('',(37.2166,56.3269,0.)); #455997=CARTESIAN_POINT('',(37.2166,56.3269,0.035)); #455998=CARTESIAN_POINT('',(37.2166,56.3269,0.035)); #455999=CARTESIAN_POINT('',(37.2166,56.3269,0.)); #456000=CARTESIAN_POINT('Origin',(37.221722,56.354369,0.)); #456001=CARTESIAN_POINT('',(37.221722,56.354369,0.)); #456002=CARTESIAN_POINT('',(37.221722,56.354369,0.)); #456003=CARTESIAN_POINT('',(37.221722,56.354369,0.035)); #456004=CARTESIAN_POINT('',(37.221722,56.354369,0.035)); #456005=CARTESIAN_POINT('',(37.221722,56.354369,0.)); #456006=CARTESIAN_POINT('Origin',(37.238066,56.379916,0.)); #456007=CARTESIAN_POINT('',(37.238066,56.379916,0.)); #456008=CARTESIAN_POINT('',(37.238066,56.379916,0.)); #456009=CARTESIAN_POINT('',(37.238066,56.379916,0.035)); #456010=CARTESIAN_POINT('',(37.238066,56.379916,0.035)); #456011=CARTESIAN_POINT('',(37.238066,56.379916,0.)); #456012=CARTESIAN_POINT('Origin',(37.263078,56.397066,0.)); #456013=CARTESIAN_POINT('',(37.263078,56.397066,0.)); #456014=CARTESIAN_POINT('',(37.263078,56.397066,0.)); #456015=CARTESIAN_POINT('',(37.263078,56.397066,0.035)); #456016=CARTESIAN_POINT('',(37.263078,56.397066,0.035)); #456017=CARTESIAN_POINT('',(37.263078,56.397066,0.)); #456018=CARTESIAN_POINT('Origin',(37.2928,56.4031,0.)); #456019=CARTESIAN_POINT('',(37.2928,56.4031,0.)); #456020=CARTESIAN_POINT('',(37.2928,56.4031,0.)); #456021=CARTESIAN_POINT('',(37.2928,56.4031,0.035)); #456022=CARTESIAN_POINT('',(37.2928,56.4031,0.035)); #456023=CARTESIAN_POINT('',(37.2928,56.4031,0.)); #456024=CARTESIAN_POINT('Origin',(37.470228,56.4031,0.)); #456025=CARTESIAN_POINT('',(37.470228,56.4031,0.)); #456026=CARTESIAN_POINT('',(37.470228,56.4031,0.)); #456027=CARTESIAN_POINT('',(37.470228,56.4031,0.035)); #456028=CARTESIAN_POINT('',(37.470228,56.4031,0.035)); #456029=CARTESIAN_POINT('',(37.470228,56.4031,0.)); #456030=CARTESIAN_POINT('Origin',(37.5595,56.492372,0.)); #456031=CARTESIAN_POINT('',(37.5595,56.492372,0.)); #456032=CARTESIAN_POINT('',(37.5595,56.492372,0.)); #456033=CARTESIAN_POINT('',(37.5595,56.492372,0.035)); #456034=CARTESIAN_POINT('',(37.5595,56.492372,0.035)); #456035=CARTESIAN_POINT('',(37.5595,56.492372,0.)); #456036=CARTESIAN_POINT('Origin',(37.5595,57.507628,0.)); #456037=CARTESIAN_POINT('',(37.5595,57.507628,0.)); #456038=CARTESIAN_POINT('',(37.5595,57.507628,0.)); #456039=CARTESIAN_POINT('',(37.5595,57.507628,0.035)); #456040=CARTESIAN_POINT('',(37.5595,57.507628,0.035)); #456041=CARTESIAN_POINT('',(37.5595,57.507628,0.)); #456042=CARTESIAN_POINT('Origin',(37.470228,57.5969,0.)); #456043=CARTESIAN_POINT('',(37.470228,57.5969,0.)); #456044=CARTESIAN_POINT('',(37.470228,57.5969,0.)); #456045=CARTESIAN_POINT('',(37.470228,57.5969,0.035)); #456046=CARTESIAN_POINT('',(37.470228,57.5969,0.035)); #456047=CARTESIAN_POINT('',(37.470228,57.5969,0.)); #456048=CARTESIAN_POINT('Origin',(36.505772,57.5969,0.)); #456049=CARTESIAN_POINT('',(36.505772,57.5969,0.)); #456050=CARTESIAN_POINT('',(36.505772,57.5969,0.)); #456051=CARTESIAN_POINT('',(36.505772,57.5969,0.035)); #456052=CARTESIAN_POINT('',(36.505772,57.5969,0.035)); #456053=CARTESIAN_POINT('',(36.505772,57.5969,0.)); #456054=CARTESIAN_POINT('Origin',(36.4165,57.507628,0.)); #456055=CARTESIAN_POINT('',(36.4165,57.507628,0.)); #456056=CARTESIAN_POINT('',(36.4165,57.507628,0.)); #456057=CARTESIAN_POINT('',(36.4165,57.507628,0.035)); #456058=CARTESIAN_POINT('',(36.4165,57.507628,0.035)); #456059=CARTESIAN_POINT('',(36.4165,57.507628,0.)); #456060=CARTESIAN_POINT('Origin',(36.4165,56.492372,0.)); #456061=CARTESIAN_POINT('',(36.4165,56.492372,0.)); #456062=CARTESIAN_POINT('',(36.4165,56.492372,0.)); #456063=CARTESIAN_POINT('',(36.4165,56.492372,0.035)); #456064=CARTESIAN_POINT('',(36.4165,56.492372,0.035)); #456065=CARTESIAN_POINT('',(36.4165,56.492372,0.)); #456066=CARTESIAN_POINT('Origin',(36.505772,56.4031,0.)); #456067=CARTESIAN_POINT('',(36.505772,56.4031,0.)); #456068=CARTESIAN_POINT('',(36.505772,56.4031,0.)); #456069=CARTESIAN_POINT('',(36.505772,56.4031,0.035)); #456070=CARTESIAN_POINT('',(36.505772,56.4031,0.035)); #456071=CARTESIAN_POINT('',(36.505772,56.4031,0.)); #456072=CARTESIAN_POINT('Origin',(36.6832,56.4031,0.)); #456073=CARTESIAN_POINT('',(36.6832,56.4031,0.)); #456074=CARTESIAN_POINT('',(36.6832,56.4031,0.)); #456075=CARTESIAN_POINT('',(36.6832,56.4031,0.035)); #456076=CARTESIAN_POINT('',(36.6832,56.4031,0.035)); #456077=CARTESIAN_POINT('',(36.6832,56.4031,0.)); #456078=CARTESIAN_POINT('Origin',(36.710669,56.397978,0.)); #456079=CARTESIAN_POINT('',(36.710669,56.397978,0.)); #456080=CARTESIAN_POINT('',(36.710669,56.397978,0.)); #456081=CARTESIAN_POINT('',(36.710669,56.397978,0.035)); #456082=CARTESIAN_POINT('',(36.710669,56.397978,0.035)); #456083=CARTESIAN_POINT('',(36.710669,56.397978,0.)); #456084=CARTESIAN_POINT('Origin',(36.736216,56.381634,0.)); #456085=CARTESIAN_POINT('',(36.736216,56.381634,0.)); #456086=CARTESIAN_POINT('',(36.736216,56.381634,0.)); #456087=CARTESIAN_POINT('',(36.736216,56.381634,0.035)); #456088=CARTESIAN_POINT('',(36.736216,56.381634,0.035)); #456089=CARTESIAN_POINT('',(36.736216,56.381634,0.)); #456090=CARTESIAN_POINT('Origin',(36.753366,56.356622,0.)); #456091=CARTESIAN_POINT('',(36.753366,56.356622,0.)); #456092=CARTESIAN_POINT('',(36.753366,56.356622,0.)); #456093=CARTESIAN_POINT('',(36.753366,56.356622,0.035)); #456094=CARTESIAN_POINT('',(36.753366,56.356622,0.035)); #456095=CARTESIAN_POINT('',(36.753366,56.356622,0.)); #456096=CARTESIAN_POINT('Origin',(36.7594,56.3269,0.)); #456097=CARTESIAN_POINT('',(36.7594,56.3269,0.)); #456098=CARTESIAN_POINT('',(36.7594,56.3269,0.)); #456099=CARTESIAN_POINT('',(36.7594,56.3269,0.035)); #456100=CARTESIAN_POINT('',(36.7594,56.3269,0.035)); #456101=CARTESIAN_POINT('',(36.7594,56.3269,0.)); #456102=CARTESIAN_POINT('Origin',(36.7594,53.6731,0.)); #456103=CARTESIAN_POINT('',(36.7594,53.6731,0.)); #456104=CARTESIAN_POINT('',(36.7594,53.6731,0.)); #456105=CARTESIAN_POINT('',(36.7594,53.6731,0.035)); #456106=CARTESIAN_POINT('',(36.7594,53.6731,0.035)); #456107=CARTESIAN_POINT('',(36.7594,53.6731,0.)); #456108=CARTESIAN_POINT('Origin',(36.754278,53.645631,0.)); #456109=CARTESIAN_POINT('',(36.754278,53.645631,0.)); #456110=CARTESIAN_POINT('',(36.754278,53.645631,0.)); #456111=CARTESIAN_POINT('',(36.754278,53.645631,0.035)); #456112=CARTESIAN_POINT('',(36.754278,53.645631,0.035)); #456113=CARTESIAN_POINT('',(36.754278,53.645631,0.)); #456114=CARTESIAN_POINT('Origin',(36.737934,53.620084,0.)); #456115=CARTESIAN_POINT('',(36.737934,53.620084,0.)); #456116=CARTESIAN_POINT('',(36.737934,53.620084,0.)); #456117=CARTESIAN_POINT('',(36.737934,53.620084,0.035)); #456118=CARTESIAN_POINT('',(36.737934,53.620084,0.035)); #456119=CARTESIAN_POINT('',(36.737934,53.620084,0.)); #456120=CARTESIAN_POINT('Origin',(36.712922,53.602934,0.)); #456121=CARTESIAN_POINT('',(36.712922,53.602934,0.)); #456122=CARTESIAN_POINT('',(36.712922,53.602934,0.)); #456123=CARTESIAN_POINT('',(36.712922,53.602934,0.035)); #456124=CARTESIAN_POINT('',(36.712922,53.602934,0.035)); #456125=CARTESIAN_POINT('',(36.712922,53.602934,0.)); #456126=CARTESIAN_POINT('Origin',(36.6832,53.5969,0.)); #456127=CARTESIAN_POINT('',(36.6832,53.5969,0.)); #456128=CARTESIAN_POINT('',(36.6832,53.5969,0.)); #456129=CARTESIAN_POINT('',(36.6832,53.5969,0.035)); #456130=CARTESIAN_POINT('',(36.6832,53.5969,0.035)); #456131=CARTESIAN_POINT('',(36.6832,53.5969,0.)); #456132=CARTESIAN_POINT('Origin',(36.505772,53.5969,0.)); #456133=CARTESIAN_POINT('',(36.505772,53.5969,0.)); #456134=CARTESIAN_POINT('',(36.505772,53.5969,0.)); #456135=CARTESIAN_POINT('',(36.505772,53.5969,0.035)); #456136=CARTESIAN_POINT('',(36.505772,53.5969,0.035)); #456137=CARTESIAN_POINT('',(36.505772,53.5969,0.)); #456138=CARTESIAN_POINT('Origin',(36.4165,53.507628,0.)); #456139=CARTESIAN_POINT('',(36.4165,53.507628,0.)); #456140=CARTESIAN_POINT('',(36.4165,53.507628,0.)); #456141=CARTESIAN_POINT('',(36.4165,53.507628,0.035)); #456142=CARTESIAN_POINT('',(36.4165,53.507628,0.035)); #456143=CARTESIAN_POINT('',(36.4165,53.507628,0.)); #456144=CARTESIAN_POINT('Origin',(36.4165,52.492372,0.)); #456145=CARTESIAN_POINT('',(36.4165,52.492372,0.)); #456146=CARTESIAN_POINT('',(36.4165,52.492372,0.)); #456147=CARTESIAN_POINT('',(36.4165,52.492372,0.035)); #456148=CARTESIAN_POINT('',(36.4165,52.492372,0.035)); #456149=CARTESIAN_POINT('',(36.4165,52.492372,0.)); #456150=CARTESIAN_POINT('Origin',(36.505772,52.4031,0.)); #456151=CARTESIAN_POINT('',(36.505772,52.4031,0.)); #456152=CARTESIAN_POINT('',(36.505772,52.4031,0.035)); #456153=CARTESIAN_POINT('Origin',(31.494228,52.4031,0.)); #456154=CARTESIAN_POINT('',(31.494228,52.4031,0.)); #456155=CARTESIAN_POINT('',(30.529772,52.4031,0.)); #456156=CARTESIAN_POINT('',(31.494228,52.4031,0.)); #456157=CARTESIAN_POINT('',(30.529772,52.4031,0.035)); #456158=CARTESIAN_POINT('',(30.529772,52.4031,0.)); #456159=CARTESIAN_POINT('',(31.494228,52.4031,0.035)); #456160=CARTESIAN_POINT('',(31.494228,52.4031,0.035)); #456161=CARTESIAN_POINT('',(31.494228,52.4031,0.)); #456162=CARTESIAN_POINT('Origin',(31.5835,52.492372,0.)); #456163=CARTESIAN_POINT('',(31.5835,52.492372,0.)); #456164=CARTESIAN_POINT('',(31.5835,52.492372,0.)); #456165=CARTESIAN_POINT('',(31.5835,52.492372,0.035)); #456166=CARTESIAN_POINT('',(31.5835,52.492372,0.035)); #456167=CARTESIAN_POINT('',(31.5835,52.492372,0.)); #456168=CARTESIAN_POINT('Origin',(31.5835,53.507628,0.)); #456169=CARTESIAN_POINT('',(31.5835,53.507628,0.)); #456170=CARTESIAN_POINT('',(31.5835,53.507628,0.)); #456171=CARTESIAN_POINT('',(31.5835,53.507628,0.035)); #456172=CARTESIAN_POINT('',(31.5835,53.507628,0.035)); #456173=CARTESIAN_POINT('',(31.5835,53.507628,0.)); #456174=CARTESIAN_POINT('Origin',(31.494228,53.5969,0.)); #456175=CARTESIAN_POINT('',(31.494228,53.5969,0.)); #456176=CARTESIAN_POINT('',(31.494228,53.5969,0.)); #456177=CARTESIAN_POINT('',(31.494228,53.5969,0.035)); #456178=CARTESIAN_POINT('',(31.494228,53.5969,0.035)); #456179=CARTESIAN_POINT('',(31.494228,53.5969,0.)); #456180=CARTESIAN_POINT('Origin',(31.3168,53.5969,0.)); #456181=CARTESIAN_POINT('',(31.3168,53.5969,0.)); #456182=CARTESIAN_POINT('',(31.3168,53.5969,0.)); #456183=CARTESIAN_POINT('',(31.3168,53.5969,0.035)); #456184=CARTESIAN_POINT('',(31.3168,53.5969,0.035)); #456185=CARTESIAN_POINT('',(31.3168,53.5969,0.)); #456186=CARTESIAN_POINT('Origin',(31.289331,53.602022,0.)); #456187=CARTESIAN_POINT('',(31.289331,53.602022,0.)); #456188=CARTESIAN_POINT('',(31.289331,53.602022,0.)); #456189=CARTESIAN_POINT('',(31.289331,53.602022,0.035)); #456190=CARTESIAN_POINT('',(31.289331,53.602022,0.035)); #456191=CARTESIAN_POINT('',(31.289331,53.602022,0.)); #456192=CARTESIAN_POINT('Origin',(31.263784,53.618366,0.)); #456193=CARTESIAN_POINT('',(31.263784,53.618366,0.)); #456194=CARTESIAN_POINT('',(31.263784,53.618366,0.)); #456195=CARTESIAN_POINT('',(31.263784,53.618366,0.035)); #456196=CARTESIAN_POINT('',(31.263784,53.618366,0.035)); #456197=CARTESIAN_POINT('',(31.263784,53.618366,0.)); #456198=CARTESIAN_POINT('Origin',(31.246634,53.643378,0.)); #456199=CARTESIAN_POINT('',(31.246634,53.643378,0.)); #456200=CARTESIAN_POINT('',(31.246634,53.643378,0.)); #456201=CARTESIAN_POINT('',(31.246634,53.643378,0.035)); #456202=CARTESIAN_POINT('',(31.246634,53.643378,0.035)); #456203=CARTESIAN_POINT('',(31.246634,53.643378,0.)); #456204=CARTESIAN_POINT('Origin',(31.2406,53.6731,0.)); #456205=CARTESIAN_POINT('',(31.2406,53.6731,0.)); #456206=CARTESIAN_POINT('',(31.2406,53.6731,0.)); #456207=CARTESIAN_POINT('',(31.2406,53.6731,0.035)); #456208=CARTESIAN_POINT('',(31.2406,53.6731,0.035)); #456209=CARTESIAN_POINT('',(31.2406,53.6731,0.)); #456210=CARTESIAN_POINT('Origin',(31.2406,56.3269,0.)); #456211=CARTESIAN_POINT('',(31.2406,56.3269,0.)); #456212=CARTESIAN_POINT('',(31.2406,56.3269,0.)); #456213=CARTESIAN_POINT('',(31.2406,56.3269,0.035)); #456214=CARTESIAN_POINT('',(31.2406,56.3269,0.035)); #456215=CARTESIAN_POINT('',(31.2406,56.3269,0.)); #456216=CARTESIAN_POINT('Origin',(31.245722,56.354369,0.)); #456217=CARTESIAN_POINT('',(31.245722,56.354369,0.)); #456218=CARTESIAN_POINT('',(31.245722,56.354369,0.)); #456219=CARTESIAN_POINT('',(31.245722,56.354369,0.035)); #456220=CARTESIAN_POINT('',(31.245722,56.354369,0.035)); #456221=CARTESIAN_POINT('',(31.245722,56.354369,0.)); #456222=CARTESIAN_POINT('Origin',(31.262066,56.379916,0.)); #456223=CARTESIAN_POINT('',(31.262066,56.379916,0.)); #456224=CARTESIAN_POINT('',(31.262066,56.379916,0.)); #456225=CARTESIAN_POINT('',(31.262066,56.379916,0.035)); #456226=CARTESIAN_POINT('',(31.262066,56.379916,0.035)); #456227=CARTESIAN_POINT('',(31.262066,56.379916,0.)); #456228=CARTESIAN_POINT('Origin',(31.287078,56.397066,0.)); #456229=CARTESIAN_POINT('',(31.287078,56.397066,0.)); #456230=CARTESIAN_POINT('',(31.287078,56.397066,0.)); #456231=CARTESIAN_POINT('',(31.287078,56.397066,0.035)); #456232=CARTESIAN_POINT('',(31.287078,56.397066,0.035)); #456233=CARTESIAN_POINT('',(31.287078,56.397066,0.)); #456234=CARTESIAN_POINT('Origin',(31.3168,56.4031,0.)); #456235=CARTESIAN_POINT('',(31.3168,56.4031,0.)); #456236=CARTESIAN_POINT('',(31.3168,56.4031,0.)); #456237=CARTESIAN_POINT('',(31.3168,56.4031,0.035)); #456238=CARTESIAN_POINT('',(31.3168,56.4031,0.035)); #456239=CARTESIAN_POINT('',(31.3168,56.4031,0.)); #456240=CARTESIAN_POINT('Origin',(31.494228,56.4031,0.)); #456241=CARTESIAN_POINT('',(31.494228,56.4031,0.)); #456242=CARTESIAN_POINT('',(31.494228,56.4031,0.)); #456243=CARTESIAN_POINT('',(31.494228,56.4031,0.035)); #456244=CARTESIAN_POINT('',(31.494228,56.4031,0.035)); #456245=CARTESIAN_POINT('',(31.494228,56.4031,0.)); #456246=CARTESIAN_POINT('Origin',(31.5835,56.492372,0.)); #456247=CARTESIAN_POINT('',(31.5835,56.492372,0.)); #456248=CARTESIAN_POINT('',(31.5835,56.492372,0.)); #456249=CARTESIAN_POINT('',(31.5835,56.492372,0.035)); #456250=CARTESIAN_POINT('',(31.5835,56.492372,0.035)); #456251=CARTESIAN_POINT('',(31.5835,56.492372,0.)); #456252=CARTESIAN_POINT('Origin',(31.5835,57.507628,0.)); #456253=CARTESIAN_POINT('',(31.5835,57.507628,0.)); #456254=CARTESIAN_POINT('',(31.5835,57.507628,0.)); #456255=CARTESIAN_POINT('',(31.5835,57.507628,0.035)); #456256=CARTESIAN_POINT('',(31.5835,57.507628,0.035)); #456257=CARTESIAN_POINT('',(31.5835,57.507628,0.)); #456258=CARTESIAN_POINT('Origin',(31.494228,57.5969,0.)); #456259=CARTESIAN_POINT('',(31.494228,57.5969,0.)); #456260=CARTESIAN_POINT('',(31.494228,57.5969,0.)); #456261=CARTESIAN_POINT('',(31.494228,57.5969,0.035)); #456262=CARTESIAN_POINT('',(31.494228,57.5969,0.035)); #456263=CARTESIAN_POINT('',(31.494228,57.5969,0.)); #456264=CARTESIAN_POINT('Origin',(30.529772,57.5969,0.)); #456265=CARTESIAN_POINT('',(30.529772,57.5969,0.)); #456266=CARTESIAN_POINT('',(30.529772,57.5969,0.)); #456267=CARTESIAN_POINT('',(30.529772,57.5969,0.035)); #456268=CARTESIAN_POINT('',(30.529772,57.5969,0.035)); #456269=CARTESIAN_POINT('',(30.529772,57.5969,0.)); #456270=CARTESIAN_POINT('Origin',(30.4405,57.507628,0.)); #456271=CARTESIAN_POINT('',(30.4405,57.507628,0.)); #456272=CARTESIAN_POINT('',(30.4405,57.507628,0.)); #456273=CARTESIAN_POINT('',(30.4405,57.507628,0.035)); #456274=CARTESIAN_POINT('',(30.4405,57.507628,0.035)); #456275=CARTESIAN_POINT('',(30.4405,57.507628,0.)); #456276=CARTESIAN_POINT('Origin',(30.4405,56.492372,0.)); #456277=CARTESIAN_POINT('',(30.4405,56.492372,0.)); #456278=CARTESIAN_POINT('',(30.4405,56.492372,0.)); #456279=CARTESIAN_POINT('',(30.4405,56.492372,0.035)); #456280=CARTESIAN_POINT('',(30.4405,56.492372,0.035)); #456281=CARTESIAN_POINT('',(30.4405,56.492372,0.)); #456282=CARTESIAN_POINT('Origin',(30.529772,56.4031,0.)); #456283=CARTESIAN_POINT('',(30.529772,56.4031,0.)); #456284=CARTESIAN_POINT('',(30.529772,56.4031,0.)); #456285=CARTESIAN_POINT('',(30.529772,56.4031,0.035)); #456286=CARTESIAN_POINT('',(30.529772,56.4031,0.035)); #456287=CARTESIAN_POINT('',(30.529772,56.4031,0.)); #456288=CARTESIAN_POINT('Origin',(30.7072,56.4031,0.)); #456289=CARTESIAN_POINT('',(30.7072,56.4031,0.)); #456290=CARTESIAN_POINT('',(30.7072,56.4031,0.)); #456291=CARTESIAN_POINT('',(30.7072,56.4031,0.035)); #456292=CARTESIAN_POINT('',(30.7072,56.4031,0.035)); #456293=CARTESIAN_POINT('',(30.7072,56.4031,0.)); #456294=CARTESIAN_POINT('Origin',(30.734669,56.397978,0.)); #456295=CARTESIAN_POINT('',(30.734669,56.397978,0.)); #456296=CARTESIAN_POINT('',(30.734669,56.397978,0.)); #456297=CARTESIAN_POINT('',(30.734669,56.397978,0.035)); #456298=CARTESIAN_POINT('',(30.734669,56.397978,0.035)); #456299=CARTESIAN_POINT('',(30.734669,56.397978,0.)); #456300=CARTESIAN_POINT('Origin',(30.760216,56.381634,0.)); #456301=CARTESIAN_POINT('',(30.760216,56.381634,0.)); #456302=CARTESIAN_POINT('',(30.760216,56.381634,0.)); #456303=CARTESIAN_POINT('',(30.760216,56.381634,0.035)); #456304=CARTESIAN_POINT('',(30.760216,56.381634,0.035)); #456305=CARTESIAN_POINT('',(30.760216,56.381634,0.)); #456306=CARTESIAN_POINT('Origin',(30.777366,56.356622,0.)); #456307=CARTESIAN_POINT('',(30.777366,56.356622,0.)); #456308=CARTESIAN_POINT('',(30.777366,56.356622,0.)); #456309=CARTESIAN_POINT('',(30.777366,56.356622,0.035)); #456310=CARTESIAN_POINT('',(30.777366,56.356622,0.035)); #456311=CARTESIAN_POINT('',(30.777366,56.356622,0.)); #456312=CARTESIAN_POINT('Origin',(30.7834,56.3269,0.)); #456313=CARTESIAN_POINT('',(30.7834,56.3269,0.)); #456314=CARTESIAN_POINT('',(30.7834,56.3269,0.)); #456315=CARTESIAN_POINT('',(30.7834,56.3269,0.035)); #456316=CARTESIAN_POINT('',(30.7834,56.3269,0.035)); #456317=CARTESIAN_POINT('',(30.7834,56.3269,0.)); #456318=CARTESIAN_POINT('Origin',(30.7834,53.6731,0.)); #456319=CARTESIAN_POINT('',(30.7834,53.6731,0.)); #456320=CARTESIAN_POINT('',(30.7834,53.6731,0.)); #456321=CARTESIAN_POINT('',(30.7834,53.6731,0.035)); #456322=CARTESIAN_POINT('',(30.7834,53.6731,0.035)); #456323=CARTESIAN_POINT('',(30.7834,53.6731,0.)); #456324=CARTESIAN_POINT('Origin',(30.778278,53.645631,0.)); #456325=CARTESIAN_POINT('',(30.778278,53.645631,0.)); #456326=CARTESIAN_POINT('',(30.778278,53.645631,0.)); #456327=CARTESIAN_POINT('',(30.778278,53.645631,0.035)); #456328=CARTESIAN_POINT('',(30.778278,53.645631,0.035)); #456329=CARTESIAN_POINT('',(30.778278,53.645631,0.)); #456330=CARTESIAN_POINT('Origin',(30.761934,53.620084,0.)); #456331=CARTESIAN_POINT('',(30.761934,53.620084,0.)); #456332=CARTESIAN_POINT('',(30.761934,53.620084,0.)); #456333=CARTESIAN_POINT('',(30.761934,53.620084,0.035)); #456334=CARTESIAN_POINT('',(30.761934,53.620084,0.035)); #456335=CARTESIAN_POINT('',(30.761934,53.620084,0.)); #456336=CARTESIAN_POINT('Origin',(30.736922,53.602934,0.)); #456337=CARTESIAN_POINT('',(30.736922,53.602934,0.)); #456338=CARTESIAN_POINT('',(30.736922,53.602934,0.)); #456339=CARTESIAN_POINT('',(30.736922,53.602934,0.035)); #456340=CARTESIAN_POINT('',(30.736922,53.602934,0.035)); #456341=CARTESIAN_POINT('',(30.736922,53.602934,0.)); #456342=CARTESIAN_POINT('Origin',(30.7072,53.5969,0.)); #456343=CARTESIAN_POINT('',(30.7072,53.5969,0.)); #456344=CARTESIAN_POINT('',(30.7072,53.5969,0.)); #456345=CARTESIAN_POINT('',(30.7072,53.5969,0.035)); #456346=CARTESIAN_POINT('',(30.7072,53.5969,0.035)); #456347=CARTESIAN_POINT('',(30.7072,53.5969,0.)); #456348=CARTESIAN_POINT('Origin',(30.529772,53.5969,0.)); #456349=CARTESIAN_POINT('',(30.529772,53.5969,0.)); #456350=CARTESIAN_POINT('',(30.529772,53.5969,0.)); #456351=CARTESIAN_POINT('',(30.529772,53.5969,0.035)); #456352=CARTESIAN_POINT('',(30.529772,53.5969,0.035)); #456353=CARTESIAN_POINT('',(30.529772,53.5969,0.)); #456354=CARTESIAN_POINT('Origin',(30.4405,53.507628,0.)); #456355=CARTESIAN_POINT('',(30.4405,53.507628,0.)); #456356=CARTESIAN_POINT('',(30.4405,53.507628,0.)); #456357=CARTESIAN_POINT('',(30.4405,53.507628,0.035)); #456358=CARTESIAN_POINT('',(30.4405,53.507628,0.035)); #456359=CARTESIAN_POINT('',(30.4405,53.507628,0.)); #456360=CARTESIAN_POINT('Origin',(30.4405,52.492372,0.)); #456361=CARTESIAN_POINT('',(30.4405,52.492372,0.)); #456362=CARTESIAN_POINT('',(30.4405,52.492372,0.)); #456363=CARTESIAN_POINT('',(30.4405,52.492372,0.035)); #456364=CARTESIAN_POINT('',(30.4405,52.492372,0.035)); #456365=CARTESIAN_POINT('',(30.4405,52.492372,0.)); #456366=CARTESIAN_POINT('Origin',(30.529772,52.4031,0.)); #456367=CARTESIAN_POINT('',(30.529772,52.4031,0.)); #456368=CARTESIAN_POINT('',(30.529772,52.4031,0.035)); #456369=CARTESIAN_POINT('Origin',(35.745953,4.8984,0.)); #456370=CARTESIAN_POINT('',(35.745953,4.8984,0.)); #456371=CARTESIAN_POINT('',(32.252847,4.8984,0.)); #456372=CARTESIAN_POINT('',(35.745953,4.8984,0.)); #456373=CARTESIAN_POINT('',(32.252847,4.8984,0.035)); #456374=CARTESIAN_POINT('',(32.252847,4.8984,0.)); #456375=CARTESIAN_POINT('',(35.745953,4.8984,0.035)); #456376=CARTESIAN_POINT('',(35.745953,4.8984,0.035)); #456377=CARTESIAN_POINT('',(35.745953,4.8984,0.)); #456378=CARTESIAN_POINT('Origin',(35.771309,4.9011,0.)); #456379=CARTESIAN_POINT('',(35.771309,4.9011,0.)); #456380=CARTESIAN_POINT('',(35.771309,4.9011,0.)); #456381=CARTESIAN_POINT('',(35.771309,4.9011,0.035)); #456382=CARTESIAN_POINT('',(35.771309,4.9011,0.035)); #456383=CARTESIAN_POINT('',(35.771309,4.9011,0.)); #456384=CARTESIAN_POINT('Origin',(35.791663,4.9078063,0.)); #456385=CARTESIAN_POINT('',(35.791663,4.9078063,0.)); #456386=CARTESIAN_POINT('',(35.791663,4.9078063,0.)); #456387=CARTESIAN_POINT('',(35.791663,4.9078063,0.035)); #456388=CARTESIAN_POINT('',(35.791663,4.9078063,0.035)); #456389=CARTESIAN_POINT('',(35.791663,4.9078063,0.)); #456390=CARTESIAN_POINT('Origin',(35.811197,4.9192688,0.)); #456391=CARTESIAN_POINT('',(35.811197,4.9192688,0.)); #456392=CARTESIAN_POINT('',(35.811197,4.9192688,0.)); #456393=CARTESIAN_POINT('',(35.811197,4.9192688,0.035)); #456394=CARTESIAN_POINT('',(35.811197,4.9192688,0.035)); #456395=CARTESIAN_POINT('',(35.811197,4.9192688,0.)); #456396=CARTESIAN_POINT('Origin',(35.823866,4.9301781,0.)); #456397=CARTESIAN_POINT('',(35.823866,4.9301781,0.)); #456398=CARTESIAN_POINT('',(35.823866,4.9301781,0.)); #456399=CARTESIAN_POINT('',(35.823866,4.9301781,0.035)); #456400=CARTESIAN_POINT('',(35.823866,4.9301781,0.035)); #456401=CARTESIAN_POINT('',(35.823866,4.9301781,0.)); #456402=CARTESIAN_POINT('Origin',(36.505528,5.6118406,0.)); #456403=CARTESIAN_POINT('',(36.505528,5.6118406,0.)); #456404=CARTESIAN_POINT('',(36.505528,5.6118406,0.)); #456405=CARTESIAN_POINT('',(36.505528,5.6118406,0.035)); #456406=CARTESIAN_POINT('',(36.505528,5.6118406,0.035)); #456407=CARTESIAN_POINT('',(36.505528,5.6118406,0.)); #456408=CARTESIAN_POINT('Origin',(36.529131,5.6278844,0.)); #456409=CARTESIAN_POINT('',(36.529131,5.6278844,0.)); #456410=CARTESIAN_POINT('',(36.529131,5.6278844,0.)); #456411=CARTESIAN_POINT('',(36.529131,5.6278844,0.035)); #456412=CARTESIAN_POINT('',(36.529131,5.6278844,0.035)); #456413=CARTESIAN_POINT('',(36.529131,5.6278844,0.)); #456414=CARTESIAN_POINT('Origin',(36.5588,5.6341563,0.)); #456415=CARTESIAN_POINT('',(36.5588,5.6341563,0.)); #456416=CARTESIAN_POINT('',(36.5588,5.6341563,0.)); #456417=CARTESIAN_POINT('',(36.5588,5.6341563,0.035)); #456418=CARTESIAN_POINT('',(36.5588,5.6341563,0.035)); #456419=CARTESIAN_POINT('',(36.5588,5.6341563,0.)); #456420=CARTESIAN_POINT('Origin',(36.588569,5.6283594,0.)); #456421=CARTESIAN_POINT('',(36.588569,5.6283594,0.)); #456422=CARTESIAN_POINT('',(36.588569,5.6283594,0.)); #456423=CARTESIAN_POINT('',(36.588569,5.6283594,0.035)); #456424=CARTESIAN_POINT('',(36.588569,5.6283594,0.035)); #456425=CARTESIAN_POINT('',(36.588569,5.6283594,0.)); #456426=CARTESIAN_POINT('Origin',(36.765678,5.555,0.)); #456427=CARTESIAN_POINT('',(36.765678,5.555,0.)); #456428=CARTESIAN_POINT('',(36.765678,5.555,0.)); #456429=CARTESIAN_POINT('',(36.765678,5.555,0.035)); #456430=CARTESIAN_POINT('',(36.765678,5.555,0.035)); #456431=CARTESIAN_POINT('',(36.765678,5.555,0.)); #456432=CARTESIAN_POINT('Origin',(37.014322,5.555,0.)); #456433=CARTESIAN_POINT('',(37.014322,5.555,0.)); #456434=CARTESIAN_POINT('',(37.014322,5.555,0.)); #456435=CARTESIAN_POINT('',(37.014322,5.555,0.035)); #456436=CARTESIAN_POINT('',(37.014322,5.555,0.035)); #456437=CARTESIAN_POINT('',(37.014322,5.555,0.)); #456438=CARTESIAN_POINT('Origin',(37.244034,5.65015,0.)); #456439=CARTESIAN_POINT('',(37.244034,5.65015,0.)); #456440=CARTESIAN_POINT('',(37.244034,5.65015,0.)); #456441=CARTESIAN_POINT('',(37.244034,5.65015,0.035)); #456442=CARTESIAN_POINT('',(37.244034,5.65015,0.035)); #456443=CARTESIAN_POINT('',(37.244034,5.65015,0.)); #456444=CARTESIAN_POINT('Origin',(37.41985,5.8259656,0.)); #456445=CARTESIAN_POINT('',(37.41985,5.8259656,0.)); #456446=CARTESIAN_POINT('',(37.41985,5.8259656,0.)); #456447=CARTESIAN_POINT('',(37.41985,5.8259656,0.035)); #456448=CARTESIAN_POINT('',(37.41985,5.8259656,0.035)); #456449=CARTESIAN_POINT('',(37.41985,5.8259656,0.)); #456450=CARTESIAN_POINT('Origin',(37.515,6.0556781,0.)); #456451=CARTESIAN_POINT('',(37.515,6.0556781,0.)); #456452=CARTESIAN_POINT('',(37.515,6.0556781,0.)); #456453=CARTESIAN_POINT('',(37.515,6.0556781,0.035)); #456454=CARTESIAN_POINT('',(37.515,6.0556781,0.035)); #456455=CARTESIAN_POINT('',(37.515,6.0556781,0.)); #456456=CARTESIAN_POINT('Origin',(37.515,6.3043219,0.)); #456457=CARTESIAN_POINT('',(37.515,6.3043219,0.)); #456458=CARTESIAN_POINT('',(37.515,6.3043219,0.)); #456459=CARTESIAN_POINT('',(37.515,6.3043219,0.035)); #456460=CARTESIAN_POINT('',(37.515,6.3043219,0.035)); #456461=CARTESIAN_POINT('',(37.515,6.3043219,0.)); #456462=CARTESIAN_POINT('Origin',(37.41985,6.5340344,0.)); #456463=CARTESIAN_POINT('',(37.41985,6.5340344,0.)); #456464=CARTESIAN_POINT('',(37.41985,6.5340344,0.)); #456465=CARTESIAN_POINT('',(37.41985,6.5340344,0.035)); #456466=CARTESIAN_POINT('',(37.41985,6.5340344,0.035)); #456467=CARTESIAN_POINT('',(37.41985,6.5340344,0.)); #456468=CARTESIAN_POINT('Origin',(37.244034,6.70985,0.)); #456469=CARTESIAN_POINT('',(37.244034,6.70985,0.)); #456470=CARTESIAN_POINT('',(37.244034,6.70985,0.)); #456471=CARTESIAN_POINT('',(37.244034,6.70985,0.035)); #456472=CARTESIAN_POINT('',(37.244034,6.70985,0.035)); #456473=CARTESIAN_POINT('',(37.244034,6.70985,0.)); #456474=CARTESIAN_POINT('Origin',(37.014322,6.805,0.)); #456475=CARTESIAN_POINT('',(37.014322,6.805,0.)); #456476=CARTESIAN_POINT('',(37.014322,6.805,0.)); #456477=CARTESIAN_POINT('',(37.014322,6.805,0.035)); #456478=CARTESIAN_POINT('',(37.014322,6.805,0.035)); #456479=CARTESIAN_POINT('',(37.014322,6.805,0.)); #456480=CARTESIAN_POINT('Origin',(36.9278,6.805,0.)); #456481=CARTESIAN_POINT('',(36.9278,6.805,0.)); #456482=CARTESIAN_POINT('',(36.9278,6.805,0.)); #456483=CARTESIAN_POINT('',(36.9278,6.805,0.035)); #456484=CARTESIAN_POINT('',(36.9278,6.805,0.035)); #456485=CARTESIAN_POINT('',(36.9278,6.805,0.)); #456486=CARTESIAN_POINT('Origin',(36.900331,6.8101219,0.)); #456487=CARTESIAN_POINT('',(36.900331,6.8101219,0.)); #456488=CARTESIAN_POINT('',(36.900331,6.8101219,0.)); #456489=CARTESIAN_POINT('',(36.900331,6.8101219,0.035)); #456490=CARTESIAN_POINT('',(36.900331,6.8101219,0.035)); #456491=CARTESIAN_POINT('',(36.900331,6.8101219,0.)); #456492=CARTESIAN_POINT('Origin',(36.874784,6.8264656,0.)); #456493=CARTESIAN_POINT('',(36.874784,6.8264656,0.)); #456494=CARTESIAN_POINT('',(36.874784,6.8264656,0.)); #456495=CARTESIAN_POINT('',(36.874784,6.8264656,0.035)); #456496=CARTESIAN_POINT('',(36.874784,6.8264656,0.035)); #456497=CARTESIAN_POINT('',(36.874784,6.8264656,0.)); #456498=CARTESIAN_POINT('Origin',(36.857634,6.8514781,0.)); #456499=CARTESIAN_POINT('',(36.857634,6.8514781,0.)); #456500=CARTESIAN_POINT('',(36.857634,6.8514781,0.)); #456501=CARTESIAN_POINT('',(36.857634,6.8514781,0.035)); #456502=CARTESIAN_POINT('',(36.857634,6.8514781,0.035)); #456503=CARTESIAN_POINT('',(36.857634,6.8514781,0.)); #456504=CARTESIAN_POINT('Origin',(36.8516,6.8812,0.)); #456505=CARTESIAN_POINT('',(36.8516,6.8812,0.)); #456506=CARTESIAN_POINT('',(36.8516,6.8812,0.)); #456507=CARTESIAN_POINT('',(36.8516,6.8812,0.035)); #456508=CARTESIAN_POINT('',(36.8516,6.8812,0.035)); #456509=CARTESIAN_POINT('',(36.8516,6.8812,0.)); #456510=CARTESIAN_POINT('Origin',(36.8516,7.8222,0.)); #456511=CARTESIAN_POINT('',(36.8516,7.8222,0.)); #456512=CARTESIAN_POINT('',(36.8516,7.8222,0.)); #456513=CARTESIAN_POINT('',(36.8516,7.8222,0.035)); #456514=CARTESIAN_POINT('',(36.8516,7.8222,0.035)); #456515=CARTESIAN_POINT('',(36.8516,7.8222,0.)); #456516=CARTESIAN_POINT('Origin',(36.856722,7.8496688,0.)); #456517=CARTESIAN_POINT('',(36.856722,7.8496688,0.)); #456518=CARTESIAN_POINT('',(36.856722,7.8496688,0.)); #456519=CARTESIAN_POINT('',(36.856722,7.8496688,0.035)); #456520=CARTESIAN_POINT('',(36.856722,7.8496688,0.035)); #456521=CARTESIAN_POINT('',(36.856722,7.8496688,0.)); #456522=CARTESIAN_POINT('Origin',(36.873066,7.8752156,0.)); #456523=CARTESIAN_POINT('',(36.873066,7.8752156,0.)); #456524=CARTESIAN_POINT('',(36.873066,7.8752156,0.)); #456525=CARTESIAN_POINT('',(36.873066,7.8752156,0.035)); #456526=CARTESIAN_POINT('',(36.873066,7.8752156,0.035)); #456527=CARTESIAN_POINT('',(36.873066,7.8752156,0.)); #456528=CARTESIAN_POINT('Origin',(36.898078,7.8923656,0.)); #456529=CARTESIAN_POINT('',(36.898078,7.8923656,0.)); #456530=CARTESIAN_POINT('',(36.898078,7.8923656,0.)); #456531=CARTESIAN_POINT('',(36.898078,7.8923656,0.035)); #456532=CARTESIAN_POINT('',(36.898078,7.8923656,0.035)); #456533=CARTESIAN_POINT('',(36.898078,7.8923656,0.)); #456534=CARTESIAN_POINT('Origin',(36.9278,7.8984,0.)); #456535=CARTESIAN_POINT('',(36.9278,7.8984,0.)); #456536=CARTESIAN_POINT('',(36.9278,7.8984,0.)); #456537=CARTESIAN_POINT('',(36.9278,7.8984,0.035)); #456538=CARTESIAN_POINT('',(36.9278,7.8984,0.035)); #456539=CARTESIAN_POINT('',(36.9278,7.8984,0.)); #456540=CARTESIAN_POINT('Origin',(37.245953,7.8984,0.)); #456541=CARTESIAN_POINT('',(37.245953,7.8984,0.)); #456542=CARTESIAN_POINT('',(37.245953,7.8984,0.)); #456543=CARTESIAN_POINT('',(37.245953,7.8984,0.035)); #456544=CARTESIAN_POINT('',(37.245953,7.8984,0.035)); #456545=CARTESIAN_POINT('',(37.245953,7.8984,0.)); #456546=CARTESIAN_POINT('Origin',(37.271309,7.9011,0.)); #456547=CARTESIAN_POINT('',(37.271309,7.9011,0.)); #456548=CARTESIAN_POINT('',(37.271309,7.9011,0.)); #456549=CARTESIAN_POINT('',(37.271309,7.9011,0.035)); #456550=CARTESIAN_POINT('',(37.271309,7.9011,0.035)); #456551=CARTESIAN_POINT('',(37.271309,7.9011,0.)); #456552=CARTESIAN_POINT('Origin',(37.291663,7.9078063,0.)); #456553=CARTESIAN_POINT('',(37.291663,7.9078063,0.)); #456554=CARTESIAN_POINT('',(37.291663,7.9078063,0.)); #456555=CARTESIAN_POINT('',(37.291663,7.9078063,0.035)); #456556=CARTESIAN_POINT('',(37.291663,7.9078063,0.035)); #456557=CARTESIAN_POINT('',(37.291663,7.9078063,0.)); #456558=CARTESIAN_POINT('Origin',(37.311197,7.9192688,0.)); #456559=CARTESIAN_POINT('',(37.311197,7.9192688,0.)); #456560=CARTESIAN_POINT('',(37.311197,7.9192688,0.)); #456561=CARTESIAN_POINT('',(37.311197,7.9192688,0.035)); #456562=CARTESIAN_POINT('',(37.311197,7.9192688,0.035)); #456563=CARTESIAN_POINT('',(37.311197,7.9192688,0.)); #456564=CARTESIAN_POINT('Origin',(37.323866,7.9301781,0.)); #456565=CARTESIAN_POINT('',(37.323866,7.9301781,0.)); #456566=CARTESIAN_POINT('',(37.323866,7.9301781,0.)); #456567=CARTESIAN_POINT('',(37.323866,7.9301781,0.035)); #456568=CARTESIAN_POINT('',(37.323866,7.9301781,0.035)); #456569=CARTESIAN_POINT('',(37.323866,7.9301781,0.)); #456570=CARTESIAN_POINT('Origin',(37.769769,8.3760813,0.)); #456571=CARTESIAN_POINT('',(37.769769,8.3760813,0.)); #456572=CARTESIAN_POINT('',(37.769769,8.3760813,0.)); #456573=CARTESIAN_POINT('',(37.769769,8.3760813,0.035)); #456574=CARTESIAN_POINT('',(37.769769,8.3760813,0.035)); #456575=CARTESIAN_POINT('',(37.769769,8.3760813,0.)); #456576=CARTESIAN_POINT('Origin',(37.793928,8.3923656,0.)); #456577=CARTESIAN_POINT('',(37.793928,8.3923656,0.)); #456578=CARTESIAN_POINT('',(37.793928,8.3923656,0.)); #456579=CARTESIAN_POINT('',(37.793928,8.3923656,0.035)); #456580=CARTESIAN_POINT('',(37.793928,8.3923656,0.035)); #456581=CARTESIAN_POINT('',(37.793928,8.3923656,0.)); #456582=CARTESIAN_POINT('Origin',(37.82365,8.3984,0.)); #456583=CARTESIAN_POINT('',(37.82365,8.3984,0.)); #456584=CARTESIAN_POINT('',(37.82365,8.3984,0.)); #456585=CARTESIAN_POINT('',(37.82365,8.3984,0.035)); #456586=CARTESIAN_POINT('',(37.82365,8.3984,0.035)); #456587=CARTESIAN_POINT('',(37.82365,8.3984,0.)); #456588=CARTESIAN_POINT('Origin',(42.745953,8.3984,0.)); #456589=CARTESIAN_POINT('',(42.745953,8.3984,0.)); #456590=CARTESIAN_POINT('',(42.745953,8.3984,0.)); #456591=CARTESIAN_POINT('',(42.745953,8.3984,0.035)); #456592=CARTESIAN_POINT('',(42.745953,8.3984,0.035)); #456593=CARTESIAN_POINT('',(42.745953,8.3984,0.)); #456594=CARTESIAN_POINT('Origin',(42.771309,8.4011,0.)); #456595=CARTESIAN_POINT('',(42.771309,8.4011,0.)); #456596=CARTESIAN_POINT('',(42.771309,8.4011,0.)); #456597=CARTESIAN_POINT('',(42.771309,8.4011,0.035)); #456598=CARTESIAN_POINT('',(42.771309,8.4011,0.035)); #456599=CARTESIAN_POINT('',(42.771309,8.4011,0.)); #456600=CARTESIAN_POINT('Origin',(42.791663,8.4078063,0.)); #456601=CARTESIAN_POINT('',(42.791663,8.4078063,0.)); #456602=CARTESIAN_POINT('',(42.791663,8.4078063,0.)); #456603=CARTESIAN_POINT('',(42.791663,8.4078063,0.035)); #456604=CARTESIAN_POINT('',(42.791663,8.4078063,0.035)); #456605=CARTESIAN_POINT('',(42.791663,8.4078063,0.)); #456606=CARTESIAN_POINT('Origin',(42.811197,8.4192688,0.)); #456607=CARTESIAN_POINT('',(42.811197,8.4192688,0.)); #456608=CARTESIAN_POINT('',(42.811197,8.4192688,0.)); #456609=CARTESIAN_POINT('',(42.811197,8.4192688,0.035)); #456610=CARTESIAN_POINT('',(42.811197,8.4192688,0.035)); #456611=CARTESIAN_POINT('',(42.811197,8.4192688,0.)); #456612=CARTESIAN_POINT('Origin',(42.823866,8.4301781,0.)); #456613=CARTESIAN_POINT('',(42.823866,8.4301781,0.)); #456614=CARTESIAN_POINT('',(42.823866,8.4301781,0.)); #456615=CARTESIAN_POINT('',(42.823866,8.4301781,0.035)); #456616=CARTESIAN_POINT('',(42.823866,8.4301781,0.035)); #456617=CARTESIAN_POINT('',(42.823866,8.4301781,0.)); #456618=CARTESIAN_POINT('Origin',(45.068978,10.675291,0.)); #456619=CARTESIAN_POINT('',(45.068978,10.675291,0.)); #456620=CARTESIAN_POINT('',(45.068978,10.675291,0.)); #456621=CARTESIAN_POINT('',(45.068978,10.675291,0.035)); #456622=CARTESIAN_POINT('',(45.068978,10.675291,0.035)); #456623=CARTESIAN_POINT('',(45.068978,10.675291,0.)); #456624=CARTESIAN_POINT('Origin',(45.084997,10.695131,0.)); #456625=CARTESIAN_POINT('',(45.084997,10.695131,0.)); #456626=CARTESIAN_POINT('',(45.084997,10.695131,0.)); #456627=CARTESIAN_POINT('',(45.084997,10.695131,0.035)); #456628=CARTESIAN_POINT('',(45.084997,10.695131,0.035)); #456629=CARTESIAN_POINT('',(45.084997,10.695131,0.)); #456630=CARTESIAN_POINT('Origin',(45.094647,10.714272,0.)); #456631=CARTESIAN_POINT('',(45.094647,10.714272,0.)); #456632=CARTESIAN_POINT('',(45.094647,10.714272,0.)); #456633=CARTESIAN_POINT('',(45.094647,10.714272,0.035)); #456634=CARTESIAN_POINT('',(45.094647,10.714272,0.035)); #456635=CARTESIAN_POINT('',(45.094647,10.714272,0.)); #456636=CARTESIAN_POINT('Origin',(45.100359,10.736184,0.)); #456637=CARTESIAN_POINT('',(45.100359,10.736184,0.)); #456638=CARTESIAN_POINT('',(45.100359,10.736184,0.)); #456639=CARTESIAN_POINT('',(45.100359,10.736184,0.035)); #456640=CARTESIAN_POINT('',(45.100359,10.736184,0.035)); #456641=CARTESIAN_POINT('',(45.100359,10.736184,0.)); #456642=CARTESIAN_POINT('Origin',(45.1016,10.752847,0.)); #456643=CARTESIAN_POINT('',(45.1016,10.752847,0.)); #456644=CARTESIAN_POINT('',(45.1016,10.752847,0.)); #456645=CARTESIAN_POINT('',(45.1016,10.752847,0.035)); #456646=CARTESIAN_POINT('',(45.1016,10.752847,0.035)); #456647=CARTESIAN_POINT('',(45.1016,10.752847,0.)); #456648=CARTESIAN_POINT('Origin',(45.1016,15.3222,0.)); #456649=CARTESIAN_POINT('',(45.1016,15.3222,0.)); #456650=CARTESIAN_POINT('',(45.1016,15.3222,0.)); #456651=CARTESIAN_POINT('',(45.1016,15.3222,0.035)); #456652=CARTESIAN_POINT('',(45.1016,15.3222,0.035)); #456653=CARTESIAN_POINT('',(45.1016,15.3222,0.)); #456654=CARTESIAN_POINT('Origin',(45.106722,15.349669,0.)); #456655=CARTESIAN_POINT('',(45.106722,15.349669,0.)); #456656=CARTESIAN_POINT('',(45.106722,15.349669,0.)); #456657=CARTESIAN_POINT('',(45.106722,15.349669,0.035)); #456658=CARTESIAN_POINT('',(45.106722,15.349669,0.035)); #456659=CARTESIAN_POINT('',(45.106722,15.349669,0.)); #456660=CARTESIAN_POINT('Origin',(45.123066,15.375216,0.)); #456661=CARTESIAN_POINT('',(45.123066,15.375216,0.)); #456662=CARTESIAN_POINT('',(45.123066,15.375216,0.)); #456663=CARTESIAN_POINT('',(45.123066,15.375216,0.035)); #456664=CARTESIAN_POINT('',(45.123066,15.375216,0.035)); #456665=CARTESIAN_POINT('',(45.123066,15.375216,0.)); #456666=CARTESIAN_POINT('Origin',(45.148078,15.392366,0.)); #456667=CARTESIAN_POINT('',(45.148078,15.392366,0.)); #456668=CARTESIAN_POINT('',(45.148078,15.392366,0.)); #456669=CARTESIAN_POINT('',(45.148078,15.392366,0.035)); #456670=CARTESIAN_POINT('',(45.148078,15.392366,0.035)); #456671=CARTESIAN_POINT('',(45.148078,15.392366,0.)); #456672=CARTESIAN_POINT('Origin',(45.1778,15.3984,0.)); #456673=CARTESIAN_POINT('',(45.1778,15.3984,0.)); #456674=CARTESIAN_POINT('',(45.1778,15.3984,0.)); #456675=CARTESIAN_POINT('',(45.1778,15.3984,0.035)); #456676=CARTESIAN_POINT('',(45.1778,15.3984,0.035)); #456677=CARTESIAN_POINT('',(45.1778,15.3984,0.)); #456678=CARTESIAN_POINT('Origin',(49.995953,15.3984,0.)); #456679=CARTESIAN_POINT('',(49.995953,15.3984,0.)); #456680=CARTESIAN_POINT('',(49.995953,15.3984,0.)); #456681=CARTESIAN_POINT('',(49.995953,15.3984,0.035)); #456682=CARTESIAN_POINT('',(49.995953,15.3984,0.035)); #456683=CARTESIAN_POINT('',(49.995953,15.3984,0.)); #456684=CARTESIAN_POINT('Origin',(50.021309,15.4011,0.)); #456685=CARTESIAN_POINT('',(50.021309,15.4011,0.)); #456686=CARTESIAN_POINT('',(50.021309,15.4011,0.)); #456687=CARTESIAN_POINT('',(50.021309,15.4011,0.035)); #456688=CARTESIAN_POINT('',(50.021309,15.4011,0.035)); #456689=CARTESIAN_POINT('',(50.021309,15.4011,0.)); #456690=CARTESIAN_POINT('Origin',(50.041663,15.407806,0.)); #456691=CARTESIAN_POINT('',(50.041663,15.407806,0.)); #456692=CARTESIAN_POINT('',(50.041663,15.407806,0.)); #456693=CARTESIAN_POINT('',(50.041663,15.407806,0.035)); #456694=CARTESIAN_POINT('',(50.041663,15.407806,0.035)); #456695=CARTESIAN_POINT('',(50.041663,15.407806,0.)); #456696=CARTESIAN_POINT('Origin',(50.061197,15.419269,0.)); #456697=CARTESIAN_POINT('',(50.061197,15.419269,0.)); #456698=CARTESIAN_POINT('',(50.061197,15.419269,0.)); #456699=CARTESIAN_POINT('',(50.061197,15.419269,0.035)); #456700=CARTESIAN_POINT('',(50.061197,15.419269,0.035)); #456701=CARTESIAN_POINT('',(50.061197,15.419269,0.)); #456702=CARTESIAN_POINT('Origin',(50.073866,15.430178,0.)); #456703=CARTESIAN_POINT('',(50.073866,15.430178,0.)); #456704=CARTESIAN_POINT('',(50.073866,15.430178,0.)); #456705=CARTESIAN_POINT('',(50.073866,15.430178,0.035)); #456706=CARTESIAN_POINT('',(50.073866,15.430178,0.035)); #456707=CARTESIAN_POINT('',(50.073866,15.430178,0.)); #456708=CARTESIAN_POINT('Origin',(54.068978,19.425291,0.)); #456709=CARTESIAN_POINT('',(54.068978,19.425291,0.)); #456710=CARTESIAN_POINT('',(54.068978,19.425291,0.)); #456711=CARTESIAN_POINT('',(54.068978,19.425291,0.035)); #456712=CARTESIAN_POINT('',(54.068978,19.425291,0.035)); #456713=CARTESIAN_POINT('',(54.068978,19.425291,0.)); #456714=CARTESIAN_POINT('Origin',(54.084997,19.445131,0.)); #456715=CARTESIAN_POINT('',(54.084997,19.445131,0.)); #456716=CARTESIAN_POINT('',(54.084997,19.445131,0.)); #456717=CARTESIAN_POINT('',(54.084997,19.445131,0.035)); #456718=CARTESIAN_POINT('',(54.084997,19.445131,0.035)); #456719=CARTESIAN_POINT('',(54.084997,19.445131,0.)); #456720=CARTESIAN_POINT('Origin',(54.094647,19.464272,0.)); #456721=CARTESIAN_POINT('',(54.094647,19.464272,0.)); #456722=CARTESIAN_POINT('',(54.094647,19.464272,0.)); #456723=CARTESIAN_POINT('',(54.094647,19.464272,0.035)); #456724=CARTESIAN_POINT('',(54.094647,19.464272,0.035)); #456725=CARTESIAN_POINT('',(54.094647,19.464272,0.)); #456726=CARTESIAN_POINT('Origin',(54.100359,19.486184,0.)); #456727=CARTESIAN_POINT('',(54.100359,19.486184,0.)); #456728=CARTESIAN_POINT('',(54.100359,19.486184,0.)); #456729=CARTESIAN_POINT('',(54.100359,19.486184,0.035)); #456730=CARTESIAN_POINT('',(54.100359,19.486184,0.035)); #456731=CARTESIAN_POINT('',(54.100359,19.486184,0.)); #456732=CARTESIAN_POINT('Origin',(54.1016,19.502847,0.)); #456733=CARTESIAN_POINT('',(54.1016,19.502847,0.)); #456734=CARTESIAN_POINT('',(54.1016,19.502847,0.)); #456735=CARTESIAN_POINT('',(54.1016,19.502847,0.035)); #456736=CARTESIAN_POINT('',(54.1016,19.502847,0.035)); #456737=CARTESIAN_POINT('',(54.1016,19.502847,0.)); #456738=CARTESIAN_POINT('Origin',(54.1016,37.92635,0.)); #456739=CARTESIAN_POINT('',(54.1016,37.92635,0.)); #456740=CARTESIAN_POINT('',(54.1016,37.92635,0.)); #456741=CARTESIAN_POINT('',(54.1016,37.92635,0.035)); #456742=CARTESIAN_POINT('',(54.1016,37.92635,0.035)); #456743=CARTESIAN_POINT('',(54.1016,37.92635,0.)); #456744=CARTESIAN_POINT('Origin',(54.107169,37.954947,0.)); #456745=CARTESIAN_POINT('',(54.107169,37.954947,0.)); #456746=CARTESIAN_POINT('',(54.107169,37.954947,0.)); #456747=CARTESIAN_POINT('',(54.107169,37.954947,0.035)); #456748=CARTESIAN_POINT('',(54.107169,37.954947,0.035)); #456749=CARTESIAN_POINT('',(54.107169,37.954947,0.)); #456750=CARTESIAN_POINT('Origin',(54.123919,37.980231,0.)); #456751=CARTESIAN_POINT('',(54.123919,37.980231,0.)); #456752=CARTESIAN_POINT('',(54.123919,37.980231,0.)); #456753=CARTESIAN_POINT('',(54.123919,37.980231,0.035)); #456754=CARTESIAN_POINT('',(54.123919,37.980231,0.035)); #456755=CARTESIAN_POINT('',(54.123919,37.980231,0.)); #456756=CARTESIAN_POINT('Origin',(57.818978,41.675291,0.)); #456757=CARTESIAN_POINT('',(57.818978,41.675291,0.)); #456758=CARTESIAN_POINT('',(57.818978,41.675291,0.)); #456759=CARTESIAN_POINT('',(57.818978,41.675291,0.035)); #456760=CARTESIAN_POINT('',(57.818978,41.675291,0.035)); #456761=CARTESIAN_POINT('',(57.818978,41.675291,0.)); #456762=CARTESIAN_POINT('Origin',(57.834997,41.695131,0.)); #456763=CARTESIAN_POINT('',(57.834997,41.695131,0.)); #456764=CARTESIAN_POINT('',(57.834997,41.695131,0.)); #456765=CARTESIAN_POINT('',(57.834997,41.695131,0.035)); #456766=CARTESIAN_POINT('',(57.834997,41.695131,0.035)); #456767=CARTESIAN_POINT('',(57.834997,41.695131,0.)); #456768=CARTESIAN_POINT('Origin',(57.844647,41.714272,0.)); #456769=CARTESIAN_POINT('',(57.844647,41.714272,0.)); #456770=CARTESIAN_POINT('',(57.844647,41.714272,0.)); #456771=CARTESIAN_POINT('',(57.844647,41.714272,0.035)); #456772=CARTESIAN_POINT('',(57.844647,41.714272,0.035)); #456773=CARTESIAN_POINT('',(57.844647,41.714272,0.)); #456774=CARTESIAN_POINT('Origin',(57.850359,41.736184,0.)); #456775=CARTESIAN_POINT('',(57.850359,41.736184,0.)); #456776=CARTESIAN_POINT('',(57.850359,41.736184,0.)); #456777=CARTESIAN_POINT('',(57.850359,41.736184,0.035)); #456778=CARTESIAN_POINT('',(57.850359,41.736184,0.035)); #456779=CARTESIAN_POINT('',(57.850359,41.736184,0.)); #456780=CARTESIAN_POINT('Origin',(57.8516,41.752847,0.)); #456781=CARTESIAN_POINT('',(57.8516,41.752847,0.)); #456782=CARTESIAN_POINT('',(57.8516,41.752847,0.)); #456783=CARTESIAN_POINT('',(57.8516,41.752847,0.035)); #456784=CARTESIAN_POINT('',(57.8516,41.752847,0.035)); #456785=CARTESIAN_POINT('',(57.8516,41.752847,0.)); #456786=CARTESIAN_POINT('Origin',(57.8516,49.495953,0.)); #456787=CARTESIAN_POINT('',(57.8516,49.495953,0.)); #456788=CARTESIAN_POINT('',(57.8516,49.495953,0.)); #456789=CARTESIAN_POINT('',(57.8516,49.495953,0.035)); #456790=CARTESIAN_POINT('',(57.8516,49.495953,0.035)); #456791=CARTESIAN_POINT('',(57.8516,49.495953,0.)); #456792=CARTESIAN_POINT('Origin',(57.8489,49.521309,0.)); #456793=CARTESIAN_POINT('',(57.8489,49.521309,0.)); #456794=CARTESIAN_POINT('',(57.8489,49.521309,0.)); #456795=CARTESIAN_POINT('',(57.8489,49.521309,0.035)); #456796=CARTESIAN_POINT('',(57.8489,49.521309,0.035)); #456797=CARTESIAN_POINT('',(57.8489,49.521309,0.)); #456798=CARTESIAN_POINT('Origin',(57.842775,49.539897,0.)); #456799=CARTESIAN_POINT('',(57.842775,49.539897,0.)); #456800=CARTESIAN_POINT('',(57.842775,49.539897,0.)); #456801=CARTESIAN_POINT('',(57.842775,49.539897,0.035)); #456802=CARTESIAN_POINT('',(57.842775,49.539897,0.035)); #456803=CARTESIAN_POINT('',(57.842775,49.539897,0.)); #456804=CARTESIAN_POINT('Origin',(57.821575,49.572347,0.)); #456805=CARTESIAN_POINT('',(57.821575,49.572347,0.)); #456806=CARTESIAN_POINT('',(57.821575,49.572347,0.)); #456807=CARTESIAN_POINT('',(57.821575,49.572347,0.035)); #456808=CARTESIAN_POINT('',(57.821575,49.572347,0.035)); #456809=CARTESIAN_POINT('',(57.821575,49.572347,0.)); #456810=CARTESIAN_POINT('Origin',(56.478234,51.06495,0.)); #456811=CARTESIAN_POINT('',(56.478234,51.06495,0.)); #456812=CARTESIAN_POINT('',(56.478234,51.06495,0.)); #456813=CARTESIAN_POINT('',(56.478234,51.06495,0.035)); #456814=CARTESIAN_POINT('',(56.478234,51.06495,0.035)); #456815=CARTESIAN_POINT('',(56.478234,51.06495,0.)); #456816=CARTESIAN_POINT('Origin',(56.459256,51.082,0.)); #456817=CARTESIAN_POINT('',(56.459256,51.082,0.)); #456818=CARTESIAN_POINT('',(56.459256,51.082,0.)); #456819=CARTESIAN_POINT('',(56.459256,51.082,0.035)); #456820=CARTESIAN_POINT('',(56.459256,51.082,0.035)); #456821=CARTESIAN_POINT('',(56.459256,51.082,0.)); #456822=CARTESIAN_POINT('Origin',(56.440656,51.092641,0.)); #456823=CARTESIAN_POINT('',(56.440656,51.092641,0.)); #456824=CARTESIAN_POINT('',(56.440656,51.092641,0.)); #456825=CARTESIAN_POINT('',(56.440656,51.092641,0.035)); #456826=CARTESIAN_POINT('',(56.440656,51.092641,0.035)); #456827=CARTESIAN_POINT('',(56.440656,51.092641,0.)); #456828=CARTESIAN_POINT('Origin',(56.420031,51.099187,0.)); #456829=CARTESIAN_POINT('',(56.420031,51.099187,0.)); #456830=CARTESIAN_POINT('',(56.420031,51.099187,0.)); #456831=CARTESIAN_POINT('',(56.420031,51.099187,0.035)); #456832=CARTESIAN_POINT('',(56.420031,51.099187,0.035)); #456833=CARTESIAN_POINT('',(56.420031,51.099187,0.)); #456834=CARTESIAN_POINT('Origin',(56.39615,51.1016,0.)); #456835=CARTESIAN_POINT('',(56.39615,51.1016,0.)); #456836=CARTESIAN_POINT('',(56.39615,51.1016,0.)); #456837=CARTESIAN_POINT('',(56.39615,51.1016,0.035)); #456838=CARTESIAN_POINT('',(56.39615,51.1016,0.035)); #456839=CARTESIAN_POINT('',(56.39615,51.1016,0.)); #456840=CARTESIAN_POINT('Origin',(53.754047,51.1016,0.)); #456841=CARTESIAN_POINT('',(53.754047,51.1016,0.)); #456842=CARTESIAN_POINT('',(53.754047,51.1016,0.)); #456843=CARTESIAN_POINT('',(53.754047,51.1016,0.035)); #456844=CARTESIAN_POINT('',(53.754047,51.1016,0.035)); #456845=CARTESIAN_POINT('',(53.754047,51.1016,0.)); #456846=CARTESIAN_POINT('Origin',(53.728691,51.0989,0.)); #456847=CARTESIAN_POINT('',(53.728691,51.0989,0.)); #456848=CARTESIAN_POINT('',(53.728691,51.0989,0.)); #456849=CARTESIAN_POINT('',(53.728691,51.0989,0.035)); #456850=CARTESIAN_POINT('',(53.728691,51.0989,0.035)); #456851=CARTESIAN_POINT('',(53.728691,51.0989,0.)); #456852=CARTESIAN_POINT('Origin',(53.708338,51.092194,0.)); #456853=CARTESIAN_POINT('',(53.708338,51.092194,0.)); #456854=CARTESIAN_POINT('',(53.708338,51.092194,0.)); #456855=CARTESIAN_POINT('',(53.708338,51.092194,0.035)); #456856=CARTESIAN_POINT('',(53.708338,51.092194,0.035)); #456857=CARTESIAN_POINT('',(53.708338,51.092194,0.)); #456858=CARTESIAN_POINT('Origin',(53.689856,51.08135,0.)); #456859=CARTESIAN_POINT('',(53.689856,51.08135,0.)); #456860=CARTESIAN_POINT('',(53.689856,51.08135,0.)); #456861=CARTESIAN_POINT('',(53.689856,51.08135,0.035)); #456862=CARTESIAN_POINT('',(53.689856,51.08135,0.035)); #456863=CARTESIAN_POINT('',(53.689856,51.08135,0.)); #456864=CARTESIAN_POINT('Origin',(53.674063,51.06685,0.)); #456865=CARTESIAN_POINT('',(53.674063,51.06685,0.)); #456866=CARTESIAN_POINT('',(53.674063,51.06685,0.)); #456867=CARTESIAN_POINT('',(53.674063,51.06685,0.035)); #456868=CARTESIAN_POINT('',(53.674063,51.06685,0.035)); #456869=CARTESIAN_POINT('',(53.674063,51.06685,0.)); #456870=CARTESIAN_POINT('Origin',(53.661694,51.049369,0.)); #456871=CARTESIAN_POINT('',(53.661694,51.049369,0.)); #456872=CARTESIAN_POINT('',(53.661694,51.049369,0.)); #456873=CARTESIAN_POINT('',(53.661694,51.049369,0.035)); #456874=CARTESIAN_POINT('',(53.661694,51.049369,0.035)); #456875=CARTESIAN_POINT('',(53.661694,51.049369,0.)); #456876=CARTESIAN_POINT('Origin',(53.653922,51.031172,0.)); #456877=CARTESIAN_POINT('',(53.653922,51.031172,0.)); #456878=CARTESIAN_POINT('',(53.653922,51.031172,0.)); #456879=CARTESIAN_POINT('',(53.653922,51.031172,0.035)); #456880=CARTESIAN_POINT('',(53.653922,51.031172,0.035)); #456881=CARTESIAN_POINT('',(53.653922,51.031172,0.)); #456882=CARTESIAN_POINT('Origin',(53.6484,50.994316,0.)); #456883=CARTESIAN_POINT('',(53.6484,50.994316,0.)); #456884=CARTESIAN_POINT('',(53.6484,50.994316,0.)); #456885=CARTESIAN_POINT('',(53.6484,50.994316,0.035)); #456886=CARTESIAN_POINT('',(53.6484,50.994316,0.035)); #456887=CARTESIAN_POINT('',(53.6484,50.994316,0.)); #456888=CARTESIAN_POINT('Origin',(53.6484,50.8048,0.)); #456889=CARTESIAN_POINT('',(53.6484,50.8048,0.)); #456890=CARTESIAN_POINT('',(53.6484,50.8048,0.)); #456891=CARTESIAN_POINT('',(53.6484,50.8048,0.035)); #456892=CARTESIAN_POINT('',(53.6484,50.8048,0.035)); #456893=CARTESIAN_POINT('',(53.6484,50.8048,0.)); #456894=CARTESIAN_POINT('Origin',(53.643278,50.777331,0.)); #456895=CARTESIAN_POINT('',(53.643278,50.777331,0.)); #456896=CARTESIAN_POINT('',(53.643278,50.777331,0.)); #456897=CARTESIAN_POINT('',(53.643278,50.777331,0.035)); #456898=CARTESIAN_POINT('',(53.643278,50.777331,0.035)); #456899=CARTESIAN_POINT('',(53.643278,50.777331,0.)); #456900=CARTESIAN_POINT('Origin',(53.626934,50.751784,0.)); #456901=CARTESIAN_POINT('',(53.626934,50.751784,0.)); #456902=CARTESIAN_POINT('',(53.626934,50.751784,0.)); #456903=CARTESIAN_POINT('',(53.626934,50.751784,0.035)); #456904=CARTESIAN_POINT('',(53.626934,50.751784,0.035)); #456905=CARTESIAN_POINT('',(53.626934,50.751784,0.)); #456906=CARTESIAN_POINT('Origin',(53.601922,50.734634,0.)); #456907=CARTESIAN_POINT('',(53.601922,50.734634,0.)); #456908=CARTESIAN_POINT('',(53.601922,50.734634,0.)); #456909=CARTESIAN_POINT('',(53.601922,50.734634,0.035)); #456910=CARTESIAN_POINT('',(53.601922,50.734634,0.035)); #456911=CARTESIAN_POINT('',(53.601922,50.734634,0.)); #456912=CARTESIAN_POINT('Origin',(53.5722,50.7286,0.)); #456913=CARTESIAN_POINT('',(53.5722,50.7286,0.)); #456914=CARTESIAN_POINT('',(53.5722,50.7286,0.)); #456915=CARTESIAN_POINT('',(53.5722,50.7286,0.035)); #456916=CARTESIAN_POINT('',(53.5722,50.7286,0.035)); #456917=CARTESIAN_POINT('',(53.5722,50.7286,0.)); #456918=CARTESIAN_POINT('Origin',(52.4278,50.7286,0.)); #456919=CARTESIAN_POINT('',(52.4278,50.7286,0.)); #456920=CARTESIAN_POINT('',(52.4278,50.7286,0.)); #456921=CARTESIAN_POINT('',(52.4278,50.7286,0.035)); #456922=CARTESIAN_POINT('',(52.4278,50.7286,0.035)); #456923=CARTESIAN_POINT('',(52.4278,50.7286,0.)); #456924=CARTESIAN_POINT('Origin',(52.400331,50.733722,0.)); #456925=CARTESIAN_POINT('',(52.400331,50.733722,0.)); #456926=CARTESIAN_POINT('',(52.400331,50.733722,0.)); #456927=CARTESIAN_POINT('',(52.400331,50.733722,0.035)); #456928=CARTESIAN_POINT('',(52.400331,50.733722,0.035)); #456929=CARTESIAN_POINT('',(52.400331,50.733722,0.)); #456930=CARTESIAN_POINT('Origin',(52.374784,50.750066,0.)); #456931=CARTESIAN_POINT('',(52.374784,50.750066,0.)); #456932=CARTESIAN_POINT('',(52.374784,50.750066,0.)); #456933=CARTESIAN_POINT('',(52.374784,50.750066,0.035)); #456934=CARTESIAN_POINT('',(52.374784,50.750066,0.035)); #456935=CARTESIAN_POINT('',(52.374784,50.750066,0.)); #456936=CARTESIAN_POINT('Origin',(52.357634,50.775078,0.)); #456937=CARTESIAN_POINT('',(52.357634,50.775078,0.)); #456938=CARTESIAN_POINT('',(52.357634,50.775078,0.)); #456939=CARTESIAN_POINT('',(52.357634,50.775078,0.035)); #456940=CARTESIAN_POINT('',(52.357634,50.775078,0.035)); #456941=CARTESIAN_POINT('',(52.357634,50.775078,0.)); #456942=CARTESIAN_POINT('Origin',(52.3516,50.8048,0.)); #456943=CARTESIAN_POINT('',(52.3516,50.8048,0.)); #456944=CARTESIAN_POINT('',(52.3516,50.8048,0.)); #456945=CARTESIAN_POINT('',(52.3516,50.8048,0.035)); #456946=CARTESIAN_POINT('',(52.3516,50.8048,0.035)); #456947=CARTESIAN_POINT('',(52.3516,50.8048,0.)); #456948=CARTESIAN_POINT('Origin',(52.3516,50.995953,0.)); #456949=CARTESIAN_POINT('',(52.3516,50.995953,0.)); #456950=CARTESIAN_POINT('',(52.3516,50.995953,0.)); #456951=CARTESIAN_POINT('',(52.3516,50.995953,0.035)); #456952=CARTESIAN_POINT('',(52.3516,50.995953,0.035)); #456953=CARTESIAN_POINT('',(52.3516,50.995953,0.)); #456954=CARTESIAN_POINT('Origin',(52.3489,51.021309,0.)); #456955=CARTESIAN_POINT('',(52.3489,51.021309,0.)); #456956=CARTESIAN_POINT('',(52.3489,51.021309,0.)); #456957=CARTESIAN_POINT('',(52.3489,51.021309,0.035)); #456958=CARTESIAN_POINT('',(52.3489,51.021309,0.035)); #456959=CARTESIAN_POINT('',(52.3489,51.021309,0.)); #456960=CARTESIAN_POINT('Origin',(52.342194,51.041663,0.)); #456961=CARTESIAN_POINT('',(52.342194,51.041663,0.)); #456962=CARTESIAN_POINT('',(52.342194,51.041663,0.)); #456963=CARTESIAN_POINT('',(52.342194,51.041663,0.035)); #456964=CARTESIAN_POINT('',(52.342194,51.041663,0.035)); #456965=CARTESIAN_POINT('',(52.342194,51.041663,0.)); #456966=CARTESIAN_POINT('Origin',(52.33135,51.060144,0.)); #456967=CARTESIAN_POINT('',(52.33135,51.060144,0.)); #456968=CARTESIAN_POINT('',(52.33135,51.060144,0.)); #456969=CARTESIAN_POINT('',(52.33135,51.060144,0.035)); #456970=CARTESIAN_POINT('',(52.33135,51.060144,0.035)); #456971=CARTESIAN_POINT('',(52.33135,51.060144,0.)); #456972=CARTESIAN_POINT('Origin',(52.31685,51.075938,0.)); #456973=CARTESIAN_POINT('',(52.31685,51.075938,0.)); #456974=CARTESIAN_POINT('',(52.31685,51.075938,0.)); #456975=CARTESIAN_POINT('',(52.31685,51.075938,0.035)); #456976=CARTESIAN_POINT('',(52.31685,51.075938,0.035)); #456977=CARTESIAN_POINT('',(52.31685,51.075938,0.)); #456978=CARTESIAN_POINT('Origin',(52.299369,51.088306,0.)); #456979=CARTESIAN_POINT('',(52.299369,51.088306,0.)); #456980=CARTESIAN_POINT('',(52.299369,51.088306,0.)); #456981=CARTESIAN_POINT('',(52.299369,51.088306,0.035)); #456982=CARTESIAN_POINT('',(52.299369,51.088306,0.035)); #456983=CARTESIAN_POINT('',(52.299369,51.088306,0.)); #456984=CARTESIAN_POINT('Origin',(52.281172,51.096078,0.)); #456985=CARTESIAN_POINT('',(52.281172,51.096078,0.)); #456986=CARTESIAN_POINT('',(52.281172,51.096078,0.)); #456987=CARTESIAN_POINT('',(52.281172,51.096078,0.035)); #456988=CARTESIAN_POINT('',(52.281172,51.096078,0.035)); #456989=CARTESIAN_POINT('',(52.281172,51.096078,0.)); #456990=CARTESIAN_POINT('Origin',(52.244316,51.1016,0.)); #456991=CARTESIAN_POINT('',(52.244316,51.1016,0.)); #456992=CARTESIAN_POINT('',(52.244316,51.1016,0.)); #456993=CARTESIAN_POINT('',(52.244316,51.1016,0.035)); #456994=CARTESIAN_POINT('',(52.244316,51.1016,0.035)); #456995=CARTESIAN_POINT('',(52.244316,51.1016,0.)); #456996=CARTESIAN_POINT('Origin',(49.8028,51.1016,0.)); #456997=CARTESIAN_POINT('',(49.8028,51.1016,0.)); #456998=CARTESIAN_POINT('',(49.8028,51.1016,0.)); #456999=CARTESIAN_POINT('',(49.8028,51.1016,0.035)); #457000=CARTESIAN_POINT('',(49.8028,51.1016,0.035)); #457001=CARTESIAN_POINT('',(49.8028,51.1016,0.)); #457002=CARTESIAN_POINT('Origin',(49.775331,51.106722,0.)); #457003=CARTESIAN_POINT('',(49.775331,51.106722,0.)); #457004=CARTESIAN_POINT('',(49.775331,51.106722,0.)); #457005=CARTESIAN_POINT('',(49.775331,51.106722,0.035)); #457006=CARTESIAN_POINT('',(49.775331,51.106722,0.035)); #457007=CARTESIAN_POINT('',(49.775331,51.106722,0.)); #457008=CARTESIAN_POINT('Origin',(49.749784,51.123066,0.)); #457009=CARTESIAN_POINT('',(49.749784,51.123066,0.)); #457010=CARTESIAN_POINT('',(49.749784,51.123066,0.)); #457011=CARTESIAN_POINT('',(49.749784,51.123066,0.035)); #457012=CARTESIAN_POINT('',(49.749784,51.123066,0.035)); #457013=CARTESIAN_POINT('',(49.749784,51.123066,0.)); #457014=CARTESIAN_POINT('Origin',(49.732634,51.148078,0.)); #457015=CARTESIAN_POINT('',(49.732634,51.148078,0.)); #457016=CARTESIAN_POINT('',(49.732634,51.148078,0.)); #457017=CARTESIAN_POINT('',(49.732634,51.148078,0.035)); #457018=CARTESIAN_POINT('',(49.732634,51.148078,0.035)); #457019=CARTESIAN_POINT('',(49.732634,51.148078,0.)); #457020=CARTESIAN_POINT('Origin',(49.7266,51.1778,0.)); #457021=CARTESIAN_POINT('',(49.7266,51.1778,0.)); #457022=CARTESIAN_POINT('',(49.7266,51.1778,0.)); #457023=CARTESIAN_POINT('',(49.7266,51.1778,0.035)); #457024=CARTESIAN_POINT('',(49.7266,51.1778,0.035)); #457025=CARTESIAN_POINT('',(49.7266,51.1778,0.)); #457026=CARTESIAN_POINT('Origin',(49.7266,56.995953,0.)); #457027=CARTESIAN_POINT('',(49.7266,56.995953,0.)); #457028=CARTESIAN_POINT('',(49.7266,56.995953,0.)); #457029=CARTESIAN_POINT('',(49.7266,56.995953,0.035)); #457030=CARTESIAN_POINT('',(49.7266,56.995953,0.035)); #457031=CARTESIAN_POINT('',(49.7266,56.995953,0.)); #457032=CARTESIAN_POINT('Origin',(49.7239,57.021309,0.)); #457033=CARTESIAN_POINT('',(49.7239,57.021309,0.)); #457034=CARTESIAN_POINT('',(49.7239,57.021309,0.)); #457035=CARTESIAN_POINT('',(49.7239,57.021309,0.035)); #457036=CARTESIAN_POINT('',(49.7239,57.021309,0.035)); #457037=CARTESIAN_POINT('',(49.7239,57.021309,0.)); #457038=CARTESIAN_POINT('Origin',(49.717194,57.041663,0.)); #457039=CARTESIAN_POINT('',(49.717194,57.041663,0.)); #457040=CARTESIAN_POINT('',(49.717194,57.041663,0.)); #457041=CARTESIAN_POINT('',(49.717194,57.041663,0.035)); #457042=CARTESIAN_POINT('',(49.717194,57.041663,0.035)); #457043=CARTESIAN_POINT('',(49.717194,57.041663,0.)); #457044=CARTESIAN_POINT('Origin',(49.705731,57.061197,0.)); #457045=CARTESIAN_POINT('',(49.705731,57.061197,0.)); #457046=CARTESIAN_POINT('',(49.705731,57.061197,0.)); #457047=CARTESIAN_POINT('',(49.705731,57.061197,0.035)); #457048=CARTESIAN_POINT('',(49.705731,57.061197,0.035)); #457049=CARTESIAN_POINT('',(49.705731,57.061197,0.)); #457050=CARTESIAN_POINT('Origin',(49.694822,57.073866,0.)); #457051=CARTESIAN_POINT('',(49.694822,57.073866,0.)); #457052=CARTESIAN_POINT('',(49.694822,57.073866,0.)); #457053=CARTESIAN_POINT('',(49.694822,57.073866,0.035)); #457054=CARTESIAN_POINT('',(49.694822,57.073866,0.035)); #457055=CARTESIAN_POINT('',(49.694822,57.073866,0.)); #457056=CARTESIAN_POINT('Origin',(48.317944,58.450747,0.)); #457057=CARTESIAN_POINT('',(48.317944,58.450747,0.)); #457058=CARTESIAN_POINT('',(48.317944,58.450747,0.)); #457059=CARTESIAN_POINT('',(48.317944,58.450747,0.035)); #457060=CARTESIAN_POINT('',(48.317944,58.450747,0.035)); #457061=CARTESIAN_POINT('',(48.317944,58.450747,0.)); #457062=CARTESIAN_POINT('Origin',(48.302144,58.473794,0.)); #457063=CARTESIAN_POINT('',(48.302144,58.473794,0.)); #457064=CARTESIAN_POINT('',(48.302144,58.473794,0.)); #457065=CARTESIAN_POINT('',(48.302144,58.473794,0.035)); #457066=CARTESIAN_POINT('',(48.302144,58.473794,0.035)); #457067=CARTESIAN_POINT('',(48.302144,58.473794,0.)); #457068=CARTESIAN_POINT('Origin',(48.295634,58.503413,0.)); #457069=CARTESIAN_POINT('',(48.295634,58.503413,0.)); #457070=CARTESIAN_POINT('',(48.295634,58.503413,0.)); #457071=CARTESIAN_POINT('',(48.295634,58.503413,0.035)); #457072=CARTESIAN_POINT('',(48.295634,58.503413,0.035)); #457073=CARTESIAN_POINT('',(48.295634,58.503413,0.)); #457074=CARTESIAN_POINT('Origin',(48.301194,58.533225,0.)); #457075=CARTESIAN_POINT('',(48.301194,58.533225,0.)); #457076=CARTESIAN_POINT('',(48.301194,58.533225,0.)); #457077=CARTESIAN_POINT('',(48.301194,58.533225,0.035)); #457078=CARTESIAN_POINT('',(48.301194,58.533225,0.035)); #457079=CARTESIAN_POINT('',(48.301194,58.533225,0.)); #457080=CARTESIAN_POINT('Origin',(48.317944,58.558509,0.)); #457081=CARTESIAN_POINT('',(48.317944,58.558509,0.)); #457082=CARTESIAN_POINT('',(48.317944,58.558509,0.)); #457083=CARTESIAN_POINT('',(48.317944,58.558509,0.035)); #457084=CARTESIAN_POINT('',(48.317944,58.558509,0.035)); #457085=CARTESIAN_POINT('',(48.317944,58.558509,0.)); #457086=CARTESIAN_POINT('Origin',(48.3324,58.572966,0.)); #457087=CARTESIAN_POINT('',(48.3324,58.572966,0.)); #457088=CARTESIAN_POINT('',(48.3324,58.572966,0.)); #457089=CARTESIAN_POINT('',(48.3324,58.572966,0.035)); #457090=CARTESIAN_POINT('',(48.3324,58.572966,0.035)); #457091=CARTESIAN_POINT('',(48.3324,58.572966,0.)); #457092=CARTESIAN_POINT('Origin',(48.3324,58.927034,0.)); #457093=CARTESIAN_POINT('',(48.3324,58.927034,0.)); #457094=CARTESIAN_POINT('',(48.3324,58.927034,0.)); #457095=CARTESIAN_POINT('',(48.3324,58.927034,0.035)); #457096=CARTESIAN_POINT('',(48.3324,58.927034,0.035)); #457097=CARTESIAN_POINT('',(48.3324,58.927034,0.)); #457098=CARTESIAN_POINT('Origin',(48.082034,59.1774,0.)); #457099=CARTESIAN_POINT('',(48.082034,59.1774,0.)); #457100=CARTESIAN_POINT('',(48.082034,59.1774,0.)); #457101=CARTESIAN_POINT('',(48.082034,59.1774,0.035)); #457102=CARTESIAN_POINT('',(48.082034,59.1774,0.035)); #457103=CARTESIAN_POINT('',(48.082034,59.1774,0.)); #457104=CARTESIAN_POINT('Origin',(47.727966,59.1774,0.)); #457105=CARTESIAN_POINT('',(47.727966,59.1774,0.)); #457106=CARTESIAN_POINT('',(47.727966,59.1774,0.)); #457107=CARTESIAN_POINT('',(47.727966,59.1774,0.035)); #457108=CARTESIAN_POINT('',(47.727966,59.1774,0.035)); #457109=CARTESIAN_POINT('',(47.727966,59.1774,0.)); #457110=CARTESIAN_POINT('Origin',(47.551484,59.000919,0.)); #457111=CARTESIAN_POINT('',(47.551484,59.000919,0.)); #457112=CARTESIAN_POINT('',(47.551484,59.000919,0.)); #457113=CARTESIAN_POINT('',(47.551484,59.000919,0.035)); #457114=CARTESIAN_POINT('',(47.551484,59.000919,0.035)); #457115=CARTESIAN_POINT('',(47.551484,59.000919,0.)); #457116=CARTESIAN_POINT('Origin',(47.527325,58.984634,0.)); #457117=CARTESIAN_POINT('',(47.527325,58.984634,0.)); #457118=CARTESIAN_POINT('',(47.527325,58.984634,0.)); #457119=CARTESIAN_POINT('',(47.527325,58.984634,0.035)); #457120=CARTESIAN_POINT('',(47.527325,58.984634,0.035)); #457121=CARTESIAN_POINT('',(47.527325,58.984634,0.)); #457122=CARTESIAN_POINT('Origin',(47.497603,58.9786,0.)); #457123=CARTESIAN_POINT('',(47.497603,58.9786,0.)); #457124=CARTESIAN_POINT('',(47.497603,58.9786,0.)); #457125=CARTESIAN_POINT('',(47.497603,58.9786,0.035)); #457126=CARTESIAN_POINT('',(47.497603,58.9786,0.035)); #457127=CARTESIAN_POINT('',(47.497603,58.9786,0.)); #457128=CARTESIAN_POINT('Origin',(43.405309,58.9786,0.)); #457129=CARTESIAN_POINT('',(43.405309,58.9786,0.)); #457130=CARTESIAN_POINT('',(43.405309,58.9786,0.)); #457131=CARTESIAN_POINT('',(43.405309,58.9786,0.035)); #457132=CARTESIAN_POINT('',(43.405309,58.9786,0.035)); #457133=CARTESIAN_POINT('',(43.405309,58.9786,0.)); #457134=CARTESIAN_POINT('Origin',(42.3964,57.969691,0.)); #457135=CARTESIAN_POINT('',(42.3964,57.969691,0.)); #457136=CARTESIAN_POINT('',(42.3964,57.969691,0.)); #457137=CARTESIAN_POINT('',(42.3964,57.969691,0.035)); #457138=CARTESIAN_POINT('',(42.3964,57.969691,0.035)); #457139=CARTESIAN_POINT('',(42.3964,57.969691,0.)); #457140=CARTESIAN_POINT('Origin',(42.3964,52.110853,0.)); #457141=CARTESIAN_POINT('',(42.3964,52.110853,0.)); #457142=CARTESIAN_POINT('',(42.3964,52.110853,0.)); #457143=CARTESIAN_POINT('',(42.3964,52.110853,0.035)); #457144=CARTESIAN_POINT('',(42.3964,52.110853,0.035)); #457145=CARTESIAN_POINT('',(42.3964,52.110853,0.)); #457146=CARTESIAN_POINT('Origin',(42.391706,52.084522,0.)); #457147=CARTESIAN_POINT('',(42.391706,52.084522,0.)); #457148=CARTESIAN_POINT('',(42.391706,52.084522,0.)); #457149=CARTESIAN_POINT('',(42.391706,52.084522,0.035)); #457150=CARTESIAN_POINT('',(42.391706,52.084522,0.035)); #457151=CARTESIAN_POINT('',(42.391706,52.084522,0.)); #457152=CARTESIAN_POINT('Origin',(42.375772,52.058716,0.)); #457153=CARTESIAN_POINT('',(42.375772,52.058716,0.)); #457154=CARTESIAN_POINT('',(42.375772,52.058716,0.)); #457155=CARTESIAN_POINT('',(42.375772,52.058716,0.035)); #457156=CARTESIAN_POINT('',(42.375772,52.058716,0.035)); #457157=CARTESIAN_POINT('',(42.375772,52.058716,0.)); #457158=CARTESIAN_POINT('Origin',(42.351034,52.041172,0.)); #457159=CARTESIAN_POINT('',(42.351034,52.041172,0.)); #457160=CARTESIAN_POINT('',(42.351034,52.041172,0.)); #457161=CARTESIAN_POINT('',(42.351034,52.041172,0.035)); #457162=CARTESIAN_POINT('',(42.351034,52.041172,0.035)); #457163=CARTESIAN_POINT('',(42.351034,52.041172,0.)); #457164=CARTESIAN_POINT('Origin',(42.321416,52.034663,0.)); #457165=CARTESIAN_POINT('',(42.321416,52.034663,0.)); #457166=CARTESIAN_POINT('',(42.321416,52.034663,0.)); #457167=CARTESIAN_POINT('',(42.321416,52.034663,0.035)); #457168=CARTESIAN_POINT('',(42.321416,52.034663,0.035)); #457169=CARTESIAN_POINT('',(42.321416,52.034663,0.)); #457170=CARTESIAN_POINT('Origin',(42.291603,52.040222,0.)); #457171=CARTESIAN_POINT('',(42.291603,52.040222,0.)); #457172=CARTESIAN_POINT('',(42.291603,52.040222,0.)); #457173=CARTESIAN_POINT('',(42.291603,52.040222,0.035)); #457174=CARTESIAN_POINT('',(42.291603,52.040222,0.035)); #457175=CARTESIAN_POINT('',(42.291603,52.040222,0.)); #457176=CARTESIAN_POINT('Origin',(42.266319,52.056972,0.)); #457177=CARTESIAN_POINT('',(42.266319,52.056972,0.)); #457178=CARTESIAN_POINT('',(42.266319,52.056972,0.)); #457179=CARTESIAN_POINT('',(42.266319,52.056972,0.035)); #457180=CARTESIAN_POINT('',(42.266319,52.056972,0.035)); #457181=CARTESIAN_POINT('',(42.266319,52.056972,0.)); #457182=CARTESIAN_POINT('Origin',(41.094691,53.2286,0.)); #457183=CARTESIAN_POINT('',(41.094691,53.2286,0.)); #457184=CARTESIAN_POINT('',(41.094691,53.2286,0.)); #457185=CARTESIAN_POINT('',(41.094691,53.2286,0.035)); #457186=CARTESIAN_POINT('',(41.094691,53.2286,0.035)); #457187=CARTESIAN_POINT('',(41.094691,53.2286,0.)); #457188=CARTESIAN_POINT('Origin',(39.1597,53.2286,0.)); #457189=CARTESIAN_POINT('',(39.1597,53.2286,0.)); #457190=CARTESIAN_POINT('',(39.1597,53.2286,0.)); #457191=CARTESIAN_POINT('',(39.1597,53.2286,0.035)); #457192=CARTESIAN_POINT('',(39.1597,53.2286,0.035)); #457193=CARTESIAN_POINT('',(39.1597,53.2286,0.)); #457194=CARTESIAN_POINT('Origin',(39.132231,53.233722,0.)); #457195=CARTESIAN_POINT('',(39.132231,53.233722,0.)); #457196=CARTESIAN_POINT('',(39.132231,53.233722,0.)); #457197=CARTESIAN_POINT('',(39.132231,53.233722,0.035)); #457198=CARTESIAN_POINT('',(39.132231,53.233722,0.035)); #457199=CARTESIAN_POINT('',(39.132231,53.233722,0.)); #457200=CARTESIAN_POINT('Origin',(39.106684,53.250066,0.)); #457201=CARTESIAN_POINT('',(39.106684,53.250066,0.)); #457202=CARTESIAN_POINT('',(39.106684,53.250066,0.)); #457203=CARTESIAN_POINT('',(39.106684,53.250066,0.035)); #457204=CARTESIAN_POINT('',(39.106684,53.250066,0.035)); #457205=CARTESIAN_POINT('',(39.106684,53.250066,0.)); #457206=CARTESIAN_POINT('Origin',(39.089534,53.275078,0.)); #457207=CARTESIAN_POINT('',(39.089534,53.275078,0.)); #457208=CARTESIAN_POINT('',(39.089534,53.275078,0.)); #457209=CARTESIAN_POINT('',(39.089534,53.275078,0.035)); #457210=CARTESIAN_POINT('',(39.089534,53.275078,0.035)); #457211=CARTESIAN_POINT('',(39.089534,53.275078,0.)); #457212=CARTESIAN_POINT('Origin',(39.0835,53.3048,0.)); #457213=CARTESIAN_POINT('',(39.0835,53.3048,0.)); #457214=CARTESIAN_POINT('',(39.0835,53.3048,0.)); #457215=CARTESIAN_POINT('',(39.0835,53.3048,0.035)); #457216=CARTESIAN_POINT('',(39.0835,53.3048,0.035)); #457217=CARTESIAN_POINT('',(39.0835,53.3048,0.)); #457218=CARTESIAN_POINT('Origin',(39.0835,53.507628,0.)); #457219=CARTESIAN_POINT('',(39.0835,53.507628,0.)); #457220=CARTESIAN_POINT('',(39.0835,53.507628,0.)); #457221=CARTESIAN_POINT('',(39.0835,53.507628,0.035)); #457222=CARTESIAN_POINT('',(39.0835,53.507628,0.035)); #457223=CARTESIAN_POINT('',(39.0835,53.507628,0.)); #457224=CARTESIAN_POINT('Origin',(38.994228,53.5969,0.)); #457225=CARTESIAN_POINT('',(38.994228,53.5969,0.)); #457226=CARTESIAN_POINT('',(38.994228,53.5969,0.)); #457227=CARTESIAN_POINT('',(38.994228,53.5969,0.035)); #457228=CARTESIAN_POINT('',(38.994228,53.5969,0.035)); #457229=CARTESIAN_POINT('',(38.994228,53.5969,0.)); #457230=CARTESIAN_POINT('Origin',(38.029772,53.5969,0.)); #457231=CARTESIAN_POINT('',(38.029772,53.5969,0.)); #457232=CARTESIAN_POINT('',(38.029772,53.5969,0.)); #457233=CARTESIAN_POINT('',(38.029772,53.5969,0.035)); #457234=CARTESIAN_POINT('',(38.029772,53.5969,0.035)); #457235=CARTESIAN_POINT('',(38.029772,53.5969,0.)); #457236=CARTESIAN_POINT('Origin',(37.9405,53.507628,0.)); #457237=CARTESIAN_POINT('',(37.9405,53.507628,0.)); #457238=CARTESIAN_POINT('',(37.9405,53.507628,0.)); #457239=CARTESIAN_POINT('',(37.9405,53.507628,0.035)); #457240=CARTESIAN_POINT('',(37.9405,53.507628,0.035)); #457241=CARTESIAN_POINT('',(37.9405,53.507628,0.)); #457242=CARTESIAN_POINT('Origin',(37.9405,52.492372,0.)); #457243=CARTESIAN_POINT('',(37.9405,52.492372,0.)); #457244=CARTESIAN_POINT('',(37.9405,52.492372,0.)); #457245=CARTESIAN_POINT('',(37.9405,52.492372,0.035)); #457246=CARTESIAN_POINT('',(37.9405,52.492372,0.035)); #457247=CARTESIAN_POINT('',(37.9405,52.492372,0.)); #457248=CARTESIAN_POINT('Origin',(38.029772,52.4031,0.)); #457249=CARTESIAN_POINT('',(38.029772,52.4031,0.)); #457250=CARTESIAN_POINT('',(38.029772,52.4031,0.)); #457251=CARTESIAN_POINT('',(38.029772,52.4031,0.035)); #457252=CARTESIAN_POINT('',(38.029772,52.4031,0.035)); #457253=CARTESIAN_POINT('',(38.029772,52.4031,0.)); #457254=CARTESIAN_POINT('Origin',(38.994228,52.4031,0.)); #457255=CARTESIAN_POINT('',(38.994228,52.4031,0.)); #457256=CARTESIAN_POINT('',(38.994228,52.4031,0.)); #457257=CARTESIAN_POINT('',(38.994228,52.4031,0.035)); #457258=CARTESIAN_POINT('',(38.994228,52.4031,0.035)); #457259=CARTESIAN_POINT('',(38.994228,52.4031,0.)); #457260=CARTESIAN_POINT('Origin',(39.0835,52.492372,0.)); #457261=CARTESIAN_POINT('',(39.0835,52.492372,0.)); #457262=CARTESIAN_POINT('',(39.0835,52.492372,0.)); #457263=CARTESIAN_POINT('',(39.0835,52.492372,0.035)); #457264=CARTESIAN_POINT('',(39.0835,52.492372,0.035)); #457265=CARTESIAN_POINT('',(39.0835,52.492372,0.)); #457266=CARTESIAN_POINT('Origin',(39.0835,52.6952,0.)); #457267=CARTESIAN_POINT('',(39.0835,52.6952,0.)); #457268=CARTESIAN_POINT('',(39.0835,52.6952,0.)); #457269=CARTESIAN_POINT('',(39.0835,52.6952,0.035)); #457270=CARTESIAN_POINT('',(39.0835,52.6952,0.035)); #457271=CARTESIAN_POINT('',(39.0835,52.6952,0.)); #457272=CARTESIAN_POINT('Origin',(39.088622,52.722669,0.)); #457273=CARTESIAN_POINT('',(39.088622,52.722669,0.)); #457274=CARTESIAN_POINT('',(39.088622,52.722669,0.)); #457275=CARTESIAN_POINT('',(39.088622,52.722669,0.035)); #457276=CARTESIAN_POINT('',(39.088622,52.722669,0.035)); #457277=CARTESIAN_POINT('',(39.088622,52.722669,0.)); #457278=CARTESIAN_POINT('Origin',(39.104966,52.748216,0.)); #457279=CARTESIAN_POINT('',(39.104966,52.748216,0.)); #457280=CARTESIAN_POINT('',(39.104966,52.748216,0.)); #457281=CARTESIAN_POINT('',(39.104966,52.748216,0.035)); #457282=CARTESIAN_POINT('',(39.104966,52.748216,0.035)); #457283=CARTESIAN_POINT('',(39.104966,52.748216,0.)); #457284=CARTESIAN_POINT('Origin',(39.129978,52.765366,0.)); #457285=CARTESIAN_POINT('',(39.129978,52.765366,0.)); #457286=CARTESIAN_POINT('',(39.129978,52.765366,0.)); #457287=CARTESIAN_POINT('',(39.129978,52.765366,0.035)); #457288=CARTESIAN_POINT('',(39.129978,52.765366,0.035)); #457289=CARTESIAN_POINT('',(39.129978,52.765366,0.)); #457290=CARTESIAN_POINT('Origin',(39.1597,52.7714,0.)); #457291=CARTESIAN_POINT('',(39.1597,52.7714,0.)); #457292=CARTESIAN_POINT('',(39.1597,52.7714,0.)); #457293=CARTESIAN_POINT('',(39.1597,52.7714,0.035)); #457294=CARTESIAN_POINT('',(39.1597,52.7714,0.035)); #457295=CARTESIAN_POINT('',(39.1597,52.7714,0.)); #457296=CARTESIAN_POINT('Origin',(40.873747,52.7714,0.)); #457297=CARTESIAN_POINT('',(40.873747,52.7714,0.)); #457298=CARTESIAN_POINT('',(40.873747,52.7714,0.)); #457299=CARTESIAN_POINT('',(40.873747,52.7714,0.035)); #457300=CARTESIAN_POINT('',(40.873747,52.7714,0.035)); #457301=CARTESIAN_POINT('',(40.873747,52.7714,0.)); #457302=CARTESIAN_POINT('Origin',(40.902344,52.765831,0.)); #457303=CARTESIAN_POINT('',(40.902344,52.765831,0.)); #457304=CARTESIAN_POINT('',(40.902344,52.765831,0.)); #457305=CARTESIAN_POINT('',(40.902344,52.765831,0.035)); #457306=CARTESIAN_POINT('',(40.902344,52.765831,0.035)); #457307=CARTESIAN_POINT('',(40.902344,52.765831,0.)); #457308=CARTESIAN_POINT('Origin',(40.927628,52.749081,0.)); #457309=CARTESIAN_POINT('',(40.927628,52.749081,0.)); #457310=CARTESIAN_POINT('',(40.927628,52.749081,0.)); #457311=CARTESIAN_POINT('',(40.927628,52.749081,0.035)); #457312=CARTESIAN_POINT('',(40.927628,52.749081,0.035)); #457313=CARTESIAN_POINT('',(40.927628,52.749081,0.)); #457314=CARTESIAN_POINT('Origin',(41.999081,51.677628,0.)); #457315=CARTESIAN_POINT('',(41.999081,51.677628,0.)); #457316=CARTESIAN_POINT('',(41.999081,51.677628,0.)); #457317=CARTESIAN_POINT('',(41.999081,51.677628,0.035)); #457318=CARTESIAN_POINT('',(41.999081,51.677628,0.035)); #457319=CARTESIAN_POINT('',(41.999081,51.677628,0.)); #457320=CARTESIAN_POINT('Origin',(42.015366,51.653469,0.)); #457321=CARTESIAN_POINT('',(42.015366,51.653469,0.)); #457322=CARTESIAN_POINT('',(42.015366,51.653469,0.)); #457323=CARTESIAN_POINT('',(42.015366,51.653469,0.035)); #457324=CARTESIAN_POINT('',(42.015366,51.653469,0.035)); #457325=CARTESIAN_POINT('',(42.015366,51.653469,0.)); #457326=CARTESIAN_POINT('Origin',(42.0214,51.623747,0.)); #457327=CARTESIAN_POINT('',(42.0214,51.623747,0.)); #457328=CARTESIAN_POINT('',(42.0214,51.623747,0.)); #457329=CARTESIAN_POINT('',(42.0214,51.623747,0.035)); #457330=CARTESIAN_POINT('',(42.0214,51.623747,0.035)); #457331=CARTESIAN_POINT('',(42.0214,51.623747,0.)); #457332=CARTESIAN_POINT('Origin',(42.0214,43.138253,0.)); #457333=CARTESIAN_POINT('',(42.0214,43.138253,0.)); #457334=CARTESIAN_POINT('',(42.0214,43.138253,0.)); #457335=CARTESIAN_POINT('',(42.0214,43.138253,0.035)); #457336=CARTESIAN_POINT('',(42.0214,43.138253,0.035)); #457337=CARTESIAN_POINT('',(42.0214,43.138253,0.)); #457338=CARTESIAN_POINT('Origin',(42.015831,43.109656,0.)); #457339=CARTESIAN_POINT('',(42.015831,43.109656,0.)); #457340=CARTESIAN_POINT('',(42.015831,43.109656,0.)); #457341=CARTESIAN_POINT('',(42.015831,43.109656,0.035)); #457342=CARTESIAN_POINT('',(42.015831,43.109656,0.035)); #457343=CARTESIAN_POINT('',(42.015831,43.109656,0.)); #457344=CARTESIAN_POINT('Origin',(41.999081,43.084372,0.)); #457345=CARTESIAN_POINT('',(41.999081,43.084372,0.)); #457346=CARTESIAN_POINT('',(41.999081,43.084372,0.)); #457347=CARTESIAN_POINT('',(41.999081,43.084372,0.035)); #457348=CARTESIAN_POINT('',(41.999081,43.084372,0.035)); #457349=CARTESIAN_POINT('',(41.999081,43.084372,0.)); #457350=CARTESIAN_POINT('Origin',(37.533928,38.619219,0.)); #457351=CARTESIAN_POINT('',(37.533928,38.619219,0.)); #457352=CARTESIAN_POINT('',(37.533928,38.619219,0.)); #457353=CARTESIAN_POINT('',(37.533928,38.619219,0.035)); #457354=CARTESIAN_POINT('',(37.533928,38.619219,0.035)); #457355=CARTESIAN_POINT('',(37.533928,38.619219,0.)); #457356=CARTESIAN_POINT('Origin',(37.509769,38.602934,0.)); #457357=CARTESIAN_POINT('',(37.509769,38.602934,0.)); #457358=CARTESIAN_POINT('',(37.509769,38.602934,0.)); #457359=CARTESIAN_POINT('',(37.509769,38.602934,0.035)); #457360=CARTESIAN_POINT('',(37.509769,38.602934,0.035)); #457361=CARTESIAN_POINT('',(37.509769,38.602934,0.)); #457362=CARTESIAN_POINT('Origin',(37.480047,38.5969,0.)); #457363=CARTESIAN_POINT('',(37.480047,38.5969,0.)); #457364=CARTESIAN_POINT('',(37.480047,38.5969,0.)); #457365=CARTESIAN_POINT('',(37.480047,38.5969,0.035)); #457366=CARTESIAN_POINT('',(37.480047,38.5969,0.035)); #457367=CARTESIAN_POINT('',(37.480047,38.5969,0.)); #457368=CARTESIAN_POINT('Origin',(36.755772,38.5969,0.)); #457369=CARTESIAN_POINT('',(36.755772,38.5969,0.)); #457370=CARTESIAN_POINT('',(36.755772,38.5969,0.)); #457371=CARTESIAN_POINT('',(36.755772,38.5969,0.035)); #457372=CARTESIAN_POINT('',(36.755772,38.5969,0.035)); #457373=CARTESIAN_POINT('',(36.755772,38.5969,0.)); #457374=CARTESIAN_POINT('Origin',(36.6665,38.507628,0.)); #457375=CARTESIAN_POINT('',(36.6665,38.507628,0.)); #457376=CARTESIAN_POINT('',(36.6665,38.507628,0.)); #457377=CARTESIAN_POINT('',(36.6665,38.507628,0.035)); #457378=CARTESIAN_POINT('',(36.6665,38.507628,0.035)); #457379=CARTESIAN_POINT('',(36.6665,38.507628,0.)); #457380=CARTESIAN_POINT('Origin',(36.6665,37.492372,0.)); #457381=CARTESIAN_POINT('',(36.6665,37.492372,0.)); #457382=CARTESIAN_POINT('',(36.6665,37.492372,0.)); #457383=CARTESIAN_POINT('',(36.6665,37.492372,0.035)); #457384=CARTESIAN_POINT('',(36.6665,37.492372,0.035)); #457385=CARTESIAN_POINT('',(36.6665,37.492372,0.)); #457386=CARTESIAN_POINT('Origin',(36.755772,37.4031,0.)); #457387=CARTESIAN_POINT('',(36.755772,37.4031,0.)); #457388=CARTESIAN_POINT('',(36.755772,37.4031,0.)); #457389=CARTESIAN_POINT('',(36.755772,37.4031,0.035)); #457390=CARTESIAN_POINT('',(36.755772,37.4031,0.035)); #457391=CARTESIAN_POINT('',(36.755772,37.4031,0.)); #457392=CARTESIAN_POINT('Origin',(37.480047,37.4031,0.)); #457393=CARTESIAN_POINT('',(37.480047,37.4031,0.)); #457394=CARTESIAN_POINT('',(37.480047,37.4031,0.)); #457395=CARTESIAN_POINT('',(37.480047,37.4031,0.035)); #457396=CARTESIAN_POINT('',(37.480047,37.4031,0.035)); #457397=CARTESIAN_POINT('',(37.480047,37.4031,0.)); #457398=CARTESIAN_POINT('Origin',(37.508644,37.397531,0.)); #457399=CARTESIAN_POINT('',(37.508644,37.397531,0.)); #457400=CARTESIAN_POINT('',(37.508644,37.397531,0.)); #457401=CARTESIAN_POINT('',(37.508644,37.397531,0.035)); #457402=CARTESIAN_POINT('',(37.508644,37.397531,0.035)); #457403=CARTESIAN_POINT('',(37.508644,37.397531,0.)); #457404=CARTESIAN_POINT('Origin',(37.533928,37.380781,0.)); #457405=CARTESIAN_POINT('',(37.533928,37.380781,0.)); #457406=CARTESIAN_POINT('',(37.533928,37.380781,0.)); #457407=CARTESIAN_POINT('',(37.533928,37.380781,0.035)); #457408=CARTESIAN_POINT('',(37.533928,37.380781,0.035)); #457409=CARTESIAN_POINT('',(37.533928,37.380781,0.)); #457410=CARTESIAN_POINT('Origin',(37.549581,37.365128,0.)); #457411=CARTESIAN_POINT('',(37.549581,37.365128,0.)); #457412=CARTESIAN_POINT('',(37.549581,37.365128,0.)); #457413=CARTESIAN_POINT('',(37.549581,37.365128,0.035)); #457414=CARTESIAN_POINT('',(37.549581,37.365128,0.035)); #457415=CARTESIAN_POINT('',(37.549581,37.365128,0.)); #457416=CARTESIAN_POINT('Origin',(37.565866,37.340969,0.)); #457417=CARTESIAN_POINT('',(37.565866,37.340969,0.)); #457418=CARTESIAN_POINT('',(37.565866,37.340969,0.)); #457419=CARTESIAN_POINT('',(37.565866,37.340969,0.035)); #457420=CARTESIAN_POINT('',(37.565866,37.340969,0.035)); #457421=CARTESIAN_POINT('',(37.565866,37.340969,0.)); #457422=CARTESIAN_POINT('Origin',(37.5719,37.311247,0.)); #457423=CARTESIAN_POINT('',(37.5719,37.311247,0.)); #457424=CARTESIAN_POINT('',(37.5719,37.311247,0.)); #457425=CARTESIAN_POINT('',(37.5719,37.311247,0.035)); #457426=CARTESIAN_POINT('',(37.5719,37.311247,0.035)); #457427=CARTESIAN_POINT('',(37.5719,37.311247,0.)); #457428=CARTESIAN_POINT('Origin',(37.5719,37.153997,0.)); #457429=CARTESIAN_POINT('',(37.5719,37.153997,0.)); #457430=CARTESIAN_POINT('',(37.5719,37.153997,0.)); #457431=CARTESIAN_POINT('',(37.5719,37.153997,0.035)); #457432=CARTESIAN_POINT('',(37.5719,37.153997,0.035)); #457433=CARTESIAN_POINT('',(37.5719,37.153997,0.)); #457434=CARTESIAN_POINT('Origin',(37.567206,37.127666,0.)); #457435=CARTESIAN_POINT('',(37.567206,37.127666,0.)); #457436=CARTESIAN_POINT('',(37.567206,37.127666,0.)); #457437=CARTESIAN_POINT('',(37.567206,37.127666,0.035)); #457438=CARTESIAN_POINT('',(37.567206,37.127666,0.035)); #457439=CARTESIAN_POINT('',(37.567206,37.127666,0.)); #457440=CARTESIAN_POINT('Origin',(37.551272,37.101859,0.)); #457441=CARTESIAN_POINT('',(37.551272,37.101859,0.)); #457442=CARTESIAN_POINT('',(37.551272,37.101859,0.)); #457443=CARTESIAN_POINT('',(37.551272,37.101859,0.035)); #457444=CARTESIAN_POINT('',(37.551272,37.101859,0.035)); #457445=CARTESIAN_POINT('',(37.551272,37.101859,0.)); #457446=CARTESIAN_POINT('Origin',(37.526534,37.084316,0.)); #457447=CARTESIAN_POINT('',(37.526534,37.084316,0.)); #457448=CARTESIAN_POINT('',(37.526534,37.084316,0.)); #457449=CARTESIAN_POINT('',(37.526534,37.084316,0.035)); #457450=CARTESIAN_POINT('',(37.526534,37.084316,0.035)); #457451=CARTESIAN_POINT('',(37.526534,37.084316,0.)); #457452=CARTESIAN_POINT('Origin',(37.496916,37.077806,0.)); #457453=CARTESIAN_POINT('',(37.496916,37.077806,0.)); #457454=CARTESIAN_POINT('',(37.496916,37.077806,0.)); #457455=CARTESIAN_POINT('',(37.496916,37.077806,0.035)); #457456=CARTESIAN_POINT('',(37.496916,37.077806,0.035)); #457457=CARTESIAN_POINT('',(37.496916,37.077806,0.)); #457458=CARTESIAN_POINT('Origin',(37.467103,37.083366,0.)); #457459=CARTESIAN_POINT('',(37.467103,37.083366,0.)); #457460=CARTESIAN_POINT('',(37.467103,37.083366,0.)); #457461=CARTESIAN_POINT('',(37.467103,37.083366,0.035)); #457462=CARTESIAN_POINT('',(37.467103,37.083366,0.035)); #457463=CARTESIAN_POINT('',(37.467103,37.083366,0.)); #457464=CARTESIAN_POINT('Origin',(37.441819,37.100116,0.)); #457465=CARTESIAN_POINT('',(37.441819,37.100116,0.)); #457466=CARTESIAN_POINT('',(37.441819,37.100116,0.)); #457467=CARTESIAN_POINT('',(37.441819,37.100116,0.035)); #457468=CARTESIAN_POINT('',(37.441819,37.100116,0.035)); #457469=CARTESIAN_POINT('',(37.441819,37.100116,0.)); #457470=CARTESIAN_POINT('Origin',(37.364534,37.1774,0.)); #457471=CARTESIAN_POINT('',(37.364534,37.1774,0.)); #457472=CARTESIAN_POINT('',(37.364534,37.1774,0.)); #457473=CARTESIAN_POINT('',(37.364534,37.1774,0.035)); #457474=CARTESIAN_POINT('',(37.364534,37.1774,0.035)); #457475=CARTESIAN_POINT('',(37.364534,37.1774,0.)); #457476=CARTESIAN_POINT('Origin',(37.010466,37.1774,0.)); #457477=CARTESIAN_POINT('',(37.010466,37.1774,0.)); #457478=CARTESIAN_POINT('',(37.010466,37.1774,0.)); #457479=CARTESIAN_POINT('',(37.010466,37.1774,0.035)); #457480=CARTESIAN_POINT('',(37.010466,37.1774,0.035)); #457481=CARTESIAN_POINT('',(37.010466,37.1774,0.)); #457482=CARTESIAN_POINT('Origin',(36.7601,36.927034,0.)); #457483=CARTESIAN_POINT('',(36.7601,36.927034,0.)); #457484=CARTESIAN_POINT('',(36.7601,36.927034,0.)); #457485=CARTESIAN_POINT('',(36.7601,36.927034,0.035)); #457486=CARTESIAN_POINT('',(36.7601,36.927034,0.035)); #457487=CARTESIAN_POINT('',(36.7601,36.927034,0.)); #457488=CARTESIAN_POINT('Origin',(36.7601,36.677453,0.)); #457489=CARTESIAN_POINT('',(36.7601,36.677453,0.)); #457490=CARTESIAN_POINT('',(36.7601,36.677453,0.)); #457491=CARTESIAN_POINT('',(36.7601,36.677453,0.035)); #457492=CARTESIAN_POINT('',(36.7601,36.677453,0.035)); #457493=CARTESIAN_POINT('',(36.7601,36.677453,0.)); #457494=CARTESIAN_POINT('Origin',(36.754531,36.648856,0.)); #457495=CARTESIAN_POINT('',(36.754531,36.648856,0.)); #457496=CARTESIAN_POINT('',(36.754531,36.648856,0.)); #457497=CARTESIAN_POINT('',(36.754531,36.648856,0.035)); #457498=CARTESIAN_POINT('',(36.754531,36.648856,0.035)); #457499=CARTESIAN_POINT('',(36.754531,36.648856,0.)); #457500=CARTESIAN_POINT('Origin',(36.737781,36.623572,0.)); #457501=CARTESIAN_POINT('',(36.737781,36.623572,0.)); #457502=CARTESIAN_POINT('',(36.737781,36.623572,0.)); #457503=CARTESIAN_POINT('',(36.737781,36.623572,0.035)); #457504=CARTESIAN_POINT('',(36.737781,36.623572,0.035)); #457505=CARTESIAN_POINT('',(36.737781,36.623572,0.)); #457506=CARTESIAN_POINT('Origin',(34.8339,34.719691,0.)); #457507=CARTESIAN_POINT('',(34.8339,34.719691,0.)); #457508=CARTESIAN_POINT('',(34.8339,34.719691,0.)); #457509=CARTESIAN_POINT('',(34.8339,34.719691,0.035)); #457510=CARTESIAN_POINT('',(34.8339,34.719691,0.035)); #457511=CARTESIAN_POINT('',(34.8339,34.719691,0.)); #457512=CARTESIAN_POINT('Origin',(34.8339,25.876247,0.)); #457513=CARTESIAN_POINT('',(34.8339,25.876247,0.)); #457514=CARTESIAN_POINT('',(34.8339,25.876247,0.)); #457515=CARTESIAN_POINT('',(34.8339,25.876247,0.035)); #457516=CARTESIAN_POINT('',(34.8339,25.876247,0.035)); #457517=CARTESIAN_POINT('',(34.8339,25.876247,0.)); #457518=CARTESIAN_POINT('Origin',(34.829206,25.849916,0.)); #457519=CARTESIAN_POINT('',(34.829206,25.849916,0.)); #457520=CARTESIAN_POINT('',(34.829206,25.849916,0.)); #457521=CARTESIAN_POINT('',(34.829206,25.849916,0.035)); #457522=CARTESIAN_POINT('',(34.829206,25.849916,0.035)); #457523=CARTESIAN_POINT('',(34.829206,25.849916,0.)); #457524=CARTESIAN_POINT('Origin',(34.813272,25.824109,0.)); #457525=CARTESIAN_POINT('',(34.813272,25.824109,0.)); #457526=CARTESIAN_POINT('',(34.813272,25.824109,0.)); #457527=CARTESIAN_POINT('',(34.813272,25.824109,0.035)); #457528=CARTESIAN_POINT('',(34.813272,25.824109,0.035)); #457529=CARTESIAN_POINT('',(34.813272,25.824109,0.)); #457530=CARTESIAN_POINT('Origin',(34.788534,25.806566,0.)); #457531=CARTESIAN_POINT('',(34.788534,25.806566,0.)); #457532=CARTESIAN_POINT('',(34.788534,25.806566,0.)); #457533=CARTESIAN_POINT('',(34.788534,25.806566,0.035)); #457534=CARTESIAN_POINT('',(34.788534,25.806566,0.035)); #457535=CARTESIAN_POINT('',(34.788534,25.806566,0.)); #457536=CARTESIAN_POINT('Origin',(34.758916,25.800056,0.)); #457537=CARTESIAN_POINT('',(34.758916,25.800056,0.)); #457538=CARTESIAN_POINT('',(34.758916,25.800056,0.)); #457539=CARTESIAN_POINT('',(34.758916,25.800056,0.035)); #457540=CARTESIAN_POINT('',(34.758916,25.800056,0.035)); #457541=CARTESIAN_POINT('',(34.758916,25.800056,0.)); #457542=CARTESIAN_POINT('Origin',(34.729103,25.805616,0.)); #457543=CARTESIAN_POINT('',(34.729103,25.805616,0.)); #457544=CARTESIAN_POINT('',(34.729103,25.805616,0.)); #457545=CARTESIAN_POINT('',(34.729103,25.805616,0.035)); #457546=CARTESIAN_POINT('',(34.729103,25.805616,0.035)); #457547=CARTESIAN_POINT('',(34.729103,25.805616,0.)); #457548=CARTESIAN_POINT('Origin',(34.703819,25.822366,0.)); #457549=CARTESIAN_POINT('',(34.703819,25.822366,0.)); #457550=CARTESIAN_POINT('',(34.703819,25.822366,0.)); #457551=CARTESIAN_POINT('',(34.703819,25.822366,0.035)); #457552=CARTESIAN_POINT('',(34.703819,25.822366,0.035)); #457553=CARTESIAN_POINT('',(34.703819,25.822366,0.)); #457554=CARTESIAN_POINT('Origin',(34.511169,26.015016,0.)); #457555=CARTESIAN_POINT('',(34.511169,26.015016,0.)); #457556=CARTESIAN_POINT('',(34.511169,26.015016,0.)); #457557=CARTESIAN_POINT('',(34.511169,26.015016,0.035)); #457558=CARTESIAN_POINT('',(34.511169,26.015016,0.035)); #457559=CARTESIAN_POINT('',(34.511169,26.015016,0.)); #457560=CARTESIAN_POINT('Origin',(34.1795,26.1524,0.)); #457561=CARTESIAN_POINT('',(34.1795,26.1524,0.)); #457562=CARTESIAN_POINT('',(34.1795,26.1524,0.)); #457563=CARTESIAN_POINT('',(34.1795,26.1524,0.035)); #457564=CARTESIAN_POINT('',(34.1795,26.1524,0.035)); #457565=CARTESIAN_POINT('',(34.1795,26.1524,0.)); #457566=CARTESIAN_POINT('Origin',(33.8205,26.1524,0.)); #457567=CARTESIAN_POINT('',(33.8205,26.1524,0.)); #457568=CARTESIAN_POINT('',(33.8205,26.1524,0.)); #457569=CARTESIAN_POINT('',(33.8205,26.1524,0.035)); #457570=CARTESIAN_POINT('',(33.8205,26.1524,0.035)); #457571=CARTESIAN_POINT('',(33.8205,26.1524,0.)); #457572=CARTESIAN_POINT('Origin',(33.488831,26.015016,0.)); #457573=CARTESIAN_POINT('',(33.488831,26.015016,0.)); #457574=CARTESIAN_POINT('',(33.488831,26.015016,0.)); #457575=CARTESIAN_POINT('',(33.488831,26.015016,0.035)); #457576=CARTESIAN_POINT('',(33.488831,26.015016,0.035)); #457577=CARTESIAN_POINT('',(33.488831,26.015016,0.)); #457578=CARTESIAN_POINT('Origin',(33.296181,25.822366,0.)); #457579=CARTESIAN_POINT('',(33.296181,25.822366,0.)); #457580=CARTESIAN_POINT('',(33.296181,25.822366,0.)); #457581=CARTESIAN_POINT('',(33.296181,25.822366,0.035)); #457582=CARTESIAN_POINT('',(33.296181,25.822366,0.035)); #457583=CARTESIAN_POINT('',(33.296181,25.822366,0.)); #457584=CARTESIAN_POINT('Origin',(33.274244,25.807066,0.)); #457585=CARTESIAN_POINT('',(33.274244,25.807066,0.)); #457586=CARTESIAN_POINT('',(33.274244,25.807066,0.)); #457587=CARTESIAN_POINT('',(33.274244,25.807066,0.035)); #457588=CARTESIAN_POINT('',(33.274244,25.807066,0.035)); #457589=CARTESIAN_POINT('',(33.274244,25.807066,0.)); #457590=CARTESIAN_POINT('Origin',(33.244728,25.800084,0.)); #457591=CARTESIAN_POINT('',(33.244728,25.800084,0.)); #457592=CARTESIAN_POINT('',(33.244728,25.800084,0.)); #457593=CARTESIAN_POINT('',(33.244728,25.800084,0.035)); #457594=CARTESIAN_POINT('',(33.244728,25.800084,0.035)); #457595=CARTESIAN_POINT('',(33.244728,25.800084,0.)); #457596=CARTESIAN_POINT('Origin',(33.214831,25.805169,0.)); #457597=CARTESIAN_POINT('',(33.214831,25.805169,0.)); #457598=CARTESIAN_POINT('',(33.214831,25.805169,0.)); #457599=CARTESIAN_POINT('',(33.214831,25.805169,0.035)); #457600=CARTESIAN_POINT('',(33.214831,25.805169,0.035)); #457601=CARTESIAN_POINT('',(33.214831,25.805169,0.)); #457602=CARTESIAN_POINT('Origin',(33.189284,25.821513,0.)); #457603=CARTESIAN_POINT('',(33.189284,25.821513,0.)); #457604=CARTESIAN_POINT('',(33.189284,25.821513,0.)); #457605=CARTESIAN_POINT('',(33.189284,25.821513,0.035)); #457606=CARTESIAN_POINT('',(33.189284,25.821513,0.035)); #457607=CARTESIAN_POINT('',(33.189284,25.821513,0.)); #457608=CARTESIAN_POINT('Origin',(33.172134,25.846525,0.)); #457609=CARTESIAN_POINT('',(33.172134,25.846525,0.)); #457610=CARTESIAN_POINT('',(33.172134,25.846525,0.)); #457611=CARTESIAN_POINT('',(33.172134,25.846525,0.035)); #457612=CARTESIAN_POINT('',(33.172134,25.846525,0.035)); #457613=CARTESIAN_POINT('',(33.172134,25.846525,0.)); #457614=CARTESIAN_POINT('Origin',(33.1661,25.876247,0.)); #457615=CARTESIAN_POINT('',(33.1661,25.876247,0.)); #457616=CARTESIAN_POINT('',(33.1661,25.876247,0.)); #457617=CARTESIAN_POINT('',(33.1661,25.876247,0.035)); #457618=CARTESIAN_POINT('',(33.1661,25.876247,0.035)); #457619=CARTESIAN_POINT('',(33.1661,25.876247,0.)); #457620=CARTESIAN_POINT('Origin',(33.1661,34.719691,0.)); #457621=CARTESIAN_POINT('',(33.1661,34.719691,0.)); #457622=CARTESIAN_POINT('',(33.1661,34.719691,0.)); #457623=CARTESIAN_POINT('',(33.1661,34.719691,0.035)); #457624=CARTESIAN_POINT('',(33.1661,34.719691,0.035)); #457625=CARTESIAN_POINT('',(33.1661,34.719691,0.)); #457626=CARTESIAN_POINT('Origin',(31.262219,36.623572,0.)); #457627=CARTESIAN_POINT('',(31.262219,36.623572,0.)); #457628=CARTESIAN_POINT('',(31.262219,36.623572,0.)); #457629=CARTESIAN_POINT('',(31.262219,36.623572,0.035)); #457630=CARTESIAN_POINT('',(31.262219,36.623572,0.035)); #457631=CARTESIAN_POINT('',(31.262219,36.623572,0.)); #457632=CARTESIAN_POINT('Origin',(31.245934,36.647731,0.)); #457633=CARTESIAN_POINT('',(31.245934,36.647731,0.)); #457634=CARTESIAN_POINT('',(31.245934,36.647731,0.)); #457635=CARTESIAN_POINT('',(31.245934,36.647731,0.035)); #457636=CARTESIAN_POINT('',(31.245934,36.647731,0.035)); #457637=CARTESIAN_POINT('',(31.245934,36.647731,0.)); #457638=CARTESIAN_POINT('Origin',(31.2399,36.677453,0.)); #457639=CARTESIAN_POINT('',(31.2399,36.677453,0.)); #457640=CARTESIAN_POINT('',(31.2399,36.677453,0.)); #457641=CARTESIAN_POINT('',(31.2399,36.677453,0.035)); #457642=CARTESIAN_POINT('',(31.2399,36.677453,0.035)); #457643=CARTESIAN_POINT('',(31.2399,36.677453,0.)); #457644=CARTESIAN_POINT('Origin',(31.2399,36.927034,0.)); #457645=CARTESIAN_POINT('',(31.2399,36.927034,0.)); #457646=CARTESIAN_POINT('',(31.2399,36.927034,0.)); #457647=CARTESIAN_POINT('',(31.2399,36.927034,0.035)); #457648=CARTESIAN_POINT('',(31.2399,36.927034,0.035)); #457649=CARTESIAN_POINT('',(31.2399,36.927034,0.)); #457650=CARTESIAN_POINT('Origin',(30.989534,37.1774,0.)); #457651=CARTESIAN_POINT('',(30.989534,37.1774,0.)); #457652=CARTESIAN_POINT('',(30.989534,37.1774,0.)); #457653=CARTESIAN_POINT('',(30.989534,37.1774,0.035)); #457654=CARTESIAN_POINT('',(30.989534,37.1774,0.035)); #457655=CARTESIAN_POINT('',(30.989534,37.1774,0.)); #457656=CARTESIAN_POINT('Origin',(30.635466,37.1774,0.)); #457657=CARTESIAN_POINT('',(30.635466,37.1774,0.)); #457658=CARTESIAN_POINT('',(30.635466,37.1774,0.)); #457659=CARTESIAN_POINT('',(30.635466,37.1774,0.035)); #457660=CARTESIAN_POINT('',(30.635466,37.1774,0.035)); #457661=CARTESIAN_POINT('',(30.635466,37.1774,0.)); #457662=CARTESIAN_POINT('Origin',(30.558181,37.100116,0.)); #457663=CARTESIAN_POINT('',(30.558181,37.100116,0.)); #457664=CARTESIAN_POINT('',(30.558181,37.100116,0.)); #457665=CARTESIAN_POINT('',(30.558181,37.100116,0.035)); #457666=CARTESIAN_POINT('',(30.558181,37.100116,0.035)); #457667=CARTESIAN_POINT('',(30.558181,37.100116,0.)); #457668=CARTESIAN_POINT('Origin',(30.536244,37.084816,0.)); #457669=CARTESIAN_POINT('',(30.536244,37.084816,0.)); #457670=CARTESIAN_POINT('',(30.536244,37.084816,0.)); #457671=CARTESIAN_POINT('',(30.536244,37.084816,0.035)); #457672=CARTESIAN_POINT('',(30.536244,37.084816,0.035)); #457673=CARTESIAN_POINT('',(30.536244,37.084816,0.)); #457674=CARTESIAN_POINT('Origin',(30.506728,37.077834,0.)); #457675=CARTESIAN_POINT('',(30.506728,37.077834,0.)); #457676=CARTESIAN_POINT('',(30.506728,37.077834,0.)); #457677=CARTESIAN_POINT('',(30.506728,37.077834,0.035)); #457678=CARTESIAN_POINT('',(30.506728,37.077834,0.035)); #457679=CARTESIAN_POINT('',(30.506728,37.077834,0.)); #457680=CARTESIAN_POINT('Origin',(30.476831,37.082919,0.)); #457681=CARTESIAN_POINT('',(30.476831,37.082919,0.)); #457682=CARTESIAN_POINT('',(30.476831,37.082919,0.)); #457683=CARTESIAN_POINT('',(30.476831,37.082919,0.035)); #457684=CARTESIAN_POINT('',(30.476831,37.082919,0.035)); #457685=CARTESIAN_POINT('',(30.476831,37.082919,0.)); #457686=CARTESIAN_POINT('Origin',(30.451284,37.099263,0.)); #457687=CARTESIAN_POINT('',(30.451284,37.099263,0.)); #457688=CARTESIAN_POINT('',(30.451284,37.099263,0.)); #457689=CARTESIAN_POINT('',(30.451284,37.099263,0.035)); #457690=CARTESIAN_POINT('',(30.451284,37.099263,0.035)); #457691=CARTESIAN_POINT('',(30.451284,37.099263,0.)); #457692=CARTESIAN_POINT('Origin',(30.434134,37.124275,0.)); #457693=CARTESIAN_POINT('',(30.434134,37.124275,0.)); #457694=CARTESIAN_POINT('',(30.434134,37.124275,0.)); #457695=CARTESIAN_POINT('',(30.434134,37.124275,0.035)); #457696=CARTESIAN_POINT('',(30.434134,37.124275,0.035)); #457697=CARTESIAN_POINT('',(30.434134,37.124275,0.)); #457698=CARTESIAN_POINT('Origin',(30.4281,37.153997,0.)); #457699=CARTESIAN_POINT('',(30.4281,37.153997,0.)); #457700=CARTESIAN_POINT('',(30.4281,37.153997,0.)); #457701=CARTESIAN_POINT('',(30.4281,37.153997,0.035)); #457702=CARTESIAN_POINT('',(30.4281,37.153997,0.035)); #457703=CARTESIAN_POINT('',(30.4281,37.153997,0.)); #457704=CARTESIAN_POINT('Origin',(30.4281,37.311247,0.)); #457705=CARTESIAN_POINT('',(30.4281,37.311247,0.)); #457706=CARTESIAN_POINT('',(30.4281,37.311247,0.)); #457707=CARTESIAN_POINT('',(30.4281,37.311247,0.035)); #457708=CARTESIAN_POINT('',(30.4281,37.311247,0.035)); #457709=CARTESIAN_POINT('',(30.4281,37.311247,0.)); #457710=CARTESIAN_POINT('Origin',(30.433669,37.339844,0.)); #457711=CARTESIAN_POINT('',(30.433669,37.339844,0.)); #457712=CARTESIAN_POINT('',(30.433669,37.339844,0.)); #457713=CARTESIAN_POINT('',(30.433669,37.339844,0.035)); #457714=CARTESIAN_POINT('',(30.433669,37.339844,0.035)); #457715=CARTESIAN_POINT('',(30.433669,37.339844,0.)); #457716=CARTESIAN_POINT('Origin',(30.450419,37.365128,0.)); #457717=CARTESIAN_POINT('',(30.450419,37.365128,0.)); #457718=CARTESIAN_POINT('',(30.450419,37.365128,0.)); #457719=CARTESIAN_POINT('',(30.450419,37.365128,0.035)); #457720=CARTESIAN_POINT('',(30.450419,37.365128,0.035)); #457721=CARTESIAN_POINT('',(30.450419,37.365128,0.)); #457722=CARTESIAN_POINT('Origin',(30.466072,37.380781,0.)); #457723=CARTESIAN_POINT('',(30.466072,37.380781,0.)); #457724=CARTESIAN_POINT('',(30.466072,37.380781,0.)); #457725=CARTESIAN_POINT('',(30.466072,37.380781,0.035)); #457726=CARTESIAN_POINT('',(30.466072,37.380781,0.035)); #457727=CARTESIAN_POINT('',(30.466072,37.380781,0.)); #457728=CARTESIAN_POINT('Origin',(30.490231,37.397066,0.)); #457729=CARTESIAN_POINT('',(30.490231,37.397066,0.)); #457730=CARTESIAN_POINT('',(30.490231,37.397066,0.)); #457731=CARTESIAN_POINT('',(30.490231,37.397066,0.035)); #457732=CARTESIAN_POINT('',(30.490231,37.397066,0.035)); #457733=CARTESIAN_POINT('',(30.490231,37.397066,0.)); #457734=CARTESIAN_POINT('Origin',(30.519953,37.4031,0.)); #457735=CARTESIAN_POINT('',(30.519953,37.4031,0.)); #457736=CARTESIAN_POINT('',(30.519953,37.4031,0.)); #457737=CARTESIAN_POINT('',(30.519953,37.4031,0.035)); #457738=CARTESIAN_POINT('',(30.519953,37.4031,0.035)); #457739=CARTESIAN_POINT('',(30.519953,37.4031,0.)); #457740=CARTESIAN_POINT('Origin',(31.244228,37.4031,0.)); #457741=CARTESIAN_POINT('',(31.244228,37.4031,0.)); #457742=CARTESIAN_POINT('',(31.244228,37.4031,0.)); #457743=CARTESIAN_POINT('',(31.244228,37.4031,0.035)); #457744=CARTESIAN_POINT('',(31.244228,37.4031,0.035)); #457745=CARTESIAN_POINT('',(31.244228,37.4031,0.)); #457746=CARTESIAN_POINT('Origin',(31.3335,37.492372,0.)); #457747=CARTESIAN_POINT('',(31.3335,37.492372,0.)); #457748=CARTESIAN_POINT('',(31.3335,37.492372,0.)); #457749=CARTESIAN_POINT('',(31.3335,37.492372,0.035)); #457750=CARTESIAN_POINT('',(31.3335,37.492372,0.035)); #457751=CARTESIAN_POINT('',(31.3335,37.492372,0.)); #457752=CARTESIAN_POINT('Origin',(31.3335,38.507628,0.)); #457753=CARTESIAN_POINT('',(31.3335,38.507628,0.)); #457754=CARTESIAN_POINT('',(31.3335,38.507628,0.)); #457755=CARTESIAN_POINT('',(31.3335,38.507628,0.035)); #457756=CARTESIAN_POINT('',(31.3335,38.507628,0.035)); #457757=CARTESIAN_POINT('',(31.3335,38.507628,0.)); #457758=CARTESIAN_POINT('Origin',(31.244228,38.5969,0.)); #457759=CARTESIAN_POINT('',(31.244228,38.5969,0.)); #457760=CARTESIAN_POINT('',(31.244228,38.5969,0.)); #457761=CARTESIAN_POINT('',(31.244228,38.5969,0.035)); #457762=CARTESIAN_POINT('',(31.244228,38.5969,0.035)); #457763=CARTESIAN_POINT('',(31.244228,38.5969,0.)); #457764=CARTESIAN_POINT('Origin',(30.519953,38.5969,0.)); #457765=CARTESIAN_POINT('',(30.519953,38.5969,0.)); #457766=CARTESIAN_POINT('',(30.519953,38.5969,0.)); #457767=CARTESIAN_POINT('',(30.519953,38.5969,0.035)); #457768=CARTESIAN_POINT('',(30.519953,38.5969,0.035)); #457769=CARTESIAN_POINT('',(30.519953,38.5969,0.)); #457770=CARTESIAN_POINT('Origin',(30.491356,38.602469,0.)); #457771=CARTESIAN_POINT('',(30.491356,38.602469,0.)); #457772=CARTESIAN_POINT('',(30.491356,38.602469,0.)); #457773=CARTESIAN_POINT('',(30.491356,38.602469,0.035)); #457774=CARTESIAN_POINT('',(30.491356,38.602469,0.035)); #457775=CARTESIAN_POINT('',(30.491356,38.602469,0.)); #457776=CARTESIAN_POINT('Origin',(30.466072,38.619219,0.)); #457777=CARTESIAN_POINT('',(30.466072,38.619219,0.)); #457778=CARTESIAN_POINT('',(30.466072,38.619219,0.)); #457779=CARTESIAN_POINT('',(30.466072,38.619219,0.035)); #457780=CARTESIAN_POINT('',(30.466072,38.619219,0.035)); #457781=CARTESIAN_POINT('',(30.466072,38.619219,0.)); #457782=CARTESIAN_POINT('Origin',(26.000919,43.084372,0.)); #457783=CARTESIAN_POINT('',(26.000919,43.084372,0.)); #457784=CARTESIAN_POINT('',(26.000919,43.084372,0.)); #457785=CARTESIAN_POINT('',(26.000919,43.084372,0.035)); #457786=CARTESIAN_POINT('',(26.000919,43.084372,0.035)); #457787=CARTESIAN_POINT('',(26.000919,43.084372,0.)); #457788=CARTESIAN_POINT('Origin',(25.984634,43.108531,0.)); #457789=CARTESIAN_POINT('',(25.984634,43.108531,0.)); #457790=CARTESIAN_POINT('',(25.984634,43.108531,0.)); #457791=CARTESIAN_POINT('',(25.984634,43.108531,0.035)); #457792=CARTESIAN_POINT('',(25.984634,43.108531,0.035)); #457793=CARTESIAN_POINT('',(25.984634,43.108531,0.)); #457794=CARTESIAN_POINT('Origin',(25.9786,43.138253,0.)); #457795=CARTESIAN_POINT('',(25.9786,43.138253,0.)); #457796=CARTESIAN_POINT('',(25.9786,43.138253,0.)); #457797=CARTESIAN_POINT('',(25.9786,43.138253,0.035)); #457798=CARTESIAN_POINT('',(25.9786,43.138253,0.035)); #457799=CARTESIAN_POINT('',(25.9786,43.138253,0.)); #457800=CARTESIAN_POINT('Origin',(25.9786,51.623747,0.)); #457801=CARTESIAN_POINT('',(25.9786,51.623747,0.)); #457802=CARTESIAN_POINT('',(25.9786,51.623747,0.)); #457803=CARTESIAN_POINT('',(25.9786,51.623747,0.035)); #457804=CARTESIAN_POINT('',(25.9786,51.623747,0.035)); #457805=CARTESIAN_POINT('',(25.9786,51.623747,0.)); #457806=CARTESIAN_POINT('Origin',(25.984169,51.652344,0.)); #457807=CARTESIAN_POINT('',(25.984169,51.652344,0.)); #457808=CARTESIAN_POINT('',(25.984169,51.652344,0.)); #457809=CARTESIAN_POINT('',(25.984169,51.652344,0.035)); #457810=CARTESIAN_POINT('',(25.984169,51.652344,0.035)); #457811=CARTESIAN_POINT('',(25.984169,51.652344,0.)); #457812=CARTESIAN_POINT('Origin',(26.000919,51.677628,0.)); #457813=CARTESIAN_POINT('',(26.000919,51.677628,0.)); #457814=CARTESIAN_POINT('',(26.000919,51.677628,0.)); #457815=CARTESIAN_POINT('',(26.000919,51.677628,0.035)); #457816=CARTESIAN_POINT('',(26.000919,51.677628,0.035)); #457817=CARTESIAN_POINT('',(26.000919,51.677628,0.)); #457818=CARTESIAN_POINT('Origin',(27.072372,52.749081,0.)); #457819=CARTESIAN_POINT('',(27.072372,52.749081,0.)); #457820=CARTESIAN_POINT('',(27.072372,52.749081,0.)); #457821=CARTESIAN_POINT('',(27.072372,52.749081,0.035)); #457822=CARTESIAN_POINT('',(27.072372,52.749081,0.035)); #457823=CARTESIAN_POINT('',(27.072372,52.749081,0.)); #457824=CARTESIAN_POINT('Origin',(27.096531,52.765366,0.)); #457825=CARTESIAN_POINT('',(27.096531,52.765366,0.)); #457826=CARTESIAN_POINT('',(27.096531,52.765366,0.)); #457827=CARTESIAN_POINT('',(27.096531,52.765366,0.035)); #457828=CARTESIAN_POINT('',(27.096531,52.765366,0.035)); #457829=CARTESIAN_POINT('',(27.096531,52.765366,0.)); #457830=CARTESIAN_POINT('Origin',(27.126253,52.7714,0.)); #457831=CARTESIAN_POINT('',(27.126253,52.7714,0.)); #457832=CARTESIAN_POINT('',(27.126253,52.7714,0.)); #457833=CARTESIAN_POINT('',(27.126253,52.7714,0.035)); #457834=CARTESIAN_POINT('',(27.126253,52.7714,0.035)); #457835=CARTESIAN_POINT('',(27.126253,52.7714,0.)); #457836=CARTESIAN_POINT('Origin',(28.8403,52.7714,0.)); #457837=CARTESIAN_POINT('',(28.8403,52.7714,0.)); #457838=CARTESIAN_POINT('',(28.8403,52.7714,0.)); #457839=CARTESIAN_POINT('',(28.8403,52.7714,0.035)); #457840=CARTESIAN_POINT('',(28.8403,52.7714,0.035)); #457841=CARTESIAN_POINT('',(28.8403,52.7714,0.)); #457842=CARTESIAN_POINT('Origin',(28.867769,52.766278,0.)); #457843=CARTESIAN_POINT('',(28.867769,52.766278,0.)); #457844=CARTESIAN_POINT('',(28.867769,52.766278,0.)); #457845=CARTESIAN_POINT('',(28.867769,52.766278,0.035)); #457846=CARTESIAN_POINT('',(28.867769,52.766278,0.035)); #457847=CARTESIAN_POINT('',(28.867769,52.766278,0.)); #457848=CARTESIAN_POINT('Origin',(28.893316,52.749934,0.)); #457849=CARTESIAN_POINT('',(28.893316,52.749934,0.)); #457850=CARTESIAN_POINT('',(28.893316,52.749934,0.)); #457851=CARTESIAN_POINT('',(28.893316,52.749934,0.035)); #457852=CARTESIAN_POINT('',(28.893316,52.749934,0.035)); #457853=CARTESIAN_POINT('',(28.893316,52.749934,0.)); #457854=CARTESIAN_POINT('Origin',(28.910466,52.724922,0.)); #457855=CARTESIAN_POINT('',(28.910466,52.724922,0.)); #457856=CARTESIAN_POINT('',(28.910466,52.724922,0.)); #457857=CARTESIAN_POINT('',(28.910466,52.724922,0.035)); #457858=CARTESIAN_POINT('',(28.910466,52.724922,0.035)); #457859=CARTESIAN_POINT('',(28.910466,52.724922,0.)); #457860=CARTESIAN_POINT('Origin',(28.9165,52.6952,0.)); #457861=CARTESIAN_POINT('',(28.9165,52.6952,0.)); #457862=CARTESIAN_POINT('',(28.9165,52.6952,0.)); #457863=CARTESIAN_POINT('',(28.9165,52.6952,0.035)); #457864=CARTESIAN_POINT('',(28.9165,52.6952,0.035)); #457865=CARTESIAN_POINT('',(28.9165,52.6952,0.)); #457866=CARTESIAN_POINT('Origin',(28.9165,52.492372,0.)); #457867=CARTESIAN_POINT('',(28.9165,52.492372,0.)); #457868=CARTESIAN_POINT('',(28.9165,52.492372,0.)); #457869=CARTESIAN_POINT('',(28.9165,52.492372,0.035)); #457870=CARTESIAN_POINT('',(28.9165,52.492372,0.035)); #457871=CARTESIAN_POINT('',(28.9165,52.492372,0.)); #457872=CARTESIAN_POINT('Origin',(29.005772,52.4031,0.)); #457873=CARTESIAN_POINT('',(29.005772,52.4031,0.)); #457874=CARTESIAN_POINT('',(29.005772,52.4031,0.)); #457875=CARTESIAN_POINT('',(29.005772,52.4031,0.035)); #457876=CARTESIAN_POINT('',(29.005772,52.4031,0.035)); #457877=CARTESIAN_POINT('',(29.005772,52.4031,0.)); #457878=CARTESIAN_POINT('Origin',(29.970228,52.4031,0.)); #457879=CARTESIAN_POINT('',(29.970228,52.4031,0.)); #457880=CARTESIAN_POINT('',(29.970228,52.4031,0.)); #457881=CARTESIAN_POINT('',(29.970228,52.4031,0.035)); #457882=CARTESIAN_POINT('',(29.970228,52.4031,0.035)); #457883=CARTESIAN_POINT('',(29.970228,52.4031,0.)); #457884=CARTESIAN_POINT('Origin',(30.0595,52.492372,0.)); #457885=CARTESIAN_POINT('',(30.0595,52.492372,0.)); #457886=CARTESIAN_POINT('',(30.0595,52.492372,0.)); #457887=CARTESIAN_POINT('',(30.0595,52.492372,0.035)); #457888=CARTESIAN_POINT('',(30.0595,52.492372,0.035)); #457889=CARTESIAN_POINT('',(30.0595,52.492372,0.)); #457890=CARTESIAN_POINT('Origin',(30.0595,53.507628,0.)); #457891=CARTESIAN_POINT('',(30.0595,53.507628,0.)); #457892=CARTESIAN_POINT('',(30.0595,53.507628,0.)); #457893=CARTESIAN_POINT('',(30.0595,53.507628,0.035)); #457894=CARTESIAN_POINT('',(30.0595,53.507628,0.035)); #457895=CARTESIAN_POINT('',(30.0595,53.507628,0.)); #457896=CARTESIAN_POINT('Origin',(29.970228,53.5969,0.)); #457897=CARTESIAN_POINT('',(29.970228,53.5969,0.)); #457898=CARTESIAN_POINT('',(29.970228,53.5969,0.)); #457899=CARTESIAN_POINT('',(29.970228,53.5969,0.035)); #457900=CARTESIAN_POINT('',(29.970228,53.5969,0.035)); #457901=CARTESIAN_POINT('',(29.970228,53.5969,0.)); #457902=CARTESIAN_POINT('Origin',(29.005772,53.5969,0.)); #457903=CARTESIAN_POINT('',(29.005772,53.5969,0.)); #457904=CARTESIAN_POINT('',(29.005772,53.5969,0.)); #457905=CARTESIAN_POINT('',(29.005772,53.5969,0.035)); #457906=CARTESIAN_POINT('',(29.005772,53.5969,0.035)); #457907=CARTESIAN_POINT('',(29.005772,53.5969,0.)); #457908=CARTESIAN_POINT('Origin',(28.9165,53.507628,0.)); #457909=CARTESIAN_POINT('',(28.9165,53.507628,0.)); #457910=CARTESIAN_POINT('',(28.9165,53.507628,0.)); #457911=CARTESIAN_POINT('',(28.9165,53.507628,0.035)); #457912=CARTESIAN_POINT('',(28.9165,53.507628,0.035)); #457913=CARTESIAN_POINT('',(28.9165,53.507628,0.)); #457914=CARTESIAN_POINT('Origin',(28.9165,53.3048,0.)); #457915=CARTESIAN_POINT('',(28.9165,53.3048,0.)); #457916=CARTESIAN_POINT('',(28.9165,53.3048,0.)); #457917=CARTESIAN_POINT('',(28.9165,53.3048,0.035)); #457918=CARTESIAN_POINT('',(28.9165,53.3048,0.035)); #457919=CARTESIAN_POINT('',(28.9165,53.3048,0.)); #457920=CARTESIAN_POINT('Origin',(28.911378,53.277331,0.)); #457921=CARTESIAN_POINT('',(28.911378,53.277331,0.)); #457922=CARTESIAN_POINT('',(28.911378,53.277331,0.)); #457923=CARTESIAN_POINT('',(28.911378,53.277331,0.035)); #457924=CARTESIAN_POINT('',(28.911378,53.277331,0.035)); #457925=CARTESIAN_POINT('',(28.911378,53.277331,0.)); #457926=CARTESIAN_POINT('Origin',(28.895034,53.251784,0.)); #457927=CARTESIAN_POINT('',(28.895034,53.251784,0.)); #457928=CARTESIAN_POINT('',(28.895034,53.251784,0.)); #457929=CARTESIAN_POINT('',(28.895034,53.251784,0.035)); #457930=CARTESIAN_POINT('',(28.895034,53.251784,0.035)); #457931=CARTESIAN_POINT('',(28.895034,53.251784,0.)); #457932=CARTESIAN_POINT('Origin',(28.870022,53.234634,0.)); #457933=CARTESIAN_POINT('',(28.870022,53.234634,0.)); #457934=CARTESIAN_POINT('',(28.870022,53.234634,0.)); #457935=CARTESIAN_POINT('',(28.870022,53.234634,0.035)); #457936=CARTESIAN_POINT('',(28.870022,53.234634,0.035)); #457937=CARTESIAN_POINT('',(28.870022,53.234634,0.)); #457938=CARTESIAN_POINT('Origin',(28.8403,53.2286,0.)); #457939=CARTESIAN_POINT('',(28.8403,53.2286,0.)); #457940=CARTESIAN_POINT('',(28.8403,53.2286,0.)); #457941=CARTESIAN_POINT('',(28.8403,53.2286,0.035)); #457942=CARTESIAN_POINT('',(28.8403,53.2286,0.035)); #457943=CARTESIAN_POINT('',(28.8403,53.2286,0.)); #457944=CARTESIAN_POINT('Origin',(26.905309,53.2286,0.)); #457945=CARTESIAN_POINT('',(26.905309,53.2286,0.)); #457946=CARTESIAN_POINT('',(26.905309,53.2286,0.)); #457947=CARTESIAN_POINT('',(26.905309,53.2286,0.035)); #457948=CARTESIAN_POINT('',(26.905309,53.2286,0.035)); #457949=CARTESIAN_POINT('',(26.905309,53.2286,0.)); #457950=CARTESIAN_POINT('Origin',(25.733681,52.056972,0.)); #457951=CARTESIAN_POINT('',(25.733681,52.056972,0.)); #457952=CARTESIAN_POINT('',(25.733681,52.056972,0.)); #457953=CARTESIAN_POINT('',(25.733681,52.056972,0.035)); #457954=CARTESIAN_POINT('',(25.733681,52.056972,0.035)); #457955=CARTESIAN_POINT('',(25.733681,52.056972,0.)); #457956=CARTESIAN_POINT('Origin',(25.711744,52.041672,0.)); #457957=CARTESIAN_POINT('',(25.711744,52.041672,0.)); #457958=CARTESIAN_POINT('',(25.711744,52.041672,0.)); #457959=CARTESIAN_POINT('',(25.711744,52.041672,0.035)); #457960=CARTESIAN_POINT('',(25.711744,52.041672,0.035)); #457961=CARTESIAN_POINT('',(25.711744,52.041672,0.)); #457962=CARTESIAN_POINT('Origin',(25.682228,52.034691,0.)); #457963=CARTESIAN_POINT('',(25.682228,52.034691,0.)); #457964=CARTESIAN_POINT('',(25.682228,52.034691,0.)); #457965=CARTESIAN_POINT('',(25.682228,52.034691,0.035)); #457966=CARTESIAN_POINT('',(25.682228,52.034691,0.035)); #457967=CARTESIAN_POINT('',(25.682228,52.034691,0.)); #457968=CARTESIAN_POINT('Origin',(25.652331,52.039775,0.)); #457969=CARTESIAN_POINT('',(25.652331,52.039775,0.)); #457970=CARTESIAN_POINT('',(25.652331,52.039775,0.)); #457971=CARTESIAN_POINT('',(25.652331,52.039775,0.035)); #457972=CARTESIAN_POINT('',(25.652331,52.039775,0.035)); #457973=CARTESIAN_POINT('',(25.652331,52.039775,0.)); #457974=CARTESIAN_POINT('Origin',(25.626784,52.056119,0.)); #457975=CARTESIAN_POINT('',(25.626784,52.056119,0.)); #457976=CARTESIAN_POINT('',(25.626784,52.056119,0.)); #457977=CARTESIAN_POINT('',(25.626784,52.056119,0.035)); #457978=CARTESIAN_POINT('',(25.626784,52.056119,0.035)); #457979=CARTESIAN_POINT('',(25.626784,52.056119,0.)); #457980=CARTESIAN_POINT('Origin',(25.609634,52.081131,0.)); #457981=CARTESIAN_POINT('',(25.609634,52.081131,0.)); #457982=CARTESIAN_POINT('',(25.609634,52.081131,0.)); #457983=CARTESIAN_POINT('',(25.609634,52.081131,0.035)); #457984=CARTESIAN_POINT('',(25.609634,52.081131,0.035)); #457985=CARTESIAN_POINT('',(25.609634,52.081131,0.)); #457986=CARTESIAN_POINT('Origin',(25.6036,52.110853,0.)); #457987=CARTESIAN_POINT('',(25.6036,52.110853,0.)); #457988=CARTESIAN_POINT('',(25.6036,52.110853,0.)); #457989=CARTESIAN_POINT('',(25.6036,52.110853,0.035)); #457990=CARTESIAN_POINT('',(25.6036,52.110853,0.035)); #457991=CARTESIAN_POINT('',(25.6036,52.110853,0.)); #457992=CARTESIAN_POINT('Origin',(25.6036,57.969691,0.)); #457993=CARTESIAN_POINT('',(25.6036,57.969691,0.)); #457994=CARTESIAN_POINT('',(25.6036,57.969691,0.)); #457995=CARTESIAN_POINT('',(25.6036,57.969691,0.035)); #457996=CARTESIAN_POINT('',(25.6036,57.969691,0.035)); #457997=CARTESIAN_POINT('',(25.6036,57.969691,0.)); #457998=CARTESIAN_POINT('Origin',(24.594691,58.9786,0.)); #457999=CARTESIAN_POINT('',(24.594691,58.9786,0.)); #458000=CARTESIAN_POINT('',(24.594691,58.9786,0.)); #458001=CARTESIAN_POINT('',(24.594691,58.9786,0.035)); #458002=CARTESIAN_POINT('',(24.594691,58.9786,0.035)); #458003=CARTESIAN_POINT('',(24.594691,58.9786,0.)); #458004=CARTESIAN_POINT('Origin',(24.312397,58.9786,0.)); #458005=CARTESIAN_POINT('',(24.312397,58.9786,0.)); #458006=CARTESIAN_POINT('',(24.312397,58.9786,0.)); #458007=CARTESIAN_POINT('',(24.312397,58.9786,0.035)); #458008=CARTESIAN_POINT('',(24.312397,58.9786,0.035)); #458009=CARTESIAN_POINT('',(24.312397,58.9786,0.)); #458010=CARTESIAN_POINT('Origin',(24.2838,58.984169,0.)); #458011=CARTESIAN_POINT('',(24.2838,58.984169,0.)); #458012=CARTESIAN_POINT('',(24.2838,58.984169,0.)); #458013=CARTESIAN_POINT('',(24.2838,58.984169,0.035)); #458014=CARTESIAN_POINT('',(24.2838,58.984169,0.035)); #458015=CARTESIAN_POINT('',(24.2838,58.984169,0.)); #458016=CARTESIAN_POINT('Origin',(24.258516,59.000919,0.)); #458017=CARTESIAN_POINT('',(24.258516,59.000919,0.)); #458018=CARTESIAN_POINT('',(24.258516,59.000919,0.)); #458019=CARTESIAN_POINT('',(24.258516,59.000919,0.035)); #458020=CARTESIAN_POINT('',(24.258516,59.000919,0.035)); #458021=CARTESIAN_POINT('',(24.258516,59.000919,0.)); #458022=CARTESIAN_POINT('Origin',(24.082034,59.1774,0.)); #458023=CARTESIAN_POINT('',(24.082034,59.1774,0.)); #458024=CARTESIAN_POINT('',(24.082034,59.1774,0.)); #458025=CARTESIAN_POINT('',(24.082034,59.1774,0.035)); #458026=CARTESIAN_POINT('',(24.082034,59.1774,0.035)); #458027=CARTESIAN_POINT('',(24.082034,59.1774,0.)); #458028=CARTESIAN_POINT('Origin',(23.727966,59.1774,0.)); #458029=CARTESIAN_POINT('',(23.727966,59.1774,0.)); #458030=CARTESIAN_POINT('',(23.727966,59.1774,0.)); #458031=CARTESIAN_POINT('',(23.727966,59.1774,0.035)); #458032=CARTESIAN_POINT('',(23.727966,59.1774,0.035)); #458033=CARTESIAN_POINT('',(23.727966,59.1774,0.)); #458034=CARTESIAN_POINT('Origin',(23.477425,58.926859,0.)); #458035=CARTESIAN_POINT('',(23.477425,58.926859,0.)); #458036=CARTESIAN_POINT('',(23.477425,58.926859,0.)); #458037=CARTESIAN_POINT('',(23.477425,58.926859,0.035)); #458038=CARTESIAN_POINT('',(23.477425,58.926859,0.035)); #458039=CARTESIAN_POINT('',(23.477425,58.926859,0.)); #458040=CARTESIAN_POINT('Origin',(23.472478,58.900331,0.)); #458041=CARTESIAN_POINT('',(23.472478,58.900331,0.)); #458042=CARTESIAN_POINT('',(23.472478,58.900331,0.)); #458043=CARTESIAN_POINT('',(23.472478,58.900331,0.035)); #458044=CARTESIAN_POINT('',(23.472478,58.900331,0.035)); #458045=CARTESIAN_POINT('',(23.472478,58.900331,0.)); #458046=CARTESIAN_POINT('Origin',(23.456134,58.874784,0.)); #458047=CARTESIAN_POINT('',(23.456134,58.874784,0.)); #458048=CARTESIAN_POINT('',(23.456134,58.874784,0.)); #458049=CARTESIAN_POINT('',(23.456134,58.874784,0.035)); #458050=CARTESIAN_POINT('',(23.456134,58.874784,0.035)); #458051=CARTESIAN_POINT('',(23.456134,58.874784,0.)); #458052=CARTESIAN_POINT('Origin',(23.431122,58.857634,0.)); #458053=CARTESIAN_POINT('',(23.431122,58.857634,0.)); #458054=CARTESIAN_POINT('',(23.431122,58.857634,0.)); #458055=CARTESIAN_POINT('',(23.431122,58.857634,0.035)); #458056=CARTESIAN_POINT('',(23.431122,58.857634,0.035)); #458057=CARTESIAN_POINT('',(23.431122,58.857634,0.)); #458058=CARTESIAN_POINT('Origin',(23.4014,58.8516,0.)); #458059=CARTESIAN_POINT('',(23.4014,58.8516,0.)); #458060=CARTESIAN_POINT('',(23.4014,58.8516,0.)); #458061=CARTESIAN_POINT('',(23.4014,58.8516,0.035)); #458062=CARTESIAN_POINT('',(23.4014,58.8516,0.035)); #458063=CARTESIAN_POINT('',(23.4014,58.8516,0.)); #458064=CARTESIAN_POINT('Origin',(20.129047,58.8516,0.)); #458065=CARTESIAN_POINT('',(20.129047,58.8516,0.)); #458066=CARTESIAN_POINT('',(20.129047,58.8516,0.)); #458067=CARTESIAN_POINT('',(20.129047,58.8516,0.035)); #458068=CARTESIAN_POINT('',(20.129047,58.8516,0.035)); #458069=CARTESIAN_POINT('',(20.129047,58.8516,0.)); #458070=CARTESIAN_POINT('Origin',(20.103691,58.8489,0.)); #458071=CARTESIAN_POINT('',(20.103691,58.8489,0.)); #458072=CARTESIAN_POINT('',(20.103691,58.8489,0.)); #458073=CARTESIAN_POINT('',(20.103691,58.8489,0.035)); #458074=CARTESIAN_POINT('',(20.103691,58.8489,0.035)); #458075=CARTESIAN_POINT('',(20.103691,58.8489,0.)); #458076=CARTESIAN_POINT('Origin',(20.083338,58.842194,0.)); #458077=CARTESIAN_POINT('',(20.083338,58.842194,0.)); #458078=CARTESIAN_POINT('',(20.083338,58.842194,0.)); #458079=CARTESIAN_POINT('',(20.083338,58.842194,0.035)); #458080=CARTESIAN_POINT('',(20.083338,58.842194,0.035)); #458081=CARTESIAN_POINT('',(20.083338,58.842194,0.)); #458082=CARTESIAN_POINT('Origin',(20.063803,58.830731,0.)); #458083=CARTESIAN_POINT('',(20.063803,58.830731,0.)); #458084=CARTESIAN_POINT('',(20.063803,58.830731,0.)); #458085=CARTESIAN_POINT('',(20.063803,58.830731,0.035)); #458086=CARTESIAN_POINT('',(20.063803,58.830731,0.035)); #458087=CARTESIAN_POINT('',(20.063803,58.830731,0.)); #458088=CARTESIAN_POINT('Origin',(20.051134,58.819822,0.)); #458089=CARTESIAN_POINT('',(20.051134,58.819822,0.)); #458090=CARTESIAN_POINT('',(20.051134,58.819822,0.)); #458091=CARTESIAN_POINT('',(20.051134,58.819822,0.035)); #458092=CARTESIAN_POINT('',(20.051134,58.819822,0.035)); #458093=CARTESIAN_POINT('',(20.051134,58.819822,0.)); #458094=CARTESIAN_POINT('Origin',(18.306022,57.074709,0.)); #458095=CARTESIAN_POINT('',(18.306022,57.074709,0.)); #458096=CARTESIAN_POINT('',(18.306022,57.074709,0.)); #458097=CARTESIAN_POINT('',(18.306022,57.074709,0.035)); #458098=CARTESIAN_POINT('',(18.306022,57.074709,0.035)); #458099=CARTESIAN_POINT('',(18.306022,57.074709,0.)); #458100=CARTESIAN_POINT('Origin',(18.290003,57.054869,0.)); #458101=CARTESIAN_POINT('',(18.290003,57.054869,0.)); #458102=CARTESIAN_POINT('',(18.290003,57.054869,0.)); #458103=CARTESIAN_POINT('',(18.290003,57.054869,0.035)); #458104=CARTESIAN_POINT('',(18.290003,57.054869,0.035)); #458105=CARTESIAN_POINT('',(18.290003,57.054869,0.)); #458106=CARTESIAN_POINT('Origin',(18.280353,57.035728,0.)); #458107=CARTESIAN_POINT('',(18.280353,57.035728,0.)); #458108=CARTESIAN_POINT('',(18.280353,57.035728,0.)); #458109=CARTESIAN_POINT('',(18.280353,57.035728,0.035)); #458110=CARTESIAN_POINT('',(18.280353,57.035728,0.035)); #458111=CARTESIAN_POINT('',(18.280353,57.035728,0.)); #458112=CARTESIAN_POINT('Origin',(18.274641,57.013816,0.)); #458113=CARTESIAN_POINT('',(18.274641,57.013816,0.)); #458114=CARTESIAN_POINT('',(18.274641,57.013816,0.)); #458115=CARTESIAN_POINT('',(18.274641,57.013816,0.035)); #458116=CARTESIAN_POINT('',(18.274641,57.013816,0.035)); #458117=CARTESIAN_POINT('',(18.274641,57.013816,0.)); #458118=CARTESIAN_POINT('Origin',(18.2734,56.997153,0.)); #458119=CARTESIAN_POINT('',(18.2734,56.997153,0.)); #458120=CARTESIAN_POINT('',(18.2734,56.997153,0.)); #458121=CARTESIAN_POINT('',(18.2734,56.997153,0.035)); #458122=CARTESIAN_POINT('',(18.2734,56.997153,0.035)); #458123=CARTESIAN_POINT('',(18.2734,56.997153,0.)); #458124=CARTESIAN_POINT('Origin',(18.2734,51.1778,0.)); #458125=CARTESIAN_POINT('',(18.2734,51.1778,0.)); #458126=CARTESIAN_POINT('',(18.2734,51.1778,0.)); #458127=CARTESIAN_POINT('',(18.2734,51.1778,0.035)); #458128=CARTESIAN_POINT('',(18.2734,51.1778,0.035)); #458129=CARTESIAN_POINT('',(18.2734,51.1778,0.)); #458130=CARTESIAN_POINT('Origin',(18.268278,51.150331,0.)); #458131=CARTESIAN_POINT('',(18.268278,51.150331,0.)); #458132=CARTESIAN_POINT('',(18.268278,51.150331,0.)); #458133=CARTESIAN_POINT('',(18.268278,51.150331,0.035)); #458134=CARTESIAN_POINT('',(18.268278,51.150331,0.035)); #458135=CARTESIAN_POINT('',(18.268278,51.150331,0.)); #458136=CARTESIAN_POINT('Origin',(18.251934,51.124784,0.)); #458137=CARTESIAN_POINT('',(18.251934,51.124784,0.)); #458138=CARTESIAN_POINT('',(18.251934,51.124784,0.)); #458139=CARTESIAN_POINT('',(18.251934,51.124784,0.035)); #458140=CARTESIAN_POINT('',(18.251934,51.124784,0.035)); #458141=CARTESIAN_POINT('',(18.251934,51.124784,0.)); #458142=CARTESIAN_POINT('Origin',(18.226922,51.107634,0.)); #458143=CARTESIAN_POINT('',(18.226922,51.107634,0.)); #458144=CARTESIAN_POINT('',(18.226922,51.107634,0.)); #458145=CARTESIAN_POINT('',(18.226922,51.107634,0.035)); #458146=CARTESIAN_POINT('',(18.226922,51.107634,0.035)); #458147=CARTESIAN_POINT('',(18.226922,51.107634,0.)); #458148=CARTESIAN_POINT('Origin',(18.1972,51.1016,0.)); #458149=CARTESIAN_POINT('',(18.1972,51.1016,0.)); #458150=CARTESIAN_POINT('',(18.1972,51.1016,0.)); #458151=CARTESIAN_POINT('',(18.1972,51.1016,0.035)); #458152=CARTESIAN_POINT('',(18.1972,51.1016,0.035)); #458153=CARTESIAN_POINT('',(18.1972,51.1016,0.)); #458154=CARTESIAN_POINT('Origin',(15.754047,51.1016,0.)); #458155=CARTESIAN_POINT('',(15.754047,51.1016,0.)); #458156=CARTESIAN_POINT('',(15.754047,51.1016,0.)); #458157=CARTESIAN_POINT('',(15.754047,51.1016,0.035)); #458158=CARTESIAN_POINT('',(15.754047,51.1016,0.035)); #458159=CARTESIAN_POINT('',(15.754047,51.1016,0.)); #458160=CARTESIAN_POINT('Origin',(15.728691,51.0989,0.)); #458161=CARTESIAN_POINT('',(15.728691,51.0989,0.)); #458162=CARTESIAN_POINT('',(15.728691,51.0989,0.)); #458163=CARTESIAN_POINT('',(15.728691,51.0989,0.035)); #458164=CARTESIAN_POINT('',(15.728691,51.0989,0.035)); #458165=CARTESIAN_POINT('',(15.728691,51.0989,0.)); #458166=CARTESIAN_POINT('Origin',(15.708338,51.092194,0.)); #458167=CARTESIAN_POINT('',(15.708338,51.092194,0.)); #458168=CARTESIAN_POINT('',(15.708338,51.092194,0.)); #458169=CARTESIAN_POINT('',(15.708338,51.092194,0.035)); #458170=CARTESIAN_POINT('',(15.708338,51.092194,0.035)); #458171=CARTESIAN_POINT('',(15.708338,51.092194,0.)); #458172=CARTESIAN_POINT('Origin',(15.689856,51.08135,0.)); #458173=CARTESIAN_POINT('',(15.689856,51.08135,0.)); #458174=CARTESIAN_POINT('',(15.689856,51.08135,0.)); #458175=CARTESIAN_POINT('',(15.689856,51.08135,0.035)); #458176=CARTESIAN_POINT('',(15.689856,51.08135,0.035)); #458177=CARTESIAN_POINT('',(15.689856,51.08135,0.)); #458178=CARTESIAN_POINT('Origin',(15.674063,51.06685,0.)); #458179=CARTESIAN_POINT('',(15.674063,51.06685,0.)); #458180=CARTESIAN_POINT('',(15.674063,51.06685,0.)); #458181=CARTESIAN_POINT('',(15.674063,51.06685,0.035)); #458182=CARTESIAN_POINT('',(15.674063,51.06685,0.035)); #458183=CARTESIAN_POINT('',(15.674063,51.06685,0.)); #458184=CARTESIAN_POINT('Origin',(15.661694,51.049369,0.)); #458185=CARTESIAN_POINT('',(15.661694,51.049369,0.)); #458186=CARTESIAN_POINT('',(15.661694,51.049369,0.)); #458187=CARTESIAN_POINT('',(15.661694,51.049369,0.035)); #458188=CARTESIAN_POINT('',(15.661694,51.049369,0.035)); #458189=CARTESIAN_POINT('',(15.661694,51.049369,0.)); #458190=CARTESIAN_POINT('Origin',(15.653922,51.031172,0.)); #458191=CARTESIAN_POINT('',(15.653922,51.031172,0.)); #458192=CARTESIAN_POINT('',(15.653922,51.031172,0.)); #458193=CARTESIAN_POINT('',(15.653922,51.031172,0.035)); #458194=CARTESIAN_POINT('',(15.653922,51.031172,0.035)); #458195=CARTESIAN_POINT('',(15.653922,51.031172,0.)); #458196=CARTESIAN_POINT('Origin',(15.6484,50.994316,0.)); #458197=CARTESIAN_POINT('',(15.6484,50.994316,0.)); #458198=CARTESIAN_POINT('',(15.6484,50.994316,0.)); #458199=CARTESIAN_POINT('',(15.6484,50.994316,0.035)); #458200=CARTESIAN_POINT('',(15.6484,50.994316,0.035)); #458201=CARTESIAN_POINT('',(15.6484,50.994316,0.)); #458202=CARTESIAN_POINT('Origin',(15.6484,50.8048,0.)); #458203=CARTESIAN_POINT('',(15.6484,50.8048,0.)); #458204=CARTESIAN_POINT('',(15.6484,50.8048,0.)); #458205=CARTESIAN_POINT('',(15.6484,50.8048,0.035)); #458206=CARTESIAN_POINT('',(15.6484,50.8048,0.035)); #458207=CARTESIAN_POINT('',(15.6484,50.8048,0.)); #458208=CARTESIAN_POINT('Origin',(15.643278,50.777331,0.)); #458209=CARTESIAN_POINT('',(15.643278,50.777331,0.)); #458210=CARTESIAN_POINT('',(15.643278,50.777331,0.)); #458211=CARTESIAN_POINT('',(15.643278,50.777331,0.035)); #458212=CARTESIAN_POINT('',(15.643278,50.777331,0.035)); #458213=CARTESIAN_POINT('',(15.643278,50.777331,0.)); #458214=CARTESIAN_POINT('Origin',(15.626934,50.751784,0.)); #458215=CARTESIAN_POINT('',(15.626934,50.751784,0.)); #458216=CARTESIAN_POINT('',(15.626934,50.751784,0.)); #458217=CARTESIAN_POINT('',(15.626934,50.751784,0.035)); #458218=CARTESIAN_POINT('',(15.626934,50.751784,0.035)); #458219=CARTESIAN_POINT('',(15.626934,50.751784,0.)); #458220=CARTESIAN_POINT('Origin',(15.601922,50.734634,0.)); #458221=CARTESIAN_POINT('',(15.601922,50.734634,0.)); #458222=CARTESIAN_POINT('',(15.601922,50.734634,0.)); #458223=CARTESIAN_POINT('',(15.601922,50.734634,0.035)); #458224=CARTESIAN_POINT('',(15.601922,50.734634,0.035)); #458225=CARTESIAN_POINT('',(15.601922,50.734634,0.)); #458226=CARTESIAN_POINT('Origin',(15.5722,50.7286,0.)); #458227=CARTESIAN_POINT('',(15.5722,50.7286,0.)); #458228=CARTESIAN_POINT('',(15.5722,50.7286,0.)); #458229=CARTESIAN_POINT('',(15.5722,50.7286,0.035)); #458230=CARTESIAN_POINT('',(15.5722,50.7286,0.035)); #458231=CARTESIAN_POINT('',(15.5722,50.7286,0.)); #458232=CARTESIAN_POINT('Origin',(14.4278,50.7286,0.)); #458233=CARTESIAN_POINT('',(14.4278,50.7286,0.)); #458234=CARTESIAN_POINT('',(14.4278,50.7286,0.)); #458235=CARTESIAN_POINT('',(14.4278,50.7286,0.035)); #458236=CARTESIAN_POINT('',(14.4278,50.7286,0.035)); #458237=CARTESIAN_POINT('',(14.4278,50.7286,0.)); #458238=CARTESIAN_POINT('Origin',(14.400331,50.733722,0.)); #458239=CARTESIAN_POINT('',(14.400331,50.733722,0.)); #458240=CARTESIAN_POINT('',(14.400331,50.733722,0.)); #458241=CARTESIAN_POINT('',(14.400331,50.733722,0.035)); #458242=CARTESIAN_POINT('',(14.400331,50.733722,0.035)); #458243=CARTESIAN_POINT('',(14.400331,50.733722,0.)); #458244=CARTESIAN_POINT('Origin',(14.374784,50.750066,0.)); #458245=CARTESIAN_POINT('',(14.374784,50.750066,0.)); #458246=CARTESIAN_POINT('',(14.374784,50.750066,0.)); #458247=CARTESIAN_POINT('',(14.374784,50.750066,0.035)); #458248=CARTESIAN_POINT('',(14.374784,50.750066,0.035)); #458249=CARTESIAN_POINT('',(14.374784,50.750066,0.)); #458250=CARTESIAN_POINT('Origin',(14.357634,50.775078,0.)); #458251=CARTESIAN_POINT('',(14.357634,50.775078,0.)); #458252=CARTESIAN_POINT('',(14.357634,50.775078,0.)); #458253=CARTESIAN_POINT('',(14.357634,50.775078,0.035)); #458254=CARTESIAN_POINT('',(14.357634,50.775078,0.035)); #458255=CARTESIAN_POINT('',(14.357634,50.775078,0.)); #458256=CARTESIAN_POINT('Origin',(14.3516,50.8048,0.)); #458257=CARTESIAN_POINT('',(14.3516,50.8048,0.)); #458258=CARTESIAN_POINT('',(14.3516,50.8048,0.)); #458259=CARTESIAN_POINT('',(14.3516,50.8048,0.035)); #458260=CARTESIAN_POINT('',(14.3516,50.8048,0.035)); #458261=CARTESIAN_POINT('',(14.3516,50.8048,0.)); #458262=CARTESIAN_POINT('Origin',(14.3516,50.995953,0.)); #458263=CARTESIAN_POINT('',(14.3516,50.995953,0.)); #458264=CARTESIAN_POINT('',(14.3516,50.995953,0.)); #458265=CARTESIAN_POINT('',(14.3516,50.995953,0.035)); #458266=CARTESIAN_POINT('',(14.3516,50.995953,0.035)); #458267=CARTESIAN_POINT('',(14.3516,50.995953,0.)); #458268=CARTESIAN_POINT('Origin',(14.3489,51.021309,0.)); #458269=CARTESIAN_POINT('',(14.3489,51.021309,0.)); #458270=CARTESIAN_POINT('',(14.3489,51.021309,0.)); #458271=CARTESIAN_POINT('',(14.3489,51.021309,0.035)); #458272=CARTESIAN_POINT('',(14.3489,51.021309,0.035)); #458273=CARTESIAN_POINT('',(14.3489,51.021309,0.)); #458274=CARTESIAN_POINT('Origin',(14.342194,51.041663,0.)); #458275=CARTESIAN_POINT('',(14.342194,51.041663,0.)); #458276=CARTESIAN_POINT('',(14.342194,51.041663,0.)); #458277=CARTESIAN_POINT('',(14.342194,51.041663,0.035)); #458278=CARTESIAN_POINT('',(14.342194,51.041663,0.035)); #458279=CARTESIAN_POINT('',(14.342194,51.041663,0.)); #458280=CARTESIAN_POINT('Origin',(14.33135,51.060144,0.)); #458281=CARTESIAN_POINT('',(14.33135,51.060144,0.)); #458282=CARTESIAN_POINT('',(14.33135,51.060144,0.)); #458283=CARTESIAN_POINT('',(14.33135,51.060144,0.035)); #458284=CARTESIAN_POINT('',(14.33135,51.060144,0.035)); #458285=CARTESIAN_POINT('',(14.33135,51.060144,0.)); #458286=CARTESIAN_POINT('Origin',(14.31685,51.075938,0.)); #458287=CARTESIAN_POINT('',(14.31685,51.075938,0.)); #458288=CARTESIAN_POINT('',(14.31685,51.075938,0.)); #458289=CARTESIAN_POINT('',(14.31685,51.075938,0.035)); #458290=CARTESIAN_POINT('',(14.31685,51.075938,0.035)); #458291=CARTESIAN_POINT('',(14.31685,51.075938,0.)); #458292=CARTESIAN_POINT('Origin',(14.299369,51.088306,0.)); #458293=CARTESIAN_POINT('',(14.299369,51.088306,0.)); #458294=CARTESIAN_POINT('',(14.299369,51.088306,0.)); #458295=CARTESIAN_POINT('',(14.299369,51.088306,0.035)); #458296=CARTESIAN_POINT('',(14.299369,51.088306,0.035)); #458297=CARTESIAN_POINT('',(14.299369,51.088306,0.)); #458298=CARTESIAN_POINT('Origin',(14.281172,51.096078,0.)); #458299=CARTESIAN_POINT('',(14.281172,51.096078,0.)); #458300=CARTESIAN_POINT('',(14.281172,51.096078,0.)); #458301=CARTESIAN_POINT('',(14.281172,51.096078,0.035)); #458302=CARTESIAN_POINT('',(14.281172,51.096078,0.035)); #458303=CARTESIAN_POINT('',(14.281172,51.096078,0.)); #458304=CARTESIAN_POINT('Origin',(14.244316,51.1016,0.)); #458305=CARTESIAN_POINT('',(14.244316,51.1016,0.)); #458306=CARTESIAN_POINT('',(14.244316,51.1016,0.)); #458307=CARTESIAN_POINT('',(14.244316,51.1016,0.035)); #458308=CARTESIAN_POINT('',(14.244316,51.1016,0.035)); #458309=CARTESIAN_POINT('',(14.244316,51.1016,0.)); #458310=CARTESIAN_POINT('Origin',(11.629047,51.1016,0.)); #458311=CARTESIAN_POINT('',(11.629047,51.1016,0.)); #458312=CARTESIAN_POINT('',(11.629047,51.1016,0.)); #458313=CARTESIAN_POINT('',(11.629047,51.1016,0.035)); #458314=CARTESIAN_POINT('',(11.629047,51.1016,0.035)); #458315=CARTESIAN_POINT('',(11.629047,51.1016,0.)); #458316=CARTESIAN_POINT('Origin',(11.603691,51.0989,0.)); #458317=CARTESIAN_POINT('',(11.603691,51.0989,0.)); #458318=CARTESIAN_POINT('',(11.603691,51.0989,0.)); #458319=CARTESIAN_POINT('',(11.603691,51.0989,0.035)); #458320=CARTESIAN_POINT('',(11.603691,51.0989,0.035)); #458321=CARTESIAN_POINT('',(11.603691,51.0989,0.)); #458322=CARTESIAN_POINT('Origin',(11.583338,51.092194,0.)); #458323=CARTESIAN_POINT('',(11.583338,51.092194,0.)); #458324=CARTESIAN_POINT('',(11.583338,51.092194,0.)); #458325=CARTESIAN_POINT('',(11.583338,51.092194,0.035)); #458326=CARTESIAN_POINT('',(11.583338,51.092194,0.035)); #458327=CARTESIAN_POINT('',(11.583338,51.092194,0.)); #458328=CARTESIAN_POINT('Origin',(11.563803,51.080731,0.)); #458329=CARTESIAN_POINT('',(11.563803,51.080731,0.)); #458330=CARTESIAN_POINT('',(11.563803,51.080731,0.)); #458331=CARTESIAN_POINT('',(11.563803,51.080731,0.035)); #458332=CARTESIAN_POINT('',(11.563803,51.080731,0.035)); #458333=CARTESIAN_POINT('',(11.563803,51.080731,0.)); #458334=CARTESIAN_POINT('Origin',(11.551134,51.069822,0.)); #458335=CARTESIAN_POINT('',(11.551134,51.069822,0.)); #458336=CARTESIAN_POINT('',(11.551134,51.069822,0.)); #458337=CARTESIAN_POINT('',(11.551134,51.069822,0.035)); #458338=CARTESIAN_POINT('',(11.551134,51.069822,0.035)); #458339=CARTESIAN_POINT('',(11.551134,51.069822,0.)); #458340=CARTESIAN_POINT('Origin',(10.181022,49.699709,0.)); #458341=CARTESIAN_POINT('',(10.181022,49.699709,0.)); #458342=CARTESIAN_POINT('',(10.181022,49.699709,0.)); #458343=CARTESIAN_POINT('',(10.181022,49.699709,0.035)); #458344=CARTESIAN_POINT('',(10.181022,49.699709,0.035)); #458345=CARTESIAN_POINT('',(10.181022,49.699709,0.)); #458346=CARTESIAN_POINT('Origin',(10.165003,49.679869,0.)); #458347=CARTESIAN_POINT('',(10.165003,49.679869,0.)); #458348=CARTESIAN_POINT('',(10.165003,49.679869,0.)); #458349=CARTESIAN_POINT('',(10.165003,49.679869,0.035)); #458350=CARTESIAN_POINT('',(10.165003,49.679869,0.035)); #458351=CARTESIAN_POINT('',(10.165003,49.679869,0.)); #458352=CARTESIAN_POINT('Origin',(10.155353,49.660728,0.)); #458353=CARTESIAN_POINT('',(10.155353,49.660728,0.)); #458354=CARTESIAN_POINT('',(10.155353,49.660728,0.)); #458355=CARTESIAN_POINT('',(10.155353,49.660728,0.035)); #458356=CARTESIAN_POINT('',(10.155353,49.660728,0.035)); #458357=CARTESIAN_POINT('',(10.155353,49.660728,0.)); #458358=CARTESIAN_POINT('Origin',(10.149641,49.638816,0.)); #458359=CARTESIAN_POINT('',(10.149641,49.638816,0.)); #458360=CARTESIAN_POINT('',(10.149641,49.638816,0.)); #458361=CARTESIAN_POINT('',(10.149641,49.638816,0.035)); #458362=CARTESIAN_POINT('',(10.149641,49.638816,0.035)); #458363=CARTESIAN_POINT('',(10.149641,49.638816,0.)); #458364=CARTESIAN_POINT('Origin',(10.1484,49.622153,0.)); #458365=CARTESIAN_POINT('',(10.1484,49.622153,0.)); #458366=CARTESIAN_POINT('',(10.1484,49.622153,0.)); #458367=CARTESIAN_POINT('',(10.1484,49.622153,0.035)); #458368=CARTESIAN_POINT('',(10.1484,49.622153,0.035)); #458369=CARTESIAN_POINT('',(10.1484,49.622153,0.)); #458370=CARTESIAN_POINT('Origin',(10.1484,42.504047,0.)); #458371=CARTESIAN_POINT('',(10.1484,42.504047,0.)); #458372=CARTESIAN_POINT('',(10.1484,42.504047,0.)); #458373=CARTESIAN_POINT('',(10.1484,42.504047,0.035)); #458374=CARTESIAN_POINT('',(10.1484,42.504047,0.035)); #458375=CARTESIAN_POINT('',(10.1484,42.504047,0.)); #458376=CARTESIAN_POINT('Origin',(10.1511,42.478691,0.)); #458377=CARTESIAN_POINT('',(10.1511,42.478691,0.)); #458378=CARTESIAN_POINT('',(10.1511,42.478691,0.)); #458379=CARTESIAN_POINT('',(10.1511,42.478691,0.035)); #458380=CARTESIAN_POINT('',(10.1511,42.478691,0.035)); #458381=CARTESIAN_POINT('',(10.1511,42.478691,0.)); #458382=CARTESIAN_POINT('Origin',(10.157456,42.459403,0.)); #458383=CARTESIAN_POINT('',(10.157456,42.459403,0.)); #458384=CARTESIAN_POINT('',(10.157456,42.459403,0.)); #458385=CARTESIAN_POINT('',(10.157456,42.459403,0.035)); #458386=CARTESIAN_POINT('',(10.157456,42.459403,0.035)); #458387=CARTESIAN_POINT('',(10.157456,42.459403,0.)); #458388=CARTESIAN_POINT('Origin',(10.175256,42.430984,0.)); #458389=CARTESIAN_POINT('',(10.175256,42.430984,0.)); #458390=CARTESIAN_POINT('',(10.175256,42.430984,0.)); #458391=CARTESIAN_POINT('',(10.175256,42.430984,0.035)); #458392=CARTESIAN_POINT('',(10.175256,42.430984,0.035)); #458393=CARTESIAN_POINT('',(10.175256,42.430984,0.)); #458394=CARTESIAN_POINT('Origin',(13.880738,37.984406,0.)); #458395=CARTESIAN_POINT('',(13.880738,37.984406,0.)); #458396=CARTESIAN_POINT('',(13.880738,37.984406,0.)); #458397=CARTESIAN_POINT('',(13.880738,37.984406,0.035)); #458398=CARTESIAN_POINT('',(13.880738,37.984406,0.035)); #458399=CARTESIAN_POINT('',(13.880738,37.984406,0.)); #458400=CARTESIAN_POINT('Origin',(13.892366,37.965347,0.)); #458401=CARTESIAN_POINT('',(13.892366,37.965347,0.)); #458402=CARTESIAN_POINT('',(13.892366,37.965347,0.)); #458403=CARTESIAN_POINT('',(13.892366,37.965347,0.035)); #458404=CARTESIAN_POINT('',(13.892366,37.965347,0.035)); #458405=CARTESIAN_POINT('',(13.892366,37.965347,0.)); #458406=CARTESIAN_POINT('Origin',(13.8984,37.935625,0.)); #458407=CARTESIAN_POINT('',(13.8984,37.935625,0.)); #458408=CARTESIAN_POINT('',(13.8984,37.935625,0.)); #458409=CARTESIAN_POINT('',(13.8984,37.935625,0.035)); #458410=CARTESIAN_POINT('',(13.8984,37.935625,0.035)); #458411=CARTESIAN_POINT('',(13.8984,37.935625,0.)); #458412=CARTESIAN_POINT('Origin',(13.8984,19.504047,0.)); #458413=CARTESIAN_POINT('',(13.8984,19.504047,0.)); #458414=CARTESIAN_POINT('',(13.8984,19.504047,0.)); #458415=CARTESIAN_POINT('',(13.8984,19.504047,0.035)); #458416=CARTESIAN_POINT('',(13.8984,19.504047,0.035)); #458417=CARTESIAN_POINT('',(13.8984,19.504047,0.)); #458418=CARTESIAN_POINT('Origin',(13.9011,19.478691,0.)); #458419=CARTESIAN_POINT('',(13.9011,19.478691,0.)); #458420=CARTESIAN_POINT('',(13.9011,19.478691,0.)); #458421=CARTESIAN_POINT('',(13.9011,19.478691,0.035)); #458422=CARTESIAN_POINT('',(13.9011,19.478691,0.035)); #458423=CARTESIAN_POINT('',(13.9011,19.478691,0.)); #458424=CARTESIAN_POINT('Origin',(13.907806,19.458338,0.)); #458425=CARTESIAN_POINT('',(13.907806,19.458338,0.)); #458426=CARTESIAN_POINT('',(13.907806,19.458338,0.)); #458427=CARTESIAN_POINT('',(13.907806,19.458338,0.035)); #458428=CARTESIAN_POINT('',(13.907806,19.458338,0.035)); #458429=CARTESIAN_POINT('',(13.907806,19.458338,0.)); #458430=CARTESIAN_POINT('Origin',(13.919269,19.438803,0.)); #458431=CARTESIAN_POINT('',(13.919269,19.438803,0.)); #458432=CARTESIAN_POINT('',(13.919269,19.438803,0.)); #458433=CARTESIAN_POINT('',(13.919269,19.438803,0.035)); #458434=CARTESIAN_POINT('',(13.919269,19.438803,0.035)); #458435=CARTESIAN_POINT('',(13.919269,19.438803,0.)); #458436=CARTESIAN_POINT('Origin',(13.930178,19.426134,0.)); #458437=CARTESIAN_POINT('',(13.930178,19.426134,0.)); #458438=CARTESIAN_POINT('',(13.930178,19.426134,0.)); #458439=CARTESIAN_POINT('',(13.930178,19.426134,0.035)); #458440=CARTESIAN_POINT('',(13.930178,19.426134,0.035)); #458441=CARTESIAN_POINT('',(13.930178,19.426134,0.)); #458442=CARTESIAN_POINT('Origin',(14.175291,19.181022,0.)); #458443=CARTESIAN_POINT('',(14.175291,19.181022,0.)); #458444=CARTESIAN_POINT('',(14.175291,19.181022,0.)); #458445=CARTESIAN_POINT('',(14.175291,19.181022,0.035)); #458446=CARTESIAN_POINT('',(14.175291,19.181022,0.035)); #458447=CARTESIAN_POINT('',(14.175291,19.181022,0.)); #458448=CARTESIAN_POINT('Origin',(14.195131,19.165003,0.)); #458449=CARTESIAN_POINT('',(14.195131,19.165003,0.)); #458450=CARTESIAN_POINT('',(14.195131,19.165003,0.)); #458451=CARTESIAN_POINT('',(14.195131,19.165003,0.035)); #458452=CARTESIAN_POINT('',(14.195131,19.165003,0.035)); #458453=CARTESIAN_POINT('',(14.195131,19.165003,0.)); #458454=CARTESIAN_POINT('Origin',(14.214272,19.155353,0.)); #458455=CARTESIAN_POINT('',(14.214272,19.155353,0.)); #458456=CARTESIAN_POINT('',(14.214272,19.155353,0.)); #458457=CARTESIAN_POINT('',(14.214272,19.155353,0.035)); #458458=CARTESIAN_POINT('',(14.214272,19.155353,0.035)); #458459=CARTESIAN_POINT('',(14.214272,19.155353,0.)); #458460=CARTESIAN_POINT('Origin',(14.236184,19.149641,0.)); #458461=CARTESIAN_POINT('',(14.236184,19.149641,0.)); #458462=CARTESIAN_POINT('',(14.236184,19.149641,0.)); #458463=CARTESIAN_POINT('',(14.236184,19.149641,0.035)); #458464=CARTESIAN_POINT('',(14.236184,19.149641,0.035)); #458465=CARTESIAN_POINT('',(14.236184,19.149641,0.)); #458466=CARTESIAN_POINT('Origin',(14.252847,19.1484,0.)); #458467=CARTESIAN_POINT('',(14.252847,19.1484,0.)); #458468=CARTESIAN_POINT('',(14.252847,19.1484,0.)); #458469=CARTESIAN_POINT('',(14.252847,19.1484,0.035)); #458470=CARTESIAN_POINT('',(14.252847,19.1484,0.035)); #458471=CARTESIAN_POINT('',(14.252847,19.1484,0.)); #458472=CARTESIAN_POINT('Origin',(18.245953,19.1484,0.)); #458473=CARTESIAN_POINT('',(18.245953,19.1484,0.)); #458474=CARTESIAN_POINT('',(18.245953,19.1484,0.)); #458475=CARTESIAN_POINT('',(18.245953,19.1484,0.035)); #458476=CARTESIAN_POINT('',(18.245953,19.1484,0.035)); #458477=CARTESIAN_POINT('',(18.245953,19.1484,0.)); #458478=CARTESIAN_POINT('Origin',(18.271309,19.1511,0.)); #458479=CARTESIAN_POINT('',(18.271309,19.1511,0.)); #458480=CARTESIAN_POINT('',(18.271309,19.1511,0.)); #458481=CARTESIAN_POINT('',(18.271309,19.1511,0.035)); #458482=CARTESIAN_POINT('',(18.271309,19.1511,0.035)); #458483=CARTESIAN_POINT('',(18.271309,19.1511,0.)); #458484=CARTESIAN_POINT('Origin',(18.291663,19.157806,0.)); #458485=CARTESIAN_POINT('',(18.291663,19.157806,0.)); #458486=CARTESIAN_POINT('',(18.291663,19.157806,0.)); #458487=CARTESIAN_POINT('',(18.291663,19.157806,0.035)); #458488=CARTESIAN_POINT('',(18.291663,19.157806,0.035)); #458489=CARTESIAN_POINT('',(18.291663,19.157806,0.)); #458490=CARTESIAN_POINT('Origin',(18.311197,19.169269,0.)); #458491=CARTESIAN_POINT('',(18.311197,19.169269,0.)); #458492=CARTESIAN_POINT('',(18.311197,19.169269,0.)); #458493=CARTESIAN_POINT('',(18.311197,19.169269,0.035)); #458494=CARTESIAN_POINT('',(18.311197,19.169269,0.035)); #458495=CARTESIAN_POINT('',(18.311197,19.169269,0.)); #458496=CARTESIAN_POINT('Origin',(18.323866,19.180178,0.)); #458497=CARTESIAN_POINT('',(18.323866,19.180178,0.)); #458498=CARTESIAN_POINT('',(18.323866,19.180178,0.)); #458499=CARTESIAN_POINT('',(18.323866,19.180178,0.035)); #458500=CARTESIAN_POINT('',(18.323866,19.180178,0.035)); #458501=CARTESIAN_POINT('',(18.323866,19.180178,0.)); #458502=CARTESIAN_POINT('Origin',(19.568978,20.425291,0.)); #458503=CARTESIAN_POINT('',(19.568978,20.425291,0.)); #458504=CARTESIAN_POINT('',(19.568978,20.425291,0.)); #458505=CARTESIAN_POINT('',(19.568978,20.425291,0.035)); #458506=CARTESIAN_POINT('',(19.568978,20.425291,0.035)); #458507=CARTESIAN_POINT('',(19.568978,20.425291,0.)); #458508=CARTESIAN_POINT('Origin',(19.584997,20.445131,0.)); #458509=CARTESIAN_POINT('',(19.584997,20.445131,0.)); #458510=CARTESIAN_POINT('',(19.584997,20.445131,0.)); #458511=CARTESIAN_POINT('',(19.584997,20.445131,0.035)); #458512=CARTESIAN_POINT('',(19.584997,20.445131,0.035)); #458513=CARTESIAN_POINT('',(19.584997,20.445131,0.)); #458514=CARTESIAN_POINT('Origin',(19.594647,20.464272,0.)); #458515=CARTESIAN_POINT('',(19.594647,20.464272,0.)); #458516=CARTESIAN_POINT('',(19.594647,20.464272,0.)); #458517=CARTESIAN_POINT('',(19.594647,20.464272,0.035)); #458518=CARTESIAN_POINT('',(19.594647,20.464272,0.035)); #458519=CARTESIAN_POINT('',(19.594647,20.464272,0.)); #458520=CARTESIAN_POINT('Origin',(19.600359,20.486184,0.)); #458521=CARTESIAN_POINT('',(19.600359,20.486184,0.)); #458522=CARTESIAN_POINT('',(19.600359,20.486184,0.)); #458523=CARTESIAN_POINT('',(19.600359,20.486184,0.035)); #458524=CARTESIAN_POINT('',(19.600359,20.486184,0.035)); #458525=CARTESIAN_POINT('',(19.600359,20.486184,0.)); #458526=CARTESIAN_POINT('Origin',(19.6016,20.502847,0.)); #458527=CARTESIAN_POINT('',(19.6016,20.502847,0.)); #458528=CARTESIAN_POINT('',(19.6016,20.502847,0.)); #458529=CARTESIAN_POINT('',(19.6016,20.502847,0.035)); #458530=CARTESIAN_POINT('',(19.6016,20.502847,0.035)); #458531=CARTESIAN_POINT('',(19.6016,20.502847,0.)); #458532=CARTESIAN_POINT('Origin',(19.6016,24.17635,0.)); #458533=CARTESIAN_POINT('',(19.6016,24.17635,0.)); #458534=CARTESIAN_POINT('',(19.6016,24.17635,0.)); #458535=CARTESIAN_POINT('',(19.6016,24.17635,0.035)); #458536=CARTESIAN_POINT('',(19.6016,24.17635,0.035)); #458537=CARTESIAN_POINT('',(19.6016,24.17635,0.)); #458538=CARTESIAN_POINT('Origin',(19.607169,24.204947,0.)); #458539=CARTESIAN_POINT('',(19.607169,24.204947,0.)); #458540=CARTESIAN_POINT('',(19.607169,24.204947,0.)); #458541=CARTESIAN_POINT('',(19.607169,24.204947,0.035)); #458542=CARTESIAN_POINT('',(19.607169,24.204947,0.035)); #458543=CARTESIAN_POINT('',(19.607169,24.204947,0.)); #458544=CARTESIAN_POINT('Origin',(19.623919,24.230231,0.)); #458545=CARTESIAN_POINT('',(19.623919,24.230231,0.)); #458546=CARTESIAN_POINT('',(19.623919,24.230231,0.)); #458547=CARTESIAN_POINT('',(19.623919,24.230231,0.035)); #458548=CARTESIAN_POINT('',(19.623919,24.230231,0.035)); #458549=CARTESIAN_POINT('',(19.623919,24.230231,0.)); #458550=CARTESIAN_POINT('Origin',(20.769769,25.376081,0.)); #458551=CARTESIAN_POINT('',(20.769769,25.376081,0.)); #458552=CARTESIAN_POINT('',(20.769769,25.376081,0.)); #458553=CARTESIAN_POINT('',(20.769769,25.376081,0.035)); #458554=CARTESIAN_POINT('',(20.769769,25.376081,0.035)); #458555=CARTESIAN_POINT('',(20.769769,25.376081,0.)); #458556=CARTESIAN_POINT('Origin',(20.793928,25.392366,0.)); #458557=CARTESIAN_POINT('',(20.793928,25.392366,0.)); #458558=CARTESIAN_POINT('',(20.793928,25.392366,0.)); #458559=CARTESIAN_POINT('',(20.793928,25.392366,0.035)); #458560=CARTESIAN_POINT('',(20.793928,25.392366,0.035)); #458561=CARTESIAN_POINT('',(20.793928,25.392366,0.)); #458562=CARTESIAN_POINT('Origin',(20.82365,25.3984,0.)); #458563=CARTESIAN_POINT('',(20.82365,25.3984,0.)); #458564=CARTESIAN_POINT('',(20.82365,25.3984,0.)); #458565=CARTESIAN_POINT('',(20.82365,25.3984,0.035)); #458566=CARTESIAN_POINT('',(20.82365,25.3984,0.035)); #458567=CARTESIAN_POINT('',(20.82365,25.3984,0.)); #458568=CARTESIAN_POINT('Origin',(22.746747,25.3984,0.)); #458569=CARTESIAN_POINT('',(22.746747,25.3984,0.)); #458570=CARTESIAN_POINT('',(22.746747,25.3984,0.)); #458571=CARTESIAN_POINT('',(22.746747,25.3984,0.035)); #458572=CARTESIAN_POINT('',(22.746747,25.3984,0.035)); #458573=CARTESIAN_POINT('',(22.746747,25.3984,0.)); #458574=CARTESIAN_POINT('Origin',(22.775344,25.392831,0.)); #458575=CARTESIAN_POINT('',(22.775344,25.392831,0.)); #458576=CARTESIAN_POINT('',(22.775344,25.392831,0.)); #458577=CARTESIAN_POINT('',(22.775344,25.392831,0.035)); #458578=CARTESIAN_POINT('',(22.775344,25.392831,0.035)); #458579=CARTESIAN_POINT('',(22.775344,25.392831,0.)); #458580=CARTESIAN_POINT('Origin',(22.800628,25.376081,0.)); #458581=CARTESIAN_POINT('',(22.800628,25.376081,0.)); #458582=CARTESIAN_POINT('',(22.800628,25.376081,0.)); #458583=CARTESIAN_POINT('',(22.800628,25.376081,0.035)); #458584=CARTESIAN_POINT('',(22.800628,25.376081,0.035)); #458585=CARTESIAN_POINT('',(22.800628,25.376081,0.)); #458586=CARTESIAN_POINT('Origin',(23.155309,25.0214,0.)); #458587=CARTESIAN_POINT('',(23.155309,25.0214,0.)); #458588=CARTESIAN_POINT('',(23.155309,25.0214,0.)); #458589=CARTESIAN_POINT('',(23.155309,25.0214,0.035)); #458590=CARTESIAN_POINT('',(23.155309,25.0214,0.035)); #458591=CARTESIAN_POINT('',(23.155309,25.0214,0.)); #458592=CARTESIAN_POINT('Origin',(27.373747,25.0214,0.)); #458593=CARTESIAN_POINT('',(27.373747,25.0214,0.)); #458594=CARTESIAN_POINT('',(27.373747,25.0214,0.)); #458595=CARTESIAN_POINT('',(27.373747,25.0214,0.035)); #458596=CARTESIAN_POINT('',(27.373747,25.0214,0.035)); #458597=CARTESIAN_POINT('',(27.373747,25.0214,0.)); #458598=CARTESIAN_POINT('Origin',(27.402344,25.015831,0.)); #458599=CARTESIAN_POINT('',(27.402344,25.015831,0.)); #458600=CARTESIAN_POINT('',(27.402344,25.015831,0.)); #458601=CARTESIAN_POINT('',(27.402344,25.015831,0.035)); #458602=CARTESIAN_POINT('',(27.402344,25.015831,0.035)); #458603=CARTESIAN_POINT('',(27.402344,25.015831,0.)); #458604=CARTESIAN_POINT('Origin',(27.427628,24.999081,0.)); #458605=CARTESIAN_POINT('',(27.427628,24.999081,0.)); #458606=CARTESIAN_POINT('',(27.427628,24.999081,0.)); #458607=CARTESIAN_POINT('',(27.427628,24.999081,0.035)); #458608=CARTESIAN_POINT('',(27.427628,24.999081,0.035)); #458609=CARTESIAN_POINT('',(27.427628,24.999081,0.)); #458610=CARTESIAN_POINT('Origin',(27.494228,24.932481,0.)); #458611=CARTESIAN_POINT('',(27.494228,24.932481,0.)); #458612=CARTESIAN_POINT('',(27.494228,24.932481,0.)); #458613=CARTESIAN_POINT('',(27.494228,24.932481,0.035)); #458614=CARTESIAN_POINT('',(27.494228,24.932481,0.035)); #458615=CARTESIAN_POINT('',(27.494228,24.932481,0.)); #458616=CARTESIAN_POINT('Origin',(27.509528,24.910544,0.)); #458617=CARTESIAN_POINT('',(27.509528,24.910544,0.)); #458618=CARTESIAN_POINT('',(27.509528,24.910544,0.)); #458619=CARTESIAN_POINT('',(27.509528,24.910544,0.035)); #458620=CARTESIAN_POINT('',(27.509528,24.910544,0.035)); #458621=CARTESIAN_POINT('',(27.509528,24.910544,0.)); #458622=CARTESIAN_POINT('Origin',(27.516509,24.881028,0.)); #458623=CARTESIAN_POINT('',(27.516509,24.881028,0.)); #458624=CARTESIAN_POINT('',(27.516509,24.881028,0.)); #458625=CARTESIAN_POINT('',(27.516509,24.881028,0.035)); #458626=CARTESIAN_POINT('',(27.516509,24.881028,0.035)); #458627=CARTESIAN_POINT('',(27.516509,24.881028,0.)); #458628=CARTESIAN_POINT('Origin',(27.511425,24.851131,0.)); #458629=CARTESIAN_POINT('',(27.511425,24.851131,0.)); #458630=CARTESIAN_POINT('',(27.511425,24.851131,0.)); #458631=CARTESIAN_POINT('',(27.511425,24.851131,0.035)); #458632=CARTESIAN_POINT('',(27.511425,24.851131,0.035)); #458633=CARTESIAN_POINT('',(27.511425,24.851131,0.)); #458634=CARTESIAN_POINT('Origin',(27.495081,24.825584,0.)); #458635=CARTESIAN_POINT('',(27.495081,24.825584,0.)); #458636=CARTESIAN_POINT('',(27.495081,24.825584,0.)); #458637=CARTESIAN_POINT('',(27.495081,24.825584,0.035)); #458638=CARTESIAN_POINT('',(27.495081,24.825584,0.035)); #458639=CARTESIAN_POINT('',(27.495081,24.825584,0.)); #458640=CARTESIAN_POINT('Origin',(27.470069,24.808434,0.)); #458641=CARTESIAN_POINT('',(27.470069,24.808434,0.)); #458642=CARTESIAN_POINT('',(27.470069,24.808434,0.)); #458643=CARTESIAN_POINT('',(27.470069,24.808434,0.035)); #458644=CARTESIAN_POINT('',(27.470069,24.808434,0.035)); #458645=CARTESIAN_POINT('',(27.470069,24.808434,0.)); #458646=CARTESIAN_POINT('Origin',(27.440347,24.8024,0.)); #458647=CARTESIAN_POINT('',(27.440347,24.8024,0.)); #458648=CARTESIAN_POINT('',(27.440347,24.8024,0.)); #458649=CARTESIAN_POINT('',(27.440347,24.8024,0.035)); #458650=CARTESIAN_POINT('',(27.440347,24.8024,0.035)); #458651=CARTESIAN_POINT('',(27.440347,24.8024,0.)); #458652=CARTESIAN_POINT('Origin',(27.072966,24.8024,0.)); #458653=CARTESIAN_POINT('',(27.072966,24.8024,0.)); #458654=CARTESIAN_POINT('',(27.072966,24.8024,0.)); #458655=CARTESIAN_POINT('',(27.072966,24.8024,0.035)); #458656=CARTESIAN_POINT('',(27.072966,24.8024,0.035)); #458657=CARTESIAN_POINT('',(27.072966,24.8024,0.)); #458658=CARTESIAN_POINT('Origin',(26.8226,24.552034,0.)); #458659=CARTESIAN_POINT('',(26.8226,24.552034,0.)); #458660=CARTESIAN_POINT('',(26.8226,24.552034,0.)); #458661=CARTESIAN_POINT('',(26.8226,24.552034,0.035)); #458662=CARTESIAN_POINT('',(26.8226,24.552034,0.035)); #458663=CARTESIAN_POINT('',(26.8226,24.552034,0.)); #458664=CARTESIAN_POINT('Origin',(26.8226,24.197966,0.)); #458665=CARTESIAN_POINT('',(26.8226,24.197966,0.)); #458666=CARTESIAN_POINT('',(26.8226,24.197966,0.)); #458667=CARTESIAN_POINT('',(26.8226,24.197966,0.035)); #458668=CARTESIAN_POINT('',(26.8226,24.197966,0.035)); #458669=CARTESIAN_POINT('',(26.8226,24.197966,0.)); #458670=CARTESIAN_POINT('Origin',(26.999081,24.021484,0.)); #458671=CARTESIAN_POINT('',(26.999081,24.021484,0.)); #458672=CARTESIAN_POINT('',(26.999081,24.021484,0.)); #458673=CARTESIAN_POINT('',(26.999081,24.021484,0.035)); #458674=CARTESIAN_POINT('',(26.999081,24.021484,0.035)); #458675=CARTESIAN_POINT('',(26.999081,24.021484,0.)); #458676=CARTESIAN_POINT('Origin',(27.015366,23.997325,0.)); #458677=CARTESIAN_POINT('',(27.015366,23.997325,0.)); #458678=CARTESIAN_POINT('',(27.015366,23.997325,0.)); #458679=CARTESIAN_POINT('',(27.015366,23.997325,0.035)); #458680=CARTESIAN_POINT('',(27.015366,23.997325,0.035)); #458681=CARTESIAN_POINT('',(27.015366,23.997325,0.)); #458682=CARTESIAN_POINT('Origin',(27.0214,23.967603,0.)); #458683=CARTESIAN_POINT('',(27.0214,23.967603,0.)); #458684=CARTESIAN_POINT('',(27.0214,23.967603,0.)); #458685=CARTESIAN_POINT('',(27.0214,23.967603,0.035)); #458686=CARTESIAN_POINT('',(27.0214,23.967603,0.035)); #458687=CARTESIAN_POINT('',(27.0214,23.967603,0.)); #458688=CARTESIAN_POINT('Origin',(27.0214,20.222453,0.)); #458689=CARTESIAN_POINT('',(27.0214,20.222453,0.)); #458690=CARTESIAN_POINT('',(27.0214,20.222453,0.)); #458691=CARTESIAN_POINT('',(27.0214,20.222453,0.035)); #458692=CARTESIAN_POINT('',(27.0214,20.222453,0.035)); #458693=CARTESIAN_POINT('',(27.0214,20.222453,0.)); #458694=CARTESIAN_POINT('Origin',(27.016706,20.196122,0.)); #458695=CARTESIAN_POINT('',(27.016706,20.196122,0.)); #458696=CARTESIAN_POINT('',(27.016706,20.196122,0.)); #458697=CARTESIAN_POINT('',(27.016706,20.196122,0.035)); #458698=CARTESIAN_POINT('',(27.016706,20.196122,0.035)); #458699=CARTESIAN_POINT('',(27.016706,20.196122,0.)); #458700=CARTESIAN_POINT('Origin',(27.000772,20.170316,0.)); #458701=CARTESIAN_POINT('',(27.000772,20.170316,0.)); #458702=CARTESIAN_POINT('',(27.000772,20.170316,0.)); #458703=CARTESIAN_POINT('',(27.000772,20.170316,0.035)); #458704=CARTESIAN_POINT('',(27.000772,20.170316,0.035)); #458705=CARTESIAN_POINT('',(27.000772,20.170316,0.)); #458706=CARTESIAN_POINT('Origin',(26.976034,20.152772,0.)); #458707=CARTESIAN_POINT('',(26.976034,20.152772,0.)); #458708=CARTESIAN_POINT('',(26.976034,20.152772,0.)); #458709=CARTESIAN_POINT('',(26.976034,20.152772,0.035)); #458710=CARTESIAN_POINT('',(26.976034,20.152772,0.035)); #458711=CARTESIAN_POINT('',(26.976034,20.152772,0.)); #458712=CARTESIAN_POINT('Origin',(26.946416,20.146263,0.)); #458713=CARTESIAN_POINT('',(26.946416,20.146263,0.)); #458714=CARTESIAN_POINT('',(26.946416,20.146263,0.)); #458715=CARTESIAN_POINT('',(26.946416,20.146263,0.035)); #458716=CARTESIAN_POINT('',(26.946416,20.146263,0.035)); #458717=CARTESIAN_POINT('',(26.946416,20.146263,0.)); #458718=CARTESIAN_POINT('Origin',(26.916603,20.151822,0.)); #458719=CARTESIAN_POINT('',(26.916603,20.151822,0.)); #458720=CARTESIAN_POINT('',(26.916603,20.151822,0.)); #458721=CARTESIAN_POINT('',(26.916603,20.151822,0.035)); #458722=CARTESIAN_POINT('',(26.916603,20.151822,0.035)); #458723=CARTESIAN_POINT('',(26.916603,20.151822,0.)); #458724=CARTESIAN_POINT('Origin',(26.891319,20.168572,0.)); #458725=CARTESIAN_POINT('',(26.891319,20.168572,0.)); #458726=CARTESIAN_POINT('',(26.891319,20.168572,0.)); #458727=CARTESIAN_POINT('',(26.891319,20.168572,0.035)); #458728=CARTESIAN_POINT('',(26.891319,20.168572,0.035)); #458729=CARTESIAN_POINT('',(26.891319,20.168572,0.)); #458730=CARTESIAN_POINT('Origin',(26.355819,20.704072,0.)); #458731=CARTESIAN_POINT('',(26.355819,20.704072,0.)); #458732=CARTESIAN_POINT('',(26.355819,20.704072,0.)); #458733=CARTESIAN_POINT('',(26.355819,20.704072,0.035)); #458734=CARTESIAN_POINT('',(26.355819,20.704072,0.035)); #458735=CARTESIAN_POINT('',(26.355819,20.704072,0.)); #458736=CARTESIAN_POINT('Origin',(26.339534,20.728231,0.)); #458737=CARTESIAN_POINT('',(26.339534,20.728231,0.)); #458738=CARTESIAN_POINT('',(26.339534,20.728231,0.)); #458739=CARTESIAN_POINT('',(26.339534,20.728231,0.035)); #458740=CARTESIAN_POINT('',(26.339534,20.728231,0.035)); #458741=CARTESIAN_POINT('',(26.339534,20.728231,0.)); #458742=CARTESIAN_POINT('Origin',(26.3335,20.757953,0.)); #458743=CARTESIAN_POINT('',(26.3335,20.757953,0.)); #458744=CARTESIAN_POINT('',(26.3335,20.757953,0.)); #458745=CARTESIAN_POINT('',(26.3335,20.757953,0.035)); #458746=CARTESIAN_POINT('',(26.3335,20.757953,0.035)); #458747=CARTESIAN_POINT('',(26.3335,20.757953,0.)); #458748=CARTESIAN_POINT('Origin',(26.3335,21.507628,0.)); #458749=CARTESIAN_POINT('',(26.3335,21.507628,0.)); #458750=CARTESIAN_POINT('',(26.3335,21.507628,0.)); #458751=CARTESIAN_POINT('',(26.3335,21.507628,0.035)); #458752=CARTESIAN_POINT('',(26.3335,21.507628,0.035)); #458753=CARTESIAN_POINT('',(26.3335,21.507628,0.)); #458754=CARTESIAN_POINT('Origin',(26.244228,21.5969,0.)); #458755=CARTESIAN_POINT('',(26.244228,21.5969,0.)); #458756=CARTESIAN_POINT('',(26.244228,21.5969,0.)); #458757=CARTESIAN_POINT('',(26.244228,21.5969,0.035)); #458758=CARTESIAN_POINT('',(26.244228,21.5969,0.035)); #458759=CARTESIAN_POINT('',(26.244228,21.5969,0.)); #458760=CARTESIAN_POINT('Origin',(25.279772,21.5969,0.)); #458761=CARTESIAN_POINT('',(25.279772,21.5969,0.)); #458762=CARTESIAN_POINT('',(25.279772,21.5969,0.)); #458763=CARTESIAN_POINT('',(25.279772,21.5969,0.035)); #458764=CARTESIAN_POINT('',(25.279772,21.5969,0.035)); #458765=CARTESIAN_POINT('',(25.279772,21.5969,0.)); #458766=CARTESIAN_POINT('Origin',(25.1905,21.507628,0.)); #458767=CARTESIAN_POINT('',(25.1905,21.507628,0.)); #458768=CARTESIAN_POINT('',(25.1905,21.507628,0.)); #458769=CARTESIAN_POINT('',(25.1905,21.507628,0.035)); #458770=CARTESIAN_POINT('',(25.1905,21.507628,0.035)); #458771=CARTESIAN_POINT('',(25.1905,21.507628,0.)); #458772=CARTESIAN_POINT('Origin',(25.1905,20.5548,0.)); #458773=CARTESIAN_POINT('',(25.1905,20.5548,0.)); #458774=CARTESIAN_POINT('',(25.1905,20.5548,0.)); #458775=CARTESIAN_POINT('',(25.1905,20.5548,0.035)); #458776=CARTESIAN_POINT('',(25.1905,20.5548,0.035)); #458777=CARTESIAN_POINT('',(25.1905,20.5548,0.)); #458778=CARTESIAN_POINT('Origin',(25.185378,20.527331,0.)); #458779=CARTESIAN_POINT('',(25.185378,20.527331,0.)); #458780=CARTESIAN_POINT('',(25.185378,20.527331,0.)); #458781=CARTESIAN_POINT('',(25.185378,20.527331,0.035)); #458782=CARTESIAN_POINT('',(25.185378,20.527331,0.035)); #458783=CARTESIAN_POINT('',(25.185378,20.527331,0.)); #458784=CARTESIAN_POINT('Origin',(25.169034,20.501784,0.)); #458785=CARTESIAN_POINT('',(25.169034,20.501784,0.)); #458786=CARTESIAN_POINT('',(25.169034,20.501784,0.)); #458787=CARTESIAN_POINT('',(25.169034,20.501784,0.035)); #458788=CARTESIAN_POINT('',(25.169034,20.501784,0.035)); #458789=CARTESIAN_POINT('',(25.169034,20.501784,0.)); #458790=CARTESIAN_POINT('Origin',(25.144022,20.484634,0.)); #458791=CARTESIAN_POINT('',(25.144022,20.484634,0.)); #458792=CARTESIAN_POINT('',(25.144022,20.484634,0.)); #458793=CARTESIAN_POINT('',(25.144022,20.484634,0.035)); #458794=CARTESIAN_POINT('',(25.144022,20.484634,0.035)); #458795=CARTESIAN_POINT('',(25.144022,20.484634,0.)); #458796=CARTESIAN_POINT('Origin',(25.1143,20.4786,0.)); #458797=CARTESIAN_POINT('',(25.1143,20.4786,0.)); #458798=CARTESIAN_POINT('',(25.1143,20.4786,0.)); #458799=CARTESIAN_POINT('',(25.1143,20.4786,0.035)); #458800=CARTESIAN_POINT('',(25.1143,20.4786,0.035)); #458801=CARTESIAN_POINT('',(25.1143,20.4786,0.)); #458802=CARTESIAN_POINT('Origin',(22.638253,20.4786,0.)); #458803=CARTESIAN_POINT('',(22.638253,20.4786,0.)); #458804=CARTESIAN_POINT('',(22.638253,20.4786,0.)); #458805=CARTESIAN_POINT('',(22.638253,20.4786,0.035)); #458806=CARTESIAN_POINT('',(22.638253,20.4786,0.035)); #458807=CARTESIAN_POINT('',(22.638253,20.4786,0.)); #458808=CARTESIAN_POINT('Origin',(22.609656,20.484169,0.)); #458809=CARTESIAN_POINT('',(22.609656,20.484169,0.)); #458810=CARTESIAN_POINT('',(22.609656,20.484169,0.)); #458811=CARTESIAN_POINT('',(22.609656,20.484169,0.035)); #458812=CARTESIAN_POINT('',(22.609656,20.484169,0.035)); #458813=CARTESIAN_POINT('',(22.609656,20.484169,0.)); #458814=CARTESIAN_POINT('Origin',(22.584372,20.500919,0.)); #458815=CARTESIAN_POINT('',(22.584372,20.500919,0.)); #458816=CARTESIAN_POINT('',(22.584372,20.500919,0.)); #458817=CARTESIAN_POINT('',(22.584372,20.500919,0.035)); #458818=CARTESIAN_POINT('',(22.584372,20.500919,0.035)); #458819=CARTESIAN_POINT('',(22.584372,20.500919,0.)); #458820=CARTESIAN_POINT('Origin',(22.355819,20.729472,0.)); #458821=CARTESIAN_POINT('',(22.355819,20.729472,0.)); #458822=CARTESIAN_POINT('',(22.355819,20.729472,0.)); #458823=CARTESIAN_POINT('',(22.355819,20.729472,0.035)); #458824=CARTESIAN_POINT('',(22.355819,20.729472,0.035)); #458825=CARTESIAN_POINT('',(22.355819,20.729472,0.)); #458826=CARTESIAN_POINT('Origin',(22.339534,20.753631,0.)); #458827=CARTESIAN_POINT('',(22.339534,20.753631,0.)); #458828=CARTESIAN_POINT('',(22.339534,20.753631,0.)); #458829=CARTESIAN_POINT('',(22.339534,20.753631,0.035)); #458830=CARTESIAN_POINT('',(22.339534,20.753631,0.035)); #458831=CARTESIAN_POINT('',(22.339534,20.753631,0.)); #458832=CARTESIAN_POINT('Origin',(22.3335,20.783353,0.)); #458833=CARTESIAN_POINT('',(22.3335,20.783353,0.)); #458834=CARTESIAN_POINT('',(22.3335,20.783353,0.)); #458835=CARTESIAN_POINT('',(22.3335,20.783353,0.035)); #458836=CARTESIAN_POINT('',(22.3335,20.783353,0.035)); #458837=CARTESIAN_POINT('',(22.3335,20.783353,0.)); #458838=CARTESIAN_POINT('Origin',(22.3335,21.507628,0.)); #458839=CARTESIAN_POINT('',(22.3335,21.507628,0.)); #458840=CARTESIAN_POINT('',(22.3335,21.507628,0.)); #458841=CARTESIAN_POINT('',(22.3335,21.507628,0.035)); #458842=CARTESIAN_POINT('',(22.3335,21.507628,0.035)); #458843=CARTESIAN_POINT('',(22.3335,21.507628,0.)); #458844=CARTESIAN_POINT('Origin',(22.244228,21.5969,0.)); #458845=CARTESIAN_POINT('',(22.244228,21.5969,0.)); #458846=CARTESIAN_POINT('',(22.244228,21.5969,0.)); #458847=CARTESIAN_POINT('',(22.244228,21.5969,0.035)); #458848=CARTESIAN_POINT('',(22.244228,21.5969,0.035)); #458849=CARTESIAN_POINT('',(22.244228,21.5969,0.)); #458850=CARTESIAN_POINT('Origin',(21.279772,21.5969,0.)); #458851=CARTESIAN_POINT('',(21.279772,21.5969,0.)); #458852=CARTESIAN_POINT('',(21.279772,21.5969,0.)); #458853=CARTESIAN_POINT('',(21.279772,21.5969,0.035)); #458854=CARTESIAN_POINT('',(21.279772,21.5969,0.035)); #458855=CARTESIAN_POINT('',(21.279772,21.5969,0.)); #458856=CARTESIAN_POINT('Origin',(21.1905,21.507628,0.)); #458857=CARTESIAN_POINT('',(21.1905,21.507628,0.)); #458858=CARTESIAN_POINT('',(21.1905,21.507628,0.)); #458859=CARTESIAN_POINT('',(21.1905,21.507628,0.035)); #458860=CARTESIAN_POINT('',(21.1905,21.507628,0.035)); #458861=CARTESIAN_POINT('',(21.1905,21.507628,0.)); #458862=CARTESIAN_POINT('Origin',(21.1905,20.492372,0.)); #458863=CARTESIAN_POINT('',(21.1905,20.492372,0.)); #458864=CARTESIAN_POINT('',(21.1905,20.492372,0.)); #458865=CARTESIAN_POINT('',(21.1905,20.492372,0.035)); #458866=CARTESIAN_POINT('',(21.1905,20.492372,0.035)); #458867=CARTESIAN_POINT('',(21.1905,20.492372,0.)); #458868=CARTESIAN_POINT('Origin',(21.279772,20.4031,0.)); #458869=CARTESIAN_POINT('',(21.279772,20.4031,0.)); #458870=CARTESIAN_POINT('',(21.279772,20.4031,0.)); #458871=CARTESIAN_POINT('',(21.279772,20.4031,0.035)); #458872=CARTESIAN_POINT('',(21.279772,20.4031,0.035)); #458873=CARTESIAN_POINT('',(21.279772,20.4031,0.)); #458874=CARTESIAN_POINT('Origin',(22.004047,20.4031,0.)); #458875=CARTESIAN_POINT('',(22.004047,20.4031,0.)); #458876=CARTESIAN_POINT('',(22.004047,20.4031,0.)); #458877=CARTESIAN_POINT('',(22.004047,20.4031,0.035)); #458878=CARTESIAN_POINT('',(22.004047,20.4031,0.035)); #458879=CARTESIAN_POINT('',(22.004047,20.4031,0.)); #458880=CARTESIAN_POINT('Origin',(22.032644,20.397531,0.)); #458881=CARTESIAN_POINT('',(22.032644,20.397531,0.)); #458882=CARTESIAN_POINT('',(22.032644,20.397531,0.)); #458883=CARTESIAN_POINT('',(22.032644,20.397531,0.035)); #458884=CARTESIAN_POINT('',(22.032644,20.397531,0.035)); #458885=CARTESIAN_POINT('',(22.032644,20.397531,0.)); #458886=CARTESIAN_POINT('Origin',(22.057928,20.380781,0.)); #458887=CARTESIAN_POINT('',(22.057928,20.380781,0.)); #458888=CARTESIAN_POINT('',(22.057928,20.380781,0.)); #458889=CARTESIAN_POINT('',(22.057928,20.380781,0.035)); #458890=CARTESIAN_POINT('',(22.057928,20.380781,0.035)); #458891=CARTESIAN_POINT('',(22.057928,20.380781,0.)); #458892=CARTESIAN_POINT('Origin',(22.417309,20.0214,0.)); #458893=CARTESIAN_POINT('',(22.417309,20.0214,0.)); #458894=CARTESIAN_POINT('',(22.417309,20.0214,0.)); #458895=CARTESIAN_POINT('',(22.417309,20.0214,0.035)); #458896=CARTESIAN_POINT('',(22.417309,20.0214,0.035)); #458897=CARTESIAN_POINT('',(22.417309,20.0214,0.)); #458898=CARTESIAN_POINT('Origin',(25.748747,20.0214,0.)); #458899=CARTESIAN_POINT('',(25.748747,20.0214,0.)); #458900=CARTESIAN_POINT('',(25.748747,20.0214,0.)); #458901=CARTESIAN_POINT('',(25.748747,20.0214,0.035)); #458902=CARTESIAN_POINT('',(25.748747,20.0214,0.035)); #458903=CARTESIAN_POINT('',(25.748747,20.0214,0.)); #458904=CARTESIAN_POINT('Origin',(25.777344,20.015831,0.)); #458905=CARTESIAN_POINT('',(25.777344,20.015831,0.)); #458906=CARTESIAN_POINT('',(25.777344,20.015831,0.)); #458907=CARTESIAN_POINT('',(25.777344,20.015831,0.035)); #458908=CARTESIAN_POINT('',(25.777344,20.015831,0.035)); #458909=CARTESIAN_POINT('',(25.777344,20.015831,0.)); #458910=CARTESIAN_POINT('Origin',(25.802628,19.999081,0.)); #458911=CARTESIAN_POINT('',(25.802628,19.999081,0.)); #458912=CARTESIAN_POINT('',(25.802628,19.999081,0.)); #458913=CARTESIAN_POINT('',(25.802628,19.999081,0.035)); #458914=CARTESIAN_POINT('',(25.802628,19.999081,0.035)); #458915=CARTESIAN_POINT('',(25.802628,19.999081,0.)); #458916=CARTESIAN_POINT('Origin',(26.249081,19.552628,0.)); #458917=CARTESIAN_POINT('',(26.249081,19.552628,0.)); #458918=CARTESIAN_POINT('',(26.249081,19.552628,0.)); #458919=CARTESIAN_POINT('',(26.249081,19.552628,0.035)); #458920=CARTESIAN_POINT('',(26.249081,19.552628,0.035)); #458921=CARTESIAN_POINT('',(26.249081,19.552628,0.)); #458922=CARTESIAN_POINT('Origin',(26.265366,19.528469,0.)); #458923=CARTESIAN_POINT('',(26.265366,19.528469,0.)); #458924=CARTESIAN_POINT('',(26.265366,19.528469,0.)); #458925=CARTESIAN_POINT('',(26.265366,19.528469,0.035)); #458926=CARTESIAN_POINT('',(26.265366,19.528469,0.035)); #458927=CARTESIAN_POINT('',(26.265366,19.528469,0.)); #458928=CARTESIAN_POINT('Origin',(26.2714,19.498747,0.)); #458929=CARTESIAN_POINT('',(26.2714,19.498747,0.)); #458930=CARTESIAN_POINT('',(26.2714,19.498747,0.)); #458931=CARTESIAN_POINT('',(26.2714,19.498747,0.035)); #458932=CARTESIAN_POINT('',(26.2714,19.498747,0.035)); #458933=CARTESIAN_POINT('',(26.2714,19.498747,0.)); #458934=CARTESIAN_POINT('Origin',(26.2714,18.6731,0.)); #458935=CARTESIAN_POINT('',(26.2714,18.6731,0.)); #458936=CARTESIAN_POINT('',(26.2714,18.6731,0.)); #458937=CARTESIAN_POINT('',(26.2714,18.6731,0.035)); #458938=CARTESIAN_POINT('',(26.2714,18.6731,0.035)); #458939=CARTESIAN_POINT('',(26.2714,18.6731,0.)); #458940=CARTESIAN_POINT('Origin',(26.266278,18.645631,0.)); #458941=CARTESIAN_POINT('',(26.266278,18.645631,0.)); #458942=CARTESIAN_POINT('',(26.266278,18.645631,0.)); #458943=CARTESIAN_POINT('',(26.266278,18.645631,0.035)); #458944=CARTESIAN_POINT('',(26.266278,18.645631,0.035)); #458945=CARTESIAN_POINT('',(26.266278,18.645631,0.)); #458946=CARTESIAN_POINT('Origin',(26.249934,18.620084,0.)); #458947=CARTESIAN_POINT('',(26.249934,18.620084,0.)); #458948=CARTESIAN_POINT('',(26.249934,18.620084,0.)); #458949=CARTESIAN_POINT('',(26.249934,18.620084,0.035)); #458950=CARTESIAN_POINT('',(26.249934,18.620084,0.035)); #458951=CARTESIAN_POINT('',(26.249934,18.620084,0.)); #458952=CARTESIAN_POINT('Origin',(26.224922,18.602934,0.)); #458953=CARTESIAN_POINT('',(26.224922,18.602934,0.)); #458954=CARTESIAN_POINT('',(26.224922,18.602934,0.)); #458955=CARTESIAN_POINT('',(26.224922,18.602934,0.035)); #458956=CARTESIAN_POINT('',(26.224922,18.602934,0.035)); #458957=CARTESIAN_POINT('',(26.224922,18.602934,0.)); #458958=CARTESIAN_POINT('Origin',(26.1952,18.5969,0.)); #458959=CARTESIAN_POINT('',(26.1952,18.5969,0.)); #458960=CARTESIAN_POINT('',(26.1952,18.5969,0.)); #458961=CARTESIAN_POINT('',(26.1952,18.5969,0.035)); #458962=CARTESIAN_POINT('',(26.1952,18.5969,0.035)); #458963=CARTESIAN_POINT('',(26.1952,18.5969,0.)); #458964=CARTESIAN_POINT('Origin',(25.279772,18.5969,0.)); #458965=CARTESIAN_POINT('',(25.279772,18.5969,0.)); #458966=CARTESIAN_POINT('',(25.279772,18.5969,0.)); #458967=CARTESIAN_POINT('',(25.279772,18.5969,0.035)); #458968=CARTESIAN_POINT('',(25.279772,18.5969,0.035)); #458969=CARTESIAN_POINT('',(25.279772,18.5969,0.)); #458970=CARTESIAN_POINT('Origin',(25.1905,18.507628,0.)); #458971=CARTESIAN_POINT('',(25.1905,18.507628,0.)); #458972=CARTESIAN_POINT('',(25.1905,18.507628,0.)); #458973=CARTESIAN_POINT('',(25.1905,18.507628,0.035)); #458974=CARTESIAN_POINT('',(25.1905,18.507628,0.035)); #458975=CARTESIAN_POINT('',(25.1905,18.507628,0.)); #458976=CARTESIAN_POINT('Origin',(25.1905,17.5548,0.)); #458977=CARTESIAN_POINT('',(25.1905,17.5548,0.)); #458978=CARTESIAN_POINT('',(25.1905,17.5548,0.)); #458979=CARTESIAN_POINT('',(25.1905,17.5548,0.035)); #458980=CARTESIAN_POINT('',(25.1905,17.5548,0.035)); #458981=CARTESIAN_POINT('',(25.1905,17.5548,0.)); #458982=CARTESIAN_POINT('Origin',(25.185378,17.527331,0.)); #458983=CARTESIAN_POINT('',(25.185378,17.527331,0.)); #458984=CARTESIAN_POINT('',(25.185378,17.527331,0.)); #458985=CARTESIAN_POINT('',(25.185378,17.527331,0.035)); #458986=CARTESIAN_POINT('',(25.185378,17.527331,0.035)); #458987=CARTESIAN_POINT('',(25.185378,17.527331,0.)); #458988=CARTESIAN_POINT('Origin',(25.169034,17.501784,0.)); #458989=CARTESIAN_POINT('',(25.169034,17.501784,0.)); #458990=CARTESIAN_POINT('',(25.169034,17.501784,0.)); #458991=CARTESIAN_POINT('',(25.169034,17.501784,0.035)); #458992=CARTESIAN_POINT('',(25.169034,17.501784,0.035)); #458993=CARTESIAN_POINT('',(25.169034,17.501784,0.)); #458994=CARTESIAN_POINT('Origin',(25.144022,17.484634,0.)); #458995=CARTESIAN_POINT('',(25.144022,17.484634,0.)); #458996=CARTESIAN_POINT('',(25.144022,17.484634,0.)); #458997=CARTESIAN_POINT('',(25.144022,17.484634,0.035)); #458998=CARTESIAN_POINT('',(25.144022,17.484634,0.035)); #458999=CARTESIAN_POINT('',(25.144022,17.484634,0.)); #459000=CARTESIAN_POINT('Origin',(25.1143,17.4786,0.)); #459001=CARTESIAN_POINT('',(25.1143,17.4786,0.)); #459002=CARTESIAN_POINT('',(25.1143,17.4786,0.)); #459003=CARTESIAN_POINT('',(25.1143,17.4786,0.035)); #459004=CARTESIAN_POINT('',(25.1143,17.4786,0.035)); #459005=CARTESIAN_POINT('',(25.1143,17.4786,0.)); #459006=CARTESIAN_POINT('Origin',(22.638253,17.4786,0.)); #459007=CARTESIAN_POINT('',(22.638253,17.4786,0.)); #459008=CARTESIAN_POINT('',(22.638253,17.4786,0.)); #459009=CARTESIAN_POINT('',(22.638253,17.4786,0.035)); #459010=CARTESIAN_POINT('',(22.638253,17.4786,0.035)); #459011=CARTESIAN_POINT('',(22.638253,17.4786,0.)); #459012=CARTESIAN_POINT('Origin',(22.609656,17.484169,0.)); #459013=CARTESIAN_POINT('',(22.609656,17.484169,0.)); #459014=CARTESIAN_POINT('',(22.609656,17.484169,0.)); #459015=CARTESIAN_POINT('',(22.609656,17.484169,0.035)); #459016=CARTESIAN_POINT('',(22.609656,17.484169,0.035)); #459017=CARTESIAN_POINT('',(22.609656,17.484169,0.)); #459018=CARTESIAN_POINT('Origin',(22.584372,17.500919,0.)); #459019=CARTESIAN_POINT('',(22.584372,17.500919,0.)); #459020=CARTESIAN_POINT('',(22.584372,17.500919,0.)); #459021=CARTESIAN_POINT('',(22.584372,17.500919,0.035)); #459022=CARTESIAN_POINT('',(22.584372,17.500919,0.035)); #459023=CARTESIAN_POINT('',(22.584372,17.500919,0.)); #459024=CARTESIAN_POINT('Origin',(22.355819,17.729472,0.)); #459025=CARTESIAN_POINT('',(22.355819,17.729472,0.)); #459026=CARTESIAN_POINT('',(22.355819,17.729472,0.)); #459027=CARTESIAN_POINT('',(22.355819,17.729472,0.035)); #459028=CARTESIAN_POINT('',(22.355819,17.729472,0.035)); #459029=CARTESIAN_POINT('',(22.355819,17.729472,0.)); #459030=CARTESIAN_POINT('Origin',(22.339534,17.753631,0.)); #459031=CARTESIAN_POINT('',(22.339534,17.753631,0.)); #459032=CARTESIAN_POINT('',(22.339534,17.753631,0.)); #459033=CARTESIAN_POINT('',(22.339534,17.753631,0.035)); #459034=CARTESIAN_POINT('',(22.339534,17.753631,0.035)); #459035=CARTESIAN_POINT('',(22.339534,17.753631,0.)); #459036=CARTESIAN_POINT('Origin',(22.3335,17.783353,0.)); #459037=CARTESIAN_POINT('',(22.3335,17.783353,0.)); #459038=CARTESIAN_POINT('',(22.3335,17.783353,0.)); #459039=CARTESIAN_POINT('',(22.3335,17.783353,0.035)); #459040=CARTESIAN_POINT('',(22.3335,17.783353,0.035)); #459041=CARTESIAN_POINT('',(22.3335,17.783353,0.)); #459042=CARTESIAN_POINT('Origin',(22.3335,18.507628,0.)); #459043=CARTESIAN_POINT('',(22.3335,18.507628,0.)); #459044=CARTESIAN_POINT('',(22.3335,18.507628,0.)); #459045=CARTESIAN_POINT('',(22.3335,18.507628,0.035)); #459046=CARTESIAN_POINT('',(22.3335,18.507628,0.035)); #459047=CARTESIAN_POINT('',(22.3335,18.507628,0.)); #459048=CARTESIAN_POINT('Origin',(22.244228,18.5969,0.)); #459049=CARTESIAN_POINT('',(22.244228,18.5969,0.)); #459050=CARTESIAN_POINT('',(22.244228,18.5969,0.)); #459051=CARTESIAN_POINT('',(22.244228,18.5969,0.035)); #459052=CARTESIAN_POINT('',(22.244228,18.5969,0.035)); #459053=CARTESIAN_POINT('',(22.244228,18.5969,0.)); #459054=CARTESIAN_POINT('Origin',(21.279772,18.5969,0.)); #459055=CARTESIAN_POINT('',(21.279772,18.5969,0.)); #459056=CARTESIAN_POINT('',(21.279772,18.5969,0.)); #459057=CARTESIAN_POINT('',(21.279772,18.5969,0.035)); #459058=CARTESIAN_POINT('',(21.279772,18.5969,0.035)); #459059=CARTESIAN_POINT('',(21.279772,18.5969,0.)); #459060=CARTESIAN_POINT('Origin',(21.1905,18.507628,0.)); #459061=CARTESIAN_POINT('',(21.1905,18.507628,0.)); #459062=CARTESIAN_POINT('',(21.1905,18.507628,0.)); #459063=CARTESIAN_POINT('',(21.1905,18.507628,0.035)); #459064=CARTESIAN_POINT('',(21.1905,18.507628,0.035)); #459065=CARTESIAN_POINT('',(21.1905,18.507628,0.)); #459066=CARTESIAN_POINT('Origin',(21.1905,17.492372,0.)); #459067=CARTESIAN_POINT('',(21.1905,17.492372,0.)); #459068=CARTESIAN_POINT('',(21.1905,17.492372,0.)); #459069=CARTESIAN_POINT('',(21.1905,17.492372,0.035)); #459070=CARTESIAN_POINT('',(21.1905,17.492372,0.035)); #459071=CARTESIAN_POINT('',(21.1905,17.492372,0.)); #459072=CARTESIAN_POINT('Origin',(21.279772,17.4031,0.)); #459073=CARTESIAN_POINT('',(21.279772,17.4031,0.)); #459074=CARTESIAN_POINT('',(21.279772,17.4031,0.)); #459075=CARTESIAN_POINT('',(21.279772,17.4031,0.035)); #459076=CARTESIAN_POINT('',(21.279772,17.4031,0.035)); #459077=CARTESIAN_POINT('',(21.279772,17.4031,0.)); #459078=CARTESIAN_POINT('Origin',(22.004047,17.4031,0.)); #459079=CARTESIAN_POINT('',(22.004047,17.4031,0.)); #459080=CARTESIAN_POINT('',(22.004047,17.4031,0.)); #459081=CARTESIAN_POINT('',(22.004047,17.4031,0.035)); #459082=CARTESIAN_POINT('',(22.004047,17.4031,0.035)); #459083=CARTESIAN_POINT('',(22.004047,17.4031,0.)); #459084=CARTESIAN_POINT('Origin',(22.032644,17.397531,0.)); #459085=CARTESIAN_POINT('',(22.032644,17.397531,0.)); #459086=CARTESIAN_POINT('',(22.032644,17.397531,0.)); #459087=CARTESIAN_POINT('',(22.032644,17.397531,0.035)); #459088=CARTESIAN_POINT('',(22.032644,17.397531,0.035)); #459089=CARTESIAN_POINT('',(22.032644,17.397531,0.)); #459090=CARTESIAN_POINT('Origin',(22.057928,17.380781,0.)); #459091=CARTESIAN_POINT('',(22.057928,17.380781,0.)); #459092=CARTESIAN_POINT('',(22.057928,17.380781,0.)); #459093=CARTESIAN_POINT('',(22.057928,17.380781,0.035)); #459094=CARTESIAN_POINT('',(22.057928,17.380781,0.035)); #459095=CARTESIAN_POINT('',(22.057928,17.380781,0.)); #459096=CARTESIAN_POINT('Origin',(22.417309,17.0214,0.)); #459097=CARTESIAN_POINT('',(22.417309,17.0214,0.)); #459098=CARTESIAN_POINT('',(22.417309,17.0214,0.)); #459099=CARTESIAN_POINT('',(22.417309,17.0214,0.035)); #459100=CARTESIAN_POINT('',(22.417309,17.0214,0.035)); #459101=CARTESIAN_POINT('',(22.417309,17.0214,0.)); #459102=CARTESIAN_POINT('Origin',(25.877397,17.0214,0.)); #459103=CARTESIAN_POINT('',(25.877397,17.0214,0.)); #459104=CARTESIAN_POINT('',(25.877397,17.0214,0.)); #459105=CARTESIAN_POINT('',(25.877397,17.0214,0.035)); #459106=CARTESIAN_POINT('',(25.877397,17.0214,0.035)); #459107=CARTESIAN_POINT('',(25.877397,17.0214,0.)); #459108=CARTESIAN_POINT('Origin',(25.905994,17.015831,0.)); #459109=CARTESIAN_POINT('',(25.905994,17.015831,0.)); #459110=CARTESIAN_POINT('',(25.905994,17.015831,0.)); #459111=CARTESIAN_POINT('',(25.905994,17.015831,0.035)); #459112=CARTESIAN_POINT('',(25.905994,17.015831,0.035)); #459113=CARTESIAN_POINT('',(25.905994,17.015831,0.)); #459114=CARTESIAN_POINT('Origin',(25.931278,16.999081,0.)); #459115=CARTESIAN_POINT('',(25.931278,16.999081,0.)); #459116=CARTESIAN_POINT('',(25.931278,16.999081,0.)); #459117=CARTESIAN_POINT('',(25.931278,16.999081,0.035)); #459118=CARTESIAN_POINT('',(25.931278,16.999081,0.035)); #459119=CARTESIAN_POINT('',(25.931278,16.999081,0.)); #459120=CARTESIAN_POINT('Origin',(28.908959,14.0214,0.)); #459121=CARTESIAN_POINT('',(28.908959,14.0214,0.)); #459122=CARTESIAN_POINT('',(28.908959,14.0214,0.)); #459123=CARTESIAN_POINT('',(28.908959,14.0214,0.035)); #459124=CARTESIAN_POINT('',(28.908959,14.0214,0.035)); #459125=CARTESIAN_POINT('',(28.908959,14.0214,0.)); #459126=CARTESIAN_POINT('Origin',(30.131509,14.0214,0.)); #459127=CARTESIAN_POINT('',(30.131509,14.0214,0.)); #459128=CARTESIAN_POINT('',(30.131509,14.0214,0.)); #459129=CARTESIAN_POINT('',(30.131509,14.0214,0.035)); #459130=CARTESIAN_POINT('',(30.131509,14.0214,0.035)); #459131=CARTESIAN_POINT('',(30.131509,14.0214,0.)); #459132=CARTESIAN_POINT('Origin',(30.160106,14.015831,0.)); #459133=CARTESIAN_POINT('',(30.160106,14.015831,0.)); #459134=CARTESIAN_POINT('',(30.160106,14.015831,0.)); #459135=CARTESIAN_POINT('',(30.160106,14.015831,0.035)); #459136=CARTESIAN_POINT('',(30.160106,14.015831,0.035)); #459137=CARTESIAN_POINT('',(30.160106,14.015831,0.)); #459138=CARTESIAN_POINT('Origin',(30.185391,13.999081,0.)); #459139=CARTESIAN_POINT('',(30.185391,13.999081,0.)); #459140=CARTESIAN_POINT('',(30.185391,13.999081,0.)); #459141=CARTESIAN_POINT('',(30.185391,13.999081,0.035)); #459142=CARTESIAN_POINT('',(30.185391,13.999081,0.035)); #459143=CARTESIAN_POINT('',(30.185391,13.999081,0.)); #459144=CARTESIAN_POINT('Origin',(30.224372,13.9601,0.)); #459145=CARTESIAN_POINT('',(30.224372,13.9601,0.)); #459146=CARTESIAN_POINT('',(30.224372,13.9601,0.)); #459147=CARTESIAN_POINT('',(30.224372,13.9601,0.035)); #459148=CARTESIAN_POINT('',(30.224372,13.9601,0.035)); #459149=CARTESIAN_POINT('',(30.224372,13.9601,0.)); #459150=CARTESIAN_POINT('Origin',(30.8839,13.9601,0.)); #459151=CARTESIAN_POINT('',(30.8839,13.9601,0.)); #459152=CARTESIAN_POINT('',(30.8839,13.9601,0.)); #459153=CARTESIAN_POINT('',(30.8839,13.9601,0.035)); #459154=CARTESIAN_POINT('',(30.8839,13.9601,0.035)); #459155=CARTESIAN_POINT('',(30.8839,13.9601,0.)); #459156=CARTESIAN_POINT('Origin',(30.911369,13.954978,0.)); #459157=CARTESIAN_POINT('',(30.911369,13.954978,0.)); #459158=CARTESIAN_POINT('',(30.911369,13.954978,0.)); #459159=CARTESIAN_POINT('',(30.911369,13.954978,0.035)); #459160=CARTESIAN_POINT('',(30.911369,13.954978,0.035)); #459161=CARTESIAN_POINT('',(30.911369,13.954978,0.)); #459162=CARTESIAN_POINT('Origin',(30.936916,13.938634,0.)); #459163=CARTESIAN_POINT('',(30.936916,13.938634,0.)); #459164=CARTESIAN_POINT('',(30.936916,13.938634,0.)); #459165=CARTESIAN_POINT('',(30.936916,13.938634,0.035)); #459166=CARTESIAN_POINT('',(30.936916,13.938634,0.035)); #459167=CARTESIAN_POINT('',(30.936916,13.938634,0.)); #459168=CARTESIAN_POINT('Origin',(30.954066,13.913622,0.)); #459169=CARTESIAN_POINT('',(30.954066,13.913622,0.)); #459170=CARTESIAN_POINT('',(30.954066,13.913622,0.)); #459171=CARTESIAN_POINT('',(30.954066,13.913622,0.035)); #459172=CARTESIAN_POINT('',(30.954066,13.913622,0.035)); #459173=CARTESIAN_POINT('',(30.954066,13.913622,0.)); #459174=CARTESIAN_POINT('Origin',(30.9601,13.8839,0.)); #459175=CARTESIAN_POINT('',(30.9601,13.8839,0.)); #459176=CARTESIAN_POINT('',(30.9601,13.8839,0.)); #459177=CARTESIAN_POINT('',(30.9601,13.8839,0.035)); #459178=CARTESIAN_POINT('',(30.9601,13.8839,0.035)); #459179=CARTESIAN_POINT('',(30.9601,13.8839,0.)); #459180=CARTESIAN_POINT('Origin',(30.9601,13.5036,0.)); #459181=CARTESIAN_POINT('',(30.9601,13.5036,0.)); #459182=CARTESIAN_POINT('',(30.9601,13.5036,0.)); #459183=CARTESIAN_POINT('',(30.9601,13.5036,0.035)); #459184=CARTESIAN_POINT('',(30.9601,13.5036,0.035)); #459185=CARTESIAN_POINT('',(30.9601,13.5036,0.)); #459186=CARTESIAN_POINT('Origin',(30.954978,13.476131,0.)); #459187=CARTESIAN_POINT('',(30.954978,13.476131,0.)); #459188=CARTESIAN_POINT('',(30.954978,13.476131,0.)); #459189=CARTESIAN_POINT('',(30.954978,13.476131,0.035)); #459190=CARTESIAN_POINT('',(30.954978,13.476131,0.035)); #459191=CARTESIAN_POINT('',(30.954978,13.476131,0.)); #459192=CARTESIAN_POINT('Origin',(30.938634,13.450584,0.)); #459193=CARTESIAN_POINT('',(30.938634,13.450584,0.)); #459194=CARTESIAN_POINT('',(30.938634,13.450584,0.)); #459195=CARTESIAN_POINT('',(30.938634,13.450584,0.035)); #459196=CARTESIAN_POINT('',(30.938634,13.450584,0.035)); #459197=CARTESIAN_POINT('',(30.938634,13.450584,0.)); #459198=CARTESIAN_POINT('Origin',(30.913622,13.433434,0.)); #459199=CARTESIAN_POINT('',(30.913622,13.433434,0.)); #459200=CARTESIAN_POINT('',(30.913622,13.433434,0.)); #459201=CARTESIAN_POINT('',(30.913622,13.433434,0.035)); #459202=CARTESIAN_POINT('',(30.913622,13.433434,0.035)); #459203=CARTESIAN_POINT('',(30.913622,13.433434,0.)); #459204=CARTESIAN_POINT('Origin',(30.8839,13.4274,0.)); #459205=CARTESIAN_POINT('',(30.8839,13.4274,0.)); #459206=CARTESIAN_POINT('',(30.8839,13.4274,0.)); #459207=CARTESIAN_POINT('',(30.8839,13.4274,0.035)); #459208=CARTESIAN_POINT('',(30.8839,13.4274,0.035)); #459209=CARTESIAN_POINT('',(30.8839,13.4274,0.)); #459210=CARTESIAN_POINT('Origin',(30.822966,13.4274,0.)); #459211=CARTESIAN_POINT('',(30.822966,13.4274,0.)); #459212=CARTESIAN_POINT('',(30.822966,13.4274,0.)); #459213=CARTESIAN_POINT('',(30.822966,13.4274,0.035)); #459214=CARTESIAN_POINT('',(30.822966,13.4274,0.035)); #459215=CARTESIAN_POINT('',(30.822966,13.4274,0.)); #459216=CARTESIAN_POINT('Origin',(30.5726,13.177034,0.)); #459217=CARTESIAN_POINT('',(30.5726,13.177034,0.)); #459218=CARTESIAN_POINT('',(30.5726,13.177034,0.)); #459219=CARTESIAN_POINT('',(30.5726,13.177034,0.035)); #459220=CARTESIAN_POINT('',(30.5726,13.177034,0.035)); #459221=CARTESIAN_POINT('',(30.5726,13.177034,0.)); #459222=CARTESIAN_POINT('Origin',(30.5726,12.822966,0.)); #459223=CARTESIAN_POINT('',(30.5726,12.822966,0.)); #459224=CARTESIAN_POINT('',(30.5726,12.822966,0.)); #459225=CARTESIAN_POINT('',(30.5726,12.822966,0.035)); #459226=CARTESIAN_POINT('',(30.5726,12.822966,0.035)); #459227=CARTESIAN_POINT('',(30.5726,12.822966,0.)); #459228=CARTESIAN_POINT('Origin',(30.822966,12.5726,0.)); #459229=CARTESIAN_POINT('',(30.822966,12.5726,0.)); #459230=CARTESIAN_POINT('',(30.822966,12.5726,0.)); #459231=CARTESIAN_POINT('',(30.822966,12.5726,0.035)); #459232=CARTESIAN_POINT('',(30.822966,12.5726,0.035)); #459233=CARTESIAN_POINT('',(30.822966,12.5726,0.)); #459234=CARTESIAN_POINT('Origin',(31.177034,12.5726,0.)); #459235=CARTESIAN_POINT('',(31.177034,12.5726,0.)); #459236=CARTESIAN_POINT('',(31.177034,12.5726,0.)); #459237=CARTESIAN_POINT('',(31.177034,12.5726,0.035)); #459238=CARTESIAN_POINT('',(31.177034,12.5726,0.035)); #459239=CARTESIAN_POINT('',(31.177034,12.5726,0.)); #459240=CARTESIAN_POINT('Origin',(31.391319,12.786884,0.)); #459241=CARTESIAN_POINT('',(31.391319,12.786884,0.)); #459242=CARTESIAN_POINT('',(31.391319,12.786884,0.)); #459243=CARTESIAN_POINT('',(31.391319,12.786884,0.035)); #459244=CARTESIAN_POINT('',(31.391319,12.786884,0.035)); #459245=CARTESIAN_POINT('',(31.391319,12.786884,0.)); #459246=CARTESIAN_POINT('Origin',(31.413256,12.802184,0.)); #459247=CARTESIAN_POINT('',(31.413256,12.802184,0.)); #459248=CARTESIAN_POINT('',(31.413256,12.802184,0.)); #459249=CARTESIAN_POINT('',(31.413256,12.802184,0.035)); #459250=CARTESIAN_POINT('',(31.413256,12.802184,0.035)); #459251=CARTESIAN_POINT('',(31.413256,12.802184,0.)); #459252=CARTESIAN_POINT('Origin',(31.442772,12.809166,0.)); #459253=CARTESIAN_POINT('',(31.442772,12.809166,0.)); #459254=CARTESIAN_POINT('',(31.442772,12.809166,0.)); #459255=CARTESIAN_POINT('',(31.442772,12.809166,0.035)); #459256=CARTESIAN_POINT('',(31.442772,12.809166,0.035)); #459257=CARTESIAN_POINT('',(31.442772,12.809166,0.)); #459258=CARTESIAN_POINT('Origin',(31.472669,12.804081,0.)); #459259=CARTESIAN_POINT('',(31.472669,12.804081,0.)); #459260=CARTESIAN_POINT('',(31.472669,12.804081,0.)); #459261=CARTESIAN_POINT('',(31.472669,12.804081,0.035)); #459262=CARTESIAN_POINT('',(31.472669,12.804081,0.035)); #459263=CARTESIAN_POINT('',(31.472669,12.804081,0.)); #459264=CARTESIAN_POINT('Origin',(31.498216,12.787738,0.)); #459265=CARTESIAN_POINT('',(31.498216,12.787738,0.)); #459266=CARTESIAN_POINT('',(31.498216,12.787738,0.)); #459267=CARTESIAN_POINT('',(31.498216,12.787738,0.035)); #459268=CARTESIAN_POINT('',(31.498216,12.787738,0.035)); #459269=CARTESIAN_POINT('',(31.498216,12.787738,0.)); #459270=CARTESIAN_POINT('Origin',(31.515366,12.762725,0.)); #459271=CARTESIAN_POINT('',(31.515366,12.762725,0.)); #459272=CARTESIAN_POINT('',(31.515366,12.762725,0.)); #459273=CARTESIAN_POINT('',(31.515366,12.762725,0.035)); #459274=CARTESIAN_POINT('',(31.515366,12.762725,0.035)); #459275=CARTESIAN_POINT('',(31.515366,12.762725,0.)); #459276=CARTESIAN_POINT('Origin',(31.5214,12.733003,0.)); #459277=CARTESIAN_POINT('',(31.5214,12.733003,0.)); #459278=CARTESIAN_POINT('',(31.5214,12.733003,0.)); #459279=CARTESIAN_POINT('',(31.5214,12.733003,0.035)); #459280=CARTESIAN_POINT('',(31.5214,12.733003,0.035)); #459281=CARTESIAN_POINT('',(31.5214,12.733003,0.)); #459282=CARTESIAN_POINT('Origin',(31.5214,11.4278,0.)); #459283=CARTESIAN_POINT('',(31.5214,11.4278,0.)); #459284=CARTESIAN_POINT('',(31.5214,11.4278,0.)); #459285=CARTESIAN_POINT('',(31.5214,11.4278,0.035)); #459286=CARTESIAN_POINT('',(31.5214,11.4278,0.035)); #459287=CARTESIAN_POINT('',(31.5214,11.4278,0.)); #459288=CARTESIAN_POINT('Origin',(31.516278,11.400331,0.)); #459289=CARTESIAN_POINT('',(31.516278,11.400331,0.)); #459290=CARTESIAN_POINT('',(31.516278,11.400331,0.)); #459291=CARTESIAN_POINT('',(31.516278,11.400331,0.035)); #459292=CARTESIAN_POINT('',(31.516278,11.400331,0.035)); #459293=CARTESIAN_POINT('',(31.516278,11.400331,0.)); #459294=CARTESIAN_POINT('Origin',(31.499934,11.374784,0.)); #459295=CARTESIAN_POINT('',(31.499934,11.374784,0.)); #459296=CARTESIAN_POINT('',(31.499934,11.374784,0.)); #459297=CARTESIAN_POINT('',(31.499934,11.374784,0.035)); #459298=CARTESIAN_POINT('',(31.499934,11.374784,0.035)); #459299=CARTESIAN_POINT('',(31.499934,11.374784,0.)); #459300=CARTESIAN_POINT('Origin',(31.474922,11.357634,0.)); #459301=CARTESIAN_POINT('',(31.474922,11.357634,0.)); #459302=CARTESIAN_POINT('',(31.474922,11.357634,0.)); #459303=CARTESIAN_POINT('',(31.474922,11.357634,0.035)); #459304=CARTESIAN_POINT('',(31.474922,11.357634,0.035)); #459305=CARTESIAN_POINT('',(31.474922,11.357634,0.)); #459306=CARTESIAN_POINT('Origin',(31.4452,11.3516,0.)); #459307=CARTESIAN_POINT('',(31.4452,11.3516,0.)); #459308=CARTESIAN_POINT('',(31.4452,11.3516,0.)); #459309=CARTESIAN_POINT('',(31.4452,11.3516,0.035)); #459310=CARTESIAN_POINT('',(31.4452,11.3516,0.035)); #459311=CARTESIAN_POINT('',(31.4452,11.3516,0.)); #459312=CARTESIAN_POINT('Origin',(31.0036,11.3516,0.)); #459313=CARTESIAN_POINT('',(31.0036,11.3516,0.)); #459314=CARTESIAN_POINT('',(31.0036,11.3516,0.)); #459315=CARTESIAN_POINT('',(31.0036,11.3516,0.035)); #459316=CARTESIAN_POINT('',(31.0036,11.3516,0.035)); #459317=CARTESIAN_POINT('',(31.0036,11.3516,0.)); #459318=CARTESIAN_POINT('Origin',(30.976131,11.356722,0.)); #459319=CARTESIAN_POINT('',(30.976131,11.356722,0.)); #459320=CARTESIAN_POINT('',(30.976131,11.356722,0.)); #459321=CARTESIAN_POINT('',(30.976131,11.356722,0.035)); #459322=CARTESIAN_POINT('',(30.976131,11.356722,0.035)); #459323=CARTESIAN_POINT('',(30.976131,11.356722,0.)); #459324=CARTESIAN_POINT('Origin',(30.950584,11.373066,0.)); #459325=CARTESIAN_POINT('',(30.950584,11.373066,0.)); #459326=CARTESIAN_POINT('',(30.950584,11.373066,0.)); #459327=CARTESIAN_POINT('',(30.950584,11.373066,0.035)); #459328=CARTESIAN_POINT('',(30.950584,11.373066,0.035)); #459329=CARTESIAN_POINT('',(30.950584,11.373066,0.)); #459330=CARTESIAN_POINT('Origin',(30.933434,11.398078,0.)); #459331=CARTESIAN_POINT('',(30.933434,11.398078,0.)); #459332=CARTESIAN_POINT('',(30.933434,11.398078,0.)); #459333=CARTESIAN_POINT('',(30.933434,11.398078,0.035)); #459334=CARTESIAN_POINT('',(30.933434,11.398078,0.035)); #459335=CARTESIAN_POINT('',(30.933434,11.398078,0.)); #459336=CARTESIAN_POINT('Origin',(30.927594,11.426841,0.)); #459337=CARTESIAN_POINT('',(30.927594,11.426841,0.)); #459338=CARTESIAN_POINT('',(30.927594,11.426841,0.)); #459339=CARTESIAN_POINT('',(30.927594,11.426841,0.035)); #459340=CARTESIAN_POINT('',(30.927594,11.426841,0.035)); #459341=CARTESIAN_POINT('',(30.927594,11.426841,0.)); #459342=CARTESIAN_POINT('Origin',(30.677034,11.6774,0.)); #459343=CARTESIAN_POINT('',(30.677034,11.6774,0.)); #459344=CARTESIAN_POINT('',(30.677034,11.6774,0.)); #459345=CARTESIAN_POINT('',(30.677034,11.6774,0.035)); #459346=CARTESIAN_POINT('',(30.677034,11.6774,0.035)); #459347=CARTESIAN_POINT('',(30.677034,11.6774,0.)); #459348=CARTESIAN_POINT('Origin',(30.322966,11.6774,0.)); #459349=CARTESIAN_POINT('',(30.322966,11.6774,0.)); #459350=CARTESIAN_POINT('',(30.322966,11.6774,0.)); #459351=CARTESIAN_POINT('',(30.322966,11.6774,0.035)); #459352=CARTESIAN_POINT('',(30.322966,11.6774,0.035)); #459353=CARTESIAN_POINT('',(30.322966,11.6774,0.)); #459354=CARTESIAN_POINT('Origin',(30.146484,11.500919,0.)); #459355=CARTESIAN_POINT('',(30.146484,11.500919,0.)); #459356=CARTESIAN_POINT('',(30.146484,11.500919,0.)); #459357=CARTESIAN_POINT('',(30.146484,11.500919,0.035)); #459358=CARTESIAN_POINT('',(30.146484,11.500919,0.035)); #459359=CARTESIAN_POINT('',(30.146484,11.500919,0.)); #459360=CARTESIAN_POINT('Origin',(30.122325,11.484634,0.)); #459361=CARTESIAN_POINT('',(30.122325,11.484634,0.)); #459362=CARTESIAN_POINT('',(30.122325,11.484634,0.)); #459363=CARTESIAN_POINT('',(30.122325,11.484634,0.035)); #459364=CARTESIAN_POINT('',(30.122325,11.484634,0.035)); #459365=CARTESIAN_POINT('',(30.122325,11.484634,0.)); #459366=CARTESIAN_POINT('Origin',(30.092603,11.4786,0.)); #459367=CARTESIAN_POINT('',(30.092603,11.4786,0.)); #459368=CARTESIAN_POINT('',(30.092603,11.4786,0.)); #459369=CARTESIAN_POINT('',(30.092603,11.4786,0.035)); #459370=CARTESIAN_POINT('',(30.092603,11.4786,0.035)); #459371=CARTESIAN_POINT('',(30.092603,11.4786,0.)); #459372=CARTESIAN_POINT('Origin',(29.660241,11.4786,0.)); #459373=CARTESIAN_POINT('',(29.660241,11.4786,0.)); #459374=CARTESIAN_POINT('',(29.660241,11.4786,0.)); #459375=CARTESIAN_POINT('',(29.660241,11.4786,0.035)); #459376=CARTESIAN_POINT('',(29.660241,11.4786,0.035)); #459377=CARTESIAN_POINT('',(29.660241,11.4786,0.)); #459378=CARTESIAN_POINT('Origin',(29.631644,11.484169,0.)); #459379=CARTESIAN_POINT('',(29.631644,11.484169,0.)); #459380=CARTESIAN_POINT('',(29.631644,11.484169,0.)); #459381=CARTESIAN_POINT('',(29.631644,11.484169,0.035)); #459382=CARTESIAN_POINT('',(29.631644,11.484169,0.035)); #459383=CARTESIAN_POINT('',(29.631644,11.484169,0.)); #459384=CARTESIAN_POINT('Origin',(29.606359,11.500919,0.)); #459385=CARTESIAN_POINT('',(29.606359,11.500919,0.)); #459386=CARTESIAN_POINT('',(29.606359,11.500919,0.)); #459387=CARTESIAN_POINT('',(29.606359,11.500919,0.035)); #459388=CARTESIAN_POINT('',(29.606359,11.500919,0.035)); #459389=CARTESIAN_POINT('',(29.606359,11.500919,0.)); #459390=CARTESIAN_POINT('Origin',(26.355819,14.751459,0.)); #459391=CARTESIAN_POINT('',(26.355819,14.751459,0.)); #459392=CARTESIAN_POINT('',(26.355819,14.751459,0.)); #459393=CARTESIAN_POINT('',(26.355819,14.751459,0.035)); #459394=CARTESIAN_POINT('',(26.355819,14.751459,0.035)); #459395=CARTESIAN_POINT('',(26.355819,14.751459,0.)); #459396=CARTESIAN_POINT('Origin',(26.339534,14.775619,0.)); #459397=CARTESIAN_POINT('',(26.339534,14.775619,0.)); #459398=CARTESIAN_POINT('',(26.339534,14.775619,0.)); #459399=CARTESIAN_POINT('',(26.339534,14.775619,0.035)); #459400=CARTESIAN_POINT('',(26.339534,14.775619,0.035)); #459401=CARTESIAN_POINT('',(26.339534,14.775619,0.)); #459402=CARTESIAN_POINT('Origin',(26.3335,14.805341,0.)); #459403=CARTESIAN_POINT('',(26.3335,14.805341,0.)); #459404=CARTESIAN_POINT('',(26.3335,14.805341,0.)); #459405=CARTESIAN_POINT('',(26.3335,14.805341,0.035)); #459406=CARTESIAN_POINT('',(26.3335,14.805341,0.035)); #459407=CARTESIAN_POINT('',(26.3335,14.805341,0.)); #459408=CARTESIAN_POINT('Origin',(26.3335,15.507628,0.)); #459409=CARTESIAN_POINT('',(26.3335,15.507628,0.)); #459410=CARTESIAN_POINT('',(26.3335,15.507628,0.)); #459411=CARTESIAN_POINT('',(26.3335,15.507628,0.035)); #459412=CARTESIAN_POINT('',(26.3335,15.507628,0.035)); #459413=CARTESIAN_POINT('',(26.3335,15.507628,0.)); #459414=CARTESIAN_POINT('Origin',(26.244228,15.5969,0.)); #459415=CARTESIAN_POINT('',(26.244228,15.5969,0.)); #459416=CARTESIAN_POINT('',(26.244228,15.5969,0.)); #459417=CARTESIAN_POINT('',(26.244228,15.5969,0.035)); #459418=CARTESIAN_POINT('',(26.244228,15.5969,0.035)); #459419=CARTESIAN_POINT('',(26.244228,15.5969,0.)); #459420=CARTESIAN_POINT('Origin',(25.279772,15.5969,0.)); #459421=CARTESIAN_POINT('',(25.279772,15.5969,0.)); #459422=CARTESIAN_POINT('',(25.279772,15.5969,0.)); #459423=CARTESIAN_POINT('',(25.279772,15.5969,0.035)); #459424=CARTESIAN_POINT('',(25.279772,15.5969,0.035)); #459425=CARTESIAN_POINT('',(25.279772,15.5969,0.)); #459426=CARTESIAN_POINT('Origin',(25.1905,15.507628,0.)); #459427=CARTESIAN_POINT('',(25.1905,15.507628,0.)); #459428=CARTESIAN_POINT('',(25.1905,15.507628,0.)); #459429=CARTESIAN_POINT('',(25.1905,15.507628,0.035)); #459430=CARTESIAN_POINT('',(25.1905,15.507628,0.035)); #459431=CARTESIAN_POINT('',(25.1905,15.507628,0.)); #459432=CARTESIAN_POINT('Origin',(25.1905,14.5548,0.)); #459433=CARTESIAN_POINT('',(25.1905,14.5548,0.)); #459434=CARTESIAN_POINT('',(25.1905,14.5548,0.)); #459435=CARTESIAN_POINT('',(25.1905,14.5548,0.035)); #459436=CARTESIAN_POINT('',(25.1905,14.5548,0.035)); #459437=CARTESIAN_POINT('',(25.1905,14.5548,0.)); #459438=CARTESIAN_POINT('Origin',(25.185378,14.527331,0.)); #459439=CARTESIAN_POINT('',(25.185378,14.527331,0.)); #459440=CARTESIAN_POINT('',(25.185378,14.527331,0.)); #459441=CARTESIAN_POINT('',(25.185378,14.527331,0.035)); #459442=CARTESIAN_POINT('',(25.185378,14.527331,0.035)); #459443=CARTESIAN_POINT('',(25.185378,14.527331,0.)); #459444=CARTESIAN_POINT('Origin',(25.169034,14.501784,0.)); #459445=CARTESIAN_POINT('',(25.169034,14.501784,0.)); #459446=CARTESIAN_POINT('',(25.169034,14.501784,0.)); #459447=CARTESIAN_POINT('',(25.169034,14.501784,0.035)); #459448=CARTESIAN_POINT('',(25.169034,14.501784,0.035)); #459449=CARTESIAN_POINT('',(25.169034,14.501784,0.)); #459450=CARTESIAN_POINT('Origin',(25.144022,14.484634,0.)); #459451=CARTESIAN_POINT('',(25.144022,14.484634,0.)); #459452=CARTESIAN_POINT('',(25.144022,14.484634,0.)); #459453=CARTESIAN_POINT('',(25.144022,14.484634,0.035)); #459454=CARTESIAN_POINT('',(25.144022,14.484634,0.035)); #459455=CARTESIAN_POINT('',(25.144022,14.484634,0.)); #459456=CARTESIAN_POINT('Origin',(25.1143,14.4786,0.)); #459457=CARTESIAN_POINT('',(25.1143,14.4786,0.)); #459458=CARTESIAN_POINT('',(25.1143,14.4786,0.)); #459459=CARTESIAN_POINT('',(25.1143,14.4786,0.035)); #459460=CARTESIAN_POINT('',(25.1143,14.4786,0.035)); #459461=CARTESIAN_POINT('',(25.1143,14.4786,0.)); #459462=CARTESIAN_POINT('Origin',(22.638253,14.4786,0.)); #459463=CARTESIAN_POINT('',(22.638253,14.4786,0.)); #459464=CARTESIAN_POINT('',(22.638253,14.4786,0.)); #459465=CARTESIAN_POINT('',(22.638253,14.4786,0.035)); #459466=CARTESIAN_POINT('',(22.638253,14.4786,0.035)); #459467=CARTESIAN_POINT('',(22.638253,14.4786,0.)); #459468=CARTESIAN_POINT('Origin',(22.609656,14.484169,0.)); #459469=CARTESIAN_POINT('',(22.609656,14.484169,0.)); #459470=CARTESIAN_POINT('',(22.609656,14.484169,0.)); #459471=CARTESIAN_POINT('',(22.609656,14.484169,0.035)); #459472=CARTESIAN_POINT('',(22.609656,14.484169,0.035)); #459473=CARTESIAN_POINT('',(22.609656,14.484169,0.)); #459474=CARTESIAN_POINT('Origin',(22.584372,14.500919,0.)); #459475=CARTESIAN_POINT('',(22.584372,14.500919,0.)); #459476=CARTESIAN_POINT('',(22.584372,14.500919,0.)); #459477=CARTESIAN_POINT('',(22.584372,14.500919,0.035)); #459478=CARTESIAN_POINT('',(22.584372,14.500919,0.035)); #459479=CARTESIAN_POINT('',(22.584372,14.500919,0.)); #459480=CARTESIAN_POINT('Origin',(22.355819,14.729472,0.)); #459481=CARTESIAN_POINT('',(22.355819,14.729472,0.)); #459482=CARTESIAN_POINT('',(22.355819,14.729472,0.)); #459483=CARTESIAN_POINT('',(22.355819,14.729472,0.035)); #459484=CARTESIAN_POINT('',(22.355819,14.729472,0.035)); #459485=CARTESIAN_POINT('',(22.355819,14.729472,0.)); #459486=CARTESIAN_POINT('Origin',(22.339534,14.753631,0.)); #459487=CARTESIAN_POINT('',(22.339534,14.753631,0.)); #459488=CARTESIAN_POINT('',(22.339534,14.753631,0.)); #459489=CARTESIAN_POINT('',(22.339534,14.753631,0.035)); #459490=CARTESIAN_POINT('',(22.339534,14.753631,0.035)); #459491=CARTESIAN_POINT('',(22.339534,14.753631,0.)); #459492=CARTESIAN_POINT('Origin',(22.3335,14.783353,0.)); #459493=CARTESIAN_POINT('',(22.3335,14.783353,0.)); #459494=CARTESIAN_POINT('',(22.3335,14.783353,0.)); #459495=CARTESIAN_POINT('',(22.3335,14.783353,0.035)); #459496=CARTESIAN_POINT('',(22.3335,14.783353,0.035)); #459497=CARTESIAN_POINT('',(22.3335,14.783353,0.)); #459498=CARTESIAN_POINT('Origin',(22.3335,15.507628,0.)); #459499=CARTESIAN_POINT('',(22.3335,15.507628,0.)); #459500=CARTESIAN_POINT('',(22.3335,15.507628,0.)); #459501=CARTESIAN_POINT('',(22.3335,15.507628,0.035)); #459502=CARTESIAN_POINT('',(22.3335,15.507628,0.035)); #459503=CARTESIAN_POINT('',(22.3335,15.507628,0.)); #459504=CARTESIAN_POINT('Origin',(22.244228,15.5969,0.)); #459505=CARTESIAN_POINT('',(22.244228,15.5969,0.)); #459506=CARTESIAN_POINT('',(22.244228,15.5969,0.)); #459507=CARTESIAN_POINT('',(22.244228,15.5969,0.035)); #459508=CARTESIAN_POINT('',(22.244228,15.5969,0.035)); #459509=CARTESIAN_POINT('',(22.244228,15.5969,0.)); #459510=CARTESIAN_POINT('Origin',(21.279772,15.5969,0.)); #459511=CARTESIAN_POINT('',(21.279772,15.5969,0.)); #459512=CARTESIAN_POINT('',(21.279772,15.5969,0.)); #459513=CARTESIAN_POINT('',(21.279772,15.5969,0.035)); #459514=CARTESIAN_POINT('',(21.279772,15.5969,0.035)); #459515=CARTESIAN_POINT('',(21.279772,15.5969,0.)); #459516=CARTESIAN_POINT('Origin',(21.1905,15.507628,0.)); #459517=CARTESIAN_POINT('',(21.1905,15.507628,0.)); #459518=CARTESIAN_POINT('',(21.1905,15.507628,0.)); #459519=CARTESIAN_POINT('',(21.1905,15.507628,0.035)); #459520=CARTESIAN_POINT('',(21.1905,15.507628,0.035)); #459521=CARTESIAN_POINT('',(21.1905,15.507628,0.)); #459522=CARTESIAN_POINT('Origin',(21.1905,14.492372,0.)); #459523=CARTESIAN_POINT('',(21.1905,14.492372,0.)); #459524=CARTESIAN_POINT('',(21.1905,14.492372,0.)); #459525=CARTESIAN_POINT('',(21.1905,14.492372,0.035)); #459526=CARTESIAN_POINT('',(21.1905,14.492372,0.035)); #459527=CARTESIAN_POINT('',(21.1905,14.492372,0.)); #459528=CARTESIAN_POINT('Origin',(21.279772,14.4031,0.)); #459529=CARTESIAN_POINT('',(21.279772,14.4031,0.)); #459530=CARTESIAN_POINT('',(21.279772,14.4031,0.)); #459531=CARTESIAN_POINT('',(21.279772,14.4031,0.035)); #459532=CARTESIAN_POINT('',(21.279772,14.4031,0.035)); #459533=CARTESIAN_POINT('',(21.279772,14.4031,0.)); #459534=CARTESIAN_POINT('Origin',(22.004047,14.4031,0.)); #459535=CARTESIAN_POINT('',(22.004047,14.4031,0.)); #459536=CARTESIAN_POINT('',(22.004047,14.4031,0.)); #459537=CARTESIAN_POINT('',(22.004047,14.4031,0.035)); #459538=CARTESIAN_POINT('',(22.004047,14.4031,0.035)); #459539=CARTESIAN_POINT('',(22.004047,14.4031,0.)); #459540=CARTESIAN_POINT('Origin',(22.032644,14.397531,0.)); #459541=CARTESIAN_POINT('',(22.032644,14.397531,0.)); #459542=CARTESIAN_POINT('',(22.032644,14.397531,0.)); #459543=CARTESIAN_POINT('',(22.032644,14.397531,0.035)); #459544=CARTESIAN_POINT('',(22.032644,14.397531,0.035)); #459545=CARTESIAN_POINT('',(22.032644,14.397531,0.)); #459546=CARTESIAN_POINT('Origin',(22.057928,14.380781,0.)); #459547=CARTESIAN_POINT('',(22.057928,14.380781,0.)); #459548=CARTESIAN_POINT('',(22.057928,14.380781,0.)); #459549=CARTESIAN_POINT('',(22.057928,14.380781,0.035)); #459550=CARTESIAN_POINT('',(22.057928,14.380781,0.035)); #459551=CARTESIAN_POINT('',(22.057928,14.380781,0.)); #459552=CARTESIAN_POINT('Origin',(22.417309,14.0214,0.)); #459553=CARTESIAN_POINT('',(22.417309,14.0214,0.)); #459554=CARTESIAN_POINT('',(22.417309,14.0214,0.)); #459555=CARTESIAN_POINT('',(22.417309,14.0214,0.035)); #459556=CARTESIAN_POINT('',(22.417309,14.0214,0.035)); #459557=CARTESIAN_POINT('',(22.417309,14.0214,0.)); #459558=CARTESIAN_POINT('Origin',(25.873747,14.0214,0.)); #459559=CARTESIAN_POINT('',(25.873747,14.0214,0.)); #459560=CARTESIAN_POINT('',(25.873747,14.0214,0.)); #459561=CARTESIAN_POINT('',(25.873747,14.0214,0.035)); #459562=CARTESIAN_POINT('',(25.873747,14.0214,0.035)); #459563=CARTESIAN_POINT('',(25.873747,14.0214,0.)); #459564=CARTESIAN_POINT('Origin',(25.902344,14.015831,0.)); #459565=CARTESIAN_POINT('',(25.902344,14.015831,0.)); #459566=CARTESIAN_POINT('',(25.902344,14.015831,0.)); #459567=CARTESIAN_POINT('',(25.902344,14.015831,0.035)); #459568=CARTESIAN_POINT('',(25.902344,14.015831,0.035)); #459569=CARTESIAN_POINT('',(25.902344,14.015831,0.)); #459570=CARTESIAN_POINT('Origin',(25.927628,13.999081,0.)); #459571=CARTESIAN_POINT('',(25.927628,13.999081,0.)); #459572=CARTESIAN_POINT('',(25.927628,13.999081,0.)); #459573=CARTESIAN_POINT('',(25.927628,13.999081,0.035)); #459574=CARTESIAN_POINT('',(25.927628,13.999081,0.035)); #459575=CARTESIAN_POINT('',(25.927628,13.999081,0.)); #459576=CARTESIAN_POINT('Origin',(29.655309,10.2714,0.)); #459577=CARTESIAN_POINT('',(29.655309,10.2714,0.)); #459578=CARTESIAN_POINT('',(29.655309,10.2714,0.)); #459579=CARTESIAN_POINT('',(29.655309,10.2714,0.035)); #459580=CARTESIAN_POINT('',(29.655309,10.2714,0.035)); #459581=CARTESIAN_POINT('',(29.655309,10.2714,0.)); #459582=CARTESIAN_POINT('Origin',(30.0722,10.2714,0.)); #459583=CARTESIAN_POINT('',(30.0722,10.2714,0.)); #459584=CARTESIAN_POINT('',(30.0722,10.2714,0.)); #459585=CARTESIAN_POINT('',(30.0722,10.2714,0.035)); #459586=CARTESIAN_POINT('',(30.0722,10.2714,0.035)); #459587=CARTESIAN_POINT('',(30.0722,10.2714,0.)); #459588=CARTESIAN_POINT('Origin',(30.099669,10.266278,0.)); #459589=CARTESIAN_POINT('',(30.099669,10.266278,0.)); #459590=CARTESIAN_POINT('',(30.099669,10.266278,0.)); #459591=CARTESIAN_POINT('',(30.099669,10.266278,0.035)); #459592=CARTESIAN_POINT('',(30.099669,10.266278,0.035)); #459593=CARTESIAN_POINT('',(30.099669,10.266278,0.)); #459594=CARTESIAN_POINT('Origin',(30.125216,10.249934,0.)); #459595=CARTESIAN_POINT('',(30.125216,10.249934,0.)); #459596=CARTESIAN_POINT('',(30.125216,10.249934,0.)); #459597=CARTESIAN_POINT('',(30.125216,10.249934,0.035)); #459598=CARTESIAN_POINT('',(30.125216,10.249934,0.035)); #459599=CARTESIAN_POINT('',(30.125216,10.249934,0.)); #459600=CARTESIAN_POINT('Origin',(30.142366,10.224922,0.)); #459601=CARTESIAN_POINT('',(30.142366,10.224922,0.)); #459602=CARTESIAN_POINT('',(30.142366,10.224922,0.)); #459603=CARTESIAN_POINT('',(30.142366,10.224922,0.035)); #459604=CARTESIAN_POINT('',(30.142366,10.224922,0.035)); #459605=CARTESIAN_POINT('',(30.142366,10.224922,0.)); #459606=CARTESIAN_POINT('Origin',(30.1484,10.1952,0.)); #459607=CARTESIAN_POINT('',(30.1484,10.1952,0.)); #459608=CARTESIAN_POINT('',(30.1484,10.1952,0.)); #459609=CARTESIAN_POINT('',(30.1484,10.1952,0.035)); #459610=CARTESIAN_POINT('',(30.1484,10.1952,0.035)); #459611=CARTESIAN_POINT('',(30.1484,10.1952,0.)); #459612=CARTESIAN_POINT('Origin',(30.1484,8.5040469,0.)); #459613=CARTESIAN_POINT('',(30.1484,8.5040469,0.)); #459614=CARTESIAN_POINT('',(30.1484,8.5040469,0.)); #459615=CARTESIAN_POINT('',(30.1484,8.5040469,0.035)); #459616=CARTESIAN_POINT('',(30.1484,8.5040469,0.035)); #459617=CARTESIAN_POINT('',(30.1484,8.5040469,0.)); #459618=CARTESIAN_POINT('Origin',(30.1511,8.4786906,0.)); #459619=CARTESIAN_POINT('',(30.1511,8.4786906,0.)); #459620=CARTESIAN_POINT('',(30.1511,8.4786906,0.)); #459621=CARTESIAN_POINT('',(30.1511,8.4786906,0.035)); #459622=CARTESIAN_POINT('',(30.1511,8.4786906,0.035)); #459623=CARTESIAN_POINT('',(30.1511,8.4786906,0.)); #459624=CARTESIAN_POINT('Origin',(30.157806,8.4583375,0.)); #459625=CARTESIAN_POINT('',(30.157806,8.4583375,0.)); #459626=CARTESIAN_POINT('',(30.157806,8.4583375,0.)); #459627=CARTESIAN_POINT('',(30.157806,8.4583375,0.035)); #459628=CARTESIAN_POINT('',(30.157806,8.4583375,0.035)); #459629=CARTESIAN_POINT('',(30.157806,8.4583375,0.)); #459630=CARTESIAN_POINT('Origin',(30.169269,8.4388031,0.)); #459631=CARTESIAN_POINT('',(30.169269,8.4388031,0.)); #459632=CARTESIAN_POINT('',(30.169269,8.4388031,0.)); #459633=CARTESIAN_POINT('',(30.169269,8.4388031,0.035)); #459634=CARTESIAN_POINT('',(30.169269,8.4388031,0.035)); #459635=CARTESIAN_POINT('',(30.169269,8.4388031,0.)); #459636=CARTESIAN_POINT('Origin',(30.180178,8.4261344,0.)); #459637=CARTESIAN_POINT('',(30.180178,8.4261344,0.)); #459638=CARTESIAN_POINT('',(30.180178,8.4261344,0.)); #459639=CARTESIAN_POINT('',(30.180178,8.4261344,0.035)); #459640=CARTESIAN_POINT('',(30.180178,8.4261344,0.035)); #459641=CARTESIAN_POINT('',(30.180178,8.4261344,0.)); #459642=CARTESIAN_POINT('Origin',(30.675291,7.9310219,0.)); #459643=CARTESIAN_POINT('',(30.675291,7.9310219,0.)); #459644=CARTESIAN_POINT('',(30.675291,7.9310219,0.)); #459645=CARTESIAN_POINT('',(30.675291,7.9310219,0.035)); #459646=CARTESIAN_POINT('',(30.675291,7.9310219,0.035)); #459647=CARTESIAN_POINT('',(30.675291,7.9310219,0.)); #459648=CARTESIAN_POINT('Origin',(30.695131,7.9150031,0.)); #459649=CARTESIAN_POINT('',(30.695131,7.9150031,0.)); #459650=CARTESIAN_POINT('',(30.695131,7.9150031,0.)); #459651=CARTESIAN_POINT('',(30.695131,7.9150031,0.035)); #459652=CARTESIAN_POINT('',(30.695131,7.9150031,0.035)); #459653=CARTESIAN_POINT('',(30.695131,7.9150031,0.)); #459654=CARTESIAN_POINT('Origin',(30.714272,7.9053531,0.)); #459655=CARTESIAN_POINT('',(30.714272,7.9053531,0.)); #459656=CARTESIAN_POINT('',(30.714272,7.9053531,0.)); #459657=CARTESIAN_POINT('',(30.714272,7.9053531,0.035)); #459658=CARTESIAN_POINT('',(30.714272,7.9053531,0.035)); #459659=CARTESIAN_POINT('',(30.714272,7.9053531,0.)); #459660=CARTESIAN_POINT('Origin',(30.736184,7.8996406,0.)); #459661=CARTESIAN_POINT('',(30.736184,7.8996406,0.)); #459662=CARTESIAN_POINT('',(30.736184,7.8996406,0.)); #459663=CARTESIAN_POINT('',(30.736184,7.8996406,0.035)); #459664=CARTESIAN_POINT('',(30.736184,7.8996406,0.035)); #459665=CARTESIAN_POINT('',(30.736184,7.8996406,0.)); #459666=CARTESIAN_POINT('Origin',(30.752847,7.8984,0.)); #459667=CARTESIAN_POINT('',(30.752847,7.8984,0.)); #459668=CARTESIAN_POINT('',(30.752847,7.8984,0.)); #459669=CARTESIAN_POINT('',(30.752847,7.8984,0.035)); #459670=CARTESIAN_POINT('',(30.752847,7.8984,0.035)); #459671=CARTESIAN_POINT('',(30.752847,7.8984,0.)); #459672=CARTESIAN_POINT('Origin',(31.0722,7.8984,0.)); #459673=CARTESIAN_POINT('',(31.0722,7.8984,0.)); #459674=CARTESIAN_POINT('',(31.0722,7.8984,0.)); #459675=CARTESIAN_POINT('',(31.0722,7.8984,0.035)); #459676=CARTESIAN_POINT('',(31.0722,7.8984,0.035)); #459677=CARTESIAN_POINT('',(31.0722,7.8984,0.)); #459678=CARTESIAN_POINT('Origin',(31.099669,7.8932781,0.)); #459679=CARTESIAN_POINT('',(31.099669,7.8932781,0.)); #459680=CARTESIAN_POINT('',(31.099669,7.8932781,0.)); #459681=CARTESIAN_POINT('',(31.099669,7.8932781,0.035)); #459682=CARTESIAN_POINT('',(31.099669,7.8932781,0.035)); #459683=CARTESIAN_POINT('',(31.099669,7.8932781,0.)); #459684=CARTESIAN_POINT('Origin',(31.125216,7.8769344,0.)); #459685=CARTESIAN_POINT('',(31.125216,7.8769344,0.)); #459686=CARTESIAN_POINT('',(31.125216,7.8769344,0.)); #459687=CARTESIAN_POINT('',(31.125216,7.8769344,0.035)); #459688=CARTESIAN_POINT('',(31.125216,7.8769344,0.035)); #459689=CARTESIAN_POINT('',(31.125216,7.8769344,0.)); #459690=CARTESIAN_POINT('Origin',(31.142366,7.8519219,0.)); #459691=CARTESIAN_POINT('',(31.142366,7.8519219,0.)); #459692=CARTESIAN_POINT('',(31.142366,7.8519219,0.)); #459693=CARTESIAN_POINT('',(31.142366,7.8519219,0.035)); #459694=CARTESIAN_POINT('',(31.142366,7.8519219,0.035)); #459695=CARTESIAN_POINT('',(31.142366,7.8519219,0.)); #459696=CARTESIAN_POINT('Origin',(31.1484,7.8222,0.)); #459697=CARTESIAN_POINT('',(31.1484,7.8222,0.)); #459698=CARTESIAN_POINT('',(31.1484,7.8222,0.)); #459699=CARTESIAN_POINT('',(31.1484,7.8222,0.035)); #459700=CARTESIAN_POINT('',(31.1484,7.8222,0.035)); #459701=CARTESIAN_POINT('',(31.1484,7.8222,0.)); #459702=CARTESIAN_POINT('Origin',(31.1484,6.8812,0.)); #459703=CARTESIAN_POINT('',(31.1484,6.8812,0.)); #459704=CARTESIAN_POINT('',(31.1484,6.8812,0.)); #459705=CARTESIAN_POINT('',(31.1484,6.8812,0.035)); #459706=CARTESIAN_POINT('',(31.1484,6.8812,0.035)); #459707=CARTESIAN_POINT('',(31.1484,6.8812,0.)); #459708=CARTESIAN_POINT('Origin',(31.143278,6.8537313,0.)); #459709=CARTESIAN_POINT('',(31.143278,6.8537313,0.)); #459710=CARTESIAN_POINT('',(31.143278,6.8537313,0.)); #459711=CARTESIAN_POINT('',(31.143278,6.8537313,0.035)); #459712=CARTESIAN_POINT('',(31.143278,6.8537313,0.035)); #459713=CARTESIAN_POINT('',(31.143278,6.8537313,0.)); #459714=CARTESIAN_POINT('Origin',(31.126934,6.8281844,0.)); #459715=CARTESIAN_POINT('',(31.126934,6.8281844,0.)); #459716=CARTESIAN_POINT('',(31.126934,6.8281844,0.)); #459717=CARTESIAN_POINT('',(31.126934,6.8281844,0.035)); #459718=CARTESIAN_POINT('',(31.126934,6.8281844,0.035)); #459719=CARTESIAN_POINT('',(31.126934,6.8281844,0.)); #459720=CARTESIAN_POINT('Origin',(31.101922,6.8110344,0.)); #459721=CARTESIAN_POINT('',(31.101922,6.8110344,0.)); #459722=CARTESIAN_POINT('',(31.101922,6.8110344,0.)); #459723=CARTESIAN_POINT('',(31.101922,6.8110344,0.035)); #459724=CARTESIAN_POINT('',(31.101922,6.8110344,0.035)); #459725=CARTESIAN_POINT('',(31.101922,6.8110344,0.)); #459726=CARTESIAN_POINT('Origin',(31.0722,6.805,0.)); #459727=CARTESIAN_POINT('',(31.0722,6.805,0.)); #459728=CARTESIAN_POINT('',(31.0722,6.805,0.)); #459729=CARTESIAN_POINT('',(31.0722,6.805,0.035)); #459730=CARTESIAN_POINT('',(31.0722,6.805,0.035)); #459731=CARTESIAN_POINT('',(31.0722,6.805,0.)); #459732=CARTESIAN_POINT('Origin',(30.985678,6.805,0.)); #459733=CARTESIAN_POINT('',(30.985678,6.805,0.)); #459734=CARTESIAN_POINT('',(30.985678,6.805,0.)); #459735=CARTESIAN_POINT('',(30.985678,6.805,0.035)); #459736=CARTESIAN_POINT('',(30.985678,6.805,0.035)); #459737=CARTESIAN_POINT('',(30.985678,6.805,0.)); #459738=CARTESIAN_POINT('Origin',(30.755966,6.70985,0.)); #459739=CARTESIAN_POINT('',(30.755966,6.70985,0.)); #459740=CARTESIAN_POINT('',(30.755966,6.70985,0.)); #459741=CARTESIAN_POINT('',(30.755966,6.70985,0.035)); #459742=CARTESIAN_POINT('',(30.755966,6.70985,0.035)); #459743=CARTESIAN_POINT('',(30.755966,6.70985,0.)); #459744=CARTESIAN_POINT('Origin',(30.58015,6.5340344,0.)); #459745=CARTESIAN_POINT('',(30.58015,6.5340344,0.)); #459746=CARTESIAN_POINT('',(30.58015,6.5340344,0.)); #459747=CARTESIAN_POINT('',(30.58015,6.5340344,0.035)); #459748=CARTESIAN_POINT('',(30.58015,6.5340344,0.035)); #459749=CARTESIAN_POINT('',(30.58015,6.5340344,0.)); #459750=CARTESIAN_POINT('Origin',(30.485,6.3043219,0.)); #459751=CARTESIAN_POINT('',(30.485,6.3043219,0.)); #459752=CARTESIAN_POINT('',(30.485,6.3043219,0.)); #459753=CARTESIAN_POINT('',(30.485,6.3043219,0.035)); #459754=CARTESIAN_POINT('',(30.485,6.3043219,0.035)); #459755=CARTESIAN_POINT('',(30.485,6.3043219,0.)); #459756=CARTESIAN_POINT('Origin',(30.485,6.0556781,0.)); #459757=CARTESIAN_POINT('',(30.485,6.0556781,0.)); #459758=CARTESIAN_POINT('',(30.485,6.0556781,0.)); #459759=CARTESIAN_POINT('',(30.485,6.0556781,0.035)); #459760=CARTESIAN_POINT('',(30.485,6.0556781,0.035)); #459761=CARTESIAN_POINT('',(30.485,6.0556781,0.)); #459762=CARTESIAN_POINT('Origin',(30.58015,5.8259656,0.)); #459763=CARTESIAN_POINT('',(30.58015,5.8259656,0.)); #459764=CARTESIAN_POINT('',(30.58015,5.8259656,0.)); #459765=CARTESIAN_POINT('',(30.58015,5.8259656,0.035)); #459766=CARTESIAN_POINT('',(30.58015,5.8259656,0.035)); #459767=CARTESIAN_POINT('',(30.58015,5.8259656,0.)); #459768=CARTESIAN_POINT('Origin',(30.755966,5.65015,0.)); #459769=CARTESIAN_POINT('',(30.755966,5.65015,0.)); #459770=CARTESIAN_POINT('',(30.755966,5.65015,0.)); #459771=CARTESIAN_POINT('',(30.755966,5.65015,0.035)); #459772=CARTESIAN_POINT('',(30.755966,5.65015,0.035)); #459773=CARTESIAN_POINT('',(30.755966,5.65015,0.)); #459774=CARTESIAN_POINT('Origin',(30.985678,5.555,0.)); #459775=CARTESIAN_POINT('',(30.985678,5.555,0.)); #459776=CARTESIAN_POINT('',(30.985678,5.555,0.)); #459777=CARTESIAN_POINT('',(30.985678,5.555,0.035)); #459778=CARTESIAN_POINT('',(30.985678,5.555,0.035)); #459779=CARTESIAN_POINT('',(30.985678,5.555,0.)); #459780=CARTESIAN_POINT('Origin',(31.234322,5.555,0.)); #459781=CARTESIAN_POINT('',(31.234322,5.555,0.)); #459782=CARTESIAN_POINT('',(31.234322,5.555,0.)); #459783=CARTESIAN_POINT('',(31.234322,5.555,0.035)); #459784=CARTESIAN_POINT('',(31.234322,5.555,0.035)); #459785=CARTESIAN_POINT('',(31.234322,5.555,0.)); #459786=CARTESIAN_POINT('Origin',(31.411431,5.6283594,0.)); #459787=CARTESIAN_POINT('',(31.411431,5.6283594,0.)); #459788=CARTESIAN_POINT('',(31.411431,5.6283594,0.)); #459789=CARTESIAN_POINT('',(31.411431,5.6283594,0.035)); #459790=CARTESIAN_POINT('',(31.411431,5.6283594,0.035)); #459791=CARTESIAN_POINT('',(31.411431,5.6283594,0.)); #459792=CARTESIAN_POINT('Origin',(31.439375,5.63415,0.)); #459793=CARTESIAN_POINT('',(31.439375,5.63415,0.)); #459794=CARTESIAN_POINT('',(31.439375,5.63415,0.)); #459795=CARTESIAN_POINT('',(31.439375,5.63415,0.035)); #459796=CARTESIAN_POINT('',(31.439375,5.63415,0.035)); #459797=CARTESIAN_POINT('',(31.439375,5.63415,0.)); #459798=CARTESIAN_POINT('Origin',(31.469188,5.6285906,0.)); #459799=CARTESIAN_POINT('',(31.469188,5.6285906,0.)); #459800=CARTESIAN_POINT('',(31.469188,5.6285906,0.)); #459801=CARTESIAN_POINT('',(31.469188,5.6285906,0.035)); #459802=CARTESIAN_POINT('',(31.469188,5.6285906,0.035)); #459803=CARTESIAN_POINT('',(31.469188,5.6285906,0.)); #459804=CARTESIAN_POINT('Origin',(31.494472,5.6118406,0.)); #459805=CARTESIAN_POINT('',(31.494472,5.6118406,0.)); #459806=CARTESIAN_POINT('',(31.494472,5.6118406,0.)); #459807=CARTESIAN_POINT('',(31.494472,5.6118406,0.035)); #459808=CARTESIAN_POINT('',(31.494472,5.6118406,0.035)); #459809=CARTESIAN_POINT('',(31.494472,5.6118406,0.)); #459810=CARTESIAN_POINT('Origin',(32.175291,4.9310219,0.)); #459811=CARTESIAN_POINT('',(32.175291,4.9310219,0.)); #459812=CARTESIAN_POINT('',(32.175291,4.9310219,0.)); #459813=CARTESIAN_POINT('',(32.175291,4.9310219,0.035)); #459814=CARTESIAN_POINT('',(32.175291,4.9310219,0.035)); #459815=CARTESIAN_POINT('',(32.175291,4.9310219,0.)); #459816=CARTESIAN_POINT('Origin',(32.195131,4.9150031,0.)); #459817=CARTESIAN_POINT('',(32.195131,4.9150031,0.)); #459818=CARTESIAN_POINT('',(32.195131,4.9150031,0.)); #459819=CARTESIAN_POINT('',(32.195131,4.9150031,0.035)); #459820=CARTESIAN_POINT('',(32.195131,4.9150031,0.035)); #459821=CARTESIAN_POINT('',(32.195131,4.9150031,0.)); #459822=CARTESIAN_POINT('Origin',(32.214272,4.9053531,0.)); #459823=CARTESIAN_POINT('',(32.214272,4.9053531,0.)); #459824=CARTESIAN_POINT('',(32.214272,4.9053531,0.)); #459825=CARTESIAN_POINT('',(32.214272,4.9053531,0.035)); #459826=CARTESIAN_POINT('',(32.214272,4.9053531,0.035)); #459827=CARTESIAN_POINT('',(32.214272,4.9053531,0.)); #459828=CARTESIAN_POINT('Origin',(32.236184,4.8996406,0.)); #459829=CARTESIAN_POINT('',(32.236184,4.8996406,0.)); #459830=CARTESIAN_POINT('',(32.236184,4.8996406,0.)); #459831=CARTESIAN_POINT('',(32.236184,4.8996406,0.035)); #459832=CARTESIAN_POINT('',(32.236184,4.8996406,0.035)); #459833=CARTESIAN_POINT('',(32.236184,4.8996406,0.)); #459834=CARTESIAN_POINT('Origin',(32.252847,4.8984,0.)); #459835=CARTESIAN_POINT('',(32.252847,4.8984,0.)); #459836=CARTESIAN_POINT('',(32.252847,4.8984,0.035)); #459837=CARTESIAN_POINT('Origin',(33.427034,54.5726,0.)); #459838=CARTESIAN_POINT('',(33.427034,54.5726,0.)); #459839=CARTESIAN_POINT('',(33.072966,54.5726,0.)); #459840=CARTESIAN_POINT('',(33.427034,54.5726,0.)); #459841=CARTESIAN_POINT('',(33.072966,54.5726,0.035)); #459842=CARTESIAN_POINT('',(33.072966,54.5726,0.)); #459843=CARTESIAN_POINT('',(33.427034,54.5726,0.035)); #459844=CARTESIAN_POINT('',(33.427034,54.5726,0.035)); #459845=CARTESIAN_POINT('',(33.427034,54.5726,0.)); #459846=CARTESIAN_POINT('Origin',(33.571119,54.716684,0.)); #459847=CARTESIAN_POINT('',(33.571119,54.716684,0.)); #459848=CARTESIAN_POINT('',(33.571119,54.716684,0.)); #459849=CARTESIAN_POINT('',(33.571119,54.716684,0.035)); #459850=CARTESIAN_POINT('',(33.571119,54.716684,0.035)); #459851=CARTESIAN_POINT('',(33.571119,54.716684,0.)); #459852=CARTESIAN_POINT('Origin',(33.594166,54.732484,0.)); #459853=CARTESIAN_POINT('',(33.594166,54.732484,0.)); #459854=CARTESIAN_POINT('',(33.594166,54.732484,0.)); #459855=CARTESIAN_POINT('',(33.594166,54.732484,0.035)); #459856=CARTESIAN_POINT('',(33.594166,54.732484,0.035)); #459857=CARTESIAN_POINT('',(33.594166,54.732484,0.)); #459858=CARTESIAN_POINT('Origin',(33.623784,54.738994,0.)); #459859=CARTESIAN_POINT('',(33.623784,54.738994,0.)); #459860=CARTESIAN_POINT('',(33.623784,54.738994,0.)); #459861=CARTESIAN_POINT('',(33.623784,54.738994,0.035)); #459862=CARTESIAN_POINT('',(33.623784,54.738994,0.035)); #459863=CARTESIAN_POINT('',(33.623784,54.738994,0.)); #459864=CARTESIAN_POINT('Origin',(33.653597,54.733434,0.)); #459865=CARTESIAN_POINT('',(33.653597,54.733434,0.)); #459866=CARTESIAN_POINT('',(33.653597,54.733434,0.)); #459867=CARTESIAN_POINT('',(33.653597,54.733434,0.035)); #459868=CARTESIAN_POINT('',(33.653597,54.733434,0.035)); #459869=CARTESIAN_POINT('',(33.653597,54.733434,0.)); #459870=CARTESIAN_POINT('Origin',(33.678881,54.716684,0.)); #459871=CARTESIAN_POINT('',(33.678881,54.716684,0.)); #459872=CARTESIAN_POINT('',(33.678881,54.716684,0.)); #459873=CARTESIAN_POINT('',(33.678881,54.716684,0.035)); #459874=CARTESIAN_POINT('',(33.678881,54.716684,0.035)); #459875=CARTESIAN_POINT('',(33.678881,54.716684,0.)); #459876=CARTESIAN_POINT('Origin',(33.822966,54.5726,0.)); #459877=CARTESIAN_POINT('',(33.822966,54.5726,0.)); #459878=CARTESIAN_POINT('',(33.822966,54.5726,0.)); #459879=CARTESIAN_POINT('',(33.822966,54.5726,0.035)); #459880=CARTESIAN_POINT('',(33.822966,54.5726,0.035)); #459881=CARTESIAN_POINT('',(33.822966,54.5726,0.)); #459882=CARTESIAN_POINT('Origin',(34.177034,54.5726,0.)); #459883=CARTESIAN_POINT('',(34.177034,54.5726,0.)); #459884=CARTESIAN_POINT('',(34.177034,54.5726,0.)); #459885=CARTESIAN_POINT('',(34.177034,54.5726,0.035)); #459886=CARTESIAN_POINT('',(34.177034,54.5726,0.035)); #459887=CARTESIAN_POINT('',(34.177034,54.5726,0.)); #459888=CARTESIAN_POINT('Origin',(34.321119,54.716684,0.)); #459889=CARTESIAN_POINT('',(34.321119,54.716684,0.)); #459890=CARTESIAN_POINT('',(34.321119,54.716684,0.)); #459891=CARTESIAN_POINT('',(34.321119,54.716684,0.035)); #459892=CARTESIAN_POINT('',(34.321119,54.716684,0.035)); #459893=CARTESIAN_POINT('',(34.321119,54.716684,0.)); #459894=CARTESIAN_POINT('Origin',(34.344166,54.732484,0.)); #459895=CARTESIAN_POINT('',(34.344166,54.732484,0.)); #459896=CARTESIAN_POINT('',(34.344166,54.732484,0.)); #459897=CARTESIAN_POINT('',(34.344166,54.732484,0.035)); #459898=CARTESIAN_POINT('',(34.344166,54.732484,0.035)); #459899=CARTESIAN_POINT('',(34.344166,54.732484,0.)); #459900=CARTESIAN_POINT('Origin',(34.373784,54.738994,0.)); #459901=CARTESIAN_POINT('',(34.373784,54.738994,0.)); #459902=CARTESIAN_POINT('',(34.373784,54.738994,0.)); #459903=CARTESIAN_POINT('',(34.373784,54.738994,0.035)); #459904=CARTESIAN_POINT('',(34.373784,54.738994,0.035)); #459905=CARTESIAN_POINT('',(34.373784,54.738994,0.)); #459906=CARTESIAN_POINT('Origin',(34.403597,54.733434,0.)); #459907=CARTESIAN_POINT('',(34.403597,54.733434,0.)); #459908=CARTESIAN_POINT('',(34.403597,54.733434,0.)); #459909=CARTESIAN_POINT('',(34.403597,54.733434,0.035)); #459910=CARTESIAN_POINT('',(34.403597,54.733434,0.035)); #459911=CARTESIAN_POINT('',(34.403597,54.733434,0.)); #459912=CARTESIAN_POINT('Origin',(34.428881,54.716684,0.)); #459913=CARTESIAN_POINT('',(34.428881,54.716684,0.)); #459914=CARTESIAN_POINT('',(34.428881,54.716684,0.)); #459915=CARTESIAN_POINT('',(34.428881,54.716684,0.035)); #459916=CARTESIAN_POINT('',(34.428881,54.716684,0.035)); #459917=CARTESIAN_POINT('',(34.428881,54.716684,0.)); #459918=CARTESIAN_POINT('Origin',(34.572966,54.5726,0.)); #459919=CARTESIAN_POINT('',(34.572966,54.5726,0.)); #459920=CARTESIAN_POINT('',(34.572966,54.5726,0.)); #459921=CARTESIAN_POINT('',(34.572966,54.5726,0.035)); #459922=CARTESIAN_POINT('',(34.572966,54.5726,0.035)); #459923=CARTESIAN_POINT('',(34.572966,54.5726,0.)); #459924=CARTESIAN_POINT('Origin',(34.927034,54.5726,0.)); #459925=CARTESIAN_POINT('',(34.927034,54.5726,0.)); #459926=CARTESIAN_POINT('',(34.927034,54.5726,0.)); #459927=CARTESIAN_POINT('',(34.927034,54.5726,0.035)); #459928=CARTESIAN_POINT('',(34.927034,54.5726,0.035)); #459929=CARTESIAN_POINT('',(34.927034,54.5726,0.)); #459930=CARTESIAN_POINT('Origin',(35.1774,54.822966,0.)); #459931=CARTESIAN_POINT('',(35.1774,54.822966,0.)); #459932=CARTESIAN_POINT('',(35.1774,54.822966,0.)); #459933=CARTESIAN_POINT('',(35.1774,54.822966,0.035)); #459934=CARTESIAN_POINT('',(35.1774,54.822966,0.035)); #459935=CARTESIAN_POINT('',(35.1774,54.822966,0.)); #459936=CARTESIAN_POINT('Origin',(35.1774,55.177034,0.)); #459937=CARTESIAN_POINT('',(35.1774,55.177034,0.)); #459938=CARTESIAN_POINT('',(35.1774,55.177034,0.)); #459939=CARTESIAN_POINT('',(35.1774,55.177034,0.035)); #459940=CARTESIAN_POINT('',(35.1774,55.177034,0.035)); #459941=CARTESIAN_POINT('',(35.1774,55.177034,0.)); #459942=CARTESIAN_POINT('Origin',(35.000919,55.353516,0.)); #459943=CARTESIAN_POINT('',(35.000919,55.353516,0.)); #459944=CARTESIAN_POINT('',(35.000919,55.353516,0.)); #459945=CARTESIAN_POINT('',(35.000919,55.353516,0.035)); #459946=CARTESIAN_POINT('',(35.000919,55.353516,0.035)); #459947=CARTESIAN_POINT('',(35.000919,55.353516,0.)); #459948=CARTESIAN_POINT('Origin',(34.984634,55.377675,0.)); #459949=CARTESIAN_POINT('',(34.984634,55.377675,0.)); #459950=CARTESIAN_POINT('',(34.984634,55.377675,0.)); #459951=CARTESIAN_POINT('',(34.984634,55.377675,0.035)); #459952=CARTESIAN_POINT('',(34.984634,55.377675,0.035)); #459953=CARTESIAN_POINT('',(34.984634,55.377675,0.)); #459954=CARTESIAN_POINT('Origin',(34.9786,55.407397,0.)); #459955=CARTESIAN_POINT('',(34.9786,55.407397,0.)); #459956=CARTESIAN_POINT('',(34.9786,55.407397,0.)); #459957=CARTESIAN_POINT('',(34.9786,55.407397,0.035)); #459958=CARTESIAN_POINT('',(34.9786,55.407397,0.035)); #459959=CARTESIAN_POINT('',(34.9786,55.407397,0.)); #459960=CARTESIAN_POINT('Origin',(34.9786,55.719691,0.)); #459961=CARTESIAN_POINT('',(34.9786,55.719691,0.)); #459962=CARTESIAN_POINT('',(34.9786,55.719691,0.)); #459963=CARTESIAN_POINT('',(34.9786,55.719691,0.035)); #459964=CARTESIAN_POINT('',(34.9786,55.719691,0.035)); #459965=CARTESIAN_POINT('',(34.9786,55.719691,0.)); #459966=CARTESIAN_POINT('Origin',(34.625919,56.072372,0.)); #459967=CARTESIAN_POINT('',(34.625919,56.072372,0.)); #459968=CARTESIAN_POINT('',(34.625919,56.072372,0.)); #459969=CARTESIAN_POINT('',(34.625919,56.072372,0.035)); #459970=CARTESIAN_POINT('',(34.625919,56.072372,0.035)); #459971=CARTESIAN_POINT('',(34.625919,56.072372,0.)); #459972=CARTESIAN_POINT('Origin',(34.609634,56.096531,0.)); #459973=CARTESIAN_POINT('',(34.609634,56.096531,0.)); #459974=CARTESIAN_POINT('',(34.609634,56.096531,0.)); #459975=CARTESIAN_POINT('',(34.609634,56.096531,0.035)); #459976=CARTESIAN_POINT('',(34.609634,56.096531,0.035)); #459977=CARTESIAN_POINT('',(34.609634,56.096531,0.)); #459978=CARTESIAN_POINT('Origin',(34.6036,56.126253,0.)); #459979=CARTESIAN_POINT('',(34.6036,56.126253,0.)); #459980=CARTESIAN_POINT('',(34.6036,56.126253,0.)); #459981=CARTESIAN_POINT('',(34.6036,56.126253,0.035)); #459982=CARTESIAN_POINT('',(34.6036,56.126253,0.035)); #459983=CARTESIAN_POINT('',(34.6036,56.126253,0.)); #459984=CARTESIAN_POINT('Origin',(34.6036,58.498747,0.)); #459985=CARTESIAN_POINT('',(34.6036,58.498747,0.)); #459986=CARTESIAN_POINT('',(34.6036,58.498747,0.)); #459987=CARTESIAN_POINT('',(34.6036,58.498747,0.035)); #459988=CARTESIAN_POINT('',(34.6036,58.498747,0.035)); #459989=CARTESIAN_POINT('',(34.6036,58.498747,0.)); #459990=CARTESIAN_POINT('Origin',(34.609169,58.527344,0.)); #459991=CARTESIAN_POINT('',(34.609169,58.527344,0.)); #459992=CARTESIAN_POINT('',(34.609169,58.527344,0.)); #459993=CARTESIAN_POINT('',(34.609169,58.527344,0.035)); #459994=CARTESIAN_POINT('',(34.609169,58.527344,0.035)); #459995=CARTESIAN_POINT('',(34.609169,58.527344,0.)); #459996=CARTESIAN_POINT('Origin',(34.625919,58.552628,0.)); #459997=CARTESIAN_POINT('',(34.625919,58.552628,0.)); #459998=CARTESIAN_POINT('',(34.625919,58.552628,0.)); #459999=CARTESIAN_POINT('',(34.625919,58.552628,0.035)); #460000=CARTESIAN_POINT('',(34.625919,58.552628,0.035)); #460001=CARTESIAN_POINT('',(34.625919,58.552628,0.)); #460002=CARTESIAN_POINT('Origin',(35.322372,59.249081,0.)); #460003=CARTESIAN_POINT('',(35.322372,59.249081,0.)); #460004=CARTESIAN_POINT('',(35.322372,59.249081,0.)); #460005=CARTESIAN_POINT('',(35.322372,59.249081,0.035)); #460006=CARTESIAN_POINT('',(35.322372,59.249081,0.035)); #460007=CARTESIAN_POINT('',(35.322372,59.249081,0.)); #460008=CARTESIAN_POINT('Origin',(35.346531,59.265366,0.)); #460009=CARTESIAN_POINT('',(35.346531,59.265366,0.)); #460010=CARTESIAN_POINT('',(35.346531,59.265366,0.)); #460011=CARTESIAN_POINT('',(35.346531,59.265366,0.035)); #460012=CARTESIAN_POINT('',(35.346531,59.265366,0.035)); #460013=CARTESIAN_POINT('',(35.346531,59.265366,0.)); #460014=CARTESIAN_POINT('Origin',(35.376253,59.2714,0.)); #460015=CARTESIAN_POINT('',(35.376253,59.2714,0.)); #460016=CARTESIAN_POINT('',(35.376253,59.2714,0.)); #460017=CARTESIAN_POINT('',(35.376253,59.2714,0.035)); #460018=CARTESIAN_POINT('',(35.376253,59.2714,0.035)); #460019=CARTESIAN_POINT('',(35.376253,59.2714,0.)); #460020=CARTESIAN_POINT('Origin',(36.582691,59.2714,0.)); #460021=CARTESIAN_POINT('',(36.582691,59.2714,0.)); #460022=CARTESIAN_POINT('',(36.582691,59.2714,0.)); #460023=CARTESIAN_POINT('',(36.582691,59.2714,0.035)); #460024=CARTESIAN_POINT('',(36.582691,59.2714,0.035)); #460025=CARTESIAN_POINT('',(36.582691,59.2714,0.)); #460026=CARTESIAN_POINT('Origin',(36.942072,59.630781,0.)); #460027=CARTESIAN_POINT('',(36.942072,59.630781,0.)); #460028=CARTESIAN_POINT('',(36.942072,59.630781,0.)); #460029=CARTESIAN_POINT('',(36.942072,59.630781,0.035)); #460030=CARTESIAN_POINT('',(36.942072,59.630781,0.035)); #460031=CARTESIAN_POINT('',(36.942072,59.630781,0.)); #460032=CARTESIAN_POINT('Origin',(36.966231,59.647066,0.)); #460033=CARTESIAN_POINT('',(36.966231,59.647066,0.)); #460034=CARTESIAN_POINT('',(36.966231,59.647066,0.)); #460035=CARTESIAN_POINT('',(36.966231,59.647066,0.035)); #460036=CARTESIAN_POINT('',(36.966231,59.647066,0.035)); #460037=CARTESIAN_POINT('',(36.966231,59.647066,0.)); #460038=CARTESIAN_POINT('Origin',(36.995953,59.6531,0.)); #460039=CARTESIAN_POINT('',(36.995953,59.6531,0.)); #460040=CARTESIAN_POINT('',(36.995953,59.6531,0.)); #460041=CARTESIAN_POINT('',(36.995953,59.6531,0.035)); #460042=CARTESIAN_POINT('',(36.995953,59.6531,0.035)); #460043=CARTESIAN_POINT('',(36.995953,59.6531,0.)); #460044=CARTESIAN_POINT('Origin',(37.720228,59.6531,0.)); #460045=CARTESIAN_POINT('',(37.720228,59.6531,0.)); #460046=CARTESIAN_POINT('',(37.720228,59.6531,0.)); #460047=CARTESIAN_POINT('',(37.720228,59.6531,0.035)); #460048=CARTESIAN_POINT('',(37.720228,59.6531,0.035)); #460049=CARTESIAN_POINT('',(37.720228,59.6531,0.)); #460050=CARTESIAN_POINT('Origin',(37.8095,59.742372,0.)); #460051=CARTESIAN_POINT('',(37.8095,59.742372,0.)); #460052=CARTESIAN_POINT('',(37.8095,59.742372,0.)); #460053=CARTESIAN_POINT('',(37.8095,59.742372,0.035)); #460054=CARTESIAN_POINT('',(37.8095,59.742372,0.035)); #460055=CARTESIAN_POINT('',(37.8095,59.742372,0.)); #460056=CARTESIAN_POINT('Origin',(37.8095,60.757628,0.)); #460057=CARTESIAN_POINT('',(37.8095,60.757628,0.)); #460058=CARTESIAN_POINT('',(37.8095,60.757628,0.)); #460059=CARTESIAN_POINT('',(37.8095,60.757628,0.035)); #460060=CARTESIAN_POINT('',(37.8095,60.757628,0.035)); #460061=CARTESIAN_POINT('',(37.8095,60.757628,0.)); #460062=CARTESIAN_POINT('Origin',(37.720228,60.8469,0.)); #460063=CARTESIAN_POINT('',(37.720228,60.8469,0.)); #460064=CARTESIAN_POINT('',(37.720228,60.8469,0.)); #460065=CARTESIAN_POINT('',(37.720228,60.8469,0.035)); #460066=CARTESIAN_POINT('',(37.720228,60.8469,0.035)); #460067=CARTESIAN_POINT('',(37.720228,60.8469,0.)); #460068=CARTESIAN_POINT('Origin',(36.755772,60.8469,0.)); #460069=CARTESIAN_POINT('',(36.755772,60.8469,0.)); #460070=CARTESIAN_POINT('',(36.755772,60.8469,0.)); #460071=CARTESIAN_POINT('',(36.755772,60.8469,0.035)); #460072=CARTESIAN_POINT('',(36.755772,60.8469,0.035)); #460073=CARTESIAN_POINT('',(36.755772,60.8469,0.)); #460074=CARTESIAN_POINT('Origin',(36.6665,60.757628,0.)); #460075=CARTESIAN_POINT('',(36.6665,60.757628,0.)); #460076=CARTESIAN_POINT('',(36.6665,60.757628,0.)); #460077=CARTESIAN_POINT('',(36.6665,60.757628,0.035)); #460078=CARTESIAN_POINT('',(36.6665,60.757628,0.035)); #460079=CARTESIAN_POINT('',(36.6665,60.757628,0.)); #460080=CARTESIAN_POINT('Origin',(36.6665,60.033353,0.)); #460081=CARTESIAN_POINT('',(36.6665,60.033353,0.)); #460082=CARTESIAN_POINT('',(36.6665,60.033353,0.)); #460083=CARTESIAN_POINT('',(36.6665,60.033353,0.035)); #460084=CARTESIAN_POINT('',(36.6665,60.033353,0.035)); #460085=CARTESIAN_POINT('',(36.6665,60.033353,0.)); #460086=CARTESIAN_POINT('Origin',(36.660931,60.004756,0.)); #460087=CARTESIAN_POINT('',(36.660931,60.004756,0.)); #460088=CARTESIAN_POINT('',(36.660931,60.004756,0.)); #460089=CARTESIAN_POINT('',(36.660931,60.004756,0.035)); #460090=CARTESIAN_POINT('',(36.660931,60.004756,0.035)); #460091=CARTESIAN_POINT('',(36.660931,60.004756,0.)); #460092=CARTESIAN_POINT('Origin',(36.644181,59.979472,0.)); #460093=CARTESIAN_POINT('',(36.644181,59.979472,0.)); #460094=CARTESIAN_POINT('',(36.644181,59.979472,0.)); #460095=CARTESIAN_POINT('',(36.644181,59.979472,0.035)); #460096=CARTESIAN_POINT('',(36.644181,59.979472,0.035)); #460097=CARTESIAN_POINT('',(36.644181,59.979472,0.)); #460098=CARTESIAN_POINT('Origin',(36.415628,59.750919,0.)); #460099=CARTESIAN_POINT('',(36.415628,59.750919,0.)); #460100=CARTESIAN_POINT('',(36.415628,59.750919,0.)); #460101=CARTESIAN_POINT('',(36.415628,59.750919,0.035)); #460102=CARTESIAN_POINT('',(36.415628,59.750919,0.035)); #460103=CARTESIAN_POINT('',(36.415628,59.750919,0.)); #460104=CARTESIAN_POINT('Origin',(36.391469,59.734634,0.)); #460105=CARTESIAN_POINT('',(36.391469,59.734634,0.)); #460106=CARTESIAN_POINT('',(36.391469,59.734634,0.)); #460107=CARTESIAN_POINT('',(36.391469,59.734634,0.035)); #460108=CARTESIAN_POINT('',(36.391469,59.734634,0.035)); #460109=CARTESIAN_POINT('',(36.391469,59.734634,0.)); #460110=CARTESIAN_POINT('Origin',(36.361747,59.7286,0.)); #460111=CARTESIAN_POINT('',(36.361747,59.7286,0.)); #460112=CARTESIAN_POINT('',(36.361747,59.7286,0.)); #460113=CARTESIAN_POINT('',(36.361747,59.7286,0.035)); #460114=CARTESIAN_POINT('',(36.361747,59.7286,0.035)); #460115=CARTESIAN_POINT('',(36.361747,59.7286,0.)); #460116=CARTESIAN_POINT('Origin',(35.4097,59.7286,0.)); #460117=CARTESIAN_POINT('',(35.4097,59.7286,0.)); #460118=CARTESIAN_POINT('',(35.4097,59.7286,0.)); #460119=CARTESIAN_POINT('',(35.4097,59.7286,0.035)); #460120=CARTESIAN_POINT('',(35.4097,59.7286,0.035)); #460121=CARTESIAN_POINT('',(35.4097,59.7286,0.)); #460122=CARTESIAN_POINT('Origin',(35.382231,59.733722,0.)); #460123=CARTESIAN_POINT('',(35.382231,59.733722,0.)); #460124=CARTESIAN_POINT('',(35.382231,59.733722,0.)); #460125=CARTESIAN_POINT('',(35.382231,59.733722,0.035)); #460126=CARTESIAN_POINT('',(35.382231,59.733722,0.035)); #460127=CARTESIAN_POINT('',(35.382231,59.733722,0.)); #460128=CARTESIAN_POINT('Origin',(35.356684,59.750066,0.)); #460129=CARTESIAN_POINT('',(35.356684,59.750066,0.)); #460130=CARTESIAN_POINT('',(35.356684,59.750066,0.)); #460131=CARTESIAN_POINT('',(35.356684,59.750066,0.035)); #460132=CARTESIAN_POINT('',(35.356684,59.750066,0.035)); #460133=CARTESIAN_POINT('',(35.356684,59.750066,0.)); #460134=CARTESIAN_POINT('Origin',(35.339534,59.775078,0.)); #460135=CARTESIAN_POINT('',(35.339534,59.775078,0.)); #460136=CARTESIAN_POINT('',(35.339534,59.775078,0.)); #460137=CARTESIAN_POINT('',(35.339534,59.775078,0.035)); #460138=CARTESIAN_POINT('',(35.339534,59.775078,0.035)); #460139=CARTESIAN_POINT('',(35.339534,59.775078,0.)); #460140=CARTESIAN_POINT('Origin',(35.3335,59.8048,0.)); #460141=CARTESIAN_POINT('',(35.3335,59.8048,0.)); #460142=CARTESIAN_POINT('',(35.3335,59.8048,0.)); #460143=CARTESIAN_POINT('',(35.3335,59.8048,0.035)); #460144=CARTESIAN_POINT('',(35.3335,59.8048,0.035)); #460145=CARTESIAN_POINT('',(35.3335,59.8048,0.)); #460146=CARTESIAN_POINT('Origin',(35.3335,60.757628,0.)); #460147=CARTESIAN_POINT('',(35.3335,60.757628,0.)); #460148=CARTESIAN_POINT('',(35.3335,60.757628,0.)); #460149=CARTESIAN_POINT('',(35.3335,60.757628,0.035)); #460150=CARTESIAN_POINT('',(35.3335,60.757628,0.035)); #460151=CARTESIAN_POINT('',(35.3335,60.757628,0.)); #460152=CARTESIAN_POINT('Origin',(35.244228,60.8469,0.)); #460153=CARTESIAN_POINT('',(35.244228,60.8469,0.)); #460154=CARTESIAN_POINT('',(35.244228,60.8469,0.)); #460155=CARTESIAN_POINT('',(35.244228,60.8469,0.035)); #460156=CARTESIAN_POINT('',(35.244228,60.8469,0.035)); #460157=CARTESIAN_POINT('',(35.244228,60.8469,0.)); #460158=CARTESIAN_POINT('Origin',(34.279772,60.8469,0.)); #460159=CARTESIAN_POINT('',(34.279772,60.8469,0.)); #460160=CARTESIAN_POINT('',(34.279772,60.8469,0.)); #460161=CARTESIAN_POINT('',(34.279772,60.8469,0.035)); #460162=CARTESIAN_POINT('',(34.279772,60.8469,0.035)); #460163=CARTESIAN_POINT('',(34.279772,60.8469,0.)); #460164=CARTESIAN_POINT('Origin',(34.1905,60.757628,0.)); #460165=CARTESIAN_POINT('',(34.1905,60.757628,0.)); #460166=CARTESIAN_POINT('',(34.1905,60.757628,0.)); #460167=CARTESIAN_POINT('',(34.1905,60.757628,0.035)); #460168=CARTESIAN_POINT('',(34.1905,60.757628,0.035)); #460169=CARTESIAN_POINT('',(34.1905,60.757628,0.)); #460170=CARTESIAN_POINT('Origin',(34.1905,59.804753,0.)); #460171=CARTESIAN_POINT('',(34.1905,59.804753,0.)); #460172=CARTESIAN_POINT('',(34.1905,59.804753,0.)); #460173=CARTESIAN_POINT('',(34.1905,59.804753,0.035)); #460174=CARTESIAN_POINT('',(34.1905,59.804753,0.035)); #460175=CARTESIAN_POINT('',(34.1905,59.804753,0.)); #460176=CARTESIAN_POINT('Origin',(34.185806,59.778422,0.)); #460177=CARTESIAN_POINT('',(34.185806,59.778422,0.)); #460178=CARTESIAN_POINT('',(34.185806,59.778422,0.)); #460179=CARTESIAN_POINT('',(34.185806,59.778422,0.035)); #460180=CARTESIAN_POINT('',(34.185806,59.778422,0.035)); #460181=CARTESIAN_POINT('',(34.185806,59.778422,0.)); #460182=CARTESIAN_POINT('Origin',(34.169872,59.752616,0.)); #460183=CARTESIAN_POINT('',(34.169872,59.752616,0.)); #460184=CARTESIAN_POINT('',(34.169872,59.752616,0.)); #460185=CARTESIAN_POINT('',(34.169872,59.752616,0.035)); #460186=CARTESIAN_POINT('',(34.169872,59.752616,0.035)); #460187=CARTESIAN_POINT('',(34.169872,59.752616,0.)); #460188=CARTESIAN_POINT('Origin',(34.145134,59.735072,0.)); #460189=CARTESIAN_POINT('',(34.145134,59.735072,0.)); #460190=CARTESIAN_POINT('',(34.145134,59.735072,0.)); #460191=CARTESIAN_POINT('',(34.145134,59.735072,0.035)); #460192=CARTESIAN_POINT('',(34.145134,59.735072,0.035)); #460193=CARTESIAN_POINT('',(34.145134,59.735072,0.)); #460194=CARTESIAN_POINT('Origin',(34.115516,59.728563,0.)); #460195=CARTESIAN_POINT('',(34.115516,59.728563,0.)); #460196=CARTESIAN_POINT('',(34.115516,59.728563,0.)); #460197=CARTESIAN_POINT('',(34.115516,59.728563,0.035)); #460198=CARTESIAN_POINT('',(34.115516,59.728563,0.035)); #460199=CARTESIAN_POINT('',(34.115516,59.728563,0.)); #460200=CARTESIAN_POINT('Origin',(34.085703,59.734122,0.)); #460201=CARTESIAN_POINT('',(34.085703,59.734122,0.)); #460202=CARTESIAN_POINT('',(34.085703,59.734122,0.)); #460203=CARTESIAN_POINT('',(34.085703,59.734122,0.035)); #460204=CARTESIAN_POINT('',(34.085703,59.734122,0.035)); #460205=CARTESIAN_POINT('',(34.085703,59.734122,0.)); #460206=CARTESIAN_POINT('Origin',(34.060419,59.750872,0.)); #460207=CARTESIAN_POINT('',(34.060419,59.750872,0.)); #460208=CARTESIAN_POINT('',(34.060419,59.750872,0.)); #460209=CARTESIAN_POINT('',(34.060419,59.750872,0.035)); #460210=CARTESIAN_POINT('',(34.060419,59.750872,0.035)); #460211=CARTESIAN_POINT('',(34.060419,59.750872,0.)); #460212=CARTESIAN_POINT('Origin',(33.831819,59.979472,0.)); #460213=CARTESIAN_POINT('',(33.831819,59.979472,0.)); #460214=CARTESIAN_POINT('',(33.831819,59.979472,0.)); #460215=CARTESIAN_POINT('',(33.831819,59.979472,0.035)); #460216=CARTESIAN_POINT('',(33.831819,59.979472,0.035)); #460217=CARTESIAN_POINT('',(33.831819,59.979472,0.)); #460218=CARTESIAN_POINT('Origin',(33.815534,60.003631,0.)); #460219=CARTESIAN_POINT('',(33.815534,60.003631,0.)); #460220=CARTESIAN_POINT('',(33.815534,60.003631,0.)); #460221=CARTESIAN_POINT('',(33.815534,60.003631,0.035)); #460222=CARTESIAN_POINT('',(33.815534,60.003631,0.035)); #460223=CARTESIAN_POINT('',(33.815534,60.003631,0.)); #460224=CARTESIAN_POINT('Origin',(33.8095,60.033353,0.)); #460225=CARTESIAN_POINT('',(33.8095,60.033353,0.)); #460226=CARTESIAN_POINT('',(33.8095,60.033353,0.)); #460227=CARTESIAN_POINT('',(33.8095,60.033353,0.035)); #460228=CARTESIAN_POINT('',(33.8095,60.033353,0.035)); #460229=CARTESIAN_POINT('',(33.8095,60.033353,0.)); #460230=CARTESIAN_POINT('Origin',(33.8095,60.757628,0.)); #460231=CARTESIAN_POINT('',(33.8095,60.757628,0.)); #460232=CARTESIAN_POINT('',(33.8095,60.757628,0.)); #460233=CARTESIAN_POINT('',(33.8095,60.757628,0.035)); #460234=CARTESIAN_POINT('',(33.8095,60.757628,0.035)); #460235=CARTESIAN_POINT('',(33.8095,60.757628,0.)); #460236=CARTESIAN_POINT('Origin',(33.720228,60.8469,0.)); #460237=CARTESIAN_POINT('',(33.720228,60.8469,0.)); #460238=CARTESIAN_POINT('',(33.720228,60.8469,0.)); #460239=CARTESIAN_POINT('',(33.720228,60.8469,0.035)); #460240=CARTESIAN_POINT('',(33.720228,60.8469,0.035)); #460241=CARTESIAN_POINT('',(33.720228,60.8469,0.)); #460242=CARTESIAN_POINT('Origin',(32.755772,60.8469,0.)); #460243=CARTESIAN_POINT('',(32.755772,60.8469,0.)); #460244=CARTESIAN_POINT('',(32.755772,60.8469,0.)); #460245=CARTESIAN_POINT('',(32.755772,60.8469,0.035)); #460246=CARTESIAN_POINT('',(32.755772,60.8469,0.035)); #460247=CARTESIAN_POINT('',(32.755772,60.8469,0.)); #460248=CARTESIAN_POINT('Origin',(32.6665,60.757628,0.)); #460249=CARTESIAN_POINT('',(32.6665,60.757628,0.)); #460250=CARTESIAN_POINT('',(32.6665,60.757628,0.)); #460251=CARTESIAN_POINT('',(32.6665,60.757628,0.035)); #460252=CARTESIAN_POINT('',(32.6665,60.757628,0.035)); #460253=CARTESIAN_POINT('',(32.6665,60.757628,0.)); #460254=CARTESIAN_POINT('Origin',(32.6665,59.8048,0.)); #460255=CARTESIAN_POINT('',(32.6665,59.8048,0.)); #460256=CARTESIAN_POINT('',(32.6665,59.8048,0.)); #460257=CARTESIAN_POINT('',(32.6665,59.8048,0.035)); #460258=CARTESIAN_POINT('',(32.6665,59.8048,0.035)); #460259=CARTESIAN_POINT('',(32.6665,59.8048,0.)); #460260=CARTESIAN_POINT('Origin',(32.661378,59.777331,0.)); #460261=CARTESIAN_POINT('',(32.661378,59.777331,0.)); #460262=CARTESIAN_POINT('',(32.661378,59.777331,0.)); #460263=CARTESIAN_POINT('',(32.661378,59.777331,0.035)); #460264=CARTESIAN_POINT('',(32.661378,59.777331,0.035)); #460265=CARTESIAN_POINT('',(32.661378,59.777331,0.)); #460266=CARTESIAN_POINT('Origin',(32.645034,59.751784,0.)); #460267=CARTESIAN_POINT('',(32.645034,59.751784,0.)); #460268=CARTESIAN_POINT('',(32.645034,59.751784,0.)); #460269=CARTESIAN_POINT('',(32.645034,59.751784,0.035)); #460270=CARTESIAN_POINT('',(32.645034,59.751784,0.035)); #460271=CARTESIAN_POINT('',(32.645034,59.751784,0.)); #460272=CARTESIAN_POINT('Origin',(32.620022,59.734634,0.)); #460273=CARTESIAN_POINT('',(32.620022,59.734634,0.)); #460274=CARTESIAN_POINT('',(32.620022,59.734634,0.)); #460275=CARTESIAN_POINT('',(32.620022,59.734634,0.035)); #460276=CARTESIAN_POINT('',(32.620022,59.734634,0.035)); #460277=CARTESIAN_POINT('',(32.620022,59.734634,0.)); #460278=CARTESIAN_POINT('Origin',(32.5903,59.7286,0.)); #460279=CARTESIAN_POINT('',(32.5903,59.7286,0.)); #460280=CARTESIAN_POINT('',(32.5903,59.7286,0.)); #460281=CARTESIAN_POINT('',(32.5903,59.7286,0.035)); #460282=CARTESIAN_POINT('',(32.5903,59.7286,0.035)); #460283=CARTESIAN_POINT('',(32.5903,59.7286,0.)); #460284=CARTESIAN_POINT('Origin',(31.4097,59.7286,0.)); #460285=CARTESIAN_POINT('',(31.4097,59.7286,0.)); #460286=CARTESIAN_POINT('',(31.4097,59.7286,0.)); #460287=CARTESIAN_POINT('',(31.4097,59.7286,0.035)); #460288=CARTESIAN_POINT('',(31.4097,59.7286,0.035)); #460289=CARTESIAN_POINT('',(31.4097,59.7286,0.)); #460290=CARTESIAN_POINT('Origin',(31.382231,59.733722,0.)); #460291=CARTESIAN_POINT('',(31.382231,59.733722,0.)); #460292=CARTESIAN_POINT('',(31.382231,59.733722,0.)); #460293=CARTESIAN_POINT('',(31.382231,59.733722,0.035)); #460294=CARTESIAN_POINT('',(31.382231,59.733722,0.035)); #460295=CARTESIAN_POINT('',(31.382231,59.733722,0.)); #460296=CARTESIAN_POINT('Origin',(31.356684,59.750066,0.)); #460297=CARTESIAN_POINT('',(31.356684,59.750066,0.)); #460298=CARTESIAN_POINT('',(31.356684,59.750066,0.)); #460299=CARTESIAN_POINT('',(31.356684,59.750066,0.035)); #460300=CARTESIAN_POINT('',(31.356684,59.750066,0.035)); #460301=CARTESIAN_POINT('',(31.356684,59.750066,0.)); #460302=CARTESIAN_POINT('Origin',(31.339534,59.775078,0.)); #460303=CARTESIAN_POINT('',(31.339534,59.775078,0.)); #460304=CARTESIAN_POINT('',(31.339534,59.775078,0.)); #460305=CARTESIAN_POINT('',(31.339534,59.775078,0.035)); #460306=CARTESIAN_POINT('',(31.339534,59.775078,0.035)); #460307=CARTESIAN_POINT('',(31.339534,59.775078,0.)); #460308=CARTESIAN_POINT('Origin',(31.3335,59.8048,0.)); #460309=CARTESIAN_POINT('',(31.3335,59.8048,0.)); #460310=CARTESIAN_POINT('',(31.3335,59.8048,0.)); #460311=CARTESIAN_POINT('',(31.3335,59.8048,0.035)); #460312=CARTESIAN_POINT('',(31.3335,59.8048,0.035)); #460313=CARTESIAN_POINT('',(31.3335,59.8048,0.)); #460314=CARTESIAN_POINT('Origin',(31.3335,60.757628,0.)); #460315=CARTESIAN_POINT('',(31.3335,60.757628,0.)); #460316=CARTESIAN_POINT('',(31.3335,60.757628,0.)); #460317=CARTESIAN_POINT('',(31.3335,60.757628,0.035)); #460318=CARTESIAN_POINT('',(31.3335,60.757628,0.035)); #460319=CARTESIAN_POINT('',(31.3335,60.757628,0.)); #460320=CARTESIAN_POINT('Origin',(31.244228,60.8469,0.)); #460321=CARTESIAN_POINT('',(31.244228,60.8469,0.)); #460322=CARTESIAN_POINT('',(31.244228,60.8469,0.)); #460323=CARTESIAN_POINT('',(31.244228,60.8469,0.035)); #460324=CARTESIAN_POINT('',(31.244228,60.8469,0.035)); #460325=CARTESIAN_POINT('',(31.244228,60.8469,0.)); #460326=CARTESIAN_POINT('Origin',(30.279772,60.8469,0.)); #460327=CARTESIAN_POINT('',(30.279772,60.8469,0.)); #460328=CARTESIAN_POINT('',(30.279772,60.8469,0.)); #460329=CARTESIAN_POINT('',(30.279772,60.8469,0.035)); #460330=CARTESIAN_POINT('',(30.279772,60.8469,0.035)); #460331=CARTESIAN_POINT('',(30.279772,60.8469,0.)); #460332=CARTESIAN_POINT('Origin',(30.1905,60.757628,0.)); #460333=CARTESIAN_POINT('',(30.1905,60.757628,0.)); #460334=CARTESIAN_POINT('',(30.1905,60.757628,0.)); #460335=CARTESIAN_POINT('',(30.1905,60.757628,0.035)); #460336=CARTESIAN_POINT('',(30.1905,60.757628,0.035)); #460337=CARTESIAN_POINT('',(30.1905,60.757628,0.)); #460338=CARTESIAN_POINT('Origin',(30.1905,59.8048,0.)); #460339=CARTESIAN_POINT('',(30.1905,59.8048,0.)); #460340=CARTESIAN_POINT('',(30.1905,59.8048,0.)); #460341=CARTESIAN_POINT('',(30.1905,59.8048,0.035)); #460342=CARTESIAN_POINT('',(30.1905,59.8048,0.035)); #460343=CARTESIAN_POINT('',(30.1905,59.8048,0.)); #460344=CARTESIAN_POINT('Origin',(30.185378,59.777331,0.)); #460345=CARTESIAN_POINT('',(30.185378,59.777331,0.)); #460346=CARTESIAN_POINT('',(30.185378,59.777331,0.)); #460347=CARTESIAN_POINT('',(30.185378,59.777331,0.035)); #460348=CARTESIAN_POINT('',(30.185378,59.777331,0.035)); #460349=CARTESIAN_POINT('',(30.185378,59.777331,0.)); #460350=CARTESIAN_POINT('Origin',(30.169034,59.751784,0.)); #460351=CARTESIAN_POINT('',(30.169034,59.751784,0.)); #460352=CARTESIAN_POINT('',(30.169034,59.751784,0.)); #460353=CARTESIAN_POINT('',(30.169034,59.751784,0.035)); #460354=CARTESIAN_POINT('',(30.169034,59.751784,0.035)); #460355=CARTESIAN_POINT('',(30.169034,59.751784,0.)); #460356=CARTESIAN_POINT('Origin',(30.144022,59.734634,0.)); #460357=CARTESIAN_POINT('',(30.144022,59.734634,0.)); #460358=CARTESIAN_POINT('',(30.144022,59.734634,0.)); #460359=CARTESIAN_POINT('',(30.144022,59.734634,0.035)); #460360=CARTESIAN_POINT('',(30.144022,59.734634,0.035)); #460361=CARTESIAN_POINT('',(30.144022,59.734634,0.)); #460362=CARTESIAN_POINT('Origin',(30.1143,59.7286,0.)); #460363=CARTESIAN_POINT('',(30.1143,59.7286,0.)); #460364=CARTESIAN_POINT('',(30.1143,59.7286,0.)); #460365=CARTESIAN_POINT('',(30.1143,59.7286,0.035)); #460366=CARTESIAN_POINT('',(30.1143,59.7286,0.035)); #460367=CARTESIAN_POINT('',(30.1143,59.7286,0.)); #460368=CARTESIAN_POINT('Origin',(30.085656,59.734169,0.)); #460369=CARTESIAN_POINT('',(30.085656,59.734169,0.)); #460370=CARTESIAN_POINT('',(30.085656,59.734169,0.)); #460371=CARTESIAN_POINT('',(30.085656,59.734169,0.035)); #460372=CARTESIAN_POINT('',(30.085656,59.734169,0.035)); #460373=CARTESIAN_POINT('',(30.085656,59.734169,0.)); #460374=CARTESIAN_POINT('Origin',(30.060372,59.750919,0.)); #460375=CARTESIAN_POINT('',(30.060372,59.750919,0.)); #460376=CARTESIAN_POINT('',(30.060372,59.750919,0.)); #460377=CARTESIAN_POINT('',(30.060372,59.750919,0.035)); #460378=CARTESIAN_POINT('',(30.060372,59.750919,0.035)); #460379=CARTESIAN_POINT('',(30.060372,59.750919,0.)); #460380=CARTESIAN_POINT('Origin',(29.831819,59.979472,0.)); #460381=CARTESIAN_POINT('',(29.831819,59.979472,0.)); #460382=CARTESIAN_POINT('',(29.831819,59.979472,0.)); #460383=CARTESIAN_POINT('',(29.831819,59.979472,0.035)); #460384=CARTESIAN_POINT('',(29.831819,59.979472,0.035)); #460385=CARTESIAN_POINT('',(29.831819,59.979472,0.)); #460386=CARTESIAN_POINT('Origin',(29.815534,60.003631,0.)); #460387=CARTESIAN_POINT('',(29.815534,60.003631,0.)); #460388=CARTESIAN_POINT('',(29.815534,60.003631,0.)); #460389=CARTESIAN_POINT('',(29.815534,60.003631,0.035)); #460390=CARTESIAN_POINT('',(29.815534,60.003631,0.035)); #460391=CARTESIAN_POINT('',(29.815534,60.003631,0.)); #460392=CARTESIAN_POINT('Origin',(29.8095,60.033353,0.)); #460393=CARTESIAN_POINT('',(29.8095,60.033353,0.)); #460394=CARTESIAN_POINT('',(29.8095,60.033353,0.)); #460395=CARTESIAN_POINT('',(29.8095,60.033353,0.035)); #460396=CARTESIAN_POINT('',(29.8095,60.033353,0.035)); #460397=CARTESIAN_POINT('',(29.8095,60.033353,0.)); #460398=CARTESIAN_POINT('Origin',(29.8095,60.757628,0.)); #460399=CARTESIAN_POINT('',(29.8095,60.757628,0.)); #460400=CARTESIAN_POINT('',(29.8095,60.757628,0.)); #460401=CARTESIAN_POINT('',(29.8095,60.757628,0.035)); #460402=CARTESIAN_POINT('',(29.8095,60.757628,0.035)); #460403=CARTESIAN_POINT('',(29.8095,60.757628,0.)); #460404=CARTESIAN_POINT('Origin',(29.720228,60.8469,0.)); #460405=CARTESIAN_POINT('',(29.720228,60.8469,0.)); #460406=CARTESIAN_POINT('',(29.720228,60.8469,0.)); #460407=CARTESIAN_POINT('',(29.720228,60.8469,0.035)); #460408=CARTESIAN_POINT('',(29.720228,60.8469,0.035)); #460409=CARTESIAN_POINT('',(29.720228,60.8469,0.)); #460410=CARTESIAN_POINT('Origin',(28.755772,60.8469,0.)); #460411=CARTESIAN_POINT('',(28.755772,60.8469,0.)); #460412=CARTESIAN_POINT('',(28.755772,60.8469,0.)); #460413=CARTESIAN_POINT('',(28.755772,60.8469,0.035)); #460414=CARTESIAN_POINT('',(28.755772,60.8469,0.035)); #460415=CARTESIAN_POINT('',(28.755772,60.8469,0.)); #460416=CARTESIAN_POINT('Origin',(28.6665,60.757628,0.)); #460417=CARTESIAN_POINT('',(28.6665,60.757628,0.)); #460418=CARTESIAN_POINT('',(28.6665,60.757628,0.)); #460419=CARTESIAN_POINT('',(28.6665,60.757628,0.035)); #460420=CARTESIAN_POINT('',(28.6665,60.757628,0.035)); #460421=CARTESIAN_POINT('',(28.6665,60.757628,0.)); #460422=CARTESIAN_POINT('Origin',(28.6665,59.742372,0.)); #460423=CARTESIAN_POINT('',(28.6665,59.742372,0.)); #460424=CARTESIAN_POINT('',(28.6665,59.742372,0.)); #460425=CARTESIAN_POINT('',(28.6665,59.742372,0.035)); #460426=CARTESIAN_POINT('',(28.6665,59.742372,0.035)); #460427=CARTESIAN_POINT('',(28.6665,59.742372,0.)); #460428=CARTESIAN_POINT('Origin',(28.755772,59.6531,0.)); #460429=CARTESIAN_POINT('',(28.755772,59.6531,0.)); #460430=CARTESIAN_POINT('',(28.755772,59.6531,0.)); #460431=CARTESIAN_POINT('',(28.755772,59.6531,0.035)); #460432=CARTESIAN_POINT('',(28.755772,59.6531,0.035)); #460433=CARTESIAN_POINT('',(28.755772,59.6531,0.)); #460434=CARTESIAN_POINT('Origin',(29.480047,59.6531,0.)); #460435=CARTESIAN_POINT('',(29.480047,59.6531,0.)); #460436=CARTESIAN_POINT('',(29.480047,59.6531,0.)); #460437=CARTESIAN_POINT('',(29.480047,59.6531,0.035)); #460438=CARTESIAN_POINT('',(29.480047,59.6531,0.035)); #460439=CARTESIAN_POINT('',(29.480047,59.6531,0.)); #460440=CARTESIAN_POINT('Origin',(29.508644,59.647531,0.)); #460441=CARTESIAN_POINT('',(29.508644,59.647531,0.)); #460442=CARTESIAN_POINT('',(29.508644,59.647531,0.)); #460443=CARTESIAN_POINT('',(29.508644,59.647531,0.035)); #460444=CARTESIAN_POINT('',(29.508644,59.647531,0.035)); #460445=CARTESIAN_POINT('',(29.508644,59.647531,0.)); #460446=CARTESIAN_POINT('Origin',(29.533928,59.630781,0.)); #460447=CARTESIAN_POINT('',(29.533928,59.630781,0.)); #460448=CARTESIAN_POINT('',(29.533928,59.630781,0.)); #460449=CARTESIAN_POINT('',(29.533928,59.630781,0.035)); #460450=CARTESIAN_POINT('',(29.533928,59.630781,0.035)); #460451=CARTESIAN_POINT('',(29.533928,59.630781,0.)); #460452=CARTESIAN_POINT('Origin',(29.893309,59.2714,0.)); #460453=CARTESIAN_POINT('',(29.893309,59.2714,0.)); #460454=CARTESIAN_POINT('',(29.893309,59.2714,0.)); #460455=CARTESIAN_POINT('',(29.893309,59.2714,0.035)); #460456=CARTESIAN_POINT('',(29.893309,59.2714,0.035)); #460457=CARTESIAN_POINT('',(29.893309,59.2714,0.)); #460458=CARTESIAN_POINT('Origin',(32.623747,59.2714,0.)); #460459=CARTESIAN_POINT('',(32.623747,59.2714,0.)); #460460=CARTESIAN_POINT('',(32.623747,59.2714,0.)); #460461=CARTESIAN_POINT('',(32.623747,59.2714,0.035)); #460462=CARTESIAN_POINT('',(32.623747,59.2714,0.035)); #460463=CARTESIAN_POINT('',(32.623747,59.2714,0.)); #460464=CARTESIAN_POINT('Origin',(32.652344,59.265831,0.)); #460465=CARTESIAN_POINT('',(32.652344,59.265831,0.)); #460466=CARTESIAN_POINT('',(32.652344,59.265831,0.)); #460467=CARTESIAN_POINT('',(32.652344,59.265831,0.035)); #460468=CARTESIAN_POINT('',(32.652344,59.265831,0.035)); #460469=CARTESIAN_POINT('',(32.652344,59.265831,0.)); #460470=CARTESIAN_POINT('Origin',(32.677628,59.249081,0.)); #460471=CARTESIAN_POINT('',(32.677628,59.249081,0.)); #460472=CARTESIAN_POINT('',(32.677628,59.249081,0.)); #460473=CARTESIAN_POINT('',(32.677628,59.249081,0.035)); #460474=CARTESIAN_POINT('',(32.677628,59.249081,0.035)); #460475=CARTESIAN_POINT('',(32.677628,59.249081,0.)); #460476=CARTESIAN_POINT('Origin',(33.374081,58.552628,0.)); #460477=CARTESIAN_POINT('',(33.374081,58.552628,0.)); #460478=CARTESIAN_POINT('',(33.374081,58.552628,0.)); #460479=CARTESIAN_POINT('',(33.374081,58.552628,0.035)); #460480=CARTESIAN_POINT('',(33.374081,58.552628,0.035)); #460481=CARTESIAN_POINT('',(33.374081,58.552628,0.)); #460482=CARTESIAN_POINT('Origin',(33.390366,58.528469,0.)); #460483=CARTESIAN_POINT('',(33.390366,58.528469,0.)); #460484=CARTESIAN_POINT('',(33.390366,58.528469,0.)); #460485=CARTESIAN_POINT('',(33.390366,58.528469,0.035)); #460486=CARTESIAN_POINT('',(33.390366,58.528469,0.035)); #460487=CARTESIAN_POINT('',(33.390366,58.528469,0.)); #460488=CARTESIAN_POINT('Origin',(33.3964,58.498747,0.)); #460489=CARTESIAN_POINT('',(33.3964,58.498747,0.)); #460490=CARTESIAN_POINT('',(33.3964,58.498747,0.)); #460491=CARTESIAN_POINT('',(33.3964,58.498747,0.035)); #460492=CARTESIAN_POINT('',(33.3964,58.498747,0.035)); #460493=CARTESIAN_POINT('',(33.3964,58.498747,0.)); #460494=CARTESIAN_POINT('Origin',(33.3964,56.126253,0.)); #460495=CARTESIAN_POINT('',(33.3964,56.126253,0.)); #460496=CARTESIAN_POINT('',(33.3964,56.126253,0.)); #460497=CARTESIAN_POINT('',(33.3964,56.126253,0.035)); #460498=CARTESIAN_POINT('',(33.3964,56.126253,0.035)); #460499=CARTESIAN_POINT('',(33.3964,56.126253,0.)); #460500=CARTESIAN_POINT('Origin',(33.390831,56.097656,0.)); #460501=CARTESIAN_POINT('',(33.390831,56.097656,0.)); #460502=CARTESIAN_POINT('',(33.390831,56.097656,0.)); #460503=CARTESIAN_POINT('',(33.390831,56.097656,0.035)); #460504=CARTESIAN_POINT('',(33.390831,56.097656,0.035)); #460505=CARTESIAN_POINT('',(33.390831,56.097656,0.)); #460506=CARTESIAN_POINT('Origin',(33.374081,56.072372,0.)); #460507=CARTESIAN_POINT('',(33.374081,56.072372,0.)); #460508=CARTESIAN_POINT('',(33.374081,56.072372,0.)); #460509=CARTESIAN_POINT('',(33.374081,56.072372,0.035)); #460510=CARTESIAN_POINT('',(33.374081,56.072372,0.035)); #460511=CARTESIAN_POINT('',(33.374081,56.072372,0.)); #460512=CARTESIAN_POINT('Origin',(33.0214,55.719691,0.)); #460513=CARTESIAN_POINT('',(33.0214,55.719691,0.)); #460514=CARTESIAN_POINT('',(33.0214,55.719691,0.)); #460515=CARTESIAN_POINT('',(33.0214,55.719691,0.035)); #460516=CARTESIAN_POINT('',(33.0214,55.719691,0.035)); #460517=CARTESIAN_POINT('',(33.0214,55.719691,0.)); #460518=CARTESIAN_POINT('Origin',(33.0214,55.407397,0.)); #460519=CARTESIAN_POINT('',(33.0214,55.407397,0.)); #460520=CARTESIAN_POINT('',(33.0214,55.407397,0.)); #460521=CARTESIAN_POINT('',(33.0214,55.407397,0.035)); #460522=CARTESIAN_POINT('',(33.0214,55.407397,0.035)); #460523=CARTESIAN_POINT('',(33.0214,55.407397,0.)); #460524=CARTESIAN_POINT('Origin',(33.015831,55.3788,0.)); #460525=CARTESIAN_POINT('',(33.015831,55.3788,0.)); #460526=CARTESIAN_POINT('',(33.015831,55.3788,0.)); #460527=CARTESIAN_POINT('',(33.015831,55.3788,0.035)); #460528=CARTESIAN_POINT('',(33.015831,55.3788,0.035)); #460529=CARTESIAN_POINT('',(33.015831,55.3788,0.)); #460530=CARTESIAN_POINT('Origin',(32.999081,55.353516,0.)); #460531=CARTESIAN_POINT('',(32.999081,55.353516,0.)); #460532=CARTESIAN_POINT('',(32.999081,55.353516,0.)); #460533=CARTESIAN_POINT('',(32.999081,55.353516,0.035)); #460534=CARTESIAN_POINT('',(32.999081,55.353516,0.035)); #460535=CARTESIAN_POINT('',(32.999081,55.353516,0.)); #460536=CARTESIAN_POINT('Origin',(32.8226,55.177034,0.)); #460537=CARTESIAN_POINT('',(32.8226,55.177034,0.)); #460538=CARTESIAN_POINT('',(32.8226,55.177034,0.)); #460539=CARTESIAN_POINT('',(32.8226,55.177034,0.035)); #460540=CARTESIAN_POINT('',(32.8226,55.177034,0.035)); #460541=CARTESIAN_POINT('',(32.8226,55.177034,0.)); #460542=CARTESIAN_POINT('Origin',(32.8226,54.822966,0.)); #460543=CARTESIAN_POINT('',(32.8226,54.822966,0.)); #460544=CARTESIAN_POINT('',(32.8226,54.822966,0.)); #460545=CARTESIAN_POINT('',(32.8226,54.822966,0.035)); #460546=CARTESIAN_POINT('',(32.8226,54.822966,0.035)); #460547=CARTESIAN_POINT('',(32.8226,54.822966,0.)); #460548=CARTESIAN_POINT('Origin',(33.072966,54.5726,0.)); #460549=CARTESIAN_POINT('',(33.072966,54.5726,0.)); #460550=CARTESIAN_POINT('',(33.072966,54.5726,0.035)); #460551=CARTESIAN_POINT('Origin',(39.244228,59.6531,0.)); #460552=CARTESIAN_POINT('',(39.244228,59.6531,0.)); #460553=CARTESIAN_POINT('',(38.279772,59.6531,0.)); #460554=CARTESIAN_POINT('',(39.244228,59.6531,0.)); #460555=CARTESIAN_POINT('',(38.279772,59.6531,0.035)); #460556=CARTESIAN_POINT('',(38.279772,59.6531,0.)); #460557=CARTESIAN_POINT('',(39.244228,59.6531,0.035)); #460558=CARTESIAN_POINT('',(39.244228,59.6531,0.035)); #460559=CARTESIAN_POINT('',(39.244228,59.6531,0.)); #460560=CARTESIAN_POINT('Origin',(39.3335,59.742372,0.)); #460561=CARTESIAN_POINT('',(39.3335,59.742372,0.)); #460562=CARTESIAN_POINT('',(39.3335,59.742372,0.)); #460563=CARTESIAN_POINT('',(39.3335,59.742372,0.035)); #460564=CARTESIAN_POINT('',(39.3335,59.742372,0.035)); #460565=CARTESIAN_POINT('',(39.3335,59.742372,0.)); #460566=CARTESIAN_POINT('Origin',(39.3335,60.757628,0.)); #460567=CARTESIAN_POINT('',(39.3335,60.757628,0.)); #460568=CARTESIAN_POINT('',(39.3335,60.757628,0.)); #460569=CARTESIAN_POINT('',(39.3335,60.757628,0.035)); #460570=CARTESIAN_POINT('',(39.3335,60.757628,0.035)); #460571=CARTESIAN_POINT('',(39.3335,60.757628,0.)); #460572=CARTESIAN_POINT('Origin',(39.244228,60.8469,0.)); #460573=CARTESIAN_POINT('',(39.244228,60.8469,0.)); #460574=CARTESIAN_POINT('',(39.244228,60.8469,0.)); #460575=CARTESIAN_POINT('',(39.244228,60.8469,0.035)); #460576=CARTESIAN_POINT('',(39.244228,60.8469,0.035)); #460577=CARTESIAN_POINT('',(39.244228,60.8469,0.)); #460578=CARTESIAN_POINT('Origin',(38.279772,60.8469,0.)); #460579=CARTESIAN_POINT('',(38.279772,60.8469,0.)); #460580=CARTESIAN_POINT('',(38.279772,60.8469,0.)); #460581=CARTESIAN_POINT('',(38.279772,60.8469,0.035)); #460582=CARTESIAN_POINT('',(38.279772,60.8469,0.035)); #460583=CARTESIAN_POINT('',(38.279772,60.8469,0.)); #460584=CARTESIAN_POINT('Origin',(38.1905,60.757628,0.)); #460585=CARTESIAN_POINT('',(38.1905,60.757628,0.)); #460586=CARTESIAN_POINT('',(38.1905,60.757628,0.)); #460587=CARTESIAN_POINT('',(38.1905,60.757628,0.035)); #460588=CARTESIAN_POINT('',(38.1905,60.757628,0.035)); #460589=CARTESIAN_POINT('',(38.1905,60.757628,0.)); #460590=CARTESIAN_POINT('Origin',(38.1905,59.742372,0.)); #460591=CARTESIAN_POINT('',(38.1905,59.742372,0.)); #460592=CARTESIAN_POINT('',(38.1905,59.742372,0.)); #460593=CARTESIAN_POINT('',(38.1905,59.742372,0.035)); #460594=CARTESIAN_POINT('',(38.1905,59.742372,0.035)); #460595=CARTESIAN_POINT('',(38.1905,59.742372,0.)); #460596=CARTESIAN_POINT('Origin',(38.279772,59.6531,0.)); #460597=CARTESIAN_POINT('',(38.279772,59.6531,0.)); #460598=CARTESIAN_POINT('',(38.279772,59.6531,0.035)); #460599=CARTESIAN_POINT('Origin',(34.003988,0.37630313,0.)); #460600=CARTESIAN_POINT('',(34.003988,0.37630313,0.)); #460601=CARTESIAN_POINT('',(37.510666,0.56008125,0.)); #460602=CARTESIAN_POINT('',(34.003988,0.37630313,0.)); #460603=CARTESIAN_POINT('',(37.510666,0.56008125,0.035)); #460604=CARTESIAN_POINT('',(37.510666,0.56008125,0.)); #460605=CARTESIAN_POINT('',(34.003988,0.37630313,0.035)); #460606=CARTESIAN_POINT('',(34.003988,0.37630313,0.035)); #460607=CARTESIAN_POINT('',(34.003988,0.37630313,0.)); #460608=CARTESIAN_POINT('Origin',(33.996012,0.37630313,0.)); #460609=CARTESIAN_POINT('',(33.996012,0.37630313,0.)); #460610=CARTESIAN_POINT('',(33.996012,0.37630313,0.)); #460611=CARTESIAN_POINT('',(33.996012,0.37630313,0.035)); #460612=CARTESIAN_POINT('',(33.996012,0.37630313,0.035)); #460613=CARTESIAN_POINT('',(33.996012,0.37630313,0.)); #460614=CARTESIAN_POINT('Origin',(30.489334,0.56008125,0.)); #460615=CARTESIAN_POINT('',(30.489334,0.56008125,0.)); #460616=CARTESIAN_POINT('',(30.489334,0.56008125,0.)); #460617=CARTESIAN_POINT('',(30.489334,0.56008125,0.035)); #460618=CARTESIAN_POINT('',(30.489334,0.56008125,0.035)); #460619=CARTESIAN_POINT('',(30.489334,0.56008125,0.)); #460620=CARTESIAN_POINT('Origin',(30.4814,0.56091563,0.)); #460621=CARTESIAN_POINT('',(30.4814,0.56091563,0.)); #460622=CARTESIAN_POINT('',(30.4814,0.56091563,0.)); #460623=CARTESIAN_POINT('',(30.4814,0.56091563,0.035)); #460624=CARTESIAN_POINT('',(30.4814,0.56091563,0.035)); #460625=CARTESIAN_POINT('',(30.4814,0.56091563,0.)); #460626=CARTESIAN_POINT('Origin',(27.013141,1.1102344,0.)); #460627=CARTESIAN_POINT('',(27.013141,1.1102344,0.)); #460628=CARTESIAN_POINT('',(27.013141,1.1102344,0.)); #460629=CARTESIAN_POINT('',(27.013141,1.1102344,0.035)); #460630=CARTESIAN_POINT('',(27.013141,1.1102344,0.035)); #460631=CARTESIAN_POINT('',(27.013141,1.1102344,0.)); #460632=CARTESIAN_POINT('Origin',(27.005341,1.1118938,0.)); #460633=CARTESIAN_POINT('',(27.005341,1.1118938,0.)); #460634=CARTESIAN_POINT('',(27.005341,1.1118938,0.)); #460635=CARTESIAN_POINT('',(27.005341,1.1118938,0.035)); #460636=CARTESIAN_POINT('',(27.005341,1.1118938,0.035)); #460637=CARTESIAN_POINT('',(27.005341,1.1118938,0.)); #460638=CARTESIAN_POINT('Origin',(23.6135,2.0207344,0.)); #460639=CARTESIAN_POINT('',(23.6135,2.0207344,0.)); #460640=CARTESIAN_POINT('',(23.6135,2.0207344,0.)); #460641=CARTESIAN_POINT('',(23.6135,2.0207344,0.035)); #460642=CARTESIAN_POINT('',(23.6135,2.0207344,0.035)); #460643=CARTESIAN_POINT('',(23.6135,2.0207344,0.)); #460644=CARTESIAN_POINT('Origin',(23.605916,2.0232,0.)); #460645=CARTESIAN_POINT('',(23.605916,2.0232,0.)); #460646=CARTESIAN_POINT('',(23.605916,2.0232,0.)); #460647=CARTESIAN_POINT('',(23.605916,2.0232,0.035)); #460648=CARTESIAN_POINT('',(23.605916,2.0232,0.035)); #460649=CARTESIAN_POINT('',(23.605916,2.0232,0.)); #460650=CARTESIAN_POINT('Origin',(20.327656,3.2816063,0.)); #460651=CARTESIAN_POINT('',(20.327656,3.2816063,0.)); #460652=CARTESIAN_POINT('',(20.327656,3.2816063,0.)); #460653=CARTESIAN_POINT('',(20.327656,3.2816063,0.035)); #460654=CARTESIAN_POINT('',(20.327656,3.2816063,0.035)); #460655=CARTESIAN_POINT('',(20.327656,3.2816063,0.)); #460656=CARTESIAN_POINT('Origin',(20.320369,3.28485,0.)); #460657=CARTESIAN_POINT('',(20.320369,3.28485,0.)); #460658=CARTESIAN_POINT('',(20.320369,3.28485,0.)); #460659=CARTESIAN_POINT('',(20.320369,3.28485,0.035)); #460660=CARTESIAN_POINT('',(20.320369,3.28485,0.035)); #460661=CARTESIAN_POINT('',(20.320369,3.28485,0.)); #460662=CARTESIAN_POINT('Origin',(17.191606,4.8790313,0.)); #460663=CARTESIAN_POINT('',(17.191606,4.8790313,0.)); #460664=CARTESIAN_POINT('',(17.191606,4.8790313,0.)); #460665=CARTESIAN_POINT('',(17.191606,4.8790313,0.035)); #460666=CARTESIAN_POINT('',(17.191606,4.8790313,0.035)); #460667=CARTESIAN_POINT('',(17.191606,4.8790313,0.)); #460668=CARTESIAN_POINT('Origin',(17.1847,4.8830188,0.)); #460669=CARTESIAN_POINT('',(17.1847,4.8830188,0.)); #460670=CARTESIAN_POINT('',(17.1847,4.8830188,0.)); #460671=CARTESIAN_POINT('',(17.1847,4.8830188,0.035)); #460672=CARTESIAN_POINT('',(17.1847,4.8830188,0.035)); #460673=CARTESIAN_POINT('',(17.1847,4.8830188,0.)); #460674=CARTESIAN_POINT('Origin',(14.239716,6.7955156,0.)); #460675=CARTESIAN_POINT('',(14.239716,6.7955156,0.)); #460676=CARTESIAN_POINT('',(14.239716,6.7955156,0.)); #460677=CARTESIAN_POINT('',(14.239716,6.7955156,0.035)); #460678=CARTESIAN_POINT('',(14.239716,6.7955156,0.035)); #460679=CARTESIAN_POINT('',(14.239716,6.7955156,0.)); #460680=CARTESIAN_POINT('Origin',(14.233263,6.8002031,0.)); #460681=CARTESIAN_POINT('',(14.233263,6.8002031,0.)); #460682=CARTESIAN_POINT('',(14.233263,6.8002031,0.)); #460683=CARTESIAN_POINT('',(14.233263,6.8002031,0.035)); #460684=CARTESIAN_POINT('',(14.233263,6.8002031,0.035)); #460685=CARTESIAN_POINT('',(14.233263,6.8002031,0.)); #460686=CARTESIAN_POINT('Origin',(11.504322,9.0100562,0.)); #460687=CARTESIAN_POINT('',(11.504322,9.0100562,0.)); #460688=CARTESIAN_POINT('',(11.504322,9.0100562,0.)); #460689=CARTESIAN_POINT('',(11.504322,9.0100562,0.035)); #460690=CARTESIAN_POINT('',(11.504322,9.0100562,0.035)); #460691=CARTESIAN_POINT('',(11.504322,9.0100562,0.)); #460692=CARTESIAN_POINT('Origin',(11.498394,9.0153938,0.)); #460693=CARTESIAN_POINT('',(11.498394,9.0153938,0.)); #460694=CARTESIAN_POINT('',(11.498394,9.0153938,0.)); #460695=CARTESIAN_POINT('',(11.498394,9.0153938,0.035)); #460696=CARTESIAN_POINT('',(11.498394,9.0153938,0.035)); #460697=CARTESIAN_POINT('',(11.498394,9.0153938,0.)); #460698=CARTESIAN_POINT('Origin',(9.0153938,11.498394,0.)); #460699=CARTESIAN_POINT('',(9.0153938,11.498394,0.)); #460700=CARTESIAN_POINT('',(9.0153938,11.498394,0.)); #460701=CARTESIAN_POINT('',(9.0153938,11.498394,0.035)); #460702=CARTESIAN_POINT('',(9.0153938,11.498394,0.035)); #460703=CARTESIAN_POINT('',(9.0153938,11.498394,0.)); #460704=CARTESIAN_POINT('Origin',(9.0100562,11.504322,0.)); #460705=CARTESIAN_POINT('',(9.0100562,11.504322,0.)); #460706=CARTESIAN_POINT('',(9.0100562,11.504322,0.)); #460707=CARTESIAN_POINT('',(9.0100562,11.504322,0.035)); #460708=CARTESIAN_POINT('',(9.0100562,11.504322,0.035)); #460709=CARTESIAN_POINT('',(9.0100562,11.504322,0.)); #460710=CARTESIAN_POINT('Origin',(6.8002031,14.233263,0.)); #460711=CARTESIAN_POINT('',(6.8002031,14.233263,0.)); #460712=CARTESIAN_POINT('',(6.8002031,14.233263,0.)); #460713=CARTESIAN_POINT('',(6.8002031,14.233263,0.035)); #460714=CARTESIAN_POINT('',(6.8002031,14.233263,0.035)); #460715=CARTESIAN_POINT('',(6.8002031,14.233263,0.)); #460716=CARTESIAN_POINT('Origin',(6.7955156,14.239716,0.)); #460717=CARTESIAN_POINT('',(6.7955156,14.239716,0.)); #460718=CARTESIAN_POINT('',(6.7955156,14.239716,0.)); #460719=CARTESIAN_POINT('',(6.7955156,14.239716,0.035)); #460720=CARTESIAN_POINT('',(6.7955156,14.239716,0.035)); #460721=CARTESIAN_POINT('',(6.7955156,14.239716,0.)); #460722=CARTESIAN_POINT('Origin',(4.8830188,17.1847,0.)); #460723=CARTESIAN_POINT('',(4.8830188,17.1847,0.)); #460724=CARTESIAN_POINT('',(4.8830188,17.1847,0.)); #460725=CARTESIAN_POINT('',(4.8830188,17.1847,0.035)); #460726=CARTESIAN_POINT('',(4.8830188,17.1847,0.035)); #460727=CARTESIAN_POINT('',(4.8830188,17.1847,0.)); #460728=CARTESIAN_POINT('Origin',(4.8790313,17.191606,0.)); #460729=CARTESIAN_POINT('',(4.8790313,17.191606,0.)); #460730=CARTESIAN_POINT('',(4.8790313,17.191606,0.)); #460731=CARTESIAN_POINT('',(4.8790313,17.191606,0.035)); #460732=CARTESIAN_POINT('',(4.8790313,17.191606,0.035)); #460733=CARTESIAN_POINT('',(4.8790313,17.191606,0.)); #460734=CARTESIAN_POINT('Origin',(3.28485,20.320369,0.)); #460735=CARTESIAN_POINT('',(3.28485,20.320369,0.)); #460736=CARTESIAN_POINT('',(3.28485,20.320369,0.)); #460737=CARTESIAN_POINT('',(3.28485,20.320369,0.035)); #460738=CARTESIAN_POINT('',(3.28485,20.320369,0.035)); #460739=CARTESIAN_POINT('',(3.28485,20.320369,0.)); #460740=CARTESIAN_POINT('Origin',(3.2816063,20.327656,0.)); #460741=CARTESIAN_POINT('',(3.2816063,20.327656,0.)); #460742=CARTESIAN_POINT('',(3.2816063,20.327656,0.)); #460743=CARTESIAN_POINT('',(3.2816063,20.327656,0.035)); #460744=CARTESIAN_POINT('',(3.2816063,20.327656,0.035)); #460745=CARTESIAN_POINT('',(3.2816063,20.327656,0.)); #460746=CARTESIAN_POINT('Origin',(2.0232,23.605916,0.)); #460747=CARTESIAN_POINT('',(2.0232,23.605916,0.)); #460748=CARTESIAN_POINT('',(2.0232,23.605916,0.)); #460749=CARTESIAN_POINT('',(2.0232,23.605916,0.035)); #460750=CARTESIAN_POINT('',(2.0232,23.605916,0.035)); #460751=CARTESIAN_POINT('',(2.0232,23.605916,0.)); #460752=CARTESIAN_POINT('Origin',(2.0207344,23.6135,0.)); #460753=CARTESIAN_POINT('',(2.0207344,23.6135,0.)); #460754=CARTESIAN_POINT('',(2.0207344,23.6135,0.)); #460755=CARTESIAN_POINT('',(2.0207344,23.6135,0.035)); #460756=CARTESIAN_POINT('',(2.0207344,23.6135,0.035)); #460757=CARTESIAN_POINT('',(2.0207344,23.6135,0.)); #460758=CARTESIAN_POINT('Origin',(1.1118938,27.005341,0.)); #460759=CARTESIAN_POINT('',(1.1118938,27.005341,0.)); #460760=CARTESIAN_POINT('',(1.1118938,27.005341,0.)); #460761=CARTESIAN_POINT('',(1.1118938,27.005341,0.035)); #460762=CARTESIAN_POINT('',(1.1118938,27.005341,0.035)); #460763=CARTESIAN_POINT('',(1.1118938,27.005341,0.)); #460764=CARTESIAN_POINT('Origin',(1.1102344,27.013141,0.)); #460765=CARTESIAN_POINT('',(1.1102344,27.013141,0.)); #460766=CARTESIAN_POINT('',(1.1102344,27.013141,0.)); #460767=CARTESIAN_POINT('',(1.1102344,27.013141,0.035)); #460768=CARTESIAN_POINT('',(1.1102344,27.013141,0.035)); #460769=CARTESIAN_POINT('',(1.1102344,27.013141,0.)); #460770=CARTESIAN_POINT('Origin',(0.56091563,30.4814,0.)); #460771=CARTESIAN_POINT('',(0.56091563,30.4814,0.)); #460772=CARTESIAN_POINT('',(0.56091563,30.4814,0.)); #460773=CARTESIAN_POINT('',(0.560915629999998,30.4814,0.035)); #460774=CARTESIAN_POINT('',(0.56091563,30.4814,0.035)); #460775=CARTESIAN_POINT('',(0.56091563,30.4814,0.)); #460776=CARTESIAN_POINT('Origin',(0.56008125,30.489334,0.)); #460777=CARTESIAN_POINT('',(0.56008125,30.489334,0.)); #460778=CARTESIAN_POINT('',(0.56008125,30.489334,0.)); #460779=CARTESIAN_POINT('',(0.56008125,30.489334,0.035)); #460780=CARTESIAN_POINT('',(0.56008125,30.489334,0.035)); #460781=CARTESIAN_POINT('',(0.56008125,30.489334,0.)); #460782=CARTESIAN_POINT('Origin',(0.37630313,33.996012,0.)); #460783=CARTESIAN_POINT('',(0.37630313,33.996012,0.)); #460784=CARTESIAN_POINT('',(0.37630313,33.996012,0.)); #460785=CARTESIAN_POINT('',(0.376303130000002,33.996012,0.035)); #460786=CARTESIAN_POINT('',(0.37630313,33.996012,0.035)); #460787=CARTESIAN_POINT('',(0.37630313,33.996012,0.)); #460788=CARTESIAN_POINT('Origin',(0.37630313,34.003988,0.)); #460789=CARTESIAN_POINT('',(0.37630313,34.003988,0.)); #460790=CARTESIAN_POINT('',(0.37630313,34.003988,0.)); #460791=CARTESIAN_POINT('',(0.376303130000002,34.003988,0.035)); #460792=CARTESIAN_POINT('',(0.37630313,34.003988,0.035)); #460793=CARTESIAN_POINT('',(0.37630313,34.003988,0.)); #460794=CARTESIAN_POINT('Origin',(0.56008125,37.510666,0.)); #460795=CARTESIAN_POINT('',(0.56008125,37.510666,0.)); #460796=CARTESIAN_POINT('',(0.56008125,37.510666,0.)); #460797=CARTESIAN_POINT('',(0.56008125,37.510666,0.035)); #460798=CARTESIAN_POINT('',(0.56008125,37.510666,0.035)); #460799=CARTESIAN_POINT('',(0.56008125,37.510666,0.)); #460800=CARTESIAN_POINT('Origin',(0.56091563,37.5186,0.)); #460801=CARTESIAN_POINT('',(0.56091563,37.5186,0.)); #460802=CARTESIAN_POINT('',(0.56091563,37.5186,0.)); #460803=CARTESIAN_POINT('',(0.560915629999998,37.5186,0.035)); #460804=CARTESIAN_POINT('',(0.56091563,37.5186,0.035)); #460805=CARTESIAN_POINT('',(0.56091563,37.5186,0.)); #460806=CARTESIAN_POINT('Origin',(1.1102344,40.986859,0.)); #460807=CARTESIAN_POINT('',(1.1102344,40.986859,0.)); #460808=CARTESIAN_POINT('',(1.1102344,40.986859,0.)); #460809=CARTESIAN_POINT('',(1.1102344,40.986859,0.035)); #460810=CARTESIAN_POINT('',(1.1102344,40.986859,0.035)); #460811=CARTESIAN_POINT('',(1.1102344,40.986859,0.)); #460812=CARTESIAN_POINT('Origin',(1.1118938,40.994659,0.)); #460813=CARTESIAN_POINT('',(1.1118938,40.994659,0.)); #460814=CARTESIAN_POINT('',(1.1118938,40.994659,0.)); #460815=CARTESIAN_POINT('',(1.1118938,40.994659,0.035)); #460816=CARTESIAN_POINT('',(1.1118938,40.994659,0.035)); #460817=CARTESIAN_POINT('',(1.1118938,40.994659,0.)); #460818=CARTESIAN_POINT('Origin',(2.0207344,44.3865,0.)); #460819=CARTESIAN_POINT('',(2.0207344,44.3865,0.)); #460820=CARTESIAN_POINT('',(2.0207344,44.3865,0.)); #460821=CARTESIAN_POINT('',(2.0207344,44.3865,0.035)); #460822=CARTESIAN_POINT('',(2.0207344,44.3865,0.035)); #460823=CARTESIAN_POINT('',(2.0207344,44.3865,0.)); #460824=CARTESIAN_POINT('Origin',(2.0232,44.394084,0.)); #460825=CARTESIAN_POINT('',(2.0232,44.394084,0.)); #460826=CARTESIAN_POINT('',(2.0232,44.394084,0.)); #460827=CARTESIAN_POINT('',(2.0232,44.394084,0.035)); #460828=CARTESIAN_POINT('',(2.0232,44.394084,0.035)); #460829=CARTESIAN_POINT('',(2.0232,44.394084,0.)); #460830=CARTESIAN_POINT('Origin',(3.2816063,47.672344,0.)); #460831=CARTESIAN_POINT('',(3.2816063,47.672344,0.)); #460832=CARTESIAN_POINT('',(3.2816063,47.672344,0.)); #460833=CARTESIAN_POINT('',(3.2816063,47.672344,0.035)); #460834=CARTESIAN_POINT('',(3.2816063,47.672344,0.035)); #460835=CARTESIAN_POINT('',(3.2816063,47.672344,0.)); #460836=CARTESIAN_POINT('Origin',(3.28485,47.679631,0.)); #460837=CARTESIAN_POINT('',(3.28485,47.679631,0.)); #460838=CARTESIAN_POINT('',(3.28485,47.679631,0.)); #460839=CARTESIAN_POINT('',(3.28485,47.679631,0.035)); #460840=CARTESIAN_POINT('',(3.28485,47.679631,0.035)); #460841=CARTESIAN_POINT('',(3.28485,47.679631,0.)); #460842=CARTESIAN_POINT('Origin',(4.8790313,50.808394,0.)); #460843=CARTESIAN_POINT('',(4.8790313,50.808394,0.)); #460844=CARTESIAN_POINT('',(4.8790313,50.808394,0.)); #460845=CARTESIAN_POINT('',(4.8790313,50.808394,0.035)); #460846=CARTESIAN_POINT('',(4.8790313,50.808394,0.035)); #460847=CARTESIAN_POINT('',(4.8790313,50.808394,0.)); #460848=CARTESIAN_POINT('Origin',(4.8830188,50.8153,0.)); #460849=CARTESIAN_POINT('',(4.8830188,50.8153,0.)); #460850=CARTESIAN_POINT('',(4.8830188,50.8153,0.)); #460851=CARTESIAN_POINT('',(4.8830188,50.8153,0.035)); #460852=CARTESIAN_POINT('',(4.8830188,50.8153,0.035)); #460853=CARTESIAN_POINT('',(4.8830188,50.8153,0.)); #460854=CARTESIAN_POINT('Origin',(6.7955156,53.760284,0.)); #460855=CARTESIAN_POINT('',(6.7955156,53.760284,0.)); #460856=CARTESIAN_POINT('',(6.7955156,53.760284,0.)); #460857=CARTESIAN_POINT('',(6.7955156,53.760284,0.035)); #460858=CARTESIAN_POINT('',(6.7955156,53.760284,0.035)); #460859=CARTESIAN_POINT('',(6.7955156,53.760284,0.)); #460860=CARTESIAN_POINT('Origin',(6.8002031,53.766738,0.)); #460861=CARTESIAN_POINT('',(6.8002031,53.766738,0.)); #460862=CARTESIAN_POINT('',(6.8002031,53.766738,0.)); #460863=CARTESIAN_POINT('',(6.8002031,53.766738,0.035)); #460864=CARTESIAN_POINT('',(6.8002031,53.766738,0.035)); #460865=CARTESIAN_POINT('',(6.8002031,53.766738,0.)); #460866=CARTESIAN_POINT('Origin',(9.0100562,56.495678,0.)); #460867=CARTESIAN_POINT('',(9.0100562,56.495678,0.)); #460868=CARTESIAN_POINT('',(9.0100562,56.495678,0.)); #460869=CARTESIAN_POINT('',(9.0100562,56.495678,0.035)); #460870=CARTESIAN_POINT('',(9.0100562,56.495678,0.035)); #460871=CARTESIAN_POINT('',(9.0100562,56.495678,0.)); #460872=CARTESIAN_POINT('Origin',(9.0153938,56.501606,0.)); #460873=CARTESIAN_POINT('',(9.0153938,56.501606,0.)); #460874=CARTESIAN_POINT('',(9.0153938,56.501606,0.)); #460875=CARTESIAN_POINT('',(9.0153938,56.501606,0.035)); #460876=CARTESIAN_POINT('',(9.0153938,56.501606,0.035)); #460877=CARTESIAN_POINT('',(9.0153938,56.501606,0.)); #460878=CARTESIAN_POINT('Origin',(11.498394,58.984606,0.)); #460879=CARTESIAN_POINT('',(11.498394,58.984606,0.)); #460880=CARTESIAN_POINT('',(11.498394,58.984606,0.)); #460881=CARTESIAN_POINT('',(11.498394,58.984606,0.035)); #460882=CARTESIAN_POINT('',(11.498394,58.984606,0.035)); #460883=CARTESIAN_POINT('',(11.498394,58.984606,0.)); #460884=CARTESIAN_POINT('Origin',(11.504322,58.989944,0.)); #460885=CARTESIAN_POINT('',(11.504322,58.989944,0.)); #460886=CARTESIAN_POINT('',(11.504322,58.989944,0.)); #460887=CARTESIAN_POINT('',(11.504322,58.989944,0.035)); #460888=CARTESIAN_POINT('',(11.504322,58.989944,0.035)); #460889=CARTESIAN_POINT('',(11.504322,58.989944,0.)); #460890=CARTESIAN_POINT('Origin',(14.233263,61.199797,0.)); #460891=CARTESIAN_POINT('',(14.233263,61.199797,0.)); #460892=CARTESIAN_POINT('',(14.233263,61.199797,0.)); #460893=CARTESIAN_POINT('',(14.233263,61.199797,0.035)); #460894=CARTESIAN_POINT('',(14.233263,61.199797,0.035)); #460895=CARTESIAN_POINT('',(14.233263,61.199797,0.)); #460896=CARTESIAN_POINT('Origin',(14.239716,61.204484,0.)); #460897=CARTESIAN_POINT('',(14.239716,61.204484,0.)); #460898=CARTESIAN_POINT('',(14.239716,61.204484,0.)); #460899=CARTESIAN_POINT('',(14.239716,61.204484,0.035)); #460900=CARTESIAN_POINT('',(14.239716,61.204484,0.035)); #460901=CARTESIAN_POINT('',(14.239716,61.204484,0.)); #460902=CARTESIAN_POINT('Origin',(17.1847,63.116981,0.)); #460903=CARTESIAN_POINT('',(17.1847,63.116981,0.)); #460904=CARTESIAN_POINT('',(17.1847,63.116981,0.)); #460905=CARTESIAN_POINT('',(17.1847,63.116981,0.035)); #460906=CARTESIAN_POINT('',(17.1847,63.116981,0.035)); #460907=CARTESIAN_POINT('',(17.1847,63.116981,0.)); #460908=CARTESIAN_POINT('Origin',(17.191606,63.120969,0.)); #460909=CARTESIAN_POINT('',(17.191606,63.120969,0.)); #460910=CARTESIAN_POINT('',(17.191606,63.120969,0.)); #460911=CARTESIAN_POINT('',(17.191606,63.120969,0.035)); #460912=CARTESIAN_POINT('',(17.191606,63.120969,0.035)); #460913=CARTESIAN_POINT('',(17.191606,63.120969,0.)); #460914=CARTESIAN_POINT('Origin',(20.320369,64.71515,0.)); #460915=CARTESIAN_POINT('',(20.320369,64.71515,0.)); #460916=CARTESIAN_POINT('',(20.320369,64.71515,0.)); #460917=CARTESIAN_POINT('',(20.320369,64.71515,0.035)); #460918=CARTESIAN_POINT('',(20.320369,64.71515,0.035)); #460919=CARTESIAN_POINT('',(20.320369,64.71515,0.)); #460920=CARTESIAN_POINT('Origin',(20.327656,64.718394,0.)); #460921=CARTESIAN_POINT('',(20.327656,64.718394,0.)); #460922=CARTESIAN_POINT('',(20.327656,64.718394,0.)); #460923=CARTESIAN_POINT('',(20.327656,64.718394,0.035)); #460924=CARTESIAN_POINT('',(20.327656,64.718394,0.035)); #460925=CARTESIAN_POINT('',(20.327656,64.718394,0.)); #460926=CARTESIAN_POINT('Origin',(23.605916,65.9768,0.)); #460927=CARTESIAN_POINT('',(23.605916,65.9768,0.)); #460928=CARTESIAN_POINT('',(23.605916,65.9768,0.)); #460929=CARTESIAN_POINT('',(23.605916,65.9768,0.035)); #460930=CARTESIAN_POINT('',(23.605916,65.9768,0.035)); #460931=CARTESIAN_POINT('',(23.605916,65.9768,0.)); #460932=CARTESIAN_POINT('Origin',(23.6135,65.979266,0.)); #460933=CARTESIAN_POINT('',(23.6135,65.979266,0.)); #460934=CARTESIAN_POINT('',(23.6135,65.979266,0.)); #460935=CARTESIAN_POINT('',(23.6135,65.979266,0.035)); #460936=CARTESIAN_POINT('',(23.6135,65.979266,0.035)); #460937=CARTESIAN_POINT('',(23.6135,65.979266,0.)); #460938=CARTESIAN_POINT('Origin',(27.005341,66.888106,0.)); #460939=CARTESIAN_POINT('',(27.005341,66.888106,0.)); #460940=CARTESIAN_POINT('',(27.005341,66.888106,0.)); #460941=CARTESIAN_POINT('',(27.005341,66.888106,0.035)); #460942=CARTESIAN_POINT('',(27.005341,66.888106,0.035)); #460943=CARTESIAN_POINT('',(27.005341,66.888106,0.)); #460944=CARTESIAN_POINT('Origin',(27.013141,66.889766,0.)); #460945=CARTESIAN_POINT('',(27.013141,66.889766,0.)); #460946=CARTESIAN_POINT('',(27.013141,66.889766,0.)); #460947=CARTESIAN_POINT('',(27.013141,66.889766,0.035)); #460948=CARTESIAN_POINT('',(27.013141,66.889766,0.035)); #460949=CARTESIAN_POINT('',(27.013141,66.889766,0.)); #460950=CARTESIAN_POINT('Origin',(30.4814,67.439084,0.)); #460951=CARTESIAN_POINT('',(30.4814,67.439084,0.)); #460952=CARTESIAN_POINT('',(30.4814,67.439084,0.)); #460953=CARTESIAN_POINT('',(30.4814,67.439084,0.035)); #460954=CARTESIAN_POINT('',(30.4814,67.439084,0.035)); #460955=CARTESIAN_POINT('',(30.4814,67.439084,0.)); #460956=CARTESIAN_POINT('Origin',(30.489334,67.439919,0.)); #460957=CARTESIAN_POINT('',(30.489334,67.439919,0.)); #460958=CARTESIAN_POINT('',(30.489334,67.439919,0.)); #460959=CARTESIAN_POINT('',(30.489334,67.439919,0.035)); #460960=CARTESIAN_POINT('',(30.489334,67.439919,0.035)); #460961=CARTESIAN_POINT('',(30.489334,67.439919,0.)); #460962=CARTESIAN_POINT('Origin',(33.996012,67.623697,0.)); #460963=CARTESIAN_POINT('',(33.996012,67.623697,0.)); #460964=CARTESIAN_POINT('',(33.996012,67.623697,0.)); #460965=CARTESIAN_POINT('',(33.996012,67.623697,0.035)); #460966=CARTESIAN_POINT('',(33.996012,67.623697,0.035)); #460967=CARTESIAN_POINT('',(33.996012,67.623697,0.)); #460968=CARTESIAN_POINT('Origin',(34.003988,67.623697,0.)); #460969=CARTESIAN_POINT('',(34.003988,67.623697,0.)); #460970=CARTESIAN_POINT('',(34.003988,67.623697,0.)); #460971=CARTESIAN_POINT('',(34.003988,67.623697,0.035)); #460972=CARTESIAN_POINT('',(34.003988,67.623697,0.035)); #460973=CARTESIAN_POINT('',(34.003988,67.623697,0.)); #460974=CARTESIAN_POINT('Origin',(37.510666,67.439919,0.)); #460975=CARTESIAN_POINT('',(37.510666,67.439919,0.)); #460976=CARTESIAN_POINT('',(37.510666,67.439919,0.)); #460977=CARTESIAN_POINT('',(37.510666,67.439919,0.035)); #460978=CARTESIAN_POINT('',(37.510666,67.439919,0.035)); #460979=CARTESIAN_POINT('',(37.510666,67.439919,0.)); #460980=CARTESIAN_POINT('Origin',(37.5186,67.439084,0.)); #460981=CARTESIAN_POINT('',(37.5186,67.439084,0.)); #460982=CARTESIAN_POINT('',(37.5186,67.439084,0.)); #460983=CARTESIAN_POINT('',(37.5186,67.439084,0.035)); #460984=CARTESIAN_POINT('',(37.5186,67.439084,0.035)); #460985=CARTESIAN_POINT('',(37.5186,67.439084,0.)); #460986=CARTESIAN_POINT('Origin',(40.986859,66.889766,0.)); #460987=CARTESIAN_POINT('',(40.986859,66.889766,0.)); #460988=CARTESIAN_POINT('',(40.986859,66.889766,0.)); #460989=CARTESIAN_POINT('',(40.986859,66.889766,0.035)); #460990=CARTESIAN_POINT('',(40.986859,66.889766,0.035)); #460991=CARTESIAN_POINT('',(40.986859,66.889766,0.)); #460992=CARTESIAN_POINT('Origin',(40.994659,66.888106,0.)); #460993=CARTESIAN_POINT('',(40.994659,66.888106,0.)); #460994=CARTESIAN_POINT('',(40.994659,66.888106,0.)); #460995=CARTESIAN_POINT('',(40.994659,66.888106,0.035)); #460996=CARTESIAN_POINT('',(40.994659,66.888106,0.035)); #460997=CARTESIAN_POINT('',(40.994659,66.888106,0.)); #460998=CARTESIAN_POINT('Origin',(44.3865,65.979266,0.)); #460999=CARTESIAN_POINT('',(44.3865,65.979266,0.)); #461000=CARTESIAN_POINT('',(44.3865,65.979266,0.)); #461001=CARTESIAN_POINT('',(44.3865,65.979266,0.035)); #461002=CARTESIAN_POINT('',(44.3865,65.979266,0.035)); #461003=CARTESIAN_POINT('',(44.3865,65.979266,0.)); #461004=CARTESIAN_POINT('Origin',(44.394084,65.9768,0.)); #461005=CARTESIAN_POINT('',(44.394084,65.9768,0.)); #461006=CARTESIAN_POINT('',(44.394084,65.9768,0.)); #461007=CARTESIAN_POINT('',(44.394084,65.9768,0.035)); #461008=CARTESIAN_POINT('',(44.394084,65.9768,0.035)); #461009=CARTESIAN_POINT('',(44.394084,65.9768,0.)); #461010=CARTESIAN_POINT('Origin',(47.672344,64.718394,0.)); #461011=CARTESIAN_POINT('',(47.672344,64.718394,0.)); #461012=CARTESIAN_POINT('',(47.672344,64.718394,0.)); #461013=CARTESIAN_POINT('',(47.672344,64.718394,0.035)); #461014=CARTESIAN_POINT('',(47.672344,64.718394,0.035)); #461015=CARTESIAN_POINT('',(47.672344,64.718394,0.)); #461016=CARTESIAN_POINT('Origin',(47.679631,64.71515,0.)); #461017=CARTESIAN_POINT('',(47.679631,64.71515,0.)); #461018=CARTESIAN_POINT('',(47.679631,64.71515,0.)); #461019=CARTESIAN_POINT('',(47.679631,64.71515,0.035)); #461020=CARTESIAN_POINT('',(47.679631,64.71515,0.035)); #461021=CARTESIAN_POINT('',(47.679631,64.71515,0.)); #461022=CARTESIAN_POINT('Origin',(50.808394,63.120969,0.)); #461023=CARTESIAN_POINT('',(50.808394,63.120969,0.)); #461024=CARTESIAN_POINT('',(50.808394,63.120969,0.)); #461025=CARTESIAN_POINT('',(50.808394,63.120969,0.035)); #461026=CARTESIAN_POINT('',(50.808394,63.120969,0.035)); #461027=CARTESIAN_POINT('',(50.808394,63.120969,0.)); #461028=CARTESIAN_POINT('Origin',(50.8153,63.116981,0.)); #461029=CARTESIAN_POINT('',(50.8153,63.116981,0.)); #461030=CARTESIAN_POINT('',(50.8153,63.116981,0.)); #461031=CARTESIAN_POINT('',(50.8153,63.116981,0.035)); #461032=CARTESIAN_POINT('',(50.8153,63.116981,0.035)); #461033=CARTESIAN_POINT('',(50.8153,63.116981,0.)); #461034=CARTESIAN_POINT('Origin',(53.760284,61.204484,0.)); #461035=CARTESIAN_POINT('',(53.760284,61.204484,0.)); #461036=CARTESIAN_POINT('',(53.760284,61.204484,0.)); #461037=CARTESIAN_POINT('',(53.760284,61.204484,0.035)); #461038=CARTESIAN_POINT('',(53.760284,61.204484,0.035)); #461039=CARTESIAN_POINT('',(53.760284,61.204484,0.)); #461040=CARTESIAN_POINT('Origin',(53.766738,61.199797,0.)); #461041=CARTESIAN_POINT('',(53.766738,61.199797,0.)); #461042=CARTESIAN_POINT('',(53.766738,61.199797,0.)); #461043=CARTESIAN_POINT('',(53.766738,61.199797,0.035)); #461044=CARTESIAN_POINT('',(53.766738,61.199797,0.035)); #461045=CARTESIAN_POINT('',(53.766738,61.199797,0.)); #461046=CARTESIAN_POINT('Origin',(56.495678,58.989944,0.)); #461047=CARTESIAN_POINT('',(56.495678,58.989944,0.)); #461048=CARTESIAN_POINT('',(56.495678,58.989944,0.)); #461049=CARTESIAN_POINT('',(56.495678,58.989944,0.035)); #461050=CARTESIAN_POINT('',(56.495678,58.989944,0.035)); #461051=CARTESIAN_POINT('',(56.495678,58.989944,0.)); #461052=CARTESIAN_POINT('Origin',(56.501606,58.984606,0.)); #461053=CARTESIAN_POINT('',(56.501606,58.984606,0.)); #461054=CARTESIAN_POINT('',(56.501606,58.984606,0.)); #461055=CARTESIAN_POINT('',(56.501606,58.984606,0.035)); #461056=CARTESIAN_POINT('',(56.501606,58.984606,0.035)); #461057=CARTESIAN_POINT('',(56.501606,58.984606,0.)); #461058=CARTESIAN_POINT('Origin',(58.984606,56.501606,0.)); #461059=CARTESIAN_POINT('',(58.984606,56.501606,0.)); #461060=CARTESIAN_POINT('',(58.984606,56.501606,0.)); #461061=CARTESIAN_POINT('',(58.984606,56.501606,0.035)); #461062=CARTESIAN_POINT('',(58.984606,56.501606,0.035)); #461063=CARTESIAN_POINT('',(58.984606,56.501606,0.)); #461064=CARTESIAN_POINT('Origin',(58.989944,56.495678,0.)); #461065=CARTESIAN_POINT('',(58.989944,56.495678,0.)); #461066=CARTESIAN_POINT('',(58.989944,56.495678,0.)); #461067=CARTESIAN_POINT('',(58.989944,56.495678,0.035)); #461068=CARTESIAN_POINT('',(58.989944,56.495678,0.035)); #461069=CARTESIAN_POINT('',(58.989944,56.495678,0.)); #461070=CARTESIAN_POINT('Origin',(61.199797,53.766738,0.)); #461071=CARTESIAN_POINT('',(61.199797,53.766738,0.)); #461072=CARTESIAN_POINT('',(61.199797,53.766738,0.)); #461073=CARTESIAN_POINT('',(61.199797,53.766738,0.035)); #461074=CARTESIAN_POINT('',(61.199797,53.766738,0.035)); #461075=CARTESIAN_POINT('',(61.199797,53.766738,0.)); #461076=CARTESIAN_POINT('Origin',(61.204484,53.760284,0.)); #461077=CARTESIAN_POINT('',(61.204484,53.760284,0.)); #461078=CARTESIAN_POINT('',(61.204484,53.760284,0.)); #461079=CARTESIAN_POINT('',(61.204484,53.760284,0.035)); #461080=CARTESIAN_POINT('',(61.204484,53.760284,0.035)); #461081=CARTESIAN_POINT('',(61.204484,53.760284,0.)); #461082=CARTESIAN_POINT('Origin',(63.116981,50.8153,0.)); #461083=CARTESIAN_POINT('',(63.116981,50.8153,0.)); #461084=CARTESIAN_POINT('',(63.116981,50.8153,0.)); #461085=CARTESIAN_POINT('',(63.116981,50.8153,0.035)); #461086=CARTESIAN_POINT('',(63.116981,50.8153,0.035)); #461087=CARTESIAN_POINT('',(63.116981,50.8153,0.)); #461088=CARTESIAN_POINT('Origin',(63.120969,50.808394,0.)); #461089=CARTESIAN_POINT('',(63.120969,50.808394,0.)); #461090=CARTESIAN_POINT('',(63.120969,50.808394,0.)); #461091=CARTESIAN_POINT('',(63.120969,50.808394,0.035)); #461092=CARTESIAN_POINT('',(63.120969,50.808394,0.035)); #461093=CARTESIAN_POINT('',(63.120969,50.808394,0.)); #461094=CARTESIAN_POINT('Origin',(64.71515,47.679631,0.)); #461095=CARTESIAN_POINT('',(64.71515,47.679631,0.)); #461096=CARTESIAN_POINT('',(64.71515,47.679631,0.)); #461097=CARTESIAN_POINT('',(64.71515,47.679631,0.035)); #461098=CARTESIAN_POINT('',(64.71515,47.679631,0.035)); #461099=CARTESIAN_POINT('',(64.71515,47.679631,0.)); #461100=CARTESIAN_POINT('Origin',(64.718394,47.672344,0.)); #461101=CARTESIAN_POINT('',(64.718394,47.672344,0.)); #461102=CARTESIAN_POINT('',(64.718394,47.672344,0.)); #461103=CARTESIAN_POINT('',(64.718394,47.672344,0.035)); #461104=CARTESIAN_POINT('',(64.718394,47.672344,0.035)); #461105=CARTESIAN_POINT('',(64.718394,47.672344,0.)); #461106=CARTESIAN_POINT('Origin',(65.9768,44.394084,0.)); #461107=CARTESIAN_POINT('',(65.9768,44.394084,0.)); #461108=CARTESIAN_POINT('',(65.9768,44.394084,0.)); #461109=CARTESIAN_POINT('',(65.9768,44.394084,0.035)); #461110=CARTESIAN_POINT('',(65.9768,44.394084,0.035)); #461111=CARTESIAN_POINT('',(65.9768,44.394084,0.)); #461112=CARTESIAN_POINT('Origin',(65.979266,44.3865,0.)); #461113=CARTESIAN_POINT('',(65.979266,44.3865,0.)); #461114=CARTESIAN_POINT('',(65.979266,44.3865,0.)); #461115=CARTESIAN_POINT('',(65.979266,44.3865,0.035)); #461116=CARTESIAN_POINT('',(65.979266,44.3865,0.035)); #461117=CARTESIAN_POINT('',(65.979266,44.3865,0.)); #461118=CARTESIAN_POINT('Origin',(66.888106,40.994659,0.)); #461119=CARTESIAN_POINT('',(66.888106,40.994659,0.)); #461120=CARTESIAN_POINT('',(66.888106,40.994659,0.)); #461121=CARTESIAN_POINT('',(66.888106,40.994659,0.035)); #461122=CARTESIAN_POINT('',(66.888106,40.994659,0.035)); #461123=CARTESIAN_POINT('',(66.888106,40.994659,0.)); #461124=CARTESIAN_POINT('Origin',(66.889766,40.986859,0.)); #461125=CARTESIAN_POINT('',(66.889766,40.986859,0.)); #461126=CARTESIAN_POINT('',(66.889766,40.986859,0.)); #461127=CARTESIAN_POINT('',(66.889766,40.986859,0.035)); #461128=CARTESIAN_POINT('',(66.889766,40.986859,0.035)); #461129=CARTESIAN_POINT('',(66.889766,40.986859,0.)); #461130=CARTESIAN_POINT('Origin',(67.439084,37.5186,0.)); #461131=CARTESIAN_POINT('',(67.439084,37.5186,0.)); #461132=CARTESIAN_POINT('',(67.439084,37.5186,0.)); #461133=CARTESIAN_POINT('',(67.439084,37.5186,0.035)); #461134=CARTESIAN_POINT('',(67.439084,37.5186,0.035)); #461135=CARTESIAN_POINT('',(67.439084,37.5186,0.)); #461136=CARTESIAN_POINT('Origin',(67.439919,37.510666,0.)); #461137=CARTESIAN_POINT('',(67.439919,37.510666,0.)); #461138=CARTESIAN_POINT('',(67.439919,37.510666,0.)); #461139=CARTESIAN_POINT('',(67.439919,37.510666,0.035)); #461140=CARTESIAN_POINT('',(67.439919,37.510666,0.035)); #461141=CARTESIAN_POINT('',(67.439919,37.510666,0.)); #461142=CARTESIAN_POINT('Origin',(67.623697,34.003988,0.)); #461143=CARTESIAN_POINT('',(67.623697,34.003988,0.)); #461144=CARTESIAN_POINT('',(67.623697,34.003988,0.)); #461145=CARTESIAN_POINT('',(67.623697,34.003988,0.035)); #461146=CARTESIAN_POINT('',(67.623697,34.003988,0.035)); #461147=CARTESIAN_POINT('',(67.623697,34.003988,0.)); #461148=CARTESIAN_POINT('Origin',(67.623697,33.996012,0.)); #461149=CARTESIAN_POINT('',(67.623697,33.996012,0.)); #461150=CARTESIAN_POINT('',(67.623697,33.996012,0.)); #461151=CARTESIAN_POINT('',(67.623697,33.996012,0.035)); #461152=CARTESIAN_POINT('',(67.623697,33.996012,0.035)); #461153=CARTESIAN_POINT('',(67.623697,33.996012,0.)); #461154=CARTESIAN_POINT('Origin',(67.439919,30.489334,0.)); #461155=CARTESIAN_POINT('',(67.439919,30.489334,0.)); #461156=CARTESIAN_POINT('',(67.439919,30.489334,0.)); #461157=CARTESIAN_POINT('',(67.439919,30.489334,0.035)); #461158=CARTESIAN_POINT('',(67.439919,30.489334,0.035)); #461159=CARTESIAN_POINT('',(67.439919,30.489334,0.)); #461160=CARTESIAN_POINT('Origin',(67.439084,30.4814,0.)); #461161=CARTESIAN_POINT('',(67.439084,30.4814,0.)); #461162=CARTESIAN_POINT('',(67.439084,30.4814,0.)); #461163=CARTESIAN_POINT('',(67.439084,30.4814,0.035)); #461164=CARTESIAN_POINT('',(67.439084,30.4814,0.035)); #461165=CARTESIAN_POINT('',(67.439084,30.4814,0.)); #461166=CARTESIAN_POINT('Origin',(66.889766,27.013141,0.)); #461167=CARTESIAN_POINT('',(66.889766,27.013141,0.)); #461168=CARTESIAN_POINT('',(66.889766,27.013141,0.)); #461169=CARTESIAN_POINT('',(66.889766,27.013141,0.035)); #461170=CARTESIAN_POINT('',(66.889766,27.013141,0.035)); #461171=CARTESIAN_POINT('',(66.889766,27.013141,0.)); #461172=CARTESIAN_POINT('Origin',(66.888106,27.005341,0.)); #461173=CARTESIAN_POINT('',(66.888106,27.005341,0.)); #461174=CARTESIAN_POINT('',(66.888106,27.005341,0.)); #461175=CARTESIAN_POINT('',(66.888106,27.005341,0.035)); #461176=CARTESIAN_POINT('',(66.888106,27.005341,0.035)); #461177=CARTESIAN_POINT('',(66.888106,27.005341,0.)); #461178=CARTESIAN_POINT('Origin',(65.979266,23.6135,0.)); #461179=CARTESIAN_POINT('',(65.979266,23.6135,0.)); #461180=CARTESIAN_POINT('',(65.979266,23.6135,0.)); #461181=CARTESIAN_POINT('',(65.979266,23.6135,0.035)); #461182=CARTESIAN_POINT('',(65.979266,23.6135,0.035)); #461183=CARTESIAN_POINT('',(65.979266,23.6135,0.)); #461184=CARTESIAN_POINT('Origin',(65.9768,23.605916,0.)); #461185=CARTESIAN_POINT('',(65.9768,23.605916,0.)); #461186=CARTESIAN_POINT('',(65.9768,23.605916,0.)); #461187=CARTESIAN_POINT('',(65.9768,23.605916,0.035)); #461188=CARTESIAN_POINT('',(65.9768,23.605916,0.035)); #461189=CARTESIAN_POINT('',(65.9768,23.605916,0.)); #461190=CARTESIAN_POINT('Origin',(64.718394,20.327656,0.)); #461191=CARTESIAN_POINT('',(64.718394,20.327656,0.)); #461192=CARTESIAN_POINT('',(64.718394,20.327656,0.)); #461193=CARTESIAN_POINT('',(64.718394,20.327656,0.035)); #461194=CARTESIAN_POINT('',(64.718394,20.327656,0.035)); #461195=CARTESIAN_POINT('',(64.718394,20.327656,0.)); #461196=CARTESIAN_POINT('Origin',(64.71515,20.320369,0.)); #461197=CARTESIAN_POINT('',(64.71515,20.320369,0.)); #461198=CARTESIAN_POINT('',(64.71515,20.320369,0.)); #461199=CARTESIAN_POINT('',(64.71515,20.320369,0.035)); #461200=CARTESIAN_POINT('',(64.71515,20.320369,0.035)); #461201=CARTESIAN_POINT('',(64.71515,20.320369,0.)); #461202=CARTESIAN_POINT('Origin',(63.120969,17.191606,0.)); #461203=CARTESIAN_POINT('',(63.120969,17.191606,0.)); #461204=CARTESIAN_POINT('',(63.120969,17.191606,0.)); #461205=CARTESIAN_POINT('',(63.120969,17.191606,0.035)); #461206=CARTESIAN_POINT('',(63.120969,17.191606,0.035)); #461207=CARTESIAN_POINT('',(63.120969,17.191606,0.)); #461208=CARTESIAN_POINT('Origin',(63.116981,17.1847,0.)); #461209=CARTESIAN_POINT('',(63.116981,17.1847,0.)); #461210=CARTESIAN_POINT('',(63.116981,17.1847,0.)); #461211=CARTESIAN_POINT('',(63.116981,17.1847,0.035)); #461212=CARTESIAN_POINT('',(63.116981,17.1847,0.035)); #461213=CARTESIAN_POINT('',(63.116981,17.1847,0.)); #461214=CARTESIAN_POINT('Origin',(61.204484,14.239716,0.)); #461215=CARTESIAN_POINT('',(61.204484,14.239716,0.)); #461216=CARTESIAN_POINT('',(61.204484,14.239716,0.)); #461217=CARTESIAN_POINT('',(61.204484,14.239716,0.035)); #461218=CARTESIAN_POINT('',(61.204484,14.239716,0.035)); #461219=CARTESIAN_POINT('',(61.204484,14.239716,0.)); #461220=CARTESIAN_POINT('Origin',(61.199797,14.233263,0.)); #461221=CARTESIAN_POINT('',(61.199797,14.233263,0.)); #461222=CARTESIAN_POINT('',(61.199797,14.233263,0.)); #461223=CARTESIAN_POINT('',(61.199797,14.233263,0.035)); #461224=CARTESIAN_POINT('',(61.199797,14.233263,0.035)); #461225=CARTESIAN_POINT('',(61.199797,14.233263,0.)); #461226=CARTESIAN_POINT('Origin',(58.989944,11.504322,0.)); #461227=CARTESIAN_POINT('',(58.989944,11.504322,0.)); #461228=CARTESIAN_POINT('',(58.989944,11.504322,0.)); #461229=CARTESIAN_POINT('',(58.989944,11.504322,0.035)); #461230=CARTESIAN_POINT('',(58.989944,11.504322,0.035)); #461231=CARTESIAN_POINT('',(58.989944,11.504322,0.)); #461232=CARTESIAN_POINT('Origin',(58.984606,11.498394,0.)); #461233=CARTESIAN_POINT('',(58.984606,11.498394,0.)); #461234=CARTESIAN_POINT('',(58.984606,11.498394,0.)); #461235=CARTESIAN_POINT('',(58.984606,11.498394,0.035)); #461236=CARTESIAN_POINT('',(58.984606,11.498394,0.035)); #461237=CARTESIAN_POINT('',(58.984606,11.498394,0.)); #461238=CARTESIAN_POINT('Origin',(56.501606,9.0153938,0.)); #461239=CARTESIAN_POINT('',(56.501606,9.0153938,0.)); #461240=CARTESIAN_POINT('',(56.501606,9.0153938,0.)); #461241=CARTESIAN_POINT('',(56.501606,9.0153938,0.035)); #461242=CARTESIAN_POINT('',(56.501606,9.0153938,0.035)); #461243=CARTESIAN_POINT('',(56.501606,9.0153938,0.)); #461244=CARTESIAN_POINT('Origin',(56.495678,9.0100562,0.)); #461245=CARTESIAN_POINT('',(56.495678,9.0100562,0.)); #461246=CARTESIAN_POINT('',(56.495678,9.0100562,0.)); #461247=CARTESIAN_POINT('',(56.495678,9.0100562,0.035)); #461248=CARTESIAN_POINT('',(56.495678,9.0100562,0.035)); #461249=CARTESIAN_POINT('',(56.495678,9.0100562,0.)); #461250=CARTESIAN_POINT('Origin',(53.766738,6.8002031,0.)); #461251=CARTESIAN_POINT('',(53.766738,6.8002031,0.)); #461252=CARTESIAN_POINT('',(53.766738,6.8002031,0.)); #461253=CARTESIAN_POINT('',(53.766738,6.8002031,0.035)); #461254=CARTESIAN_POINT('',(53.766738,6.8002031,0.035)); #461255=CARTESIAN_POINT('',(53.766738,6.8002031,0.)); #461256=CARTESIAN_POINT('Origin',(53.760284,6.7955156,0.)); #461257=CARTESIAN_POINT('',(53.760284,6.7955156,0.)); #461258=CARTESIAN_POINT('',(53.760284,6.7955156,0.)); #461259=CARTESIAN_POINT('',(53.760284,6.7955156,0.035)); #461260=CARTESIAN_POINT('',(53.760284,6.7955156,0.035)); #461261=CARTESIAN_POINT('',(53.760284,6.7955156,0.)); #461262=CARTESIAN_POINT('Origin',(50.8153,4.8830188,0.)); #461263=CARTESIAN_POINT('',(50.8153,4.8830188,0.)); #461264=CARTESIAN_POINT('',(50.8153,4.8830188,0.)); #461265=CARTESIAN_POINT('',(50.8153,4.8830188,0.035)); #461266=CARTESIAN_POINT('',(50.8153,4.8830188,0.035)); #461267=CARTESIAN_POINT('',(50.8153,4.8830188,0.)); #461268=CARTESIAN_POINT('Origin',(50.808394,4.8790313,0.)); #461269=CARTESIAN_POINT('',(50.808394,4.8790313,0.)); #461270=CARTESIAN_POINT('',(50.808394,4.8790313,0.)); #461271=CARTESIAN_POINT('',(50.808394,4.8790313,0.035)); #461272=CARTESIAN_POINT('',(50.808394,4.8790313,0.035)); #461273=CARTESIAN_POINT('',(50.808394,4.8790313,0.)); #461274=CARTESIAN_POINT('Origin',(47.679631,3.28485,0.)); #461275=CARTESIAN_POINT('',(47.679631,3.28485,0.)); #461276=CARTESIAN_POINT('',(47.679631,3.28485,0.)); #461277=CARTESIAN_POINT('',(47.679631,3.28485,0.035)); #461278=CARTESIAN_POINT('',(47.679631,3.28485,0.035)); #461279=CARTESIAN_POINT('',(47.679631,3.28485,0.)); #461280=CARTESIAN_POINT('Origin',(47.672344,3.2816063,0.)); #461281=CARTESIAN_POINT('',(47.672344,3.2816063,0.)); #461282=CARTESIAN_POINT('',(47.672344,3.2816063,0.)); #461283=CARTESIAN_POINT('',(47.672344,3.2816063,0.035)); #461284=CARTESIAN_POINT('',(47.672344,3.2816063,0.035)); #461285=CARTESIAN_POINT('',(47.672344,3.2816063,0.)); #461286=CARTESIAN_POINT('Origin',(44.394084,2.0232,0.)); #461287=CARTESIAN_POINT('',(44.394084,2.0232,0.)); #461288=CARTESIAN_POINT('',(44.394084,2.0232,0.)); #461289=CARTESIAN_POINT('',(44.394084,2.0232,0.035)); #461290=CARTESIAN_POINT('',(44.394084,2.0232,0.035)); #461291=CARTESIAN_POINT('',(44.394084,2.0232,0.)); #461292=CARTESIAN_POINT('Origin',(44.3865,2.0207344,0.)); #461293=CARTESIAN_POINT('',(44.3865,2.0207344,0.)); #461294=CARTESIAN_POINT('',(44.3865,2.0207344,0.)); #461295=CARTESIAN_POINT('',(44.3865,2.0207344,0.035)); #461296=CARTESIAN_POINT('',(44.3865,2.0207344,0.035)); #461297=CARTESIAN_POINT('',(44.3865,2.0207344,0.)); #461298=CARTESIAN_POINT('Origin',(40.994659,1.1118938,0.)); #461299=CARTESIAN_POINT('',(40.994659,1.1118938,0.)); #461300=CARTESIAN_POINT('',(40.994659,1.1118938,0.)); #461301=CARTESIAN_POINT('',(40.994659,1.1118938,0.035)); #461302=CARTESIAN_POINT('',(40.994659,1.1118938,0.035)); #461303=CARTESIAN_POINT('',(40.994659,1.1118938,0.)); #461304=CARTESIAN_POINT('Origin',(40.986859,1.1102344,0.)); #461305=CARTESIAN_POINT('',(40.986859,1.1102344,0.)); #461306=CARTESIAN_POINT('',(40.986859,1.1102344,0.)); #461307=CARTESIAN_POINT('',(40.986859,1.1102344,0.035)); #461308=CARTESIAN_POINT('',(40.986859,1.1102344,0.035)); #461309=CARTESIAN_POINT('',(40.986859,1.1102344,0.)); #461310=CARTESIAN_POINT('Origin',(37.5186,0.56091563,0.)); #461311=CARTESIAN_POINT('',(37.5186,0.56091563,0.)); #461312=CARTESIAN_POINT('',(37.5186,0.56091563,0.)); #461313=CARTESIAN_POINT('',(37.5186,0.56091563,0.035)); #461314=CARTESIAN_POINT('',(37.5186,0.56091563,0.035)); #461315=CARTESIAN_POINT('',(37.5186,0.56091563,0.)); #461316=CARTESIAN_POINT('Origin',(37.510666,0.56008125,0.)); #461317=CARTESIAN_POINT('',(37.510666,0.56008125,0.)); #461318=CARTESIAN_POINT('',(37.510666,0.56008125,0.035)); #461319=CARTESIAN_POINT('Origin',(34.0000000370592,34.0000000370592,0.035)); #461320=CARTESIAN_POINT('Origin',(34.0000000370592,34.0000000370592,0.)); #461321=CARTESIAN_POINT('Origin',(34.376216,55.261006,0.)); #461322=CARTESIAN_POINT('',(34.376216,55.261006,0.)); #461323=CARTESIAN_POINT('',(34.405834,55.267516,0.)); #461324=CARTESIAN_POINT('',(34.376216,55.261006,0.)); #461325=CARTESIAN_POINT('',(34.405834,55.267516,0.035)); #461326=CARTESIAN_POINT('',(34.405834,55.267516,0.)); #461327=CARTESIAN_POINT('',(34.376216,55.261006,0.035)); #461328=CARTESIAN_POINT('',(34.376216,55.261006,0.035)); #461329=CARTESIAN_POINT('',(34.376216,55.261006,0.)); #461330=CARTESIAN_POINT('Origin',(34.346403,55.266566,0.)); #461331=CARTESIAN_POINT('',(34.346403,55.266566,0.)); #461332=CARTESIAN_POINT('',(34.346403,55.266566,0.)); #461333=CARTESIAN_POINT('',(34.346403,55.266566,0.035)); #461334=CARTESIAN_POINT('',(34.346403,55.266566,0.035)); #461335=CARTESIAN_POINT('',(34.346403,55.266566,0.)); #461336=CARTESIAN_POINT('Origin',(34.321119,55.283316,0.)); #461337=CARTESIAN_POINT('',(34.321119,55.283316,0.)); #461338=CARTESIAN_POINT('',(34.321119,55.283316,0.)); #461339=CARTESIAN_POINT('',(34.321119,55.283316,0.035)); #461340=CARTESIAN_POINT('',(34.321119,55.283316,0.035)); #461341=CARTESIAN_POINT('',(34.321119,55.283316,0.)); #461342=CARTESIAN_POINT('Origin',(34.250919,55.353516,0.)); #461343=CARTESIAN_POINT('',(34.250919,55.353516,0.)); #461344=CARTESIAN_POINT('',(34.250919,55.353516,0.)); #461345=CARTESIAN_POINT('',(34.250919,55.353516,0.035)); #461346=CARTESIAN_POINT('',(34.250919,55.353516,0.035)); #461347=CARTESIAN_POINT('',(34.250919,55.353516,0.)); #461348=CARTESIAN_POINT('Origin',(34.234634,55.377675,0.)); #461349=CARTESIAN_POINT('',(34.234634,55.377675,0.)); #461350=CARTESIAN_POINT('',(34.234634,55.377675,0.)); #461351=CARTESIAN_POINT('',(34.234634,55.377675,0.035)); #461352=CARTESIAN_POINT('',(34.234634,55.377675,0.035)); #461353=CARTESIAN_POINT('',(34.234634,55.377675,0.)); #461354=CARTESIAN_POINT('Origin',(34.2286,55.407397,0.)); #461355=CARTESIAN_POINT('',(34.2286,55.407397,0.)); #461356=CARTESIAN_POINT('',(34.2286,55.407397,0.)); #461357=CARTESIAN_POINT('',(34.2286,55.407397,0.035)); #461358=CARTESIAN_POINT('',(34.2286,55.407397,0.035)); #461359=CARTESIAN_POINT('',(34.2286,55.407397,0.)); #461360=CARTESIAN_POINT('Origin',(34.2286,55.639147,0.)); #461361=CARTESIAN_POINT('',(34.2286,55.639147,0.)); #461362=CARTESIAN_POINT('',(34.2286,55.639147,0.)); #461363=CARTESIAN_POINT('',(34.2286,55.639147,0.035)); #461364=CARTESIAN_POINT('',(34.2286,55.639147,0.035)); #461365=CARTESIAN_POINT('',(34.2286,55.639147,0.)); #461366=CARTESIAN_POINT('Origin',(34.233294,55.665478,0.)); #461367=CARTESIAN_POINT('',(34.233294,55.665478,0.)); #461368=CARTESIAN_POINT('',(34.233294,55.665478,0.)); #461369=CARTESIAN_POINT('',(34.233294,55.665478,0.035)); #461370=CARTESIAN_POINT('',(34.233294,55.665478,0.035)); #461371=CARTESIAN_POINT('',(34.233294,55.665478,0.)); #461372=CARTESIAN_POINT('Origin',(34.249228,55.691284,0.)); #461373=CARTESIAN_POINT('',(34.249228,55.691284,0.)); #461374=CARTESIAN_POINT('',(34.249228,55.691284,0.)); #461375=CARTESIAN_POINT('',(34.249228,55.691284,0.035)); #461376=CARTESIAN_POINT('',(34.249228,55.691284,0.035)); #461377=CARTESIAN_POINT('',(34.249228,55.691284,0.)); #461378=CARTESIAN_POINT('Origin',(34.273966,55.708828,0.)); #461379=CARTESIAN_POINT('',(34.273966,55.708828,0.)); #461380=CARTESIAN_POINT('',(34.273966,55.708828,0.)); #461381=CARTESIAN_POINT('',(34.273966,55.708828,0.035)); #461382=CARTESIAN_POINT('',(34.273966,55.708828,0.035)); #461383=CARTESIAN_POINT('',(34.273966,55.708828,0.)); #461384=CARTESIAN_POINT('Origin',(34.303584,55.715338,0.)); #461385=CARTESIAN_POINT('',(34.303584,55.715338,0.)); #461386=CARTESIAN_POINT('',(34.303584,55.715338,0.)); #461387=CARTESIAN_POINT('',(34.303584,55.715338,0.035)); #461388=CARTESIAN_POINT('',(34.303584,55.715338,0.035)); #461389=CARTESIAN_POINT('',(34.303584,55.715338,0.)); #461390=CARTESIAN_POINT('Origin',(34.333397,55.709778,0.)); #461391=CARTESIAN_POINT('',(34.333397,55.709778,0.)); #461392=CARTESIAN_POINT('',(34.333397,55.709778,0.)); #461393=CARTESIAN_POINT('',(34.333397,55.709778,0.035)); #461394=CARTESIAN_POINT('',(34.333397,55.709778,0.035)); #461395=CARTESIAN_POINT('',(34.333397,55.709778,0.)); #461396=CARTESIAN_POINT('Origin',(34.358681,55.693028,0.)); #461397=CARTESIAN_POINT('',(34.358681,55.693028,0.)); #461398=CARTESIAN_POINT('',(34.358681,55.693028,0.)); #461399=CARTESIAN_POINT('',(34.358681,55.693028,0.035)); #461400=CARTESIAN_POINT('',(34.358681,55.693028,0.035)); #461401=CARTESIAN_POINT('',(34.358681,55.693028,0.)); #461402=CARTESIAN_POINT('Origin',(34.499081,55.552628,0.)); #461403=CARTESIAN_POINT('',(34.499081,55.552628,0.)); #461404=CARTESIAN_POINT('',(34.499081,55.552628,0.)); #461405=CARTESIAN_POINT('',(34.499081,55.552628,0.035)); #461406=CARTESIAN_POINT('',(34.499081,55.552628,0.035)); #461407=CARTESIAN_POINT('',(34.499081,55.552628,0.)); #461408=CARTESIAN_POINT('Origin',(34.515366,55.528469,0.)); #461409=CARTESIAN_POINT('',(34.515366,55.528469,0.)); #461410=CARTESIAN_POINT('',(34.515366,55.528469,0.)); #461411=CARTESIAN_POINT('',(34.515366,55.528469,0.035)); #461412=CARTESIAN_POINT('',(34.515366,55.528469,0.035)); #461413=CARTESIAN_POINT('',(34.515366,55.528469,0.)); #461414=CARTESIAN_POINT('Origin',(34.5214,55.498747,0.)); #461415=CARTESIAN_POINT('',(34.5214,55.498747,0.)); #461416=CARTESIAN_POINT('',(34.5214,55.498747,0.)); #461417=CARTESIAN_POINT('',(34.5214,55.498747,0.035)); #461418=CARTESIAN_POINT('',(34.5214,55.498747,0.035)); #461419=CARTESIAN_POINT('',(34.5214,55.498747,0.)); #461420=CARTESIAN_POINT('Origin',(34.5214,55.407397,0.)); #461421=CARTESIAN_POINT('',(34.5214,55.407397,0.)); #461422=CARTESIAN_POINT('',(34.5214,55.407397,0.)); #461423=CARTESIAN_POINT('',(34.5214,55.407397,0.035)); #461424=CARTESIAN_POINT('',(34.5214,55.407397,0.035)); #461425=CARTESIAN_POINT('',(34.5214,55.407397,0.)); #461426=CARTESIAN_POINT('Origin',(34.515831,55.3788,0.)); #461427=CARTESIAN_POINT('',(34.515831,55.3788,0.)); #461428=CARTESIAN_POINT('',(34.515831,55.3788,0.)); #461429=CARTESIAN_POINT('',(34.515831,55.3788,0.035)); #461430=CARTESIAN_POINT('',(34.515831,55.3788,0.035)); #461431=CARTESIAN_POINT('',(34.515831,55.3788,0.)); #461432=CARTESIAN_POINT('Origin',(34.499081,55.353516,0.)); #461433=CARTESIAN_POINT('',(34.499081,55.353516,0.)); #461434=CARTESIAN_POINT('',(34.499081,55.353516,0.)); #461435=CARTESIAN_POINT('',(34.499081,55.353516,0.035)); #461436=CARTESIAN_POINT('',(34.499081,55.353516,0.035)); #461437=CARTESIAN_POINT('',(34.499081,55.353516,0.)); #461438=CARTESIAN_POINT('Origin',(34.428881,55.283316,0.)); #461439=CARTESIAN_POINT('',(34.428881,55.283316,0.)); #461440=CARTESIAN_POINT('',(34.428881,55.283316,0.)); #461441=CARTESIAN_POINT('',(34.428881,55.283316,0.035)); #461442=CARTESIAN_POINT('',(34.428881,55.283316,0.035)); #461443=CARTESIAN_POINT('',(34.428881,55.283316,0.)); #461444=CARTESIAN_POINT('Origin',(34.405834,55.267516,0.)); #461445=CARTESIAN_POINT('',(34.405834,55.267516,0.)); #461446=CARTESIAN_POINT('',(34.405834,55.267516,0.035)); #461447=CARTESIAN_POINT('Origin',(34.359776144893,55.4864610160314,0.035)); #461448=CARTESIAN_POINT('Origin',(34.359776144893,55.4864610160314,0.)); #461449=CARTESIAN_POINT('Origin',(34.506028,23.108491,0.)); #461450=CARTESIAN_POINT('',(34.506028,23.108491,0.)); #461451=CARTESIAN_POINT('',(34.535544,23.115472,0.)); #461452=CARTESIAN_POINT('',(34.506028,23.108491,0.)); #461453=CARTESIAN_POINT('',(34.535544,23.115472,0.035)); #461454=CARTESIAN_POINT('',(34.535544,23.115472,0.)); #461455=CARTESIAN_POINT('',(34.506028,23.108491,0.035)); #461456=CARTESIAN_POINT('',(34.506028,23.108491,0.035)); #461457=CARTESIAN_POINT('',(34.506028,23.108491,0.)); #461458=CARTESIAN_POINT('Origin',(34.476131,23.113575,0.)); #461459=CARTESIAN_POINT('',(34.476131,23.113575,0.)); #461460=CARTESIAN_POINT('',(34.476131,23.113575,0.)); #461461=CARTESIAN_POINT('',(34.476131,23.113575,0.035)); #461462=CARTESIAN_POINT('',(34.476131,23.113575,0.035)); #461463=CARTESIAN_POINT('',(34.476131,23.113575,0.)); #461464=CARTESIAN_POINT('Origin',(34.450584,23.129919,0.)); #461465=CARTESIAN_POINT('',(34.450584,23.129919,0.)); #461466=CARTESIAN_POINT('',(34.450584,23.129919,0.)); #461467=CARTESIAN_POINT('',(34.450584,23.129919,0.035)); #461468=CARTESIAN_POINT('',(34.450584,23.129919,0.035)); #461469=CARTESIAN_POINT('',(34.450584,23.129919,0.)); #461470=CARTESIAN_POINT('Origin',(34.433434,23.154931,0.)); #461471=CARTESIAN_POINT('',(34.433434,23.154931,0.)); #461472=CARTESIAN_POINT('',(34.433434,23.154931,0.)); #461473=CARTESIAN_POINT('',(34.433434,23.154931,0.035)); #461474=CARTESIAN_POINT('',(34.433434,23.154931,0.035)); #461475=CARTESIAN_POINT('',(34.433434,23.154931,0.)); #461476=CARTESIAN_POINT('Origin',(34.4274,23.184653,0.)); #461477=CARTESIAN_POINT('',(34.4274,23.184653,0.)); #461478=CARTESIAN_POINT('',(34.4274,23.184653,0.)); #461479=CARTESIAN_POINT('',(34.4274,23.184653,0.035)); #461480=CARTESIAN_POINT('',(34.4274,23.184653,0.035)); #461481=CARTESIAN_POINT('',(34.4274,23.184653,0.)); #461482=CARTESIAN_POINT('Origin',(34.4274,23.302034,0.)); #461483=CARTESIAN_POINT('',(34.4274,23.302034,0.)); #461484=CARTESIAN_POINT('',(34.4274,23.302034,0.)); #461485=CARTESIAN_POINT('',(34.4274,23.302034,0.035)); #461486=CARTESIAN_POINT('',(34.4274,23.302034,0.035)); #461487=CARTESIAN_POINT('',(34.4274,23.302034,0.)); #461488=CARTESIAN_POINT('Origin',(34.250919,23.478516,0.)); #461489=CARTESIAN_POINT('',(34.250919,23.478516,0.)); #461490=CARTESIAN_POINT('',(34.250919,23.478516,0.)); #461491=CARTESIAN_POINT('',(34.250919,23.478516,0.035)); #461492=CARTESIAN_POINT('',(34.250919,23.478516,0.035)); #461493=CARTESIAN_POINT('',(34.250919,23.478516,0.)); #461494=CARTESIAN_POINT('Origin',(34.234634,23.502675,0.)); #461495=CARTESIAN_POINT('',(34.234634,23.502675,0.)); #461496=CARTESIAN_POINT('',(34.234634,23.502675,0.)); #461497=CARTESIAN_POINT('',(34.234634,23.502675,0.035)); #461498=CARTESIAN_POINT('',(34.234634,23.502675,0.035)); #461499=CARTESIAN_POINT('',(34.234634,23.502675,0.)); #461500=CARTESIAN_POINT('Origin',(34.2286,23.532397,0.)); #461501=CARTESIAN_POINT('',(34.2286,23.532397,0.)); #461502=CARTESIAN_POINT('',(34.2286,23.532397,0.)); #461503=CARTESIAN_POINT('',(34.2286,23.532397,0.035)); #461504=CARTESIAN_POINT('',(34.2286,23.532397,0.035)); #461505=CARTESIAN_POINT('',(34.2286,23.532397,0.)); #461506=CARTESIAN_POINT('Origin',(34.2286,24.317025,0.)); #461507=CARTESIAN_POINT('',(34.2286,24.317025,0.)); #461508=CARTESIAN_POINT('',(34.2286,24.317025,0.)); #461509=CARTESIAN_POINT('',(34.2286,24.317025,0.035)); #461510=CARTESIAN_POINT('',(34.2286,24.317025,0.035)); #461511=CARTESIAN_POINT('',(34.2286,24.317025,0.)); #461512=CARTESIAN_POINT('Origin',(34.233944,24.345059,0.)); #461513=CARTESIAN_POINT('',(34.233944,24.345059,0.)); #461514=CARTESIAN_POINT('',(34.233944,24.345059,0.)); #461515=CARTESIAN_POINT('',(34.233944,24.345059,0.035)); #461516=CARTESIAN_POINT('',(34.233944,24.345059,0.035)); #461517=CARTESIAN_POINT('',(34.233944,24.345059,0.)); #461518=CARTESIAN_POINT('Origin',(34.250491,24.370475,0.)); #461519=CARTESIAN_POINT('',(34.250491,24.370475,0.)); #461520=CARTESIAN_POINT('',(34.250491,24.370475,0.)); #461521=CARTESIAN_POINT('',(34.250491,24.370475,0.035)); #461522=CARTESIAN_POINT('',(34.250491,24.370475,0.035)); #461523=CARTESIAN_POINT('',(34.250491,24.370475,0.)); #461524=CARTESIAN_POINT('Origin',(34.275641,24.387425,0.)); #461525=CARTESIAN_POINT('',(34.275641,24.387425,0.)); #461526=CARTESIAN_POINT('',(34.275641,24.387425,0.)); #461527=CARTESIAN_POINT('',(34.275641,24.387425,0.035)); #461528=CARTESIAN_POINT('',(34.275641,24.387425,0.035)); #461529=CARTESIAN_POINT('',(34.275641,24.387425,0.)); #461530=CARTESIAN_POINT('Origin',(34.511169,24.484984,0.)); #461531=CARTESIAN_POINT('',(34.511169,24.484984,0.)); #461532=CARTESIAN_POINT('',(34.511169,24.484984,0.)); #461533=CARTESIAN_POINT('',(34.511169,24.484984,0.035)); #461534=CARTESIAN_POINT('',(34.511169,24.484984,0.035)); #461535=CARTESIAN_POINT('',(34.511169,24.484984,0.)); #461536=CARTESIAN_POINT('Origin',(34.703819,24.677634,0.)); #461537=CARTESIAN_POINT('',(34.703819,24.677634,0.)); #461538=CARTESIAN_POINT('',(34.703819,24.677634,0.)); #461539=CARTESIAN_POINT('',(34.703819,24.677634,0.035)); #461540=CARTESIAN_POINT('',(34.703819,24.677634,0.035)); #461541=CARTESIAN_POINT('',(34.703819,24.677634,0.)); #461542=CARTESIAN_POINT('Origin',(34.725756,24.692934,0.)); #461543=CARTESIAN_POINT('',(34.725756,24.692934,0.)); #461544=CARTESIAN_POINT('',(34.725756,24.692934,0.)); #461545=CARTESIAN_POINT('',(34.725756,24.692934,0.035)); #461546=CARTESIAN_POINT('',(34.725756,24.692934,0.035)); #461547=CARTESIAN_POINT('',(34.725756,24.692934,0.)); #461548=CARTESIAN_POINT('Origin',(34.755272,24.699916,0.)); #461549=CARTESIAN_POINT('',(34.755272,24.699916,0.)); #461550=CARTESIAN_POINT('',(34.755272,24.699916,0.)); #461551=CARTESIAN_POINT('',(34.755272,24.699916,0.035)); #461552=CARTESIAN_POINT('',(34.755272,24.699916,0.035)); #461553=CARTESIAN_POINT('',(34.755272,24.699916,0.)); #461554=CARTESIAN_POINT('Origin',(34.785169,24.694831,0.)); #461555=CARTESIAN_POINT('',(34.785169,24.694831,0.)); #461556=CARTESIAN_POINT('',(34.785169,24.694831,0.)); #461557=CARTESIAN_POINT('',(34.785169,24.694831,0.035)); #461558=CARTESIAN_POINT('',(34.785169,24.694831,0.035)); #461559=CARTESIAN_POINT('',(34.785169,24.694831,0.)); #461560=CARTESIAN_POINT('Origin',(34.810716,24.678488,0.)); #461561=CARTESIAN_POINT('',(34.810716,24.678488,0.)); #461562=CARTESIAN_POINT('',(34.810716,24.678488,0.)); #461563=CARTESIAN_POINT('',(34.810716,24.678488,0.035)); #461564=CARTESIAN_POINT('',(34.810716,24.678488,0.035)); #461565=CARTESIAN_POINT('',(34.810716,24.678488,0.)); #461566=CARTESIAN_POINT('Origin',(34.827866,24.653475,0.)); #461567=CARTESIAN_POINT('',(34.827866,24.653475,0.)); #461568=CARTESIAN_POINT('',(34.827866,24.653475,0.)); #461569=CARTESIAN_POINT('',(34.827866,24.653475,0.035)); #461570=CARTESIAN_POINT('',(34.827866,24.653475,0.035)); #461571=CARTESIAN_POINT('',(34.827866,24.653475,0.)); #461572=CARTESIAN_POINT('Origin',(34.8339,24.623753,0.)); #461573=CARTESIAN_POINT('',(34.8339,24.623753,0.)); #461574=CARTESIAN_POINT('',(34.8339,24.623753,0.)); #461575=CARTESIAN_POINT('',(34.8339,24.623753,0.035)); #461576=CARTESIAN_POINT('',(34.8339,24.623753,0.035)); #461577=CARTESIAN_POINT('',(34.8339,24.623753,0.)); #461578=CARTESIAN_POINT('Origin',(34.8339,23.438753,0.)); #461579=CARTESIAN_POINT('',(34.8339,23.438753,0.)); #461580=CARTESIAN_POINT('',(34.8339,23.438753,0.)); #461581=CARTESIAN_POINT('',(34.8339,23.438753,0.035)); #461582=CARTESIAN_POINT('',(34.8339,23.438753,0.035)); #461583=CARTESIAN_POINT('',(34.8339,23.438753,0.)); #461584=CARTESIAN_POINT('Origin',(34.828331,23.410156,0.)); #461585=CARTESIAN_POINT('',(34.828331,23.410156,0.)); #461586=CARTESIAN_POINT('',(34.828331,23.410156,0.)); #461587=CARTESIAN_POINT('',(34.828331,23.410156,0.035)); #461588=CARTESIAN_POINT('',(34.828331,23.410156,0.035)); #461589=CARTESIAN_POINT('',(34.828331,23.410156,0.)); #461590=CARTESIAN_POINT('Origin',(34.811581,23.384872,0.)); #461591=CARTESIAN_POINT('',(34.811581,23.384872,0.)); #461592=CARTESIAN_POINT('',(34.811581,23.384872,0.)); #461593=CARTESIAN_POINT('',(34.811581,23.384872,0.035)); #461594=CARTESIAN_POINT('',(34.811581,23.384872,0.035)); #461595=CARTESIAN_POINT('',(34.811581,23.384872,0.)); #461596=CARTESIAN_POINT('Origin',(34.557481,23.130772,0.)); #461597=CARTESIAN_POINT('',(34.557481,23.130772,0.)); #461598=CARTESIAN_POINT('',(34.557481,23.130772,0.)); #461599=CARTESIAN_POINT('',(34.557481,23.130772,0.035)); #461600=CARTESIAN_POINT('',(34.557481,23.130772,0.035)); #461601=CARTESIAN_POINT('',(34.557481,23.130772,0.)); #461602=CARTESIAN_POINT('Origin',(34.535544,23.115472,0.)); #461603=CARTESIAN_POINT('',(34.535544,23.115472,0.)); #461604=CARTESIAN_POINT('',(34.535544,23.115472,0.035)); #461605=CARTESIAN_POINT('Origin',(34.560751013423,23.9136647434973,0.035)); #461606=CARTESIAN_POINT('Origin',(34.560751013423,23.9136647434973,0.)); #461607=CARTESIAN_POINT('Origin',(36.095,31.7493,0.)); #461608=CARTESIAN_POINT('',(36.095,31.7493,0.)); #461609=CARTESIAN_POINT('',(36.124722,31.755334,0.)); #461610=CARTESIAN_POINT('',(36.095,31.7493,0.)); #461611=CARTESIAN_POINT('',(36.124722,31.755334,0.035)); #461612=CARTESIAN_POINT('',(36.124722,31.755334,0.)); #461613=CARTESIAN_POINT('',(36.095,31.7493,0.035)); #461614=CARTESIAN_POINT('',(36.095,31.7493,0.035)); #461615=CARTESIAN_POINT('',(36.095,31.7493,0.)); #461616=CARTESIAN_POINT('Origin',(35.7423,31.7493,0.)); #461617=CARTESIAN_POINT('',(35.7423,31.7493,0.)); #461618=CARTESIAN_POINT('',(35.7423,31.7493,0.)); #461619=CARTESIAN_POINT('',(35.7423,31.7493,0.035)); #461620=CARTESIAN_POINT('',(35.7423,31.7493,0.035)); #461621=CARTESIAN_POINT('',(35.7423,31.7493,0.)); #461622=CARTESIAN_POINT('Origin',(35.714831,31.754422,0.)); #461623=CARTESIAN_POINT('',(35.714831,31.754422,0.)); #461624=CARTESIAN_POINT('',(35.714831,31.754422,0.)); #461625=CARTESIAN_POINT('',(35.714831,31.754422,0.035)); #461626=CARTESIAN_POINT('',(35.714831,31.754422,0.035)); #461627=CARTESIAN_POINT('',(35.714831,31.754422,0.)); #461628=CARTESIAN_POINT('Origin',(35.689284,31.770766,0.)); #461629=CARTESIAN_POINT('',(35.689284,31.770766,0.)); #461630=CARTESIAN_POINT('',(35.689284,31.770766,0.)); #461631=CARTESIAN_POINT('',(35.689284,31.770766,0.035)); #461632=CARTESIAN_POINT('',(35.689284,31.770766,0.035)); #461633=CARTESIAN_POINT('',(35.689284,31.770766,0.)); #461634=CARTESIAN_POINT('Origin',(35.672134,31.795778,0.)); #461635=CARTESIAN_POINT('',(35.672134,31.795778,0.)); #461636=CARTESIAN_POINT('',(35.672134,31.795778,0.)); #461637=CARTESIAN_POINT('',(35.672134,31.795778,0.035)); #461638=CARTESIAN_POINT('',(35.672134,31.795778,0.035)); #461639=CARTESIAN_POINT('',(35.672134,31.795778,0.)); #461640=CARTESIAN_POINT('Origin',(35.6661,31.8255,0.)); #461641=CARTESIAN_POINT('',(35.6661,31.8255,0.)); #461642=CARTESIAN_POINT('',(35.6661,31.8255,0.)); #461643=CARTESIAN_POINT('',(35.6661,31.8255,0.035)); #461644=CARTESIAN_POINT('',(35.6661,31.8255,0.035)); #461645=CARTESIAN_POINT('',(35.6661,31.8255,0.)); #461646=CARTESIAN_POINT('Origin',(35.6661,34.061247,0.)); #461647=CARTESIAN_POINT('',(35.6661,34.061247,0.)); #461648=CARTESIAN_POINT('',(35.6661,34.061247,0.)); #461649=CARTESIAN_POINT('',(35.6661,34.061247,0.035)); #461650=CARTESIAN_POINT('',(35.6661,34.061247,0.035)); #461651=CARTESIAN_POINT('',(35.6661,34.061247,0.)); #461652=CARTESIAN_POINT('Origin',(35.671669,34.089844,0.)); #461653=CARTESIAN_POINT('',(35.671669,34.089844,0.)); #461654=CARTESIAN_POINT('',(35.671669,34.089844,0.)); #461655=CARTESIAN_POINT('',(35.671669,34.089844,0.035)); #461656=CARTESIAN_POINT('',(35.671669,34.089844,0.035)); #461657=CARTESIAN_POINT('',(35.671669,34.089844,0.)); #461658=CARTESIAN_POINT('Origin',(35.688419,34.115128,0.)); #461659=CARTESIAN_POINT('',(35.688419,34.115128,0.)); #461660=CARTESIAN_POINT('',(35.688419,34.115128,0.)); #461661=CARTESIAN_POINT('',(35.688419,34.115128,0.035)); #461662=CARTESIAN_POINT('',(35.688419,34.115128,0.035)); #461663=CARTESIAN_POINT('',(35.688419,34.115128,0.)); #461664=CARTESIAN_POINT('Origin',(36.041119,34.467828,0.)); #461665=CARTESIAN_POINT('',(36.041119,34.467828,0.)); #461666=CARTESIAN_POINT('',(36.041119,34.467828,0.)); #461667=CARTESIAN_POINT('',(36.041119,34.467828,0.035)); #461668=CARTESIAN_POINT('',(36.041119,34.467828,0.035)); #461669=CARTESIAN_POINT('',(36.041119,34.467828,0.)); #461670=CARTESIAN_POINT('Origin',(36.063056,34.483128,0.)); #461671=CARTESIAN_POINT('',(36.063056,34.483128,0.)); #461672=CARTESIAN_POINT('',(36.063056,34.483128,0.)); #461673=CARTESIAN_POINT('',(36.063056,34.483128,0.035)); #461674=CARTESIAN_POINT('',(36.063056,34.483128,0.035)); #461675=CARTESIAN_POINT('',(36.063056,34.483128,0.)); #461676=CARTESIAN_POINT('Origin',(36.092572,34.490109,0.)); #461677=CARTESIAN_POINT('',(36.092572,34.490109,0.)); #461678=CARTESIAN_POINT('',(36.092572,34.490109,0.)); #461679=CARTESIAN_POINT('',(36.092572,34.490109,0.035)); #461680=CARTESIAN_POINT('',(36.092572,34.490109,0.035)); #461681=CARTESIAN_POINT('',(36.092572,34.490109,0.)); #461682=CARTESIAN_POINT('Origin',(36.122469,34.485025,0.)); #461683=CARTESIAN_POINT('',(36.122469,34.485025,0.)); #461684=CARTESIAN_POINT('',(36.122469,34.485025,0.)); #461685=CARTESIAN_POINT('',(36.122469,34.485025,0.035)); #461686=CARTESIAN_POINT('',(36.122469,34.485025,0.035)); #461687=CARTESIAN_POINT('',(36.122469,34.485025,0.)); #461688=CARTESIAN_POINT('Origin',(36.148016,34.468681,0.)); #461689=CARTESIAN_POINT('',(36.148016,34.468681,0.)); #461690=CARTESIAN_POINT('',(36.148016,34.468681,0.)); #461691=CARTESIAN_POINT('',(36.148016,34.468681,0.035)); #461692=CARTESIAN_POINT('',(36.148016,34.468681,0.035)); #461693=CARTESIAN_POINT('',(36.148016,34.468681,0.)); #461694=CARTESIAN_POINT('Origin',(36.165166,34.443669,0.)); #461695=CARTESIAN_POINT('',(36.165166,34.443669,0.)); #461696=CARTESIAN_POINT('',(36.165166,34.443669,0.)); #461697=CARTESIAN_POINT('',(36.165166,34.443669,0.035)); #461698=CARTESIAN_POINT('',(36.165166,34.443669,0.035)); #461699=CARTESIAN_POINT('',(36.165166,34.443669,0.)); #461700=CARTESIAN_POINT('Origin',(36.1712,34.413947,0.)); #461701=CARTESIAN_POINT('',(36.1712,34.413947,0.)); #461702=CARTESIAN_POINT('',(36.1712,34.413947,0.)); #461703=CARTESIAN_POINT('',(36.1712,34.413947,0.035)); #461704=CARTESIAN_POINT('',(36.1712,34.413947,0.035)); #461705=CARTESIAN_POINT('',(36.1712,34.413947,0.)); #461706=CARTESIAN_POINT('Origin',(36.1712,31.8255,0.)); #461707=CARTESIAN_POINT('',(36.1712,31.8255,0.)); #461708=CARTESIAN_POINT('',(36.1712,31.8255,0.)); #461709=CARTESIAN_POINT('',(36.1712,31.8255,0.035)); #461710=CARTESIAN_POINT('',(36.1712,31.8255,0.035)); #461711=CARTESIAN_POINT('',(36.1712,31.8255,0.)); #461712=CARTESIAN_POINT('Origin',(36.166078,31.798031,0.)); #461713=CARTESIAN_POINT('',(36.166078,31.798031,0.)); #461714=CARTESIAN_POINT('',(36.166078,31.798031,0.)); #461715=CARTESIAN_POINT('',(36.166078,31.798031,0.035)); #461716=CARTESIAN_POINT('',(36.166078,31.798031,0.035)); #461717=CARTESIAN_POINT('',(36.166078,31.798031,0.)); #461718=CARTESIAN_POINT('Origin',(36.149734,31.772484,0.)); #461719=CARTESIAN_POINT('',(36.149734,31.772484,0.)); #461720=CARTESIAN_POINT('',(36.149734,31.772484,0.)); #461721=CARTESIAN_POINT('',(36.149734,31.772484,0.035)); #461722=CARTESIAN_POINT('',(36.149734,31.772484,0.035)); #461723=CARTESIAN_POINT('',(36.149734,31.772484,0.)); #461724=CARTESIAN_POINT('Origin',(36.124722,31.755334,0.)); #461725=CARTESIAN_POINT('',(36.124722,31.755334,0.)); #461726=CARTESIAN_POINT('',(36.124722,31.755334,0.035)); #461727=CARTESIAN_POINT('Origin',(35.9321701223949,33.0686561372274,0.035)); #461728=CARTESIAN_POINT('Origin',(35.9321701223949,33.0686561372274,0.)); #461729=CARTESIAN_POINT('Origin',(35.744728,23.286516,0.)); #461730=CARTESIAN_POINT('',(35.744728,23.286516,0.)); #461731=CARTESIAN_POINT('',(35.774244,23.293497,0.)); #461732=CARTESIAN_POINT('',(35.744728,23.286516,0.)); #461733=CARTESIAN_POINT('',(35.774244,23.293497,0.035)); #461734=CARTESIAN_POINT('',(35.774244,23.293497,0.)); #461735=CARTESIAN_POINT('',(35.744728,23.286516,0.035)); #461736=CARTESIAN_POINT('',(35.744728,23.286516,0.035)); #461737=CARTESIAN_POINT('',(35.744728,23.286516,0.)); #461738=CARTESIAN_POINT('Origin',(35.714831,23.2916,0.)); #461739=CARTESIAN_POINT('',(35.714831,23.2916,0.)); #461740=CARTESIAN_POINT('',(35.714831,23.2916,0.)); #461741=CARTESIAN_POINT('',(35.714831,23.2916,0.035)); #461742=CARTESIAN_POINT('',(35.714831,23.2916,0.035)); #461743=CARTESIAN_POINT('',(35.714831,23.2916,0.)); #461744=CARTESIAN_POINT('Origin',(35.689284,23.307944,0.)); #461745=CARTESIAN_POINT('',(35.689284,23.307944,0.)); #461746=CARTESIAN_POINT('',(35.689284,23.307944,0.)); #461747=CARTESIAN_POINT('',(35.689284,23.307944,0.035)); #461748=CARTESIAN_POINT('',(35.689284,23.307944,0.035)); #461749=CARTESIAN_POINT('',(35.689284,23.307944,0.)); #461750=CARTESIAN_POINT('Origin',(35.672134,23.332956,0.)); #461751=CARTESIAN_POINT('',(35.672134,23.332956,0.)); #461752=CARTESIAN_POINT('',(35.672134,23.332956,0.)); #461753=CARTESIAN_POINT('',(35.672134,23.332956,0.035)); #461754=CARTESIAN_POINT('',(35.672134,23.332956,0.035)); #461755=CARTESIAN_POINT('',(35.672134,23.332956,0.)); #461756=CARTESIAN_POINT('Origin',(35.6661,23.362678,0.)); #461757=CARTESIAN_POINT('',(35.6661,23.362678,0.)); #461758=CARTESIAN_POINT('',(35.6661,23.362678,0.)); #461759=CARTESIAN_POINT('',(35.6661,23.362678,0.035)); #461760=CARTESIAN_POINT('',(35.6661,23.362678,0.035)); #461761=CARTESIAN_POINT('',(35.6661,23.362678,0.)); #461762=CARTESIAN_POINT('Origin',(35.6661,24.623753,0.)); #461763=CARTESIAN_POINT('',(35.6661,24.623753,0.)); #461764=CARTESIAN_POINT('',(35.6661,24.623753,0.)); #461765=CARTESIAN_POINT('',(35.6661,24.623753,0.035)); #461766=CARTESIAN_POINT('',(35.6661,24.623753,0.035)); #461767=CARTESIAN_POINT('',(35.6661,24.623753,0.)); #461768=CARTESIAN_POINT('Origin',(35.670794,24.650084,0.)); #461769=CARTESIAN_POINT('',(35.670794,24.650084,0.)); #461770=CARTESIAN_POINT('',(35.670794,24.650084,0.)); #461771=CARTESIAN_POINT('',(35.670794,24.650084,0.035)); #461772=CARTESIAN_POINT('',(35.670794,24.650084,0.035)); #461773=CARTESIAN_POINT('',(35.670794,24.650084,0.)); #461774=CARTESIAN_POINT('Origin',(35.686728,24.675891,0.)); #461775=CARTESIAN_POINT('',(35.686728,24.675891,0.)); #461776=CARTESIAN_POINT('',(35.686728,24.675891,0.)); #461777=CARTESIAN_POINT('',(35.686728,24.675891,0.035)); #461778=CARTESIAN_POINT('',(35.686728,24.675891,0.035)); #461779=CARTESIAN_POINT('',(35.686728,24.675891,0.)); #461780=CARTESIAN_POINT('Origin',(35.711466,24.693434,0.)); #461781=CARTESIAN_POINT('',(35.711466,24.693434,0.)); #461782=CARTESIAN_POINT('',(35.711466,24.693434,0.)); #461783=CARTESIAN_POINT('',(35.711466,24.693434,0.035)); #461784=CARTESIAN_POINT('',(35.711466,24.693434,0.035)); #461785=CARTESIAN_POINT('',(35.711466,24.693434,0.)); #461786=CARTESIAN_POINT('Origin',(35.741084,24.699944,0.)); #461787=CARTESIAN_POINT('',(35.741084,24.699944,0.)); #461788=CARTESIAN_POINT('',(35.741084,24.699944,0.)); #461789=CARTESIAN_POINT('',(35.741084,24.699944,0.035)); #461790=CARTESIAN_POINT('',(35.741084,24.699944,0.035)); #461791=CARTESIAN_POINT('',(35.741084,24.699944,0.)); #461792=CARTESIAN_POINT('Origin',(35.770897,24.694384,0.)); #461793=CARTESIAN_POINT('',(35.770897,24.694384,0.)); #461794=CARTESIAN_POINT('',(35.770897,24.694384,0.)); #461795=CARTESIAN_POINT('',(35.770897,24.694384,0.035)); #461796=CARTESIAN_POINT('',(35.770897,24.694384,0.035)); #461797=CARTESIAN_POINT('',(35.770897,24.694384,0.)); #461798=CARTESIAN_POINT('Origin',(35.796181,24.677634,0.)); #461799=CARTESIAN_POINT('',(35.796181,24.677634,0.)); #461800=CARTESIAN_POINT('',(35.796181,24.677634,0.)); #461801=CARTESIAN_POINT('',(35.796181,24.677634,0.035)); #461802=CARTESIAN_POINT('',(35.796181,24.677634,0.035)); #461803=CARTESIAN_POINT('',(35.796181,24.677634,0.)); #461804=CARTESIAN_POINT('Origin',(35.988831,24.484984,0.)); #461805=CARTESIAN_POINT('',(35.988831,24.484984,0.)); #461806=CARTESIAN_POINT('',(35.988831,24.484984,0.)); #461807=CARTESIAN_POINT('',(35.988831,24.484984,0.035)); #461808=CARTESIAN_POINT('',(35.988831,24.484984,0.035)); #461809=CARTESIAN_POINT('',(35.988831,24.484984,0.)); #461810=CARTESIAN_POINT('Origin',(36.3205,24.3476,0.)); #461811=CARTESIAN_POINT('',(36.3205,24.3476,0.)); #461812=CARTESIAN_POINT('',(36.3205,24.3476,0.)); #461813=CARTESIAN_POINT('',(36.3205,24.3476,0.035)); #461814=CARTESIAN_POINT('',(36.3205,24.3476,0.035)); #461815=CARTESIAN_POINT('',(36.3205,24.3476,0.)); #461816=CARTESIAN_POINT('Origin',(36.651022,24.3476,0.)); #461817=CARTESIAN_POINT('',(36.651022,24.3476,0.)); #461818=CARTESIAN_POINT('',(36.651022,24.3476,0.)); #461819=CARTESIAN_POINT('',(36.651022,24.3476,0.035)); #461820=CARTESIAN_POINT('',(36.651022,24.3476,0.035)); #461821=CARTESIAN_POINT('',(36.651022,24.3476,0.)); #461822=CARTESIAN_POINT('Origin',(36.677353,24.342906,0.)); #461823=CARTESIAN_POINT('',(36.677353,24.342906,0.)); #461824=CARTESIAN_POINT('',(36.677353,24.342906,0.)); #461825=CARTESIAN_POINT('',(36.677353,24.342906,0.035)); #461826=CARTESIAN_POINT('',(36.677353,24.342906,0.035)); #461827=CARTESIAN_POINT('',(36.677353,24.342906,0.)); #461828=CARTESIAN_POINT('Origin',(36.703159,24.326972,0.)); #461829=CARTESIAN_POINT('',(36.703159,24.326972,0.)); #461830=CARTESIAN_POINT('',(36.703159,24.326972,0.)); #461831=CARTESIAN_POINT('',(36.703159,24.326972,0.035)); #461832=CARTESIAN_POINT('',(36.703159,24.326972,0.035)); #461833=CARTESIAN_POINT('',(36.703159,24.326972,0.)); #461834=CARTESIAN_POINT('Origin',(36.720703,24.302234,0.)); #461835=CARTESIAN_POINT('',(36.720703,24.302234,0.)); #461836=CARTESIAN_POINT('',(36.720703,24.302234,0.)); #461837=CARTESIAN_POINT('',(36.720703,24.302234,0.035)); #461838=CARTESIAN_POINT('',(36.720703,24.302234,0.035)); #461839=CARTESIAN_POINT('',(36.720703,24.302234,0.)); #461840=CARTESIAN_POINT('Origin',(36.727213,24.272616,0.)); #461841=CARTESIAN_POINT('',(36.727213,24.272616,0.)); #461842=CARTESIAN_POINT('',(36.727213,24.272616,0.)); #461843=CARTESIAN_POINT('',(36.727213,24.272616,0.035)); #461844=CARTESIAN_POINT('',(36.727213,24.272616,0.035)); #461845=CARTESIAN_POINT('',(36.727213,24.272616,0.)); #461846=CARTESIAN_POINT('Origin',(36.721653,24.242803,0.)); #461847=CARTESIAN_POINT('',(36.721653,24.242803,0.)); #461848=CARTESIAN_POINT('',(36.721653,24.242803,0.)); #461849=CARTESIAN_POINT('',(36.721653,24.242803,0.035)); #461850=CARTESIAN_POINT('',(36.721653,24.242803,0.035)); #461851=CARTESIAN_POINT('',(36.721653,24.242803,0.)); #461852=CARTESIAN_POINT('Origin',(36.704903,24.217519,0.)); #461853=CARTESIAN_POINT('',(36.704903,24.217519,0.)); #461854=CARTESIAN_POINT('',(36.704903,24.217519,0.)); #461855=CARTESIAN_POINT('',(36.704903,24.217519,0.035)); #461856=CARTESIAN_POINT('',(36.704903,24.217519,0.035)); #461857=CARTESIAN_POINT('',(36.704903,24.217519,0.)); #461858=CARTESIAN_POINT('Origin',(35.796181,23.308797,0.)); #461859=CARTESIAN_POINT('',(35.796181,23.308797,0.)); #461860=CARTESIAN_POINT('',(35.796181,23.308797,0.)); #461861=CARTESIAN_POINT('',(35.796181,23.308797,0.035)); #461862=CARTESIAN_POINT('',(35.796181,23.308797,0.035)); #461863=CARTESIAN_POINT('',(35.796181,23.308797,0.)); #461864=CARTESIAN_POINT('Origin',(35.774244,23.293497,0.)); #461865=CARTESIAN_POINT('',(35.774244,23.293497,0.)); #461866=CARTESIAN_POINT('',(35.774244,23.293497,0.035)); #461867=CARTESIAN_POINT('Origin',(36.0280844586774,24.0395230380529,0.035)); #461868=CARTESIAN_POINT('Origin',(36.0280844586774,24.0395230380529,0.)); #461869=CARTESIAN_POINT('Origin',(30.001216,37.592863,0.)); #461870=CARTESIAN_POINT('',(30.001216,37.592863,0.)); #461871=CARTESIAN_POINT('',(30.030834,37.599372,0.)); #461872=CARTESIAN_POINT('',(30.001216,37.592863,0.)); #461873=CARTESIAN_POINT('',(30.030834,37.599372,0.035)); #461874=CARTESIAN_POINT('',(30.030834,37.599372,0.)); #461875=CARTESIAN_POINT('',(30.001216,37.592863,0.035)); #461876=CARTESIAN_POINT('',(30.001216,37.592863,0.035)); #461877=CARTESIAN_POINT('',(30.001216,37.592863,0.)); #461878=CARTESIAN_POINT('Origin',(29.971403,37.598422,0.)); #461879=CARTESIAN_POINT('',(29.971403,37.598422,0.)); #461880=CARTESIAN_POINT('',(29.971403,37.598422,0.)); #461881=CARTESIAN_POINT('',(29.971403,37.598422,0.035)); #461882=CARTESIAN_POINT('',(29.971403,37.598422,0.035)); #461883=CARTESIAN_POINT('',(29.971403,37.598422,0.)); #461884=CARTESIAN_POINT('Origin',(29.946119,37.615172,0.)); #461885=CARTESIAN_POINT('',(29.946119,37.615172,0.)); #461886=CARTESIAN_POINT('',(29.946119,37.615172,0.)); #461887=CARTESIAN_POINT('',(29.946119,37.615172,0.035)); #461888=CARTESIAN_POINT('',(29.946119,37.615172,0.035)); #461889=CARTESIAN_POINT('',(29.946119,37.615172,0.)); #461890=CARTESIAN_POINT('Origin',(29.831819,37.729472,0.)); #461891=CARTESIAN_POINT('',(29.831819,37.729472,0.)); #461892=CARTESIAN_POINT('',(29.831819,37.729472,0.)); #461893=CARTESIAN_POINT('',(29.831819,37.729472,0.035)); #461894=CARTESIAN_POINT('',(29.831819,37.729472,0.035)); #461895=CARTESIAN_POINT('',(29.831819,37.729472,0.)); #461896=CARTESIAN_POINT('Origin',(29.815534,37.753631,0.)); #461897=CARTESIAN_POINT('',(29.815534,37.753631,0.)); #461898=CARTESIAN_POINT('',(29.815534,37.753631,0.)); #461899=CARTESIAN_POINT('',(29.815534,37.753631,0.035)); #461900=CARTESIAN_POINT('',(29.815534,37.753631,0.035)); #461901=CARTESIAN_POINT('',(29.815534,37.753631,0.)); #461902=CARTESIAN_POINT('Origin',(29.8095,37.783353,0.)); #461903=CARTESIAN_POINT('',(29.8095,37.783353,0.)); #461904=CARTESIAN_POINT('',(29.8095,37.783353,0.)); #461905=CARTESIAN_POINT('',(29.8095,37.783353,0.035)); #461906=CARTESIAN_POINT('',(29.8095,37.783353,0.035)); #461907=CARTESIAN_POINT('',(29.8095,37.783353,0.)); #461908=CARTESIAN_POINT('Origin',(29.8095,38.445247,0.)); #461909=CARTESIAN_POINT('',(29.8095,38.445247,0.)); #461910=CARTESIAN_POINT('',(29.8095,38.445247,0.)); #461911=CARTESIAN_POINT('',(29.8095,38.445247,0.035)); #461912=CARTESIAN_POINT('',(29.8095,38.445247,0.035)); #461913=CARTESIAN_POINT('',(29.8095,38.445247,0.)); #461914=CARTESIAN_POINT('Origin',(29.814194,38.471578,0.)); #461915=CARTESIAN_POINT('',(29.814194,38.471578,0.)); #461916=CARTESIAN_POINT('',(29.814194,38.471578,0.)); #461917=CARTESIAN_POINT('',(29.814194,38.471578,0.035)); #461918=CARTESIAN_POINT('',(29.814194,38.471578,0.035)); #461919=CARTESIAN_POINT('',(29.814194,38.471578,0.)); #461920=CARTESIAN_POINT('Origin',(29.830128,38.497384,0.)); #461921=CARTESIAN_POINT('',(29.830128,38.497384,0.)); #461922=CARTESIAN_POINT('',(29.830128,38.497384,0.)); #461923=CARTESIAN_POINT('',(29.830128,38.497384,0.035)); #461924=CARTESIAN_POINT('',(29.830128,38.497384,0.035)); #461925=CARTESIAN_POINT('',(29.830128,38.497384,0.)); #461926=CARTESIAN_POINT('Origin',(29.854866,38.514928,0.)); #461927=CARTESIAN_POINT('',(29.854866,38.514928,0.)); #461928=CARTESIAN_POINT('',(29.854866,38.514928,0.)); #461929=CARTESIAN_POINT('',(29.854866,38.514928,0.035)); #461930=CARTESIAN_POINT('',(29.854866,38.514928,0.035)); #461931=CARTESIAN_POINT('',(29.854866,38.514928,0.)); #461932=CARTESIAN_POINT('Origin',(29.884484,38.521438,0.)); #461933=CARTESIAN_POINT('',(29.884484,38.521438,0.)); #461934=CARTESIAN_POINT('',(29.884484,38.521438,0.)); #461935=CARTESIAN_POINT('',(29.884484,38.521438,0.035)); #461936=CARTESIAN_POINT('',(29.884484,38.521438,0.035)); #461937=CARTESIAN_POINT('',(29.884484,38.521438,0.)); #461938=CARTESIAN_POINT('Origin',(29.914297,38.515878,0.)); #461939=CARTESIAN_POINT('',(29.914297,38.515878,0.)); #461940=CARTESIAN_POINT('',(29.914297,38.515878,0.)); #461941=CARTESIAN_POINT('',(29.914297,38.515878,0.035)); #461942=CARTESIAN_POINT('',(29.914297,38.515878,0.035)); #461943=CARTESIAN_POINT('',(29.914297,38.515878,0.)); #461944=CARTESIAN_POINT('Origin',(29.939581,38.499128,0.)); #461945=CARTESIAN_POINT('',(29.939581,38.499128,0.)); #461946=CARTESIAN_POINT('',(29.939581,38.499128,0.)); #461947=CARTESIAN_POINT('',(29.939581,38.499128,0.035)); #461948=CARTESIAN_POINT('',(29.939581,38.499128,0.035)); #461949=CARTESIAN_POINT('',(29.939581,38.499128,0.)); #461950=CARTESIAN_POINT('Origin',(30.168181,38.270528,0.)); #461951=CARTESIAN_POINT('',(30.168181,38.270528,0.)); #461952=CARTESIAN_POINT('',(30.168181,38.270528,0.)); #461953=CARTESIAN_POINT('',(30.168181,38.270528,0.035)); #461954=CARTESIAN_POINT('',(30.168181,38.270528,0.035)); #461955=CARTESIAN_POINT('',(30.168181,38.270528,0.)); #461956=CARTESIAN_POINT('Origin',(30.184466,38.246369,0.)); #461957=CARTESIAN_POINT('',(30.184466,38.246369,0.)); #461958=CARTESIAN_POINT('',(30.184466,38.246369,0.)); #461959=CARTESIAN_POINT('',(30.184466,38.246369,0.035)); #461960=CARTESIAN_POINT('',(30.184466,38.246369,0.035)); #461961=CARTESIAN_POINT('',(30.184466,38.246369,0.)); #461962=CARTESIAN_POINT('Origin',(30.1905,38.216647,0.)); #461963=CARTESIAN_POINT('',(30.1905,38.216647,0.)); #461964=CARTESIAN_POINT('',(30.1905,38.216647,0.)); #461965=CARTESIAN_POINT('',(30.1905,38.216647,0.035)); #461966=CARTESIAN_POINT('',(30.1905,38.216647,0.035)); #461967=CARTESIAN_POINT('',(30.1905,38.216647,0.)); #461968=CARTESIAN_POINT('Origin',(30.1905,37.783353,0.)); #461969=CARTESIAN_POINT('',(30.1905,37.783353,0.)); #461970=CARTESIAN_POINT('',(30.1905,37.783353,0.)); #461971=CARTESIAN_POINT('',(30.1905,37.783353,0.035)); #461972=CARTESIAN_POINT('',(30.1905,37.783353,0.035)); #461973=CARTESIAN_POINT('',(30.1905,37.783353,0.)); #461974=CARTESIAN_POINT('Origin',(30.184931,37.754756,0.)); #461975=CARTESIAN_POINT('',(30.184931,37.754756,0.)); #461976=CARTESIAN_POINT('',(30.184931,37.754756,0.)); #461977=CARTESIAN_POINT('',(30.184931,37.754756,0.035)); #461978=CARTESIAN_POINT('',(30.184931,37.754756,0.035)); #461979=CARTESIAN_POINT('',(30.184931,37.754756,0.)); #461980=CARTESIAN_POINT('Origin',(30.168181,37.729472,0.)); #461981=CARTESIAN_POINT('',(30.168181,37.729472,0.)); #461982=CARTESIAN_POINT('',(30.168181,37.729472,0.)); #461983=CARTESIAN_POINT('',(30.168181,37.729472,0.035)); #461984=CARTESIAN_POINT('',(30.168181,37.729472,0.035)); #461985=CARTESIAN_POINT('',(30.168181,37.729472,0.)); #461986=CARTESIAN_POINT('Origin',(30.053881,37.615172,0.)); #461987=CARTESIAN_POINT('',(30.053881,37.615172,0.)); #461988=CARTESIAN_POINT('',(30.053881,37.615172,0.)); #461989=CARTESIAN_POINT('',(30.053881,37.615172,0.035)); #461990=CARTESIAN_POINT('',(30.053881,37.615172,0.035)); #461991=CARTESIAN_POINT('',(30.053881,37.615172,0.)); #461992=CARTESIAN_POINT('Origin',(30.030834,37.599372,0.)); #461993=CARTESIAN_POINT('',(30.030834,37.599372,0.)); #461994=CARTESIAN_POINT('',(30.030834,37.599372,0.035)); #461995=CARTESIAN_POINT('Origin',(29.9820859747173,38.0547071087497,0.035)); #461996=CARTESIAN_POINT('Origin',(29.9820859747173,38.0547071087497,0.)); #461997=CARTESIAN_POINT('Origin',(32.258916,22.286487,0.)); #461998=CARTESIAN_POINT('',(32.258916,22.286487,0.)); #461999=CARTESIAN_POINT('',(32.288534,22.292997,0.)); #462000=CARTESIAN_POINT('',(32.258916,22.286487,0.)); #462001=CARTESIAN_POINT('',(32.288534,22.292997,0.035)); #462002=CARTESIAN_POINT('',(32.288534,22.292997,0.)); #462003=CARTESIAN_POINT('',(32.258916,22.286487,0.035)); #462004=CARTESIAN_POINT('',(32.258916,22.286487,0.035)); #462005=CARTESIAN_POINT('',(32.258916,22.286487,0.)); #462006=CARTESIAN_POINT('Origin',(32.229103,22.292047,0.)); #462007=CARTESIAN_POINT('',(32.229103,22.292047,0.)); #462008=CARTESIAN_POINT('',(32.229103,22.292047,0.)); #462009=CARTESIAN_POINT('',(32.229103,22.292047,0.035)); #462010=CARTESIAN_POINT('',(32.229103,22.292047,0.035)); #462011=CARTESIAN_POINT('',(32.229103,22.292047,0.)); #462012=CARTESIAN_POINT('Origin',(32.203819,22.308797,0.)); #462013=CARTESIAN_POINT('',(32.203819,22.308797,0.)); #462014=CARTESIAN_POINT('',(32.203819,22.308797,0.)); #462015=CARTESIAN_POINT('',(32.203819,22.308797,0.035)); #462016=CARTESIAN_POINT('',(32.203819,22.308797,0.035)); #462017=CARTESIAN_POINT('',(32.203819,22.308797,0.)); #462018=CARTESIAN_POINT('Origin',(30.500919,24.011697,0.)); #462019=CARTESIAN_POINT('',(30.500919,24.011697,0.)); #462020=CARTESIAN_POINT('',(30.500919,24.011697,0.)); #462021=CARTESIAN_POINT('',(30.500919,24.011697,0.035)); #462022=CARTESIAN_POINT('',(30.500919,24.011697,0.035)); #462023=CARTESIAN_POINT('',(30.500919,24.011697,0.)); #462024=CARTESIAN_POINT('Origin',(30.484634,24.035856,0.)); #462025=CARTESIAN_POINT('',(30.484634,24.035856,0.)); #462026=CARTESIAN_POINT('',(30.484634,24.035856,0.)); #462027=CARTESIAN_POINT('',(30.484634,24.035856,0.035)); #462028=CARTESIAN_POINT('',(30.484634,24.035856,0.035)); #462029=CARTESIAN_POINT('',(30.484634,24.035856,0.)); #462030=CARTESIAN_POINT('Origin',(30.4786,24.065578,0.)); #462031=CARTESIAN_POINT('',(30.4786,24.065578,0.)); #462032=CARTESIAN_POINT('',(30.4786,24.065578,0.)); #462033=CARTESIAN_POINT('',(30.4786,24.065578,0.035)); #462034=CARTESIAN_POINT('',(30.4786,24.065578,0.035)); #462035=CARTESIAN_POINT('',(30.4786,24.065578,0.)); #462036=CARTESIAN_POINT('Origin',(30.4786,24.974709,0.)); #462037=CARTESIAN_POINT('',(30.4786,24.974709,0.)); #462038=CARTESIAN_POINT('',(30.4786,24.974709,0.)); #462039=CARTESIAN_POINT('',(30.4786,24.974709,0.035)); #462040=CARTESIAN_POINT('',(30.4786,24.974709,0.035)); #462041=CARTESIAN_POINT('',(30.4786,24.974709,0.)); #462042=CARTESIAN_POINT('Origin',(30.483087,25.000472,0.)); #462043=CARTESIAN_POINT('',(30.483087,25.000472,0.)); #462044=CARTESIAN_POINT('',(30.483087,25.000472,0.)); #462045=CARTESIAN_POINT('',(30.483087,25.000472,0.035)); #462046=CARTESIAN_POINT('',(30.483087,25.000472,0.035)); #462047=CARTESIAN_POINT('',(30.483087,25.000472,0.)); #462048=CARTESIAN_POINT('Origin',(30.498816,25.0264,0.)); #462049=CARTESIAN_POINT('',(30.498816,25.0264,0.)); #462050=CARTESIAN_POINT('',(30.498816,25.0264,0.)); #462051=CARTESIAN_POINT('',(30.498816,25.0264,0.035)); #462052=CARTESIAN_POINT('',(30.498816,25.0264,0.035)); #462053=CARTESIAN_POINT('',(30.498816,25.0264,0.)); #462054=CARTESIAN_POINT('Origin',(30.523409,25.044144,0.)); #462055=CARTESIAN_POINT('',(30.523409,25.044144,0.)); #462056=CARTESIAN_POINT('',(30.523409,25.044144,0.)); #462057=CARTESIAN_POINT('',(30.523409,25.044144,0.035)); #462058=CARTESIAN_POINT('',(30.523409,25.044144,0.035)); #462059=CARTESIAN_POINT('',(30.523409,25.044144,0.)); #462060=CARTESIAN_POINT('Origin',(30.552978,25.050888,0.)); #462061=CARTESIAN_POINT('',(30.552978,25.050888,0.)); #462062=CARTESIAN_POINT('',(30.552978,25.050888,0.)); #462063=CARTESIAN_POINT('',(30.552978,25.050888,0.035)); #462064=CARTESIAN_POINT('',(30.552978,25.050888,0.035)); #462065=CARTESIAN_POINT('',(30.552978,25.050888,0.)); #462066=CARTESIAN_POINT('Origin',(30.582834,25.045566,0.)); #462067=CARTESIAN_POINT('',(30.582834,25.045566,0.)); #462068=CARTESIAN_POINT('',(30.582834,25.045566,0.)); #462069=CARTESIAN_POINT('',(30.582834,25.045566,0.035)); #462070=CARTESIAN_POINT('',(30.582834,25.045566,0.035)); #462071=CARTESIAN_POINT('',(30.582834,25.045566,0.)); #462072=CARTESIAN_POINT('Origin',(30.60825,25.029019,0.)); #462073=CARTESIAN_POINT('',(30.60825,25.029019,0.)); #462074=CARTESIAN_POINT('',(30.60825,25.029019,0.)); #462075=CARTESIAN_POINT('',(30.60825,25.029019,0.035)); #462076=CARTESIAN_POINT('',(30.60825,25.029019,0.035)); #462077=CARTESIAN_POINT('',(30.60825,25.029019,0.)); #462078=CARTESIAN_POINT('Origin',(30.6252,25.003869,0.)); #462079=CARTESIAN_POINT('',(30.6252,25.003869,0.)); #462080=CARTESIAN_POINT('',(30.6252,25.003869,0.)); #462081=CARTESIAN_POINT('',(30.6252,25.003869,0.035)); #462082=CARTESIAN_POINT('',(30.6252,25.003869,0.035)); #462083=CARTESIAN_POINT('',(30.6252,25.003869,0.)); #462084=CARTESIAN_POINT('Origin',(30.734984,24.738831,0.)); #462085=CARTESIAN_POINT('',(30.734984,24.738831,0.)); #462086=CARTESIAN_POINT('',(30.734984,24.738831,0.)); #462087=CARTESIAN_POINT('',(30.734984,24.738831,0.035)); #462088=CARTESIAN_POINT('',(30.734984,24.738831,0.035)); #462089=CARTESIAN_POINT('',(30.734984,24.738831,0.)); #462090=CARTESIAN_POINT('Origin',(30.988831,24.484984,0.)); #462091=CARTESIAN_POINT('',(30.988831,24.484984,0.)); #462092=CARTESIAN_POINT('',(30.988831,24.484984,0.)); #462093=CARTESIAN_POINT('',(30.988831,24.484984,0.035)); #462094=CARTESIAN_POINT('',(30.988831,24.484984,0.035)); #462095=CARTESIAN_POINT('',(30.988831,24.484984,0.)); #462096=CARTESIAN_POINT('Origin',(31.3205,24.3476,0.)); #462097=CARTESIAN_POINT('',(31.3205,24.3476,0.)); #462098=CARTESIAN_POINT('',(31.3205,24.3476,0.)); #462099=CARTESIAN_POINT('',(31.3205,24.3476,0.035)); #462100=CARTESIAN_POINT('',(31.3205,24.3476,0.035)); #462101=CARTESIAN_POINT('',(31.3205,24.3476,0.)); #462102=CARTESIAN_POINT('Origin',(31.6795,24.3476,0.)); #462103=CARTESIAN_POINT('',(31.6795,24.3476,0.)); #462104=CARTESIAN_POINT('',(31.6795,24.3476,0.)); #462105=CARTESIAN_POINT('',(31.6795,24.3476,0.035)); #462106=CARTESIAN_POINT('',(31.6795,24.3476,0.035)); #462107=CARTESIAN_POINT('',(31.6795,24.3476,0.)); #462108=CARTESIAN_POINT('Origin',(32.011169,24.484984,0.)); #462109=CARTESIAN_POINT('',(32.011169,24.484984,0.)); #462110=CARTESIAN_POINT('',(32.011169,24.484984,0.)); #462111=CARTESIAN_POINT('',(32.011169,24.484984,0.035)); #462112=CARTESIAN_POINT('',(32.011169,24.484984,0.035)); #462113=CARTESIAN_POINT('',(32.011169,24.484984,0.)); #462114=CARTESIAN_POINT('Origin',(32.203819,24.677634,0.)); #462115=CARTESIAN_POINT('',(32.203819,24.677634,0.)); #462116=CARTESIAN_POINT('',(32.203819,24.677634,0.)); #462117=CARTESIAN_POINT('',(32.203819,24.677634,0.035)); #462118=CARTESIAN_POINT('',(32.203819,24.677634,0.035)); #462119=CARTESIAN_POINT('',(32.203819,24.677634,0.)); #462120=CARTESIAN_POINT('Origin',(32.225756,24.692934,0.)); #462121=CARTESIAN_POINT('',(32.225756,24.692934,0.)); #462122=CARTESIAN_POINT('',(32.225756,24.692934,0.)); #462123=CARTESIAN_POINT('',(32.225756,24.692934,0.035)); #462124=CARTESIAN_POINT('',(32.225756,24.692934,0.035)); #462125=CARTESIAN_POINT('',(32.225756,24.692934,0.)); #462126=CARTESIAN_POINT('Origin',(32.255272,24.699916,0.)); #462127=CARTESIAN_POINT('',(32.255272,24.699916,0.)); #462128=CARTESIAN_POINT('',(32.255272,24.699916,0.)); #462129=CARTESIAN_POINT('',(32.255272,24.699916,0.035)); #462130=CARTESIAN_POINT('',(32.255272,24.699916,0.035)); #462131=CARTESIAN_POINT('',(32.255272,24.699916,0.)); #462132=CARTESIAN_POINT('Origin',(32.285169,24.694831,0.)); #462133=CARTESIAN_POINT('',(32.285169,24.694831,0.)); #462134=CARTESIAN_POINT('',(32.285169,24.694831,0.)); #462135=CARTESIAN_POINT('',(32.285169,24.694831,0.035)); #462136=CARTESIAN_POINT('',(32.285169,24.694831,0.035)); #462137=CARTESIAN_POINT('',(32.285169,24.694831,0.)); #462138=CARTESIAN_POINT('Origin',(32.310716,24.678488,0.)); #462139=CARTESIAN_POINT('',(32.310716,24.678488,0.)); #462140=CARTESIAN_POINT('',(32.310716,24.678488,0.)); #462141=CARTESIAN_POINT('',(32.310716,24.678488,0.035)); #462142=CARTESIAN_POINT('',(32.310716,24.678488,0.035)); #462143=CARTESIAN_POINT('',(32.310716,24.678488,0.)); #462144=CARTESIAN_POINT('Origin',(32.327866,24.653475,0.)); #462145=CARTESIAN_POINT('',(32.327866,24.653475,0.)); #462146=CARTESIAN_POINT('',(32.327866,24.653475,0.)); #462147=CARTESIAN_POINT('',(32.327866,24.653475,0.035)); #462148=CARTESIAN_POINT('',(32.327866,24.653475,0.035)); #462149=CARTESIAN_POINT('',(32.327866,24.653475,0.)); #462150=CARTESIAN_POINT('Origin',(32.3339,24.623753,0.)); #462151=CARTESIAN_POINT('',(32.3339,24.623753,0.)); #462152=CARTESIAN_POINT('',(32.3339,24.623753,0.)); #462153=CARTESIAN_POINT('',(32.3339,24.623753,0.035)); #462154=CARTESIAN_POINT('',(32.3339,24.623753,0.035)); #462155=CARTESIAN_POINT('',(32.3339,24.623753,0.)); #462156=CARTESIAN_POINT('Origin',(32.3339,22.362678,0.)); #462157=CARTESIAN_POINT('',(32.3339,22.362678,0.)); #462158=CARTESIAN_POINT('',(32.3339,22.362678,0.)); #462159=CARTESIAN_POINT('',(32.3339,22.362678,0.035)); #462160=CARTESIAN_POINT('',(32.3339,22.362678,0.035)); #462161=CARTESIAN_POINT('',(32.3339,22.362678,0.)); #462162=CARTESIAN_POINT('Origin',(32.329206,22.336347,0.)); #462163=CARTESIAN_POINT('',(32.329206,22.336347,0.)); #462164=CARTESIAN_POINT('',(32.329206,22.336347,0.)); #462165=CARTESIAN_POINT('',(32.329206,22.336347,0.035)); #462166=CARTESIAN_POINT('',(32.329206,22.336347,0.035)); #462167=CARTESIAN_POINT('',(32.329206,22.336347,0.)); #462168=CARTESIAN_POINT('Origin',(32.313272,22.310541,0.)); #462169=CARTESIAN_POINT('',(32.313272,22.310541,0.)); #462170=CARTESIAN_POINT('',(32.313272,22.310541,0.)); #462171=CARTESIAN_POINT('',(32.313272,22.310541,0.035)); #462172=CARTESIAN_POINT('',(32.313272,22.310541,0.035)); #462173=CARTESIAN_POINT('',(32.313272,22.310541,0.)); #462174=CARTESIAN_POINT('Origin',(32.288534,22.292997,0.)); #462175=CARTESIAN_POINT('',(32.288534,22.292997,0.)); #462176=CARTESIAN_POINT('',(32.288534,22.292997,0.035)); #462177=CARTESIAN_POINT('Origin',(31.5392606678644,23.8038074592601,0.035)); #462178=CARTESIAN_POINT('Origin',(31.5392606678644,23.8038074592601,0.)); #462179=CARTESIAN_POINT('Origin',(32.2577,31.7493,0.)); #462180=CARTESIAN_POINT('',(32.2577,31.7493,0.)); #462181=CARTESIAN_POINT('',(32.287422,31.755334,0.)); #462182=CARTESIAN_POINT('',(32.2577,31.7493,0.)); #462183=CARTESIAN_POINT('',(32.287422,31.755334,0.035)); #462184=CARTESIAN_POINT('',(32.287422,31.755334,0.)); #462185=CARTESIAN_POINT('',(32.2577,31.7493,0.035)); #462186=CARTESIAN_POINT('',(32.2577,31.7493,0.035)); #462187=CARTESIAN_POINT('',(32.2577,31.7493,0.)); #462188=CARTESIAN_POINT('Origin',(31.905,31.7493,0.)); #462189=CARTESIAN_POINT('',(31.905,31.7493,0.)); #462190=CARTESIAN_POINT('',(31.905,31.7493,0.)); #462191=CARTESIAN_POINT('',(31.905,31.7493,0.035)); #462192=CARTESIAN_POINT('',(31.905,31.7493,0.035)); #462193=CARTESIAN_POINT('',(31.905,31.7493,0.)); #462194=CARTESIAN_POINT('Origin',(31.877531,31.754422,0.)); #462195=CARTESIAN_POINT('',(31.877531,31.754422,0.)); #462196=CARTESIAN_POINT('',(31.877531,31.754422,0.)); #462197=CARTESIAN_POINT('',(31.877531,31.754422,0.035)); #462198=CARTESIAN_POINT('',(31.877531,31.754422,0.035)); #462199=CARTESIAN_POINT('',(31.877531,31.754422,0.)); #462200=CARTESIAN_POINT('Origin',(31.851984,31.770766,0.)); #462201=CARTESIAN_POINT('',(31.851984,31.770766,0.)); #462202=CARTESIAN_POINT('',(31.851984,31.770766,0.)); #462203=CARTESIAN_POINT('',(31.851984,31.770766,0.035)); #462204=CARTESIAN_POINT('',(31.851984,31.770766,0.035)); #462205=CARTESIAN_POINT('',(31.851984,31.770766,0.)); #462206=CARTESIAN_POINT('Origin',(31.834834,31.795778,0.)); #462207=CARTESIAN_POINT('',(31.834834,31.795778,0.)); #462208=CARTESIAN_POINT('',(31.834834,31.795778,0.)); #462209=CARTESIAN_POINT('',(31.834834,31.795778,0.035)); #462210=CARTESIAN_POINT('',(31.834834,31.795778,0.035)); #462211=CARTESIAN_POINT('',(31.834834,31.795778,0.)); #462212=CARTESIAN_POINT('Origin',(31.8288,31.8255,0.)); #462213=CARTESIAN_POINT('',(31.8288,31.8255,0.)); #462214=CARTESIAN_POINT('',(31.8288,31.8255,0.)); #462215=CARTESIAN_POINT('',(31.8288,31.8255,0.035)); #462216=CARTESIAN_POINT('',(31.8288,31.8255,0.035)); #462217=CARTESIAN_POINT('',(31.8288,31.8255,0.)); #462218=CARTESIAN_POINT('Origin',(31.8288,34.413947,0.)); #462219=CARTESIAN_POINT('',(31.8288,34.413947,0.)); #462220=CARTESIAN_POINT('',(31.8288,34.413947,0.)); #462221=CARTESIAN_POINT('',(31.8288,34.413947,0.035)); #462222=CARTESIAN_POINT('',(31.8288,34.413947,0.035)); #462223=CARTESIAN_POINT('',(31.8288,34.413947,0.)); #462224=CARTESIAN_POINT('Origin',(31.833494,34.440278,0.)); #462225=CARTESIAN_POINT('',(31.833494,34.440278,0.)); #462226=CARTESIAN_POINT('',(31.833494,34.440278,0.)); #462227=CARTESIAN_POINT('',(31.833494,34.440278,0.035)); #462228=CARTESIAN_POINT('',(31.833494,34.440278,0.035)); #462229=CARTESIAN_POINT('',(31.833494,34.440278,0.)); #462230=CARTESIAN_POINT('Origin',(31.849428,34.466084,0.)); #462231=CARTESIAN_POINT('',(31.849428,34.466084,0.)); #462232=CARTESIAN_POINT('',(31.849428,34.466084,0.)); #462233=CARTESIAN_POINT('',(31.849428,34.466084,0.035)); #462234=CARTESIAN_POINT('',(31.849428,34.466084,0.035)); #462235=CARTESIAN_POINT('',(31.849428,34.466084,0.)); #462236=CARTESIAN_POINT('Origin',(31.874166,34.483628,0.)); #462237=CARTESIAN_POINT('',(31.874166,34.483628,0.)); #462238=CARTESIAN_POINT('',(31.874166,34.483628,0.)); #462239=CARTESIAN_POINT('',(31.874166,34.483628,0.035)); #462240=CARTESIAN_POINT('',(31.874166,34.483628,0.035)); #462241=CARTESIAN_POINT('',(31.874166,34.483628,0.)); #462242=CARTESIAN_POINT('Origin',(31.903784,34.490138,0.)); #462243=CARTESIAN_POINT('',(31.903784,34.490138,0.)); #462244=CARTESIAN_POINT('',(31.903784,34.490138,0.)); #462245=CARTESIAN_POINT('',(31.903784,34.490138,0.035)); #462246=CARTESIAN_POINT('',(31.903784,34.490138,0.035)); #462247=CARTESIAN_POINT('',(31.903784,34.490138,0.)); #462248=CARTESIAN_POINT('Origin',(31.933597,34.484578,0.)); #462249=CARTESIAN_POINT('',(31.933597,34.484578,0.)); #462250=CARTESIAN_POINT('',(31.933597,34.484578,0.)); #462251=CARTESIAN_POINT('',(31.933597,34.484578,0.035)); #462252=CARTESIAN_POINT('',(31.933597,34.484578,0.035)); #462253=CARTESIAN_POINT('',(31.933597,34.484578,0.)); #462254=CARTESIAN_POINT('Origin',(31.958881,34.467828,0.)); #462255=CARTESIAN_POINT('',(31.958881,34.467828,0.)); #462256=CARTESIAN_POINT('',(31.958881,34.467828,0.)); #462257=CARTESIAN_POINT('',(31.958881,34.467828,0.035)); #462258=CARTESIAN_POINT('',(31.958881,34.467828,0.035)); #462259=CARTESIAN_POINT('',(31.958881,34.467828,0.)); #462260=CARTESIAN_POINT('Origin',(32.311581,34.115128,0.)); #462261=CARTESIAN_POINT('',(32.311581,34.115128,0.)); #462262=CARTESIAN_POINT('',(32.311581,34.115128,0.)); #462263=CARTESIAN_POINT('',(32.311581,34.115128,0.035)); #462264=CARTESIAN_POINT('',(32.311581,34.115128,0.035)); #462265=CARTESIAN_POINT('',(32.311581,34.115128,0.)); #462266=CARTESIAN_POINT('Origin',(32.327866,34.090969,0.)); #462267=CARTESIAN_POINT('',(32.327866,34.090969,0.)); #462268=CARTESIAN_POINT('',(32.327866,34.090969,0.)); #462269=CARTESIAN_POINT('',(32.327866,34.090969,0.035)); #462270=CARTESIAN_POINT('',(32.327866,34.090969,0.035)); #462271=CARTESIAN_POINT('',(32.327866,34.090969,0.)); #462272=CARTESIAN_POINT('Origin',(32.3339,34.061247,0.)); #462273=CARTESIAN_POINT('',(32.3339,34.061247,0.)); #462274=CARTESIAN_POINT('',(32.3339,34.061247,0.)); #462275=CARTESIAN_POINT('',(32.3339,34.061247,0.035)); #462276=CARTESIAN_POINT('',(32.3339,34.061247,0.035)); #462277=CARTESIAN_POINT('',(32.3339,34.061247,0.)); #462278=CARTESIAN_POINT('Origin',(32.3339,31.8255,0.)); #462279=CARTESIAN_POINT('',(32.3339,31.8255,0.)); #462280=CARTESIAN_POINT('',(32.3339,31.8255,0.)); #462281=CARTESIAN_POINT('',(32.3339,31.8255,0.035)); #462282=CARTESIAN_POINT('',(32.3339,31.8255,0.035)); #462283=CARTESIAN_POINT('',(32.3339,31.8255,0.)); #462284=CARTESIAN_POINT('Origin',(32.328778,31.798031,0.)); #462285=CARTESIAN_POINT('',(32.328778,31.798031,0.)); #462286=CARTESIAN_POINT('',(32.328778,31.798031,0.)); #462287=CARTESIAN_POINT('',(32.328778,31.798031,0.035)); #462288=CARTESIAN_POINT('',(32.328778,31.798031,0.035)); #462289=CARTESIAN_POINT('',(32.328778,31.798031,0.)); #462290=CARTESIAN_POINT('Origin',(32.312434,31.772484,0.)); #462291=CARTESIAN_POINT('',(32.312434,31.772484,0.)); #462292=CARTESIAN_POINT('',(32.312434,31.772484,0.)); #462293=CARTESIAN_POINT('',(32.312434,31.772484,0.035)); #462294=CARTESIAN_POINT('',(32.312434,31.772484,0.035)); #462295=CARTESIAN_POINT('',(32.312434,31.772484,0.)); #462296=CARTESIAN_POINT('Origin',(32.287422,31.755334,0.)); #462297=CARTESIAN_POINT('',(32.287422,31.755334,0.)); #462298=CARTESIAN_POINT('',(32.287422,31.755334,0.035)); #462299=CARTESIAN_POINT('Origin',(32.0678292538388,33.068655087816,0.035)); #462300=CARTESIAN_POINT('Origin',(32.0678292538388,33.068655087816,0.)); #462301=CARTESIAN_POINT('Origin',(33.501216,22.104862,0.)); #462302=CARTESIAN_POINT('',(33.501216,22.104862,0.)); #462303=CARTESIAN_POINT('',(33.530834,22.111372,0.)); #462304=CARTESIAN_POINT('',(33.501216,22.104862,0.)); #462305=CARTESIAN_POINT('',(33.530834,22.111372,0.035)); #462306=CARTESIAN_POINT('',(33.530834,22.111372,0.)); #462307=CARTESIAN_POINT('',(33.501216,22.104862,0.035)); #462308=CARTESIAN_POINT('',(33.501216,22.104862,0.035)); #462309=CARTESIAN_POINT('',(33.501216,22.104862,0.)); #462310=CARTESIAN_POINT('Origin',(33.471403,22.110422,0.)); #462311=CARTESIAN_POINT('',(33.471403,22.110422,0.)); #462312=CARTESIAN_POINT('',(33.471403,22.110422,0.)); #462313=CARTESIAN_POINT('',(33.471403,22.110422,0.035)); #462314=CARTESIAN_POINT('',(33.471403,22.110422,0.035)); #462315=CARTESIAN_POINT('',(33.471403,22.110422,0.)); #462316=CARTESIAN_POINT('Origin',(33.446119,22.127172,0.)); #462317=CARTESIAN_POINT('',(33.446119,22.127172,0.)); #462318=CARTESIAN_POINT('',(33.446119,22.127172,0.)); #462319=CARTESIAN_POINT('',(33.446119,22.127172,0.035)); #462320=CARTESIAN_POINT('',(33.446119,22.127172,0.035)); #462321=CARTESIAN_POINT('',(33.446119,22.127172,0.)); #462322=CARTESIAN_POINT('Origin',(33.188419,22.384872,0.)); #462323=CARTESIAN_POINT('',(33.188419,22.384872,0.)); #462324=CARTESIAN_POINT('',(33.188419,22.384872,0.)); #462325=CARTESIAN_POINT('',(33.188419,22.384872,0.035)); #462326=CARTESIAN_POINT('',(33.188419,22.384872,0.035)); #462327=CARTESIAN_POINT('',(33.188419,22.384872,0.)); #462328=CARTESIAN_POINT('Origin',(33.172134,22.409031,0.)); #462329=CARTESIAN_POINT('',(33.172134,22.409031,0.)); #462330=CARTESIAN_POINT('',(33.172134,22.409031,0.)); #462331=CARTESIAN_POINT('',(33.172134,22.409031,0.035)); #462332=CARTESIAN_POINT('',(33.172134,22.409031,0.035)); #462333=CARTESIAN_POINT('',(33.172134,22.409031,0.)); #462334=CARTESIAN_POINT('Origin',(33.1661,22.438753,0.)); #462335=CARTESIAN_POINT('',(33.1661,22.438753,0.)); #462336=CARTESIAN_POINT('',(33.1661,22.438753,0.)); #462337=CARTESIAN_POINT('',(33.1661,22.438753,0.035)); #462338=CARTESIAN_POINT('',(33.1661,22.438753,0.035)); #462339=CARTESIAN_POINT('',(33.1661,22.438753,0.)); #462340=CARTESIAN_POINT('Origin',(33.1661,24.623753,0.)); #462341=CARTESIAN_POINT('',(33.1661,24.623753,0.)); #462342=CARTESIAN_POINT('',(33.1661,24.623753,0.)); #462343=CARTESIAN_POINT('',(33.1661,24.623753,0.035)); #462344=CARTESIAN_POINT('',(33.1661,24.623753,0.035)); #462345=CARTESIAN_POINT('',(33.1661,24.623753,0.)); #462346=CARTESIAN_POINT('Origin',(33.170794,24.650084,0.)); #462347=CARTESIAN_POINT('',(33.170794,24.650084,0.)); #462348=CARTESIAN_POINT('',(33.170794,24.650084,0.)); #462349=CARTESIAN_POINT('',(33.170794,24.650084,0.035)); #462350=CARTESIAN_POINT('',(33.170794,24.650084,0.035)); #462351=CARTESIAN_POINT('',(33.170794,24.650084,0.)); #462352=CARTESIAN_POINT('Origin',(33.186728,24.675891,0.)); #462353=CARTESIAN_POINT('',(33.186728,24.675891,0.)); #462354=CARTESIAN_POINT('',(33.186728,24.675891,0.)); #462355=CARTESIAN_POINT('',(33.186728,24.675891,0.035)); #462356=CARTESIAN_POINT('',(33.186728,24.675891,0.035)); #462357=CARTESIAN_POINT('',(33.186728,24.675891,0.)); #462358=CARTESIAN_POINT('Origin',(33.211466,24.693434,0.)); #462359=CARTESIAN_POINT('',(33.211466,24.693434,0.)); #462360=CARTESIAN_POINT('',(33.211466,24.693434,0.)); #462361=CARTESIAN_POINT('',(33.211466,24.693434,0.035)); #462362=CARTESIAN_POINT('',(33.211466,24.693434,0.035)); #462363=CARTESIAN_POINT('',(33.211466,24.693434,0.)); #462364=CARTESIAN_POINT('Origin',(33.241084,24.699944,0.)); #462365=CARTESIAN_POINT('',(33.241084,24.699944,0.)); #462366=CARTESIAN_POINT('',(33.241084,24.699944,0.)); #462367=CARTESIAN_POINT('',(33.241084,24.699944,0.035)); #462368=CARTESIAN_POINT('',(33.241084,24.699944,0.035)); #462369=CARTESIAN_POINT('',(33.241084,24.699944,0.)); #462370=CARTESIAN_POINT('Origin',(33.270897,24.694384,0.)); #462371=CARTESIAN_POINT('',(33.270897,24.694384,0.)); #462372=CARTESIAN_POINT('',(33.270897,24.694384,0.)); #462373=CARTESIAN_POINT('',(33.270897,24.694384,0.035)); #462374=CARTESIAN_POINT('',(33.270897,24.694384,0.035)); #462375=CARTESIAN_POINT('',(33.270897,24.694384,0.)); #462376=CARTESIAN_POINT('Origin',(33.296181,24.677634,0.)); #462377=CARTESIAN_POINT('',(33.296181,24.677634,0.)); #462378=CARTESIAN_POINT('',(33.296181,24.677634,0.)); #462379=CARTESIAN_POINT('',(33.296181,24.677634,0.035)); #462380=CARTESIAN_POINT('',(33.296181,24.677634,0.035)); #462381=CARTESIAN_POINT('',(33.296181,24.677634,0.)); #462382=CARTESIAN_POINT('Origin',(33.488831,24.484984,0.)); #462383=CARTESIAN_POINT('',(33.488831,24.484984,0.)); #462384=CARTESIAN_POINT('',(33.488831,24.484984,0.)); #462385=CARTESIAN_POINT('',(33.488831,24.484984,0.035)); #462386=CARTESIAN_POINT('',(33.488831,24.484984,0.035)); #462387=CARTESIAN_POINT('',(33.488831,24.484984,0.)); #462388=CARTESIAN_POINT('Origin',(33.724359,24.387425,0.)); #462389=CARTESIAN_POINT('',(33.724359,24.387425,0.)); #462390=CARTESIAN_POINT('',(33.724359,24.387425,0.)); #462391=CARTESIAN_POINT('',(33.724359,24.387425,0.035)); #462392=CARTESIAN_POINT('',(33.724359,24.387425,0.035)); #462393=CARTESIAN_POINT('',(33.724359,24.387425,0.)); #462394=CARTESIAN_POINT('Origin',(33.748216,24.371759,0.)); #462395=CARTESIAN_POINT('',(33.748216,24.371759,0.)); #462396=CARTESIAN_POINT('',(33.748216,24.371759,0.)); #462397=CARTESIAN_POINT('',(33.748216,24.371759,0.035)); #462398=CARTESIAN_POINT('',(33.748216,24.371759,0.035)); #462399=CARTESIAN_POINT('',(33.748216,24.371759,0.)); #462400=CARTESIAN_POINT('Origin',(33.765366,24.346747,0.)); #462401=CARTESIAN_POINT('',(33.765366,24.346747,0.)); #462402=CARTESIAN_POINT('',(33.765366,24.346747,0.)); #462403=CARTESIAN_POINT('',(33.765366,24.346747,0.035)); #462404=CARTESIAN_POINT('',(33.765366,24.346747,0.035)); #462405=CARTESIAN_POINT('',(33.765366,24.346747,0.)); #462406=CARTESIAN_POINT('Origin',(33.7714,24.317025,0.)); #462407=CARTESIAN_POINT('',(33.7714,24.317025,0.)); #462408=CARTESIAN_POINT('',(33.7714,24.317025,0.)); #462409=CARTESIAN_POINT('',(33.7714,24.317025,0.035)); #462410=CARTESIAN_POINT('',(33.7714,24.317025,0.035)); #462411=CARTESIAN_POINT('',(33.7714,24.317025,0.)); #462412=CARTESIAN_POINT('Origin',(33.7714,23.532397,0.)); #462413=CARTESIAN_POINT('',(33.7714,23.532397,0.)); #462414=CARTESIAN_POINT('',(33.7714,23.532397,0.)); #462415=CARTESIAN_POINT('',(33.7714,23.532397,0.035)); #462416=CARTESIAN_POINT('',(33.7714,23.532397,0.035)); #462417=CARTESIAN_POINT('',(33.7714,23.532397,0.)); #462418=CARTESIAN_POINT('Origin',(33.765831,23.5038,0.)); #462419=CARTESIAN_POINT('',(33.765831,23.5038,0.)); #462420=CARTESIAN_POINT('',(33.765831,23.5038,0.)); #462421=CARTESIAN_POINT('',(33.765831,23.5038,0.035)); #462422=CARTESIAN_POINT('',(33.765831,23.5038,0.035)); #462423=CARTESIAN_POINT('',(33.765831,23.5038,0.)); #462424=CARTESIAN_POINT('Origin',(33.749081,23.478516,0.)); #462425=CARTESIAN_POINT('',(33.749081,23.478516,0.)); #462426=CARTESIAN_POINT('',(33.749081,23.478516,0.)); #462427=CARTESIAN_POINT('',(33.749081,23.478516,0.035)); #462428=CARTESIAN_POINT('',(33.749081,23.478516,0.035)); #462429=CARTESIAN_POINT('',(33.749081,23.478516,0.)); #462430=CARTESIAN_POINT('Origin',(33.5726,23.302034,0.)); #462431=CARTESIAN_POINT('',(33.5726,23.302034,0.)); #462432=CARTESIAN_POINT('',(33.5726,23.302034,0.)); #462433=CARTESIAN_POINT('',(33.5726,23.302034,0.035)); #462434=CARTESIAN_POINT('',(33.5726,23.302034,0.035)); #462435=CARTESIAN_POINT('',(33.5726,23.302034,0.)); #462436=CARTESIAN_POINT('Origin',(33.5726,22.947966,0.)); #462437=CARTESIAN_POINT('',(33.5726,22.947966,0.)); #462438=CARTESIAN_POINT('',(33.5726,22.947966,0.)); #462439=CARTESIAN_POINT('',(33.5726,22.947966,0.035)); #462440=CARTESIAN_POINT('',(33.5726,22.947966,0.035)); #462441=CARTESIAN_POINT('',(33.5726,22.947966,0.)); #462442=CARTESIAN_POINT('Origin',(33.822966,22.6976,0.)); #462443=CARTESIAN_POINT('',(33.822966,22.6976,0.)); #462444=CARTESIAN_POINT('',(33.822966,22.6976,0.)); #462445=CARTESIAN_POINT('',(33.822966,22.6976,0.035)); #462446=CARTESIAN_POINT('',(33.822966,22.6976,0.035)); #462447=CARTESIAN_POINT('',(33.822966,22.6976,0.)); #462448=CARTESIAN_POINT('Origin',(33.940347,22.6976,0.)); #462449=CARTESIAN_POINT('',(33.940347,22.6976,0.)); #462450=CARTESIAN_POINT('',(33.940347,22.6976,0.)); #462451=CARTESIAN_POINT('',(33.940347,22.6976,0.035)); #462452=CARTESIAN_POINT('',(33.940347,22.6976,0.035)); #462453=CARTESIAN_POINT('',(33.940347,22.6976,0.)); #462454=CARTESIAN_POINT('Origin',(33.966678,22.692906,0.)); #462455=CARTESIAN_POINT('',(33.966678,22.692906,0.)); #462456=CARTESIAN_POINT('',(33.966678,22.692906,0.)); #462457=CARTESIAN_POINT('',(33.966678,22.692906,0.035)); #462458=CARTESIAN_POINT('',(33.966678,22.692906,0.035)); #462459=CARTESIAN_POINT('',(33.966678,22.692906,0.)); #462460=CARTESIAN_POINT('Origin',(33.992484,22.676972,0.)); #462461=CARTESIAN_POINT('',(33.992484,22.676972,0.)); #462462=CARTESIAN_POINT('',(33.992484,22.676972,0.)); #462463=CARTESIAN_POINT('',(33.992484,22.676972,0.035)); #462464=CARTESIAN_POINT('',(33.992484,22.676972,0.035)); #462465=CARTESIAN_POINT('',(33.992484,22.676972,0.)); #462466=CARTESIAN_POINT('Origin',(34.010028,22.652234,0.)); #462467=CARTESIAN_POINT('',(34.010028,22.652234,0.)); #462468=CARTESIAN_POINT('',(34.010028,22.652234,0.)); #462469=CARTESIAN_POINT('',(34.010028,22.652234,0.035)); #462470=CARTESIAN_POINT('',(34.010028,22.652234,0.035)); #462471=CARTESIAN_POINT('',(34.010028,22.652234,0.)); #462472=CARTESIAN_POINT('Origin',(34.016537,22.622616,0.)); #462473=CARTESIAN_POINT('',(34.016537,22.622616,0.)); #462474=CARTESIAN_POINT('',(34.016537,22.622616,0.)); #462475=CARTESIAN_POINT('',(34.016537,22.622616,0.035)); #462476=CARTESIAN_POINT('',(34.016537,22.622616,0.035)); #462477=CARTESIAN_POINT('',(34.016537,22.622616,0.)); #462478=CARTESIAN_POINT('Origin',(34.010978,22.592803,0.)); #462479=CARTESIAN_POINT('',(34.010978,22.592803,0.)); #462480=CARTESIAN_POINT('',(34.010978,22.592803,0.)); #462481=CARTESIAN_POINT('',(34.010978,22.592803,0.035)); #462482=CARTESIAN_POINT('',(34.010978,22.592803,0.035)); #462483=CARTESIAN_POINT('',(34.010978,22.592803,0.)); #462484=CARTESIAN_POINT('Origin',(33.994228,22.567519,0.)); #462485=CARTESIAN_POINT('',(33.994228,22.567519,0.)); #462486=CARTESIAN_POINT('',(33.994228,22.567519,0.)); #462487=CARTESIAN_POINT('',(33.994228,22.567519,0.035)); #462488=CARTESIAN_POINT('',(33.994228,22.567519,0.035)); #462489=CARTESIAN_POINT('',(33.994228,22.567519,0.)); #462490=CARTESIAN_POINT('Origin',(33.553881,22.127172,0.)); #462491=CARTESIAN_POINT('',(33.553881,22.127172,0.)); #462492=CARTESIAN_POINT('',(33.553881,22.127172,0.)); #462493=CARTESIAN_POINT('',(33.553881,22.127172,0.035)); #462494=CARTESIAN_POINT('',(33.553881,22.127172,0.035)); #462495=CARTESIAN_POINT('',(33.553881,22.127172,0.)); #462496=CARTESIAN_POINT('Origin',(33.530834,22.111372,0.)); #462497=CARTESIAN_POINT('',(33.530834,22.111372,0.)); #462498=CARTESIAN_POINT('',(33.530834,22.111372,0.035)); #462499=CARTESIAN_POINT('Origin',(33.4716863855795,23.3676863571478,0.035)); #462500=CARTESIAN_POINT('Origin',(33.4716863855795,23.3676863571478,0.)); #462501=CARTESIAN_POINT('Origin',(28.,38.75,-200.)); #462502=CARTESIAN_POINT('',(27.86,38.75,0.)); #462503=CARTESIAN_POINT('Origin',(28.,38.75,0.)); #462504=CARTESIAN_POINT('',(27.86,38.75,0.0349999999999895)); #462505=CARTESIAN_POINT('',(27.86,38.75,-200.)); #462506=CARTESIAN_POINT('Origin',(28.,38.75,0.0349999999999895)); #462507=CARTESIAN_POINT('Origin',(28.,38.,-200.)); #462508=CARTESIAN_POINT('',(27.86,38.,0.)); #462509=CARTESIAN_POINT('Origin',(28.,38.,0.)); #462510=CARTESIAN_POINT('',(27.86,38.,0.0349999999999895)); #462511=CARTESIAN_POINT('',(27.86,38.,-200.)); #462512=CARTESIAN_POINT('Origin',(28.,38.,0.0349999999999895)); #462513=CARTESIAN_POINT('Origin',(28.,39.5,-200.)); #462514=CARTESIAN_POINT('',(27.86,39.5,0.)); #462515=CARTESIAN_POINT('Origin',(28.,39.5,0.)); #462516=CARTESIAN_POINT('',(27.86,39.5,0.0349999999999895)); #462517=CARTESIAN_POINT('',(27.86,39.5,-200.)); #462518=CARTESIAN_POINT('Origin',(28.,39.5,0.0349999999999895)); #462519=CARTESIAN_POINT('Origin',(28.842603,36.9786,0.)); #462520=CARTESIAN_POINT('',(28.842603,36.9786,0.)); #462521=CARTESIAN_POINT('',(28.872325,36.984634,0.)); #462522=CARTESIAN_POINT('',(28.842603,36.9786,0.)); #462523=CARTESIAN_POINT('',(28.872325,36.984634,0.035)); #462524=CARTESIAN_POINT('',(28.872325,36.984634,0.)); #462525=CARTESIAN_POINT('',(28.842603,36.9786,0.035)); #462526=CARTESIAN_POINT('',(28.842603,36.9786,0.035)); #462527=CARTESIAN_POINT('',(28.842603,36.9786,0.)); #462528=CARTESIAN_POINT('Origin',(27.595916,36.9786,0.)); #462529=CARTESIAN_POINT('',(27.595916,36.9786,0.)); #462530=CARTESIAN_POINT('',(27.595916,36.9786,0.)); #462531=CARTESIAN_POINT('',(27.595916,36.9786,0.035)); #462532=CARTESIAN_POINT('',(27.595916,36.9786,0.035)); #462533=CARTESIAN_POINT('',(27.595916,36.9786,0.)); #462534=CARTESIAN_POINT('Origin',(27.567319,36.984169,0.)); #462535=CARTESIAN_POINT('',(27.567319,36.984169,0.)); #462536=CARTESIAN_POINT('',(27.567319,36.984169,0.)); #462537=CARTESIAN_POINT('',(27.567319,36.984169,0.035)); #462538=CARTESIAN_POINT('',(27.567319,36.984169,0.035)); #462539=CARTESIAN_POINT('',(27.567319,36.984169,0.)); #462540=CARTESIAN_POINT('Origin',(27.542034,37.000919,0.)); #462541=CARTESIAN_POINT('',(27.542034,37.000919,0.)); #462542=CARTESIAN_POINT('',(27.542034,37.000919,0.)); #462543=CARTESIAN_POINT('',(27.542034,37.000919,0.035)); #462544=CARTESIAN_POINT('',(27.542034,37.000919,0.035)); #462545=CARTESIAN_POINT('',(27.542034,37.000919,0.)); #462546=CARTESIAN_POINT('Origin',(24.619219,39.923734,0.)); #462547=CARTESIAN_POINT('',(24.619219,39.923734,0.)); #462548=CARTESIAN_POINT('',(24.619219,39.923734,0.)); #462549=CARTESIAN_POINT('',(24.619219,39.923734,0.035)); #462550=CARTESIAN_POINT('',(24.619219,39.923734,0.035)); #462551=CARTESIAN_POINT('',(24.619219,39.923734,0.)); #462552=CARTESIAN_POINT('Origin',(24.602934,39.947894,0.)); #462553=CARTESIAN_POINT('',(24.602934,39.947894,0.)); #462554=CARTESIAN_POINT('',(24.602934,39.947894,0.)); #462555=CARTESIAN_POINT('',(24.602934,39.947894,0.035)); #462556=CARTESIAN_POINT('',(24.602934,39.947894,0.035)); #462557=CARTESIAN_POINT('',(24.602934,39.947894,0.)); #462558=CARTESIAN_POINT('Origin',(24.5969,39.977616,0.)); #462559=CARTESIAN_POINT('',(24.5969,39.977616,0.)); #462560=CARTESIAN_POINT('',(24.5969,39.977616,0.)); #462561=CARTESIAN_POINT('',(24.5969,39.977616,0.035)); #462562=CARTESIAN_POINT('',(24.5969,39.977616,0.035)); #462563=CARTESIAN_POINT('',(24.5969,39.977616,0.)); #462564=CARTESIAN_POINT('Origin',(24.5969,40.720228,0.)); #462565=CARTESIAN_POINT('',(24.5969,40.720228,0.)); #462566=CARTESIAN_POINT('',(24.5969,40.720228,0.)); #462567=CARTESIAN_POINT('',(24.5969,40.720228,0.035)); #462568=CARTESIAN_POINT('',(24.5969,40.720228,0.035)); #462569=CARTESIAN_POINT('',(24.5969,40.720228,0.)); #462570=CARTESIAN_POINT('Origin',(24.507628,40.8095,0.)); #462571=CARTESIAN_POINT('',(24.507628,40.8095,0.)); #462572=CARTESIAN_POINT('',(24.507628,40.8095,0.)); #462573=CARTESIAN_POINT('',(24.507628,40.8095,0.035)); #462574=CARTESIAN_POINT('',(24.507628,40.8095,0.035)); #462575=CARTESIAN_POINT('',(24.507628,40.8095,0.)); #462576=CARTESIAN_POINT('Origin',(23.492372,40.8095,0.)); #462577=CARTESIAN_POINT('',(23.492372,40.8095,0.)); #462578=CARTESIAN_POINT('',(23.492372,40.8095,0.)); #462579=CARTESIAN_POINT('',(23.492372,40.8095,0.035)); #462580=CARTESIAN_POINT('',(23.492372,40.8095,0.035)); #462581=CARTESIAN_POINT('',(23.492372,40.8095,0.)); #462582=CARTESIAN_POINT('Origin',(23.4031,40.720228,0.)); #462583=CARTESIAN_POINT('',(23.4031,40.720228,0.)); #462584=CARTESIAN_POINT('',(23.4031,40.720228,0.)); #462585=CARTESIAN_POINT('',(23.4031,40.720228,0.035)); #462586=CARTESIAN_POINT('',(23.4031,40.720228,0.035)); #462587=CARTESIAN_POINT('',(23.4031,40.720228,0.)); #462588=CARTESIAN_POINT('Origin',(23.4031,39.7928,0.)); #462589=CARTESIAN_POINT('',(23.4031,39.7928,0.)); #462590=CARTESIAN_POINT('',(23.4031,39.7928,0.)); #462591=CARTESIAN_POINT('',(23.4031,39.7928,0.035)); #462592=CARTESIAN_POINT('',(23.4031,39.7928,0.035)); #462593=CARTESIAN_POINT('',(23.4031,39.7928,0.)); #462594=CARTESIAN_POINT('Origin',(23.397978,39.765331,0.)); #462595=CARTESIAN_POINT('',(23.397978,39.765331,0.)); #462596=CARTESIAN_POINT('',(23.397978,39.765331,0.)); #462597=CARTESIAN_POINT('',(23.397978,39.765331,0.035)); #462598=CARTESIAN_POINT('',(23.397978,39.765331,0.035)); #462599=CARTESIAN_POINT('',(23.397978,39.765331,0.)); #462600=CARTESIAN_POINT('Origin',(23.381634,39.739784,0.)); #462601=CARTESIAN_POINT('',(23.381634,39.739784,0.)); #462602=CARTESIAN_POINT('',(23.381634,39.739784,0.)); #462603=CARTESIAN_POINT('',(23.381634,39.739784,0.035)); #462604=CARTESIAN_POINT('',(23.381634,39.739784,0.035)); #462605=CARTESIAN_POINT('',(23.381634,39.739784,0.)); #462606=CARTESIAN_POINT('Origin',(23.356622,39.722634,0.)); #462607=CARTESIAN_POINT('',(23.356622,39.722634,0.)); #462608=CARTESIAN_POINT('',(23.356622,39.722634,0.)); #462609=CARTESIAN_POINT('',(23.356622,39.722634,0.035)); #462610=CARTESIAN_POINT('',(23.356622,39.722634,0.035)); #462611=CARTESIAN_POINT('',(23.356622,39.722634,0.)); #462612=CARTESIAN_POINT('Origin',(23.3269,39.7166,0.)); #462613=CARTESIAN_POINT('',(23.3269,39.7166,0.)); #462614=CARTESIAN_POINT('',(23.3269,39.7166,0.)); #462615=CARTESIAN_POINT('',(23.3269,39.7166,0.035)); #462616=CARTESIAN_POINT('',(23.3269,39.7166,0.035)); #462617=CARTESIAN_POINT('',(23.3269,39.7166,0.)); #462618=CARTESIAN_POINT('Origin',(20.876253,39.7166,0.)); #462619=CARTESIAN_POINT('',(20.876253,39.7166,0.)); #462620=CARTESIAN_POINT('',(20.876253,39.7166,0.)); #462621=CARTESIAN_POINT('',(20.876253,39.7166,0.035)); #462622=CARTESIAN_POINT('',(20.876253,39.7166,0.035)); #462623=CARTESIAN_POINT('',(20.876253,39.7166,0.)); #462624=CARTESIAN_POINT('Origin',(20.847656,39.722169,0.)); #462625=CARTESIAN_POINT('',(20.847656,39.722169,0.)); #462626=CARTESIAN_POINT('',(20.847656,39.722169,0.)); #462627=CARTESIAN_POINT('',(20.847656,39.722169,0.035)); #462628=CARTESIAN_POINT('',(20.847656,39.722169,0.035)); #462629=CARTESIAN_POINT('',(20.847656,39.722169,0.)); #462630=CARTESIAN_POINT('Origin',(20.822372,39.738919,0.)); #462631=CARTESIAN_POINT('',(20.822372,39.738919,0.)); #462632=CARTESIAN_POINT('',(20.822372,39.738919,0.)); #462633=CARTESIAN_POINT('',(20.822372,39.738919,0.035)); #462634=CARTESIAN_POINT('',(20.822372,39.738919,0.035)); #462635=CARTESIAN_POINT('',(20.822372,39.738919,0.)); #462636=CARTESIAN_POINT('Origin',(20.619219,39.942072,0.)); #462637=CARTESIAN_POINT('',(20.619219,39.942072,0.)); #462638=CARTESIAN_POINT('',(20.619219,39.942072,0.)); #462639=CARTESIAN_POINT('',(20.619219,39.942072,0.035)); #462640=CARTESIAN_POINT('',(20.619219,39.942072,0.035)); #462641=CARTESIAN_POINT('',(20.619219,39.942072,0.)); #462642=CARTESIAN_POINT('Origin',(20.602934,39.966231,0.)); #462643=CARTESIAN_POINT('',(20.602934,39.966231,0.)); #462644=CARTESIAN_POINT('',(20.602934,39.966231,0.)); #462645=CARTESIAN_POINT('',(20.602934,39.966231,0.035)); #462646=CARTESIAN_POINT('',(20.602934,39.966231,0.035)); #462647=CARTESIAN_POINT('',(20.602934,39.966231,0.)); #462648=CARTESIAN_POINT('Origin',(20.5969,39.995953,0.)); #462649=CARTESIAN_POINT('',(20.5969,39.995953,0.)); #462650=CARTESIAN_POINT('',(20.5969,39.995953,0.)); #462651=CARTESIAN_POINT('',(20.5969,39.995953,0.035)); #462652=CARTESIAN_POINT('',(20.5969,39.995953,0.035)); #462653=CARTESIAN_POINT('',(20.5969,39.995953,0.)); #462654=CARTESIAN_POINT('Origin',(20.5969,40.720228,0.)); #462655=CARTESIAN_POINT('',(20.5969,40.720228,0.)); #462656=CARTESIAN_POINT('',(20.5969,40.720228,0.)); #462657=CARTESIAN_POINT('',(20.5969,40.720228,0.035)); #462658=CARTESIAN_POINT('',(20.5969,40.720228,0.035)); #462659=CARTESIAN_POINT('',(20.5969,40.720228,0.)); #462660=CARTESIAN_POINT('Origin',(20.507628,40.8095,0.)); #462661=CARTESIAN_POINT('',(20.507628,40.8095,0.)); #462662=CARTESIAN_POINT('',(20.507628,40.8095,0.)); #462663=CARTESIAN_POINT('',(20.507628,40.8095,0.035)); #462664=CARTESIAN_POINT('',(20.507628,40.8095,0.035)); #462665=CARTESIAN_POINT('',(20.507628,40.8095,0.)); #462666=CARTESIAN_POINT('Origin',(19.5548,40.8095,0.)); #462667=CARTESIAN_POINT('',(19.5548,40.8095,0.)); #462668=CARTESIAN_POINT('',(19.5548,40.8095,0.)); #462669=CARTESIAN_POINT('',(19.5548,40.8095,0.035)); #462670=CARTESIAN_POINT('',(19.5548,40.8095,0.035)); #462671=CARTESIAN_POINT('',(19.5548,40.8095,0.)); #462672=CARTESIAN_POINT('Origin',(19.527331,40.814622,0.)); #462673=CARTESIAN_POINT('',(19.527331,40.814622,0.)); #462674=CARTESIAN_POINT('',(19.527331,40.814622,0.)); #462675=CARTESIAN_POINT('',(19.527331,40.814622,0.035)); #462676=CARTESIAN_POINT('',(19.527331,40.814622,0.035)); #462677=CARTESIAN_POINT('',(19.527331,40.814622,0.)); #462678=CARTESIAN_POINT('Origin',(19.501784,40.830966,0.)); #462679=CARTESIAN_POINT('',(19.501784,40.830966,0.)); #462680=CARTESIAN_POINT('',(19.501784,40.830966,0.)); #462681=CARTESIAN_POINT('',(19.501784,40.830966,0.035)); #462682=CARTESIAN_POINT('',(19.501784,40.830966,0.035)); #462683=CARTESIAN_POINT('',(19.501784,40.830966,0.)); #462684=CARTESIAN_POINT('Origin',(19.484634,40.855978,0.)); #462685=CARTESIAN_POINT('',(19.484634,40.855978,0.)); #462686=CARTESIAN_POINT('',(19.484634,40.855978,0.)); #462687=CARTESIAN_POINT('',(19.484634,40.855978,0.035)); #462688=CARTESIAN_POINT('',(19.484634,40.855978,0.035)); #462689=CARTESIAN_POINT('',(19.484634,40.855978,0.)); #462690=CARTESIAN_POINT('Origin',(19.4786,40.8857,0.)); #462691=CARTESIAN_POINT('',(19.4786,40.8857,0.)); #462692=CARTESIAN_POINT('',(19.4786,40.8857,0.)); #462693=CARTESIAN_POINT('',(19.4786,40.8857,0.035)); #462694=CARTESIAN_POINT('',(19.4786,40.8857,0.035)); #462695=CARTESIAN_POINT('',(19.4786,40.8857,0.)); #462696=CARTESIAN_POINT('Origin',(19.4786,41.1143,0.)); #462697=CARTESIAN_POINT('',(19.4786,41.1143,0.)); #462698=CARTESIAN_POINT('',(19.4786,41.1143,0.)); #462699=CARTESIAN_POINT('',(19.4786,41.1143,0.035)); #462700=CARTESIAN_POINT('',(19.4786,41.1143,0.035)); #462701=CARTESIAN_POINT('',(19.4786,41.1143,0.)); #462702=CARTESIAN_POINT('Origin',(19.483722,41.141769,0.)); #462703=CARTESIAN_POINT('',(19.483722,41.141769,0.)); #462704=CARTESIAN_POINT('',(19.483722,41.141769,0.)); #462705=CARTESIAN_POINT('',(19.483722,41.141769,0.035)); #462706=CARTESIAN_POINT('',(19.483722,41.141769,0.035)); #462707=CARTESIAN_POINT('',(19.483722,41.141769,0.)); #462708=CARTESIAN_POINT('Origin',(19.500066,41.167316,0.)); #462709=CARTESIAN_POINT('',(19.500066,41.167316,0.)); #462710=CARTESIAN_POINT('',(19.500066,41.167316,0.)); #462711=CARTESIAN_POINT('',(19.500066,41.167316,0.035)); #462712=CARTESIAN_POINT('',(19.500066,41.167316,0.035)); #462713=CARTESIAN_POINT('',(19.500066,41.167316,0.)); #462714=CARTESIAN_POINT('Origin',(19.525078,41.184466,0.)); #462715=CARTESIAN_POINT('',(19.525078,41.184466,0.)); #462716=CARTESIAN_POINT('',(19.525078,41.184466,0.)); #462717=CARTESIAN_POINT('',(19.525078,41.184466,0.035)); #462718=CARTESIAN_POINT('',(19.525078,41.184466,0.035)); #462719=CARTESIAN_POINT('',(19.525078,41.184466,0.)); #462720=CARTESIAN_POINT('Origin',(19.5548,41.1905,0.)); #462721=CARTESIAN_POINT('',(19.5548,41.1905,0.)); #462722=CARTESIAN_POINT('',(19.5548,41.1905,0.)); #462723=CARTESIAN_POINT('',(19.5548,41.1905,0.035)); #462724=CARTESIAN_POINT('',(19.5548,41.1905,0.035)); #462725=CARTESIAN_POINT('',(19.5548,41.1905,0.)); #462726=CARTESIAN_POINT('Origin',(20.507628,41.1905,0.)); #462727=CARTESIAN_POINT('',(20.507628,41.1905,0.)); #462728=CARTESIAN_POINT('',(20.507628,41.1905,0.)); #462729=CARTESIAN_POINT('',(20.507628,41.1905,0.035)); #462730=CARTESIAN_POINT('',(20.507628,41.1905,0.035)); #462731=CARTESIAN_POINT('',(20.507628,41.1905,0.)); #462732=CARTESIAN_POINT('Origin',(20.5969,41.279772,0.)); #462733=CARTESIAN_POINT('',(20.5969,41.279772,0.)); #462734=CARTESIAN_POINT('',(20.5969,41.279772,0.)); #462735=CARTESIAN_POINT('',(20.5969,41.279772,0.035)); #462736=CARTESIAN_POINT('',(20.5969,41.279772,0.035)); #462737=CARTESIAN_POINT('',(20.5969,41.279772,0.)); #462738=CARTESIAN_POINT('Origin',(20.5969,41.992047,0.)); #462739=CARTESIAN_POINT('',(20.5969,41.992047,0.)); #462740=CARTESIAN_POINT('',(20.5969,41.992047,0.)); #462741=CARTESIAN_POINT('',(20.5969,41.992047,0.035)); #462742=CARTESIAN_POINT('',(20.5969,41.992047,0.035)); #462743=CARTESIAN_POINT('',(20.5969,41.992047,0.)); #462744=CARTESIAN_POINT('Origin',(20.602469,42.020644,0.)); #462745=CARTESIAN_POINT('',(20.602469,42.020644,0.)); #462746=CARTESIAN_POINT('',(20.602469,42.020644,0.)); #462747=CARTESIAN_POINT('',(20.602469,42.020644,0.035)); #462748=CARTESIAN_POINT('',(20.602469,42.020644,0.035)); #462749=CARTESIAN_POINT('',(20.602469,42.020644,0.)); #462750=CARTESIAN_POINT('Origin',(20.619219,42.045928,0.)); #462751=CARTESIAN_POINT('',(20.619219,42.045928,0.)); #462752=CARTESIAN_POINT('',(20.619219,42.045928,0.)); #462753=CARTESIAN_POINT('',(20.619219,42.045928,0.035)); #462754=CARTESIAN_POINT('',(20.619219,42.045928,0.035)); #462755=CARTESIAN_POINT('',(20.619219,42.045928,0.)); #462756=CARTESIAN_POINT('Origin',(20.822372,42.249081,0.)); #462757=CARTESIAN_POINT('',(20.822372,42.249081,0.)); #462758=CARTESIAN_POINT('',(20.822372,42.249081,0.)); #462759=CARTESIAN_POINT('',(20.822372,42.249081,0.035)); #462760=CARTESIAN_POINT('',(20.822372,42.249081,0.035)); #462761=CARTESIAN_POINT('',(20.822372,42.249081,0.)); #462762=CARTESIAN_POINT('Origin',(20.846531,42.265366,0.)); #462763=CARTESIAN_POINT('',(20.846531,42.265366,0.)); #462764=CARTESIAN_POINT('',(20.846531,42.265366,0.)); #462765=CARTESIAN_POINT('',(20.846531,42.265366,0.035)); #462766=CARTESIAN_POINT('',(20.846531,42.265366,0.035)); #462767=CARTESIAN_POINT('',(20.846531,42.265366,0.)); #462768=CARTESIAN_POINT('Origin',(20.876253,42.2714,0.)); #462769=CARTESIAN_POINT('',(20.876253,42.2714,0.)); #462770=CARTESIAN_POINT('',(20.876253,42.2714,0.)); #462771=CARTESIAN_POINT('',(20.876253,42.2714,0.035)); #462772=CARTESIAN_POINT('',(20.876253,42.2714,0.035)); #462773=CARTESIAN_POINT('',(20.876253,42.2714,0.)); #462774=CARTESIAN_POINT('Origin',(23.3269,42.2714,0.)); #462775=CARTESIAN_POINT('',(23.3269,42.2714,0.)); #462776=CARTESIAN_POINT('',(23.3269,42.2714,0.)); #462777=CARTESIAN_POINT('',(23.3269,42.2714,0.035)); #462778=CARTESIAN_POINT('',(23.3269,42.2714,0.035)); #462779=CARTESIAN_POINT('',(23.3269,42.2714,0.)); #462780=CARTESIAN_POINT('Origin',(23.354369,42.266278,0.)); #462781=CARTESIAN_POINT('',(23.354369,42.266278,0.)); #462782=CARTESIAN_POINT('',(23.354369,42.266278,0.)); #462783=CARTESIAN_POINT('',(23.354369,42.266278,0.035)); #462784=CARTESIAN_POINT('',(23.354369,42.266278,0.035)); #462785=CARTESIAN_POINT('',(23.354369,42.266278,0.)); #462786=CARTESIAN_POINT('Origin',(23.379916,42.249934,0.)); #462787=CARTESIAN_POINT('',(23.379916,42.249934,0.)); #462788=CARTESIAN_POINT('',(23.379916,42.249934,0.)); #462789=CARTESIAN_POINT('',(23.379916,42.249934,0.035)); #462790=CARTESIAN_POINT('',(23.379916,42.249934,0.035)); #462791=CARTESIAN_POINT('',(23.379916,42.249934,0.)); #462792=CARTESIAN_POINT('Origin',(23.397066,42.224922,0.)); #462793=CARTESIAN_POINT('',(23.397066,42.224922,0.)); #462794=CARTESIAN_POINT('',(23.397066,42.224922,0.)); #462795=CARTESIAN_POINT('',(23.397066,42.224922,0.035)); #462796=CARTESIAN_POINT('',(23.397066,42.224922,0.035)); #462797=CARTESIAN_POINT('',(23.397066,42.224922,0.)); #462798=CARTESIAN_POINT('Origin',(23.4031,42.1952,0.)); #462799=CARTESIAN_POINT('',(23.4031,42.1952,0.)); #462800=CARTESIAN_POINT('',(23.4031,42.1952,0.)); #462801=CARTESIAN_POINT('',(23.4031,42.1952,0.035)); #462802=CARTESIAN_POINT('',(23.4031,42.1952,0.035)); #462803=CARTESIAN_POINT('',(23.4031,42.1952,0.)); #462804=CARTESIAN_POINT('Origin',(23.4031,41.279772,0.)); #462805=CARTESIAN_POINT('',(23.4031,41.279772,0.)); #462806=CARTESIAN_POINT('',(23.4031,41.279772,0.)); #462807=CARTESIAN_POINT('',(23.4031,41.279772,0.035)); #462808=CARTESIAN_POINT('',(23.4031,41.279772,0.035)); #462809=CARTESIAN_POINT('',(23.4031,41.279772,0.)); #462810=CARTESIAN_POINT('Origin',(23.492372,41.1905,0.)); #462811=CARTESIAN_POINT('',(23.492372,41.1905,0.)); #462812=CARTESIAN_POINT('',(23.492372,41.1905,0.)); #462813=CARTESIAN_POINT('',(23.492372,41.1905,0.035)); #462814=CARTESIAN_POINT('',(23.492372,41.1905,0.035)); #462815=CARTESIAN_POINT('',(23.492372,41.1905,0.)); #462816=CARTESIAN_POINT('Origin',(24.507628,41.1905,0.)); #462817=CARTESIAN_POINT('',(24.507628,41.1905,0.)); #462818=CARTESIAN_POINT('',(24.507628,41.1905,0.)); #462819=CARTESIAN_POINT('',(24.507628,41.1905,0.035)); #462820=CARTESIAN_POINT('',(24.507628,41.1905,0.035)); #462821=CARTESIAN_POINT('',(24.507628,41.1905,0.)); #462822=CARTESIAN_POINT('Origin',(24.5969,41.279772,0.)); #462823=CARTESIAN_POINT('',(24.5969,41.279772,0.)); #462824=CARTESIAN_POINT('',(24.5969,41.279772,0.)); #462825=CARTESIAN_POINT('',(24.5969,41.279772,0.035)); #462826=CARTESIAN_POINT('',(24.5969,41.279772,0.035)); #462827=CARTESIAN_POINT('',(24.5969,41.279772,0.)); #462828=CARTESIAN_POINT('Origin',(24.5969,41.961709,0.)); #462829=CARTESIAN_POINT('',(24.5969,41.961709,0.)); #462830=CARTESIAN_POINT('',(24.5969,41.961709,0.)); #462831=CARTESIAN_POINT('',(24.5969,41.961709,0.035)); #462832=CARTESIAN_POINT('',(24.5969,41.961709,0.035)); #462833=CARTESIAN_POINT('',(24.5969,41.961709,0.)); #462834=CARTESIAN_POINT('Origin',(24.602469,41.990306,0.)); #462835=CARTESIAN_POINT('',(24.602469,41.990306,0.)); #462836=CARTESIAN_POINT('',(24.602469,41.990306,0.)); #462837=CARTESIAN_POINT('',(24.602469,41.990306,0.035)); #462838=CARTESIAN_POINT('',(24.602469,41.990306,0.035)); #462839=CARTESIAN_POINT('',(24.602469,41.990306,0.)); #462840=CARTESIAN_POINT('Origin',(24.619219,42.015591,0.)); #462841=CARTESIAN_POINT('',(24.619219,42.015591,0.)); #462842=CARTESIAN_POINT('',(24.619219,42.015591,0.)); #462843=CARTESIAN_POINT('',(24.619219,42.015591,0.035)); #462844=CARTESIAN_POINT('',(24.619219,42.015591,0.035)); #462845=CARTESIAN_POINT('',(24.619219,42.015591,0.)); #462846=CARTESIAN_POINT('Origin',(25.467288,42.863659,0.)); #462847=CARTESIAN_POINT('',(25.467288,42.863659,0.)); #462848=CARTESIAN_POINT('',(25.467288,42.863659,0.)); #462849=CARTESIAN_POINT('',(25.467288,42.863659,0.035)); #462850=CARTESIAN_POINT('',(25.467288,42.863659,0.035)); #462851=CARTESIAN_POINT('',(25.467288,42.863659,0.)); #462852=CARTESIAN_POINT('Origin',(25.490334,42.879459,0.)); #462853=CARTESIAN_POINT('',(25.490334,42.879459,0.)); #462854=CARTESIAN_POINT('',(25.490334,42.879459,0.)); #462855=CARTESIAN_POINT('',(25.490334,42.879459,0.035)); #462856=CARTESIAN_POINT('',(25.490334,42.879459,0.035)); #462857=CARTESIAN_POINT('',(25.490334,42.879459,0.)); #462858=CARTESIAN_POINT('Origin',(25.519953,42.885969,0.)); #462859=CARTESIAN_POINT('',(25.519953,42.885969,0.)); #462860=CARTESIAN_POINT('',(25.519953,42.885969,0.)); #462861=CARTESIAN_POINT('',(25.519953,42.885969,0.035)); #462862=CARTESIAN_POINT('',(25.519953,42.885969,0.035)); #462863=CARTESIAN_POINT('',(25.519953,42.885969,0.)); #462864=CARTESIAN_POINT('Origin',(25.549766,42.880409,0.)); #462865=CARTESIAN_POINT('',(25.549766,42.880409,0.)); #462866=CARTESIAN_POINT('',(25.549766,42.880409,0.)); #462867=CARTESIAN_POINT('',(25.549766,42.880409,0.035)); #462868=CARTESIAN_POINT('',(25.549766,42.880409,0.035)); #462869=CARTESIAN_POINT('',(25.549766,42.880409,0.)); #462870=CARTESIAN_POINT('Origin',(25.57505,42.863659,0.)); #462871=CARTESIAN_POINT('',(25.57505,42.863659,0.)); #462872=CARTESIAN_POINT('',(25.57505,42.863659,0.)); #462873=CARTESIAN_POINT('',(25.57505,42.863659,0.035)); #462874=CARTESIAN_POINT('',(25.57505,42.863659,0.035)); #462875=CARTESIAN_POINT('',(25.57505,42.863659,0.)); #462876=CARTESIAN_POINT('Origin',(29.711728,38.726981,0.)); #462877=CARTESIAN_POINT('',(29.711728,38.726981,0.)); #462878=CARTESIAN_POINT('',(29.711728,38.726981,0.)); #462879=CARTESIAN_POINT('',(29.711728,38.726981,0.035)); #462880=CARTESIAN_POINT('',(29.711728,38.726981,0.035)); #462881=CARTESIAN_POINT('',(29.711728,38.726981,0.)); #462882=CARTESIAN_POINT('Origin',(29.727028,38.705044,0.)); #462883=CARTESIAN_POINT('',(29.727028,38.705044,0.)); #462884=CARTESIAN_POINT('',(29.727028,38.705044,0.)); #462885=CARTESIAN_POINT('',(29.727028,38.705044,0.035)); #462886=CARTESIAN_POINT('',(29.727028,38.705044,0.035)); #462887=CARTESIAN_POINT('',(29.727028,38.705044,0.)); #462888=CARTESIAN_POINT('Origin',(29.734009,38.675528,0.)); #462889=CARTESIAN_POINT('',(29.734009,38.675528,0.)); #462890=CARTESIAN_POINT('',(29.734009,38.675528,0.)); #462891=CARTESIAN_POINT('',(29.734009,38.675528,0.035)); #462892=CARTESIAN_POINT('',(29.734009,38.675528,0.035)); #462893=CARTESIAN_POINT('',(29.734009,38.675528,0.)); #462894=CARTESIAN_POINT('Origin',(29.728925,38.645631,0.)); #462895=CARTESIAN_POINT('',(29.728925,38.645631,0.)); #462896=CARTESIAN_POINT('',(29.728925,38.645631,0.)); #462897=CARTESIAN_POINT('',(29.728925,38.645631,0.035)); #462898=CARTESIAN_POINT('',(29.728925,38.645631,0.035)); #462899=CARTESIAN_POINT('',(29.728925,38.645631,0.)); #462900=CARTESIAN_POINT('Origin',(29.712581,38.620084,0.)); #462901=CARTESIAN_POINT('',(29.712581,38.620084,0.)); #462902=CARTESIAN_POINT('',(29.712581,38.620084,0.)); #462903=CARTESIAN_POINT('',(29.712581,38.620084,0.035)); #462904=CARTESIAN_POINT('',(29.712581,38.620084,0.035)); #462905=CARTESIAN_POINT('',(29.712581,38.620084,0.)); #462906=CARTESIAN_POINT('Origin',(29.687569,38.602934,0.)); #462907=CARTESIAN_POINT('',(29.687569,38.602934,0.)); #462908=CARTESIAN_POINT('',(29.687569,38.602934,0.)); #462909=CARTESIAN_POINT('',(29.687569,38.602934,0.035)); #462910=CARTESIAN_POINT('',(29.687569,38.602934,0.035)); #462911=CARTESIAN_POINT('',(29.687569,38.602934,0.)); #462912=CARTESIAN_POINT('Origin',(29.657847,38.5969,0.)); #462913=CARTESIAN_POINT('',(29.657847,38.5969,0.)); #462914=CARTESIAN_POINT('',(29.657847,38.5969,0.)); #462915=CARTESIAN_POINT('',(29.657847,38.5969,0.035)); #462916=CARTESIAN_POINT('',(29.657847,38.5969,0.035)); #462917=CARTESIAN_POINT('',(29.657847,38.5969,0.)); #462918=CARTESIAN_POINT('Origin',(28.755772,38.5969,0.)); #462919=CARTESIAN_POINT('',(28.755772,38.5969,0.)); #462920=CARTESIAN_POINT('',(28.755772,38.5969,0.)); #462921=CARTESIAN_POINT('',(28.755772,38.5969,0.035)); #462922=CARTESIAN_POINT('',(28.755772,38.5969,0.035)); #462923=CARTESIAN_POINT('',(28.755772,38.5969,0.)); #462924=CARTESIAN_POINT('Origin',(28.6665,38.507628,0.)); #462925=CARTESIAN_POINT('',(28.6665,38.507628,0.)); #462926=CARTESIAN_POINT('',(28.6665,38.507628,0.)); #462927=CARTESIAN_POINT('',(28.6665,38.507628,0.035)); #462928=CARTESIAN_POINT('',(28.6665,38.507628,0.035)); #462929=CARTESIAN_POINT('',(28.6665,38.507628,0.)); #462930=CARTESIAN_POINT('Origin',(28.6665,37.492372,0.)); #462931=CARTESIAN_POINT('',(28.6665,37.492372,0.)); #462932=CARTESIAN_POINT('',(28.6665,37.492372,0.)); #462933=CARTESIAN_POINT('',(28.6665,37.492372,0.035)); #462934=CARTESIAN_POINT('',(28.6665,37.492372,0.035)); #462935=CARTESIAN_POINT('',(28.6665,37.492372,0.)); #462936=CARTESIAN_POINT('Origin',(28.755772,37.4031,0.)); #462937=CARTESIAN_POINT('',(28.755772,37.4031,0.)); #462938=CARTESIAN_POINT('',(28.755772,37.4031,0.)); #462939=CARTESIAN_POINT('',(28.755772,37.4031,0.035)); #462940=CARTESIAN_POINT('',(28.755772,37.4031,0.035)); #462941=CARTESIAN_POINT('',(28.755772,37.4031,0.)); #462942=CARTESIAN_POINT('Origin',(29.480047,37.4031,0.)); #462943=CARTESIAN_POINT('',(29.480047,37.4031,0.)); #462944=CARTESIAN_POINT('',(29.480047,37.4031,0.)); #462945=CARTESIAN_POINT('',(29.480047,37.4031,0.035)); #462946=CARTESIAN_POINT('',(29.480047,37.4031,0.035)); #462947=CARTESIAN_POINT('',(29.480047,37.4031,0.)); #462948=CARTESIAN_POINT('Origin',(29.508644,37.397531,0.)); #462949=CARTESIAN_POINT('',(29.508644,37.397531,0.)); #462950=CARTESIAN_POINT('',(29.508644,37.397531,0.)); #462951=CARTESIAN_POINT('',(29.508644,37.397531,0.035)); #462952=CARTESIAN_POINT('',(29.508644,37.397531,0.035)); #462953=CARTESIAN_POINT('',(29.508644,37.397531,0.)); #462954=CARTESIAN_POINT('Origin',(29.533928,37.380781,0.)); #462955=CARTESIAN_POINT('',(29.533928,37.380781,0.)); #462956=CARTESIAN_POINT('',(29.533928,37.380781,0.)); #462957=CARTESIAN_POINT('',(29.533928,37.380781,0.035)); #462958=CARTESIAN_POINT('',(29.533928,37.380781,0.035)); #462959=CARTESIAN_POINT('',(29.533928,37.380781,0.)); #462960=CARTESIAN_POINT('Origin',(29.561581,37.353128,0.)); #462961=CARTESIAN_POINT('',(29.561581,37.353128,0.)); #462962=CARTESIAN_POINT('',(29.561581,37.353128,0.)); #462963=CARTESIAN_POINT('',(29.561581,37.353128,0.035)); #462964=CARTESIAN_POINT('',(29.561581,37.353128,0.035)); #462965=CARTESIAN_POINT('',(29.561581,37.353128,0.)); #462966=CARTESIAN_POINT('Origin',(29.577866,37.328969,0.)); #462967=CARTESIAN_POINT('',(29.577866,37.328969,0.)); #462968=CARTESIAN_POINT('',(29.577866,37.328969,0.)); #462969=CARTESIAN_POINT('',(29.577866,37.328969,0.035)); #462970=CARTESIAN_POINT('',(29.577866,37.328969,0.035)); #462971=CARTESIAN_POINT('',(29.577866,37.328969,0.)); #462972=CARTESIAN_POINT('Origin',(29.5839,37.299247,0.)); #462973=CARTESIAN_POINT('',(29.5839,37.299247,0.)); #462974=CARTESIAN_POINT('',(29.5839,37.299247,0.)); #462975=CARTESIAN_POINT('',(29.5839,37.299247,0.035)); #462976=CARTESIAN_POINT('',(29.5839,37.299247,0.035)); #462977=CARTESIAN_POINT('',(29.5839,37.299247,0.)); #462978=CARTESIAN_POINT('Origin',(29.5839,37.204497,0.)); #462979=CARTESIAN_POINT('',(29.5839,37.204497,0.)); #462980=CARTESIAN_POINT('',(29.5839,37.204497,0.)); #462981=CARTESIAN_POINT('',(29.5839,37.204497,0.035)); #462982=CARTESIAN_POINT('',(29.5839,37.204497,0.035)); #462983=CARTESIAN_POINT('',(29.5839,37.204497,0.)); #462984=CARTESIAN_POINT('Origin',(29.579206,37.178166,0.)); #462985=CARTESIAN_POINT('',(29.579206,37.178166,0.)); #462986=CARTESIAN_POINT('',(29.579206,37.178166,0.)); #462987=CARTESIAN_POINT('',(29.579206,37.178166,0.035)); #462988=CARTESIAN_POINT('',(29.579206,37.178166,0.035)); #462989=CARTESIAN_POINT('',(29.579206,37.178166,0.)); #462990=CARTESIAN_POINT('Origin',(29.563272,37.152359,0.)); #462991=CARTESIAN_POINT('',(29.563272,37.152359,0.)); #462992=CARTESIAN_POINT('',(29.563272,37.152359,0.)); #462993=CARTESIAN_POINT('',(29.563272,37.152359,0.035)); #462994=CARTESIAN_POINT('',(29.563272,37.152359,0.035)); #462995=CARTESIAN_POINT('',(29.563272,37.152359,0.)); #462996=CARTESIAN_POINT('Origin',(29.538534,37.134816,0.)); #462997=CARTESIAN_POINT('',(29.538534,37.134816,0.)); #462998=CARTESIAN_POINT('',(29.538534,37.134816,0.)); #462999=CARTESIAN_POINT('',(29.538534,37.134816,0.035)); #463000=CARTESIAN_POINT('',(29.538534,37.134816,0.035)); #463001=CARTESIAN_POINT('',(29.538534,37.134816,0.)); #463002=CARTESIAN_POINT('Origin',(29.508916,37.128306,0.)); #463003=CARTESIAN_POINT('',(29.508916,37.128306,0.)); #463004=CARTESIAN_POINT('',(29.508916,37.128306,0.)); #463005=CARTESIAN_POINT('',(29.508916,37.128306,0.035)); #463006=CARTESIAN_POINT('',(29.508916,37.128306,0.035)); #463007=CARTESIAN_POINT('',(29.508916,37.128306,0.)); #463008=CARTESIAN_POINT('Origin',(29.479103,37.133866,0.)); #463009=CARTESIAN_POINT('',(29.479103,37.133866,0.)); #463010=CARTESIAN_POINT('',(29.479103,37.133866,0.)); #463011=CARTESIAN_POINT('',(29.479103,37.133866,0.035)); #463012=CARTESIAN_POINT('',(29.479103,37.133866,0.035)); #463013=CARTESIAN_POINT('',(29.479103,37.133866,0.)); #463014=CARTESIAN_POINT('Origin',(29.453819,37.150616,0.)); #463015=CARTESIAN_POINT('',(29.453819,37.150616,0.)); #463016=CARTESIAN_POINT('',(29.453819,37.150616,0.)); #463017=CARTESIAN_POINT('',(29.453819,37.150616,0.035)); #463018=CARTESIAN_POINT('',(29.453819,37.150616,0.035)); #463019=CARTESIAN_POINT('',(29.453819,37.150616,0.)); #463020=CARTESIAN_POINT('Origin',(29.427034,37.1774,0.)); #463021=CARTESIAN_POINT('',(29.427034,37.1774,0.)); #463022=CARTESIAN_POINT('',(29.427034,37.1774,0.)); #463023=CARTESIAN_POINT('',(29.427034,37.1774,0.035)); #463024=CARTESIAN_POINT('',(29.427034,37.1774,0.035)); #463025=CARTESIAN_POINT('',(29.427034,37.1774,0.)); #463026=CARTESIAN_POINT('Origin',(29.072966,37.1774,0.)); #463027=CARTESIAN_POINT('',(29.072966,37.1774,0.)); #463028=CARTESIAN_POINT('',(29.072966,37.1774,0.)); #463029=CARTESIAN_POINT('',(29.072966,37.1774,0.035)); #463030=CARTESIAN_POINT('',(29.072966,37.1774,0.035)); #463031=CARTESIAN_POINT('',(29.072966,37.1774,0.)); #463032=CARTESIAN_POINT('Origin',(28.896484,37.000919,0.)); #463033=CARTESIAN_POINT('',(28.896484,37.000919,0.)); #463034=CARTESIAN_POINT('',(28.896484,37.000919,0.)); #463035=CARTESIAN_POINT('',(28.896484,37.000919,0.035)); #463036=CARTESIAN_POINT('',(28.896484,37.000919,0.035)); #463037=CARTESIAN_POINT('',(28.896484,37.000919,0.)); #463038=CARTESIAN_POINT('Origin',(28.872325,36.984634,0.)); #463039=CARTESIAN_POINT('',(28.872325,36.984634,0.)); #463040=CARTESIAN_POINT('',(28.872325,36.984634,0.035)); #463041=CARTESIAN_POINT('Origin',(24.9295602623365,40.1315188039482,0.035)); #463042=CARTESIAN_POINT('Origin',(24.9295602623365,40.1315188039482,0.)); #463043=CARTESIAN_POINT('Origin',(30.131509,19.9786,0.)); #463044=CARTESIAN_POINT('',(30.131509,19.9786,0.)); #463045=CARTESIAN_POINT('',(30.161231,19.984634,0.)); #463046=CARTESIAN_POINT('',(30.131509,19.9786,0.)); #463047=CARTESIAN_POINT('',(30.161231,19.984634,0.035)); #463048=CARTESIAN_POINT('',(30.161231,19.984634,0.)); #463049=CARTESIAN_POINT('',(30.131509,19.9786,0.035)); #463050=CARTESIAN_POINT('',(30.131509,19.9786,0.035)); #463051=CARTESIAN_POINT('',(30.131509,19.9786,0.)); #463052=CARTESIAN_POINT('Origin',(29.876253,19.9786,0.)); #463053=CARTESIAN_POINT('',(29.876253,19.9786,0.)); #463054=CARTESIAN_POINT('',(29.876253,19.9786,0.)); #463055=CARTESIAN_POINT('',(29.876253,19.9786,0.035)); #463056=CARTESIAN_POINT('',(29.876253,19.9786,0.035)); #463057=CARTESIAN_POINT('',(29.876253,19.9786,0.)); #463058=CARTESIAN_POINT('Origin',(29.847656,19.984169,0.)); #463059=CARTESIAN_POINT('',(29.847656,19.984169,0.)); #463060=CARTESIAN_POINT('',(29.847656,19.984169,0.)); #463061=CARTESIAN_POINT('',(29.847656,19.984169,0.035)); #463062=CARTESIAN_POINT('',(29.847656,19.984169,0.035)); #463063=CARTESIAN_POINT('',(29.847656,19.984169,0.)); #463064=CARTESIAN_POINT('Origin',(29.822372,20.000919,0.)); #463065=CARTESIAN_POINT('',(29.822372,20.000919,0.)); #463066=CARTESIAN_POINT('',(29.822372,20.000919,0.)); #463067=CARTESIAN_POINT('',(29.822372,20.000919,0.035)); #463068=CARTESIAN_POINT('',(29.822372,20.000919,0.035)); #463069=CARTESIAN_POINT('',(29.822372,20.000919,0.)); #463070=CARTESIAN_POINT('Origin',(29.375919,20.447372,0.)); #463071=CARTESIAN_POINT('',(29.375919,20.447372,0.)); #463072=CARTESIAN_POINT('',(29.375919,20.447372,0.)); #463073=CARTESIAN_POINT('',(29.375919,20.447372,0.035)); #463074=CARTESIAN_POINT('',(29.375919,20.447372,0.035)); #463075=CARTESIAN_POINT('',(29.375919,20.447372,0.)); #463076=CARTESIAN_POINT('Origin',(29.359634,20.471531,0.)); #463077=CARTESIAN_POINT('',(29.359634,20.471531,0.)); #463078=CARTESIAN_POINT('',(29.359634,20.471531,0.)); #463079=CARTESIAN_POINT('',(29.359634,20.471531,0.035)); #463080=CARTESIAN_POINT('',(29.359634,20.471531,0.035)); #463081=CARTESIAN_POINT('',(29.359634,20.471531,0.)); #463082=CARTESIAN_POINT('Origin',(29.3536,20.501253,0.)); #463083=CARTESIAN_POINT('',(29.3536,20.501253,0.)); #463084=CARTESIAN_POINT('',(29.3536,20.501253,0.)); #463085=CARTESIAN_POINT('',(29.3536,20.501253,0.035)); #463086=CARTESIAN_POINT('',(29.3536,20.501253,0.035)); #463087=CARTESIAN_POINT('',(29.3536,20.501253,0.)); #463088=CARTESIAN_POINT('Origin',(29.3536,23.267797,0.)); #463089=CARTESIAN_POINT('',(29.3536,23.267797,0.)); #463090=CARTESIAN_POINT('',(29.3536,23.267797,0.)); #463091=CARTESIAN_POINT('',(29.3536,23.267797,0.035)); #463092=CARTESIAN_POINT('',(29.3536,23.267797,0.035)); #463093=CARTESIAN_POINT('',(29.3536,23.267797,0.)); #463094=CARTESIAN_POINT('Origin',(29.358294,23.294128,0.)); #463095=CARTESIAN_POINT('',(29.358294,23.294128,0.)); #463096=CARTESIAN_POINT('',(29.358294,23.294128,0.)); #463097=CARTESIAN_POINT('',(29.358294,23.294128,0.035)); #463098=CARTESIAN_POINT('',(29.358294,23.294128,0.035)); #463099=CARTESIAN_POINT('',(29.358294,23.294128,0.)); #463100=CARTESIAN_POINT('Origin',(29.374228,23.319934,0.)); #463101=CARTESIAN_POINT('',(29.374228,23.319934,0.)); #463102=CARTESIAN_POINT('',(29.374228,23.319934,0.)); #463103=CARTESIAN_POINT('',(29.374228,23.319934,0.035)); #463104=CARTESIAN_POINT('',(29.374228,23.319934,0.035)); #463105=CARTESIAN_POINT('',(29.374228,23.319934,0.)); #463106=CARTESIAN_POINT('Origin',(29.398966,23.337478,0.)); #463107=CARTESIAN_POINT('',(29.398966,23.337478,0.)); #463108=CARTESIAN_POINT('',(29.398966,23.337478,0.)); #463109=CARTESIAN_POINT('',(29.398966,23.337478,0.035)); #463110=CARTESIAN_POINT('',(29.398966,23.337478,0.035)); #463111=CARTESIAN_POINT('',(29.398966,23.337478,0.)); #463112=CARTESIAN_POINT('Origin',(29.428584,23.343988,0.)); #463113=CARTESIAN_POINT('',(29.428584,23.343988,0.)); #463114=CARTESIAN_POINT('',(29.428584,23.343988,0.)); #463115=CARTESIAN_POINT('',(29.428584,23.343988,0.035)); #463116=CARTESIAN_POINT('',(29.428584,23.343988,0.035)); #463117=CARTESIAN_POINT('',(29.428584,23.343988,0.)); #463118=CARTESIAN_POINT('Origin',(29.458397,23.338428,0.)); #463119=CARTESIAN_POINT('',(29.458397,23.338428,0.)); #463120=CARTESIAN_POINT('',(29.458397,23.338428,0.)); #463121=CARTESIAN_POINT('',(29.458397,23.338428,0.035)); #463122=CARTESIAN_POINT('',(29.458397,23.338428,0.035)); #463123=CARTESIAN_POINT('',(29.458397,23.338428,0.)); #463124=CARTESIAN_POINT('Origin',(29.483681,23.321678,0.)); #463125=CARTESIAN_POINT('',(29.483681,23.321678,0.)); #463126=CARTESIAN_POINT('',(29.483681,23.321678,0.)); #463127=CARTESIAN_POINT('',(29.483681,23.321678,0.035)); #463128=CARTESIAN_POINT('',(29.483681,23.321678,0.035)); #463129=CARTESIAN_POINT('',(29.483681,23.321678,0.)); #463130=CARTESIAN_POINT('Origin',(30.999081,21.806278,0.)); #463131=CARTESIAN_POINT('',(30.999081,21.806278,0.)); #463132=CARTESIAN_POINT('',(30.999081,21.806278,0.)); #463133=CARTESIAN_POINT('',(30.999081,21.806278,0.035)); #463134=CARTESIAN_POINT('',(30.999081,21.806278,0.035)); #463135=CARTESIAN_POINT('',(30.999081,21.806278,0.)); #463136=CARTESIAN_POINT('Origin',(31.015366,21.782119,0.)); #463137=CARTESIAN_POINT('',(31.015366,21.782119,0.)); #463138=CARTESIAN_POINT('',(31.015366,21.782119,0.)); #463139=CARTESIAN_POINT('',(31.015366,21.782119,0.035)); #463140=CARTESIAN_POINT('',(31.015366,21.782119,0.035)); #463141=CARTESIAN_POINT('',(31.015366,21.782119,0.)); #463142=CARTESIAN_POINT('Origin',(31.0214,21.752397,0.)); #463143=CARTESIAN_POINT('',(31.0214,21.752397,0.)); #463144=CARTESIAN_POINT('',(31.0214,21.752397,0.)); #463145=CARTESIAN_POINT('',(31.0214,21.752397,0.035)); #463146=CARTESIAN_POINT('',(31.0214,21.752397,0.035)); #463147=CARTESIAN_POINT('',(31.0214,21.752397,0.)); #463148=CARTESIAN_POINT('Origin',(31.0214,20.868491,0.)); #463149=CARTESIAN_POINT('',(31.0214,20.868491,0.)); #463150=CARTESIAN_POINT('',(31.0214,20.868491,0.)); #463151=CARTESIAN_POINT('',(31.0214,20.868491,0.035)); #463152=CARTESIAN_POINT('',(31.0214,20.868491,0.035)); #463153=CARTESIAN_POINT('',(31.0214,20.868491,0.)); #463154=CARTESIAN_POINT('Origin',(31.015831,20.839894,0.)); #463155=CARTESIAN_POINT('',(31.015831,20.839894,0.)); #463156=CARTESIAN_POINT('',(31.015831,20.839894,0.)); #463157=CARTESIAN_POINT('',(31.015831,20.839894,0.035)); #463158=CARTESIAN_POINT('',(31.015831,20.839894,0.035)); #463159=CARTESIAN_POINT('',(31.015831,20.839894,0.)); #463160=CARTESIAN_POINT('Origin',(30.999081,20.814609,0.)); #463161=CARTESIAN_POINT('',(30.999081,20.814609,0.)); #463162=CARTESIAN_POINT('',(30.999081,20.814609,0.)); #463163=CARTESIAN_POINT('',(30.999081,20.814609,0.035)); #463164=CARTESIAN_POINT('',(30.999081,20.814609,0.035)); #463165=CARTESIAN_POINT('',(30.999081,20.814609,0.)); #463166=CARTESIAN_POINT('Origin',(30.9601,20.775628,0.)); #463167=CARTESIAN_POINT('',(30.9601,20.775628,0.)); #463168=CARTESIAN_POINT('',(30.9601,20.775628,0.)); #463169=CARTESIAN_POINT('',(30.9601,20.775628,0.035)); #463170=CARTESIAN_POINT('',(30.9601,20.775628,0.035)); #463171=CARTESIAN_POINT('',(30.9601,20.775628,0.)); #463172=CARTESIAN_POINT('Origin',(30.9601,20.1161,0.)); #463173=CARTESIAN_POINT('',(30.9601,20.1161,0.)); #463174=CARTESIAN_POINT('',(30.9601,20.1161,0.)); #463175=CARTESIAN_POINT('',(30.9601,20.1161,0.035)); #463176=CARTESIAN_POINT('',(30.9601,20.1161,0.035)); #463177=CARTESIAN_POINT('',(30.9601,20.1161,0.)); #463178=CARTESIAN_POINT('Origin',(30.954978,20.088631,0.)); #463179=CARTESIAN_POINT('',(30.954978,20.088631,0.)); #463180=CARTESIAN_POINT('',(30.954978,20.088631,0.)); #463181=CARTESIAN_POINT('',(30.954978,20.088631,0.035)); #463182=CARTESIAN_POINT('',(30.954978,20.088631,0.035)); #463183=CARTESIAN_POINT('',(30.954978,20.088631,0.)); #463184=CARTESIAN_POINT('Origin',(30.938634,20.063084,0.)); #463185=CARTESIAN_POINT('',(30.938634,20.063084,0.)); #463186=CARTESIAN_POINT('',(30.938634,20.063084,0.)); #463187=CARTESIAN_POINT('',(30.938634,20.063084,0.035)); #463188=CARTESIAN_POINT('',(30.938634,20.063084,0.035)); #463189=CARTESIAN_POINT('',(30.938634,20.063084,0.)); #463190=CARTESIAN_POINT('Origin',(30.913622,20.045934,0.)); #463191=CARTESIAN_POINT('',(30.913622,20.045934,0.)); #463192=CARTESIAN_POINT('',(30.913622,20.045934,0.)); #463193=CARTESIAN_POINT('',(30.913622,20.045934,0.035)); #463194=CARTESIAN_POINT('',(30.913622,20.045934,0.035)); #463195=CARTESIAN_POINT('',(30.913622,20.045934,0.)); #463196=CARTESIAN_POINT('Origin',(30.8839,20.0399,0.)); #463197=CARTESIAN_POINT('',(30.8839,20.0399,0.)); #463198=CARTESIAN_POINT('',(30.8839,20.0399,0.)); #463199=CARTESIAN_POINT('',(30.8839,20.0399,0.035)); #463200=CARTESIAN_POINT('',(30.8839,20.0399,0.035)); #463201=CARTESIAN_POINT('',(30.8839,20.0399,0.)); #463202=CARTESIAN_POINT('Origin',(30.224372,20.0399,0.)); #463203=CARTESIAN_POINT('',(30.224372,20.0399,0.)); #463204=CARTESIAN_POINT('',(30.224372,20.0399,0.)); #463205=CARTESIAN_POINT('',(30.224372,20.0399,0.035)); #463206=CARTESIAN_POINT('',(30.224372,20.0399,0.035)); #463207=CARTESIAN_POINT('',(30.224372,20.0399,0.)); #463208=CARTESIAN_POINT('Origin',(30.185391,20.000919,0.)); #463209=CARTESIAN_POINT('',(30.185391,20.000919,0.)); #463210=CARTESIAN_POINT('',(30.185391,20.000919,0.)); #463211=CARTESIAN_POINT('',(30.185391,20.000919,0.035)); #463212=CARTESIAN_POINT('',(30.185391,20.000919,0.035)); #463213=CARTESIAN_POINT('',(30.185391,20.000919,0.)); #463214=CARTESIAN_POINT('Origin',(30.161231,19.984634,0.)); #463215=CARTESIAN_POINT('',(30.161231,19.984634,0.)); #463216=CARTESIAN_POINT('',(30.161231,19.984634,0.035)); #463217=CARTESIAN_POINT('Origin',(30.0610940999889,21.5109371727014,0.035)); #463218=CARTESIAN_POINT('Origin',(30.0610940999889,21.5109371727014,0.)); #463219=CARTESIAN_POINT('Origin',(31.2954,31.7493,0.)); #463220=CARTESIAN_POINT('',(31.2954,31.7493,0.)); #463221=CARTESIAN_POINT('',(31.325122,31.755334,0.)); #463222=CARTESIAN_POINT('',(31.2954,31.7493,0.)); #463223=CARTESIAN_POINT('',(31.325122,31.755334,0.035)); #463224=CARTESIAN_POINT('',(31.325122,31.755334,0.)); #463225=CARTESIAN_POINT('',(31.2954,31.7493,0.035)); #463226=CARTESIAN_POINT('',(31.2954,31.7493,0.035)); #463227=CARTESIAN_POINT('',(31.2954,31.7493,0.)); #463228=CARTESIAN_POINT('Origin',(31.006553,31.7493,0.)); #463229=CARTESIAN_POINT('',(31.006553,31.7493,0.)); #463230=CARTESIAN_POINT('',(31.006553,31.7493,0.)); #463231=CARTESIAN_POINT('',(31.006553,31.7493,0.035)); #463232=CARTESIAN_POINT('',(31.006553,31.7493,0.035)); #463233=CARTESIAN_POINT('',(31.006553,31.7493,0.)); #463234=CARTESIAN_POINT('Origin',(30.980222,31.753994,0.)); #463235=CARTESIAN_POINT('',(30.980222,31.753994,0.)); #463236=CARTESIAN_POINT('',(30.980222,31.753994,0.)); #463237=CARTESIAN_POINT('',(30.980222,31.753994,0.035)); #463238=CARTESIAN_POINT('',(30.980222,31.753994,0.035)); #463239=CARTESIAN_POINT('',(30.980222,31.753994,0.)); #463240=CARTESIAN_POINT('Origin',(30.954416,31.769928,0.)); #463241=CARTESIAN_POINT('',(30.954416,31.769928,0.)); #463242=CARTESIAN_POINT('',(30.954416,31.769928,0.)); #463243=CARTESIAN_POINT('',(30.954416,31.769928,0.035)); #463244=CARTESIAN_POINT('',(30.954416,31.769928,0.035)); #463245=CARTESIAN_POINT('',(30.954416,31.769928,0.)); #463246=CARTESIAN_POINT('Origin',(30.936872,31.794666,0.)); #463247=CARTESIAN_POINT('',(30.936872,31.794666,0.)); #463248=CARTESIAN_POINT('',(30.936872,31.794666,0.)); #463249=CARTESIAN_POINT('',(30.936872,31.794666,0.035)); #463250=CARTESIAN_POINT('',(30.936872,31.794666,0.035)); #463251=CARTESIAN_POINT('',(30.936872,31.794666,0.)); #463252=CARTESIAN_POINT('Origin',(30.930363,31.824284,0.)); #463253=CARTESIAN_POINT('',(30.930363,31.824284,0.)); #463254=CARTESIAN_POINT('',(30.930363,31.824284,0.)); #463255=CARTESIAN_POINT('',(30.930363,31.824284,0.035)); #463256=CARTESIAN_POINT('',(30.930363,31.824284,0.035)); #463257=CARTESIAN_POINT('',(30.930363,31.824284,0.)); #463258=CARTESIAN_POINT('Origin',(30.935922,31.854097,0.)); #463259=CARTESIAN_POINT('',(30.935922,31.854097,0.)); #463260=CARTESIAN_POINT('',(30.935922,31.854097,0.)); #463261=CARTESIAN_POINT('',(30.935922,31.854097,0.035)); #463262=CARTESIAN_POINT('',(30.935922,31.854097,0.035)); #463263=CARTESIAN_POINT('',(30.935922,31.854097,0.)); #463264=CARTESIAN_POINT('Origin',(30.952672,31.879381,0.)); #463265=CARTESIAN_POINT('',(30.952672,31.879381,0.)); #463266=CARTESIAN_POINT('',(30.952672,31.879381,0.)); #463267=CARTESIAN_POINT('',(30.952672,31.879381,0.035)); #463268=CARTESIAN_POINT('',(30.952672,31.879381,0.035)); #463269=CARTESIAN_POINT('',(30.952672,31.879381,0.)); #463270=CARTESIAN_POINT('Origin',(31.241519,32.168228,0.)); #463271=CARTESIAN_POINT('',(31.241519,32.168228,0.)); #463272=CARTESIAN_POINT('',(31.241519,32.168228,0.)); #463273=CARTESIAN_POINT('',(31.241519,32.168228,0.035)); #463274=CARTESIAN_POINT('',(31.241519,32.168228,0.035)); #463275=CARTESIAN_POINT('',(31.241519,32.168228,0.)); #463276=CARTESIAN_POINT('Origin',(31.263456,32.183528,0.)); #463277=CARTESIAN_POINT('',(31.263456,32.183528,0.)); #463278=CARTESIAN_POINT('',(31.263456,32.183528,0.)); #463279=CARTESIAN_POINT('',(31.263456,32.183528,0.035)); #463280=CARTESIAN_POINT('',(31.263456,32.183528,0.035)); #463281=CARTESIAN_POINT('',(31.263456,32.183528,0.)); #463282=CARTESIAN_POINT('Origin',(31.292972,32.190509,0.)); #463283=CARTESIAN_POINT('',(31.292972,32.190509,0.)); #463284=CARTESIAN_POINT('',(31.292972,32.190509,0.)); #463285=CARTESIAN_POINT('',(31.292972,32.190509,0.035)); #463286=CARTESIAN_POINT('',(31.292972,32.190509,0.035)); #463287=CARTESIAN_POINT('',(31.292972,32.190509,0.)); #463288=CARTESIAN_POINT('Origin',(31.322869,32.185425,0.)); #463289=CARTESIAN_POINT('',(31.322869,32.185425,0.)); #463290=CARTESIAN_POINT('',(31.322869,32.185425,0.)); #463291=CARTESIAN_POINT('',(31.322869,32.185425,0.035)); #463292=CARTESIAN_POINT('',(31.322869,32.185425,0.035)); #463293=CARTESIAN_POINT('',(31.322869,32.185425,0.)); #463294=CARTESIAN_POINT('Origin',(31.348416,32.169081,0.)); #463295=CARTESIAN_POINT('',(31.348416,32.169081,0.)); #463296=CARTESIAN_POINT('',(31.348416,32.169081,0.)); #463297=CARTESIAN_POINT('',(31.348416,32.169081,0.035)); #463298=CARTESIAN_POINT('',(31.348416,32.169081,0.035)); #463299=CARTESIAN_POINT('',(31.348416,32.169081,0.)); #463300=CARTESIAN_POINT('Origin',(31.365566,32.144069,0.)); #463301=CARTESIAN_POINT('',(31.365566,32.144069,0.)); #463302=CARTESIAN_POINT('',(31.365566,32.144069,0.)); #463303=CARTESIAN_POINT('',(31.365566,32.144069,0.035)); #463304=CARTESIAN_POINT('',(31.365566,32.144069,0.035)); #463305=CARTESIAN_POINT('',(31.365566,32.144069,0.)); #463306=CARTESIAN_POINT('Origin',(31.3716,32.114347,0.)); #463307=CARTESIAN_POINT('',(31.3716,32.114347,0.)); #463308=CARTESIAN_POINT('',(31.3716,32.114347,0.)); #463309=CARTESIAN_POINT('',(31.3716,32.114347,0.035)); #463310=CARTESIAN_POINT('',(31.3716,32.114347,0.035)); #463311=CARTESIAN_POINT('',(31.3716,32.114347,0.)); #463312=CARTESIAN_POINT('Origin',(31.3716,31.8255,0.)); #463313=CARTESIAN_POINT('',(31.3716,31.8255,0.)); #463314=CARTESIAN_POINT('',(31.3716,31.8255,0.)); #463315=CARTESIAN_POINT('',(31.3716,31.8255,0.035)); #463316=CARTESIAN_POINT('',(31.3716,31.8255,0.035)); #463317=CARTESIAN_POINT('',(31.3716,31.8255,0.)); #463318=CARTESIAN_POINT('Origin',(31.366478,31.798031,0.)); #463319=CARTESIAN_POINT('',(31.366478,31.798031,0.)); #463320=CARTESIAN_POINT('',(31.366478,31.798031,0.)); #463321=CARTESIAN_POINT('',(31.366478,31.798031,0.035)); #463322=CARTESIAN_POINT('',(31.366478,31.798031,0.035)); #463323=CARTESIAN_POINT('',(31.366478,31.798031,0.)); #463324=CARTESIAN_POINT('Origin',(31.350134,31.772484,0.)); #463325=CARTESIAN_POINT('',(31.350134,31.772484,0.)); #463326=CARTESIAN_POINT('',(31.350134,31.772484,0.)); #463327=CARTESIAN_POINT('',(31.350134,31.772484,0.035)); #463328=CARTESIAN_POINT('',(31.350134,31.772484,0.035)); #463329=CARTESIAN_POINT('',(31.350134,31.772484,0.)); #463330=CARTESIAN_POINT('Origin',(31.325122,31.755334,0.)); #463331=CARTESIAN_POINT('',(31.325122,31.755334,0.)); #463332=CARTESIAN_POINT('',(31.325122,31.755334,0.035)); #463333=CARTESIAN_POINT('Origin',(31.1912618601778,31.9296366361734,0.035)); #463334=CARTESIAN_POINT('Origin',(31.1912618601778,31.9296366361734,0.)); #463335=CARTESIAN_POINT('Origin',(32.5,18.5,-200.)); #463336=CARTESIAN_POINT('',(32.36,18.5,0.)); #463337=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #463338=CARTESIAN_POINT('',(32.36,18.5,0.0349999999999895)); #463339=CARTESIAN_POINT('',(32.36,18.5,-200.)); #463340=CARTESIAN_POINT('Origin',(32.5,18.5,0.0349999999999895)); #463341=CARTESIAN_POINT('Origin',(33.25,18.5,-200.)); #463342=CARTESIAN_POINT('',(33.11,18.5,0.)); #463343=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #463344=CARTESIAN_POINT('',(33.11,18.5,0.0349999999999895)); #463345=CARTESIAN_POINT('',(33.11,18.5,-200.)); #463346=CARTESIAN_POINT('Origin',(33.25,18.5,0.0349999999999895)); #463347=CARTESIAN_POINT('Origin',(34.75,18.5,-200.)); #463348=CARTESIAN_POINT('',(34.61,18.5,0.)); #463349=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #463350=CARTESIAN_POINT('',(34.61,18.5,0.0349999999999895)); #463351=CARTESIAN_POINT('',(34.61,18.5,-200.)); #463352=CARTESIAN_POINT('Origin',(34.75,18.5,0.0349999999999895)); #463353=CARTESIAN_POINT('Origin',(34.,18.5,-200.)); #463354=CARTESIAN_POINT('',(33.86,18.5,0.)); #463355=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #463356=CARTESIAN_POINT('',(33.86,18.5,0.0349999999999895)); #463357=CARTESIAN_POINT('',(33.86,18.5,-200.)); #463358=CARTESIAN_POINT('Origin',(34.,18.5,0.0349999999999895)); #463359=CARTESIAN_POINT('Origin',(34.75,16.25,-200.)); #463360=CARTESIAN_POINT('',(34.61,16.25,0.)); #463361=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #463362=CARTESIAN_POINT('',(34.61,16.25,0.0349999999999895)); #463363=CARTESIAN_POINT('',(34.61,16.25,-200.)); #463364=CARTESIAN_POINT('Origin',(34.75,16.25,0.0349999999999895)); #463365=CARTESIAN_POINT('Origin',(31.75,18.5,-200.)); #463366=CARTESIAN_POINT('',(31.61,18.5,0.)); #463367=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #463368=CARTESIAN_POINT('',(31.61,18.5,0.0349999999999895)); #463369=CARTESIAN_POINT('',(31.61,18.5,-200.)); #463370=CARTESIAN_POINT('Origin',(31.75,18.5,0.0349999999999895)); #463371=CARTESIAN_POINT('Origin',(33.25,16.25,-200.)); #463372=CARTESIAN_POINT('',(33.11,16.25,0.)); #463373=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #463374=CARTESIAN_POINT('',(33.11,16.25,0.0349999999999895)); #463375=CARTESIAN_POINT('',(33.11,16.25,-200.)); #463376=CARTESIAN_POINT('Origin',(33.25,16.25,0.0349999999999895)); #463377=CARTESIAN_POINT('Origin',(31.75,19.25,-200.)); #463378=CARTESIAN_POINT('',(31.61,19.25,0.)); #463379=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #463380=CARTESIAN_POINT('',(31.61,19.25,0.0349999999999895)); #463381=CARTESIAN_POINT('',(31.61,19.25,-200.)); #463382=CARTESIAN_POINT('Origin',(31.75,19.25,0.0349999999999895)); #463383=CARTESIAN_POINT('Origin',(35.5,17.75,-200.)); #463384=CARTESIAN_POINT('',(35.36,17.75,0.)); #463385=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #463386=CARTESIAN_POINT('',(35.36,17.75,0.0349999999999895)); #463387=CARTESIAN_POINT('',(35.36,17.75,-200.)); #463388=CARTESIAN_POINT('Origin',(35.5,17.75,0.0349999999999895)); #463389=CARTESIAN_POINT('Origin',(35.5,18.5,-200.)); #463390=CARTESIAN_POINT('',(35.36,18.5,0.)); #463391=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #463392=CARTESIAN_POINT('',(35.36,18.5,0.0349999999999895)); #463393=CARTESIAN_POINT('',(35.36,18.5,-200.)); #463394=CARTESIAN_POINT('Origin',(35.5,18.5,0.0349999999999895)); #463395=CARTESIAN_POINT('Origin',(34.75,14.75,-200.)); #463396=CARTESIAN_POINT('',(34.61,14.75,0.)); #463397=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #463398=CARTESIAN_POINT('',(34.61,14.75,0.0349999999999895)); #463399=CARTESIAN_POINT('',(34.61,14.75,-200.)); #463400=CARTESIAN_POINT('Origin',(34.75,14.75,0.0349999999999895)); #463401=CARTESIAN_POINT('Origin',(34.75,17.,-200.)); #463402=CARTESIAN_POINT('',(34.61,17.,0.)); #463403=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #463404=CARTESIAN_POINT('',(34.61,17.,0.0349999999999895)); #463405=CARTESIAN_POINT('',(34.61,17.,-200.)); #463406=CARTESIAN_POINT('Origin',(34.75,17.,0.0349999999999895)); #463407=CARTESIAN_POINT('Origin',(35.5,14.75,-200.)); #463408=CARTESIAN_POINT('',(35.36,14.75,0.)); #463409=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #463410=CARTESIAN_POINT('',(35.36,14.75,0.0349999999999895)); #463411=CARTESIAN_POINT('',(35.36,14.75,-200.)); #463412=CARTESIAN_POINT('Origin',(35.5,14.75,0.0349999999999895)); #463413=CARTESIAN_POINT('Origin',(36.25,15.5,-200.)); #463414=CARTESIAN_POINT('',(36.11,15.5,0.)); #463415=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #463416=CARTESIAN_POINT('',(36.11,15.5,0.0349999999999895)); #463417=CARTESIAN_POINT('',(36.11,15.5,-200.)); #463418=CARTESIAN_POINT('Origin',(36.25,15.5,0.0349999999999895)); #463419=CARTESIAN_POINT('Origin',(34.,17.75,-200.)); #463420=CARTESIAN_POINT('',(33.86,17.75,0.)); #463421=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #463422=CARTESIAN_POINT('',(33.86,17.75,0.0349999999999895)); #463423=CARTESIAN_POINT('',(33.86,17.75,-200.)); #463424=CARTESIAN_POINT('Origin',(34.,17.75,0.0349999999999895)); #463425=CARTESIAN_POINT('Origin',(36.25,14.75,-200.)); #463426=CARTESIAN_POINT('',(36.11,14.75,0.)); #463427=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #463428=CARTESIAN_POINT('',(36.11,14.75,0.0349999999999895)); #463429=CARTESIAN_POINT('',(36.11,14.75,-200.)); #463430=CARTESIAN_POINT('Origin',(36.25,14.75,0.0349999999999895)); #463431=CARTESIAN_POINT('Origin',(36.25,17.75,-200.)); #463432=CARTESIAN_POINT('',(36.11,17.75,0.)); #463433=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #463434=CARTESIAN_POINT('',(36.11,17.75,0.0349999999999895)); #463435=CARTESIAN_POINT('',(36.11,17.75,-200.)); #463436=CARTESIAN_POINT('Origin',(36.25,17.75,0.0349999999999895)); #463437=CARTESIAN_POINT('Origin',(36.25,18.5,-200.)); #463438=CARTESIAN_POINT('',(36.11,18.5,0.)); #463439=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #463440=CARTESIAN_POINT('',(36.11,18.5,0.0349999999999895)); #463441=CARTESIAN_POINT('',(36.11,18.5,-200.)); #463442=CARTESIAN_POINT('Origin',(36.25,18.5,0.0349999999999895)); #463443=CARTESIAN_POINT('Origin',(36.25,17.,-200.)); #463444=CARTESIAN_POINT('',(36.11,17.,0.)); #463445=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #463446=CARTESIAN_POINT('',(36.11,17.,0.0349999999999895)); #463447=CARTESIAN_POINT('',(36.11,17.,-200.)); #463448=CARTESIAN_POINT('Origin',(36.25,17.,0.0349999999999895)); #463449=CARTESIAN_POINT('Origin',(34.,17.,-200.)); #463450=CARTESIAN_POINT('',(33.86,17.,0.)); #463451=CARTESIAN_POINT('Origin',(34.,17.,0.)); #463452=CARTESIAN_POINT('',(33.86,17.,0.0349999999999895)); #463453=CARTESIAN_POINT('',(33.86,17.,-200.)); #463454=CARTESIAN_POINT('Origin',(34.,17.,0.0349999999999895)); #463455=CARTESIAN_POINT('Origin',(36.25,16.25,-200.)); #463456=CARTESIAN_POINT('',(36.11,16.25,0.)); #463457=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #463458=CARTESIAN_POINT('',(36.11,16.25,0.0349999999999895)); #463459=CARTESIAN_POINT('',(36.11,16.25,-200.)); #463460=CARTESIAN_POINT('Origin',(36.25,16.25,0.0349999999999895)); #463461=CARTESIAN_POINT('Origin',(31.75,14.75,-200.)); #463462=CARTESIAN_POINT('',(31.61,14.75,0.)); #463463=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #463464=CARTESIAN_POINT('',(31.61,14.75,0.0349999999999895)); #463465=CARTESIAN_POINT('',(31.61,14.75,-200.)); #463466=CARTESIAN_POINT('Origin',(31.75,14.75,0.0349999999999895)); #463467=CARTESIAN_POINT('Origin',(33.25,17.,-200.)); #463468=CARTESIAN_POINT('',(33.11,17.,0.)); #463469=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #463470=CARTESIAN_POINT('',(33.11,17.,0.0349999999999895)); #463471=CARTESIAN_POINT('',(33.11,17.,-200.)); #463472=CARTESIAN_POINT('Origin',(33.25,17.,0.0349999999999895)); #463473=CARTESIAN_POINT('Origin',(32.5,14.75,-200.)); #463474=CARTESIAN_POINT('',(32.36,14.75,0.)); #463475=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #463476=CARTESIAN_POINT('',(32.36,14.75,0.0349999999999895)); #463477=CARTESIAN_POINT('',(32.36,14.75,-200.)); #463478=CARTESIAN_POINT('Origin',(32.5,14.75,0.0349999999999895)); #463479=CARTESIAN_POINT('Origin',(34.,14.75,-200.)); #463480=CARTESIAN_POINT('',(33.86,14.75,0.)); #463481=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #463482=CARTESIAN_POINT('',(33.86,14.75,0.0349999999999895)); #463483=CARTESIAN_POINT('',(33.86,14.75,-200.)); #463484=CARTESIAN_POINT('Origin',(34.,14.75,0.0349999999999895)); #463485=CARTESIAN_POINT('Origin',(34.,16.25,-200.)); #463486=CARTESIAN_POINT('',(33.86,16.25,0.)); #463487=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #463488=CARTESIAN_POINT('',(33.86,16.25,0.0349999999999895)); #463489=CARTESIAN_POINT('',(33.86,16.25,-200.)); #463490=CARTESIAN_POINT('Origin',(34.,16.25,0.0349999999999895)); #463491=CARTESIAN_POINT('Origin',(33.25,14.75,-200.)); #463492=CARTESIAN_POINT('',(33.11,14.75,0.)); #463493=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #463494=CARTESIAN_POINT('',(33.11,14.75,0.0349999999999895)); #463495=CARTESIAN_POINT('',(33.11,14.75,-200.)); #463496=CARTESIAN_POINT('Origin',(33.25,14.75,0.0349999999999895)); #463497=CARTESIAN_POINT('Origin',(31.75,16.25,-200.)); #463498=CARTESIAN_POINT('',(31.61,16.25,0.)); #463499=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #463500=CARTESIAN_POINT('',(31.61,16.25,0.0349999999999895)); #463501=CARTESIAN_POINT('',(31.61,16.25,-200.)); #463502=CARTESIAN_POINT('Origin',(31.75,16.25,0.0349999999999895)); #463503=CARTESIAN_POINT('Origin',(34.75,17.75,-200.)); #463504=CARTESIAN_POINT('',(34.61,17.75,0.)); #463505=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #463506=CARTESIAN_POINT('',(34.61,17.75,0.0349999999999895)); #463507=CARTESIAN_POINT('',(34.61,17.75,-200.)); #463508=CARTESIAN_POINT('Origin',(34.75,17.75,0.0349999999999895)); #463509=CARTESIAN_POINT('Origin',(31.75,15.5,-200.)); #463510=CARTESIAN_POINT('',(31.61,15.5,0.)); #463511=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #463512=CARTESIAN_POINT('',(31.61,15.5,0.0349999999999895)); #463513=CARTESIAN_POINT('',(31.61,15.5,-200.)); #463514=CARTESIAN_POINT('Origin',(31.75,15.5,0.0349999999999895)); #463515=CARTESIAN_POINT('Origin',(31.75,17.,-200.)); #463516=CARTESIAN_POINT('',(31.61,17.,0.)); #463517=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #463518=CARTESIAN_POINT('',(31.61,17.,0.0349999999999895)); #463519=CARTESIAN_POINT('',(31.61,17.,-200.)); #463520=CARTESIAN_POINT('Origin',(31.75,17.,0.0349999999999895)); #463521=CARTESIAN_POINT('Origin',(33.25,17.75,-200.)); #463522=CARTESIAN_POINT('',(33.11,17.75,0.)); #463523=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #463524=CARTESIAN_POINT('',(33.11,17.75,0.0349999999999895)); #463525=CARTESIAN_POINT('',(33.11,17.75,-200.)); #463526=CARTESIAN_POINT('Origin',(33.25,17.75,0.0349999999999895)); #463527=CARTESIAN_POINT('Origin',(31.75,17.75,-200.)); #463528=CARTESIAN_POINT('',(31.61,17.75,0.)); #463529=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #463530=CARTESIAN_POINT('',(31.61,17.75,0.0349999999999895)); #463531=CARTESIAN_POINT('',(31.61,17.75,-200.)); #463532=CARTESIAN_POINT('Origin',(31.75,17.75,0.0349999999999895)); #463533=CARTESIAN_POINT('Origin',(35.5,15.5,-200.)); #463534=CARTESIAN_POINT('',(35.36,15.5,0.)); #463535=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #463536=CARTESIAN_POINT('',(35.36,15.5,0.0349999999999895)); #463537=CARTESIAN_POINT('',(35.36,15.5,-200.)); #463538=CARTESIAN_POINT('Origin',(35.5,15.5,0.0349999999999895)); #463539=CARTESIAN_POINT('Origin',(34.75,15.5,-200.)); #463540=CARTESIAN_POINT('',(34.61,15.5,0.)); #463541=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #463542=CARTESIAN_POINT('',(34.61,15.5,0.0349999999999895)); #463543=CARTESIAN_POINT('',(34.61,15.5,-200.)); #463544=CARTESIAN_POINT('Origin',(34.75,15.5,0.0349999999999895)); #463545=CARTESIAN_POINT('Origin',(33.25,15.5,-200.)); #463546=CARTESIAN_POINT('',(33.11,15.5,0.)); #463547=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #463548=CARTESIAN_POINT('',(33.11,15.5,0.0349999999999895)); #463549=CARTESIAN_POINT('',(33.11,15.5,-200.)); #463550=CARTESIAN_POINT('Origin',(33.25,15.5,0.0349999999999895)); #463551=CARTESIAN_POINT('Origin',(34.,15.5,-200.)); #463552=CARTESIAN_POINT('',(33.86,15.5,0.)); #463553=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #463554=CARTESIAN_POINT('',(33.86,15.5,0.0349999999999895)); #463555=CARTESIAN_POINT('',(33.86,15.5,-200.)); #463556=CARTESIAN_POINT('Origin',(34.,15.5,0.0349999999999895)); #463557=CARTESIAN_POINT('Origin',(32.5,17.,-200.)); #463558=CARTESIAN_POINT('',(32.36,17.,0.)); #463559=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #463560=CARTESIAN_POINT('',(32.36,17.,0.0349999999999895)); #463561=CARTESIAN_POINT('',(32.36,17.,-200.)); #463562=CARTESIAN_POINT('Origin',(32.5,17.,0.0349999999999895)); #463563=CARTESIAN_POINT('Origin',(32.5,17.75,-200.)); #463564=CARTESIAN_POINT('',(32.36,17.75,0.)); #463565=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #463566=CARTESIAN_POINT('',(32.36,17.75,0.0349999999999895)); #463567=CARTESIAN_POINT('',(32.36,17.75,-200.)); #463568=CARTESIAN_POINT('Origin',(32.5,17.75,0.0349999999999895)); #463569=CARTESIAN_POINT('Origin',(32.5,16.25,-200.)); #463570=CARTESIAN_POINT('',(32.36,16.25,0.)); #463571=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #463572=CARTESIAN_POINT('',(32.36,16.25,0.0349999999999895)); #463573=CARTESIAN_POINT('',(32.36,16.25,-200.)); #463574=CARTESIAN_POINT('Origin',(32.5,16.25,0.0349999999999895)); #463575=CARTESIAN_POINT('Origin',(32.5,15.5,-200.)); #463576=CARTESIAN_POINT('',(32.36,15.5,0.)); #463577=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #463578=CARTESIAN_POINT('',(32.36,15.5,0.0349999999999895)); #463579=CARTESIAN_POINT('',(32.36,15.5,-200.)); #463580=CARTESIAN_POINT('Origin',(32.5,15.5,0.0349999999999895)); #463581=CARTESIAN_POINT('Origin',(33.25,19.25,-200.)); #463582=CARTESIAN_POINT('',(33.11,19.25,0.)); #463583=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #463584=CARTESIAN_POINT('',(33.11,19.25,0.0349999999999895)); #463585=CARTESIAN_POINT('',(33.11,19.25,-200.)); #463586=CARTESIAN_POINT('Origin',(33.25,19.25,0.0349999999999895)); #463587=CARTESIAN_POINT('Origin',(32.5,19.25,-200.)); #463588=CARTESIAN_POINT('',(32.36,19.25,0.)); #463589=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #463590=CARTESIAN_POINT('',(32.36,19.25,0.0349999999999895)); #463591=CARTESIAN_POINT('',(32.36,19.25,-200.)); #463592=CARTESIAN_POINT('Origin',(32.5,19.25,0.0349999999999895)); #463593=CARTESIAN_POINT('Origin',(35.5,16.25,-200.)); #463594=CARTESIAN_POINT('',(35.36,16.25,0.)); #463595=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #463596=CARTESIAN_POINT('',(35.36,16.25,0.0349999999999895)); #463597=CARTESIAN_POINT('',(35.36,16.25,-200.)); #463598=CARTESIAN_POINT('Origin',(35.5,16.25,0.0349999999999895)); #463599=CARTESIAN_POINT('Origin',(35.5,17.,-200.)); #463600=CARTESIAN_POINT('',(35.36,17.,0.)); #463601=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #463602=CARTESIAN_POINT('',(35.36,17.,0.0349999999999895)); #463603=CARTESIAN_POINT('',(35.36,17.,-200.)); #463604=CARTESIAN_POINT('Origin',(35.5,17.,0.0349999999999895)); #463605=CARTESIAN_POINT('Origin',(34.75,19.25,-200.)); #463606=CARTESIAN_POINT('',(34.61,19.25,0.)); #463607=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #463608=CARTESIAN_POINT('',(34.61,19.25,0.0349999999999895)); #463609=CARTESIAN_POINT('',(34.61,19.25,-200.)); #463610=CARTESIAN_POINT('Origin',(34.75,19.25,0.0349999999999895)); #463611=CARTESIAN_POINT('Origin',(34.,19.25,-200.)); #463612=CARTESIAN_POINT('',(33.86,19.25,0.)); #463613=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #463614=CARTESIAN_POINT('',(33.86,19.25,0.0349999999999895)); #463615=CARTESIAN_POINT('',(33.86,19.25,-200.)); #463616=CARTESIAN_POINT('Origin',(34.,19.25,0.0349999999999895)); #463617=CARTESIAN_POINT('Origin',(35.5,19.25,-200.)); #463618=CARTESIAN_POINT('',(35.36,19.25,0.)); #463619=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #463620=CARTESIAN_POINT('',(35.36,19.25,0.0349999999999895)); #463621=CARTESIAN_POINT('',(35.36,19.25,-200.)); #463622=CARTESIAN_POINT('Origin',(35.5,19.25,0.0349999999999895)); #463623=CARTESIAN_POINT('Origin',(36.25,19.25,-200.)); #463624=CARTESIAN_POINT('',(36.11,19.25,0.)); #463625=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #463626=CARTESIAN_POINT('',(36.11,19.25,0.0349999999999895)); #463627=CARTESIAN_POINT('',(36.11,19.25,-200.)); #463628=CARTESIAN_POINT('Origin',(36.25,19.25,0.0349999999999895)); #463629=CARTESIAN_POINT('Origin',(36.501216,14.0471,0.)); #463630=CARTESIAN_POINT('',(36.501216,14.0471,0.)); #463631=CARTESIAN_POINT('',(36.530834,14.053609,0.)); #463632=CARTESIAN_POINT('',(36.501216,14.0471,0.)); #463633=CARTESIAN_POINT('',(36.530834,14.053609,0.035)); #463634=CARTESIAN_POINT('',(36.530834,14.053609,0.)); #463635=CARTESIAN_POINT('',(36.501216,14.0471,0.035)); #463636=CARTESIAN_POINT('',(36.501216,14.0471,0.035)); #463637=CARTESIAN_POINT('',(36.501216,14.0471,0.)); #463638=CARTESIAN_POINT('Origin',(36.471403,14.052659,0.)); #463639=CARTESIAN_POINT('',(36.471403,14.052659,0.)); #463640=CARTESIAN_POINT('',(36.471403,14.052659,0.)); #463641=CARTESIAN_POINT('',(36.471403,14.052659,0.035)); #463642=CARTESIAN_POINT('',(36.471403,14.052659,0.035)); #463643=CARTESIAN_POINT('',(36.471403,14.052659,0.)); #463644=CARTESIAN_POINT('Origin',(36.452925,14.0649,0.)); #463645=CARTESIAN_POINT('',(36.452925,14.0649,0.)); #463646=CARTESIAN_POINT('',(36.452925,14.0649,0.)); #463647=CARTESIAN_POINT('',(36.452925,14.0649,0.035)); #463648=CARTESIAN_POINT('',(36.452925,14.0649,0.035)); #463649=CARTESIAN_POINT('',(36.452925,14.0649,0.)); #463650=CARTESIAN_POINT('Origin',(36.047303,14.0649,0.)); #463651=CARTESIAN_POINT('',(36.047303,14.0649,0.)); #463652=CARTESIAN_POINT('',(36.047303,14.0649,0.)); #463653=CARTESIAN_POINT('',(36.047303,14.0649,0.035)); #463654=CARTESIAN_POINT('',(36.047303,14.0649,0.035)); #463655=CARTESIAN_POINT('',(36.047303,14.0649,0.)); #463656=CARTESIAN_POINT('Origin',(36.030834,14.053609,0.)); #463657=CARTESIAN_POINT('',(36.030834,14.053609,0.)); #463658=CARTESIAN_POINT('',(36.030834,14.053609,0.)); #463659=CARTESIAN_POINT('',(36.030834,14.053609,0.035)); #463660=CARTESIAN_POINT('',(36.030834,14.053609,0.035)); #463661=CARTESIAN_POINT('',(36.030834,14.053609,0.)); #463662=CARTESIAN_POINT('Origin',(36.001216,14.0471,0.)); #463663=CARTESIAN_POINT('',(36.001216,14.0471,0.)); #463664=CARTESIAN_POINT('',(36.001216,14.0471,0.)); #463665=CARTESIAN_POINT('',(36.001216,14.0471,0.035)); #463666=CARTESIAN_POINT('',(36.001216,14.0471,0.035)); #463667=CARTESIAN_POINT('',(36.001216,14.0471,0.)); #463668=CARTESIAN_POINT('Origin',(35.971403,14.052659,0.)); #463669=CARTESIAN_POINT('',(35.971403,14.052659,0.)); #463670=CARTESIAN_POINT('',(35.971403,14.052659,0.)); #463671=CARTESIAN_POINT('',(35.971403,14.052659,0.035)); #463672=CARTESIAN_POINT('',(35.971403,14.052659,0.035)); #463673=CARTESIAN_POINT('',(35.971403,14.052659,0.)); #463674=CARTESIAN_POINT('Origin',(35.952925,14.0649,0.)); #463675=CARTESIAN_POINT('',(35.952925,14.0649,0.)); #463676=CARTESIAN_POINT('',(35.952925,14.0649,0.)); #463677=CARTESIAN_POINT('',(35.952925,14.0649,0.035)); #463678=CARTESIAN_POINT('',(35.952925,14.0649,0.035)); #463679=CARTESIAN_POINT('',(35.952925,14.0649,0.)); #463680=CARTESIAN_POINT('Origin',(35.547303,14.0649,0.)); #463681=CARTESIAN_POINT('',(35.547303,14.0649,0.)); #463682=CARTESIAN_POINT('',(35.547303,14.0649,0.)); #463683=CARTESIAN_POINT('',(35.547303,14.0649,0.035)); #463684=CARTESIAN_POINT('',(35.547303,14.0649,0.035)); #463685=CARTESIAN_POINT('',(35.547303,14.0649,0.)); #463686=CARTESIAN_POINT('Origin',(35.530834,14.053609,0.)); #463687=CARTESIAN_POINT('',(35.530834,14.053609,0.)); #463688=CARTESIAN_POINT('',(35.530834,14.053609,0.)); #463689=CARTESIAN_POINT('',(35.530834,14.053609,0.035)); #463690=CARTESIAN_POINT('',(35.530834,14.053609,0.035)); #463691=CARTESIAN_POINT('',(35.530834,14.053609,0.)); #463692=CARTESIAN_POINT('Origin',(35.501216,14.0471,0.)); #463693=CARTESIAN_POINT('',(35.501216,14.0471,0.)); #463694=CARTESIAN_POINT('',(35.501216,14.0471,0.)); #463695=CARTESIAN_POINT('',(35.501216,14.0471,0.035)); #463696=CARTESIAN_POINT('',(35.501216,14.0471,0.035)); #463697=CARTESIAN_POINT('',(35.501216,14.0471,0.)); #463698=CARTESIAN_POINT('Origin',(35.471403,14.052659,0.)); #463699=CARTESIAN_POINT('',(35.471403,14.052659,0.)); #463700=CARTESIAN_POINT('',(35.471403,14.052659,0.)); #463701=CARTESIAN_POINT('',(35.471403,14.052659,0.035)); #463702=CARTESIAN_POINT('',(35.471403,14.052659,0.035)); #463703=CARTESIAN_POINT('',(35.471403,14.052659,0.)); #463704=CARTESIAN_POINT('Origin',(35.452925,14.0649,0.)); #463705=CARTESIAN_POINT('',(35.452925,14.0649,0.)); #463706=CARTESIAN_POINT('',(35.452925,14.0649,0.)); #463707=CARTESIAN_POINT('',(35.452925,14.0649,0.035)); #463708=CARTESIAN_POINT('',(35.452925,14.0649,0.035)); #463709=CARTESIAN_POINT('',(35.452925,14.0649,0.)); #463710=CARTESIAN_POINT('Origin',(35.047303,14.0649,0.)); #463711=CARTESIAN_POINT('',(35.047303,14.0649,0.)); #463712=CARTESIAN_POINT('',(35.047303,14.0649,0.)); #463713=CARTESIAN_POINT('',(35.047303,14.0649,0.035)); #463714=CARTESIAN_POINT('',(35.047303,14.0649,0.035)); #463715=CARTESIAN_POINT('',(35.047303,14.0649,0.)); #463716=CARTESIAN_POINT('Origin',(35.030834,14.053609,0.)); #463717=CARTESIAN_POINT('',(35.030834,14.053609,0.)); #463718=CARTESIAN_POINT('',(35.030834,14.053609,0.)); #463719=CARTESIAN_POINT('',(35.030834,14.053609,0.035)); #463720=CARTESIAN_POINT('',(35.030834,14.053609,0.035)); #463721=CARTESIAN_POINT('',(35.030834,14.053609,0.)); #463722=CARTESIAN_POINT('Origin',(35.001216,14.0471,0.)); #463723=CARTESIAN_POINT('',(35.001216,14.0471,0.)); #463724=CARTESIAN_POINT('',(35.001216,14.0471,0.)); #463725=CARTESIAN_POINT('',(35.001216,14.0471,0.035)); #463726=CARTESIAN_POINT('',(35.001216,14.0471,0.035)); #463727=CARTESIAN_POINT('',(35.001216,14.0471,0.)); #463728=CARTESIAN_POINT('Origin',(34.971403,14.052659,0.)); #463729=CARTESIAN_POINT('',(34.971403,14.052659,0.)); #463730=CARTESIAN_POINT('',(34.971403,14.052659,0.)); #463731=CARTESIAN_POINT('',(34.971403,14.052659,0.035)); #463732=CARTESIAN_POINT('',(34.971403,14.052659,0.035)); #463733=CARTESIAN_POINT('',(34.971403,14.052659,0.)); #463734=CARTESIAN_POINT('Origin',(34.952925,14.0649,0.)); #463735=CARTESIAN_POINT('',(34.952925,14.0649,0.)); #463736=CARTESIAN_POINT('',(34.952925,14.0649,0.)); #463737=CARTESIAN_POINT('',(34.952925,14.0649,0.035)); #463738=CARTESIAN_POINT('',(34.952925,14.0649,0.035)); #463739=CARTESIAN_POINT('',(34.952925,14.0649,0.)); #463740=CARTESIAN_POINT('Origin',(34.547303,14.0649,0.)); #463741=CARTESIAN_POINT('',(34.547303,14.0649,0.)); #463742=CARTESIAN_POINT('',(34.547303,14.0649,0.)); #463743=CARTESIAN_POINT('',(34.547303,14.0649,0.035)); #463744=CARTESIAN_POINT('',(34.547303,14.0649,0.035)); #463745=CARTESIAN_POINT('',(34.547303,14.0649,0.)); #463746=CARTESIAN_POINT('Origin',(34.530834,14.053609,0.)); #463747=CARTESIAN_POINT('',(34.530834,14.053609,0.)); #463748=CARTESIAN_POINT('',(34.530834,14.053609,0.)); #463749=CARTESIAN_POINT('',(34.530834,14.053609,0.035)); #463750=CARTESIAN_POINT('',(34.530834,14.053609,0.035)); #463751=CARTESIAN_POINT('',(34.530834,14.053609,0.)); #463752=CARTESIAN_POINT('Origin',(34.501216,14.0471,0.)); #463753=CARTESIAN_POINT('',(34.501216,14.0471,0.)); #463754=CARTESIAN_POINT('',(34.501216,14.0471,0.)); #463755=CARTESIAN_POINT('',(34.501216,14.0471,0.035)); #463756=CARTESIAN_POINT('',(34.501216,14.0471,0.035)); #463757=CARTESIAN_POINT('',(34.501216,14.0471,0.)); #463758=CARTESIAN_POINT('Origin',(34.471403,14.052659,0.)); #463759=CARTESIAN_POINT('',(34.471403,14.052659,0.)); #463760=CARTESIAN_POINT('',(34.471403,14.052659,0.)); #463761=CARTESIAN_POINT('',(34.471403,14.052659,0.035)); #463762=CARTESIAN_POINT('',(34.471403,14.052659,0.035)); #463763=CARTESIAN_POINT('',(34.471403,14.052659,0.)); #463764=CARTESIAN_POINT('Origin',(34.452925,14.0649,0.)); #463765=CARTESIAN_POINT('',(34.452925,14.0649,0.)); #463766=CARTESIAN_POINT('',(34.452925,14.0649,0.)); #463767=CARTESIAN_POINT('',(34.452925,14.0649,0.035)); #463768=CARTESIAN_POINT('',(34.452925,14.0649,0.035)); #463769=CARTESIAN_POINT('',(34.452925,14.0649,0.)); #463770=CARTESIAN_POINT('Origin',(34.047303,14.0649,0.)); #463771=CARTESIAN_POINT('',(34.047303,14.0649,0.)); #463772=CARTESIAN_POINT('',(34.047303,14.0649,0.)); #463773=CARTESIAN_POINT('',(34.047303,14.0649,0.035)); #463774=CARTESIAN_POINT('',(34.047303,14.0649,0.035)); #463775=CARTESIAN_POINT('',(34.047303,14.0649,0.)); #463776=CARTESIAN_POINT('Origin',(34.030834,14.053609,0.)); #463777=CARTESIAN_POINT('',(34.030834,14.053609,0.)); #463778=CARTESIAN_POINT('',(34.030834,14.053609,0.)); #463779=CARTESIAN_POINT('',(34.030834,14.053609,0.035)); #463780=CARTESIAN_POINT('',(34.030834,14.053609,0.035)); #463781=CARTESIAN_POINT('',(34.030834,14.053609,0.)); #463782=CARTESIAN_POINT('Origin',(34.001216,14.0471,0.)); #463783=CARTESIAN_POINT('',(34.001216,14.0471,0.)); #463784=CARTESIAN_POINT('',(34.001216,14.0471,0.)); #463785=CARTESIAN_POINT('',(34.001216,14.0471,0.035)); #463786=CARTESIAN_POINT('',(34.001216,14.0471,0.035)); #463787=CARTESIAN_POINT('',(34.001216,14.0471,0.)); #463788=CARTESIAN_POINT('Origin',(33.971403,14.052659,0.)); #463789=CARTESIAN_POINT('',(33.971403,14.052659,0.)); #463790=CARTESIAN_POINT('',(33.971403,14.052659,0.)); #463791=CARTESIAN_POINT('',(33.971403,14.052659,0.035)); #463792=CARTESIAN_POINT('',(33.971403,14.052659,0.035)); #463793=CARTESIAN_POINT('',(33.971403,14.052659,0.)); #463794=CARTESIAN_POINT('Origin',(33.952925,14.0649,0.)); #463795=CARTESIAN_POINT('',(33.952925,14.0649,0.)); #463796=CARTESIAN_POINT('',(33.952925,14.0649,0.)); #463797=CARTESIAN_POINT('',(33.952925,14.0649,0.035)); #463798=CARTESIAN_POINT('',(33.952925,14.0649,0.035)); #463799=CARTESIAN_POINT('',(33.952925,14.0649,0.)); #463800=CARTESIAN_POINT('Origin',(33.547303,14.0649,0.)); #463801=CARTESIAN_POINT('',(33.547303,14.0649,0.)); #463802=CARTESIAN_POINT('',(33.547303,14.0649,0.)); #463803=CARTESIAN_POINT('',(33.547303,14.0649,0.035)); #463804=CARTESIAN_POINT('',(33.547303,14.0649,0.035)); #463805=CARTESIAN_POINT('',(33.547303,14.0649,0.)); #463806=CARTESIAN_POINT('Origin',(33.530834,14.053609,0.)); #463807=CARTESIAN_POINT('',(33.530834,14.053609,0.)); #463808=CARTESIAN_POINT('',(33.530834,14.053609,0.)); #463809=CARTESIAN_POINT('',(33.530834,14.053609,0.035)); #463810=CARTESIAN_POINT('',(33.530834,14.053609,0.035)); #463811=CARTESIAN_POINT('',(33.530834,14.053609,0.)); #463812=CARTESIAN_POINT('Origin',(33.501216,14.0471,0.)); #463813=CARTESIAN_POINT('',(33.501216,14.0471,0.)); #463814=CARTESIAN_POINT('',(33.501216,14.0471,0.)); #463815=CARTESIAN_POINT('',(33.501216,14.0471,0.035)); #463816=CARTESIAN_POINT('',(33.501216,14.0471,0.035)); #463817=CARTESIAN_POINT('',(33.501216,14.0471,0.)); #463818=CARTESIAN_POINT('Origin',(33.471403,14.052659,0.)); #463819=CARTESIAN_POINT('',(33.471403,14.052659,0.)); #463820=CARTESIAN_POINT('',(33.471403,14.052659,0.)); #463821=CARTESIAN_POINT('',(33.471403,14.052659,0.035)); #463822=CARTESIAN_POINT('',(33.471403,14.052659,0.035)); #463823=CARTESIAN_POINT('',(33.471403,14.052659,0.)); #463824=CARTESIAN_POINT('Origin',(33.452925,14.0649,0.)); #463825=CARTESIAN_POINT('',(33.452925,14.0649,0.)); #463826=CARTESIAN_POINT('',(33.452925,14.0649,0.)); #463827=CARTESIAN_POINT('',(33.452925,14.0649,0.035)); #463828=CARTESIAN_POINT('',(33.452925,14.0649,0.035)); #463829=CARTESIAN_POINT('',(33.452925,14.0649,0.)); #463830=CARTESIAN_POINT('Origin',(33.047303,14.0649,0.)); #463831=CARTESIAN_POINT('',(33.047303,14.0649,0.)); #463832=CARTESIAN_POINT('',(33.047303,14.0649,0.)); #463833=CARTESIAN_POINT('',(33.047303,14.0649,0.035)); #463834=CARTESIAN_POINT('',(33.047303,14.0649,0.035)); #463835=CARTESIAN_POINT('',(33.047303,14.0649,0.)); #463836=CARTESIAN_POINT('Origin',(33.030834,14.053609,0.)); #463837=CARTESIAN_POINT('',(33.030834,14.053609,0.)); #463838=CARTESIAN_POINT('',(33.030834,14.053609,0.)); #463839=CARTESIAN_POINT('',(33.030834,14.053609,0.035)); #463840=CARTESIAN_POINT('',(33.030834,14.053609,0.035)); #463841=CARTESIAN_POINT('',(33.030834,14.053609,0.)); #463842=CARTESIAN_POINT('Origin',(33.001216,14.0471,0.)); #463843=CARTESIAN_POINT('',(33.001216,14.0471,0.)); #463844=CARTESIAN_POINT('',(33.001216,14.0471,0.)); #463845=CARTESIAN_POINT('',(33.001216,14.0471,0.035)); #463846=CARTESIAN_POINT('',(33.001216,14.0471,0.035)); #463847=CARTESIAN_POINT('',(33.001216,14.0471,0.)); #463848=CARTESIAN_POINT('Origin',(32.971403,14.052659,0.)); #463849=CARTESIAN_POINT('',(32.971403,14.052659,0.)); #463850=CARTESIAN_POINT('',(32.971403,14.052659,0.)); #463851=CARTESIAN_POINT('',(32.971403,14.052659,0.035)); #463852=CARTESIAN_POINT('',(32.971403,14.052659,0.035)); #463853=CARTESIAN_POINT('',(32.971403,14.052659,0.)); #463854=CARTESIAN_POINT('Origin',(32.952925,14.0649,0.)); #463855=CARTESIAN_POINT('',(32.952925,14.0649,0.)); #463856=CARTESIAN_POINT('',(32.952925,14.0649,0.)); #463857=CARTESIAN_POINT('',(32.952925,14.0649,0.035)); #463858=CARTESIAN_POINT('',(32.952925,14.0649,0.035)); #463859=CARTESIAN_POINT('',(32.952925,14.0649,0.)); #463860=CARTESIAN_POINT('Origin',(32.547303,14.0649,0.)); #463861=CARTESIAN_POINT('',(32.547303,14.0649,0.)); #463862=CARTESIAN_POINT('',(32.547303,14.0649,0.)); #463863=CARTESIAN_POINT('',(32.547303,14.0649,0.035)); #463864=CARTESIAN_POINT('',(32.547303,14.0649,0.035)); #463865=CARTESIAN_POINT('',(32.547303,14.0649,0.)); #463866=CARTESIAN_POINT('Origin',(32.530834,14.053609,0.)); #463867=CARTESIAN_POINT('',(32.530834,14.053609,0.)); #463868=CARTESIAN_POINT('',(32.530834,14.053609,0.)); #463869=CARTESIAN_POINT('',(32.530834,14.053609,0.035)); #463870=CARTESIAN_POINT('',(32.530834,14.053609,0.035)); #463871=CARTESIAN_POINT('',(32.530834,14.053609,0.)); #463872=CARTESIAN_POINT('Origin',(32.501216,14.0471,0.)); #463873=CARTESIAN_POINT('',(32.501216,14.0471,0.)); #463874=CARTESIAN_POINT('',(32.501216,14.0471,0.)); #463875=CARTESIAN_POINT('',(32.501216,14.0471,0.035)); #463876=CARTESIAN_POINT('',(32.501216,14.0471,0.035)); #463877=CARTESIAN_POINT('',(32.501216,14.0471,0.)); #463878=CARTESIAN_POINT('Origin',(32.471403,14.052659,0.)); #463879=CARTESIAN_POINT('',(32.471403,14.052659,0.)); #463880=CARTESIAN_POINT('',(32.471403,14.052659,0.)); #463881=CARTESIAN_POINT('',(32.471403,14.052659,0.035)); #463882=CARTESIAN_POINT('',(32.471403,14.052659,0.035)); #463883=CARTESIAN_POINT('',(32.471403,14.052659,0.)); #463884=CARTESIAN_POINT('Origin',(32.452925,14.0649,0.)); #463885=CARTESIAN_POINT('',(32.452925,14.0649,0.)); #463886=CARTESIAN_POINT('',(32.452925,14.0649,0.)); #463887=CARTESIAN_POINT('',(32.452925,14.0649,0.035)); #463888=CARTESIAN_POINT('',(32.452925,14.0649,0.035)); #463889=CARTESIAN_POINT('',(32.452925,14.0649,0.)); #463890=CARTESIAN_POINT('Origin',(32.047303,14.0649,0.)); #463891=CARTESIAN_POINT('',(32.047303,14.0649,0.)); #463892=CARTESIAN_POINT('',(32.047303,14.0649,0.)); #463893=CARTESIAN_POINT('',(32.047303,14.0649,0.035)); #463894=CARTESIAN_POINT('',(32.047303,14.0649,0.035)); #463895=CARTESIAN_POINT('',(32.047303,14.0649,0.)); #463896=CARTESIAN_POINT('Origin',(32.030834,14.053609,0.)); #463897=CARTESIAN_POINT('',(32.030834,14.053609,0.)); #463898=CARTESIAN_POINT('',(32.030834,14.053609,0.)); #463899=CARTESIAN_POINT('',(32.030834,14.053609,0.035)); #463900=CARTESIAN_POINT('',(32.030834,14.053609,0.035)); #463901=CARTESIAN_POINT('',(32.030834,14.053609,0.)); #463902=CARTESIAN_POINT('Origin',(32.001216,14.0471,0.)); #463903=CARTESIAN_POINT('',(32.001216,14.0471,0.)); #463904=CARTESIAN_POINT('',(32.001216,14.0471,0.)); #463905=CARTESIAN_POINT('',(32.001216,14.0471,0.035)); #463906=CARTESIAN_POINT('',(32.001216,14.0471,0.035)); #463907=CARTESIAN_POINT('',(32.001216,14.0471,0.)); #463908=CARTESIAN_POINT('Origin',(31.971403,14.052659,0.)); #463909=CARTESIAN_POINT('',(31.971403,14.052659,0.)); #463910=CARTESIAN_POINT('',(31.971403,14.052659,0.)); #463911=CARTESIAN_POINT('',(31.971403,14.052659,0.035)); #463912=CARTESIAN_POINT('',(31.971403,14.052659,0.035)); #463913=CARTESIAN_POINT('',(31.971403,14.052659,0.)); #463914=CARTESIAN_POINT('Origin',(31.952925,14.0649,0.)); #463915=CARTESIAN_POINT('',(31.952925,14.0649,0.)); #463916=CARTESIAN_POINT('',(31.952925,14.0649,0.)); #463917=CARTESIAN_POINT('',(31.952925,14.0649,0.035)); #463918=CARTESIAN_POINT('',(31.952925,14.0649,0.035)); #463919=CARTESIAN_POINT('',(31.952925,14.0649,0.)); #463920=CARTESIAN_POINT('Origin',(31.547303,14.0649,0.)); #463921=CARTESIAN_POINT('',(31.547303,14.0649,0.)); #463922=CARTESIAN_POINT('',(31.547303,14.0649,0.)); #463923=CARTESIAN_POINT('',(31.547303,14.0649,0.035)); #463924=CARTESIAN_POINT('',(31.547303,14.0649,0.035)); #463925=CARTESIAN_POINT('',(31.547303,14.0649,0.)); #463926=CARTESIAN_POINT('Origin',(31.530834,14.053609,0.)); #463927=CARTESIAN_POINT('',(31.530834,14.053609,0.)); #463928=CARTESIAN_POINT('',(31.530834,14.053609,0.)); #463929=CARTESIAN_POINT('',(31.530834,14.053609,0.035)); #463930=CARTESIAN_POINT('',(31.530834,14.053609,0.035)); #463931=CARTESIAN_POINT('',(31.530834,14.053609,0.)); #463932=CARTESIAN_POINT('Origin',(31.501216,14.0471,0.)); #463933=CARTESIAN_POINT('',(31.501216,14.0471,0.)); #463934=CARTESIAN_POINT('',(31.501216,14.0471,0.)); #463935=CARTESIAN_POINT('',(31.501216,14.0471,0.035)); #463936=CARTESIAN_POINT('',(31.501216,14.0471,0.035)); #463937=CARTESIAN_POINT('',(31.501216,14.0471,0.)); #463938=CARTESIAN_POINT('Origin',(31.471403,14.052659,0.)); #463939=CARTESIAN_POINT('',(31.471403,14.052659,0.)); #463940=CARTESIAN_POINT('',(31.471403,14.052659,0.)); #463941=CARTESIAN_POINT('',(31.471403,14.052659,0.035)); #463942=CARTESIAN_POINT('',(31.471403,14.052659,0.035)); #463943=CARTESIAN_POINT('',(31.471403,14.052659,0.)); #463944=CARTESIAN_POINT('Origin',(31.452925,14.0649,0.)); #463945=CARTESIAN_POINT('',(31.452925,14.0649,0.)); #463946=CARTESIAN_POINT('',(31.452925,14.0649,0.)); #463947=CARTESIAN_POINT('',(31.452925,14.0649,0.035)); #463948=CARTESIAN_POINT('',(31.452925,14.0649,0.035)); #463949=CARTESIAN_POINT('',(31.452925,14.0649,0.)); #463950=CARTESIAN_POINT('Origin',(31.1411,14.0649,0.)); #463951=CARTESIAN_POINT('',(31.1411,14.0649,0.)); #463952=CARTESIAN_POINT('',(31.1411,14.0649,0.)); #463953=CARTESIAN_POINT('',(31.1411,14.0649,0.035)); #463954=CARTESIAN_POINT('',(31.1411,14.0649,0.035)); #463955=CARTESIAN_POINT('',(31.1411,14.0649,0.)); #463956=CARTESIAN_POINT('Origin',(31.113631,14.070022,0.)); #463957=CARTESIAN_POINT('',(31.113631,14.070022,0.)); #463958=CARTESIAN_POINT('',(31.113631,14.070022,0.)); #463959=CARTESIAN_POINT('',(31.113631,14.070022,0.035)); #463960=CARTESIAN_POINT('',(31.113631,14.070022,0.035)); #463961=CARTESIAN_POINT('',(31.113631,14.070022,0.)); #463962=CARTESIAN_POINT('Origin',(31.088084,14.086366,0.)); #463963=CARTESIAN_POINT('',(31.088084,14.086366,0.)); #463964=CARTESIAN_POINT('',(31.088084,14.086366,0.)); #463965=CARTESIAN_POINT('',(31.088084,14.086366,0.035)); #463966=CARTESIAN_POINT('',(31.088084,14.086366,0.035)); #463967=CARTESIAN_POINT('',(31.088084,14.086366,0.)); #463968=CARTESIAN_POINT('Origin',(31.070934,14.111378,0.)); #463969=CARTESIAN_POINT('',(31.070934,14.111378,0.)); #463970=CARTESIAN_POINT('',(31.070934,14.111378,0.)); #463971=CARTESIAN_POINT('',(31.070934,14.111378,0.035)); #463972=CARTESIAN_POINT('',(31.070934,14.111378,0.035)); #463973=CARTESIAN_POINT('',(31.070934,14.111378,0.)); #463974=CARTESIAN_POINT('Origin',(31.0649,14.1411,0.)); #463975=CARTESIAN_POINT('',(31.0649,14.1411,0.)); #463976=CARTESIAN_POINT('',(31.0649,14.1411,0.)); #463977=CARTESIAN_POINT('',(31.0649,14.1411,0.035)); #463978=CARTESIAN_POINT('',(31.0649,14.1411,0.035)); #463979=CARTESIAN_POINT('',(31.0649,14.1411,0.)); #463980=CARTESIAN_POINT('Origin',(31.0649,14.452697,0.)); #463981=CARTESIAN_POINT('',(31.0649,14.452697,0.)); #463982=CARTESIAN_POINT('',(31.0649,14.452697,0.)); #463983=CARTESIAN_POINT('',(31.0649,14.452697,0.035)); #463984=CARTESIAN_POINT('',(31.0649,14.452697,0.035)); #463985=CARTESIAN_POINT('',(31.0649,14.452697,0.)); #463986=CARTESIAN_POINT('Origin',(31.053609,14.469166,0.)); #463987=CARTESIAN_POINT('',(31.053609,14.469166,0.)); #463988=CARTESIAN_POINT('',(31.053609,14.469166,0.)); #463989=CARTESIAN_POINT('',(31.053609,14.469166,0.035)); #463990=CARTESIAN_POINT('',(31.053609,14.469166,0.035)); #463991=CARTESIAN_POINT('',(31.053609,14.469166,0.)); #463992=CARTESIAN_POINT('Origin',(31.0471,14.498784,0.)); #463993=CARTESIAN_POINT('',(31.0471,14.498784,0.)); #463994=CARTESIAN_POINT('',(31.0471,14.498784,0.)); #463995=CARTESIAN_POINT('',(31.0471,14.498784,0.035)); #463996=CARTESIAN_POINT('',(31.0471,14.498784,0.035)); #463997=CARTESIAN_POINT('',(31.0471,14.498784,0.)); #463998=CARTESIAN_POINT('Origin',(31.052659,14.528597,0.)); #463999=CARTESIAN_POINT('',(31.052659,14.528597,0.)); #464000=CARTESIAN_POINT('',(31.052659,14.528597,0.)); #464001=CARTESIAN_POINT('',(31.052659,14.528597,0.035)); #464002=CARTESIAN_POINT('',(31.052659,14.528597,0.035)); #464003=CARTESIAN_POINT('',(31.052659,14.528597,0.)); #464004=CARTESIAN_POINT('Origin',(31.0649,14.547075,0.)); #464005=CARTESIAN_POINT('',(31.0649,14.547075,0.)); #464006=CARTESIAN_POINT('',(31.0649,14.547075,0.)); #464007=CARTESIAN_POINT('',(31.0649,14.547075,0.035)); #464008=CARTESIAN_POINT('',(31.0649,14.547075,0.035)); #464009=CARTESIAN_POINT('',(31.0649,14.547075,0.)); #464010=CARTESIAN_POINT('Origin',(31.0649,14.952697,0.)); #464011=CARTESIAN_POINT('',(31.0649,14.952697,0.)); #464012=CARTESIAN_POINT('',(31.0649,14.952697,0.)); #464013=CARTESIAN_POINT('',(31.0649,14.952697,0.035)); #464014=CARTESIAN_POINT('',(31.0649,14.952697,0.035)); #464015=CARTESIAN_POINT('',(31.0649,14.952697,0.)); #464016=CARTESIAN_POINT('Origin',(31.053609,14.969166,0.)); #464017=CARTESIAN_POINT('',(31.053609,14.969166,0.)); #464018=CARTESIAN_POINT('',(31.053609,14.969166,0.)); #464019=CARTESIAN_POINT('',(31.053609,14.969166,0.035)); #464020=CARTESIAN_POINT('',(31.053609,14.969166,0.035)); #464021=CARTESIAN_POINT('',(31.053609,14.969166,0.)); #464022=CARTESIAN_POINT('Origin',(31.0471,14.998784,0.)); #464023=CARTESIAN_POINT('',(31.0471,14.998784,0.)); #464024=CARTESIAN_POINT('',(31.0471,14.998784,0.)); #464025=CARTESIAN_POINT('',(31.0471,14.998784,0.035)); #464026=CARTESIAN_POINT('',(31.0471,14.998784,0.035)); #464027=CARTESIAN_POINT('',(31.0471,14.998784,0.)); #464028=CARTESIAN_POINT('Origin',(31.052659,15.028597,0.)); #464029=CARTESIAN_POINT('',(31.052659,15.028597,0.)); #464030=CARTESIAN_POINT('',(31.052659,15.028597,0.)); #464031=CARTESIAN_POINT('',(31.052659,15.028597,0.035)); #464032=CARTESIAN_POINT('',(31.052659,15.028597,0.035)); #464033=CARTESIAN_POINT('',(31.052659,15.028597,0.)); #464034=CARTESIAN_POINT('Origin',(31.0649,15.047075,0.)); #464035=CARTESIAN_POINT('',(31.0649,15.047075,0.)); #464036=CARTESIAN_POINT('',(31.0649,15.047075,0.)); #464037=CARTESIAN_POINT('',(31.0649,15.047075,0.035)); #464038=CARTESIAN_POINT('',(31.0649,15.047075,0.035)); #464039=CARTESIAN_POINT('',(31.0649,15.047075,0.)); #464040=CARTESIAN_POINT('Origin',(31.0649,15.452697,0.)); #464041=CARTESIAN_POINT('',(31.0649,15.452697,0.)); #464042=CARTESIAN_POINT('',(31.0649,15.452697,0.)); #464043=CARTESIAN_POINT('',(31.0649,15.452697,0.035)); #464044=CARTESIAN_POINT('',(31.0649,15.452697,0.035)); #464045=CARTESIAN_POINT('',(31.0649,15.452697,0.)); #464046=CARTESIAN_POINT('Origin',(31.053609,15.469166,0.)); #464047=CARTESIAN_POINT('',(31.053609,15.469166,0.)); #464048=CARTESIAN_POINT('',(31.053609,15.469166,0.)); #464049=CARTESIAN_POINT('',(31.053609,15.469166,0.035)); #464050=CARTESIAN_POINT('',(31.053609,15.469166,0.035)); #464051=CARTESIAN_POINT('',(31.053609,15.469166,0.)); #464052=CARTESIAN_POINT('Origin',(31.0471,15.498784,0.)); #464053=CARTESIAN_POINT('',(31.0471,15.498784,0.)); #464054=CARTESIAN_POINT('',(31.0471,15.498784,0.)); #464055=CARTESIAN_POINT('',(31.0471,15.498784,0.035)); #464056=CARTESIAN_POINT('',(31.0471,15.498784,0.035)); #464057=CARTESIAN_POINT('',(31.0471,15.498784,0.)); #464058=CARTESIAN_POINT('Origin',(31.052659,15.528597,0.)); #464059=CARTESIAN_POINT('',(31.052659,15.528597,0.)); #464060=CARTESIAN_POINT('',(31.052659,15.528597,0.)); #464061=CARTESIAN_POINT('',(31.052659,15.528597,0.035)); #464062=CARTESIAN_POINT('',(31.052659,15.528597,0.035)); #464063=CARTESIAN_POINT('',(31.052659,15.528597,0.)); #464064=CARTESIAN_POINT('Origin',(31.0649,15.547075,0.)); #464065=CARTESIAN_POINT('',(31.0649,15.547075,0.)); #464066=CARTESIAN_POINT('',(31.0649,15.547075,0.)); #464067=CARTESIAN_POINT('',(31.0649,15.547075,0.035)); #464068=CARTESIAN_POINT('',(31.0649,15.547075,0.035)); #464069=CARTESIAN_POINT('',(31.0649,15.547075,0.)); #464070=CARTESIAN_POINT('Origin',(31.0649,15.952697,0.)); #464071=CARTESIAN_POINT('',(31.0649,15.952697,0.)); #464072=CARTESIAN_POINT('',(31.0649,15.952697,0.)); #464073=CARTESIAN_POINT('',(31.0649,15.952697,0.035)); #464074=CARTESIAN_POINT('',(31.0649,15.952697,0.035)); #464075=CARTESIAN_POINT('',(31.0649,15.952697,0.)); #464076=CARTESIAN_POINT('Origin',(31.053609,15.969166,0.)); #464077=CARTESIAN_POINT('',(31.053609,15.969166,0.)); #464078=CARTESIAN_POINT('',(31.053609,15.969166,0.)); #464079=CARTESIAN_POINT('',(31.053609,15.969166,0.035)); #464080=CARTESIAN_POINT('',(31.053609,15.969166,0.035)); #464081=CARTESIAN_POINT('',(31.053609,15.969166,0.)); #464082=CARTESIAN_POINT('Origin',(31.0471,15.998784,0.)); #464083=CARTESIAN_POINT('',(31.0471,15.998784,0.)); #464084=CARTESIAN_POINT('',(31.0471,15.998784,0.)); #464085=CARTESIAN_POINT('',(31.0471,15.998784,0.035)); #464086=CARTESIAN_POINT('',(31.0471,15.998784,0.035)); #464087=CARTESIAN_POINT('',(31.0471,15.998784,0.)); #464088=CARTESIAN_POINT('Origin',(31.052659,16.028597,0.)); #464089=CARTESIAN_POINT('',(31.052659,16.028597,0.)); #464090=CARTESIAN_POINT('',(31.052659,16.028597,0.)); #464091=CARTESIAN_POINT('',(31.052659,16.028597,0.035)); #464092=CARTESIAN_POINT('',(31.052659,16.028597,0.035)); #464093=CARTESIAN_POINT('',(31.052659,16.028597,0.)); #464094=CARTESIAN_POINT('Origin',(31.0649,16.047075,0.)); #464095=CARTESIAN_POINT('',(31.0649,16.047075,0.)); #464096=CARTESIAN_POINT('',(31.0649,16.047075,0.)); #464097=CARTESIAN_POINT('',(31.0649,16.047075,0.035)); #464098=CARTESIAN_POINT('',(31.0649,16.047075,0.035)); #464099=CARTESIAN_POINT('',(31.0649,16.047075,0.)); #464100=CARTESIAN_POINT('Origin',(31.0649,16.452697,0.)); #464101=CARTESIAN_POINT('',(31.0649,16.452697,0.)); #464102=CARTESIAN_POINT('',(31.0649,16.452697,0.)); #464103=CARTESIAN_POINT('',(31.0649,16.452697,0.035)); #464104=CARTESIAN_POINT('',(31.0649,16.452697,0.035)); #464105=CARTESIAN_POINT('',(31.0649,16.452697,0.)); #464106=CARTESIAN_POINT('Origin',(31.053609,16.469166,0.)); #464107=CARTESIAN_POINT('',(31.053609,16.469166,0.)); #464108=CARTESIAN_POINT('',(31.053609,16.469166,0.)); #464109=CARTESIAN_POINT('',(31.053609,16.469166,0.035)); #464110=CARTESIAN_POINT('',(31.053609,16.469166,0.035)); #464111=CARTESIAN_POINT('',(31.053609,16.469166,0.)); #464112=CARTESIAN_POINT('Origin',(31.0471,16.498784,0.)); #464113=CARTESIAN_POINT('',(31.0471,16.498784,0.)); #464114=CARTESIAN_POINT('',(31.0471,16.498784,0.)); #464115=CARTESIAN_POINT('',(31.0471,16.498784,0.035)); #464116=CARTESIAN_POINT('',(31.0471,16.498784,0.035)); #464117=CARTESIAN_POINT('',(31.0471,16.498784,0.)); #464118=CARTESIAN_POINT('Origin',(31.052659,16.528597,0.)); #464119=CARTESIAN_POINT('',(31.052659,16.528597,0.)); #464120=CARTESIAN_POINT('',(31.052659,16.528597,0.)); #464121=CARTESIAN_POINT('',(31.052659,16.528597,0.035)); #464122=CARTESIAN_POINT('',(31.052659,16.528597,0.035)); #464123=CARTESIAN_POINT('',(31.052659,16.528597,0.)); #464124=CARTESIAN_POINT('Origin',(31.0649,16.547075,0.)); #464125=CARTESIAN_POINT('',(31.0649,16.547075,0.)); #464126=CARTESIAN_POINT('',(31.0649,16.547075,0.)); #464127=CARTESIAN_POINT('',(31.0649,16.547075,0.035)); #464128=CARTESIAN_POINT('',(31.0649,16.547075,0.035)); #464129=CARTESIAN_POINT('',(31.0649,16.547075,0.)); #464130=CARTESIAN_POINT('Origin',(31.0649,16.950628,0.)); #464131=CARTESIAN_POINT('',(31.0649,16.950628,0.)); #464132=CARTESIAN_POINT('',(31.0649,16.950628,0.)); #464133=CARTESIAN_POINT('',(31.0649,16.950628,0.035)); #464134=CARTESIAN_POINT('',(31.0649,16.950628,0.035)); #464135=CARTESIAN_POINT('',(31.0649,16.950628,0.)); #464136=CARTESIAN_POINT('Origin',(30.975628,17.0399,0.)); #464137=CARTESIAN_POINT('',(30.975628,17.0399,0.)); #464138=CARTESIAN_POINT('',(30.975628,17.0399,0.)); #464139=CARTESIAN_POINT('',(30.975628,17.0399,0.035)); #464140=CARTESIAN_POINT('',(30.975628,17.0399,0.035)); #464141=CARTESIAN_POINT('',(30.975628,17.0399,0.)); #464142=CARTESIAN_POINT('Origin',(30.224372,17.0399,0.)); #464143=CARTESIAN_POINT('',(30.224372,17.0399,0.)); #464144=CARTESIAN_POINT('',(30.224372,17.0399,0.)); #464145=CARTESIAN_POINT('',(30.224372,17.0399,0.035)); #464146=CARTESIAN_POINT('',(30.224372,17.0399,0.035)); #464147=CARTESIAN_POINT('',(30.224372,17.0399,0.)); #464148=CARTESIAN_POINT('Origin',(30.1351,16.950628,0.)); #464149=CARTESIAN_POINT('',(30.1351,16.950628,0.)); #464150=CARTESIAN_POINT('',(30.1351,16.950628,0.)); #464151=CARTESIAN_POINT('',(30.1351,16.950628,0.035)); #464152=CARTESIAN_POINT('',(30.1351,16.950628,0.035)); #464153=CARTESIAN_POINT('',(30.1351,16.950628,0.)); #464154=CARTESIAN_POINT('Origin',(30.1351,16.5548,0.)); #464155=CARTESIAN_POINT('',(30.1351,16.5548,0.)); #464156=CARTESIAN_POINT('',(30.1351,16.5548,0.)); #464157=CARTESIAN_POINT('',(30.1351,16.5548,0.035)); #464158=CARTESIAN_POINT('',(30.1351,16.5548,0.035)); #464159=CARTESIAN_POINT('',(30.1351,16.5548,0.)); #464160=CARTESIAN_POINT('Origin',(30.129978,16.527331,0.)); #464161=CARTESIAN_POINT('',(30.129978,16.527331,0.)); #464162=CARTESIAN_POINT('',(30.129978,16.527331,0.)); #464163=CARTESIAN_POINT('',(30.129978,16.527331,0.035)); #464164=CARTESIAN_POINT('',(30.129978,16.527331,0.035)); #464165=CARTESIAN_POINT('',(30.129978,16.527331,0.)); #464166=CARTESIAN_POINT('Origin',(30.113634,16.501784,0.)); #464167=CARTESIAN_POINT('',(30.113634,16.501784,0.)); #464168=CARTESIAN_POINT('',(30.113634,16.501784,0.)); #464169=CARTESIAN_POINT('',(30.113634,16.501784,0.035)); #464170=CARTESIAN_POINT('',(30.113634,16.501784,0.035)); #464171=CARTESIAN_POINT('',(30.113634,16.501784,0.)); #464172=CARTESIAN_POINT('Origin',(30.088622,16.484634,0.)); #464173=CARTESIAN_POINT('',(30.088622,16.484634,0.)); #464174=CARTESIAN_POINT('',(30.088622,16.484634,0.)); #464175=CARTESIAN_POINT('',(30.088622,16.484634,0.035)); #464176=CARTESIAN_POINT('',(30.088622,16.484634,0.035)); #464177=CARTESIAN_POINT('',(30.088622,16.484634,0.)); #464178=CARTESIAN_POINT('Origin',(30.0589,16.4786,0.)); #464179=CARTESIAN_POINT('',(30.0589,16.4786,0.)); #464180=CARTESIAN_POINT('',(30.0589,16.4786,0.)); #464181=CARTESIAN_POINT('',(30.0589,16.4786,0.035)); #464182=CARTESIAN_POINT('',(30.0589,16.4786,0.035)); #464183=CARTESIAN_POINT('',(30.0589,16.4786,0.)); #464184=CARTESIAN_POINT('Origin',(29.251253,16.4786,0.)); #464185=CARTESIAN_POINT('',(29.251253,16.4786,0.)); #464186=CARTESIAN_POINT('',(29.251253,16.4786,0.)); #464187=CARTESIAN_POINT('',(29.251253,16.4786,0.035)); #464188=CARTESIAN_POINT('',(29.251253,16.4786,0.035)); #464189=CARTESIAN_POINT('',(29.251253,16.4786,0.)); #464190=CARTESIAN_POINT('Origin',(29.222656,16.484169,0.)); #464191=CARTESIAN_POINT('',(29.222656,16.484169,0.)); #464192=CARTESIAN_POINT('',(29.222656,16.484169,0.)); #464193=CARTESIAN_POINT('',(29.222656,16.484169,0.035)); #464194=CARTESIAN_POINT('',(29.222656,16.484169,0.035)); #464195=CARTESIAN_POINT('',(29.222656,16.484169,0.)); #464196=CARTESIAN_POINT('Origin',(29.197372,16.500919,0.)); #464197=CARTESIAN_POINT('',(29.197372,16.500919,0.)); #464198=CARTESIAN_POINT('',(29.197372,16.500919,0.)); #464199=CARTESIAN_POINT('',(29.197372,16.500919,0.035)); #464200=CARTESIAN_POINT('',(29.197372,16.500919,0.035)); #464201=CARTESIAN_POINT('',(29.197372,16.500919,0.)); #464202=CARTESIAN_POINT('Origin',(27.500919,18.197372,0.)); #464203=CARTESIAN_POINT('',(27.500919,18.197372,0.)); #464204=CARTESIAN_POINT('',(27.500919,18.197372,0.)); #464205=CARTESIAN_POINT('',(27.500919,18.197372,0.035)); #464206=CARTESIAN_POINT('',(27.500919,18.197372,0.035)); #464207=CARTESIAN_POINT('',(27.500919,18.197372,0.)); #464208=CARTESIAN_POINT('Origin',(27.484634,18.221531,0.)); #464209=CARTESIAN_POINT('',(27.484634,18.221531,0.)); #464210=CARTESIAN_POINT('',(27.484634,18.221531,0.)); #464211=CARTESIAN_POINT('',(27.484634,18.221531,0.035)); #464212=CARTESIAN_POINT('',(27.484634,18.221531,0.035)); #464213=CARTESIAN_POINT('',(27.484634,18.221531,0.)); #464214=CARTESIAN_POINT('Origin',(27.4786,18.251253,0.)); #464215=CARTESIAN_POINT('',(27.4786,18.251253,0.)); #464216=CARTESIAN_POINT('',(27.4786,18.251253,0.)); #464217=CARTESIAN_POINT('',(27.4786,18.251253,0.035)); #464218=CARTESIAN_POINT('',(27.4786,18.251253,0.035)); #464219=CARTESIAN_POINT('',(27.4786,18.251253,0.)); #464220=CARTESIAN_POINT('Origin',(27.4786,18.4964,0.)); #464221=CARTESIAN_POINT('',(27.4786,18.4964,0.)); #464222=CARTESIAN_POINT('',(27.4786,18.4964,0.)); #464223=CARTESIAN_POINT('',(27.4786,18.4964,0.035)); #464224=CARTESIAN_POINT('',(27.4786,18.4964,0.035)); #464225=CARTESIAN_POINT('',(27.4786,18.4964,0.)); #464226=CARTESIAN_POINT('Origin',(27.483722,18.523869,0.)); #464227=CARTESIAN_POINT('',(27.483722,18.523869,0.)); #464228=CARTESIAN_POINT('',(27.483722,18.523869,0.)); #464229=CARTESIAN_POINT('',(27.483722,18.523869,0.035)); #464230=CARTESIAN_POINT('',(27.483722,18.523869,0.035)); #464231=CARTESIAN_POINT('',(27.483722,18.523869,0.)); #464232=CARTESIAN_POINT('Origin',(27.500066,18.549416,0.)); #464233=CARTESIAN_POINT('',(27.500066,18.549416,0.)); #464234=CARTESIAN_POINT('',(27.500066,18.549416,0.)); #464235=CARTESIAN_POINT('',(27.500066,18.549416,0.035)); #464236=CARTESIAN_POINT('',(27.500066,18.549416,0.035)); #464237=CARTESIAN_POINT('',(27.500066,18.549416,0.)); #464238=CARTESIAN_POINT('Origin',(27.525078,18.566566,0.)); #464239=CARTESIAN_POINT('',(27.525078,18.566566,0.)); #464240=CARTESIAN_POINT('',(27.525078,18.566566,0.)); #464241=CARTESIAN_POINT('',(27.525078,18.566566,0.035)); #464242=CARTESIAN_POINT('',(27.525078,18.566566,0.035)); #464243=CARTESIAN_POINT('',(27.525078,18.566566,0.)); #464244=CARTESIAN_POINT('Origin',(27.5548,18.5726,0.)); #464245=CARTESIAN_POINT('',(27.5548,18.5726,0.)); #464246=CARTESIAN_POINT('',(27.5548,18.5726,0.)); #464247=CARTESIAN_POINT('',(27.5548,18.5726,0.035)); #464248=CARTESIAN_POINT('',(27.5548,18.5726,0.035)); #464249=CARTESIAN_POINT('',(27.5548,18.5726,0.)); #464250=CARTESIAN_POINT('Origin',(27.939034,18.5726,0.)); #464251=CARTESIAN_POINT('',(27.939034,18.5726,0.)); #464252=CARTESIAN_POINT('',(27.939034,18.5726,0.)); #464253=CARTESIAN_POINT('',(27.939034,18.5726,0.035)); #464254=CARTESIAN_POINT('',(27.939034,18.5726,0.035)); #464255=CARTESIAN_POINT('',(27.939034,18.5726,0.)); #464256=CARTESIAN_POINT('Origin',(28.1894,18.822966,0.)); #464257=CARTESIAN_POINT('',(28.1894,18.822966,0.)); #464258=CARTESIAN_POINT('',(28.1894,18.822966,0.)); #464259=CARTESIAN_POINT('',(28.1894,18.822966,0.035)); #464260=CARTESIAN_POINT('',(28.1894,18.822966,0.035)); #464261=CARTESIAN_POINT('',(28.1894,18.822966,0.)); #464262=CARTESIAN_POINT('Origin',(28.1894,19.177034,0.)); #464263=CARTESIAN_POINT('',(28.1894,19.177034,0.)); #464264=CARTESIAN_POINT('',(28.1894,19.177034,0.)); #464265=CARTESIAN_POINT('',(28.1894,19.177034,0.035)); #464266=CARTESIAN_POINT('',(28.1894,19.177034,0.035)); #464267=CARTESIAN_POINT('',(28.1894,19.177034,0.)); #464268=CARTESIAN_POINT('Origin',(27.939034,19.4274,0.)); #464269=CARTESIAN_POINT('',(27.939034,19.4274,0.)); #464270=CARTESIAN_POINT('',(27.939034,19.4274,0.)); #464271=CARTESIAN_POINT('',(27.939034,19.4274,0.035)); #464272=CARTESIAN_POINT('',(27.939034,19.4274,0.035)); #464273=CARTESIAN_POINT('',(27.939034,19.4274,0.)); #464274=CARTESIAN_POINT('Origin',(27.5548,19.4274,0.)); #464275=CARTESIAN_POINT('',(27.5548,19.4274,0.)); #464276=CARTESIAN_POINT('',(27.5548,19.4274,0.)); #464277=CARTESIAN_POINT('',(27.5548,19.4274,0.035)); #464278=CARTESIAN_POINT('',(27.5548,19.4274,0.035)); #464279=CARTESIAN_POINT('',(27.5548,19.4274,0.)); #464280=CARTESIAN_POINT('Origin',(27.527331,19.432522,0.)); #464281=CARTESIAN_POINT('',(27.527331,19.432522,0.)); #464282=CARTESIAN_POINT('',(27.527331,19.432522,0.)); #464283=CARTESIAN_POINT('',(27.527331,19.432522,0.035)); #464284=CARTESIAN_POINT('',(27.527331,19.432522,0.035)); #464285=CARTESIAN_POINT('',(27.527331,19.432522,0.)); #464286=CARTESIAN_POINT('Origin',(27.501784,19.448866,0.)); #464287=CARTESIAN_POINT('',(27.501784,19.448866,0.)); #464288=CARTESIAN_POINT('',(27.501784,19.448866,0.)); #464289=CARTESIAN_POINT('',(27.501784,19.448866,0.035)); #464290=CARTESIAN_POINT('',(27.501784,19.448866,0.035)); #464291=CARTESIAN_POINT('',(27.501784,19.448866,0.)); #464292=CARTESIAN_POINT('Origin',(27.484634,19.473878,0.)); #464293=CARTESIAN_POINT('',(27.484634,19.473878,0.)); #464294=CARTESIAN_POINT('',(27.484634,19.473878,0.)); #464295=CARTESIAN_POINT('',(27.484634,19.473878,0.035)); #464296=CARTESIAN_POINT('',(27.484634,19.473878,0.035)); #464297=CARTESIAN_POINT('',(27.484634,19.473878,0.)); #464298=CARTESIAN_POINT('Origin',(27.4786,19.5036,0.)); #464299=CARTESIAN_POINT('',(27.4786,19.5036,0.)); #464300=CARTESIAN_POINT('',(27.4786,19.5036,0.)); #464301=CARTESIAN_POINT('',(27.4786,19.5036,0.035)); #464302=CARTESIAN_POINT('',(27.4786,19.5036,0.035)); #464303=CARTESIAN_POINT('',(27.4786,19.5036,0.)); #464304=CARTESIAN_POINT('Origin',(27.4786,23.967603,0.)); #464305=CARTESIAN_POINT('',(27.4786,23.967603,0.)); #464306=CARTESIAN_POINT('',(27.4786,23.967603,0.)); #464307=CARTESIAN_POINT('',(27.4786,23.967603,0.035)); #464308=CARTESIAN_POINT('',(27.4786,23.967603,0.035)); #464309=CARTESIAN_POINT('',(27.4786,23.967603,0.)); #464310=CARTESIAN_POINT('Origin',(27.484169,23.9962,0.)); #464311=CARTESIAN_POINT('',(27.484169,23.9962,0.)); #464312=CARTESIAN_POINT('',(27.484169,23.9962,0.)); #464313=CARTESIAN_POINT('',(27.484169,23.9962,0.035)); #464314=CARTESIAN_POINT('',(27.484169,23.9962,0.035)); #464315=CARTESIAN_POINT('',(27.484169,23.9962,0.)); #464316=CARTESIAN_POINT('Origin',(27.500919,24.021484,0.)); #464317=CARTESIAN_POINT('',(27.500919,24.021484,0.)); #464318=CARTESIAN_POINT('',(27.500919,24.021484,0.)); #464319=CARTESIAN_POINT('',(27.500919,24.021484,0.035)); #464320=CARTESIAN_POINT('',(27.500919,24.021484,0.035)); #464321=CARTESIAN_POINT('',(27.500919,24.021484,0.)); #464322=CARTESIAN_POINT('Origin',(27.641319,24.161884,0.)); #464323=CARTESIAN_POINT('',(27.641319,24.161884,0.)); #464324=CARTESIAN_POINT('',(27.641319,24.161884,0.)); #464325=CARTESIAN_POINT('',(27.641319,24.161884,0.035)); #464326=CARTESIAN_POINT('',(27.641319,24.161884,0.035)); #464327=CARTESIAN_POINT('',(27.641319,24.161884,0.)); #464328=CARTESIAN_POINT('Origin',(27.663256,24.177184,0.)); #464329=CARTESIAN_POINT('',(27.663256,24.177184,0.)); #464330=CARTESIAN_POINT('',(27.663256,24.177184,0.)); #464331=CARTESIAN_POINT('',(27.663256,24.177184,0.035)); #464332=CARTESIAN_POINT('',(27.663256,24.177184,0.035)); #464333=CARTESIAN_POINT('',(27.663256,24.177184,0.)); #464334=CARTESIAN_POINT('Origin',(27.692772,24.184166,0.)); #464335=CARTESIAN_POINT('',(27.692772,24.184166,0.)); #464336=CARTESIAN_POINT('',(27.692772,24.184166,0.)); #464337=CARTESIAN_POINT('',(27.692772,24.184166,0.035)); #464338=CARTESIAN_POINT('',(27.692772,24.184166,0.035)); #464339=CARTESIAN_POINT('',(27.692772,24.184166,0.)); #464340=CARTESIAN_POINT('Origin',(27.722669,24.179081,0.)); #464341=CARTESIAN_POINT('',(27.722669,24.179081,0.)); #464342=CARTESIAN_POINT('',(27.722669,24.179081,0.)); #464343=CARTESIAN_POINT('',(27.722669,24.179081,0.035)); #464344=CARTESIAN_POINT('',(27.722669,24.179081,0.035)); #464345=CARTESIAN_POINT('',(27.722669,24.179081,0.)); #464346=CARTESIAN_POINT('Origin',(27.748216,24.162738,0.)); #464347=CARTESIAN_POINT('',(27.748216,24.162738,0.)); #464348=CARTESIAN_POINT('',(27.748216,24.162738,0.)); #464349=CARTESIAN_POINT('',(27.748216,24.162738,0.035)); #464350=CARTESIAN_POINT('',(27.748216,24.162738,0.035)); #464351=CARTESIAN_POINT('',(27.748216,24.162738,0.)); #464352=CARTESIAN_POINT('Origin',(27.765366,24.137725,0.)); #464353=CARTESIAN_POINT('',(27.765366,24.137725,0.)); #464354=CARTESIAN_POINT('',(27.765366,24.137725,0.)); #464355=CARTESIAN_POINT('',(27.765366,24.137725,0.035)); #464356=CARTESIAN_POINT('',(27.765366,24.137725,0.035)); #464357=CARTESIAN_POINT('',(27.765366,24.137725,0.)); #464358=CARTESIAN_POINT('Origin',(27.7714,24.108003,0.)); #464359=CARTESIAN_POINT('',(27.7714,24.108003,0.)); #464360=CARTESIAN_POINT('',(27.7714,24.108003,0.)); #464361=CARTESIAN_POINT('',(27.7714,24.108003,0.035)); #464362=CARTESIAN_POINT('',(27.7714,24.108003,0.035)); #464363=CARTESIAN_POINT('',(27.7714,24.108003,0.)); #464364=CARTESIAN_POINT('Origin',(27.7714,19.814297,0.)); #464365=CARTESIAN_POINT('',(27.7714,19.814297,0.)); #464366=CARTESIAN_POINT('',(27.7714,19.814297,0.)); #464367=CARTESIAN_POINT('',(27.7714,19.814297,0.035)); #464368=CARTESIAN_POINT('',(27.7714,19.814297,0.035)); #464369=CARTESIAN_POINT('',(27.7714,19.814297,0.)); #464370=CARTESIAN_POINT('Origin',(30.064297,17.5214,0.)); #464371=CARTESIAN_POINT('',(30.064297,17.5214,0.)); #464372=CARTESIAN_POINT('',(30.064297,17.5214,0.)); #464373=CARTESIAN_POINT('',(30.064297,17.5214,0.035)); #464374=CARTESIAN_POINT('',(30.064297,17.5214,0.035)); #464375=CARTESIAN_POINT('',(30.064297,17.5214,0.)); #464376=CARTESIAN_POINT('Origin',(30.131509,17.5214,0.)); #464377=CARTESIAN_POINT('',(30.131509,17.5214,0.)); #464378=CARTESIAN_POINT('',(30.131509,17.5214,0.)); #464379=CARTESIAN_POINT('',(30.131509,17.5214,0.035)); #464380=CARTESIAN_POINT('',(30.131509,17.5214,0.035)); #464381=CARTESIAN_POINT('',(30.131509,17.5214,0.)); #464382=CARTESIAN_POINT('Origin',(30.160106,17.515831,0.)); #464383=CARTESIAN_POINT('',(30.160106,17.515831,0.)); #464384=CARTESIAN_POINT('',(30.160106,17.515831,0.)); #464385=CARTESIAN_POINT('',(30.160106,17.515831,0.035)); #464386=CARTESIAN_POINT('',(30.160106,17.515831,0.035)); #464387=CARTESIAN_POINT('',(30.160106,17.515831,0.)); #464388=CARTESIAN_POINT('Origin',(30.185391,17.499081,0.)); #464389=CARTESIAN_POINT('',(30.185391,17.499081,0.)); #464390=CARTESIAN_POINT('',(30.185391,17.499081,0.)); #464391=CARTESIAN_POINT('',(30.185391,17.499081,0.035)); #464392=CARTESIAN_POINT('',(30.185391,17.499081,0.035)); #464393=CARTESIAN_POINT('',(30.185391,17.499081,0.)); #464394=CARTESIAN_POINT('Origin',(30.224372,17.4601,0.)); #464395=CARTESIAN_POINT('',(30.224372,17.4601,0.)); #464396=CARTESIAN_POINT('',(30.224372,17.4601,0.)); #464397=CARTESIAN_POINT('',(30.224372,17.4601,0.035)); #464398=CARTESIAN_POINT('',(30.224372,17.4601,0.035)); #464399=CARTESIAN_POINT('',(30.224372,17.4601,0.)); #464400=CARTESIAN_POINT('Origin',(30.975628,17.4601,0.)); #464401=CARTESIAN_POINT('',(30.975628,17.4601,0.)); #464402=CARTESIAN_POINT('',(30.975628,17.4601,0.)); #464403=CARTESIAN_POINT('',(30.975628,17.4601,0.035)); #464404=CARTESIAN_POINT('',(30.975628,17.4601,0.035)); #464405=CARTESIAN_POINT('',(30.975628,17.4601,0.)); #464406=CARTESIAN_POINT('Origin',(31.0649,17.549372,0.)); #464407=CARTESIAN_POINT('',(31.0649,17.549372,0.)); #464408=CARTESIAN_POINT('',(31.0649,17.549372,0.)); #464409=CARTESIAN_POINT('',(31.0649,17.549372,0.035)); #464410=CARTESIAN_POINT('',(31.0649,17.549372,0.035)); #464411=CARTESIAN_POINT('',(31.0649,17.549372,0.)); #464412=CARTESIAN_POINT('Origin',(31.0649,17.952697,0.)); #464413=CARTESIAN_POINT('',(31.0649,17.952697,0.)); #464414=CARTESIAN_POINT('',(31.0649,17.952697,0.)); #464415=CARTESIAN_POINT('',(31.0649,17.952697,0.035)); #464416=CARTESIAN_POINT('',(31.0649,17.952697,0.035)); #464417=CARTESIAN_POINT('',(31.0649,17.952697,0.)); #464418=CARTESIAN_POINT('Origin',(31.053609,17.969166,0.)); #464419=CARTESIAN_POINT('',(31.053609,17.969166,0.)); #464420=CARTESIAN_POINT('',(31.053609,17.969166,0.)); #464421=CARTESIAN_POINT('',(31.053609,17.969166,0.035)); #464422=CARTESIAN_POINT('',(31.053609,17.969166,0.035)); #464423=CARTESIAN_POINT('',(31.053609,17.969166,0.)); #464424=CARTESIAN_POINT('Origin',(31.0471,17.998784,0.)); #464425=CARTESIAN_POINT('',(31.0471,17.998784,0.)); #464426=CARTESIAN_POINT('',(31.0471,17.998784,0.)); #464427=CARTESIAN_POINT('',(31.0471,17.998784,0.035)); #464428=CARTESIAN_POINT('',(31.0471,17.998784,0.035)); #464429=CARTESIAN_POINT('',(31.0471,17.998784,0.)); #464430=CARTESIAN_POINT('Origin',(31.052659,18.028597,0.)); #464431=CARTESIAN_POINT('',(31.052659,18.028597,0.)); #464432=CARTESIAN_POINT('',(31.052659,18.028597,0.)); #464433=CARTESIAN_POINT('',(31.052659,18.028597,0.035)); #464434=CARTESIAN_POINT('',(31.052659,18.028597,0.035)); #464435=CARTESIAN_POINT('',(31.052659,18.028597,0.)); #464436=CARTESIAN_POINT('Origin',(31.0649,18.047075,0.)); #464437=CARTESIAN_POINT('',(31.0649,18.047075,0.)); #464438=CARTESIAN_POINT('',(31.0649,18.047075,0.)); #464439=CARTESIAN_POINT('',(31.0649,18.047075,0.035)); #464440=CARTESIAN_POINT('',(31.0649,18.047075,0.035)); #464441=CARTESIAN_POINT('',(31.0649,18.047075,0.)); #464442=CARTESIAN_POINT('Origin',(31.0649,18.452697,0.)); #464443=CARTESIAN_POINT('',(31.0649,18.452697,0.)); #464444=CARTESIAN_POINT('',(31.0649,18.452697,0.)); #464445=CARTESIAN_POINT('',(31.0649,18.452697,0.035)); #464446=CARTESIAN_POINT('',(31.0649,18.452697,0.035)); #464447=CARTESIAN_POINT('',(31.0649,18.452697,0.)); #464448=CARTESIAN_POINT('Origin',(31.053609,18.469166,0.)); #464449=CARTESIAN_POINT('',(31.053609,18.469166,0.)); #464450=CARTESIAN_POINT('',(31.053609,18.469166,0.)); #464451=CARTESIAN_POINT('',(31.053609,18.469166,0.035)); #464452=CARTESIAN_POINT('',(31.053609,18.469166,0.035)); #464453=CARTESIAN_POINT('',(31.053609,18.469166,0.)); #464454=CARTESIAN_POINT('Origin',(31.0471,18.498784,0.)); #464455=CARTESIAN_POINT('',(31.0471,18.498784,0.)); #464456=CARTESIAN_POINT('',(31.0471,18.498784,0.)); #464457=CARTESIAN_POINT('',(31.0471,18.498784,0.035)); #464458=CARTESIAN_POINT('',(31.0471,18.498784,0.035)); #464459=CARTESIAN_POINT('',(31.0471,18.498784,0.)); #464460=CARTESIAN_POINT('Origin',(31.052659,18.528597,0.)); #464461=CARTESIAN_POINT('',(31.052659,18.528597,0.)); #464462=CARTESIAN_POINT('',(31.052659,18.528597,0.)); #464463=CARTESIAN_POINT('',(31.052659,18.528597,0.035)); #464464=CARTESIAN_POINT('',(31.052659,18.528597,0.035)); #464465=CARTESIAN_POINT('',(31.052659,18.528597,0.)); #464466=CARTESIAN_POINT('Origin',(31.0649,18.547075,0.)); #464467=CARTESIAN_POINT('',(31.0649,18.547075,0.)); #464468=CARTESIAN_POINT('',(31.0649,18.547075,0.)); #464469=CARTESIAN_POINT('',(31.0649,18.547075,0.035)); #464470=CARTESIAN_POINT('',(31.0649,18.547075,0.035)); #464471=CARTESIAN_POINT('',(31.0649,18.547075,0.)); #464472=CARTESIAN_POINT('Origin',(31.0649,18.952697,0.)); #464473=CARTESIAN_POINT('',(31.0649,18.952697,0.)); #464474=CARTESIAN_POINT('',(31.0649,18.952697,0.)); #464475=CARTESIAN_POINT('',(31.0649,18.952697,0.035)); #464476=CARTESIAN_POINT('',(31.0649,18.952697,0.035)); #464477=CARTESIAN_POINT('',(31.0649,18.952697,0.)); #464478=CARTESIAN_POINT('Origin',(31.053609,18.969166,0.)); #464479=CARTESIAN_POINT('',(31.053609,18.969166,0.)); #464480=CARTESIAN_POINT('',(31.053609,18.969166,0.)); #464481=CARTESIAN_POINT('',(31.053609,18.969166,0.035)); #464482=CARTESIAN_POINT('',(31.053609,18.969166,0.035)); #464483=CARTESIAN_POINT('',(31.053609,18.969166,0.)); #464484=CARTESIAN_POINT('Origin',(31.0471,18.998784,0.)); #464485=CARTESIAN_POINT('',(31.0471,18.998784,0.)); #464486=CARTESIAN_POINT('',(31.0471,18.998784,0.)); #464487=CARTESIAN_POINT('',(31.0471,18.998784,0.035)); #464488=CARTESIAN_POINT('',(31.0471,18.998784,0.035)); #464489=CARTESIAN_POINT('',(31.0471,18.998784,0.)); #464490=CARTESIAN_POINT('Origin',(31.052659,19.028597,0.)); #464491=CARTESIAN_POINT('',(31.052659,19.028597,0.)); #464492=CARTESIAN_POINT('',(31.052659,19.028597,0.)); #464493=CARTESIAN_POINT('',(31.052659,19.028597,0.035)); #464494=CARTESIAN_POINT('',(31.052659,19.028597,0.035)); #464495=CARTESIAN_POINT('',(31.052659,19.028597,0.)); #464496=CARTESIAN_POINT('Origin',(31.0649,19.047075,0.)); #464497=CARTESIAN_POINT('',(31.0649,19.047075,0.)); #464498=CARTESIAN_POINT('',(31.0649,19.047075,0.)); #464499=CARTESIAN_POINT('',(31.0649,19.047075,0.035)); #464500=CARTESIAN_POINT('',(31.0649,19.047075,0.035)); #464501=CARTESIAN_POINT('',(31.0649,19.047075,0.)); #464502=CARTESIAN_POINT('Origin',(31.0649,19.452697,0.)); #464503=CARTESIAN_POINT('',(31.0649,19.452697,0.)); #464504=CARTESIAN_POINT('',(31.0649,19.452697,0.)); #464505=CARTESIAN_POINT('',(31.0649,19.452697,0.035)); #464506=CARTESIAN_POINT('',(31.0649,19.452697,0.035)); #464507=CARTESIAN_POINT('',(31.0649,19.452697,0.)); #464508=CARTESIAN_POINT('Origin',(31.053609,19.469166,0.)); #464509=CARTESIAN_POINT('',(31.053609,19.469166,0.)); #464510=CARTESIAN_POINT('',(31.053609,19.469166,0.)); #464511=CARTESIAN_POINT('',(31.053609,19.469166,0.035)); #464512=CARTESIAN_POINT('',(31.053609,19.469166,0.035)); #464513=CARTESIAN_POINT('',(31.053609,19.469166,0.)); #464514=CARTESIAN_POINT('Origin',(31.0471,19.498784,0.)); #464515=CARTESIAN_POINT('',(31.0471,19.498784,0.)); #464516=CARTESIAN_POINT('',(31.0471,19.498784,0.)); #464517=CARTESIAN_POINT('',(31.0471,19.498784,0.035)); #464518=CARTESIAN_POINT('',(31.0471,19.498784,0.035)); #464519=CARTESIAN_POINT('',(31.0471,19.498784,0.)); #464520=CARTESIAN_POINT('Origin',(31.052659,19.528597,0.)); #464521=CARTESIAN_POINT('',(31.052659,19.528597,0.)); #464522=CARTESIAN_POINT('',(31.052659,19.528597,0.)); #464523=CARTESIAN_POINT('',(31.052659,19.528597,0.035)); #464524=CARTESIAN_POINT('',(31.052659,19.528597,0.035)); #464525=CARTESIAN_POINT('',(31.052659,19.528597,0.)); #464526=CARTESIAN_POINT('Origin',(31.0649,19.547075,0.)); #464527=CARTESIAN_POINT('',(31.0649,19.547075,0.)); #464528=CARTESIAN_POINT('',(31.0649,19.547075,0.)); #464529=CARTESIAN_POINT('',(31.0649,19.547075,0.035)); #464530=CARTESIAN_POINT('',(31.0649,19.547075,0.035)); #464531=CARTESIAN_POINT('',(31.0649,19.547075,0.)); #464532=CARTESIAN_POINT('Origin',(31.0649,19.8589,0.)); #464533=CARTESIAN_POINT('',(31.0649,19.8589,0.)); #464534=CARTESIAN_POINT('',(31.0649,19.8589,0.)); #464535=CARTESIAN_POINT('',(31.0649,19.8589,0.035)); #464536=CARTESIAN_POINT('',(31.0649,19.8589,0.035)); #464537=CARTESIAN_POINT('',(31.0649,19.8589,0.)); #464538=CARTESIAN_POINT('Origin',(31.070022,19.886369,0.)); #464539=CARTESIAN_POINT('',(31.070022,19.886369,0.)); #464540=CARTESIAN_POINT('',(31.070022,19.886369,0.)); #464541=CARTESIAN_POINT('',(31.070022,19.886369,0.035)); #464542=CARTESIAN_POINT('',(31.070022,19.886369,0.035)); #464543=CARTESIAN_POINT('',(31.070022,19.886369,0.)); #464544=CARTESIAN_POINT('Origin',(31.086366,19.911916,0.)); #464545=CARTESIAN_POINT('',(31.086366,19.911916,0.)); #464546=CARTESIAN_POINT('',(31.086366,19.911916,0.)); #464547=CARTESIAN_POINT('',(31.086366,19.911916,0.035)); #464548=CARTESIAN_POINT('',(31.086366,19.911916,0.035)); #464549=CARTESIAN_POINT('',(31.086366,19.911916,0.)); #464550=CARTESIAN_POINT('Origin',(31.111378,19.929066,0.)); #464551=CARTESIAN_POINT('',(31.111378,19.929066,0.)); #464552=CARTESIAN_POINT('',(31.111378,19.929066,0.)); #464553=CARTESIAN_POINT('',(31.111378,19.929066,0.035)); #464554=CARTESIAN_POINT('',(31.111378,19.929066,0.035)); #464555=CARTESIAN_POINT('',(31.111378,19.929066,0.)); #464556=CARTESIAN_POINT('Origin',(31.1411,19.9351,0.)); #464557=CARTESIAN_POINT('',(31.1411,19.9351,0.)); #464558=CARTESIAN_POINT('',(31.1411,19.9351,0.)); #464559=CARTESIAN_POINT('',(31.1411,19.9351,0.035)); #464560=CARTESIAN_POINT('',(31.1411,19.9351,0.035)); #464561=CARTESIAN_POINT('',(31.1411,19.9351,0.)); #464562=CARTESIAN_POINT('Origin',(31.452697,19.9351,0.)); #464563=CARTESIAN_POINT('',(31.452697,19.9351,0.)); #464564=CARTESIAN_POINT('',(31.452697,19.9351,0.)); #464565=CARTESIAN_POINT('',(31.452697,19.9351,0.035)); #464566=CARTESIAN_POINT('',(31.452697,19.9351,0.035)); #464567=CARTESIAN_POINT('',(31.452697,19.9351,0.)); #464568=CARTESIAN_POINT('Origin',(31.469166,19.946391,0.)); #464569=CARTESIAN_POINT('',(31.469166,19.946391,0.)); #464570=CARTESIAN_POINT('',(31.469166,19.946391,0.)); #464571=CARTESIAN_POINT('',(31.469166,19.946391,0.035)); #464572=CARTESIAN_POINT('',(31.469166,19.946391,0.035)); #464573=CARTESIAN_POINT('',(31.469166,19.946391,0.)); #464574=CARTESIAN_POINT('Origin',(31.498784,19.9529,0.)); #464575=CARTESIAN_POINT('',(31.498784,19.9529,0.)); #464576=CARTESIAN_POINT('',(31.498784,19.9529,0.)); #464577=CARTESIAN_POINT('',(31.498784,19.9529,0.035)); #464578=CARTESIAN_POINT('',(31.498784,19.9529,0.035)); #464579=CARTESIAN_POINT('',(31.498784,19.9529,0.)); #464580=CARTESIAN_POINT('Origin',(31.528597,19.947341,0.)); #464581=CARTESIAN_POINT('',(31.528597,19.947341,0.)); #464582=CARTESIAN_POINT('',(31.528597,19.947341,0.)); #464583=CARTESIAN_POINT('',(31.528597,19.947341,0.035)); #464584=CARTESIAN_POINT('',(31.528597,19.947341,0.035)); #464585=CARTESIAN_POINT('',(31.528597,19.947341,0.)); #464586=CARTESIAN_POINT('Origin',(31.547075,19.9351,0.)); #464587=CARTESIAN_POINT('',(31.547075,19.9351,0.)); #464588=CARTESIAN_POINT('',(31.547075,19.9351,0.)); #464589=CARTESIAN_POINT('',(31.547075,19.9351,0.035)); #464590=CARTESIAN_POINT('',(31.547075,19.9351,0.035)); #464591=CARTESIAN_POINT('',(31.547075,19.9351,0.)); #464592=CARTESIAN_POINT('Origin',(31.952697,19.9351,0.)); #464593=CARTESIAN_POINT('',(31.952697,19.9351,0.)); #464594=CARTESIAN_POINT('',(31.952697,19.9351,0.)); #464595=CARTESIAN_POINT('',(31.952697,19.9351,0.035)); #464596=CARTESIAN_POINT('',(31.952697,19.9351,0.035)); #464597=CARTESIAN_POINT('',(31.952697,19.9351,0.)); #464598=CARTESIAN_POINT('Origin',(31.969166,19.946391,0.)); #464599=CARTESIAN_POINT('',(31.969166,19.946391,0.)); #464600=CARTESIAN_POINT('',(31.969166,19.946391,0.)); #464601=CARTESIAN_POINT('',(31.969166,19.946391,0.035)); #464602=CARTESIAN_POINT('',(31.969166,19.946391,0.035)); #464603=CARTESIAN_POINT('',(31.969166,19.946391,0.)); #464604=CARTESIAN_POINT('Origin',(31.998784,19.9529,0.)); #464605=CARTESIAN_POINT('',(31.998784,19.9529,0.)); #464606=CARTESIAN_POINT('',(31.998784,19.9529,0.)); #464607=CARTESIAN_POINT('',(31.998784,19.9529,0.035)); #464608=CARTESIAN_POINT('',(31.998784,19.9529,0.035)); #464609=CARTESIAN_POINT('',(31.998784,19.9529,0.)); #464610=CARTESIAN_POINT('Origin',(32.028597,19.947341,0.)); #464611=CARTESIAN_POINT('',(32.028597,19.947341,0.)); #464612=CARTESIAN_POINT('',(32.028597,19.947341,0.)); #464613=CARTESIAN_POINT('',(32.028597,19.947341,0.035)); #464614=CARTESIAN_POINT('',(32.028597,19.947341,0.035)); #464615=CARTESIAN_POINT('',(32.028597,19.947341,0.)); #464616=CARTESIAN_POINT('Origin',(32.047075,19.9351,0.)); #464617=CARTESIAN_POINT('',(32.047075,19.9351,0.)); #464618=CARTESIAN_POINT('',(32.047075,19.9351,0.)); #464619=CARTESIAN_POINT('',(32.047075,19.9351,0.035)); #464620=CARTESIAN_POINT('',(32.047075,19.9351,0.035)); #464621=CARTESIAN_POINT('',(32.047075,19.9351,0.)); #464622=CARTESIAN_POINT('Origin',(32.452697,19.9351,0.)); #464623=CARTESIAN_POINT('',(32.452697,19.9351,0.)); #464624=CARTESIAN_POINT('',(32.452697,19.9351,0.)); #464625=CARTESIAN_POINT('',(32.452697,19.9351,0.035)); #464626=CARTESIAN_POINT('',(32.452697,19.9351,0.035)); #464627=CARTESIAN_POINT('',(32.452697,19.9351,0.)); #464628=CARTESIAN_POINT('Origin',(32.469166,19.946391,0.)); #464629=CARTESIAN_POINT('',(32.469166,19.946391,0.)); #464630=CARTESIAN_POINT('',(32.469166,19.946391,0.)); #464631=CARTESIAN_POINT('',(32.469166,19.946391,0.035)); #464632=CARTESIAN_POINT('',(32.469166,19.946391,0.035)); #464633=CARTESIAN_POINT('',(32.469166,19.946391,0.)); #464634=CARTESIAN_POINT('Origin',(32.498784,19.9529,0.)); #464635=CARTESIAN_POINT('',(32.498784,19.9529,0.)); #464636=CARTESIAN_POINT('',(32.498784,19.9529,0.)); #464637=CARTESIAN_POINT('',(32.498784,19.9529,0.035)); #464638=CARTESIAN_POINT('',(32.498784,19.9529,0.035)); #464639=CARTESIAN_POINT('',(32.498784,19.9529,0.)); #464640=CARTESIAN_POINT('Origin',(32.528597,19.947341,0.)); #464641=CARTESIAN_POINT('',(32.528597,19.947341,0.)); #464642=CARTESIAN_POINT('',(32.528597,19.947341,0.)); #464643=CARTESIAN_POINT('',(32.528597,19.947341,0.035)); #464644=CARTESIAN_POINT('',(32.528597,19.947341,0.035)); #464645=CARTESIAN_POINT('',(32.528597,19.947341,0.)); #464646=CARTESIAN_POINT('Origin',(32.547075,19.9351,0.)); #464647=CARTESIAN_POINT('',(32.547075,19.9351,0.)); #464648=CARTESIAN_POINT('',(32.547075,19.9351,0.)); #464649=CARTESIAN_POINT('',(32.547075,19.9351,0.035)); #464650=CARTESIAN_POINT('',(32.547075,19.9351,0.035)); #464651=CARTESIAN_POINT('',(32.547075,19.9351,0.)); #464652=CARTESIAN_POINT('Origin',(32.952697,19.9351,0.)); #464653=CARTESIAN_POINT('',(32.952697,19.9351,0.)); #464654=CARTESIAN_POINT('',(32.952697,19.9351,0.)); #464655=CARTESIAN_POINT('',(32.952697,19.9351,0.035)); #464656=CARTESIAN_POINT('',(32.952697,19.9351,0.035)); #464657=CARTESIAN_POINT('',(32.952697,19.9351,0.)); #464658=CARTESIAN_POINT('Origin',(32.969166,19.946391,0.)); #464659=CARTESIAN_POINT('',(32.969166,19.946391,0.)); #464660=CARTESIAN_POINT('',(32.969166,19.946391,0.)); #464661=CARTESIAN_POINT('',(32.969166,19.946391,0.035)); #464662=CARTESIAN_POINT('',(32.969166,19.946391,0.035)); #464663=CARTESIAN_POINT('',(32.969166,19.946391,0.)); #464664=CARTESIAN_POINT('Origin',(32.998784,19.9529,0.)); #464665=CARTESIAN_POINT('',(32.998784,19.9529,0.)); #464666=CARTESIAN_POINT('',(32.998784,19.9529,0.)); #464667=CARTESIAN_POINT('',(32.998784,19.9529,0.035)); #464668=CARTESIAN_POINT('',(32.998784,19.9529,0.035)); #464669=CARTESIAN_POINT('',(32.998784,19.9529,0.)); #464670=CARTESIAN_POINT('Origin',(33.028597,19.947341,0.)); #464671=CARTESIAN_POINT('',(33.028597,19.947341,0.)); #464672=CARTESIAN_POINT('',(33.028597,19.947341,0.)); #464673=CARTESIAN_POINT('',(33.028597,19.947341,0.035)); #464674=CARTESIAN_POINT('',(33.028597,19.947341,0.035)); #464675=CARTESIAN_POINT('',(33.028597,19.947341,0.)); #464676=CARTESIAN_POINT('Origin',(33.047075,19.9351,0.)); #464677=CARTESIAN_POINT('',(33.047075,19.9351,0.)); #464678=CARTESIAN_POINT('',(33.047075,19.9351,0.)); #464679=CARTESIAN_POINT('',(33.047075,19.9351,0.035)); #464680=CARTESIAN_POINT('',(33.047075,19.9351,0.035)); #464681=CARTESIAN_POINT('',(33.047075,19.9351,0.)); #464682=CARTESIAN_POINT('Origin',(33.452697,19.9351,0.)); #464683=CARTESIAN_POINT('',(33.452697,19.9351,0.)); #464684=CARTESIAN_POINT('',(33.452697,19.9351,0.)); #464685=CARTESIAN_POINT('',(33.452697,19.9351,0.035)); #464686=CARTESIAN_POINT('',(33.452697,19.9351,0.035)); #464687=CARTESIAN_POINT('',(33.452697,19.9351,0.)); #464688=CARTESIAN_POINT('Origin',(33.469166,19.946391,0.)); #464689=CARTESIAN_POINT('',(33.469166,19.946391,0.)); #464690=CARTESIAN_POINT('',(33.469166,19.946391,0.)); #464691=CARTESIAN_POINT('',(33.469166,19.946391,0.035)); #464692=CARTESIAN_POINT('',(33.469166,19.946391,0.035)); #464693=CARTESIAN_POINT('',(33.469166,19.946391,0.)); #464694=CARTESIAN_POINT('Origin',(33.498784,19.9529,0.)); #464695=CARTESIAN_POINT('',(33.498784,19.9529,0.)); #464696=CARTESIAN_POINT('',(33.498784,19.9529,0.)); #464697=CARTESIAN_POINT('',(33.498784,19.9529,0.035)); #464698=CARTESIAN_POINT('',(33.498784,19.9529,0.035)); #464699=CARTESIAN_POINT('',(33.498784,19.9529,0.)); #464700=CARTESIAN_POINT('Origin',(33.528597,19.947341,0.)); #464701=CARTESIAN_POINT('',(33.528597,19.947341,0.)); #464702=CARTESIAN_POINT('',(33.528597,19.947341,0.)); #464703=CARTESIAN_POINT('',(33.528597,19.947341,0.035)); #464704=CARTESIAN_POINT('',(33.528597,19.947341,0.035)); #464705=CARTESIAN_POINT('',(33.528597,19.947341,0.)); #464706=CARTESIAN_POINT('Origin',(33.547075,19.9351,0.)); #464707=CARTESIAN_POINT('',(33.547075,19.9351,0.)); #464708=CARTESIAN_POINT('',(33.547075,19.9351,0.)); #464709=CARTESIAN_POINT('',(33.547075,19.9351,0.035)); #464710=CARTESIAN_POINT('',(33.547075,19.9351,0.035)); #464711=CARTESIAN_POINT('',(33.547075,19.9351,0.)); #464712=CARTESIAN_POINT('Origin',(33.952697,19.9351,0.)); #464713=CARTESIAN_POINT('',(33.952697,19.9351,0.)); #464714=CARTESIAN_POINT('',(33.952697,19.9351,0.)); #464715=CARTESIAN_POINT('',(33.952697,19.9351,0.035)); #464716=CARTESIAN_POINT('',(33.952697,19.9351,0.035)); #464717=CARTESIAN_POINT('',(33.952697,19.9351,0.)); #464718=CARTESIAN_POINT('Origin',(33.969166,19.946391,0.)); #464719=CARTESIAN_POINT('',(33.969166,19.946391,0.)); #464720=CARTESIAN_POINT('',(33.969166,19.946391,0.)); #464721=CARTESIAN_POINT('',(33.969166,19.946391,0.035)); #464722=CARTESIAN_POINT('',(33.969166,19.946391,0.035)); #464723=CARTESIAN_POINT('',(33.969166,19.946391,0.)); #464724=CARTESIAN_POINT('Origin',(33.998784,19.9529,0.)); #464725=CARTESIAN_POINT('',(33.998784,19.9529,0.)); #464726=CARTESIAN_POINT('',(33.998784,19.9529,0.)); #464727=CARTESIAN_POINT('',(33.998784,19.9529,0.035)); #464728=CARTESIAN_POINT('',(33.998784,19.9529,0.035)); #464729=CARTESIAN_POINT('',(33.998784,19.9529,0.)); #464730=CARTESIAN_POINT('Origin',(34.028597,19.947341,0.)); #464731=CARTESIAN_POINT('',(34.028597,19.947341,0.)); #464732=CARTESIAN_POINT('',(34.028597,19.947341,0.)); #464733=CARTESIAN_POINT('',(34.028597,19.947341,0.035)); #464734=CARTESIAN_POINT('',(34.028597,19.947341,0.035)); #464735=CARTESIAN_POINT('',(34.028597,19.947341,0.)); #464736=CARTESIAN_POINT('Origin',(34.047075,19.9351,0.)); #464737=CARTESIAN_POINT('',(34.047075,19.9351,0.)); #464738=CARTESIAN_POINT('',(34.047075,19.9351,0.)); #464739=CARTESIAN_POINT('',(34.047075,19.9351,0.035)); #464740=CARTESIAN_POINT('',(34.047075,19.9351,0.035)); #464741=CARTESIAN_POINT('',(34.047075,19.9351,0.)); #464742=CARTESIAN_POINT('Origin',(34.452697,19.9351,0.)); #464743=CARTESIAN_POINT('',(34.452697,19.9351,0.)); #464744=CARTESIAN_POINT('',(34.452697,19.9351,0.)); #464745=CARTESIAN_POINT('',(34.452697,19.9351,0.035)); #464746=CARTESIAN_POINT('',(34.452697,19.9351,0.035)); #464747=CARTESIAN_POINT('',(34.452697,19.9351,0.)); #464748=CARTESIAN_POINT('Origin',(34.469166,19.946391,0.)); #464749=CARTESIAN_POINT('',(34.469166,19.946391,0.)); #464750=CARTESIAN_POINT('',(34.469166,19.946391,0.)); #464751=CARTESIAN_POINT('',(34.469166,19.946391,0.035)); #464752=CARTESIAN_POINT('',(34.469166,19.946391,0.035)); #464753=CARTESIAN_POINT('',(34.469166,19.946391,0.)); #464754=CARTESIAN_POINT('Origin',(34.498784,19.9529,0.)); #464755=CARTESIAN_POINT('',(34.498784,19.9529,0.)); #464756=CARTESIAN_POINT('',(34.498784,19.9529,0.)); #464757=CARTESIAN_POINT('',(34.498784,19.9529,0.035)); #464758=CARTESIAN_POINT('',(34.498784,19.9529,0.035)); #464759=CARTESIAN_POINT('',(34.498784,19.9529,0.)); #464760=CARTESIAN_POINT('Origin',(34.528597,19.947341,0.)); #464761=CARTESIAN_POINT('',(34.528597,19.947341,0.)); #464762=CARTESIAN_POINT('',(34.528597,19.947341,0.)); #464763=CARTESIAN_POINT('',(34.528597,19.947341,0.035)); #464764=CARTESIAN_POINT('',(34.528597,19.947341,0.035)); #464765=CARTESIAN_POINT('',(34.528597,19.947341,0.)); #464766=CARTESIAN_POINT('Origin',(34.547075,19.9351,0.)); #464767=CARTESIAN_POINT('',(34.547075,19.9351,0.)); #464768=CARTESIAN_POINT('',(34.547075,19.9351,0.)); #464769=CARTESIAN_POINT('',(34.547075,19.9351,0.035)); #464770=CARTESIAN_POINT('',(34.547075,19.9351,0.035)); #464771=CARTESIAN_POINT('',(34.547075,19.9351,0.)); #464772=CARTESIAN_POINT('Origin',(34.952697,19.9351,0.)); #464773=CARTESIAN_POINT('',(34.952697,19.9351,0.)); #464774=CARTESIAN_POINT('',(34.952697,19.9351,0.)); #464775=CARTESIAN_POINT('',(34.952697,19.9351,0.035)); #464776=CARTESIAN_POINT('',(34.952697,19.9351,0.035)); #464777=CARTESIAN_POINT('',(34.952697,19.9351,0.)); #464778=CARTESIAN_POINT('Origin',(34.969166,19.946391,0.)); #464779=CARTESIAN_POINT('',(34.969166,19.946391,0.)); #464780=CARTESIAN_POINT('',(34.969166,19.946391,0.)); #464781=CARTESIAN_POINT('',(34.969166,19.946391,0.035)); #464782=CARTESIAN_POINT('',(34.969166,19.946391,0.035)); #464783=CARTESIAN_POINT('',(34.969166,19.946391,0.)); #464784=CARTESIAN_POINT('Origin',(34.998784,19.9529,0.)); #464785=CARTESIAN_POINT('',(34.998784,19.9529,0.)); #464786=CARTESIAN_POINT('',(34.998784,19.9529,0.)); #464787=CARTESIAN_POINT('',(34.998784,19.9529,0.035)); #464788=CARTESIAN_POINT('',(34.998784,19.9529,0.035)); #464789=CARTESIAN_POINT('',(34.998784,19.9529,0.)); #464790=CARTESIAN_POINT('Origin',(35.028597,19.947341,0.)); #464791=CARTESIAN_POINT('',(35.028597,19.947341,0.)); #464792=CARTESIAN_POINT('',(35.028597,19.947341,0.)); #464793=CARTESIAN_POINT('',(35.028597,19.947341,0.035)); #464794=CARTESIAN_POINT('',(35.028597,19.947341,0.035)); #464795=CARTESIAN_POINT('',(35.028597,19.947341,0.)); #464796=CARTESIAN_POINT('Origin',(35.047075,19.9351,0.)); #464797=CARTESIAN_POINT('',(35.047075,19.9351,0.)); #464798=CARTESIAN_POINT('',(35.047075,19.9351,0.)); #464799=CARTESIAN_POINT('',(35.047075,19.9351,0.035)); #464800=CARTESIAN_POINT('',(35.047075,19.9351,0.035)); #464801=CARTESIAN_POINT('',(35.047075,19.9351,0.)); #464802=CARTESIAN_POINT('Origin',(35.452697,19.9351,0.)); #464803=CARTESIAN_POINT('',(35.452697,19.9351,0.)); #464804=CARTESIAN_POINT('',(35.452697,19.9351,0.)); #464805=CARTESIAN_POINT('',(35.452697,19.9351,0.035)); #464806=CARTESIAN_POINT('',(35.452697,19.9351,0.035)); #464807=CARTESIAN_POINT('',(35.452697,19.9351,0.)); #464808=CARTESIAN_POINT('Origin',(35.469166,19.946391,0.)); #464809=CARTESIAN_POINT('',(35.469166,19.946391,0.)); #464810=CARTESIAN_POINT('',(35.469166,19.946391,0.)); #464811=CARTESIAN_POINT('',(35.469166,19.946391,0.035)); #464812=CARTESIAN_POINT('',(35.469166,19.946391,0.035)); #464813=CARTESIAN_POINT('',(35.469166,19.946391,0.)); #464814=CARTESIAN_POINT('Origin',(35.498784,19.9529,0.)); #464815=CARTESIAN_POINT('',(35.498784,19.9529,0.)); #464816=CARTESIAN_POINT('',(35.498784,19.9529,0.)); #464817=CARTESIAN_POINT('',(35.498784,19.9529,0.035)); #464818=CARTESIAN_POINT('',(35.498784,19.9529,0.035)); #464819=CARTESIAN_POINT('',(35.498784,19.9529,0.)); #464820=CARTESIAN_POINT('Origin',(35.528597,19.947341,0.)); #464821=CARTESIAN_POINT('',(35.528597,19.947341,0.)); #464822=CARTESIAN_POINT('',(35.528597,19.947341,0.)); #464823=CARTESIAN_POINT('',(35.528597,19.947341,0.035)); #464824=CARTESIAN_POINT('',(35.528597,19.947341,0.035)); #464825=CARTESIAN_POINT('',(35.528597,19.947341,0.)); #464826=CARTESIAN_POINT('Origin',(35.547075,19.9351,0.)); #464827=CARTESIAN_POINT('',(35.547075,19.9351,0.)); #464828=CARTESIAN_POINT('',(35.547075,19.9351,0.)); #464829=CARTESIAN_POINT('',(35.547075,19.9351,0.035)); #464830=CARTESIAN_POINT('',(35.547075,19.9351,0.035)); #464831=CARTESIAN_POINT('',(35.547075,19.9351,0.)); #464832=CARTESIAN_POINT('Origin',(35.952697,19.9351,0.)); #464833=CARTESIAN_POINT('',(35.952697,19.9351,0.)); #464834=CARTESIAN_POINT('',(35.952697,19.9351,0.)); #464835=CARTESIAN_POINT('',(35.952697,19.9351,0.035)); #464836=CARTESIAN_POINT('',(35.952697,19.9351,0.035)); #464837=CARTESIAN_POINT('',(35.952697,19.9351,0.)); #464838=CARTESIAN_POINT('Origin',(35.969166,19.946391,0.)); #464839=CARTESIAN_POINT('',(35.969166,19.946391,0.)); #464840=CARTESIAN_POINT('',(35.969166,19.946391,0.)); #464841=CARTESIAN_POINT('',(35.969166,19.946391,0.035)); #464842=CARTESIAN_POINT('',(35.969166,19.946391,0.035)); #464843=CARTESIAN_POINT('',(35.969166,19.946391,0.)); #464844=CARTESIAN_POINT('Origin',(35.998784,19.9529,0.)); #464845=CARTESIAN_POINT('',(35.998784,19.9529,0.)); #464846=CARTESIAN_POINT('',(35.998784,19.9529,0.)); #464847=CARTESIAN_POINT('',(35.998784,19.9529,0.035)); #464848=CARTESIAN_POINT('',(35.998784,19.9529,0.035)); #464849=CARTESIAN_POINT('',(35.998784,19.9529,0.)); #464850=CARTESIAN_POINT('Origin',(36.028597,19.947341,0.)); #464851=CARTESIAN_POINT('',(36.028597,19.947341,0.)); #464852=CARTESIAN_POINT('',(36.028597,19.947341,0.)); #464853=CARTESIAN_POINT('',(36.028597,19.947341,0.035)); #464854=CARTESIAN_POINT('',(36.028597,19.947341,0.035)); #464855=CARTESIAN_POINT('',(36.028597,19.947341,0.)); #464856=CARTESIAN_POINT('Origin',(36.047075,19.9351,0.)); #464857=CARTESIAN_POINT('',(36.047075,19.9351,0.)); #464858=CARTESIAN_POINT('',(36.047075,19.9351,0.)); #464859=CARTESIAN_POINT('',(36.047075,19.9351,0.035)); #464860=CARTESIAN_POINT('',(36.047075,19.9351,0.035)); #464861=CARTESIAN_POINT('',(36.047075,19.9351,0.)); #464862=CARTESIAN_POINT('Origin',(36.452697,19.9351,0.)); #464863=CARTESIAN_POINT('',(36.452697,19.9351,0.)); #464864=CARTESIAN_POINT('',(36.452697,19.9351,0.)); #464865=CARTESIAN_POINT('',(36.452697,19.9351,0.035)); #464866=CARTESIAN_POINT('',(36.452697,19.9351,0.035)); #464867=CARTESIAN_POINT('',(36.452697,19.9351,0.)); #464868=CARTESIAN_POINT('Origin',(36.469166,19.946391,0.)); #464869=CARTESIAN_POINT('',(36.469166,19.946391,0.)); #464870=CARTESIAN_POINT('',(36.469166,19.946391,0.)); #464871=CARTESIAN_POINT('',(36.469166,19.946391,0.035)); #464872=CARTESIAN_POINT('',(36.469166,19.946391,0.035)); #464873=CARTESIAN_POINT('',(36.469166,19.946391,0.)); #464874=CARTESIAN_POINT('Origin',(36.498784,19.9529,0.)); #464875=CARTESIAN_POINT('',(36.498784,19.9529,0.)); #464876=CARTESIAN_POINT('',(36.498784,19.9529,0.)); #464877=CARTESIAN_POINT('',(36.498784,19.9529,0.035)); #464878=CARTESIAN_POINT('',(36.498784,19.9529,0.035)); #464879=CARTESIAN_POINT('',(36.498784,19.9529,0.)); #464880=CARTESIAN_POINT('Origin',(36.528597,19.947341,0.)); #464881=CARTESIAN_POINT('',(36.528597,19.947341,0.)); #464882=CARTESIAN_POINT('',(36.528597,19.947341,0.)); #464883=CARTESIAN_POINT('',(36.528597,19.947341,0.035)); #464884=CARTESIAN_POINT('',(36.528597,19.947341,0.035)); #464885=CARTESIAN_POINT('',(36.528597,19.947341,0.)); #464886=CARTESIAN_POINT('Origin',(36.547075,19.9351,0.)); #464887=CARTESIAN_POINT('',(36.547075,19.9351,0.)); #464888=CARTESIAN_POINT('',(36.547075,19.9351,0.)); #464889=CARTESIAN_POINT('',(36.547075,19.9351,0.035)); #464890=CARTESIAN_POINT('',(36.547075,19.9351,0.035)); #464891=CARTESIAN_POINT('',(36.547075,19.9351,0.)); #464892=CARTESIAN_POINT('Origin',(36.8589,19.9351,0.)); #464893=CARTESIAN_POINT('',(36.8589,19.9351,0.)); #464894=CARTESIAN_POINT('',(36.8589,19.9351,0.)); #464895=CARTESIAN_POINT('',(36.8589,19.9351,0.035)); #464896=CARTESIAN_POINT('',(36.8589,19.9351,0.035)); #464897=CARTESIAN_POINT('',(36.8589,19.9351,0.)); #464898=CARTESIAN_POINT('Origin',(36.886369,19.929978,0.)); #464899=CARTESIAN_POINT('',(36.886369,19.929978,0.)); #464900=CARTESIAN_POINT('',(36.886369,19.929978,0.)); #464901=CARTESIAN_POINT('',(36.886369,19.929978,0.035)); #464902=CARTESIAN_POINT('',(36.886369,19.929978,0.035)); #464903=CARTESIAN_POINT('',(36.886369,19.929978,0.)); #464904=CARTESIAN_POINT('Origin',(36.911916,19.913634,0.)); #464905=CARTESIAN_POINT('',(36.911916,19.913634,0.)); #464906=CARTESIAN_POINT('',(36.911916,19.913634,0.)); #464907=CARTESIAN_POINT('',(36.911916,19.913634,0.035)); #464908=CARTESIAN_POINT('',(36.911916,19.913634,0.035)); #464909=CARTESIAN_POINT('',(36.911916,19.913634,0.)); #464910=CARTESIAN_POINT('Origin',(36.929066,19.888622,0.)); #464911=CARTESIAN_POINT('',(36.929066,19.888622,0.)); #464912=CARTESIAN_POINT('',(36.929066,19.888622,0.)); #464913=CARTESIAN_POINT('',(36.929066,19.888622,0.035)); #464914=CARTESIAN_POINT('',(36.929066,19.888622,0.035)); #464915=CARTESIAN_POINT('',(36.929066,19.888622,0.)); #464916=CARTESIAN_POINT('Origin',(36.9351,19.8589,0.)); #464917=CARTESIAN_POINT('',(36.9351,19.8589,0.)); #464918=CARTESIAN_POINT('',(36.9351,19.8589,0.)); #464919=CARTESIAN_POINT('',(36.9351,19.8589,0.035)); #464920=CARTESIAN_POINT('',(36.9351,19.8589,0.035)); #464921=CARTESIAN_POINT('',(36.9351,19.8589,0.)); #464922=CARTESIAN_POINT('Origin',(36.9351,19.549372,0.)); #464923=CARTESIAN_POINT('',(36.9351,19.549372,0.)); #464924=CARTESIAN_POINT('',(36.9351,19.549372,0.)); #464925=CARTESIAN_POINT('',(36.9351,19.549372,0.035)); #464926=CARTESIAN_POINT('',(36.9351,19.549372,0.035)); #464927=CARTESIAN_POINT('',(36.9351,19.549372,0.)); #464928=CARTESIAN_POINT('Origin',(37.024372,19.4601,0.)); #464929=CARTESIAN_POINT('',(37.024372,19.4601,0.)); #464930=CARTESIAN_POINT('',(37.024372,19.4601,0.)); #464931=CARTESIAN_POINT('',(37.024372,19.4601,0.035)); #464932=CARTESIAN_POINT('',(37.024372,19.4601,0.035)); #464933=CARTESIAN_POINT('',(37.024372,19.4601,0.)); #464934=CARTESIAN_POINT('Origin',(37.775628,19.4601,0.)); #464935=CARTESIAN_POINT('',(37.775628,19.4601,0.)); #464936=CARTESIAN_POINT('',(37.775628,19.4601,0.)); #464937=CARTESIAN_POINT('',(37.775628,19.4601,0.035)); #464938=CARTESIAN_POINT('',(37.775628,19.4601,0.035)); #464939=CARTESIAN_POINT('',(37.775628,19.4601,0.)); #464940=CARTESIAN_POINT('Origin',(37.814609,19.499081,0.)); #464941=CARTESIAN_POINT('',(37.814609,19.499081,0.)); #464942=CARTESIAN_POINT('',(37.814609,19.499081,0.)); #464943=CARTESIAN_POINT('',(37.814609,19.499081,0.035)); #464944=CARTESIAN_POINT('',(37.814609,19.499081,0.035)); #464945=CARTESIAN_POINT('',(37.814609,19.499081,0.)); #464946=CARTESIAN_POINT('Origin',(37.838769,19.515366,0.)); #464947=CARTESIAN_POINT('',(37.838769,19.515366,0.)); #464948=CARTESIAN_POINT('',(37.838769,19.515366,0.)); #464949=CARTESIAN_POINT('',(37.838769,19.515366,0.035)); #464950=CARTESIAN_POINT('',(37.838769,19.515366,0.035)); #464951=CARTESIAN_POINT('',(37.838769,19.515366,0.)); #464952=CARTESIAN_POINT('Origin',(37.868491,19.5214,0.)); #464953=CARTESIAN_POINT('',(37.868491,19.5214,0.)); #464954=CARTESIAN_POINT('',(37.868491,19.5214,0.)); #464955=CARTESIAN_POINT('',(37.868491,19.5214,0.035)); #464956=CARTESIAN_POINT('',(37.868491,19.5214,0.035)); #464957=CARTESIAN_POINT('',(37.868491,19.5214,0.)); #464958=CARTESIAN_POINT('Origin',(38.983003,19.5214,0.)); #464959=CARTESIAN_POINT('',(38.983003,19.5214,0.)); #464960=CARTESIAN_POINT('',(38.983003,19.5214,0.)); #464961=CARTESIAN_POINT('',(38.983003,19.5214,0.035)); #464962=CARTESIAN_POINT('',(38.983003,19.5214,0.035)); #464963=CARTESIAN_POINT('',(38.983003,19.5214,0.)); #464964=CARTESIAN_POINT('Origin',(39.009334,19.516706,0.)); #464965=CARTESIAN_POINT('',(39.009334,19.516706,0.)); #464966=CARTESIAN_POINT('',(39.009334,19.516706,0.)); #464967=CARTESIAN_POINT('',(39.009334,19.516706,0.035)); #464968=CARTESIAN_POINT('',(39.009334,19.516706,0.035)); #464969=CARTESIAN_POINT('',(39.009334,19.516706,0.)); #464970=CARTESIAN_POINT('Origin',(39.035141,19.500772,0.)); #464971=CARTESIAN_POINT('',(39.035141,19.500772,0.)); #464972=CARTESIAN_POINT('',(39.035141,19.500772,0.)); #464973=CARTESIAN_POINT('',(39.035141,19.500772,0.035)); #464974=CARTESIAN_POINT('',(39.035141,19.500772,0.035)); #464975=CARTESIAN_POINT('',(39.035141,19.500772,0.)); #464976=CARTESIAN_POINT('Origin',(39.052684,19.476034,0.)); #464977=CARTESIAN_POINT('',(39.052684,19.476034,0.)); #464978=CARTESIAN_POINT('',(39.052684,19.476034,0.)); #464979=CARTESIAN_POINT('',(39.052684,19.476034,0.035)); #464980=CARTESIAN_POINT('',(39.052684,19.476034,0.035)); #464981=CARTESIAN_POINT('',(39.052684,19.476034,0.)); #464982=CARTESIAN_POINT('Origin',(39.059194,19.446416,0.)); #464983=CARTESIAN_POINT('',(39.059194,19.446416,0.)); #464984=CARTESIAN_POINT('',(39.059194,19.446416,0.)); #464985=CARTESIAN_POINT('',(39.059194,19.446416,0.035)); #464986=CARTESIAN_POINT('',(39.059194,19.446416,0.035)); #464987=CARTESIAN_POINT('',(39.059194,19.446416,0.)); #464988=CARTESIAN_POINT('Origin',(39.053634,19.416603,0.)); #464989=CARTESIAN_POINT('',(39.053634,19.416603,0.)); #464990=CARTESIAN_POINT('',(39.053634,19.416603,0.)); #464991=CARTESIAN_POINT('',(39.053634,19.416603,0.035)); #464992=CARTESIAN_POINT('',(39.053634,19.416603,0.035)); #464993=CARTESIAN_POINT('',(39.053634,19.416603,0.)); #464994=CARTESIAN_POINT('Origin',(39.036884,19.391319,0.)); #464995=CARTESIAN_POINT('',(39.036884,19.391319,0.)); #464996=CARTESIAN_POINT('',(39.036884,19.391319,0.)); #464997=CARTESIAN_POINT('',(39.036884,19.391319,0.035)); #464998=CARTESIAN_POINT('',(39.036884,19.391319,0.035)); #464999=CARTESIAN_POINT('',(39.036884,19.391319,0.)); #465000=CARTESIAN_POINT('Origin',(38.896484,19.250919,0.)); #465001=CARTESIAN_POINT('',(38.896484,19.250919,0.)); #465002=CARTESIAN_POINT('',(38.896484,19.250919,0.)); #465003=CARTESIAN_POINT('',(38.896484,19.250919,0.035)); #465004=CARTESIAN_POINT('',(38.896484,19.250919,0.035)); #465005=CARTESIAN_POINT('',(38.896484,19.250919,0.)); #465006=CARTESIAN_POINT('Origin',(38.872325,19.234634,0.)); #465007=CARTESIAN_POINT('',(38.872325,19.234634,0.)); #465008=CARTESIAN_POINT('',(38.872325,19.234634,0.)); #465009=CARTESIAN_POINT('',(38.872325,19.234634,0.035)); #465010=CARTESIAN_POINT('',(38.872325,19.234634,0.035)); #465011=CARTESIAN_POINT('',(38.872325,19.234634,0.)); #465012=CARTESIAN_POINT('Origin',(38.842603,19.2286,0.)); #465013=CARTESIAN_POINT('',(38.842603,19.2286,0.)); #465014=CARTESIAN_POINT('',(38.842603,19.2286,0.)); #465015=CARTESIAN_POINT('',(38.842603,19.2286,0.035)); #465016=CARTESIAN_POINT('',(38.842603,19.2286,0.035)); #465017=CARTESIAN_POINT('',(38.842603,19.2286,0.)); #465018=CARTESIAN_POINT('Origin',(38.405309,19.2286,0.)); #465019=CARTESIAN_POINT('',(38.405309,19.2286,0.)); #465020=CARTESIAN_POINT('',(38.405309,19.2286,0.)); #465021=CARTESIAN_POINT('',(38.405309,19.2286,0.035)); #465022=CARTESIAN_POINT('',(38.405309,19.2286,0.035)); #465023=CARTESIAN_POINT('',(38.405309,19.2286,0.)); #465024=CARTESIAN_POINT('Origin',(38.177628,19.000919,0.)); #465025=CARTESIAN_POINT('',(38.177628,19.000919,0.)); #465026=CARTESIAN_POINT('',(38.177628,19.000919,0.)); #465027=CARTESIAN_POINT('',(38.177628,19.000919,0.035)); #465028=CARTESIAN_POINT('',(38.177628,19.000919,0.035)); #465029=CARTESIAN_POINT('',(38.177628,19.000919,0.)); #465030=CARTESIAN_POINT('Origin',(38.153469,18.984634,0.)); #465031=CARTESIAN_POINT('',(38.153469,18.984634,0.)); #465032=CARTESIAN_POINT('',(38.153469,18.984634,0.)); #465033=CARTESIAN_POINT('',(38.153469,18.984634,0.035)); #465034=CARTESIAN_POINT('',(38.153469,18.984634,0.035)); #465035=CARTESIAN_POINT('',(38.153469,18.984634,0.)); #465036=CARTESIAN_POINT('Origin',(38.123747,18.9786,0.)); #465037=CARTESIAN_POINT('',(38.123747,18.9786,0.)); #465038=CARTESIAN_POINT('',(38.123747,18.9786,0.)); #465039=CARTESIAN_POINT('',(38.123747,18.9786,0.035)); #465040=CARTESIAN_POINT('',(38.123747,18.9786,0.035)); #465041=CARTESIAN_POINT('',(38.123747,18.9786,0.)); #465042=CARTESIAN_POINT('Origin',(37.868491,18.9786,0.)); #465043=CARTESIAN_POINT('',(37.868491,18.9786,0.)); #465044=CARTESIAN_POINT('',(37.868491,18.9786,0.)); #465045=CARTESIAN_POINT('',(37.868491,18.9786,0.035)); #465046=CARTESIAN_POINT('',(37.868491,18.9786,0.035)); #465047=CARTESIAN_POINT('',(37.868491,18.9786,0.)); #465048=CARTESIAN_POINT('Origin',(37.839894,18.984169,0.)); #465049=CARTESIAN_POINT('',(37.839894,18.984169,0.)); #465050=CARTESIAN_POINT('',(37.839894,18.984169,0.)); #465051=CARTESIAN_POINT('',(37.839894,18.984169,0.035)); #465052=CARTESIAN_POINT('',(37.839894,18.984169,0.035)); #465053=CARTESIAN_POINT('',(37.839894,18.984169,0.)); #465054=CARTESIAN_POINT('Origin',(37.814609,19.000919,0.)); #465055=CARTESIAN_POINT('',(37.814609,19.000919,0.)); #465056=CARTESIAN_POINT('',(37.814609,19.000919,0.)); #465057=CARTESIAN_POINT('',(37.814609,19.000919,0.035)); #465058=CARTESIAN_POINT('',(37.814609,19.000919,0.035)); #465059=CARTESIAN_POINT('',(37.814609,19.000919,0.)); #465060=CARTESIAN_POINT('Origin',(37.775628,19.0399,0.)); #465061=CARTESIAN_POINT('',(37.775628,19.0399,0.)); #465062=CARTESIAN_POINT('',(37.775628,19.0399,0.)); #465063=CARTESIAN_POINT('',(37.775628,19.0399,0.035)); #465064=CARTESIAN_POINT('',(37.775628,19.0399,0.035)); #465065=CARTESIAN_POINT('',(37.775628,19.0399,0.)); #465066=CARTESIAN_POINT('Origin',(37.024372,19.0399,0.)); #465067=CARTESIAN_POINT('',(37.024372,19.0399,0.)); #465068=CARTESIAN_POINT('',(37.024372,19.0399,0.)); #465069=CARTESIAN_POINT('',(37.024372,19.0399,0.035)); #465070=CARTESIAN_POINT('',(37.024372,19.0399,0.035)); #465071=CARTESIAN_POINT('',(37.024372,19.0399,0.)); #465072=CARTESIAN_POINT('Origin',(36.9351,18.950628,0.)); #465073=CARTESIAN_POINT('',(36.9351,18.950628,0.)); #465074=CARTESIAN_POINT('',(36.9351,18.950628,0.)); #465075=CARTESIAN_POINT('',(36.9351,18.950628,0.035)); #465076=CARTESIAN_POINT('',(36.9351,18.950628,0.035)); #465077=CARTESIAN_POINT('',(36.9351,18.950628,0.)); #465078=CARTESIAN_POINT('Origin',(36.9351,18.547303,0.)); #465079=CARTESIAN_POINT('',(36.9351,18.547303,0.)); #465080=CARTESIAN_POINT('',(36.9351,18.547303,0.)); #465081=CARTESIAN_POINT('',(36.9351,18.547303,0.035)); #465082=CARTESIAN_POINT('',(36.9351,18.547303,0.035)); #465083=CARTESIAN_POINT('',(36.9351,18.547303,0.)); #465084=CARTESIAN_POINT('Origin',(36.946391,18.530834,0.)); #465085=CARTESIAN_POINT('',(36.946391,18.530834,0.)); #465086=CARTESIAN_POINT('',(36.946391,18.530834,0.)); #465087=CARTESIAN_POINT('',(36.946391,18.530834,0.035)); #465088=CARTESIAN_POINT('',(36.946391,18.530834,0.035)); #465089=CARTESIAN_POINT('',(36.946391,18.530834,0.)); #465090=CARTESIAN_POINT('Origin',(36.9529,18.501216,0.)); #465091=CARTESIAN_POINT('',(36.9529,18.501216,0.)); #465092=CARTESIAN_POINT('',(36.9529,18.501216,0.)); #465093=CARTESIAN_POINT('',(36.9529,18.501216,0.035)); #465094=CARTESIAN_POINT('',(36.9529,18.501216,0.035)); #465095=CARTESIAN_POINT('',(36.9529,18.501216,0.)); #465096=CARTESIAN_POINT('Origin',(36.947341,18.471403,0.)); #465097=CARTESIAN_POINT('',(36.947341,18.471403,0.)); #465098=CARTESIAN_POINT('',(36.947341,18.471403,0.)); #465099=CARTESIAN_POINT('',(36.947341,18.471403,0.035)); #465100=CARTESIAN_POINT('',(36.947341,18.471403,0.035)); #465101=CARTESIAN_POINT('',(36.947341,18.471403,0.)); #465102=CARTESIAN_POINT('Origin',(36.9351,18.452925,0.)); #465103=CARTESIAN_POINT('',(36.9351,18.452925,0.)); #465104=CARTESIAN_POINT('',(36.9351,18.452925,0.)); #465105=CARTESIAN_POINT('',(36.9351,18.452925,0.035)); #465106=CARTESIAN_POINT('',(36.9351,18.452925,0.035)); #465107=CARTESIAN_POINT('',(36.9351,18.452925,0.)); #465108=CARTESIAN_POINT('Origin',(36.9351,18.047303,0.)); #465109=CARTESIAN_POINT('',(36.9351,18.047303,0.)); #465110=CARTESIAN_POINT('',(36.9351,18.047303,0.)); #465111=CARTESIAN_POINT('',(36.9351,18.047303,0.035)); #465112=CARTESIAN_POINT('',(36.9351,18.047303,0.035)); #465113=CARTESIAN_POINT('',(36.9351,18.047303,0.)); #465114=CARTESIAN_POINT('Origin',(36.946391,18.030834,0.)); #465115=CARTESIAN_POINT('',(36.946391,18.030834,0.)); #465116=CARTESIAN_POINT('',(36.946391,18.030834,0.)); #465117=CARTESIAN_POINT('',(36.946391,18.030834,0.035)); #465118=CARTESIAN_POINT('',(36.946391,18.030834,0.035)); #465119=CARTESIAN_POINT('',(36.946391,18.030834,0.)); #465120=CARTESIAN_POINT('Origin',(36.9529,18.001216,0.)); #465121=CARTESIAN_POINT('',(36.9529,18.001216,0.)); #465122=CARTESIAN_POINT('',(36.9529,18.001216,0.)); #465123=CARTESIAN_POINT('',(36.9529,18.001216,0.035)); #465124=CARTESIAN_POINT('',(36.9529,18.001216,0.035)); #465125=CARTESIAN_POINT('',(36.9529,18.001216,0.)); #465126=CARTESIAN_POINT('Origin',(36.947341,17.971403,0.)); #465127=CARTESIAN_POINT('',(36.947341,17.971403,0.)); #465128=CARTESIAN_POINT('',(36.947341,17.971403,0.)); #465129=CARTESIAN_POINT('',(36.947341,17.971403,0.035)); #465130=CARTESIAN_POINT('',(36.947341,17.971403,0.035)); #465131=CARTESIAN_POINT('',(36.947341,17.971403,0.)); #465132=CARTESIAN_POINT('Origin',(36.9351,17.952925,0.)); #465133=CARTESIAN_POINT('',(36.9351,17.952925,0.)); #465134=CARTESIAN_POINT('',(36.9351,17.952925,0.)); #465135=CARTESIAN_POINT('',(36.9351,17.952925,0.035)); #465136=CARTESIAN_POINT('',(36.9351,17.952925,0.035)); #465137=CARTESIAN_POINT('',(36.9351,17.952925,0.)); #465138=CARTESIAN_POINT('Origin',(36.9351,17.547303,0.)); #465139=CARTESIAN_POINT('',(36.9351,17.547303,0.)); #465140=CARTESIAN_POINT('',(36.9351,17.547303,0.)); #465141=CARTESIAN_POINT('',(36.9351,17.547303,0.035)); #465142=CARTESIAN_POINT('',(36.9351,17.547303,0.035)); #465143=CARTESIAN_POINT('',(36.9351,17.547303,0.)); #465144=CARTESIAN_POINT('Origin',(36.946391,17.530834,0.)); #465145=CARTESIAN_POINT('',(36.946391,17.530834,0.)); #465146=CARTESIAN_POINT('',(36.946391,17.530834,0.)); #465147=CARTESIAN_POINT('',(36.946391,17.530834,0.035)); #465148=CARTESIAN_POINT('',(36.946391,17.530834,0.035)); #465149=CARTESIAN_POINT('',(36.946391,17.530834,0.)); #465150=CARTESIAN_POINT('Origin',(36.9529,17.501216,0.)); #465151=CARTESIAN_POINT('',(36.9529,17.501216,0.)); #465152=CARTESIAN_POINT('',(36.9529,17.501216,0.)); #465153=CARTESIAN_POINT('',(36.9529,17.501216,0.035)); #465154=CARTESIAN_POINT('',(36.9529,17.501216,0.035)); #465155=CARTESIAN_POINT('',(36.9529,17.501216,0.)); #465156=CARTESIAN_POINT('Origin',(36.947341,17.471403,0.)); #465157=CARTESIAN_POINT('',(36.947341,17.471403,0.)); #465158=CARTESIAN_POINT('',(36.947341,17.471403,0.)); #465159=CARTESIAN_POINT('',(36.947341,17.471403,0.035)); #465160=CARTESIAN_POINT('',(36.947341,17.471403,0.035)); #465161=CARTESIAN_POINT('',(36.947341,17.471403,0.)); #465162=CARTESIAN_POINT('Origin',(36.9351,17.452925,0.)); #465163=CARTESIAN_POINT('',(36.9351,17.452925,0.)); #465164=CARTESIAN_POINT('',(36.9351,17.452925,0.)); #465165=CARTESIAN_POINT('',(36.9351,17.452925,0.035)); #465166=CARTESIAN_POINT('',(36.9351,17.452925,0.035)); #465167=CARTESIAN_POINT('',(36.9351,17.452925,0.)); #465168=CARTESIAN_POINT('Origin',(36.9351,17.047303,0.)); #465169=CARTESIAN_POINT('',(36.9351,17.047303,0.)); #465170=CARTESIAN_POINT('',(36.9351,17.047303,0.)); #465171=CARTESIAN_POINT('',(36.9351,17.047303,0.035)); #465172=CARTESIAN_POINT('',(36.9351,17.047303,0.035)); #465173=CARTESIAN_POINT('',(36.9351,17.047303,0.)); #465174=CARTESIAN_POINT('Origin',(36.946391,17.030834,0.)); #465175=CARTESIAN_POINT('',(36.946391,17.030834,0.)); #465176=CARTESIAN_POINT('',(36.946391,17.030834,0.)); #465177=CARTESIAN_POINT('',(36.946391,17.030834,0.035)); #465178=CARTESIAN_POINT('',(36.946391,17.030834,0.035)); #465179=CARTESIAN_POINT('',(36.946391,17.030834,0.)); #465180=CARTESIAN_POINT('Origin',(36.9529,17.001216,0.)); #465181=CARTESIAN_POINT('',(36.9529,17.001216,0.)); #465182=CARTESIAN_POINT('',(36.9529,17.001216,0.)); #465183=CARTESIAN_POINT('',(36.9529,17.001216,0.035)); #465184=CARTESIAN_POINT('',(36.9529,17.001216,0.035)); #465185=CARTESIAN_POINT('',(36.9529,17.001216,0.)); #465186=CARTESIAN_POINT('Origin',(36.947341,16.971403,0.)); #465187=CARTESIAN_POINT('',(36.947341,16.971403,0.)); #465188=CARTESIAN_POINT('',(36.947341,16.971403,0.)); #465189=CARTESIAN_POINT('',(36.947341,16.971403,0.035)); #465190=CARTESIAN_POINT('',(36.947341,16.971403,0.035)); #465191=CARTESIAN_POINT('',(36.947341,16.971403,0.)); #465192=CARTESIAN_POINT('Origin',(36.9351,16.952925,0.)); #465193=CARTESIAN_POINT('',(36.9351,16.952925,0.)); #465194=CARTESIAN_POINT('',(36.9351,16.952925,0.)); #465195=CARTESIAN_POINT('',(36.9351,16.952925,0.035)); #465196=CARTESIAN_POINT('',(36.9351,16.952925,0.035)); #465197=CARTESIAN_POINT('',(36.9351,16.952925,0.)); #465198=CARTESIAN_POINT('Origin',(36.9351,16.547303,0.)); #465199=CARTESIAN_POINT('',(36.9351,16.547303,0.)); #465200=CARTESIAN_POINT('',(36.9351,16.547303,0.)); #465201=CARTESIAN_POINT('',(36.9351,16.547303,0.035)); #465202=CARTESIAN_POINT('',(36.9351,16.547303,0.035)); #465203=CARTESIAN_POINT('',(36.9351,16.547303,0.)); #465204=CARTESIAN_POINT('Origin',(36.946391,16.530834,0.)); #465205=CARTESIAN_POINT('',(36.946391,16.530834,0.)); #465206=CARTESIAN_POINT('',(36.946391,16.530834,0.)); #465207=CARTESIAN_POINT('',(36.946391,16.530834,0.035)); #465208=CARTESIAN_POINT('',(36.946391,16.530834,0.035)); #465209=CARTESIAN_POINT('',(36.946391,16.530834,0.)); #465210=CARTESIAN_POINT('Origin',(36.9529,16.501216,0.)); #465211=CARTESIAN_POINT('',(36.9529,16.501216,0.)); #465212=CARTESIAN_POINT('',(36.9529,16.501216,0.)); #465213=CARTESIAN_POINT('',(36.9529,16.501216,0.035)); #465214=CARTESIAN_POINT('',(36.9529,16.501216,0.035)); #465215=CARTESIAN_POINT('',(36.9529,16.501216,0.)); #465216=CARTESIAN_POINT('Origin',(36.947341,16.471403,0.)); #465217=CARTESIAN_POINT('',(36.947341,16.471403,0.)); #465218=CARTESIAN_POINT('',(36.947341,16.471403,0.)); #465219=CARTESIAN_POINT('',(36.947341,16.471403,0.035)); #465220=CARTESIAN_POINT('',(36.947341,16.471403,0.035)); #465221=CARTESIAN_POINT('',(36.947341,16.471403,0.)); #465222=CARTESIAN_POINT('Origin',(36.9351,16.452925,0.)); #465223=CARTESIAN_POINT('',(36.9351,16.452925,0.)); #465224=CARTESIAN_POINT('',(36.9351,16.452925,0.)); #465225=CARTESIAN_POINT('',(36.9351,16.452925,0.035)); #465226=CARTESIAN_POINT('',(36.9351,16.452925,0.035)); #465227=CARTESIAN_POINT('',(36.9351,16.452925,0.)); #465228=CARTESIAN_POINT('Origin',(36.9351,16.047303,0.)); #465229=CARTESIAN_POINT('',(36.9351,16.047303,0.)); #465230=CARTESIAN_POINT('',(36.9351,16.047303,0.)); #465231=CARTESIAN_POINT('',(36.9351,16.047303,0.035)); #465232=CARTESIAN_POINT('',(36.9351,16.047303,0.035)); #465233=CARTESIAN_POINT('',(36.9351,16.047303,0.)); #465234=CARTESIAN_POINT('Origin',(36.946391,16.030834,0.)); #465235=CARTESIAN_POINT('',(36.946391,16.030834,0.)); #465236=CARTESIAN_POINT('',(36.946391,16.030834,0.)); #465237=CARTESIAN_POINT('',(36.946391,16.030834,0.035)); #465238=CARTESIAN_POINT('',(36.946391,16.030834,0.035)); #465239=CARTESIAN_POINT('',(36.946391,16.030834,0.)); #465240=CARTESIAN_POINT('Origin',(36.9529,16.001216,0.)); #465241=CARTESIAN_POINT('',(36.9529,16.001216,0.)); #465242=CARTESIAN_POINT('',(36.9529,16.001216,0.)); #465243=CARTESIAN_POINT('',(36.9529,16.001216,0.035)); #465244=CARTESIAN_POINT('',(36.9529,16.001216,0.035)); #465245=CARTESIAN_POINT('',(36.9529,16.001216,0.)); #465246=CARTESIAN_POINT('Origin',(36.947341,15.971403,0.)); #465247=CARTESIAN_POINT('',(36.947341,15.971403,0.)); #465248=CARTESIAN_POINT('',(36.947341,15.971403,0.)); #465249=CARTESIAN_POINT('',(36.947341,15.971403,0.035)); #465250=CARTESIAN_POINT('',(36.947341,15.971403,0.035)); #465251=CARTESIAN_POINT('',(36.947341,15.971403,0.)); #465252=CARTESIAN_POINT('Origin',(36.9351,15.952925,0.)); #465253=CARTESIAN_POINT('',(36.9351,15.952925,0.)); #465254=CARTESIAN_POINT('',(36.9351,15.952925,0.)); #465255=CARTESIAN_POINT('',(36.9351,15.952925,0.035)); #465256=CARTESIAN_POINT('',(36.9351,15.952925,0.035)); #465257=CARTESIAN_POINT('',(36.9351,15.952925,0.)); #465258=CARTESIAN_POINT('Origin',(36.9351,15.547303,0.)); #465259=CARTESIAN_POINT('',(36.9351,15.547303,0.)); #465260=CARTESIAN_POINT('',(36.9351,15.547303,0.)); #465261=CARTESIAN_POINT('',(36.9351,15.547303,0.035)); #465262=CARTESIAN_POINT('',(36.9351,15.547303,0.035)); #465263=CARTESIAN_POINT('',(36.9351,15.547303,0.)); #465264=CARTESIAN_POINT('Origin',(36.946391,15.530834,0.)); #465265=CARTESIAN_POINT('',(36.946391,15.530834,0.)); #465266=CARTESIAN_POINT('',(36.946391,15.530834,0.)); #465267=CARTESIAN_POINT('',(36.946391,15.530834,0.035)); #465268=CARTESIAN_POINT('',(36.946391,15.530834,0.035)); #465269=CARTESIAN_POINT('',(36.946391,15.530834,0.)); #465270=CARTESIAN_POINT('Origin',(36.9529,15.501216,0.)); #465271=CARTESIAN_POINT('',(36.9529,15.501216,0.)); #465272=CARTESIAN_POINT('',(36.9529,15.501216,0.)); #465273=CARTESIAN_POINT('',(36.9529,15.501216,0.035)); #465274=CARTESIAN_POINT('',(36.9529,15.501216,0.035)); #465275=CARTESIAN_POINT('',(36.9529,15.501216,0.)); #465276=CARTESIAN_POINT('Origin',(36.947341,15.471403,0.)); #465277=CARTESIAN_POINT('',(36.947341,15.471403,0.)); #465278=CARTESIAN_POINT('',(36.947341,15.471403,0.)); #465279=CARTESIAN_POINT('',(36.947341,15.471403,0.035)); #465280=CARTESIAN_POINT('',(36.947341,15.471403,0.035)); #465281=CARTESIAN_POINT('',(36.947341,15.471403,0.)); #465282=CARTESIAN_POINT('Origin',(36.9351,15.452925,0.)); #465283=CARTESIAN_POINT('',(36.9351,15.452925,0.)); #465284=CARTESIAN_POINT('',(36.9351,15.452925,0.)); #465285=CARTESIAN_POINT('',(36.9351,15.452925,0.035)); #465286=CARTESIAN_POINT('',(36.9351,15.452925,0.035)); #465287=CARTESIAN_POINT('',(36.9351,15.452925,0.)); #465288=CARTESIAN_POINT('Origin',(36.9351,15.047303,0.)); #465289=CARTESIAN_POINT('',(36.9351,15.047303,0.)); #465290=CARTESIAN_POINT('',(36.9351,15.047303,0.)); #465291=CARTESIAN_POINT('',(36.9351,15.047303,0.035)); #465292=CARTESIAN_POINT('',(36.9351,15.047303,0.035)); #465293=CARTESIAN_POINT('',(36.9351,15.047303,0.)); #465294=CARTESIAN_POINT('Origin',(36.946391,15.030834,0.)); #465295=CARTESIAN_POINT('',(36.946391,15.030834,0.)); #465296=CARTESIAN_POINT('',(36.946391,15.030834,0.)); #465297=CARTESIAN_POINT('',(36.946391,15.030834,0.035)); #465298=CARTESIAN_POINT('',(36.946391,15.030834,0.035)); #465299=CARTESIAN_POINT('',(36.946391,15.030834,0.)); #465300=CARTESIAN_POINT('Origin',(36.9529,15.001216,0.)); #465301=CARTESIAN_POINT('',(36.9529,15.001216,0.)); #465302=CARTESIAN_POINT('',(36.9529,15.001216,0.)); #465303=CARTESIAN_POINT('',(36.9529,15.001216,0.035)); #465304=CARTESIAN_POINT('',(36.9529,15.001216,0.035)); #465305=CARTESIAN_POINT('',(36.9529,15.001216,0.)); #465306=CARTESIAN_POINT('Origin',(36.947341,14.971403,0.)); #465307=CARTESIAN_POINT('',(36.947341,14.971403,0.)); #465308=CARTESIAN_POINT('',(36.947341,14.971403,0.)); #465309=CARTESIAN_POINT('',(36.947341,14.971403,0.035)); #465310=CARTESIAN_POINT('',(36.947341,14.971403,0.035)); #465311=CARTESIAN_POINT('',(36.947341,14.971403,0.)); #465312=CARTESIAN_POINT('Origin',(36.9351,14.952925,0.)); #465313=CARTESIAN_POINT('',(36.9351,14.952925,0.)); #465314=CARTESIAN_POINT('',(36.9351,14.952925,0.)); #465315=CARTESIAN_POINT('',(36.9351,14.952925,0.035)); #465316=CARTESIAN_POINT('',(36.9351,14.952925,0.035)); #465317=CARTESIAN_POINT('',(36.9351,14.952925,0.)); #465318=CARTESIAN_POINT('Origin',(36.9351,14.547303,0.)); #465319=CARTESIAN_POINT('',(36.9351,14.547303,0.)); #465320=CARTESIAN_POINT('',(36.9351,14.547303,0.)); #465321=CARTESIAN_POINT('',(36.9351,14.547303,0.035)); #465322=CARTESIAN_POINT('',(36.9351,14.547303,0.035)); #465323=CARTESIAN_POINT('',(36.9351,14.547303,0.)); #465324=CARTESIAN_POINT('Origin',(36.946391,14.530834,0.)); #465325=CARTESIAN_POINT('',(36.946391,14.530834,0.)); #465326=CARTESIAN_POINT('',(36.946391,14.530834,0.)); #465327=CARTESIAN_POINT('',(36.946391,14.530834,0.035)); #465328=CARTESIAN_POINT('',(36.946391,14.530834,0.035)); #465329=CARTESIAN_POINT('',(36.946391,14.530834,0.)); #465330=CARTESIAN_POINT('Origin',(36.9529,14.501216,0.)); #465331=CARTESIAN_POINT('',(36.9529,14.501216,0.)); #465332=CARTESIAN_POINT('',(36.9529,14.501216,0.)); #465333=CARTESIAN_POINT('',(36.9529,14.501216,0.035)); #465334=CARTESIAN_POINT('',(36.9529,14.501216,0.035)); #465335=CARTESIAN_POINT('',(36.9529,14.501216,0.)); #465336=CARTESIAN_POINT('Origin',(36.947341,14.471403,0.)); #465337=CARTESIAN_POINT('',(36.947341,14.471403,0.)); #465338=CARTESIAN_POINT('',(36.947341,14.471403,0.)); #465339=CARTESIAN_POINT('',(36.947341,14.471403,0.035)); #465340=CARTESIAN_POINT('',(36.947341,14.471403,0.035)); #465341=CARTESIAN_POINT('',(36.947341,14.471403,0.)); #465342=CARTESIAN_POINT('Origin',(36.9351,14.452925,0.)); #465343=CARTESIAN_POINT('',(36.9351,14.452925,0.)); #465344=CARTESIAN_POINT('',(36.9351,14.452925,0.)); #465345=CARTESIAN_POINT('',(36.9351,14.452925,0.035)); #465346=CARTESIAN_POINT('',(36.9351,14.452925,0.035)); #465347=CARTESIAN_POINT('',(36.9351,14.452925,0.)); #465348=CARTESIAN_POINT('Origin',(36.9351,14.169181,0.)); #465349=CARTESIAN_POINT('',(36.9351,14.169181,0.)); #465350=CARTESIAN_POINT('',(36.9351,14.169181,0.)); #465351=CARTESIAN_POINT('',(36.9351,14.169181,0.035)); #465352=CARTESIAN_POINT('',(36.9351,14.169181,0.035)); #465353=CARTESIAN_POINT('',(36.9351,14.169181,0.)); #465354=CARTESIAN_POINT('Origin',(36.933344,14.152916,0.)); #465355=CARTESIAN_POINT('',(36.933344,14.152916,0.)); #465356=CARTESIAN_POINT('',(36.933344,14.152916,0.)); #465357=CARTESIAN_POINT('',(36.933344,14.152916,0.035)); #465358=CARTESIAN_POINT('',(36.933344,14.152916,0.035)); #465359=CARTESIAN_POINT('',(36.933344,14.152916,0.)); #465360=CARTESIAN_POINT('Origin',(36.921103,14.125169,0.)); #465361=CARTESIAN_POINT('',(36.921103,14.125169,0.)); #465362=CARTESIAN_POINT('',(36.921103,14.125169,0.)); #465363=CARTESIAN_POINT('',(36.921103,14.125169,0.035)); #465364=CARTESIAN_POINT('',(36.921103,14.125169,0.035)); #465365=CARTESIAN_POINT('',(36.921103,14.125169,0.)); #465366=CARTESIAN_POINT('Origin',(36.911691,14.111866,0.)); #465367=CARTESIAN_POINT('',(36.911691,14.111866,0.)); #465368=CARTESIAN_POINT('',(36.911691,14.111866,0.)); #465369=CARTESIAN_POINT('',(36.911691,14.111866,0.035)); #465370=CARTESIAN_POINT('',(36.911691,14.111866,0.035)); #465371=CARTESIAN_POINT('',(36.911691,14.111866,0.)); #465372=CARTESIAN_POINT('Origin',(36.911397,14.111178,0.)); #465373=CARTESIAN_POINT('',(36.911397,14.111178,0.)); #465374=CARTESIAN_POINT('',(36.911397,14.111178,0.)); #465375=CARTESIAN_POINT('',(36.911397,14.111178,0.035)); #465376=CARTESIAN_POINT('',(36.911397,14.111178,0.035)); #465377=CARTESIAN_POINT('',(36.911397,14.111178,0.)); #465378=CARTESIAN_POINT('Origin',(36.896053,14.088084,0.)); #465379=CARTESIAN_POINT('',(36.896053,14.088084,0.)); #465380=CARTESIAN_POINT('',(36.896053,14.088084,0.)); #465381=CARTESIAN_POINT('',(36.896053,14.088084,0.035)); #465382=CARTESIAN_POINT('',(36.896053,14.088084,0.035)); #465383=CARTESIAN_POINT('',(36.896053,14.088084,0.)); #465384=CARTESIAN_POINT('Origin',(36.871041,14.070934,0.)); #465385=CARTESIAN_POINT('',(36.871041,14.070934,0.)); #465386=CARTESIAN_POINT('',(36.871041,14.070934,0.)); #465387=CARTESIAN_POINT('',(36.871041,14.070934,0.035)); #465388=CARTESIAN_POINT('',(36.871041,14.070934,0.035)); #465389=CARTESIAN_POINT('',(36.871041,14.070934,0.)); #465390=CARTESIAN_POINT('Origin',(36.841319,14.0649,0.)); #465391=CARTESIAN_POINT('',(36.841319,14.0649,0.)); #465392=CARTESIAN_POINT('',(36.841319,14.0649,0.)); #465393=CARTESIAN_POINT('',(36.841319,14.0649,0.035)); #465394=CARTESIAN_POINT('',(36.841319,14.0649,0.035)); #465395=CARTESIAN_POINT('',(36.841319,14.0649,0.)); #465396=CARTESIAN_POINT('Origin',(36.547303,14.0649,0.)); #465397=CARTESIAN_POINT('',(36.547303,14.0649,0.)); #465398=CARTESIAN_POINT('',(36.547303,14.0649,0.)); #465399=CARTESIAN_POINT('',(36.547303,14.0649,0.035)); #465400=CARTESIAN_POINT('',(36.547303,14.0649,0.035)); #465401=CARTESIAN_POINT('',(36.547303,14.0649,0.)); #465402=CARTESIAN_POINT('Origin',(36.530834,14.053609,0.)); #465403=CARTESIAN_POINT('',(36.530834,14.053609,0.)); #465404=CARTESIAN_POINT('',(36.530834,14.053609,0.035)); #465405=CARTESIAN_POINT('Origin',(31.9548991680522,18.3688358045886,0.035)); #465406=CARTESIAN_POINT('Origin',(31.9548991680522,18.3688358045886,0.)); #465407=CARTESIAN_POINT('Origin',(38.001216,37.592863,0.)); #465408=CARTESIAN_POINT('',(38.001216,37.592863,0.)); #465409=CARTESIAN_POINT('',(38.030834,37.599372,0.)); #465410=CARTESIAN_POINT('',(38.001216,37.592863,0.)); #465411=CARTESIAN_POINT('',(38.030834,37.599372,0.035)); #465412=CARTESIAN_POINT('',(38.030834,37.599372,0.)); #465413=CARTESIAN_POINT('',(38.001216,37.592863,0.035)); #465414=CARTESIAN_POINT('',(38.001216,37.592863,0.035)); #465415=CARTESIAN_POINT('',(38.001216,37.592863,0.)); #465416=CARTESIAN_POINT('Origin',(37.971403,37.598422,0.)); #465417=CARTESIAN_POINT('',(37.971403,37.598422,0.)); #465418=CARTESIAN_POINT('',(37.971403,37.598422,0.)); #465419=CARTESIAN_POINT('',(37.971403,37.598422,0.035)); #465420=CARTESIAN_POINT('',(37.971403,37.598422,0.035)); #465421=CARTESIAN_POINT('',(37.971403,37.598422,0.)); #465422=CARTESIAN_POINT('Origin',(37.946119,37.615172,0.)); #465423=CARTESIAN_POINT('',(37.946119,37.615172,0.)); #465424=CARTESIAN_POINT('',(37.946119,37.615172,0.)); #465425=CARTESIAN_POINT('',(37.946119,37.615172,0.035)); #465426=CARTESIAN_POINT('',(37.946119,37.615172,0.035)); #465427=CARTESIAN_POINT('',(37.946119,37.615172,0.)); #465428=CARTESIAN_POINT('Origin',(37.831819,37.729472,0.)); #465429=CARTESIAN_POINT('',(37.831819,37.729472,0.)); #465430=CARTESIAN_POINT('',(37.831819,37.729472,0.)); #465431=CARTESIAN_POINT('',(37.831819,37.729472,0.035)); #465432=CARTESIAN_POINT('',(37.831819,37.729472,0.035)); #465433=CARTESIAN_POINT('',(37.831819,37.729472,0.)); #465434=CARTESIAN_POINT('Origin',(37.815534,37.753631,0.)); #465435=CARTESIAN_POINT('',(37.815534,37.753631,0.)); #465436=CARTESIAN_POINT('',(37.815534,37.753631,0.)); #465437=CARTESIAN_POINT('',(37.815534,37.753631,0.035)); #465438=CARTESIAN_POINT('',(37.815534,37.753631,0.035)); #465439=CARTESIAN_POINT('',(37.815534,37.753631,0.)); #465440=CARTESIAN_POINT('Origin',(37.8095,37.783353,0.)); #465441=CARTESIAN_POINT('',(37.8095,37.783353,0.)); #465442=CARTESIAN_POINT('',(37.8095,37.783353,0.)); #465443=CARTESIAN_POINT('',(37.8095,37.783353,0.035)); #465444=CARTESIAN_POINT('',(37.8095,37.783353,0.035)); #465445=CARTESIAN_POINT('',(37.8095,37.783353,0.)); #465446=CARTESIAN_POINT('Origin',(37.8095,38.216647,0.)); #465447=CARTESIAN_POINT('',(37.8095,38.216647,0.)); #465448=CARTESIAN_POINT('',(37.8095,38.216647,0.)); #465449=CARTESIAN_POINT('',(37.8095,38.216647,0.035)); #465450=CARTESIAN_POINT('',(37.8095,38.216647,0.035)); #465451=CARTESIAN_POINT('',(37.8095,38.216647,0.)); #465452=CARTESIAN_POINT('Origin',(37.815069,38.245244,0.)); #465453=CARTESIAN_POINT('',(37.815069,38.245244,0.)); #465454=CARTESIAN_POINT('',(37.815069,38.245244,0.)); #465455=CARTESIAN_POINT('',(37.815069,38.245244,0.035)); #465456=CARTESIAN_POINT('',(37.815069,38.245244,0.035)); #465457=CARTESIAN_POINT('',(37.815069,38.245244,0.)); #465458=CARTESIAN_POINT('Origin',(37.831819,38.270528,0.)); #465459=CARTESIAN_POINT('',(37.831819,38.270528,0.)); #465460=CARTESIAN_POINT('',(37.831819,38.270528,0.)); #465461=CARTESIAN_POINT('',(37.831819,38.270528,0.035)); #465462=CARTESIAN_POINT('',(37.831819,38.270528,0.035)); #465463=CARTESIAN_POINT('',(37.831819,38.270528,0.)); #465464=CARTESIAN_POINT('Origin',(38.060419,38.499128,0.)); #465465=CARTESIAN_POINT('',(38.060419,38.499128,0.)); #465466=CARTESIAN_POINT('',(38.060419,38.499128,0.)); #465467=CARTESIAN_POINT('',(38.060419,38.499128,0.035)); #465468=CARTESIAN_POINT('',(38.060419,38.499128,0.035)); #465469=CARTESIAN_POINT('',(38.060419,38.499128,0.)); #465470=CARTESIAN_POINT('Origin',(38.082356,38.514428,0.)); #465471=CARTESIAN_POINT('',(38.082356,38.514428,0.)); #465472=CARTESIAN_POINT('',(38.082356,38.514428,0.)); #465473=CARTESIAN_POINT('',(38.082356,38.514428,0.035)); #465474=CARTESIAN_POINT('',(38.082356,38.514428,0.035)); #465475=CARTESIAN_POINT('',(38.082356,38.514428,0.)); #465476=CARTESIAN_POINT('Origin',(38.111872,38.521409,0.)); #465477=CARTESIAN_POINT('',(38.111872,38.521409,0.)); #465478=CARTESIAN_POINT('',(38.111872,38.521409,0.)); #465479=CARTESIAN_POINT('',(38.111872,38.521409,0.035)); #465480=CARTESIAN_POINT('',(38.111872,38.521409,0.035)); #465481=CARTESIAN_POINT('',(38.111872,38.521409,0.)); #465482=CARTESIAN_POINT('Origin',(38.141769,38.516325,0.)); #465483=CARTESIAN_POINT('',(38.141769,38.516325,0.)); #465484=CARTESIAN_POINT('',(38.141769,38.516325,0.)); #465485=CARTESIAN_POINT('',(38.141769,38.516325,0.035)); #465486=CARTESIAN_POINT('',(38.141769,38.516325,0.035)); #465487=CARTESIAN_POINT('',(38.141769,38.516325,0.)); #465488=CARTESIAN_POINT('Origin',(38.167316,38.499981,0.)); #465489=CARTESIAN_POINT('',(38.167316,38.499981,0.)); #465490=CARTESIAN_POINT('',(38.167316,38.499981,0.)); #465491=CARTESIAN_POINT('',(38.167316,38.499981,0.035)); #465492=CARTESIAN_POINT('',(38.167316,38.499981,0.035)); #465493=CARTESIAN_POINT('',(38.167316,38.499981,0.)); #465494=CARTESIAN_POINT('Origin',(38.184466,38.474969,0.)); #465495=CARTESIAN_POINT('',(38.184466,38.474969,0.)); #465496=CARTESIAN_POINT('',(38.184466,38.474969,0.)); #465497=CARTESIAN_POINT('',(38.184466,38.474969,0.035)); #465498=CARTESIAN_POINT('',(38.184466,38.474969,0.035)); #465499=CARTESIAN_POINT('',(38.184466,38.474969,0.)); #465500=CARTESIAN_POINT('Origin',(38.1905,38.445247,0.)); #465501=CARTESIAN_POINT('',(38.1905,38.445247,0.)); #465502=CARTESIAN_POINT('',(38.1905,38.445247,0.)); #465503=CARTESIAN_POINT('',(38.1905,38.445247,0.035)); #465504=CARTESIAN_POINT('',(38.1905,38.445247,0.035)); #465505=CARTESIAN_POINT('',(38.1905,38.445247,0.)); #465506=CARTESIAN_POINT('Origin',(38.1905,37.783353,0.)); #465507=CARTESIAN_POINT('',(38.1905,37.783353,0.)); #465508=CARTESIAN_POINT('',(38.1905,37.783353,0.)); #465509=CARTESIAN_POINT('',(38.1905,37.783353,0.035)); #465510=CARTESIAN_POINT('',(38.1905,37.783353,0.035)); #465511=CARTESIAN_POINT('',(38.1905,37.783353,0.)); #465512=CARTESIAN_POINT('Origin',(38.184931,37.754756,0.)); #465513=CARTESIAN_POINT('',(38.184931,37.754756,0.)); #465514=CARTESIAN_POINT('',(38.184931,37.754756,0.)); #465515=CARTESIAN_POINT('',(38.184931,37.754756,0.035)); #465516=CARTESIAN_POINT('',(38.184931,37.754756,0.035)); #465517=CARTESIAN_POINT('',(38.184931,37.754756,0.)); #465518=CARTESIAN_POINT('Origin',(38.168181,37.729472,0.)); #465519=CARTESIAN_POINT('',(38.168181,37.729472,0.)); #465520=CARTESIAN_POINT('',(38.168181,37.729472,0.)); #465521=CARTESIAN_POINT('',(38.168181,37.729472,0.035)); #465522=CARTESIAN_POINT('',(38.168181,37.729472,0.035)); #465523=CARTESIAN_POINT('',(38.168181,37.729472,0.)); #465524=CARTESIAN_POINT('Origin',(38.053881,37.615172,0.)); #465525=CARTESIAN_POINT('',(38.053881,37.615172,0.)); #465526=CARTESIAN_POINT('',(38.053881,37.615172,0.)); #465527=CARTESIAN_POINT('',(38.053881,37.615172,0.035)); #465528=CARTESIAN_POINT('',(38.053881,37.615172,0.035)); #465529=CARTESIAN_POINT('',(38.053881,37.615172,0.)); #465530=CARTESIAN_POINT('Origin',(38.030834,37.599372,0.)); #465531=CARTESIAN_POINT('',(38.030834,37.599372,0.)); #465532=CARTESIAN_POINT('',(38.030834,37.599372,0.035)); #465533=CARTESIAN_POINT('Origin',(38.017911222425,38.0547094957694,0.035)); #465534=CARTESIAN_POINT('Origin',(38.017911222425,38.0547094957694,0.)); #465535=CARTESIAN_POINT('Origin',(38.842603,18.4786,0.)); #465536=CARTESIAN_POINT('',(38.842603,18.4786,0.)); #465537=CARTESIAN_POINT('',(38.872325,18.484634,0.)); #465538=CARTESIAN_POINT('',(38.842603,18.4786,0.)); #465539=CARTESIAN_POINT('',(38.872325,18.484634,0.035)); #465540=CARTESIAN_POINT('',(38.872325,18.484634,0.)); #465541=CARTESIAN_POINT('',(38.842603,18.4786,0.035)); #465542=CARTESIAN_POINT('',(38.842603,18.4786,0.035)); #465543=CARTESIAN_POINT('',(38.842603,18.4786,0.)); #465544=CARTESIAN_POINT('Origin',(38.485853,18.4786,0.)); #465545=CARTESIAN_POINT('',(38.485853,18.4786,0.)); #465546=CARTESIAN_POINT('',(38.485853,18.4786,0.)); #465547=CARTESIAN_POINT('',(38.485853,18.4786,0.035)); #465548=CARTESIAN_POINT('',(38.485853,18.4786,0.035)); #465549=CARTESIAN_POINT('',(38.485853,18.4786,0.)); #465550=CARTESIAN_POINT('Origin',(38.459522,18.483294,0.)); #465551=CARTESIAN_POINT('',(38.459522,18.483294,0.)); #465552=CARTESIAN_POINT('',(38.459522,18.483294,0.)); #465553=CARTESIAN_POINT('',(38.459522,18.483294,0.035)); #465554=CARTESIAN_POINT('',(38.459522,18.483294,0.035)); #465555=CARTESIAN_POINT('',(38.459522,18.483294,0.)); #465556=CARTESIAN_POINT('Origin',(38.433716,18.499228,0.)); #465557=CARTESIAN_POINT('',(38.433716,18.499228,0.)); #465558=CARTESIAN_POINT('',(38.433716,18.499228,0.)); #465559=CARTESIAN_POINT('',(38.433716,18.499228,0.035)); #465560=CARTESIAN_POINT('',(38.433716,18.499228,0.035)); #465561=CARTESIAN_POINT('',(38.433716,18.499228,0.)); #465562=CARTESIAN_POINT('Origin',(38.416172,18.523966,0.)); #465563=CARTESIAN_POINT('',(38.416172,18.523966,0.)); #465564=CARTESIAN_POINT('',(38.416172,18.523966,0.)); #465565=CARTESIAN_POINT('',(38.416172,18.523966,0.035)); #465566=CARTESIAN_POINT('',(38.416172,18.523966,0.035)); #465567=CARTESIAN_POINT('',(38.416172,18.523966,0.)); #465568=CARTESIAN_POINT('Origin',(38.409663,18.553584,0.)); #465569=CARTESIAN_POINT('',(38.409663,18.553584,0.)); #465570=CARTESIAN_POINT('',(38.409663,18.553584,0.)); #465571=CARTESIAN_POINT('',(38.409663,18.553584,0.035)); #465572=CARTESIAN_POINT('',(38.409663,18.553584,0.035)); #465573=CARTESIAN_POINT('',(38.409663,18.553584,0.)); #465574=CARTESIAN_POINT('Origin',(38.415222,18.583397,0.)); #465575=CARTESIAN_POINT('',(38.415222,18.583397,0.)); #465576=CARTESIAN_POINT('',(38.415222,18.583397,0.)); #465577=CARTESIAN_POINT('',(38.415222,18.583397,0.035)); #465578=CARTESIAN_POINT('',(38.415222,18.583397,0.035)); #465579=CARTESIAN_POINT('',(38.415222,18.583397,0.)); #465580=CARTESIAN_POINT('Origin',(38.431972,18.608681,0.)); #465581=CARTESIAN_POINT('',(38.431972,18.608681,0.)); #465582=CARTESIAN_POINT('',(38.431972,18.608681,0.)); #465583=CARTESIAN_POINT('',(38.431972,18.608681,0.035)); #465584=CARTESIAN_POINT('',(38.431972,18.608681,0.035)); #465585=CARTESIAN_POINT('',(38.431972,18.608681,0.)); #465586=CARTESIAN_POINT('Origin',(38.572372,18.749081,0.)); #465587=CARTESIAN_POINT('',(38.572372,18.749081,0.)); #465588=CARTESIAN_POINT('',(38.572372,18.749081,0.)); #465589=CARTESIAN_POINT('',(38.572372,18.749081,0.035)); #465590=CARTESIAN_POINT('',(38.572372,18.749081,0.035)); #465591=CARTESIAN_POINT('',(38.572372,18.749081,0.)); #465592=CARTESIAN_POINT('Origin',(38.596531,18.765366,0.)); #465593=CARTESIAN_POINT('',(38.596531,18.765366,0.)); #465594=CARTESIAN_POINT('',(38.596531,18.765366,0.)); #465595=CARTESIAN_POINT('',(38.596531,18.765366,0.035)); #465596=CARTESIAN_POINT('',(38.596531,18.765366,0.035)); #465597=CARTESIAN_POINT('',(38.596531,18.765366,0.)); #465598=CARTESIAN_POINT('Origin',(38.626253,18.7714,0.)); #465599=CARTESIAN_POINT('',(38.626253,18.7714,0.)); #465600=CARTESIAN_POINT('',(38.626253,18.7714,0.)); #465601=CARTESIAN_POINT('',(38.626253,18.7714,0.035)); #465602=CARTESIAN_POINT('',(38.626253,18.7714,0.035)); #465603=CARTESIAN_POINT('',(38.626253,18.7714,0.)); #465604=CARTESIAN_POINT('Origin',(38.842603,18.7714,0.)); #465605=CARTESIAN_POINT('',(38.842603,18.7714,0.)); #465606=CARTESIAN_POINT('',(38.842603,18.7714,0.)); #465607=CARTESIAN_POINT('',(38.842603,18.7714,0.035)); #465608=CARTESIAN_POINT('',(38.842603,18.7714,0.035)); #465609=CARTESIAN_POINT('',(38.842603,18.7714,0.)); #465610=CARTESIAN_POINT('Origin',(38.8712,18.765831,0.)); #465611=CARTESIAN_POINT('',(38.8712,18.765831,0.)); #465612=CARTESIAN_POINT('',(38.8712,18.765831,0.)); #465613=CARTESIAN_POINT('',(38.8712,18.765831,0.035)); #465614=CARTESIAN_POINT('',(38.8712,18.765831,0.035)); #465615=CARTESIAN_POINT('',(38.8712,18.765831,0.)); #465616=CARTESIAN_POINT('Origin',(38.896484,18.749081,0.)); #465617=CARTESIAN_POINT('',(38.896484,18.749081,0.)); #465618=CARTESIAN_POINT('',(38.896484,18.749081,0.)); #465619=CARTESIAN_POINT('',(38.896484,18.749081,0.035)); #465620=CARTESIAN_POINT('',(38.896484,18.749081,0.035)); #465621=CARTESIAN_POINT('',(38.896484,18.749081,0.)); #465622=CARTESIAN_POINT('Origin',(38.966684,18.678881,0.)); #465623=CARTESIAN_POINT('',(38.966684,18.678881,0.)); #465624=CARTESIAN_POINT('',(38.966684,18.678881,0.)); #465625=CARTESIAN_POINT('',(38.966684,18.678881,0.035)); #465626=CARTESIAN_POINT('',(38.966684,18.678881,0.035)); #465627=CARTESIAN_POINT('',(38.966684,18.678881,0.)); #465628=CARTESIAN_POINT('Origin',(38.982484,18.655834,0.)); #465629=CARTESIAN_POINT('',(38.982484,18.655834,0.)); #465630=CARTESIAN_POINT('',(38.982484,18.655834,0.)); #465631=CARTESIAN_POINT('',(38.982484,18.655834,0.035)); #465632=CARTESIAN_POINT('',(38.982484,18.655834,0.035)); #465633=CARTESIAN_POINT('',(38.982484,18.655834,0.)); #465634=CARTESIAN_POINT('Origin',(38.988994,18.626216,0.)); #465635=CARTESIAN_POINT('',(38.988994,18.626216,0.)); #465636=CARTESIAN_POINT('',(38.988994,18.626216,0.)); #465637=CARTESIAN_POINT('',(38.988994,18.626216,0.035)); #465638=CARTESIAN_POINT('',(38.988994,18.626216,0.035)); #465639=CARTESIAN_POINT('',(38.988994,18.626216,0.)); #465640=CARTESIAN_POINT('Origin',(38.983434,18.596403,0.)); #465641=CARTESIAN_POINT('',(38.983434,18.596403,0.)); #465642=CARTESIAN_POINT('',(38.983434,18.596403,0.)); #465643=CARTESIAN_POINT('',(38.983434,18.596403,0.035)); #465644=CARTESIAN_POINT('',(38.983434,18.596403,0.035)); #465645=CARTESIAN_POINT('',(38.983434,18.596403,0.)); #465646=CARTESIAN_POINT('Origin',(38.966684,18.571119,0.)); #465647=CARTESIAN_POINT('',(38.966684,18.571119,0.)); #465648=CARTESIAN_POINT('',(38.966684,18.571119,0.)); #465649=CARTESIAN_POINT('',(38.966684,18.571119,0.035)); #465650=CARTESIAN_POINT('',(38.966684,18.571119,0.035)); #465651=CARTESIAN_POINT('',(38.966684,18.571119,0.)); #465652=CARTESIAN_POINT('Origin',(38.896484,18.500919,0.)); #465653=CARTESIAN_POINT('',(38.896484,18.500919,0.)); #465654=CARTESIAN_POINT('',(38.896484,18.500919,0.)); #465655=CARTESIAN_POINT('',(38.896484,18.500919,0.035)); #465656=CARTESIAN_POINT('',(38.896484,18.500919,0.035)); #465657=CARTESIAN_POINT('',(38.896484,18.500919,0.)); #465658=CARTESIAN_POINT('Origin',(38.872325,18.484634,0.)); #465659=CARTESIAN_POINT('',(38.872325,18.484634,0.)); #465660=CARTESIAN_POINT('',(38.872325,18.484634,0.035)); #465661=CARTESIAN_POINT('Origin',(38.7007434002236,18.6124083912077,0.035)); #465662=CARTESIAN_POINT('Origin',(38.7007434002236,18.6124083912077,0.)); #465663=CARTESIAN_POINT('Origin',(36.732178,31.7493,0.)); #465664=CARTESIAN_POINT('',(36.732178,31.7493,0.)); #465665=CARTESIAN_POINT('',(36.7619,31.755334,0.)); #465666=CARTESIAN_POINT('',(36.732178,31.7493,0.)); #465667=CARTESIAN_POINT('',(36.7619,31.755334,0.035)); #465668=CARTESIAN_POINT('',(36.7619,31.755334,0.)); #465669=CARTESIAN_POINT('',(36.732178,31.7493,0.035)); #465670=CARTESIAN_POINT('',(36.732178,31.7493,0.035)); #465671=CARTESIAN_POINT('',(36.732178,31.7493,0.)); #465672=CARTESIAN_POINT('Origin',(36.7046,31.7493,0.)); #465673=CARTESIAN_POINT('',(36.7046,31.7493,0.)); #465674=CARTESIAN_POINT('',(36.7046,31.7493,0.)); #465675=CARTESIAN_POINT('',(36.7046,31.7493,0.035)); #465676=CARTESIAN_POINT('',(36.7046,31.7493,0.035)); #465677=CARTESIAN_POINT('',(36.7046,31.7493,0.)); #465678=CARTESIAN_POINT('Origin',(36.677131,31.754422,0.)); #465679=CARTESIAN_POINT('',(36.677131,31.754422,0.)); #465680=CARTESIAN_POINT('',(36.677131,31.754422,0.)); #465681=CARTESIAN_POINT('',(36.677131,31.754422,0.035)); #465682=CARTESIAN_POINT('',(36.677131,31.754422,0.035)); #465683=CARTESIAN_POINT('',(36.677131,31.754422,0.)); #465684=CARTESIAN_POINT('Origin',(36.651584,31.770766,0.)); #465685=CARTESIAN_POINT('',(36.651584,31.770766,0.)); #465686=CARTESIAN_POINT('',(36.651584,31.770766,0.)); #465687=CARTESIAN_POINT('',(36.651584,31.770766,0.035)); #465688=CARTESIAN_POINT('',(36.651584,31.770766,0.035)); #465689=CARTESIAN_POINT('',(36.651584,31.770766,0.)); #465690=CARTESIAN_POINT('Origin',(36.634434,31.795778,0.)); #465691=CARTESIAN_POINT('',(36.634434,31.795778,0.)); #465692=CARTESIAN_POINT('',(36.634434,31.795778,0.)); #465693=CARTESIAN_POINT('',(36.634434,31.795778,0.035)); #465694=CARTESIAN_POINT('',(36.634434,31.795778,0.035)); #465695=CARTESIAN_POINT('',(36.634434,31.795778,0.)); #465696=CARTESIAN_POINT('Origin',(36.6284,31.8255,0.)); #465697=CARTESIAN_POINT('',(36.6284,31.8255,0.)); #465698=CARTESIAN_POINT('',(36.6284,31.8255,0.)); #465699=CARTESIAN_POINT('',(36.6284,31.8255,0.035)); #465700=CARTESIAN_POINT('',(36.6284,31.8255,0.035)); #465701=CARTESIAN_POINT('',(36.6284,31.8255,0.)); #465702=CARTESIAN_POINT('Origin',(36.6284,31.853078,0.)); #465703=CARTESIAN_POINT('',(36.6284,31.853078,0.)); #465704=CARTESIAN_POINT('',(36.6284,31.853078,0.)); #465705=CARTESIAN_POINT('',(36.6284,31.853078,0.035)); #465706=CARTESIAN_POINT('',(36.6284,31.853078,0.035)); #465707=CARTESIAN_POINT('',(36.6284,31.853078,0.)); #465708=CARTESIAN_POINT('Origin',(36.633094,31.879409,0.)); #465709=CARTESIAN_POINT('',(36.633094,31.879409,0.)); #465710=CARTESIAN_POINT('',(36.633094,31.879409,0.)); #465711=CARTESIAN_POINT('',(36.633094,31.879409,0.035)); #465712=CARTESIAN_POINT('',(36.633094,31.879409,0.035)); #465713=CARTESIAN_POINT('',(36.633094,31.879409,0.)); #465714=CARTESIAN_POINT('Origin',(36.649028,31.905216,0.)); #465715=CARTESIAN_POINT('',(36.649028,31.905216,0.)); #465716=CARTESIAN_POINT('',(36.649028,31.905216,0.)); #465717=CARTESIAN_POINT('',(36.649028,31.905216,0.035)); #465718=CARTESIAN_POINT('',(36.649028,31.905216,0.035)); #465719=CARTESIAN_POINT('',(36.649028,31.905216,0.)); #465720=CARTESIAN_POINT('Origin',(36.673766,31.922759,0.)); #465721=CARTESIAN_POINT('',(36.673766,31.922759,0.)); #465722=CARTESIAN_POINT('',(36.673766,31.922759,0.)); #465723=CARTESIAN_POINT('',(36.673766,31.922759,0.035)); #465724=CARTESIAN_POINT('',(36.673766,31.922759,0.035)); #465725=CARTESIAN_POINT('',(36.673766,31.922759,0.)); #465726=CARTESIAN_POINT('Origin',(36.703384,31.929269,0.)); #465727=CARTESIAN_POINT('',(36.703384,31.929269,0.)); #465728=CARTESIAN_POINT('',(36.703384,31.929269,0.)); #465729=CARTESIAN_POINT('',(36.703384,31.929269,0.035)); #465730=CARTESIAN_POINT('',(36.703384,31.929269,0.035)); #465731=CARTESIAN_POINT('',(36.703384,31.929269,0.)); #465732=CARTESIAN_POINT('Origin',(36.733197,31.923709,0.)); #465733=CARTESIAN_POINT('',(36.733197,31.923709,0.)); #465734=CARTESIAN_POINT('',(36.733197,31.923709,0.)); #465735=CARTESIAN_POINT('',(36.733197,31.923709,0.035)); #465736=CARTESIAN_POINT('',(36.733197,31.923709,0.035)); #465737=CARTESIAN_POINT('',(36.733197,31.923709,0.)); #465738=CARTESIAN_POINT('Origin',(36.758481,31.906959,0.)); #465739=CARTESIAN_POINT('',(36.758481,31.906959,0.)); #465740=CARTESIAN_POINT('',(36.758481,31.906959,0.)); #465741=CARTESIAN_POINT('',(36.758481,31.906959,0.035)); #465742=CARTESIAN_POINT('',(36.758481,31.906959,0.035)); #465743=CARTESIAN_POINT('',(36.758481,31.906959,0.)); #465744=CARTESIAN_POINT('Origin',(36.786059,31.879381,0.)); #465745=CARTESIAN_POINT('',(36.786059,31.879381,0.)); #465746=CARTESIAN_POINT('',(36.786059,31.879381,0.)); #465747=CARTESIAN_POINT('',(36.786059,31.879381,0.035)); #465748=CARTESIAN_POINT('',(36.786059,31.879381,0.035)); #465749=CARTESIAN_POINT('',(36.786059,31.879381,0.)); #465750=CARTESIAN_POINT('Origin',(36.801359,31.857444,0.)); #465751=CARTESIAN_POINT('',(36.801359,31.857444,0.)); #465752=CARTESIAN_POINT('',(36.801359,31.857444,0.)); #465753=CARTESIAN_POINT('',(36.801359,31.857444,0.035)); #465754=CARTESIAN_POINT('',(36.801359,31.857444,0.035)); #465755=CARTESIAN_POINT('',(36.801359,31.857444,0.)); #465756=CARTESIAN_POINT('Origin',(36.808341,31.827928,0.)); #465757=CARTESIAN_POINT('',(36.808341,31.827928,0.)); #465758=CARTESIAN_POINT('',(36.808341,31.827928,0.)); #465759=CARTESIAN_POINT('',(36.808341,31.827928,0.035)); #465760=CARTESIAN_POINT('',(36.808341,31.827928,0.035)); #465761=CARTESIAN_POINT('',(36.808341,31.827928,0.)); #465762=CARTESIAN_POINT('Origin',(36.803256,31.798031,0.)); #465763=CARTESIAN_POINT('',(36.803256,31.798031,0.)); #465764=CARTESIAN_POINT('',(36.803256,31.798031,0.)); #465765=CARTESIAN_POINT('',(36.803256,31.798031,0.035)); #465766=CARTESIAN_POINT('',(36.803256,31.798031,0.035)); #465767=CARTESIAN_POINT('',(36.803256,31.798031,0.)); #465768=CARTESIAN_POINT('Origin',(36.786912,31.772484,0.)); #465769=CARTESIAN_POINT('',(36.786912,31.772484,0.)); #465770=CARTESIAN_POINT('',(36.786912,31.772484,0.)); #465771=CARTESIAN_POINT('',(36.786912,31.772484,0.035)); #465772=CARTESIAN_POINT('',(36.786912,31.772484,0.035)); #465773=CARTESIAN_POINT('',(36.786912,31.772484,0.)); #465774=CARTESIAN_POINT('Origin',(36.7619,31.755334,0.)); #465775=CARTESIAN_POINT('',(36.7619,31.755334,0.)); #465776=CARTESIAN_POINT('',(36.7619,31.755334,0.035)); #465777=CARTESIAN_POINT('Origin',(36.7148393850671,31.8357442463984,0.035)); #465778=CARTESIAN_POINT('Origin',(36.7148393850671,31.8357442463984,0.)); #465779=CARTESIAN_POINT('Origin',(30.060116,18.20495,0.)); #465780=CARTESIAN_POINT('',(30.060116,18.20495,0.)); #465781=CARTESIAN_POINT('',(30.089734,18.211459,0.)); #465782=CARTESIAN_POINT('',(30.060116,18.20495,0.)); #465783=CARTESIAN_POINT('',(30.089734,18.211459,0.035)); #465784=CARTESIAN_POINT('',(30.089734,18.211459,0.)); #465785=CARTESIAN_POINT('',(30.060116,18.20495,0.035)); #465786=CARTESIAN_POINT('',(30.060116,18.20495,0.035)); #465787=CARTESIAN_POINT('',(30.060116,18.20495,0.)); #465788=CARTESIAN_POINT('Origin',(30.030303,18.210509,0.)); #465789=CARTESIAN_POINT('',(30.030303,18.210509,0.)); #465790=CARTESIAN_POINT('',(30.030303,18.210509,0.)); #465791=CARTESIAN_POINT('',(30.030303,18.210509,0.035)); #465792=CARTESIAN_POINT('',(30.030303,18.210509,0.035)); #465793=CARTESIAN_POINT('',(30.030303,18.210509,0.)); #465794=CARTESIAN_POINT('Origin',(30.005019,18.227259,0.)); #465795=CARTESIAN_POINT('',(30.005019,18.227259,0.)); #465796=CARTESIAN_POINT('',(30.005019,18.227259,0.)); #465797=CARTESIAN_POINT('',(30.005019,18.227259,0.035)); #465798=CARTESIAN_POINT('',(30.005019,18.227259,0.035)); #465799=CARTESIAN_POINT('',(30.005019,18.227259,0.)); #465800=CARTESIAN_POINT('Origin',(29.840959,18.391319,0.)); #465801=CARTESIAN_POINT('',(29.840959,18.391319,0.)); #465802=CARTESIAN_POINT('',(29.840959,18.391319,0.)); #465803=CARTESIAN_POINT('',(29.840959,18.391319,0.035)); #465804=CARTESIAN_POINT('',(29.840959,18.391319,0.035)); #465805=CARTESIAN_POINT('',(29.840959,18.391319,0.)); #465806=CARTESIAN_POINT('Origin',(29.825659,18.413256,0.)); #465807=CARTESIAN_POINT('',(29.825659,18.413256,0.)); #465808=CARTESIAN_POINT('',(29.825659,18.413256,0.)); #465809=CARTESIAN_POINT('',(29.825659,18.413256,0.035)); #465810=CARTESIAN_POINT('',(29.825659,18.413256,0.035)); #465811=CARTESIAN_POINT('',(29.825659,18.413256,0.)); #465812=CARTESIAN_POINT('Origin',(29.818678,18.442772,0.)); #465813=CARTESIAN_POINT('',(29.818678,18.442772,0.)); #465814=CARTESIAN_POINT('',(29.818678,18.442772,0.)); #465815=CARTESIAN_POINT('',(29.818678,18.442772,0.035)); #465816=CARTESIAN_POINT('',(29.818678,18.442772,0.035)); #465817=CARTESIAN_POINT('',(29.818678,18.442772,0.)); #465818=CARTESIAN_POINT('Origin',(29.823763,18.472669,0.)); #465819=CARTESIAN_POINT('',(29.823763,18.472669,0.)); #465820=CARTESIAN_POINT('',(29.823763,18.472669,0.)); #465821=CARTESIAN_POINT('',(29.823763,18.472669,0.035)); #465822=CARTESIAN_POINT('',(29.823763,18.472669,0.035)); #465823=CARTESIAN_POINT('',(29.823763,18.472669,0.)); #465824=CARTESIAN_POINT('Origin',(29.840106,18.498216,0.)); #465825=CARTESIAN_POINT('',(29.840106,18.498216,0.)); #465826=CARTESIAN_POINT('',(29.840106,18.498216,0.)); #465827=CARTESIAN_POINT('',(29.840106,18.498216,0.035)); #465828=CARTESIAN_POINT('',(29.840106,18.498216,0.035)); #465829=CARTESIAN_POINT('',(29.840106,18.498216,0.)); #465830=CARTESIAN_POINT('Origin',(29.865119,18.515366,0.)); #465831=CARTESIAN_POINT('',(29.865119,18.515366,0.)); #465832=CARTESIAN_POINT('',(29.865119,18.515366,0.)); #465833=CARTESIAN_POINT('',(29.865119,18.515366,0.035)); #465834=CARTESIAN_POINT('',(29.865119,18.515366,0.035)); #465835=CARTESIAN_POINT('',(29.865119,18.515366,0.)); #465836=CARTESIAN_POINT('Origin',(29.894841,18.5214,0.)); #465837=CARTESIAN_POINT('',(29.894841,18.5214,0.)); #465838=CARTESIAN_POINT('',(29.894841,18.5214,0.)); #465839=CARTESIAN_POINT('',(29.894841,18.5214,0.035)); #465840=CARTESIAN_POINT('',(29.894841,18.5214,0.035)); #465841=CARTESIAN_POINT('',(29.894841,18.5214,0.)); #465842=CARTESIAN_POINT('Origin',(30.0589,18.5214,0.)); #465843=CARTESIAN_POINT('',(30.0589,18.5214,0.)); #465844=CARTESIAN_POINT('',(30.0589,18.5214,0.)); #465845=CARTESIAN_POINT('',(30.0589,18.5214,0.035)); #465846=CARTESIAN_POINT('',(30.0589,18.5214,0.035)); #465847=CARTESIAN_POINT('',(30.0589,18.5214,0.)); #465848=CARTESIAN_POINT('Origin',(30.086369,18.516278,0.)); #465849=CARTESIAN_POINT('',(30.086369,18.516278,0.)); #465850=CARTESIAN_POINT('',(30.086369,18.516278,0.)); #465851=CARTESIAN_POINT('',(30.086369,18.516278,0.035)); #465852=CARTESIAN_POINT('',(30.086369,18.516278,0.035)); #465853=CARTESIAN_POINT('',(30.086369,18.516278,0.)); #465854=CARTESIAN_POINT('Origin',(30.111916,18.499934,0.)); #465855=CARTESIAN_POINT('',(30.111916,18.499934,0.)); #465856=CARTESIAN_POINT('',(30.111916,18.499934,0.)); #465857=CARTESIAN_POINT('',(30.111916,18.499934,0.035)); #465858=CARTESIAN_POINT('',(30.111916,18.499934,0.035)); #465859=CARTESIAN_POINT('',(30.111916,18.499934,0.)); #465860=CARTESIAN_POINT('Origin',(30.129066,18.474922,0.)); #465861=CARTESIAN_POINT('',(30.129066,18.474922,0.)); #465862=CARTESIAN_POINT('',(30.129066,18.474922,0.)); #465863=CARTESIAN_POINT('',(30.129066,18.474922,0.035)); #465864=CARTESIAN_POINT('',(30.129066,18.474922,0.035)); #465865=CARTESIAN_POINT('',(30.129066,18.474922,0.)); #465866=CARTESIAN_POINT('Origin',(30.1351,18.4452,0.)); #465867=CARTESIAN_POINT('',(30.1351,18.4452,0.)); #465868=CARTESIAN_POINT('',(30.1351,18.4452,0.)); #465869=CARTESIAN_POINT('',(30.1351,18.4452,0.035)); #465870=CARTESIAN_POINT('',(30.1351,18.4452,0.035)); #465871=CARTESIAN_POINT('',(30.1351,18.4452,0.)); #465872=CARTESIAN_POINT('Origin',(30.1351,18.281141,0.)); #465873=CARTESIAN_POINT('',(30.1351,18.281141,0.)); #465874=CARTESIAN_POINT('',(30.1351,18.281141,0.)); #465875=CARTESIAN_POINT('',(30.1351,18.281141,0.035)); #465876=CARTESIAN_POINT('',(30.1351,18.281141,0.035)); #465877=CARTESIAN_POINT('',(30.1351,18.281141,0.)); #465878=CARTESIAN_POINT('Origin',(30.130406,18.254809,0.)); #465879=CARTESIAN_POINT('',(30.130406,18.254809,0.)); #465880=CARTESIAN_POINT('',(30.130406,18.254809,0.)); #465881=CARTESIAN_POINT('',(30.130406,18.254809,0.035)); #465882=CARTESIAN_POINT('',(30.130406,18.254809,0.035)); #465883=CARTESIAN_POINT('',(30.130406,18.254809,0.)); #465884=CARTESIAN_POINT('Origin',(30.114472,18.229003,0.)); #465885=CARTESIAN_POINT('',(30.114472,18.229003,0.)); #465886=CARTESIAN_POINT('',(30.114472,18.229003,0.)); #465887=CARTESIAN_POINT('',(30.114472,18.229003,0.035)); #465888=CARTESIAN_POINT('',(30.114472,18.229003,0.035)); #465889=CARTESIAN_POINT('',(30.114472,18.229003,0.)); #465890=CARTESIAN_POINT('Origin',(30.089734,18.211459,0.)); #465891=CARTESIAN_POINT('',(30.089734,18.211459,0.)); #465892=CARTESIAN_POINT('',(30.089734,18.211459,0.035)); #465893=CARTESIAN_POINT('Origin',(29.9992829839461,18.3855804451983,0.035)); #465894=CARTESIAN_POINT('Origin',(29.9992829839461,18.3855804451983,0.)); #465895=CARTESIAN_POINT('Origin',(34.307228,58.909691,0.)); #465896=CARTESIAN_POINT('',(34.307228,58.909691,0.)); #465897=CARTESIAN_POINT('',(34.336744,58.916672,0.)); #465898=CARTESIAN_POINT('',(34.307228,58.909691,0.)); #465899=CARTESIAN_POINT('',(34.336744,58.916672,0.035)); #465900=CARTESIAN_POINT('',(34.336744,58.916672,0.)); #465901=CARTESIAN_POINT('',(34.307228,58.909691,0.035)); #465902=CARTESIAN_POINT('',(34.307228,58.909691,0.035)); #465903=CARTESIAN_POINT('',(34.307228,58.909691,0.)); #465904=CARTESIAN_POINT('Origin',(34.277331,58.914775,0.)); #465905=CARTESIAN_POINT('',(34.277331,58.914775,0.)); #465906=CARTESIAN_POINT('',(34.277331,58.914775,0.)); #465907=CARTESIAN_POINT('',(34.277331,58.914775,0.035)); #465908=CARTESIAN_POINT('',(34.277331,58.914775,0.035)); #465909=CARTESIAN_POINT('',(34.277331,58.914775,0.)); #465910=CARTESIAN_POINT('Origin',(34.251784,58.931119,0.)); #465911=CARTESIAN_POINT('',(34.251784,58.931119,0.)); #465912=CARTESIAN_POINT('',(34.251784,58.931119,0.)); #465913=CARTESIAN_POINT('',(34.251784,58.931119,0.035)); #465914=CARTESIAN_POINT('',(34.251784,58.931119,0.035)); #465915=CARTESIAN_POINT('',(34.251784,58.931119,0.)); #465916=CARTESIAN_POINT('Origin',(34.234634,58.956131,0.)); #465917=CARTESIAN_POINT('',(34.234634,58.956131,0.)); #465918=CARTESIAN_POINT('',(34.234634,58.956131,0.)); #465919=CARTESIAN_POINT('',(34.234634,58.956131,0.035)); #465920=CARTESIAN_POINT('',(34.234634,58.956131,0.035)); #465921=CARTESIAN_POINT('',(34.234634,58.956131,0.)); #465922=CARTESIAN_POINT('Origin',(34.2286,58.985853,0.)); #465923=CARTESIAN_POINT('',(34.2286,58.985853,0.)); #465924=CARTESIAN_POINT('',(34.2286,58.985853,0.)); #465925=CARTESIAN_POINT('',(34.2286,58.985853,0.035)); #465926=CARTESIAN_POINT('',(34.2286,58.985853,0.035)); #465927=CARTESIAN_POINT('',(34.2286,58.985853,0.)); #465928=CARTESIAN_POINT('Origin',(34.2286,59.5769,0.)); #465929=CARTESIAN_POINT('',(34.2286,59.5769,0.)); #465930=CARTESIAN_POINT('',(34.2286,59.5769,0.)); #465931=CARTESIAN_POINT('',(34.2286,59.5769,0.035)); #465932=CARTESIAN_POINT('',(34.2286,59.5769,0.035)); #465933=CARTESIAN_POINT('',(34.2286,59.5769,0.)); #465934=CARTESIAN_POINT('Origin',(34.233722,59.604369,0.)); #465935=CARTESIAN_POINT('',(34.233722,59.604369,0.)); #465936=CARTESIAN_POINT('',(34.233722,59.604369,0.)); #465937=CARTESIAN_POINT('',(34.233722,59.604369,0.035)); #465938=CARTESIAN_POINT('',(34.233722,59.604369,0.035)); #465939=CARTESIAN_POINT('',(34.233722,59.604369,0.)); #465940=CARTESIAN_POINT('Origin',(34.250066,59.629916,0.)); #465941=CARTESIAN_POINT('',(34.250066,59.629916,0.)); #465942=CARTESIAN_POINT('',(34.250066,59.629916,0.)); #465943=CARTESIAN_POINT('',(34.250066,59.629916,0.035)); #465944=CARTESIAN_POINT('',(34.250066,59.629916,0.035)); #465945=CARTESIAN_POINT('',(34.250066,59.629916,0.)); #465946=CARTESIAN_POINT('Origin',(34.275078,59.647066,0.)); #465947=CARTESIAN_POINT('',(34.275078,59.647066,0.)); #465948=CARTESIAN_POINT('',(34.275078,59.647066,0.)); #465949=CARTESIAN_POINT('',(34.275078,59.647066,0.035)); #465950=CARTESIAN_POINT('',(34.275078,59.647066,0.035)); #465951=CARTESIAN_POINT('',(34.275078,59.647066,0.)); #465952=CARTESIAN_POINT('Origin',(34.3048,59.6531,0.)); #465953=CARTESIAN_POINT('',(34.3048,59.6531,0.)); #465954=CARTESIAN_POINT('',(34.3048,59.6531,0.)); #465955=CARTESIAN_POINT('',(34.3048,59.6531,0.035)); #465956=CARTESIAN_POINT('',(34.3048,59.6531,0.035)); #465957=CARTESIAN_POINT('',(34.3048,59.6531,0.)); #465958=CARTESIAN_POINT('Origin',(34.895847,59.6531,0.)); #465959=CARTESIAN_POINT('',(34.895847,59.6531,0.)); #465960=CARTESIAN_POINT('',(34.895847,59.6531,0.)); #465961=CARTESIAN_POINT('',(34.895847,59.6531,0.035)); #465962=CARTESIAN_POINT('',(34.895847,59.6531,0.035)); #465963=CARTESIAN_POINT('',(34.895847,59.6531,0.)); #465964=CARTESIAN_POINT('Origin',(34.922178,59.648406,0.)); #465965=CARTESIAN_POINT('',(34.922178,59.648406,0.)); #465966=CARTESIAN_POINT('',(34.922178,59.648406,0.)); #465967=CARTESIAN_POINT('',(34.922178,59.648406,0.035)); #465968=CARTESIAN_POINT('',(34.922178,59.648406,0.035)); #465969=CARTESIAN_POINT('',(34.922178,59.648406,0.)); #465970=CARTESIAN_POINT('Origin',(34.947984,59.632472,0.)); #465971=CARTESIAN_POINT('',(34.947984,59.632472,0.)); #465972=CARTESIAN_POINT('',(34.947984,59.632472,0.)); #465973=CARTESIAN_POINT('',(34.947984,59.632472,0.035)); #465974=CARTESIAN_POINT('',(34.947984,59.632472,0.035)); #465975=CARTESIAN_POINT('',(34.947984,59.632472,0.)); #465976=CARTESIAN_POINT('Origin',(34.965528,59.607734,0.)); #465977=CARTESIAN_POINT('',(34.965528,59.607734,0.)); #465978=CARTESIAN_POINT('',(34.965528,59.607734,0.)); #465979=CARTESIAN_POINT('',(34.965528,59.607734,0.035)); #465980=CARTESIAN_POINT('',(34.965528,59.607734,0.035)); #465981=CARTESIAN_POINT('',(34.965528,59.607734,0.)); #465982=CARTESIAN_POINT('Origin',(34.972037,59.578116,0.)); #465983=CARTESIAN_POINT('',(34.972037,59.578116,0.)); #465984=CARTESIAN_POINT('',(34.972037,59.578116,0.)); #465985=CARTESIAN_POINT('',(34.972037,59.578116,0.035)); #465986=CARTESIAN_POINT('',(34.972037,59.578116,0.035)); #465987=CARTESIAN_POINT('',(34.972037,59.578116,0.)); #465988=CARTESIAN_POINT('Origin',(34.966478,59.548303,0.)); #465989=CARTESIAN_POINT('',(34.966478,59.548303,0.)); #465990=CARTESIAN_POINT('',(34.966478,59.548303,0.)); #465991=CARTESIAN_POINT('',(34.966478,59.548303,0.035)); #465992=CARTESIAN_POINT('',(34.966478,59.548303,0.035)); #465993=CARTESIAN_POINT('',(34.966478,59.548303,0.)); #465994=CARTESIAN_POINT('Origin',(34.949728,59.523019,0.)); #465995=CARTESIAN_POINT('',(34.949728,59.523019,0.)); #465996=CARTESIAN_POINT('',(34.949728,59.523019,0.)); #465997=CARTESIAN_POINT('',(34.949728,59.523019,0.035)); #465998=CARTESIAN_POINT('',(34.949728,59.523019,0.035)); #465999=CARTESIAN_POINT('',(34.949728,59.523019,0.)); #466000=CARTESIAN_POINT('Origin',(34.358681,58.931972,0.)); #466001=CARTESIAN_POINT('',(34.358681,58.931972,0.)); #466002=CARTESIAN_POINT('',(34.358681,58.931972,0.)); #466003=CARTESIAN_POINT('',(34.358681,58.931972,0.035)); #466004=CARTESIAN_POINT('',(34.358681,58.931972,0.035)); #466005=CARTESIAN_POINT('',(34.358681,58.931972,0.)); #466006=CARTESIAN_POINT('Origin',(34.336744,58.916672,0.)); #466007=CARTESIAN_POINT('',(34.336744,58.916672,0.)); #466008=CARTESIAN_POINT('',(34.336744,58.916672,0.035)); #466009=CARTESIAN_POINT('Origin',(34.5161841449181,59.3655165027143,0.035)); #466010=CARTESIAN_POINT('Origin',(34.5161841449181,59.3655165027143,0.)); #466011=CARTESIAN_POINT('Origin',(28.446416,25.19,0.)); #466012=CARTESIAN_POINT('',(28.446416,25.19,0.)); #466013=CARTESIAN_POINT('',(28.476034,25.196509,0.)); #466014=CARTESIAN_POINT('',(28.446416,25.19,0.)); #466015=CARTESIAN_POINT('',(28.476034,25.196509,0.035)); #466016=CARTESIAN_POINT('',(28.476034,25.196509,0.)); #466017=CARTESIAN_POINT('',(28.446416,25.19,0.035)); #466018=CARTESIAN_POINT('',(28.446416,25.19,0.035)); #466019=CARTESIAN_POINT('',(28.446416,25.19,0.)); #466020=CARTESIAN_POINT('Origin',(28.416603,25.195559,0.)); #466021=CARTESIAN_POINT('',(28.416603,25.195559,0.)); #466022=CARTESIAN_POINT('',(28.416603,25.195559,0.)); #466023=CARTESIAN_POINT('',(28.416603,25.195559,0.035)); #466024=CARTESIAN_POINT('',(28.416603,25.195559,0.035)); #466025=CARTESIAN_POINT('',(28.416603,25.195559,0.)); #466026=CARTESIAN_POINT('Origin',(28.391319,25.212309,0.)); #466027=CARTESIAN_POINT('',(28.391319,25.212309,0.)); #466028=CARTESIAN_POINT('',(28.391319,25.212309,0.)); #466029=CARTESIAN_POINT('',(28.391319,25.212309,0.035)); #466030=CARTESIAN_POINT('',(28.391319,25.212309,0.035)); #466031=CARTESIAN_POINT('',(28.391319,25.212309,0.)); #466032=CARTESIAN_POINT('Origin',(27.375028,26.2286,0.)); #466033=CARTESIAN_POINT('',(27.375028,26.2286,0.)); #466034=CARTESIAN_POINT('',(27.375028,26.2286,0.)); #466035=CARTESIAN_POINT('',(27.375028,26.2286,0.035)); #466036=CARTESIAN_POINT('',(27.375028,26.2286,0.035)); #466037=CARTESIAN_POINT('',(27.375028,26.2286,0.)); #466038=CARTESIAN_POINT('Origin',(25.391997,26.2286,0.)); #466039=CARTESIAN_POINT('',(25.391997,26.2286,0.)); #466040=CARTESIAN_POINT('',(25.391997,26.2286,0.)); #466041=CARTESIAN_POINT('',(25.391997,26.2286,0.035)); #466042=CARTESIAN_POINT('',(25.391997,26.2286,0.035)); #466043=CARTESIAN_POINT('',(25.391997,26.2286,0.)); #466044=CARTESIAN_POINT('Origin',(25.365666,26.233294,0.)); #466045=CARTESIAN_POINT('',(25.365666,26.233294,0.)); #466046=CARTESIAN_POINT('',(25.365666,26.233294,0.)); #466047=CARTESIAN_POINT('',(25.365666,26.233294,0.035)); #466048=CARTESIAN_POINT('',(25.365666,26.233294,0.035)); #466049=CARTESIAN_POINT('',(25.365666,26.233294,0.)); #466050=CARTESIAN_POINT('Origin',(25.339859,26.249228,0.)); #466051=CARTESIAN_POINT('',(25.339859,26.249228,0.)); #466052=CARTESIAN_POINT('',(25.339859,26.249228,0.)); #466053=CARTESIAN_POINT('',(25.339859,26.249228,0.035)); #466054=CARTESIAN_POINT('',(25.339859,26.249228,0.035)); #466055=CARTESIAN_POINT('',(25.339859,26.249228,0.)); #466056=CARTESIAN_POINT('Origin',(25.322316,26.273966,0.)); #466057=CARTESIAN_POINT('',(25.322316,26.273966,0.)); #466058=CARTESIAN_POINT('',(25.322316,26.273966,0.)); #466059=CARTESIAN_POINT('',(25.322316,26.273966,0.035)); #466060=CARTESIAN_POINT('',(25.322316,26.273966,0.035)); #466061=CARTESIAN_POINT('',(25.322316,26.273966,0.)); #466062=CARTESIAN_POINT('Origin',(25.315806,26.303584,0.)); #466063=CARTESIAN_POINT('',(25.315806,26.303584,0.)); #466064=CARTESIAN_POINT('',(25.315806,26.303584,0.)); #466065=CARTESIAN_POINT('',(25.315806,26.303584,0.035)); #466066=CARTESIAN_POINT('',(25.315806,26.303584,0.035)); #466067=CARTESIAN_POINT('',(25.315806,26.303584,0.)); #466068=CARTESIAN_POINT('Origin',(25.321366,26.333397,0.)); #466069=CARTESIAN_POINT('',(25.321366,26.333397,0.)); #466070=CARTESIAN_POINT('',(25.321366,26.333397,0.)); #466071=CARTESIAN_POINT('',(25.321366,26.333397,0.035)); #466072=CARTESIAN_POINT('',(25.321366,26.333397,0.035)); #466073=CARTESIAN_POINT('',(25.321366,26.333397,0.)); #466074=CARTESIAN_POINT('Origin',(25.338116,26.358681,0.)); #466075=CARTESIAN_POINT('',(25.338116,26.358681,0.)); #466076=CARTESIAN_POINT('',(25.338116,26.358681,0.)); #466077=CARTESIAN_POINT('',(25.338116,26.358681,0.035)); #466078=CARTESIAN_POINT('',(25.338116,26.358681,0.035)); #466079=CARTESIAN_POINT('',(25.338116,26.358681,0.)); #466080=CARTESIAN_POINT('Origin',(25.4274,26.447966,0.)); #466081=CARTESIAN_POINT('',(25.4274,26.447966,0.)); #466082=CARTESIAN_POINT('',(25.4274,26.447966,0.)); #466083=CARTESIAN_POINT('',(25.4274,26.447966,0.035)); #466084=CARTESIAN_POINT('',(25.4274,26.447966,0.035)); #466085=CARTESIAN_POINT('',(25.4274,26.447966,0.)); #466086=CARTESIAN_POINT('Origin',(25.4274,26.802034,0.)); #466087=CARTESIAN_POINT('',(25.4274,26.802034,0.)); #466088=CARTESIAN_POINT('',(25.4274,26.802034,0.)); #466089=CARTESIAN_POINT('',(25.4274,26.802034,0.035)); #466090=CARTESIAN_POINT('',(25.4274,26.802034,0.035)); #466091=CARTESIAN_POINT('',(25.4274,26.802034,0.)); #466092=CARTESIAN_POINT('Origin',(25.250919,26.978516,0.)); #466093=CARTESIAN_POINT('',(25.250919,26.978516,0.)); #466094=CARTESIAN_POINT('',(25.250919,26.978516,0.)); #466095=CARTESIAN_POINT('',(25.250919,26.978516,0.035)); #466096=CARTESIAN_POINT('',(25.250919,26.978516,0.035)); #466097=CARTESIAN_POINT('',(25.250919,26.978516,0.)); #466098=CARTESIAN_POINT('Origin',(25.234634,27.002675,0.)); #466099=CARTESIAN_POINT('',(25.234634,27.002675,0.)); #466100=CARTESIAN_POINT('',(25.234634,27.002675,0.)); #466101=CARTESIAN_POINT('',(25.234634,27.002675,0.035)); #466102=CARTESIAN_POINT('',(25.234634,27.002675,0.035)); #466103=CARTESIAN_POINT('',(25.234634,27.002675,0.)); #466104=CARTESIAN_POINT('Origin',(25.2286,27.032397,0.)); #466105=CARTESIAN_POINT('',(25.2286,27.032397,0.)); #466106=CARTESIAN_POINT('',(25.2286,27.032397,0.)); #466107=CARTESIAN_POINT('',(25.2286,27.032397,0.035)); #466108=CARTESIAN_POINT('',(25.2286,27.032397,0.035)); #466109=CARTESIAN_POINT('',(25.2286,27.032397,0.)); #466110=CARTESIAN_POINT('Origin',(25.2286,27.5903,0.)); #466111=CARTESIAN_POINT('',(25.2286,27.5903,0.)); #466112=CARTESIAN_POINT('',(25.2286,27.5903,0.)); #466113=CARTESIAN_POINT('',(25.2286,27.5903,0.035)); #466114=CARTESIAN_POINT('',(25.2286,27.5903,0.035)); #466115=CARTESIAN_POINT('',(25.2286,27.5903,0.)); #466116=CARTESIAN_POINT('Origin',(25.233722,27.617769,0.)); #466117=CARTESIAN_POINT('',(25.233722,27.617769,0.)); #466118=CARTESIAN_POINT('',(25.233722,27.617769,0.)); #466119=CARTESIAN_POINT('',(25.233722,27.617769,0.035)); #466120=CARTESIAN_POINT('',(25.233722,27.617769,0.035)); #466121=CARTESIAN_POINT('',(25.233722,27.617769,0.)); #466122=CARTESIAN_POINT('Origin',(25.250066,27.643316,0.)); #466123=CARTESIAN_POINT('',(25.250066,27.643316,0.)); #466124=CARTESIAN_POINT('',(25.250066,27.643316,0.)); #466125=CARTESIAN_POINT('',(25.250066,27.643316,0.035)); #466126=CARTESIAN_POINT('',(25.250066,27.643316,0.035)); #466127=CARTESIAN_POINT('',(25.250066,27.643316,0.)); #466128=CARTESIAN_POINT('Origin',(25.275078,27.660466,0.)); #466129=CARTESIAN_POINT('',(25.275078,27.660466,0.)); #466130=CARTESIAN_POINT('',(25.275078,27.660466,0.)); #466131=CARTESIAN_POINT('',(25.275078,27.660466,0.035)); #466132=CARTESIAN_POINT('',(25.275078,27.660466,0.035)); #466133=CARTESIAN_POINT('',(25.275078,27.660466,0.)); #466134=CARTESIAN_POINT('Origin',(25.3048,27.6665,0.)); #466135=CARTESIAN_POINT('',(25.3048,27.6665,0.)); #466136=CARTESIAN_POINT('',(25.3048,27.6665,0.)); #466137=CARTESIAN_POINT('',(25.3048,27.6665,0.035)); #466138=CARTESIAN_POINT('',(25.3048,27.6665,0.035)); #466139=CARTESIAN_POINT('',(25.3048,27.6665,0.)); #466140=CARTESIAN_POINT('Origin',(25.507628,27.6665,0.)); #466141=CARTESIAN_POINT('',(25.507628,27.6665,0.)); #466142=CARTESIAN_POINT('',(25.507628,27.6665,0.)); #466143=CARTESIAN_POINT('',(25.507628,27.6665,0.035)); #466144=CARTESIAN_POINT('',(25.507628,27.6665,0.035)); #466145=CARTESIAN_POINT('',(25.507628,27.6665,0.)); #466146=CARTESIAN_POINT('Origin',(25.5969,27.755772,0.)); #466147=CARTESIAN_POINT('',(25.5969,27.755772,0.)); #466148=CARTESIAN_POINT('',(25.5969,27.755772,0.)); #466149=CARTESIAN_POINT('',(25.5969,27.755772,0.035)); #466150=CARTESIAN_POINT('',(25.5969,27.755772,0.035)); #466151=CARTESIAN_POINT('',(25.5969,27.755772,0.)); #466152=CARTESIAN_POINT('Origin',(25.5969,28.645847,0.)); #466153=CARTESIAN_POINT('',(25.5969,28.645847,0.)); #466154=CARTESIAN_POINT('',(25.5969,28.645847,0.)); #466155=CARTESIAN_POINT('',(25.5969,28.645847,0.035)); #466156=CARTESIAN_POINT('',(25.5969,28.645847,0.035)); #466157=CARTESIAN_POINT('',(25.5969,28.645847,0.)); #466158=CARTESIAN_POINT('Origin',(25.601594,28.672178,0.)); #466159=CARTESIAN_POINT('',(25.601594,28.672178,0.)); #466160=CARTESIAN_POINT('',(25.601594,28.672178,0.)); #466161=CARTESIAN_POINT('',(25.601594,28.672178,0.035)); #466162=CARTESIAN_POINT('',(25.601594,28.672178,0.035)); #466163=CARTESIAN_POINT('',(25.601594,28.672178,0.)); #466164=CARTESIAN_POINT('Origin',(25.617528,28.697984,0.)); #466165=CARTESIAN_POINT('',(25.617528,28.697984,0.)); #466166=CARTESIAN_POINT('',(25.617528,28.697984,0.)); #466167=CARTESIAN_POINT('',(25.617528,28.697984,0.035)); #466168=CARTESIAN_POINT('',(25.617528,28.697984,0.035)); #466169=CARTESIAN_POINT('',(25.617528,28.697984,0.)); #466170=CARTESIAN_POINT('Origin',(25.642266,28.715528,0.)); #466171=CARTESIAN_POINT('',(25.642266,28.715528,0.)); #466172=CARTESIAN_POINT('',(25.642266,28.715528,0.)); #466173=CARTESIAN_POINT('',(25.642266,28.715528,0.035)); #466174=CARTESIAN_POINT('',(25.642266,28.715528,0.035)); #466175=CARTESIAN_POINT('',(25.642266,28.715528,0.)); #466176=CARTESIAN_POINT('Origin',(25.671884,28.722038,0.)); #466177=CARTESIAN_POINT('',(25.671884,28.722038,0.)); #466178=CARTESIAN_POINT('',(25.671884,28.722038,0.)); #466179=CARTESIAN_POINT('',(25.671884,28.722038,0.035)); #466180=CARTESIAN_POINT('',(25.671884,28.722038,0.035)); #466181=CARTESIAN_POINT('',(25.671884,28.722038,0.)); #466182=CARTESIAN_POINT('Origin',(25.701697,28.716478,0.)); #466183=CARTESIAN_POINT('',(25.701697,28.716478,0.)); #466184=CARTESIAN_POINT('',(25.701697,28.716478,0.)); #466185=CARTESIAN_POINT('',(25.701697,28.716478,0.035)); #466186=CARTESIAN_POINT('',(25.701697,28.716478,0.035)); #466187=CARTESIAN_POINT('',(25.701697,28.716478,0.)); #466188=CARTESIAN_POINT('Origin',(25.726981,28.699728,0.)); #466189=CARTESIAN_POINT('',(25.726981,28.699728,0.)); #466190=CARTESIAN_POINT('',(25.726981,28.699728,0.)); #466191=CARTESIAN_POINT('',(25.726981,28.699728,0.035)); #466192=CARTESIAN_POINT('',(25.726981,28.699728,0.035)); #466193=CARTESIAN_POINT('',(25.726981,28.699728,0.)); #466194=CARTESIAN_POINT('Origin',(28.499081,25.927628,0.)); #466195=CARTESIAN_POINT('',(28.499081,25.927628,0.)); #466196=CARTESIAN_POINT('',(28.499081,25.927628,0.)); #466197=CARTESIAN_POINT('',(28.499081,25.927628,0.035)); #466198=CARTESIAN_POINT('',(28.499081,25.927628,0.035)); #466199=CARTESIAN_POINT('',(28.499081,25.927628,0.)); #466200=CARTESIAN_POINT('Origin',(28.515366,25.903469,0.)); #466201=CARTESIAN_POINT('',(28.515366,25.903469,0.)); #466202=CARTESIAN_POINT('',(28.515366,25.903469,0.)); #466203=CARTESIAN_POINT('',(28.515366,25.903469,0.035)); #466204=CARTESIAN_POINT('',(28.515366,25.903469,0.035)); #466205=CARTESIAN_POINT('',(28.515366,25.903469,0.)); #466206=CARTESIAN_POINT('Origin',(28.5214,25.873747,0.)); #466207=CARTESIAN_POINT('',(28.5214,25.873747,0.)); #466208=CARTESIAN_POINT('',(28.5214,25.873747,0.)); #466209=CARTESIAN_POINT('',(28.5214,25.873747,0.035)); #466210=CARTESIAN_POINT('',(28.5214,25.873747,0.035)); #466211=CARTESIAN_POINT('',(28.5214,25.873747,0.)); #466212=CARTESIAN_POINT('Origin',(28.5214,25.266191,0.)); #466213=CARTESIAN_POINT('',(28.5214,25.266191,0.)); #466214=CARTESIAN_POINT('',(28.5214,25.266191,0.)); #466215=CARTESIAN_POINT('',(28.5214,25.266191,0.035)); #466216=CARTESIAN_POINT('',(28.5214,25.266191,0.035)); #466217=CARTESIAN_POINT('',(28.5214,25.266191,0.)); #466218=CARTESIAN_POINT('Origin',(28.516706,25.239859,0.)); #466219=CARTESIAN_POINT('',(28.516706,25.239859,0.)); #466220=CARTESIAN_POINT('',(28.516706,25.239859,0.)); #466221=CARTESIAN_POINT('',(28.516706,25.239859,0.035)); #466222=CARTESIAN_POINT('',(28.516706,25.239859,0.035)); #466223=CARTESIAN_POINT('',(28.516706,25.239859,0.)); #466224=CARTESIAN_POINT('Origin',(28.500772,25.214053,0.)); #466225=CARTESIAN_POINT('',(28.500772,25.214053,0.)); #466226=CARTESIAN_POINT('',(28.500772,25.214053,0.)); #466227=CARTESIAN_POINT('',(28.500772,25.214053,0.035)); #466228=CARTESIAN_POINT('',(28.500772,25.214053,0.035)); #466229=CARTESIAN_POINT('',(28.500772,25.214053,0.)); #466230=CARTESIAN_POINT('Origin',(28.476034,25.196509,0.)); #466231=CARTESIAN_POINT('',(28.476034,25.196509,0.)); #466232=CARTESIAN_POINT('',(28.476034,25.196509,0.035)); #466233=CARTESIAN_POINT('Origin',(26.7326332122928,26.8300032122268,0.035)); #466234=CARTESIAN_POINT('Origin',(26.7326332122928,26.8300032122268,0.)); #466235=CARTESIAN_POINT('Origin',(26.376216,36.261006,0.)); #466236=CARTESIAN_POINT('',(26.376216,36.261006,0.)); #466237=CARTESIAN_POINT('',(26.405834,36.267516,0.)); #466238=CARTESIAN_POINT('',(26.376216,36.261006,0.)); #466239=CARTESIAN_POINT('',(26.405834,36.267516,0.035)); #466240=CARTESIAN_POINT('',(26.405834,36.267516,0.)); #466241=CARTESIAN_POINT('',(26.376216,36.261006,0.035)); #466242=CARTESIAN_POINT('',(26.376216,36.261006,0.035)); #466243=CARTESIAN_POINT('',(26.376216,36.261006,0.)); #466244=CARTESIAN_POINT('Origin',(26.346403,36.266566,0.)); #466245=CARTESIAN_POINT('',(26.346403,36.266566,0.)); #466246=CARTESIAN_POINT('',(26.346403,36.266566,0.)); #466247=CARTESIAN_POINT('',(26.346403,36.266566,0.035)); #466248=CARTESIAN_POINT('',(26.346403,36.266566,0.035)); #466249=CARTESIAN_POINT('',(26.346403,36.266566,0.)); #466250=CARTESIAN_POINT('Origin',(26.321119,36.283316,0.)); #466251=CARTESIAN_POINT('',(26.321119,36.283316,0.)); #466252=CARTESIAN_POINT('',(26.321119,36.283316,0.)); #466253=CARTESIAN_POINT('',(26.321119,36.283316,0.035)); #466254=CARTESIAN_POINT('',(26.321119,36.283316,0.035)); #466255=CARTESIAN_POINT('',(26.321119,36.283316,0.)); #466256=CARTESIAN_POINT('Origin',(26.250919,36.353516,0.)); #466257=CARTESIAN_POINT('',(26.250919,36.353516,0.)); #466258=CARTESIAN_POINT('',(26.250919,36.353516,0.)); #466259=CARTESIAN_POINT('',(26.250919,36.353516,0.035)); #466260=CARTESIAN_POINT('',(26.250919,36.353516,0.035)); #466261=CARTESIAN_POINT('',(26.250919,36.353516,0.)); #466262=CARTESIAN_POINT('Origin',(26.234634,36.377675,0.)); #466263=CARTESIAN_POINT('',(26.234634,36.377675,0.)); #466264=CARTESIAN_POINT('',(26.234634,36.377675,0.)); #466265=CARTESIAN_POINT('',(26.234634,36.377675,0.035)); #466266=CARTESIAN_POINT('',(26.234634,36.377675,0.035)); #466267=CARTESIAN_POINT('',(26.234634,36.377675,0.)); #466268=CARTESIAN_POINT('Origin',(26.2286,36.407397,0.)); #466269=CARTESIAN_POINT('',(26.2286,36.407397,0.)); #466270=CARTESIAN_POINT('',(26.2286,36.407397,0.)); #466271=CARTESIAN_POINT('',(26.2286,36.407397,0.035)); #466272=CARTESIAN_POINT('',(26.2286,36.407397,0.035)); #466273=CARTESIAN_POINT('',(26.2286,36.407397,0.)); #466274=CARTESIAN_POINT('Origin',(26.2286,36.423134,0.)); #466275=CARTESIAN_POINT('',(26.2286,36.423134,0.)); #466276=CARTESIAN_POINT('',(26.2286,36.423134,0.)); #466277=CARTESIAN_POINT('',(26.2286,36.423134,0.035)); #466278=CARTESIAN_POINT('',(26.2286,36.423134,0.035)); #466279=CARTESIAN_POINT('',(26.2286,36.423134,0.)); #466280=CARTESIAN_POINT('Origin',(26.233294,36.449466,0.)); #466281=CARTESIAN_POINT('',(26.233294,36.449466,0.)); #466282=CARTESIAN_POINT('',(26.233294,36.449466,0.)); #466283=CARTESIAN_POINT('',(26.233294,36.449466,0.035)); #466284=CARTESIAN_POINT('',(26.233294,36.449466,0.035)); #466285=CARTESIAN_POINT('',(26.233294,36.449466,0.)); #466286=CARTESIAN_POINT('Origin',(26.249228,36.475272,0.)); #466287=CARTESIAN_POINT('',(26.249228,36.475272,0.)); #466288=CARTESIAN_POINT('',(26.249228,36.475272,0.)); #466289=CARTESIAN_POINT('',(26.249228,36.475272,0.035)); #466290=CARTESIAN_POINT('',(26.249228,36.475272,0.035)); #466291=CARTESIAN_POINT('',(26.249228,36.475272,0.)); #466292=CARTESIAN_POINT('Origin',(26.273966,36.492816,0.)); #466293=CARTESIAN_POINT('',(26.273966,36.492816,0.)); #466294=CARTESIAN_POINT('',(26.273966,36.492816,0.)); #466295=CARTESIAN_POINT('',(26.273966,36.492816,0.035)); #466296=CARTESIAN_POINT('',(26.273966,36.492816,0.035)); #466297=CARTESIAN_POINT('',(26.273966,36.492816,0.)); #466298=CARTESIAN_POINT('Origin',(26.303584,36.499325,0.)); #466299=CARTESIAN_POINT('',(26.303584,36.499325,0.)); #466300=CARTESIAN_POINT('',(26.303584,36.499325,0.)); #466301=CARTESIAN_POINT('',(26.303584,36.499325,0.035)); #466302=CARTESIAN_POINT('',(26.303584,36.499325,0.035)); #466303=CARTESIAN_POINT('',(26.303584,36.499325,0.)); #466304=CARTESIAN_POINT('Origin',(26.333397,36.493766,0.)); #466305=CARTESIAN_POINT('',(26.333397,36.493766,0.)); #466306=CARTESIAN_POINT('',(26.333397,36.493766,0.)); #466307=CARTESIAN_POINT('',(26.333397,36.493766,0.035)); #466308=CARTESIAN_POINT('',(26.333397,36.493766,0.035)); #466309=CARTESIAN_POINT('',(26.333397,36.493766,0.)); #466310=CARTESIAN_POINT('Origin',(26.358681,36.477016,0.)); #466311=CARTESIAN_POINT('',(26.358681,36.477016,0.)); #466312=CARTESIAN_POINT('',(26.358681,36.477016,0.)); #466313=CARTESIAN_POINT('',(26.358681,36.477016,0.035)); #466314=CARTESIAN_POINT('',(26.358681,36.477016,0.035)); #466315=CARTESIAN_POINT('',(26.358681,36.477016,0.)); #466316=CARTESIAN_POINT('Origin',(26.43675,36.398947,0.)); #466317=CARTESIAN_POINT('',(26.43675,36.398947,0.)); #466318=CARTESIAN_POINT('',(26.43675,36.398947,0.)); #466319=CARTESIAN_POINT('',(26.43675,36.398947,0.035)); #466320=CARTESIAN_POINT('',(26.43675,36.398947,0.035)); #466321=CARTESIAN_POINT('',(26.43675,36.398947,0.)); #466322=CARTESIAN_POINT('Origin',(26.45255,36.3759,0.)); #466323=CARTESIAN_POINT('',(26.45255,36.3759,0.)); #466324=CARTESIAN_POINT('',(26.45255,36.3759,0.)); #466325=CARTESIAN_POINT('',(26.45255,36.3759,0.035)); #466326=CARTESIAN_POINT('',(26.45255,36.3759,0.035)); #466327=CARTESIAN_POINT('',(26.45255,36.3759,0.)); #466328=CARTESIAN_POINT('Origin',(26.459059,36.346281,0.)); #466329=CARTESIAN_POINT('',(26.459059,36.346281,0.)); #466330=CARTESIAN_POINT('',(26.459059,36.346281,0.)); #466331=CARTESIAN_POINT('',(26.459059,36.346281,0.035)); #466332=CARTESIAN_POINT('',(26.459059,36.346281,0.035)); #466333=CARTESIAN_POINT('',(26.459059,36.346281,0.)); #466334=CARTESIAN_POINT('Origin',(26.4535,36.316469,0.)); #466335=CARTESIAN_POINT('',(26.4535,36.316469,0.)); #466336=CARTESIAN_POINT('',(26.4535,36.316469,0.)); #466337=CARTESIAN_POINT('',(26.4535,36.316469,0.035)); #466338=CARTESIAN_POINT('',(26.4535,36.316469,0.035)); #466339=CARTESIAN_POINT('',(26.4535,36.316469,0.)); #466340=CARTESIAN_POINT('Origin',(26.43675,36.291184,0.)); #466341=CARTESIAN_POINT('',(26.43675,36.291184,0.)); #466342=CARTESIAN_POINT('',(26.43675,36.291184,0.)); #466343=CARTESIAN_POINT('',(26.43675,36.291184,0.035)); #466344=CARTESIAN_POINT('',(26.43675,36.291184,0.035)); #466345=CARTESIAN_POINT('',(26.43675,36.291184,0.)); #466346=CARTESIAN_POINT('Origin',(26.428881,36.283316,0.)); #466347=CARTESIAN_POINT('',(26.428881,36.283316,0.)); #466348=CARTESIAN_POINT('',(26.428881,36.283316,0.)); #466349=CARTESIAN_POINT('',(26.428881,36.283316,0.035)); #466350=CARTESIAN_POINT('',(26.428881,36.283316,0.035)); #466351=CARTESIAN_POINT('',(26.428881,36.283316,0.)); #466352=CARTESIAN_POINT('Origin',(26.405834,36.267516,0.)); #466353=CARTESIAN_POINT('',(26.405834,36.267516,0.)); #466354=CARTESIAN_POINT('',(26.405834,36.267516,0.035)); #466355=CARTESIAN_POINT('Origin',(26.3416538963641,36.379841676012,0.035)); #466356=CARTESIAN_POINT('Origin',(26.3416538963641,36.379841676012,0.)); #466357=CARTESIAN_POINT('Origin',(24.608003,26.2286,0.)); #466358=CARTESIAN_POINT('',(24.608003,26.2286,0.)); #466359=CARTESIAN_POINT('',(24.637725,26.234634,0.)); #466360=CARTESIAN_POINT('',(24.608003,26.2286,0.)); #466361=CARTESIAN_POINT('',(24.637725,26.234634,0.035)); #466362=CARTESIAN_POINT('',(24.637725,26.234634,0.)); #466363=CARTESIAN_POINT('',(24.608003,26.2286,0.035)); #466364=CARTESIAN_POINT('',(24.608003,26.2286,0.035)); #466365=CARTESIAN_POINT('',(24.608003,26.2286,0.)); #466366=CARTESIAN_POINT('Origin',(24.4097,26.2286,0.)); #466367=CARTESIAN_POINT('',(24.4097,26.2286,0.)); #466368=CARTESIAN_POINT('',(24.4097,26.2286,0.)); #466369=CARTESIAN_POINT('',(24.4097,26.2286,0.035)); #466370=CARTESIAN_POINT('',(24.4097,26.2286,0.035)); #466371=CARTESIAN_POINT('',(24.4097,26.2286,0.)); #466372=CARTESIAN_POINT('Origin',(24.382231,26.233722,0.)); #466373=CARTESIAN_POINT('',(24.382231,26.233722,0.)); #466374=CARTESIAN_POINT('',(24.382231,26.233722,0.)); #466375=CARTESIAN_POINT('',(24.382231,26.233722,0.035)); #466376=CARTESIAN_POINT('',(24.382231,26.233722,0.035)); #466377=CARTESIAN_POINT('',(24.382231,26.233722,0.)); #466378=CARTESIAN_POINT('Origin',(24.356684,26.250066,0.)); #466379=CARTESIAN_POINT('',(24.356684,26.250066,0.)); #466380=CARTESIAN_POINT('',(24.356684,26.250066,0.)); #466381=CARTESIAN_POINT('',(24.356684,26.250066,0.035)); #466382=CARTESIAN_POINT('',(24.356684,26.250066,0.035)); #466383=CARTESIAN_POINT('',(24.356684,26.250066,0.)); #466384=CARTESIAN_POINT('Origin',(24.339534,26.275078,0.)); #466385=CARTESIAN_POINT('',(24.339534,26.275078,0.)); #466386=CARTESIAN_POINT('',(24.339534,26.275078,0.)); #466387=CARTESIAN_POINT('',(24.339534,26.275078,0.035)); #466388=CARTESIAN_POINT('',(24.339534,26.275078,0.035)); #466389=CARTESIAN_POINT('',(24.339534,26.275078,0.)); #466390=CARTESIAN_POINT('Origin',(24.3335,26.3048,0.)); #466391=CARTESIAN_POINT('',(24.3335,26.3048,0.)); #466392=CARTESIAN_POINT('',(24.3335,26.3048,0.)); #466393=CARTESIAN_POINT('',(24.3335,26.3048,0.035)); #466394=CARTESIAN_POINT('',(24.3335,26.3048,0.035)); #466395=CARTESIAN_POINT('',(24.3335,26.3048,0.)); #466396=CARTESIAN_POINT('Origin',(24.3335,26.507628,0.)); #466397=CARTESIAN_POINT('',(24.3335,26.507628,0.)); #466398=CARTESIAN_POINT('',(24.3335,26.507628,0.)); #466399=CARTESIAN_POINT('',(24.3335,26.507628,0.035)); #466400=CARTESIAN_POINT('',(24.3335,26.507628,0.035)); #466401=CARTESIAN_POINT('',(24.3335,26.507628,0.)); #466402=CARTESIAN_POINT('Origin',(24.244228,26.5969,0.)); #466403=CARTESIAN_POINT('',(24.244228,26.5969,0.)); #466404=CARTESIAN_POINT('',(24.244228,26.5969,0.)); #466405=CARTESIAN_POINT('',(24.244228,26.5969,0.035)); #466406=CARTESIAN_POINT('',(24.244228,26.5969,0.035)); #466407=CARTESIAN_POINT('',(24.244228,26.5969,0.)); #466408=CARTESIAN_POINT('Origin',(23.3048,26.5969,0.)); #466409=CARTESIAN_POINT('',(23.3048,26.5969,0.)); #466410=CARTESIAN_POINT('',(23.3048,26.5969,0.)); #466411=CARTESIAN_POINT('',(23.3048,26.5969,0.035)); #466412=CARTESIAN_POINT('',(23.3048,26.5969,0.035)); #466413=CARTESIAN_POINT('',(23.3048,26.5969,0.)); #466414=CARTESIAN_POINT('Origin',(23.277331,26.602022,0.)); #466415=CARTESIAN_POINT('',(23.277331,26.602022,0.)); #466416=CARTESIAN_POINT('',(23.277331,26.602022,0.)); #466417=CARTESIAN_POINT('',(23.277331,26.602022,0.035)); #466418=CARTESIAN_POINT('',(23.277331,26.602022,0.035)); #466419=CARTESIAN_POINT('',(23.277331,26.602022,0.)); #466420=CARTESIAN_POINT('Origin',(23.251784,26.618366,0.)); #466421=CARTESIAN_POINT('',(23.251784,26.618366,0.)); #466422=CARTESIAN_POINT('',(23.251784,26.618366,0.)); #466423=CARTESIAN_POINT('',(23.251784,26.618366,0.035)); #466424=CARTESIAN_POINT('',(23.251784,26.618366,0.035)); #466425=CARTESIAN_POINT('',(23.251784,26.618366,0.)); #466426=CARTESIAN_POINT('Origin',(23.234634,26.643378,0.)); #466427=CARTESIAN_POINT('',(23.234634,26.643378,0.)); #466428=CARTESIAN_POINT('',(23.234634,26.643378,0.)); #466429=CARTESIAN_POINT('',(23.234634,26.643378,0.035)); #466430=CARTESIAN_POINT('',(23.234634,26.643378,0.035)); #466431=CARTESIAN_POINT('',(23.234634,26.643378,0.)); #466432=CARTESIAN_POINT('Origin',(23.2286,26.6731,0.)); #466433=CARTESIAN_POINT('',(23.2286,26.6731,0.)); #466434=CARTESIAN_POINT('',(23.2286,26.6731,0.)); #466435=CARTESIAN_POINT('',(23.2286,26.6731,0.035)); #466436=CARTESIAN_POINT('',(23.2286,26.6731,0.035)); #466437=CARTESIAN_POINT('',(23.2286,26.6731,0.)); #466438=CARTESIAN_POINT('Origin',(23.2286,27.594691,0.)); #466439=CARTESIAN_POINT('',(23.2286,27.594691,0.)); #466440=CARTESIAN_POINT('',(23.2286,27.594691,0.)); #466441=CARTESIAN_POINT('',(23.2286,27.594691,0.035)); #466442=CARTESIAN_POINT('',(23.2286,27.594691,0.035)); #466443=CARTESIAN_POINT('',(23.2286,27.594691,0.)); #466444=CARTESIAN_POINT('Origin',(22.356691,28.4666,0.)); #466445=CARTESIAN_POINT('',(22.356691,28.4666,0.)); #466446=CARTESIAN_POINT('',(22.356691,28.4666,0.)); #466447=CARTESIAN_POINT('',(22.356691,28.4666,0.035)); #466448=CARTESIAN_POINT('',(22.356691,28.4666,0.035)); #466449=CARTESIAN_POINT('',(22.356691,28.4666,0.)); #466450=CARTESIAN_POINT('Origin',(21.6731,28.4666,0.)); #466451=CARTESIAN_POINT('',(21.6731,28.4666,0.)); #466452=CARTESIAN_POINT('',(21.6731,28.4666,0.)); #466453=CARTESIAN_POINT('',(21.6731,28.4666,0.035)); #466454=CARTESIAN_POINT('',(21.6731,28.4666,0.035)); #466455=CARTESIAN_POINT('',(21.6731,28.4666,0.)); #466456=CARTESIAN_POINT('Origin',(21.645631,28.471722,0.)); #466457=CARTESIAN_POINT('',(21.645631,28.471722,0.)); #466458=CARTESIAN_POINT('',(21.645631,28.471722,0.)); #466459=CARTESIAN_POINT('',(21.645631,28.471722,0.035)); #466460=CARTESIAN_POINT('',(21.645631,28.471722,0.035)); #466461=CARTESIAN_POINT('',(21.645631,28.471722,0.)); #466462=CARTESIAN_POINT('Origin',(21.620084,28.488066,0.)); #466463=CARTESIAN_POINT('',(21.620084,28.488066,0.)); #466464=CARTESIAN_POINT('',(21.620084,28.488066,0.)); #466465=CARTESIAN_POINT('',(21.620084,28.488066,0.035)); #466466=CARTESIAN_POINT('',(21.620084,28.488066,0.035)); #466467=CARTESIAN_POINT('',(21.620084,28.488066,0.)); #466468=CARTESIAN_POINT('Origin',(21.602934,28.513078,0.)); #466469=CARTESIAN_POINT('',(21.602934,28.513078,0.)); #466470=CARTESIAN_POINT('',(21.602934,28.513078,0.)); #466471=CARTESIAN_POINT('',(21.602934,28.513078,0.035)); #466472=CARTESIAN_POINT('',(21.602934,28.513078,0.035)); #466473=CARTESIAN_POINT('',(21.602934,28.513078,0.)); #466474=CARTESIAN_POINT('Origin',(21.5969,28.5428,0.)); #466475=CARTESIAN_POINT('',(21.5969,28.5428,0.)); #466476=CARTESIAN_POINT('',(21.5969,28.5428,0.)); #466477=CARTESIAN_POINT('',(21.5969,28.5428,0.035)); #466478=CARTESIAN_POINT('',(21.5969,28.5428,0.035)); #466479=CARTESIAN_POINT('',(21.5969,28.5428,0.)); #466480=CARTESIAN_POINT('Origin',(21.5969,28.6952,0.)); #466481=CARTESIAN_POINT('',(21.5969,28.6952,0.)); #466482=CARTESIAN_POINT('',(21.5969,28.6952,0.)); #466483=CARTESIAN_POINT('',(21.5969,28.6952,0.035)); #466484=CARTESIAN_POINT('',(21.5969,28.6952,0.035)); #466485=CARTESIAN_POINT('',(21.5969,28.6952,0.)); #466486=CARTESIAN_POINT('Origin',(21.602022,28.722669,0.)); #466487=CARTESIAN_POINT('',(21.602022,28.722669,0.)); #466488=CARTESIAN_POINT('',(21.602022,28.722669,0.)); #466489=CARTESIAN_POINT('',(21.602022,28.722669,0.035)); #466490=CARTESIAN_POINT('',(21.602022,28.722669,0.035)); #466491=CARTESIAN_POINT('',(21.602022,28.722669,0.)); #466492=CARTESIAN_POINT('Origin',(21.618366,28.748216,0.)); #466493=CARTESIAN_POINT('',(21.618366,28.748216,0.)); #466494=CARTESIAN_POINT('',(21.618366,28.748216,0.)); #466495=CARTESIAN_POINT('',(21.618366,28.748216,0.035)); #466496=CARTESIAN_POINT('',(21.618366,28.748216,0.035)); #466497=CARTESIAN_POINT('',(21.618366,28.748216,0.)); #466498=CARTESIAN_POINT('Origin',(21.643378,28.765366,0.)); #466499=CARTESIAN_POINT('',(21.643378,28.765366,0.)); #466500=CARTESIAN_POINT('',(21.643378,28.765366,0.)); #466501=CARTESIAN_POINT('',(21.643378,28.765366,0.035)); #466502=CARTESIAN_POINT('',(21.643378,28.765366,0.035)); #466503=CARTESIAN_POINT('',(21.643378,28.765366,0.)); #466504=CARTESIAN_POINT('Origin',(21.6731,28.7714,0.)); #466505=CARTESIAN_POINT('',(21.6731,28.7714,0.)); #466506=CARTESIAN_POINT('',(21.6731,28.7714,0.)); #466507=CARTESIAN_POINT('',(21.6731,28.7714,0.035)); #466508=CARTESIAN_POINT('',(21.6731,28.7714,0.035)); #466509=CARTESIAN_POINT('',(21.6731,28.7714,0.)); #466510=CARTESIAN_POINT('Origin',(24.3269,28.7714,0.)); #466511=CARTESIAN_POINT('',(24.3269,28.7714,0.)); #466512=CARTESIAN_POINT('',(24.3269,28.7714,0.)); #466513=CARTESIAN_POINT('',(24.3269,28.7714,0.035)); #466514=CARTESIAN_POINT('',(24.3269,28.7714,0.035)); #466515=CARTESIAN_POINT('',(24.3269,28.7714,0.)); #466516=CARTESIAN_POINT('Origin',(24.354369,28.766278,0.)); #466517=CARTESIAN_POINT('',(24.354369,28.766278,0.)); #466518=CARTESIAN_POINT('',(24.354369,28.766278,0.)); #466519=CARTESIAN_POINT('',(24.354369,28.766278,0.035)); #466520=CARTESIAN_POINT('',(24.354369,28.766278,0.035)); #466521=CARTESIAN_POINT('',(24.354369,28.766278,0.)); #466522=CARTESIAN_POINT('Origin',(24.379916,28.749934,0.)); #466523=CARTESIAN_POINT('',(24.379916,28.749934,0.)); #466524=CARTESIAN_POINT('',(24.379916,28.749934,0.)); #466525=CARTESIAN_POINT('',(24.379916,28.749934,0.035)); #466526=CARTESIAN_POINT('',(24.379916,28.749934,0.035)); #466527=CARTESIAN_POINT('',(24.379916,28.749934,0.)); #466528=CARTESIAN_POINT('Origin',(24.397066,28.724922,0.)); #466529=CARTESIAN_POINT('',(24.397066,28.724922,0.)); #466530=CARTESIAN_POINT('',(24.397066,28.724922,0.)); #466531=CARTESIAN_POINT('',(24.397066,28.724922,0.035)); #466532=CARTESIAN_POINT('',(24.397066,28.724922,0.035)); #466533=CARTESIAN_POINT('',(24.397066,28.724922,0.)); #466534=CARTESIAN_POINT('Origin',(24.4031,28.6952,0.)); #466535=CARTESIAN_POINT('',(24.4031,28.6952,0.)); #466536=CARTESIAN_POINT('',(24.4031,28.6952,0.)); #466537=CARTESIAN_POINT('',(24.4031,28.6952,0.035)); #466538=CARTESIAN_POINT('',(24.4031,28.6952,0.035)); #466539=CARTESIAN_POINT('',(24.4031,28.6952,0.)); #466540=CARTESIAN_POINT('Origin',(24.4031,27.755772,0.)); #466541=CARTESIAN_POINT('',(24.4031,27.755772,0.)); #466542=CARTESIAN_POINT('',(24.4031,27.755772,0.)); #466543=CARTESIAN_POINT('',(24.4031,27.755772,0.035)); #466544=CARTESIAN_POINT('',(24.4031,27.755772,0.035)); #466545=CARTESIAN_POINT('',(24.4031,27.755772,0.)); #466546=CARTESIAN_POINT('Origin',(24.492372,27.6665,0.)); #466547=CARTESIAN_POINT('',(24.492372,27.6665,0.)); #466548=CARTESIAN_POINT('',(24.492372,27.6665,0.)); #466549=CARTESIAN_POINT('',(24.492372,27.6665,0.035)); #466550=CARTESIAN_POINT('',(24.492372,27.6665,0.035)); #466551=CARTESIAN_POINT('',(24.492372,27.6665,0.)); #466552=CARTESIAN_POINT('Origin',(24.6952,27.6665,0.)); #466553=CARTESIAN_POINT('',(24.6952,27.6665,0.)); #466554=CARTESIAN_POINT('',(24.6952,27.6665,0.)); #466555=CARTESIAN_POINT('',(24.6952,27.6665,0.035)); #466556=CARTESIAN_POINT('',(24.6952,27.6665,0.035)); #466557=CARTESIAN_POINT('',(24.6952,27.6665,0.)); #466558=CARTESIAN_POINT('Origin',(24.722669,27.661378,0.)); #466559=CARTESIAN_POINT('',(24.722669,27.661378,0.)); #466560=CARTESIAN_POINT('',(24.722669,27.661378,0.)); #466561=CARTESIAN_POINT('',(24.722669,27.661378,0.035)); #466562=CARTESIAN_POINT('',(24.722669,27.661378,0.035)); #466563=CARTESIAN_POINT('',(24.722669,27.661378,0.)); #466564=CARTESIAN_POINT('Origin',(24.748216,27.645034,0.)); #466565=CARTESIAN_POINT('',(24.748216,27.645034,0.)); #466566=CARTESIAN_POINT('',(24.748216,27.645034,0.)); #466567=CARTESIAN_POINT('',(24.748216,27.645034,0.035)); #466568=CARTESIAN_POINT('',(24.748216,27.645034,0.035)); #466569=CARTESIAN_POINT('',(24.748216,27.645034,0.)); #466570=CARTESIAN_POINT('Origin',(24.765366,27.620022,0.)); #466571=CARTESIAN_POINT('',(24.765366,27.620022,0.)); #466572=CARTESIAN_POINT('',(24.765366,27.620022,0.)); #466573=CARTESIAN_POINT('',(24.765366,27.620022,0.035)); #466574=CARTESIAN_POINT('',(24.765366,27.620022,0.035)); #466575=CARTESIAN_POINT('',(24.765366,27.620022,0.)); #466576=CARTESIAN_POINT('Origin',(24.7714,27.5903,0.)); #466577=CARTESIAN_POINT('',(24.7714,27.5903,0.)); #466578=CARTESIAN_POINT('',(24.7714,27.5903,0.)); #466579=CARTESIAN_POINT('',(24.7714,27.5903,0.035)); #466580=CARTESIAN_POINT('',(24.7714,27.5903,0.035)); #466581=CARTESIAN_POINT('',(24.7714,27.5903,0.)); #466582=CARTESIAN_POINT('Origin',(24.7714,27.032397,0.)); #466583=CARTESIAN_POINT('',(24.7714,27.032397,0.)); #466584=CARTESIAN_POINT('',(24.7714,27.032397,0.)); #466585=CARTESIAN_POINT('',(24.7714,27.032397,0.035)); #466586=CARTESIAN_POINT('',(24.7714,27.032397,0.035)); #466587=CARTESIAN_POINT('',(24.7714,27.032397,0.)); #466588=CARTESIAN_POINT('Origin',(24.765831,27.0038,0.)); #466589=CARTESIAN_POINT('',(24.765831,27.0038,0.)); #466590=CARTESIAN_POINT('',(24.765831,27.0038,0.)); #466591=CARTESIAN_POINT('',(24.765831,27.0038,0.035)); #466592=CARTESIAN_POINT('',(24.765831,27.0038,0.035)); #466593=CARTESIAN_POINT('',(24.765831,27.0038,0.)); #466594=CARTESIAN_POINT('Origin',(24.749081,26.978516,0.)); #466595=CARTESIAN_POINT('',(24.749081,26.978516,0.)); #466596=CARTESIAN_POINT('',(24.749081,26.978516,0.)); #466597=CARTESIAN_POINT('',(24.749081,26.978516,0.035)); #466598=CARTESIAN_POINT('',(24.749081,26.978516,0.035)); #466599=CARTESIAN_POINT('',(24.749081,26.978516,0.)); #466600=CARTESIAN_POINT('Origin',(24.5726,26.802034,0.)); #466601=CARTESIAN_POINT('',(24.5726,26.802034,0.)); #466602=CARTESIAN_POINT('',(24.5726,26.802034,0.)); #466603=CARTESIAN_POINT('',(24.5726,26.802034,0.035)); #466604=CARTESIAN_POINT('',(24.5726,26.802034,0.035)); #466605=CARTESIAN_POINT('',(24.5726,26.802034,0.)); #466606=CARTESIAN_POINT('Origin',(24.5726,26.447966,0.)); #466607=CARTESIAN_POINT('',(24.5726,26.447966,0.)); #466608=CARTESIAN_POINT('',(24.5726,26.447966,0.)); #466609=CARTESIAN_POINT('',(24.5726,26.447966,0.035)); #466610=CARTESIAN_POINT('',(24.5726,26.447966,0.035)); #466611=CARTESIAN_POINT('',(24.5726,26.447966,0.)); #466612=CARTESIAN_POINT('Origin',(24.661884,26.358681,0.)); #466613=CARTESIAN_POINT('',(24.661884,26.358681,0.)); #466614=CARTESIAN_POINT('',(24.661884,26.358681,0.)); #466615=CARTESIAN_POINT('',(24.661884,26.358681,0.035)); #466616=CARTESIAN_POINT('',(24.661884,26.358681,0.035)); #466617=CARTESIAN_POINT('',(24.661884,26.358681,0.)); #466618=CARTESIAN_POINT('Origin',(24.677184,26.336744,0.)); #466619=CARTESIAN_POINT('',(24.677184,26.336744,0.)); #466620=CARTESIAN_POINT('',(24.677184,26.336744,0.)); #466621=CARTESIAN_POINT('',(24.677184,26.336744,0.035)); #466622=CARTESIAN_POINT('',(24.677184,26.336744,0.035)); #466623=CARTESIAN_POINT('',(24.677184,26.336744,0.)); #466624=CARTESIAN_POINT('Origin',(24.684166,26.307228,0.)); #466625=CARTESIAN_POINT('',(24.684166,26.307228,0.)); #466626=CARTESIAN_POINT('',(24.684166,26.307228,0.)); #466627=CARTESIAN_POINT('',(24.684166,26.307228,0.035)); #466628=CARTESIAN_POINT('',(24.684166,26.307228,0.035)); #466629=CARTESIAN_POINT('',(24.684166,26.307228,0.)); #466630=CARTESIAN_POINT('Origin',(24.679081,26.277331,0.)); #466631=CARTESIAN_POINT('',(24.679081,26.277331,0.)); #466632=CARTESIAN_POINT('',(24.679081,26.277331,0.)); #466633=CARTESIAN_POINT('',(24.679081,26.277331,0.035)); #466634=CARTESIAN_POINT('',(24.679081,26.277331,0.035)); #466635=CARTESIAN_POINT('',(24.679081,26.277331,0.)); #466636=CARTESIAN_POINT('Origin',(24.662738,26.251784,0.)); #466637=CARTESIAN_POINT('',(24.662738,26.251784,0.)); #466638=CARTESIAN_POINT('',(24.662738,26.251784,0.)); #466639=CARTESIAN_POINT('',(24.662738,26.251784,0.035)); #466640=CARTESIAN_POINT('',(24.662738,26.251784,0.035)); #466641=CARTESIAN_POINT('',(24.662738,26.251784,0.)); #466642=CARTESIAN_POINT('Origin',(24.637725,26.234634,0.)); #466643=CARTESIAN_POINT('',(24.637725,26.234634,0.)); #466644=CARTESIAN_POINT('',(24.637725,26.234634,0.035)); #466645=CARTESIAN_POINT('Origin',(23.4853014053421,27.7870657666569,0.035)); #466646=CARTESIAN_POINT('Origin',(23.4853014053421,27.7870657666569,0.)); #466647=CARTESIAN_POINT('Origin',(20.377147,39.3536,0.)); #466648=CARTESIAN_POINT('',(20.377147,39.3536,0.)); #466649=CARTESIAN_POINT('',(20.406869,39.359634,0.)); #466650=CARTESIAN_POINT('',(20.377147,39.3536,0.)); #466651=CARTESIAN_POINT('',(20.406869,39.359634,0.035)); #466652=CARTESIAN_POINT('',(20.406869,39.359634,0.)); #466653=CARTESIAN_POINT('',(20.377147,39.3536,0.035)); #466654=CARTESIAN_POINT('',(20.377147,39.3536,0.035)); #466655=CARTESIAN_POINT('',(20.377147,39.3536,0.)); #466656=CARTESIAN_POINT('Origin',(19.751253,39.3536,0.)); #466657=CARTESIAN_POINT('',(19.751253,39.3536,0.)); #466658=CARTESIAN_POINT('',(19.751253,39.3536,0.)); #466659=CARTESIAN_POINT('',(19.751253,39.3536,0.035)); #466660=CARTESIAN_POINT('',(19.751253,39.3536,0.035)); #466661=CARTESIAN_POINT('',(19.751253,39.3536,0.)); #466662=CARTESIAN_POINT('Origin',(19.722656,39.359169,0.)); #466663=CARTESIAN_POINT('',(19.722656,39.359169,0.)); #466664=CARTESIAN_POINT('',(19.722656,39.359169,0.)); #466665=CARTESIAN_POINT('',(19.722656,39.359169,0.035)); #466666=CARTESIAN_POINT('',(19.722656,39.359169,0.035)); #466667=CARTESIAN_POINT('',(19.722656,39.359169,0.)); #466668=CARTESIAN_POINT('Origin',(19.697372,39.375919,0.)); #466669=CARTESIAN_POINT('',(19.697372,39.375919,0.)); #466670=CARTESIAN_POINT('',(19.697372,39.375919,0.)); #466671=CARTESIAN_POINT('',(19.697372,39.375919,0.035)); #466672=CARTESIAN_POINT('',(19.697372,39.375919,0.035)); #466673=CARTESIAN_POINT('',(19.697372,39.375919,0.)); #466674=CARTESIAN_POINT('Origin',(19.536872,39.536419,0.)); #466675=CARTESIAN_POINT('',(19.536872,39.536419,0.)); #466676=CARTESIAN_POINT('',(19.536872,39.536419,0.)); #466677=CARTESIAN_POINT('',(19.536872,39.536419,0.035)); #466678=CARTESIAN_POINT('',(19.536872,39.536419,0.035)); #466679=CARTESIAN_POINT('',(19.536872,39.536419,0.)); #466680=CARTESIAN_POINT('Origin',(19.521572,39.558356,0.)); #466681=CARTESIAN_POINT('',(19.521572,39.558356,0.)); #466682=CARTESIAN_POINT('',(19.521572,39.558356,0.)); #466683=CARTESIAN_POINT('',(19.521572,39.558356,0.035)); #466684=CARTESIAN_POINT('',(19.521572,39.558356,0.035)); #466685=CARTESIAN_POINT('',(19.521572,39.558356,0.)); #466686=CARTESIAN_POINT('Origin',(19.514591,39.587872,0.)); #466687=CARTESIAN_POINT('',(19.514591,39.587872,0.)); #466688=CARTESIAN_POINT('',(19.514591,39.587872,0.)); #466689=CARTESIAN_POINT('',(19.514591,39.587872,0.035)); #466690=CARTESIAN_POINT('',(19.514591,39.587872,0.035)); #466691=CARTESIAN_POINT('',(19.514591,39.587872,0.)); #466692=CARTESIAN_POINT('Origin',(19.519675,39.617769,0.)); #466693=CARTESIAN_POINT('',(19.519675,39.617769,0.)); #466694=CARTESIAN_POINT('',(19.519675,39.617769,0.)); #466695=CARTESIAN_POINT('',(19.519675,39.617769,0.035)); #466696=CARTESIAN_POINT('',(19.519675,39.617769,0.035)); #466697=CARTESIAN_POINT('',(19.519675,39.617769,0.)); #466698=CARTESIAN_POINT('Origin',(19.536019,39.643316,0.)); #466699=CARTESIAN_POINT('',(19.536019,39.643316,0.)); #466700=CARTESIAN_POINT('',(19.536019,39.643316,0.)); #466701=CARTESIAN_POINT('',(19.536019,39.643316,0.035)); #466702=CARTESIAN_POINT('',(19.536019,39.643316,0.035)); #466703=CARTESIAN_POINT('',(19.536019,39.643316,0.)); #466704=CARTESIAN_POINT('Origin',(19.561031,39.660466,0.)); #466705=CARTESIAN_POINT('',(19.561031,39.660466,0.)); #466706=CARTESIAN_POINT('',(19.561031,39.660466,0.)); #466707=CARTESIAN_POINT('',(19.561031,39.660466,0.035)); #466708=CARTESIAN_POINT('',(19.561031,39.660466,0.035)); #466709=CARTESIAN_POINT('',(19.561031,39.660466,0.)); #466710=CARTESIAN_POINT('Origin',(19.590753,39.6665,0.)); #466711=CARTESIAN_POINT('',(19.590753,39.6665,0.)); #466712=CARTESIAN_POINT('',(19.590753,39.6665,0.)); #466713=CARTESIAN_POINT('',(19.590753,39.6665,0.035)); #466714=CARTESIAN_POINT('',(19.590753,39.6665,0.035)); #466715=CARTESIAN_POINT('',(19.590753,39.6665,0.)); #466716=CARTESIAN_POINT('Origin',(20.216647,39.6665,0.)); #466717=CARTESIAN_POINT('',(20.216647,39.6665,0.)); #466718=CARTESIAN_POINT('',(20.216647,39.6665,0.)); #466719=CARTESIAN_POINT('',(20.216647,39.6665,0.035)); #466720=CARTESIAN_POINT('',(20.216647,39.6665,0.035)); #466721=CARTESIAN_POINT('',(20.216647,39.6665,0.)); #466722=CARTESIAN_POINT('Origin',(20.245244,39.660931,0.)); #466723=CARTESIAN_POINT('',(20.245244,39.660931,0.)); #466724=CARTESIAN_POINT('',(20.245244,39.660931,0.)); #466725=CARTESIAN_POINT('',(20.245244,39.660931,0.035)); #466726=CARTESIAN_POINT('',(20.245244,39.660931,0.035)); #466727=CARTESIAN_POINT('',(20.245244,39.660931,0.)); #466728=CARTESIAN_POINT('Origin',(20.270528,39.644181,0.)); #466729=CARTESIAN_POINT('',(20.270528,39.644181,0.)); #466730=CARTESIAN_POINT('',(20.270528,39.644181,0.)); #466731=CARTESIAN_POINT('',(20.270528,39.644181,0.035)); #466732=CARTESIAN_POINT('',(20.270528,39.644181,0.035)); #466733=CARTESIAN_POINT('',(20.270528,39.644181,0.)); #466734=CARTESIAN_POINT('Origin',(20.431028,39.483681,0.)); #466735=CARTESIAN_POINT('',(20.431028,39.483681,0.)); #466736=CARTESIAN_POINT('',(20.431028,39.483681,0.)); #466737=CARTESIAN_POINT('',(20.431028,39.483681,0.035)); #466738=CARTESIAN_POINT('',(20.431028,39.483681,0.035)); #466739=CARTESIAN_POINT('',(20.431028,39.483681,0.)); #466740=CARTESIAN_POINT('Origin',(20.446328,39.461744,0.)); #466741=CARTESIAN_POINT('',(20.446328,39.461744,0.)); #466742=CARTESIAN_POINT('',(20.446328,39.461744,0.)); #466743=CARTESIAN_POINT('',(20.446328,39.461744,0.035)); #466744=CARTESIAN_POINT('',(20.446328,39.461744,0.035)); #466745=CARTESIAN_POINT('',(20.446328,39.461744,0.)); #466746=CARTESIAN_POINT('Origin',(20.453309,39.432228,0.)); #466747=CARTESIAN_POINT('',(20.453309,39.432228,0.)); #466748=CARTESIAN_POINT('',(20.453309,39.432228,0.)); #466749=CARTESIAN_POINT('',(20.453309,39.432228,0.035)); #466750=CARTESIAN_POINT('',(20.453309,39.432228,0.035)); #466751=CARTESIAN_POINT('',(20.453309,39.432228,0.)); #466752=CARTESIAN_POINT('Origin',(20.448225,39.402331,0.)); #466753=CARTESIAN_POINT('',(20.448225,39.402331,0.)); #466754=CARTESIAN_POINT('',(20.448225,39.402331,0.)); #466755=CARTESIAN_POINT('',(20.448225,39.402331,0.035)); #466756=CARTESIAN_POINT('',(20.448225,39.402331,0.035)); #466757=CARTESIAN_POINT('',(20.448225,39.402331,0.)); #466758=CARTESIAN_POINT('Origin',(20.431881,39.376784,0.)); #466759=CARTESIAN_POINT('',(20.431881,39.376784,0.)); #466760=CARTESIAN_POINT('',(20.431881,39.376784,0.)); #466761=CARTESIAN_POINT('',(20.431881,39.376784,0.035)); #466762=CARTESIAN_POINT('',(20.431881,39.376784,0.035)); #466763=CARTESIAN_POINT('',(20.431881,39.376784,0.)); #466764=CARTESIAN_POINT('Origin',(20.406869,39.359634,0.)); #466765=CARTESIAN_POINT('',(20.406869,39.359634,0.)); #466766=CARTESIAN_POINT('',(20.406869,39.359634,0.035)); #466767=CARTESIAN_POINT('Origin',(19.98395,39.51005,0.035)); #466768=CARTESIAN_POINT('Origin',(19.98395,39.51005,0.)); #466769=CARTESIAN_POINT('Origin',(37.447022,27.949113,0.)); #466770=CARTESIAN_POINT('',(37.447022,27.949113,0.)); #466771=CARTESIAN_POINT('',(37.476591,27.955856,0.)); #466772=CARTESIAN_POINT('',(37.447022,27.949113,0.)); #466773=CARTESIAN_POINT('',(37.476591,27.955856,0.035)); #466774=CARTESIAN_POINT('',(37.476591,27.955856,0.)); #466775=CARTESIAN_POINT('',(37.447022,27.949113,0.035)); #466776=CARTESIAN_POINT('',(37.447022,27.949113,0.035)); #466777=CARTESIAN_POINT('',(37.447022,27.949113,0.)); #466778=CARTESIAN_POINT('Origin',(37.417166,27.954434,0.)); #466779=CARTESIAN_POINT('',(37.417166,27.954434,0.)); #466780=CARTESIAN_POINT('',(37.417166,27.954434,0.)); #466781=CARTESIAN_POINT('',(37.417166,27.954434,0.035)); #466782=CARTESIAN_POINT('',(37.417166,27.954434,0.035)); #466783=CARTESIAN_POINT('',(37.417166,27.954434,0.)); #466784=CARTESIAN_POINT('Origin',(37.39175,27.970981,0.)); #466785=CARTESIAN_POINT('',(37.39175,27.970981,0.)); #466786=CARTESIAN_POINT('',(37.39175,27.970981,0.)); #466787=CARTESIAN_POINT('',(37.39175,27.970981,0.035)); #466788=CARTESIAN_POINT('',(37.39175,27.970981,0.035)); #466789=CARTESIAN_POINT('',(37.39175,27.970981,0.)); #466790=CARTESIAN_POINT('Origin',(37.3748,27.996131,0.)); #466791=CARTESIAN_POINT('',(37.3748,27.996131,0.)); #466792=CARTESIAN_POINT('',(37.3748,27.996131,0.)); #466793=CARTESIAN_POINT('',(37.3748,27.996131,0.035)); #466794=CARTESIAN_POINT('',(37.3748,27.996131,0.035)); #466795=CARTESIAN_POINT('',(37.3748,27.996131,0.)); #466796=CARTESIAN_POINT('Origin',(37.265016,28.261169,0.)); #466797=CARTESIAN_POINT('',(37.265016,28.261169,0.)); #466798=CARTESIAN_POINT('',(37.265016,28.261169,0.)); #466799=CARTESIAN_POINT('',(37.265016,28.261169,0.035)); #466800=CARTESIAN_POINT('',(37.265016,28.261169,0.035)); #466801=CARTESIAN_POINT('',(37.265016,28.261169,0.)); #466802=CARTESIAN_POINT('Origin',(37.011169,28.515016,0.)); #466803=CARTESIAN_POINT('',(37.011169,28.515016,0.)); #466804=CARTESIAN_POINT('',(37.011169,28.515016,0.)); #466805=CARTESIAN_POINT('',(37.011169,28.515016,0.035)); #466806=CARTESIAN_POINT('',(37.011169,28.515016,0.035)); #466807=CARTESIAN_POINT('',(37.011169,28.515016,0.)); #466808=CARTESIAN_POINT('Origin',(36.6795,28.6524,0.)); #466809=CARTESIAN_POINT('',(36.6795,28.6524,0.)); #466810=CARTESIAN_POINT('',(36.6795,28.6524,0.)); #466811=CARTESIAN_POINT('',(36.6795,28.6524,0.035)); #466812=CARTESIAN_POINT('',(36.6795,28.6524,0.035)); #466813=CARTESIAN_POINT('',(36.6795,28.6524,0.)); #466814=CARTESIAN_POINT('Origin',(36.3205,28.6524,0.)); #466815=CARTESIAN_POINT('',(36.3205,28.6524,0.)); #466816=CARTESIAN_POINT('',(36.3205,28.6524,0.)); #466817=CARTESIAN_POINT('',(36.3205,28.6524,0.035)); #466818=CARTESIAN_POINT('',(36.3205,28.6524,0.035)); #466819=CARTESIAN_POINT('',(36.3205,28.6524,0.)); #466820=CARTESIAN_POINT('Origin',(35.988831,28.515016,0.)); #466821=CARTESIAN_POINT('',(35.988831,28.515016,0.)); #466822=CARTESIAN_POINT('',(35.988831,28.515016,0.)); #466823=CARTESIAN_POINT('',(35.988831,28.515016,0.035)); #466824=CARTESIAN_POINT('',(35.988831,28.515016,0.035)); #466825=CARTESIAN_POINT('',(35.988831,28.515016,0.)); #466826=CARTESIAN_POINT('Origin',(35.796181,28.322366,0.)); #466827=CARTESIAN_POINT('',(35.796181,28.322366,0.)); #466828=CARTESIAN_POINT('',(35.796181,28.322366,0.)); #466829=CARTESIAN_POINT('',(35.796181,28.322366,0.035)); #466830=CARTESIAN_POINT('',(35.796181,28.322366,0.035)); #466831=CARTESIAN_POINT('',(35.796181,28.322366,0.)); #466832=CARTESIAN_POINT('Origin',(35.774244,28.307066,0.)); #466833=CARTESIAN_POINT('',(35.774244,28.307066,0.)); #466834=CARTESIAN_POINT('',(35.774244,28.307066,0.)); #466835=CARTESIAN_POINT('',(35.774244,28.307066,0.035)); #466836=CARTESIAN_POINT('',(35.774244,28.307066,0.035)); #466837=CARTESIAN_POINT('',(35.774244,28.307066,0.)); #466838=CARTESIAN_POINT('Origin',(35.744728,28.300084,0.)); #466839=CARTESIAN_POINT('',(35.744728,28.300084,0.)); #466840=CARTESIAN_POINT('',(35.744728,28.300084,0.)); #466841=CARTESIAN_POINT('',(35.744728,28.300084,0.035)); #466842=CARTESIAN_POINT('',(35.744728,28.300084,0.035)); #466843=CARTESIAN_POINT('',(35.744728,28.300084,0.)); #466844=CARTESIAN_POINT('Origin',(35.714831,28.305169,0.)); #466845=CARTESIAN_POINT('',(35.714831,28.305169,0.)); #466846=CARTESIAN_POINT('',(35.714831,28.305169,0.)); #466847=CARTESIAN_POINT('',(35.714831,28.305169,0.035)); #466848=CARTESIAN_POINT('',(35.714831,28.305169,0.035)); #466849=CARTESIAN_POINT('',(35.714831,28.305169,0.)); #466850=CARTESIAN_POINT('Origin',(35.689284,28.321513,0.)); #466851=CARTESIAN_POINT('',(35.689284,28.321513,0.)); #466852=CARTESIAN_POINT('',(35.689284,28.321513,0.)); #466853=CARTESIAN_POINT('',(35.689284,28.321513,0.035)); #466854=CARTESIAN_POINT('',(35.689284,28.321513,0.035)); #466855=CARTESIAN_POINT('',(35.689284,28.321513,0.)); #466856=CARTESIAN_POINT('Origin',(35.672134,28.346525,0.)); #466857=CARTESIAN_POINT('',(35.672134,28.346525,0.)); #466858=CARTESIAN_POINT('',(35.672134,28.346525,0.)); #466859=CARTESIAN_POINT('',(35.672134,28.346525,0.035)); #466860=CARTESIAN_POINT('',(35.672134,28.346525,0.035)); #466861=CARTESIAN_POINT('',(35.672134,28.346525,0.)); #466862=CARTESIAN_POINT('Origin',(35.6661,28.376247,0.)); #466863=CARTESIAN_POINT('',(35.6661,28.376247,0.)); #466864=CARTESIAN_POINT('',(35.6661,28.376247,0.)); #466865=CARTESIAN_POINT('',(35.6661,28.376247,0.035)); #466866=CARTESIAN_POINT('',(35.6661,28.376247,0.035)); #466867=CARTESIAN_POINT('',(35.6661,28.376247,0.)); #466868=CARTESIAN_POINT('Origin',(35.6661,30.1745,0.)); #466869=CARTESIAN_POINT('',(35.6661,30.1745,0.)); #466870=CARTESIAN_POINT('',(35.6661,30.1745,0.)); #466871=CARTESIAN_POINT('',(35.6661,30.1745,0.035)); #466872=CARTESIAN_POINT('',(35.6661,30.1745,0.035)); #466873=CARTESIAN_POINT('',(35.6661,30.1745,0.)); #466874=CARTESIAN_POINT('Origin',(35.671222,30.201969,0.)); #466875=CARTESIAN_POINT('',(35.671222,30.201969,0.)); #466876=CARTESIAN_POINT('',(35.671222,30.201969,0.)); #466877=CARTESIAN_POINT('',(35.671222,30.201969,0.035)); #466878=CARTESIAN_POINT('',(35.671222,30.201969,0.035)); #466879=CARTESIAN_POINT('',(35.671222,30.201969,0.)); #466880=CARTESIAN_POINT('Origin',(35.687566,30.227516,0.)); #466881=CARTESIAN_POINT('',(35.687566,30.227516,0.)); #466882=CARTESIAN_POINT('',(35.687566,30.227516,0.)); #466883=CARTESIAN_POINT('',(35.687566,30.227516,0.035)); #466884=CARTESIAN_POINT('',(35.687566,30.227516,0.035)); #466885=CARTESIAN_POINT('',(35.687566,30.227516,0.)); #466886=CARTESIAN_POINT('Origin',(35.712578,30.244666,0.)); #466887=CARTESIAN_POINT('',(35.712578,30.244666,0.)); #466888=CARTESIAN_POINT('',(35.712578,30.244666,0.)); #466889=CARTESIAN_POINT('',(35.712578,30.244666,0.035)); #466890=CARTESIAN_POINT('',(35.712578,30.244666,0.035)); #466891=CARTESIAN_POINT('',(35.712578,30.244666,0.)); #466892=CARTESIAN_POINT('Origin',(35.7423,30.2507,0.)); #466893=CARTESIAN_POINT('',(35.7423,30.2507,0.)); #466894=CARTESIAN_POINT('',(35.7423,30.2507,0.)); #466895=CARTESIAN_POINT('',(35.7423,30.2507,0.035)); #466896=CARTESIAN_POINT('',(35.7423,30.2507,0.035)); #466897=CARTESIAN_POINT('',(35.7423,30.2507,0.)); #466898=CARTESIAN_POINT('Origin',(36.794247,30.2507,0.)); #466899=CARTESIAN_POINT('',(36.794247,30.2507,0.)); #466900=CARTESIAN_POINT('',(36.794247,30.2507,0.)); #466901=CARTESIAN_POINT('',(36.794247,30.2507,0.035)); #466902=CARTESIAN_POINT('',(36.794247,30.2507,0.035)); #466903=CARTESIAN_POINT('',(36.794247,30.2507,0.)); #466904=CARTESIAN_POINT('Origin',(36.822844,30.245131,0.)); #466905=CARTESIAN_POINT('',(36.822844,30.245131,0.)); #466906=CARTESIAN_POINT('',(36.822844,30.245131,0.)); #466907=CARTESIAN_POINT('',(36.822844,30.245131,0.035)); #466908=CARTESIAN_POINT('',(36.822844,30.245131,0.035)); #466909=CARTESIAN_POINT('',(36.822844,30.245131,0.)); #466910=CARTESIAN_POINT('Origin',(36.848128,30.228381,0.)); #466911=CARTESIAN_POINT('',(36.848128,30.228381,0.)); #466912=CARTESIAN_POINT('',(36.848128,30.228381,0.)); #466913=CARTESIAN_POINT('',(36.848128,30.228381,0.035)); #466914=CARTESIAN_POINT('',(36.848128,30.228381,0.035)); #466915=CARTESIAN_POINT('',(36.848128,30.228381,0.)); #466916=CARTESIAN_POINT('Origin',(37.499081,29.577428,0.)); #466917=CARTESIAN_POINT('',(37.499081,29.577428,0.)); #466918=CARTESIAN_POINT('',(37.499081,29.577428,0.)); #466919=CARTESIAN_POINT('',(37.499081,29.577428,0.035)); #466920=CARTESIAN_POINT('',(37.499081,29.577428,0.035)); #466921=CARTESIAN_POINT('',(37.499081,29.577428,0.)); #466922=CARTESIAN_POINT('Origin',(37.515366,29.553269,0.)); #466923=CARTESIAN_POINT('',(37.515366,29.553269,0.)); #466924=CARTESIAN_POINT('',(37.515366,29.553269,0.)); #466925=CARTESIAN_POINT('',(37.515366,29.553269,0.035)); #466926=CARTESIAN_POINT('',(37.515366,29.553269,0.035)); #466927=CARTESIAN_POINT('',(37.515366,29.553269,0.)); #466928=CARTESIAN_POINT('Origin',(37.5214,29.523547,0.)); #466929=CARTESIAN_POINT('',(37.5214,29.523547,0.)); #466930=CARTESIAN_POINT('',(37.5214,29.523547,0.)); #466931=CARTESIAN_POINT('',(37.5214,29.523547,0.035)); #466932=CARTESIAN_POINT('',(37.5214,29.523547,0.035)); #466933=CARTESIAN_POINT('',(37.5214,29.523547,0.)); #466934=CARTESIAN_POINT('Origin',(37.5214,28.025291,0.)); #466935=CARTESIAN_POINT('',(37.5214,28.025291,0.)); #466936=CARTESIAN_POINT('',(37.5214,28.025291,0.)); #466937=CARTESIAN_POINT('',(37.5214,28.025291,0.035)); #466938=CARTESIAN_POINT('',(37.5214,28.025291,0.035)); #466939=CARTESIAN_POINT('',(37.5214,28.025291,0.)); #466940=CARTESIAN_POINT('Origin',(37.516913,27.999528,0.)); #466941=CARTESIAN_POINT('',(37.516913,27.999528,0.)); #466942=CARTESIAN_POINT('',(37.516913,27.999528,0.)); #466943=CARTESIAN_POINT('',(37.516913,27.999528,0.035)); #466944=CARTESIAN_POINT('',(37.516913,27.999528,0.035)); #466945=CARTESIAN_POINT('',(37.516913,27.999528,0.)); #466946=CARTESIAN_POINT('Origin',(37.501184,27.9736,0.)); #466947=CARTESIAN_POINT('',(37.501184,27.9736,0.)); #466948=CARTESIAN_POINT('',(37.501184,27.9736,0.)); #466949=CARTESIAN_POINT('',(37.501184,27.9736,0.035)); #466950=CARTESIAN_POINT('',(37.501184,27.9736,0.035)); #466951=CARTESIAN_POINT('',(37.501184,27.9736,0.)); #466952=CARTESIAN_POINT('Origin',(37.476591,27.955856,0.)); #466953=CARTESIAN_POINT('',(37.476591,27.955856,0.)); #466954=CARTESIAN_POINT('',(37.476591,27.955856,0.035)); #466955=CARTESIAN_POINT('Origin',(36.5949686283418,29.1482666267782,0.035)); #466956=CARTESIAN_POINT('Origin',(36.5949686283418,29.1482666267782,0.)); #466957=CARTESIAN_POINT('Origin',(40.184422,36.2286,0.)); #466958=CARTESIAN_POINT('',(40.184422,36.2286,0.)); #466959=CARTESIAN_POINT('',(40.214144,36.234634,0.)); #466960=CARTESIAN_POINT('',(40.184422,36.2286,0.)); #466961=CARTESIAN_POINT('',(40.214144,36.234634,0.035)); #466962=CARTESIAN_POINT('',(40.214144,36.234634,0.)); #466963=CARTESIAN_POINT('',(40.184422,36.2286,0.035)); #466964=CARTESIAN_POINT('',(40.184422,36.2286,0.035)); #466965=CARTESIAN_POINT('',(40.184422,36.2286,0.)); #466966=CARTESIAN_POINT('Origin',(39.016997,36.2286,0.)); #466967=CARTESIAN_POINT('',(39.016997,36.2286,0.)); #466968=CARTESIAN_POINT('',(39.016997,36.2286,0.)); #466969=CARTESIAN_POINT('',(39.016997,36.2286,0.035)); #466970=CARTESIAN_POINT('',(39.016997,36.2286,0.035)); #466971=CARTESIAN_POINT('',(39.016997,36.2286,0.)); #466972=CARTESIAN_POINT('Origin',(38.990666,36.233294,0.)); #466973=CARTESIAN_POINT('',(38.990666,36.233294,0.)); #466974=CARTESIAN_POINT('',(38.990666,36.233294,0.)); #466975=CARTESIAN_POINT('',(38.990666,36.233294,0.035)); #466976=CARTESIAN_POINT('',(38.990666,36.233294,0.035)); #466977=CARTESIAN_POINT('',(38.990666,36.233294,0.)); #466978=CARTESIAN_POINT('Origin',(38.964859,36.249228,0.)); #466979=CARTESIAN_POINT('',(38.964859,36.249228,0.)); #466980=CARTESIAN_POINT('',(38.964859,36.249228,0.)); #466981=CARTESIAN_POINT('',(38.964859,36.249228,0.035)); #466982=CARTESIAN_POINT('',(38.964859,36.249228,0.035)); #466983=CARTESIAN_POINT('',(38.964859,36.249228,0.)); #466984=CARTESIAN_POINT('Origin',(38.947316,36.273966,0.)); #466985=CARTESIAN_POINT('',(38.947316,36.273966,0.)); #466986=CARTESIAN_POINT('',(38.947316,36.273966,0.)); #466987=CARTESIAN_POINT('',(38.947316,36.273966,0.035)); #466988=CARTESIAN_POINT('',(38.947316,36.273966,0.035)); #466989=CARTESIAN_POINT('',(38.947316,36.273966,0.)); #466990=CARTESIAN_POINT('Origin',(38.940806,36.303584,0.)); #466991=CARTESIAN_POINT('',(38.940806,36.303584,0.)); #466992=CARTESIAN_POINT('',(38.940806,36.303584,0.)); #466993=CARTESIAN_POINT('',(38.940806,36.303584,0.035)); #466994=CARTESIAN_POINT('',(38.940806,36.303584,0.035)); #466995=CARTESIAN_POINT('',(38.940806,36.303584,0.)); #466996=CARTESIAN_POINT('Origin',(38.946366,36.333397,0.)); #466997=CARTESIAN_POINT('',(38.946366,36.333397,0.)); #466998=CARTESIAN_POINT('',(38.946366,36.333397,0.)); #466999=CARTESIAN_POINT('',(38.946366,36.333397,0.035)); #467000=CARTESIAN_POINT('',(38.946366,36.333397,0.035)); #467001=CARTESIAN_POINT('',(38.946366,36.333397,0.)); #467002=CARTESIAN_POINT('Origin',(38.963116,36.358681,0.)); #467003=CARTESIAN_POINT('',(38.963116,36.358681,0.)); #467004=CARTESIAN_POINT('',(38.963116,36.358681,0.)); #467005=CARTESIAN_POINT('',(38.963116,36.358681,0.035)); #467006=CARTESIAN_POINT('',(38.963116,36.358681,0.035)); #467007=CARTESIAN_POINT('',(38.963116,36.358681,0.)); #467008=CARTESIAN_POINT('Origin',(39.103516,36.499081,0.)); #467009=CARTESIAN_POINT('',(39.103516,36.499081,0.)); #467010=CARTESIAN_POINT('',(39.103516,36.499081,0.)); #467011=CARTESIAN_POINT('',(39.103516,36.499081,0.035)); #467012=CARTESIAN_POINT('',(39.103516,36.499081,0.035)); #467013=CARTESIAN_POINT('',(39.103516,36.499081,0.)); #467014=CARTESIAN_POINT('Origin',(39.127675,36.515366,0.)); #467015=CARTESIAN_POINT('',(39.127675,36.515366,0.)); #467016=CARTESIAN_POINT('',(39.127675,36.515366,0.)); #467017=CARTESIAN_POINT('',(39.127675,36.515366,0.035)); #467018=CARTESIAN_POINT('',(39.127675,36.515366,0.035)); #467019=CARTESIAN_POINT('',(39.127675,36.515366,0.)); #467020=CARTESIAN_POINT('Origin',(39.157397,36.5214,0.)); #467021=CARTESIAN_POINT('',(39.157397,36.5214,0.)); #467022=CARTESIAN_POINT('',(39.157397,36.5214,0.)); #467023=CARTESIAN_POINT('',(39.157397,36.5214,0.035)); #467024=CARTESIAN_POINT('',(39.157397,36.5214,0.035)); #467025=CARTESIAN_POINT('',(39.157397,36.5214,0.)); #467026=CARTESIAN_POINT('Origin',(40.324822,36.5214,0.)); #467027=CARTESIAN_POINT('',(40.324822,36.5214,0.)); #467028=CARTESIAN_POINT('',(40.324822,36.5214,0.)); #467029=CARTESIAN_POINT('',(40.324822,36.5214,0.035)); #467030=CARTESIAN_POINT('',(40.324822,36.5214,0.035)); #467031=CARTESIAN_POINT('',(40.324822,36.5214,0.)); #467032=CARTESIAN_POINT('Origin',(40.351153,36.516706,0.)); #467033=CARTESIAN_POINT('',(40.351153,36.516706,0.)); #467034=CARTESIAN_POINT('',(40.351153,36.516706,0.)); #467035=CARTESIAN_POINT('',(40.351153,36.516706,0.035)); #467036=CARTESIAN_POINT('',(40.351153,36.516706,0.035)); #467037=CARTESIAN_POINT('',(40.351153,36.516706,0.)); #467038=CARTESIAN_POINT('Origin',(40.376959,36.500772,0.)); #467039=CARTESIAN_POINT('',(40.376959,36.500772,0.)); #467040=CARTESIAN_POINT('',(40.376959,36.500772,0.)); #467041=CARTESIAN_POINT('',(40.376959,36.500772,0.035)); #467042=CARTESIAN_POINT('',(40.376959,36.500772,0.035)); #467043=CARTESIAN_POINT('',(40.376959,36.500772,0.)); #467044=CARTESIAN_POINT('Origin',(40.394503,36.476034,0.)); #467045=CARTESIAN_POINT('',(40.394503,36.476034,0.)); #467046=CARTESIAN_POINT('',(40.394503,36.476034,0.)); #467047=CARTESIAN_POINT('',(40.394503,36.476034,0.035)); #467048=CARTESIAN_POINT('',(40.394503,36.476034,0.035)); #467049=CARTESIAN_POINT('',(40.394503,36.476034,0.)); #467050=CARTESIAN_POINT('Origin',(40.401013,36.446416,0.)); #467051=CARTESIAN_POINT('',(40.401013,36.446416,0.)); #467052=CARTESIAN_POINT('',(40.401013,36.446416,0.)); #467053=CARTESIAN_POINT('',(40.401013,36.446416,0.035)); #467054=CARTESIAN_POINT('',(40.401013,36.446416,0.035)); #467055=CARTESIAN_POINT('',(40.401013,36.446416,0.)); #467056=CARTESIAN_POINT('Origin',(40.395453,36.416603,0.)); #467057=CARTESIAN_POINT('',(40.395453,36.416603,0.)); #467058=CARTESIAN_POINT('',(40.395453,36.416603,0.)); #467059=CARTESIAN_POINT('',(40.395453,36.416603,0.035)); #467060=CARTESIAN_POINT('',(40.395453,36.416603,0.035)); #467061=CARTESIAN_POINT('',(40.395453,36.416603,0.)); #467062=CARTESIAN_POINT('Origin',(40.378703,36.391319,0.)); #467063=CARTESIAN_POINT('',(40.378703,36.391319,0.)); #467064=CARTESIAN_POINT('',(40.378703,36.391319,0.)); #467065=CARTESIAN_POINT('',(40.378703,36.391319,0.035)); #467066=CARTESIAN_POINT('',(40.378703,36.391319,0.035)); #467067=CARTESIAN_POINT('',(40.378703,36.391319,0.)); #467068=CARTESIAN_POINT('Origin',(40.238303,36.250919,0.)); #467069=CARTESIAN_POINT('',(40.238303,36.250919,0.)); #467070=CARTESIAN_POINT('',(40.238303,36.250919,0.)); #467071=CARTESIAN_POINT('',(40.238303,36.250919,0.035)); #467072=CARTESIAN_POINT('',(40.238303,36.250919,0.035)); #467073=CARTESIAN_POINT('',(40.238303,36.250919,0.)); #467074=CARTESIAN_POINT('Origin',(40.214144,36.234634,0.)); #467075=CARTESIAN_POINT('',(40.214144,36.234634,0.)); #467076=CARTESIAN_POINT('',(40.214144,36.234634,0.035)); #467077=CARTESIAN_POINT('Origin',(39.6709094355899,36.3749999849751,0.035)); #467078=CARTESIAN_POINT('Origin',(39.6709094355899,36.3749999849751,0.)); #467079=CARTESIAN_POINT('Origin',(30.557228,27.949128,0.)); #467080=CARTESIAN_POINT('',(30.557228,27.949128,0.)); #467081=CARTESIAN_POINT('',(30.586744,27.956109,0.)); #467082=CARTESIAN_POINT('',(30.557228,27.949128,0.)); #467083=CARTESIAN_POINT('',(30.586744,27.956109,0.035)); #467084=CARTESIAN_POINT('',(30.586744,27.956109,0.)); #467085=CARTESIAN_POINT('',(30.557228,27.949128,0.035)); #467086=CARTESIAN_POINT('',(30.557228,27.949128,0.035)); #467087=CARTESIAN_POINT('',(30.557228,27.949128,0.)); #467088=CARTESIAN_POINT('Origin',(30.527331,27.954213,0.)); #467089=CARTESIAN_POINT('',(30.527331,27.954213,0.)); #467090=CARTESIAN_POINT('',(30.527331,27.954213,0.)); #467091=CARTESIAN_POINT('',(30.527331,27.954213,0.035)); #467092=CARTESIAN_POINT('',(30.527331,27.954213,0.035)); #467093=CARTESIAN_POINT('',(30.527331,27.954213,0.)); #467094=CARTESIAN_POINT('Origin',(30.501784,27.970556,0.)); #467095=CARTESIAN_POINT('',(30.501784,27.970556,0.)); #467096=CARTESIAN_POINT('',(30.501784,27.970556,0.)); #467097=CARTESIAN_POINT('',(30.501784,27.970556,0.035)); #467098=CARTESIAN_POINT('',(30.501784,27.970556,0.035)); #467099=CARTESIAN_POINT('',(30.501784,27.970556,0.)); #467100=CARTESIAN_POINT('Origin',(30.484634,27.995569,0.)); #467101=CARTESIAN_POINT('',(30.484634,27.995569,0.)); #467102=CARTESIAN_POINT('',(30.484634,27.995569,0.)); #467103=CARTESIAN_POINT('',(30.484634,27.995569,0.035)); #467104=CARTESIAN_POINT('',(30.484634,27.995569,0.035)); #467105=CARTESIAN_POINT('',(30.484634,27.995569,0.)); #467106=CARTESIAN_POINT('Origin',(30.4786,28.025291,0.)); #467107=CARTESIAN_POINT('',(30.4786,28.025291,0.)); #467108=CARTESIAN_POINT('',(30.4786,28.025291,0.)); #467109=CARTESIAN_POINT('',(30.4786,28.025291,0.035)); #467110=CARTESIAN_POINT('',(30.4786,28.025291,0.035)); #467111=CARTESIAN_POINT('',(30.4786,28.025291,0.)); #467112=CARTESIAN_POINT('Origin',(30.4786,29.523547,0.)); #467113=CARTESIAN_POINT('',(30.4786,29.523547,0.)); #467114=CARTESIAN_POINT('',(30.4786,29.523547,0.)); #467115=CARTESIAN_POINT('',(30.4786,29.523547,0.035)); #467116=CARTESIAN_POINT('',(30.4786,29.523547,0.035)); #467117=CARTESIAN_POINT('',(30.4786,29.523547,0.)); #467118=CARTESIAN_POINT('Origin',(30.484169,29.552144,0.)); #467119=CARTESIAN_POINT('',(30.484169,29.552144,0.)); #467120=CARTESIAN_POINT('',(30.484169,29.552144,0.)); #467121=CARTESIAN_POINT('',(30.484169,29.552144,0.035)); #467122=CARTESIAN_POINT('',(30.484169,29.552144,0.035)); #467123=CARTESIAN_POINT('',(30.484169,29.552144,0.)); #467124=CARTESIAN_POINT('Origin',(30.500919,29.577428,0.)); #467125=CARTESIAN_POINT('',(30.500919,29.577428,0.)); #467126=CARTESIAN_POINT('',(30.500919,29.577428,0.)); #467127=CARTESIAN_POINT('',(30.500919,29.577428,0.035)); #467128=CARTESIAN_POINT('',(30.500919,29.577428,0.035)); #467129=CARTESIAN_POINT('',(30.500919,29.577428,0.)); #467130=CARTESIAN_POINT('Origin',(31.151872,30.228381,0.)); #467131=CARTESIAN_POINT('',(31.151872,30.228381,0.)); #467132=CARTESIAN_POINT('',(31.151872,30.228381,0.)); #467133=CARTESIAN_POINT('',(31.151872,30.228381,0.035)); #467134=CARTESIAN_POINT('',(31.151872,30.228381,0.035)); #467135=CARTESIAN_POINT('',(31.151872,30.228381,0.)); #467136=CARTESIAN_POINT('Origin',(31.176031,30.244666,0.)); #467137=CARTESIAN_POINT('',(31.176031,30.244666,0.)); #467138=CARTESIAN_POINT('',(31.176031,30.244666,0.)); #467139=CARTESIAN_POINT('',(31.176031,30.244666,0.035)); #467140=CARTESIAN_POINT('',(31.176031,30.244666,0.035)); #467141=CARTESIAN_POINT('',(31.176031,30.244666,0.)); #467142=CARTESIAN_POINT('Origin',(31.205753,30.2507,0.)); #467143=CARTESIAN_POINT('',(31.205753,30.2507,0.)); #467144=CARTESIAN_POINT('',(31.205753,30.2507,0.)); #467145=CARTESIAN_POINT('',(31.205753,30.2507,0.035)); #467146=CARTESIAN_POINT('',(31.205753,30.2507,0.035)); #467147=CARTESIAN_POINT('',(31.205753,30.2507,0.)); #467148=CARTESIAN_POINT('Origin',(32.2577,30.2507,0.)); #467149=CARTESIAN_POINT('',(32.2577,30.2507,0.)); #467150=CARTESIAN_POINT('',(32.2577,30.2507,0.)); #467151=CARTESIAN_POINT('',(32.2577,30.2507,0.035)); #467152=CARTESIAN_POINT('',(32.2577,30.2507,0.035)); #467153=CARTESIAN_POINT('',(32.2577,30.2507,0.)); #467154=CARTESIAN_POINT('Origin',(32.285169,30.245578,0.)); #467155=CARTESIAN_POINT('',(32.285169,30.245578,0.)); #467156=CARTESIAN_POINT('',(32.285169,30.245578,0.)); #467157=CARTESIAN_POINT('',(32.285169,30.245578,0.035)); #467158=CARTESIAN_POINT('',(32.285169,30.245578,0.035)); #467159=CARTESIAN_POINT('',(32.285169,30.245578,0.)); #467160=CARTESIAN_POINT('Origin',(32.310716,30.229234,0.)); #467161=CARTESIAN_POINT('',(32.310716,30.229234,0.)); #467162=CARTESIAN_POINT('',(32.310716,30.229234,0.)); #467163=CARTESIAN_POINT('',(32.310716,30.229234,0.035)); #467164=CARTESIAN_POINT('',(32.310716,30.229234,0.035)); #467165=CARTESIAN_POINT('',(32.310716,30.229234,0.)); #467166=CARTESIAN_POINT('Origin',(32.327866,30.204222,0.)); #467167=CARTESIAN_POINT('',(32.327866,30.204222,0.)); #467168=CARTESIAN_POINT('',(32.327866,30.204222,0.)); #467169=CARTESIAN_POINT('',(32.327866,30.204222,0.035)); #467170=CARTESIAN_POINT('',(32.327866,30.204222,0.035)); #467171=CARTESIAN_POINT('',(32.327866,30.204222,0.)); #467172=CARTESIAN_POINT('Origin',(32.3339,30.1745,0.)); #467173=CARTESIAN_POINT('',(32.3339,30.1745,0.)); #467174=CARTESIAN_POINT('',(32.3339,30.1745,0.)); #467175=CARTESIAN_POINT('',(32.3339,30.1745,0.035)); #467176=CARTESIAN_POINT('',(32.3339,30.1745,0.035)); #467177=CARTESIAN_POINT('',(32.3339,30.1745,0.)); #467178=CARTESIAN_POINT('Origin',(32.3339,28.376247,0.)); #467179=CARTESIAN_POINT('',(32.3339,28.376247,0.)); #467180=CARTESIAN_POINT('',(32.3339,28.376247,0.)); #467181=CARTESIAN_POINT('',(32.3339,28.376247,0.035)); #467182=CARTESIAN_POINT('',(32.3339,28.376247,0.035)); #467183=CARTESIAN_POINT('',(32.3339,28.376247,0.)); #467184=CARTESIAN_POINT('Origin',(32.329206,28.349916,0.)); #467185=CARTESIAN_POINT('',(32.329206,28.349916,0.)); #467186=CARTESIAN_POINT('',(32.329206,28.349916,0.)); #467187=CARTESIAN_POINT('',(32.329206,28.349916,0.035)); #467188=CARTESIAN_POINT('',(32.329206,28.349916,0.035)); #467189=CARTESIAN_POINT('',(32.329206,28.349916,0.)); #467190=CARTESIAN_POINT('Origin',(32.313272,28.324109,0.)); #467191=CARTESIAN_POINT('',(32.313272,28.324109,0.)); #467192=CARTESIAN_POINT('',(32.313272,28.324109,0.)); #467193=CARTESIAN_POINT('',(32.313272,28.324109,0.035)); #467194=CARTESIAN_POINT('',(32.313272,28.324109,0.035)); #467195=CARTESIAN_POINT('',(32.313272,28.324109,0.)); #467196=CARTESIAN_POINT('Origin',(32.288534,28.306566,0.)); #467197=CARTESIAN_POINT('',(32.288534,28.306566,0.)); #467198=CARTESIAN_POINT('',(32.288534,28.306566,0.)); #467199=CARTESIAN_POINT('',(32.288534,28.306566,0.035)); #467200=CARTESIAN_POINT('',(32.288534,28.306566,0.035)); #467201=CARTESIAN_POINT('',(32.288534,28.306566,0.)); #467202=CARTESIAN_POINT('Origin',(32.258916,28.300056,0.)); #467203=CARTESIAN_POINT('',(32.258916,28.300056,0.)); #467204=CARTESIAN_POINT('',(32.258916,28.300056,0.)); #467205=CARTESIAN_POINT('',(32.258916,28.300056,0.035)); #467206=CARTESIAN_POINT('',(32.258916,28.300056,0.035)); #467207=CARTESIAN_POINT('',(32.258916,28.300056,0.)); #467208=CARTESIAN_POINT('Origin',(32.229103,28.305616,0.)); #467209=CARTESIAN_POINT('',(32.229103,28.305616,0.)); #467210=CARTESIAN_POINT('',(32.229103,28.305616,0.)); #467211=CARTESIAN_POINT('',(32.229103,28.305616,0.035)); #467212=CARTESIAN_POINT('',(32.229103,28.305616,0.035)); #467213=CARTESIAN_POINT('',(32.229103,28.305616,0.)); #467214=CARTESIAN_POINT('Origin',(32.203819,28.322366,0.)); #467215=CARTESIAN_POINT('',(32.203819,28.322366,0.)); #467216=CARTESIAN_POINT('',(32.203819,28.322366,0.)); #467217=CARTESIAN_POINT('',(32.203819,28.322366,0.035)); #467218=CARTESIAN_POINT('',(32.203819,28.322366,0.035)); #467219=CARTESIAN_POINT('',(32.203819,28.322366,0.)); #467220=CARTESIAN_POINT('Origin',(32.011169,28.515016,0.)); #467221=CARTESIAN_POINT('',(32.011169,28.515016,0.)); #467222=CARTESIAN_POINT('',(32.011169,28.515016,0.)); #467223=CARTESIAN_POINT('',(32.011169,28.515016,0.035)); #467224=CARTESIAN_POINT('',(32.011169,28.515016,0.035)); #467225=CARTESIAN_POINT('',(32.011169,28.515016,0.)); #467226=CARTESIAN_POINT('Origin',(31.6795,28.6524,0.)); #467227=CARTESIAN_POINT('',(31.6795,28.6524,0.)); #467228=CARTESIAN_POINT('',(31.6795,28.6524,0.)); #467229=CARTESIAN_POINT('',(31.6795,28.6524,0.035)); #467230=CARTESIAN_POINT('',(31.6795,28.6524,0.035)); #467231=CARTESIAN_POINT('',(31.6795,28.6524,0.)); #467232=CARTESIAN_POINT('Origin',(31.3205,28.6524,0.)); #467233=CARTESIAN_POINT('',(31.3205,28.6524,0.)); #467234=CARTESIAN_POINT('',(31.3205,28.6524,0.)); #467235=CARTESIAN_POINT('',(31.3205,28.6524,0.035)); #467236=CARTESIAN_POINT('',(31.3205,28.6524,0.035)); #467237=CARTESIAN_POINT('',(31.3205,28.6524,0.)); #467238=CARTESIAN_POINT('Origin',(30.988831,28.515016,0.)); #467239=CARTESIAN_POINT('',(30.988831,28.515016,0.)); #467240=CARTESIAN_POINT('',(30.988831,28.515016,0.)); #467241=CARTESIAN_POINT('',(30.988831,28.515016,0.035)); #467242=CARTESIAN_POINT('',(30.988831,28.515016,0.035)); #467243=CARTESIAN_POINT('',(30.988831,28.515016,0.)); #467244=CARTESIAN_POINT('Origin',(30.734984,28.261169,0.)); #467245=CARTESIAN_POINT('',(30.734984,28.261169,0.)); #467246=CARTESIAN_POINT('',(30.734984,28.261169,0.)); #467247=CARTESIAN_POINT('',(30.734984,28.261169,0.035)); #467248=CARTESIAN_POINT('',(30.734984,28.261169,0.035)); #467249=CARTESIAN_POINT('',(30.734984,28.261169,0.)); #467250=CARTESIAN_POINT('Origin',(30.6252,27.996131,0.)); #467251=CARTESIAN_POINT('',(30.6252,27.996131,0.)); #467252=CARTESIAN_POINT('',(30.6252,27.996131,0.)); #467253=CARTESIAN_POINT('',(30.6252,27.996131,0.035)); #467254=CARTESIAN_POINT('',(30.6252,27.996131,0.035)); #467255=CARTESIAN_POINT('',(30.6252,27.996131,0.)); #467256=CARTESIAN_POINT('Origin',(30.611197,27.974047,0.)); #467257=CARTESIAN_POINT('',(30.611197,27.974047,0.)); #467258=CARTESIAN_POINT('',(30.611197,27.974047,0.)); #467259=CARTESIAN_POINT('',(30.611197,27.974047,0.035)); #467260=CARTESIAN_POINT('',(30.611197,27.974047,0.035)); #467261=CARTESIAN_POINT('',(30.611197,27.974047,0.)); #467262=CARTESIAN_POINT('Origin',(30.586744,27.956109,0.)); #467263=CARTESIAN_POINT('',(30.586744,27.956109,0.)); #467264=CARTESIAN_POINT('',(30.586744,27.956109,0.035)); #467265=CARTESIAN_POINT('Origin',(31.4050342237119,29.1482671560429,0.035)); #467266=CARTESIAN_POINT('Origin',(31.4050342237119,29.1482671560429,0.)); #467267=CARTESIAN_POINT('Origin',(33.626216,55.261006,0.)); #467268=CARTESIAN_POINT('',(33.626216,55.261006,0.)); #467269=CARTESIAN_POINT('',(33.655834,55.267516,0.)); #467270=CARTESIAN_POINT('',(33.626216,55.261006,0.)); #467271=CARTESIAN_POINT('',(33.655834,55.267516,0.035)); #467272=CARTESIAN_POINT('',(33.655834,55.267516,0.)); #467273=CARTESIAN_POINT('',(33.626216,55.261006,0.035)); #467274=CARTESIAN_POINT('',(33.626216,55.261006,0.035)); #467275=CARTESIAN_POINT('',(33.626216,55.261006,0.)); #467276=CARTESIAN_POINT('Origin',(33.596403,55.266566,0.)); #467277=CARTESIAN_POINT('',(33.596403,55.266566,0.)); #467278=CARTESIAN_POINT('',(33.596403,55.266566,0.)); #467279=CARTESIAN_POINT('',(33.596403,55.266566,0.035)); #467280=CARTESIAN_POINT('',(33.596403,55.266566,0.035)); #467281=CARTESIAN_POINT('',(33.596403,55.266566,0.)); #467282=CARTESIAN_POINT('Origin',(33.571119,55.283316,0.)); #467283=CARTESIAN_POINT('',(33.571119,55.283316,0.)); #467284=CARTESIAN_POINT('',(33.571119,55.283316,0.)); #467285=CARTESIAN_POINT('',(33.571119,55.283316,0.035)); #467286=CARTESIAN_POINT('',(33.571119,55.283316,0.035)); #467287=CARTESIAN_POINT('',(33.571119,55.283316,0.)); #467288=CARTESIAN_POINT('Origin',(33.500919,55.353516,0.)); #467289=CARTESIAN_POINT('',(33.500919,55.353516,0.)); #467290=CARTESIAN_POINT('',(33.500919,55.353516,0.)); #467291=CARTESIAN_POINT('',(33.500919,55.353516,0.035)); #467292=CARTESIAN_POINT('',(33.500919,55.353516,0.035)); #467293=CARTESIAN_POINT('',(33.500919,55.353516,0.)); #467294=CARTESIAN_POINT('Origin',(33.484634,55.377675,0.)); #467295=CARTESIAN_POINT('',(33.484634,55.377675,0.)); #467296=CARTESIAN_POINT('',(33.484634,55.377675,0.)); #467297=CARTESIAN_POINT('',(33.484634,55.377675,0.035)); #467298=CARTESIAN_POINT('',(33.484634,55.377675,0.035)); #467299=CARTESIAN_POINT('',(33.484634,55.377675,0.)); #467300=CARTESIAN_POINT('Origin',(33.4786,55.407397,0.)); #467301=CARTESIAN_POINT('',(33.4786,55.407397,0.)); #467302=CARTESIAN_POINT('',(33.4786,55.407397,0.)); #467303=CARTESIAN_POINT('',(33.4786,55.407397,0.035)); #467304=CARTESIAN_POINT('',(33.4786,55.407397,0.035)); #467305=CARTESIAN_POINT('',(33.4786,55.407397,0.)); #467306=CARTESIAN_POINT('Origin',(33.4786,55.498747,0.)); #467307=CARTESIAN_POINT('',(33.4786,55.498747,0.)); #467308=CARTESIAN_POINT('',(33.4786,55.498747,0.)); #467309=CARTESIAN_POINT('',(33.4786,55.498747,0.035)); #467310=CARTESIAN_POINT('',(33.4786,55.498747,0.035)); #467311=CARTESIAN_POINT('',(33.4786,55.498747,0.)); #467312=CARTESIAN_POINT('Origin',(33.484169,55.527344,0.)); #467313=CARTESIAN_POINT('',(33.484169,55.527344,0.)); #467314=CARTESIAN_POINT('',(33.484169,55.527344,0.)); #467315=CARTESIAN_POINT('',(33.484169,55.527344,0.035)); #467316=CARTESIAN_POINT('',(33.484169,55.527344,0.035)); #467317=CARTESIAN_POINT('',(33.484169,55.527344,0.)); #467318=CARTESIAN_POINT('Origin',(33.500919,55.552628,0.)); #467319=CARTESIAN_POINT('',(33.500919,55.552628,0.)); #467320=CARTESIAN_POINT('',(33.500919,55.552628,0.)); #467321=CARTESIAN_POINT('',(33.500919,55.552628,0.035)); #467322=CARTESIAN_POINT('',(33.500919,55.552628,0.035)); #467323=CARTESIAN_POINT('',(33.500919,55.552628,0.)); #467324=CARTESIAN_POINT('Origin',(33.641319,55.693028,0.)); #467325=CARTESIAN_POINT('',(33.641319,55.693028,0.)); #467326=CARTESIAN_POINT('',(33.641319,55.693028,0.)); #467327=CARTESIAN_POINT('',(33.641319,55.693028,0.035)); #467328=CARTESIAN_POINT('',(33.641319,55.693028,0.035)); #467329=CARTESIAN_POINT('',(33.641319,55.693028,0.)); #467330=CARTESIAN_POINT('Origin',(33.663256,55.708328,0.)); #467331=CARTESIAN_POINT('',(33.663256,55.708328,0.)); #467332=CARTESIAN_POINT('',(33.663256,55.708328,0.)); #467333=CARTESIAN_POINT('',(33.663256,55.708328,0.035)); #467334=CARTESIAN_POINT('',(33.663256,55.708328,0.035)); #467335=CARTESIAN_POINT('',(33.663256,55.708328,0.)); #467336=CARTESIAN_POINT('Origin',(33.692772,55.715309,0.)); #467337=CARTESIAN_POINT('',(33.692772,55.715309,0.)); #467338=CARTESIAN_POINT('',(33.692772,55.715309,0.)); #467339=CARTESIAN_POINT('',(33.692772,55.715309,0.035)); #467340=CARTESIAN_POINT('',(33.692772,55.715309,0.035)); #467341=CARTESIAN_POINT('',(33.692772,55.715309,0.)); #467342=CARTESIAN_POINT('Origin',(33.722669,55.710225,0.)); #467343=CARTESIAN_POINT('',(33.722669,55.710225,0.)); #467344=CARTESIAN_POINT('',(33.722669,55.710225,0.)); #467345=CARTESIAN_POINT('',(33.722669,55.710225,0.035)); #467346=CARTESIAN_POINT('',(33.722669,55.710225,0.035)); #467347=CARTESIAN_POINT('',(33.722669,55.710225,0.)); #467348=CARTESIAN_POINT('Origin',(33.748216,55.693881,0.)); #467349=CARTESIAN_POINT('',(33.748216,55.693881,0.)); #467350=CARTESIAN_POINT('',(33.748216,55.693881,0.)); #467351=CARTESIAN_POINT('',(33.748216,55.693881,0.035)); #467352=CARTESIAN_POINT('',(33.748216,55.693881,0.035)); #467353=CARTESIAN_POINT('',(33.748216,55.693881,0.)); #467354=CARTESIAN_POINT('Origin',(33.765366,55.668869,0.)); #467355=CARTESIAN_POINT('',(33.765366,55.668869,0.)); #467356=CARTESIAN_POINT('',(33.765366,55.668869,0.)); #467357=CARTESIAN_POINT('',(33.765366,55.668869,0.035)); #467358=CARTESIAN_POINT('',(33.765366,55.668869,0.035)); #467359=CARTESIAN_POINT('',(33.765366,55.668869,0.)); #467360=CARTESIAN_POINT('Origin',(33.7714,55.639147,0.)); #467361=CARTESIAN_POINT('',(33.7714,55.639147,0.)); #467362=CARTESIAN_POINT('',(33.7714,55.639147,0.)); #467363=CARTESIAN_POINT('',(33.7714,55.639147,0.035)); #467364=CARTESIAN_POINT('',(33.7714,55.639147,0.035)); #467365=CARTESIAN_POINT('',(33.7714,55.639147,0.)); #467366=CARTESIAN_POINT('Origin',(33.7714,55.407397,0.)); #467367=CARTESIAN_POINT('',(33.7714,55.407397,0.)); #467368=CARTESIAN_POINT('',(33.7714,55.407397,0.)); #467369=CARTESIAN_POINT('',(33.7714,55.407397,0.035)); #467370=CARTESIAN_POINT('',(33.7714,55.407397,0.035)); #467371=CARTESIAN_POINT('',(33.7714,55.407397,0.)); #467372=CARTESIAN_POINT('Origin',(33.765831,55.3788,0.)); #467373=CARTESIAN_POINT('',(33.765831,55.3788,0.)); #467374=CARTESIAN_POINT('',(33.765831,55.3788,0.)); #467375=CARTESIAN_POINT('',(33.765831,55.3788,0.035)); #467376=CARTESIAN_POINT('',(33.765831,55.3788,0.035)); #467377=CARTESIAN_POINT('',(33.765831,55.3788,0.)); #467378=CARTESIAN_POINT('Origin',(33.749081,55.353516,0.)); #467379=CARTESIAN_POINT('',(33.749081,55.353516,0.)); #467380=CARTESIAN_POINT('',(33.749081,55.353516,0.)); #467381=CARTESIAN_POINT('',(33.749081,55.353516,0.035)); #467382=CARTESIAN_POINT('',(33.749081,55.353516,0.035)); #467383=CARTESIAN_POINT('',(33.749081,55.353516,0.)); #467384=CARTESIAN_POINT('Origin',(33.678881,55.283316,0.)); #467385=CARTESIAN_POINT('',(33.678881,55.283316,0.)); #467386=CARTESIAN_POINT('',(33.678881,55.283316,0.)); #467387=CARTESIAN_POINT('',(33.678881,55.283316,0.035)); #467388=CARTESIAN_POINT('',(33.678881,55.283316,0.035)); #467389=CARTESIAN_POINT('',(33.678881,55.283316,0.)); #467390=CARTESIAN_POINT('Origin',(33.655834,55.267516,0.)); #467391=CARTESIAN_POINT('',(33.655834,55.267516,0.)); #467392=CARTESIAN_POINT('',(33.655834,55.267516,0.035)); #467393=CARTESIAN_POINT('Origin',(33.6402186190606,55.4864651826395,0.035)); #467394=CARTESIAN_POINT('Origin',(33.6402186190606,55.4864651826395,0.)); #467395=CARTESIAN_POINT('Origin',(30.557228,25.449128,0.)); #467396=CARTESIAN_POINT('',(30.557228,25.449128,0.)); #467397=CARTESIAN_POINT('',(30.586744,25.456109,0.)); #467398=CARTESIAN_POINT('',(30.557228,25.449128,0.)); #467399=CARTESIAN_POINT('',(30.586744,25.456109,0.035)); #467400=CARTESIAN_POINT('',(30.586744,25.456109,0.)); #467401=CARTESIAN_POINT('',(30.557228,25.449128,0.035)); #467402=CARTESIAN_POINT('',(30.557228,25.449128,0.035)); #467403=CARTESIAN_POINT('',(30.557228,25.449128,0.)); #467404=CARTESIAN_POINT('Origin',(30.527331,25.454213,0.)); #467405=CARTESIAN_POINT('',(30.527331,25.454213,0.)); #467406=CARTESIAN_POINT('',(30.527331,25.454213,0.)); #467407=CARTESIAN_POINT('',(30.527331,25.454213,0.035)); #467408=CARTESIAN_POINT('',(30.527331,25.454213,0.035)); #467409=CARTESIAN_POINT('',(30.527331,25.454213,0.)); #467410=CARTESIAN_POINT('Origin',(30.501784,25.470556,0.)); #467411=CARTESIAN_POINT('',(30.501784,25.470556,0.)); #467412=CARTESIAN_POINT('',(30.501784,25.470556,0.)); #467413=CARTESIAN_POINT('',(30.501784,25.470556,0.035)); #467414=CARTESIAN_POINT('',(30.501784,25.470556,0.035)); #467415=CARTESIAN_POINT('',(30.501784,25.470556,0.)); #467416=CARTESIAN_POINT('Origin',(30.484634,25.495569,0.)); #467417=CARTESIAN_POINT('',(30.484634,25.495569,0.)); #467418=CARTESIAN_POINT('',(30.484634,25.495569,0.)); #467419=CARTESIAN_POINT('',(30.484634,25.495569,0.035)); #467420=CARTESIAN_POINT('',(30.484634,25.495569,0.035)); #467421=CARTESIAN_POINT('',(30.484634,25.495569,0.)); #467422=CARTESIAN_POINT('Origin',(30.4786,25.525291,0.)); #467423=CARTESIAN_POINT('',(30.4786,25.525291,0.)); #467424=CARTESIAN_POINT('',(30.4786,25.525291,0.)); #467425=CARTESIAN_POINT('',(30.4786,25.525291,0.035)); #467426=CARTESIAN_POINT('',(30.4786,25.525291,0.035)); #467427=CARTESIAN_POINT('',(30.4786,25.525291,0.)); #467428=CARTESIAN_POINT('Origin',(30.4786,27.474709,0.)); #467429=CARTESIAN_POINT('',(30.4786,27.474709,0.)); #467430=CARTESIAN_POINT('',(30.4786,27.474709,0.)); #467431=CARTESIAN_POINT('',(30.4786,27.474709,0.035)); #467432=CARTESIAN_POINT('',(30.4786,27.474709,0.035)); #467433=CARTESIAN_POINT('',(30.4786,27.474709,0.)); #467434=CARTESIAN_POINT('Origin',(30.483087,27.500472,0.)); #467435=CARTESIAN_POINT('',(30.483087,27.500472,0.)); #467436=CARTESIAN_POINT('',(30.483087,27.500472,0.)); #467437=CARTESIAN_POINT('',(30.483087,27.500472,0.035)); #467438=CARTESIAN_POINT('',(30.483087,27.500472,0.035)); #467439=CARTESIAN_POINT('',(30.483087,27.500472,0.)); #467440=CARTESIAN_POINT('Origin',(30.498816,27.5264,0.)); #467441=CARTESIAN_POINT('',(30.498816,27.5264,0.)); #467442=CARTESIAN_POINT('',(30.498816,27.5264,0.)); #467443=CARTESIAN_POINT('',(30.498816,27.5264,0.035)); #467444=CARTESIAN_POINT('',(30.498816,27.5264,0.035)); #467445=CARTESIAN_POINT('',(30.498816,27.5264,0.)); #467446=CARTESIAN_POINT('Origin',(30.523409,27.544144,0.)); #467447=CARTESIAN_POINT('',(30.523409,27.544144,0.)); #467448=CARTESIAN_POINT('',(30.523409,27.544144,0.)); #467449=CARTESIAN_POINT('',(30.523409,27.544144,0.035)); #467450=CARTESIAN_POINT('',(30.523409,27.544144,0.035)); #467451=CARTESIAN_POINT('',(30.523409,27.544144,0.)); #467452=CARTESIAN_POINT('Origin',(30.552978,27.550888,0.)); #467453=CARTESIAN_POINT('',(30.552978,27.550888,0.)); #467454=CARTESIAN_POINT('',(30.552978,27.550888,0.)); #467455=CARTESIAN_POINT('',(30.552978,27.550888,0.035)); #467456=CARTESIAN_POINT('',(30.552978,27.550888,0.035)); #467457=CARTESIAN_POINT('',(30.552978,27.550888,0.)); #467458=CARTESIAN_POINT('Origin',(30.582834,27.545566,0.)); #467459=CARTESIAN_POINT('',(30.582834,27.545566,0.)); #467460=CARTESIAN_POINT('',(30.582834,27.545566,0.)); #467461=CARTESIAN_POINT('',(30.582834,27.545566,0.035)); #467462=CARTESIAN_POINT('',(30.582834,27.545566,0.035)); #467463=CARTESIAN_POINT('',(30.582834,27.545566,0.)); #467464=CARTESIAN_POINT('Origin',(30.60825,27.529019,0.)); #467465=CARTESIAN_POINT('',(30.60825,27.529019,0.)); #467466=CARTESIAN_POINT('',(30.60825,27.529019,0.)); #467467=CARTESIAN_POINT('',(30.60825,27.529019,0.035)); #467468=CARTESIAN_POINT('',(30.60825,27.529019,0.035)); #467469=CARTESIAN_POINT('',(30.60825,27.529019,0.)); #467470=CARTESIAN_POINT('Origin',(30.6252,27.503869,0.)); #467471=CARTESIAN_POINT('',(30.6252,27.503869,0.)); #467472=CARTESIAN_POINT('',(30.6252,27.503869,0.)); #467473=CARTESIAN_POINT('',(30.6252,27.503869,0.035)); #467474=CARTESIAN_POINT('',(30.6252,27.503869,0.035)); #467475=CARTESIAN_POINT('',(30.6252,27.503869,0.)); #467476=CARTESIAN_POINT('Origin',(30.734984,27.238831,0.)); #467477=CARTESIAN_POINT('',(30.734984,27.238831,0.)); #467478=CARTESIAN_POINT('',(30.734984,27.238831,0.)); #467479=CARTESIAN_POINT('',(30.734984,27.238831,0.035)); #467480=CARTESIAN_POINT('',(30.734984,27.238831,0.035)); #467481=CARTESIAN_POINT('',(30.734984,27.238831,0.)); #467482=CARTESIAN_POINT('Origin',(30.988831,26.984984,0.)); #467483=CARTESIAN_POINT('',(30.988831,26.984984,0.)); #467484=CARTESIAN_POINT('',(30.988831,26.984984,0.)); #467485=CARTESIAN_POINT('',(30.988831,26.984984,0.035)); #467486=CARTESIAN_POINT('',(30.988831,26.984984,0.035)); #467487=CARTESIAN_POINT('',(30.988831,26.984984,0.)); #467488=CARTESIAN_POINT('Origin',(31.3205,26.8476,0.)); #467489=CARTESIAN_POINT('',(31.3205,26.8476,0.)); #467490=CARTESIAN_POINT('',(31.3205,26.8476,0.)); #467491=CARTESIAN_POINT('',(31.3205,26.8476,0.035)); #467492=CARTESIAN_POINT('',(31.3205,26.8476,0.035)); #467493=CARTESIAN_POINT('',(31.3205,26.8476,0.)); #467494=CARTESIAN_POINT('Origin',(31.6795,26.8476,0.)); #467495=CARTESIAN_POINT('',(31.6795,26.8476,0.)); #467496=CARTESIAN_POINT('',(31.6795,26.8476,0.)); #467497=CARTESIAN_POINT('',(31.6795,26.8476,0.035)); #467498=CARTESIAN_POINT('',(31.6795,26.8476,0.035)); #467499=CARTESIAN_POINT('',(31.6795,26.8476,0.)); #467500=CARTESIAN_POINT('Origin',(32.011169,26.984984,0.)); #467501=CARTESIAN_POINT('',(32.011169,26.984984,0.)); #467502=CARTESIAN_POINT('',(32.011169,26.984984,0.)); #467503=CARTESIAN_POINT('',(32.011169,26.984984,0.035)); #467504=CARTESIAN_POINT('',(32.011169,26.984984,0.035)); #467505=CARTESIAN_POINT('',(32.011169,26.984984,0.)); #467506=CARTESIAN_POINT('Origin',(32.203819,27.177634,0.)); #467507=CARTESIAN_POINT('',(32.203819,27.177634,0.)); #467508=CARTESIAN_POINT('',(32.203819,27.177634,0.)); #467509=CARTESIAN_POINT('',(32.203819,27.177634,0.035)); #467510=CARTESIAN_POINT('',(32.203819,27.177634,0.035)); #467511=CARTESIAN_POINT('',(32.203819,27.177634,0.)); #467512=CARTESIAN_POINT('Origin',(32.225756,27.192934,0.)); #467513=CARTESIAN_POINT('',(32.225756,27.192934,0.)); #467514=CARTESIAN_POINT('',(32.225756,27.192934,0.)); #467515=CARTESIAN_POINT('',(32.225756,27.192934,0.035)); #467516=CARTESIAN_POINT('',(32.225756,27.192934,0.035)); #467517=CARTESIAN_POINT('',(32.225756,27.192934,0.)); #467518=CARTESIAN_POINT('Origin',(32.255272,27.199916,0.)); #467519=CARTESIAN_POINT('',(32.255272,27.199916,0.)); #467520=CARTESIAN_POINT('',(32.255272,27.199916,0.)); #467521=CARTESIAN_POINT('',(32.255272,27.199916,0.035)); #467522=CARTESIAN_POINT('',(32.255272,27.199916,0.035)); #467523=CARTESIAN_POINT('',(32.255272,27.199916,0.)); #467524=CARTESIAN_POINT('Origin',(32.285169,27.194831,0.)); #467525=CARTESIAN_POINT('',(32.285169,27.194831,0.)); #467526=CARTESIAN_POINT('',(32.285169,27.194831,0.)); #467527=CARTESIAN_POINT('',(32.285169,27.194831,0.035)); #467528=CARTESIAN_POINT('',(32.285169,27.194831,0.035)); #467529=CARTESIAN_POINT('',(32.285169,27.194831,0.)); #467530=CARTESIAN_POINT('Origin',(32.310716,27.178488,0.)); #467531=CARTESIAN_POINT('',(32.310716,27.178488,0.)); #467532=CARTESIAN_POINT('',(32.310716,27.178488,0.)); #467533=CARTESIAN_POINT('',(32.310716,27.178488,0.035)); #467534=CARTESIAN_POINT('',(32.310716,27.178488,0.035)); #467535=CARTESIAN_POINT('',(32.310716,27.178488,0.)); #467536=CARTESIAN_POINT('Origin',(32.327866,27.153475,0.)); #467537=CARTESIAN_POINT('',(32.327866,27.153475,0.)); #467538=CARTESIAN_POINT('',(32.327866,27.153475,0.)); #467539=CARTESIAN_POINT('',(32.327866,27.153475,0.035)); #467540=CARTESIAN_POINT('',(32.327866,27.153475,0.035)); #467541=CARTESIAN_POINT('',(32.327866,27.153475,0.)); #467542=CARTESIAN_POINT('Origin',(32.3339,27.123753,0.)); #467543=CARTESIAN_POINT('',(32.3339,27.123753,0.)); #467544=CARTESIAN_POINT('',(32.3339,27.123753,0.)); #467545=CARTESIAN_POINT('',(32.3339,27.123753,0.035)); #467546=CARTESIAN_POINT('',(32.3339,27.123753,0.035)); #467547=CARTESIAN_POINT('',(32.3339,27.123753,0.)); #467548=CARTESIAN_POINT('Origin',(32.3339,25.876247,0.)); #467549=CARTESIAN_POINT('',(32.3339,25.876247,0.)); #467550=CARTESIAN_POINT('',(32.3339,25.876247,0.)); #467551=CARTESIAN_POINT('',(32.3339,25.876247,0.035)); #467552=CARTESIAN_POINT('',(32.3339,25.876247,0.035)); #467553=CARTESIAN_POINT('',(32.3339,25.876247,0.)); #467554=CARTESIAN_POINT('Origin',(32.329206,25.849916,0.)); #467555=CARTESIAN_POINT('',(32.329206,25.849916,0.)); #467556=CARTESIAN_POINT('',(32.329206,25.849916,0.)); #467557=CARTESIAN_POINT('',(32.329206,25.849916,0.035)); #467558=CARTESIAN_POINT('',(32.329206,25.849916,0.035)); #467559=CARTESIAN_POINT('',(32.329206,25.849916,0.)); #467560=CARTESIAN_POINT('Origin',(32.313272,25.824109,0.)); #467561=CARTESIAN_POINT('',(32.313272,25.824109,0.)); #467562=CARTESIAN_POINT('',(32.313272,25.824109,0.)); #467563=CARTESIAN_POINT('',(32.313272,25.824109,0.035)); #467564=CARTESIAN_POINT('',(32.313272,25.824109,0.035)); #467565=CARTESIAN_POINT('',(32.313272,25.824109,0.)); #467566=CARTESIAN_POINT('Origin',(32.288534,25.806566,0.)); #467567=CARTESIAN_POINT('',(32.288534,25.806566,0.)); #467568=CARTESIAN_POINT('',(32.288534,25.806566,0.)); #467569=CARTESIAN_POINT('',(32.288534,25.806566,0.035)); #467570=CARTESIAN_POINT('',(32.288534,25.806566,0.035)); #467571=CARTESIAN_POINT('',(32.288534,25.806566,0.)); #467572=CARTESIAN_POINT('Origin',(32.258916,25.800056,0.)); #467573=CARTESIAN_POINT('',(32.258916,25.800056,0.)); #467574=CARTESIAN_POINT('',(32.258916,25.800056,0.)); #467575=CARTESIAN_POINT('',(32.258916,25.800056,0.035)); #467576=CARTESIAN_POINT('',(32.258916,25.800056,0.035)); #467577=CARTESIAN_POINT('',(32.258916,25.800056,0.)); #467578=CARTESIAN_POINT('Origin',(32.229103,25.805616,0.)); #467579=CARTESIAN_POINT('',(32.229103,25.805616,0.)); #467580=CARTESIAN_POINT('',(32.229103,25.805616,0.)); #467581=CARTESIAN_POINT('',(32.229103,25.805616,0.035)); #467582=CARTESIAN_POINT('',(32.229103,25.805616,0.035)); #467583=CARTESIAN_POINT('',(32.229103,25.805616,0.)); #467584=CARTESIAN_POINT('Origin',(32.203819,25.822366,0.)); #467585=CARTESIAN_POINT('',(32.203819,25.822366,0.)); #467586=CARTESIAN_POINT('',(32.203819,25.822366,0.)); #467587=CARTESIAN_POINT('',(32.203819,25.822366,0.035)); #467588=CARTESIAN_POINT('',(32.203819,25.822366,0.035)); #467589=CARTESIAN_POINT('',(32.203819,25.822366,0.)); #467590=CARTESIAN_POINT('Origin',(32.011169,26.015016,0.)); #467591=CARTESIAN_POINT('',(32.011169,26.015016,0.)); #467592=CARTESIAN_POINT('',(32.011169,26.015016,0.)); #467593=CARTESIAN_POINT('',(32.011169,26.015016,0.035)); #467594=CARTESIAN_POINT('',(32.011169,26.015016,0.035)); #467595=CARTESIAN_POINT('',(32.011169,26.015016,0.)); #467596=CARTESIAN_POINT('Origin',(31.6795,26.1524,0.)); #467597=CARTESIAN_POINT('',(31.6795,26.1524,0.)); #467598=CARTESIAN_POINT('',(31.6795,26.1524,0.)); #467599=CARTESIAN_POINT('',(31.6795,26.1524,0.035)); #467600=CARTESIAN_POINT('',(31.6795,26.1524,0.035)); #467601=CARTESIAN_POINT('',(31.6795,26.1524,0.)); #467602=CARTESIAN_POINT('Origin',(31.3205,26.1524,0.)); #467603=CARTESIAN_POINT('',(31.3205,26.1524,0.)); #467604=CARTESIAN_POINT('',(31.3205,26.1524,0.)); #467605=CARTESIAN_POINT('',(31.3205,26.1524,0.035)); #467606=CARTESIAN_POINT('',(31.3205,26.1524,0.035)); #467607=CARTESIAN_POINT('',(31.3205,26.1524,0.)); #467608=CARTESIAN_POINT('Origin',(30.988831,26.015016,0.)); #467609=CARTESIAN_POINT('',(30.988831,26.015016,0.)); #467610=CARTESIAN_POINT('',(30.988831,26.015016,0.)); #467611=CARTESIAN_POINT('',(30.988831,26.015016,0.035)); #467612=CARTESIAN_POINT('',(30.988831,26.015016,0.035)); #467613=CARTESIAN_POINT('',(30.988831,26.015016,0.)); #467614=CARTESIAN_POINT('Origin',(30.734984,25.761169,0.)); #467615=CARTESIAN_POINT('',(30.734984,25.761169,0.)); #467616=CARTESIAN_POINT('',(30.734984,25.761169,0.)); #467617=CARTESIAN_POINT('',(30.734984,25.761169,0.035)); #467618=CARTESIAN_POINT('',(30.734984,25.761169,0.035)); #467619=CARTESIAN_POINT('',(30.734984,25.761169,0.)); #467620=CARTESIAN_POINT('Origin',(30.6252,25.496131,0.)); #467621=CARTESIAN_POINT('',(30.6252,25.496131,0.)); #467622=CARTESIAN_POINT('',(30.6252,25.496131,0.)); #467623=CARTESIAN_POINT('',(30.6252,25.496131,0.035)); #467624=CARTESIAN_POINT('',(30.6252,25.496131,0.035)); #467625=CARTESIAN_POINT('',(30.6252,25.496131,0.)); #467626=CARTESIAN_POINT('Origin',(30.611197,25.474047,0.)); #467627=CARTESIAN_POINT('',(30.611197,25.474047,0.)); #467628=CARTESIAN_POINT('',(30.611197,25.474047,0.)); #467629=CARTESIAN_POINT('',(30.611197,25.474047,0.035)); #467630=CARTESIAN_POINT('',(30.611197,25.474047,0.035)); #467631=CARTESIAN_POINT('',(30.611197,25.474047,0.)); #467632=CARTESIAN_POINT('Origin',(30.586744,25.456109,0.)); #467633=CARTESIAN_POINT('',(30.586744,25.456109,0.)); #467634=CARTESIAN_POINT('',(30.586744,25.456109,0.035)); #467635=CARTESIAN_POINT('Origin',(31.2904934242719,26.5000001643406,0.035)); #467636=CARTESIAN_POINT('Origin',(31.2904934242719,26.5000001643406,0.)); #467637=CARTESIAN_POINT('Origin',(41.626216,36.261006,0.)); #467638=CARTESIAN_POINT('',(41.626216,36.261006,0.)); #467639=CARTESIAN_POINT('',(41.655834,36.267516,0.)); #467640=CARTESIAN_POINT('',(41.626216,36.261006,0.)); #467641=CARTESIAN_POINT('',(41.655834,36.267516,0.035)); #467642=CARTESIAN_POINT('',(41.655834,36.267516,0.)); #467643=CARTESIAN_POINT('',(41.626216,36.261006,0.035)); #467644=CARTESIAN_POINT('',(41.626216,36.261006,0.035)); #467645=CARTESIAN_POINT('',(41.626216,36.261006,0.)); #467646=CARTESIAN_POINT('Origin',(41.596403,36.266566,0.)); #467647=CARTESIAN_POINT('',(41.596403,36.266566,0.)); #467648=CARTESIAN_POINT('',(41.596403,36.266566,0.)); #467649=CARTESIAN_POINT('',(41.596403,36.266566,0.035)); #467650=CARTESIAN_POINT('',(41.596403,36.266566,0.035)); #467651=CARTESIAN_POINT('',(41.596403,36.266566,0.)); #467652=CARTESIAN_POINT('Origin',(41.571119,36.283316,0.)); #467653=CARTESIAN_POINT('',(41.571119,36.283316,0.)); #467654=CARTESIAN_POINT('',(41.571119,36.283316,0.)); #467655=CARTESIAN_POINT('',(41.571119,36.283316,0.035)); #467656=CARTESIAN_POINT('',(41.571119,36.283316,0.035)); #467657=CARTESIAN_POINT('',(41.571119,36.283316,0.)); #467658=CARTESIAN_POINT('Origin',(41.56325,36.291184,0.)); #467659=CARTESIAN_POINT('',(41.56325,36.291184,0.)); #467660=CARTESIAN_POINT('',(41.56325,36.291184,0.)); #467661=CARTESIAN_POINT('',(41.56325,36.291184,0.035)); #467662=CARTESIAN_POINT('',(41.56325,36.291184,0.035)); #467663=CARTESIAN_POINT('',(41.56325,36.291184,0.)); #467664=CARTESIAN_POINT('Origin',(41.54745,36.314231,0.)); #467665=CARTESIAN_POINT('',(41.54745,36.314231,0.)); #467666=CARTESIAN_POINT('',(41.54745,36.314231,0.)); #467667=CARTESIAN_POINT('',(41.54745,36.314231,0.035)); #467668=CARTESIAN_POINT('',(41.54745,36.314231,0.035)); #467669=CARTESIAN_POINT('',(41.54745,36.314231,0.)); #467670=CARTESIAN_POINT('Origin',(41.540941,36.34385,0.)); #467671=CARTESIAN_POINT('',(41.540941,36.34385,0.)); #467672=CARTESIAN_POINT('',(41.540941,36.34385,0.)); #467673=CARTESIAN_POINT('',(41.540941,36.34385,0.035)); #467674=CARTESIAN_POINT('',(41.540941,36.34385,0.035)); #467675=CARTESIAN_POINT('',(41.540941,36.34385,0.)); #467676=CARTESIAN_POINT('Origin',(41.5465,36.373663,0.)); #467677=CARTESIAN_POINT('',(41.5465,36.373663,0.)); #467678=CARTESIAN_POINT('',(41.5465,36.373663,0.)); #467679=CARTESIAN_POINT('',(41.5465,36.373663,0.035)); #467680=CARTESIAN_POINT('',(41.5465,36.373663,0.035)); #467681=CARTESIAN_POINT('',(41.5465,36.373663,0.)); #467682=CARTESIAN_POINT('Origin',(41.56325,36.398947,0.)); #467683=CARTESIAN_POINT('',(41.56325,36.398947,0.)); #467684=CARTESIAN_POINT('',(41.56325,36.398947,0.)); #467685=CARTESIAN_POINT('',(41.56325,36.398947,0.035)); #467686=CARTESIAN_POINT('',(41.56325,36.398947,0.035)); #467687=CARTESIAN_POINT('',(41.56325,36.398947,0.)); #467688=CARTESIAN_POINT('Origin',(41.641319,36.477016,0.)); #467689=CARTESIAN_POINT('',(41.641319,36.477016,0.)); #467690=CARTESIAN_POINT('',(41.641319,36.477016,0.)); #467691=CARTESIAN_POINT('',(41.641319,36.477016,0.035)); #467692=CARTESIAN_POINT('',(41.641319,36.477016,0.035)); #467693=CARTESIAN_POINT('',(41.641319,36.477016,0.)); #467694=CARTESIAN_POINT('Origin',(41.663256,36.492316,0.)); #467695=CARTESIAN_POINT('',(41.663256,36.492316,0.)); #467696=CARTESIAN_POINT('',(41.663256,36.492316,0.)); #467697=CARTESIAN_POINT('',(41.663256,36.492316,0.035)); #467698=CARTESIAN_POINT('',(41.663256,36.492316,0.035)); #467699=CARTESIAN_POINT('',(41.663256,36.492316,0.)); #467700=CARTESIAN_POINT('Origin',(41.692772,36.499297,0.)); #467701=CARTESIAN_POINT('',(41.692772,36.499297,0.)); #467702=CARTESIAN_POINT('',(41.692772,36.499297,0.)); #467703=CARTESIAN_POINT('',(41.692772,36.499297,0.035)); #467704=CARTESIAN_POINT('',(41.692772,36.499297,0.035)); #467705=CARTESIAN_POINT('',(41.692772,36.499297,0.)); #467706=CARTESIAN_POINT('Origin',(41.722669,36.494213,0.)); #467707=CARTESIAN_POINT('',(41.722669,36.494213,0.)); #467708=CARTESIAN_POINT('',(41.722669,36.494213,0.)); #467709=CARTESIAN_POINT('',(41.722669,36.494213,0.035)); #467710=CARTESIAN_POINT('',(41.722669,36.494213,0.035)); #467711=CARTESIAN_POINT('',(41.722669,36.494213,0.)); #467712=CARTESIAN_POINT('Origin',(41.748216,36.477869,0.)); #467713=CARTESIAN_POINT('',(41.748216,36.477869,0.)); #467714=CARTESIAN_POINT('',(41.748216,36.477869,0.)); #467715=CARTESIAN_POINT('',(41.748216,36.477869,0.035)); #467716=CARTESIAN_POINT('',(41.748216,36.477869,0.035)); #467717=CARTESIAN_POINT('',(41.748216,36.477869,0.)); #467718=CARTESIAN_POINT('Origin',(41.765366,36.452856,0.)); #467719=CARTESIAN_POINT('',(41.765366,36.452856,0.)); #467720=CARTESIAN_POINT('',(41.765366,36.452856,0.)); #467721=CARTESIAN_POINT('',(41.765366,36.452856,0.035)); #467722=CARTESIAN_POINT('',(41.765366,36.452856,0.035)); #467723=CARTESIAN_POINT('',(41.765366,36.452856,0.)); #467724=CARTESIAN_POINT('Origin',(41.7714,36.423134,0.)); #467725=CARTESIAN_POINT('',(41.7714,36.423134,0.)); #467726=CARTESIAN_POINT('',(41.7714,36.423134,0.)); #467727=CARTESIAN_POINT('',(41.7714,36.423134,0.035)); #467728=CARTESIAN_POINT('',(41.7714,36.423134,0.035)); #467729=CARTESIAN_POINT('',(41.7714,36.423134,0.)); #467730=CARTESIAN_POINT('Origin',(41.7714,36.407397,0.)); #467731=CARTESIAN_POINT('',(41.7714,36.407397,0.)); #467732=CARTESIAN_POINT('',(41.7714,36.407397,0.)); #467733=CARTESIAN_POINT('',(41.7714,36.407397,0.035)); #467734=CARTESIAN_POINT('',(41.7714,36.407397,0.035)); #467735=CARTESIAN_POINT('',(41.7714,36.407397,0.)); #467736=CARTESIAN_POINT('Origin',(41.765831,36.3788,0.)); #467737=CARTESIAN_POINT('',(41.765831,36.3788,0.)); #467738=CARTESIAN_POINT('',(41.765831,36.3788,0.)); #467739=CARTESIAN_POINT('',(41.765831,36.3788,0.035)); #467740=CARTESIAN_POINT('',(41.765831,36.3788,0.035)); #467741=CARTESIAN_POINT('',(41.765831,36.3788,0.)); #467742=CARTESIAN_POINT('Origin',(41.749081,36.353516,0.)); #467743=CARTESIAN_POINT('',(41.749081,36.353516,0.)); #467744=CARTESIAN_POINT('',(41.749081,36.353516,0.)); #467745=CARTESIAN_POINT('',(41.749081,36.353516,0.035)); #467746=CARTESIAN_POINT('',(41.749081,36.353516,0.035)); #467747=CARTESIAN_POINT('',(41.749081,36.353516,0.)); #467748=CARTESIAN_POINT('Origin',(41.678881,36.283316,0.)); #467749=CARTESIAN_POINT('',(41.678881,36.283316,0.)); #467750=CARTESIAN_POINT('',(41.678881,36.283316,0.)); #467751=CARTESIAN_POINT('',(41.678881,36.283316,0.035)); #467752=CARTESIAN_POINT('',(41.678881,36.283316,0.035)); #467753=CARTESIAN_POINT('',(41.678881,36.283316,0.)); #467754=CARTESIAN_POINT('Origin',(41.655834,36.267516,0.)); #467755=CARTESIAN_POINT('',(41.655834,36.267516,0.)); #467756=CARTESIAN_POINT('',(41.655834,36.267516,0.035)); #467757=CARTESIAN_POINT('Origin',(41.6583372279531,36.3798419574889,0.035)); #467758=CARTESIAN_POINT('Origin',(41.6583372279531,36.3798419574889,0.)); #467759=CARTESIAN_POINT('Origin',(40.822547,27.4274,0.)); #467760=CARTESIAN_POINT('',(40.822547,27.4274,0.)); #467761=CARTESIAN_POINT('',(40.852269,27.433434,0.)); #467762=CARTESIAN_POINT('',(40.822547,27.4274,0.)); #467763=CARTESIAN_POINT('',(40.852269,27.433434,0.035)); #467764=CARTESIAN_POINT('',(40.852269,27.433434,0.)); #467765=CARTESIAN_POINT('',(40.822547,27.4274,0.035)); #467766=CARTESIAN_POINT('',(40.822547,27.4274,0.035)); #467767=CARTESIAN_POINT('',(40.822547,27.4274,0.)); #467768=CARTESIAN_POINT('Origin',(40.654316,27.4274,0.)); #467769=CARTESIAN_POINT('',(40.654316,27.4274,0.)); #467770=CARTESIAN_POINT('',(40.654316,27.4274,0.)); #467771=CARTESIAN_POINT('',(40.654316,27.4274,0.035)); #467772=CARTESIAN_POINT('',(40.654316,27.4274,0.035)); #467773=CARTESIAN_POINT('',(40.654316,27.4274,0.)); #467774=CARTESIAN_POINT('Origin',(40.627984,27.432094,0.)); #467775=CARTESIAN_POINT('',(40.627984,27.432094,0.)); #467776=CARTESIAN_POINT('',(40.627984,27.432094,0.)); #467777=CARTESIAN_POINT('',(40.627984,27.432094,0.035)); #467778=CARTESIAN_POINT('',(40.627984,27.432094,0.035)); #467779=CARTESIAN_POINT('',(40.627984,27.432094,0.)); #467780=CARTESIAN_POINT('Origin',(40.602178,27.448028,0.)); #467781=CARTESIAN_POINT('',(40.602178,27.448028,0.)); #467782=CARTESIAN_POINT('',(40.602178,27.448028,0.)); #467783=CARTESIAN_POINT('',(40.602178,27.448028,0.035)); #467784=CARTESIAN_POINT('',(40.602178,27.448028,0.035)); #467785=CARTESIAN_POINT('',(40.602178,27.448028,0.)); #467786=CARTESIAN_POINT('Origin',(40.584634,27.472766,0.)); #467787=CARTESIAN_POINT('',(40.584634,27.472766,0.)); #467788=CARTESIAN_POINT('',(40.584634,27.472766,0.)); #467789=CARTESIAN_POINT('',(40.584634,27.472766,0.035)); #467790=CARTESIAN_POINT('',(40.584634,27.472766,0.035)); #467791=CARTESIAN_POINT('',(40.584634,27.472766,0.)); #467792=CARTESIAN_POINT('Origin',(40.578125,27.502384,0.)); #467793=CARTESIAN_POINT('',(40.578125,27.502384,0.)); #467794=CARTESIAN_POINT('',(40.578125,27.502384,0.)); #467795=CARTESIAN_POINT('',(40.578125,27.502384,0.035)); #467796=CARTESIAN_POINT('',(40.578125,27.502384,0.035)); #467797=CARTESIAN_POINT('',(40.578125,27.502384,0.)); #467798=CARTESIAN_POINT('Origin',(40.583684,27.532197,0.)); #467799=CARTESIAN_POINT('',(40.583684,27.532197,0.)); #467800=CARTESIAN_POINT('',(40.583684,27.532197,0.)); #467801=CARTESIAN_POINT('',(40.583684,27.532197,0.035)); #467802=CARTESIAN_POINT('',(40.583684,27.532197,0.035)); #467803=CARTESIAN_POINT('',(40.583684,27.532197,0.)); #467804=CARTESIAN_POINT('Origin',(40.600434,27.557481,0.)); #467805=CARTESIAN_POINT('',(40.600434,27.557481,0.)); #467806=CARTESIAN_POINT('',(40.600434,27.557481,0.)); #467807=CARTESIAN_POINT('',(40.600434,27.557481,0.035)); #467808=CARTESIAN_POINT('',(40.600434,27.557481,0.035)); #467809=CARTESIAN_POINT('',(40.600434,27.557481,0.)); #467810=CARTESIAN_POINT('Origin',(41.692519,28.649566,0.)); #467811=CARTESIAN_POINT('',(41.692519,28.649566,0.)); #467812=CARTESIAN_POINT('',(41.692519,28.649566,0.)); #467813=CARTESIAN_POINT('',(41.692519,28.649566,0.035)); #467814=CARTESIAN_POINT('',(41.692519,28.649566,0.035)); #467815=CARTESIAN_POINT('',(41.692519,28.649566,0.)); #467816=CARTESIAN_POINT('Origin',(41.714456,28.664866,0.)); #467817=CARTESIAN_POINT('',(41.714456,28.664866,0.)); #467818=CARTESIAN_POINT('',(41.714456,28.664866,0.)); #467819=CARTESIAN_POINT('',(41.714456,28.664866,0.035)); #467820=CARTESIAN_POINT('',(41.714456,28.664866,0.035)); #467821=CARTESIAN_POINT('',(41.714456,28.664866,0.)); #467822=CARTESIAN_POINT('Origin',(41.743972,28.671847,0.)); #467823=CARTESIAN_POINT('',(41.743972,28.671847,0.)); #467824=CARTESIAN_POINT('',(41.743972,28.671847,0.)); #467825=CARTESIAN_POINT('',(41.743972,28.671847,0.035)); #467826=CARTESIAN_POINT('',(41.743972,28.671847,0.035)); #467827=CARTESIAN_POINT('',(41.743972,28.671847,0.)); #467828=CARTESIAN_POINT('Origin',(41.773869,28.666763,0.)); #467829=CARTESIAN_POINT('',(41.773869,28.666763,0.)); #467830=CARTESIAN_POINT('',(41.773869,28.666763,0.)); #467831=CARTESIAN_POINT('',(41.773869,28.666763,0.035)); #467832=CARTESIAN_POINT('',(41.773869,28.666763,0.035)); #467833=CARTESIAN_POINT('',(41.773869,28.666763,0.)); #467834=CARTESIAN_POINT('Origin',(41.799416,28.650419,0.)); #467835=CARTESIAN_POINT('',(41.799416,28.650419,0.)); #467836=CARTESIAN_POINT('',(41.799416,28.650419,0.)); #467837=CARTESIAN_POINT('',(41.799416,28.650419,0.035)); #467838=CARTESIAN_POINT('',(41.799416,28.650419,0.035)); #467839=CARTESIAN_POINT('',(41.799416,28.650419,0.)); #467840=CARTESIAN_POINT('Origin',(41.816566,28.625406,0.)); #467841=CARTESIAN_POINT('',(41.816566,28.625406,0.)); #467842=CARTESIAN_POINT('',(41.816566,28.625406,0.)); #467843=CARTESIAN_POINT('',(41.816566,28.625406,0.035)); #467844=CARTESIAN_POINT('',(41.816566,28.625406,0.035)); #467845=CARTESIAN_POINT('',(41.816566,28.625406,0.)); #467846=CARTESIAN_POINT('Origin',(41.8226,28.595684,0.)); #467847=CARTESIAN_POINT('',(41.8226,28.595684,0.)); #467848=CARTESIAN_POINT('',(41.8226,28.595684,0.)); #467849=CARTESIAN_POINT('',(41.8226,28.595684,0.035)); #467850=CARTESIAN_POINT('',(41.8226,28.595684,0.035)); #467851=CARTESIAN_POINT('',(41.8226,28.595684,0.)); #467852=CARTESIAN_POINT('Origin',(41.8226,28.427453,0.)); #467853=CARTESIAN_POINT('',(41.8226,28.427453,0.)); #467854=CARTESIAN_POINT('',(41.8226,28.427453,0.)); #467855=CARTESIAN_POINT('',(41.8226,28.427453,0.035)); #467856=CARTESIAN_POINT('',(41.8226,28.427453,0.035)); #467857=CARTESIAN_POINT('',(41.8226,28.427453,0.)); #467858=CARTESIAN_POINT('Origin',(41.817031,28.398856,0.)); #467859=CARTESIAN_POINT('',(41.817031,28.398856,0.)); #467860=CARTESIAN_POINT('',(41.817031,28.398856,0.)); #467861=CARTESIAN_POINT('',(41.817031,28.398856,0.035)); #467862=CARTESIAN_POINT('',(41.817031,28.398856,0.035)); #467863=CARTESIAN_POINT('',(41.817031,28.398856,0.)); #467864=CARTESIAN_POINT('Origin',(41.800281,28.373572,0.)); #467865=CARTESIAN_POINT('',(41.800281,28.373572,0.)); #467866=CARTESIAN_POINT('',(41.800281,28.373572,0.)); #467867=CARTESIAN_POINT('',(41.800281,28.373572,0.035)); #467868=CARTESIAN_POINT('',(41.800281,28.373572,0.035)); #467869=CARTESIAN_POINT('',(41.800281,28.373572,0.)); #467870=CARTESIAN_POINT('Origin',(40.876428,27.449719,0.)); #467871=CARTESIAN_POINT('',(40.876428,27.449719,0.)); #467872=CARTESIAN_POINT('',(40.876428,27.449719,0.)); #467873=CARTESIAN_POINT('',(40.876428,27.449719,0.035)); #467874=CARTESIAN_POINT('',(40.876428,27.449719,0.035)); #467875=CARTESIAN_POINT('',(40.876428,27.449719,0.)); #467876=CARTESIAN_POINT('Origin',(40.852269,27.433434,0.)); #467877=CARTESIAN_POINT('',(40.852269,27.433434,0.)); #467878=CARTESIAN_POINT('',(40.852269,27.433434,0.035)); #467879=CARTESIAN_POINT('Origin',(41.2369530188224,28.0130457246143,0.035)); #467880=CARTESIAN_POINT('Origin',(41.2369530188224,28.0130457246143,0.)); #467881=CARTESIAN_POINT('Origin',(48.248747,39.3536,0.)); #467882=CARTESIAN_POINT('',(48.248747,39.3536,0.)); #467883=CARTESIAN_POINT('',(48.278469,39.359634,0.)); #467884=CARTESIAN_POINT('',(48.248747,39.3536,0.)); #467885=CARTESIAN_POINT('',(48.278469,39.359634,0.035)); #467886=CARTESIAN_POINT('',(48.278469,39.359634,0.)); #467887=CARTESIAN_POINT('',(48.248747,39.3536,0.035)); #467888=CARTESIAN_POINT('',(48.248747,39.3536,0.035)); #467889=CARTESIAN_POINT('',(48.248747,39.3536,0.)); #467890=CARTESIAN_POINT('Origin',(47.622853,39.3536,0.)); #467891=CARTESIAN_POINT('',(47.622853,39.3536,0.)); #467892=CARTESIAN_POINT('',(47.622853,39.3536,0.)); #467893=CARTESIAN_POINT('',(47.622853,39.3536,0.035)); #467894=CARTESIAN_POINT('',(47.622853,39.3536,0.035)); #467895=CARTESIAN_POINT('',(47.622853,39.3536,0.)); #467896=CARTESIAN_POINT('Origin',(47.596522,39.358294,0.)); #467897=CARTESIAN_POINT('',(47.596522,39.358294,0.)); #467898=CARTESIAN_POINT('',(47.596522,39.358294,0.)); #467899=CARTESIAN_POINT('',(47.596522,39.358294,0.035)); #467900=CARTESIAN_POINT('',(47.596522,39.358294,0.035)); #467901=CARTESIAN_POINT('',(47.596522,39.358294,0.)); #467902=CARTESIAN_POINT('Origin',(47.570716,39.374228,0.)); #467903=CARTESIAN_POINT('',(47.570716,39.374228,0.)); #467904=CARTESIAN_POINT('',(47.570716,39.374228,0.)); #467905=CARTESIAN_POINT('',(47.570716,39.374228,0.035)); #467906=CARTESIAN_POINT('',(47.570716,39.374228,0.035)); #467907=CARTESIAN_POINT('',(47.570716,39.374228,0.)); #467908=CARTESIAN_POINT('Origin',(47.553172,39.398966,0.)); #467909=CARTESIAN_POINT('',(47.553172,39.398966,0.)); #467910=CARTESIAN_POINT('',(47.553172,39.398966,0.)); #467911=CARTESIAN_POINT('',(47.553172,39.398966,0.035)); #467912=CARTESIAN_POINT('',(47.553172,39.398966,0.035)); #467913=CARTESIAN_POINT('',(47.553172,39.398966,0.)); #467914=CARTESIAN_POINT('Origin',(47.546663,39.428584,0.)); #467915=CARTESIAN_POINT('',(47.546663,39.428584,0.)); #467916=CARTESIAN_POINT('',(47.546663,39.428584,0.)); #467917=CARTESIAN_POINT('',(47.546663,39.428584,0.035)); #467918=CARTESIAN_POINT('',(47.546663,39.428584,0.035)); #467919=CARTESIAN_POINT('',(47.546663,39.428584,0.)); #467920=CARTESIAN_POINT('Origin',(47.552222,39.458397,0.)); #467921=CARTESIAN_POINT('',(47.552222,39.458397,0.)); #467922=CARTESIAN_POINT('',(47.552222,39.458397,0.)); #467923=CARTESIAN_POINT('',(47.552222,39.458397,0.035)); #467924=CARTESIAN_POINT('',(47.552222,39.458397,0.035)); #467925=CARTESIAN_POINT('',(47.552222,39.458397,0.)); #467926=CARTESIAN_POINT('Origin',(47.568972,39.483681,0.)); #467927=CARTESIAN_POINT('',(47.568972,39.483681,0.)); #467928=CARTESIAN_POINT('',(47.568972,39.483681,0.)); #467929=CARTESIAN_POINT('',(47.568972,39.483681,0.035)); #467930=CARTESIAN_POINT('',(47.568972,39.483681,0.035)); #467931=CARTESIAN_POINT('',(47.568972,39.483681,0.)); #467932=CARTESIAN_POINT('Origin',(47.729472,39.644181,0.)); #467933=CARTESIAN_POINT('',(47.729472,39.644181,0.)); #467934=CARTESIAN_POINT('',(47.729472,39.644181,0.)); #467935=CARTESIAN_POINT('',(47.729472,39.644181,0.035)); #467936=CARTESIAN_POINT('',(47.729472,39.644181,0.035)); #467937=CARTESIAN_POINT('',(47.729472,39.644181,0.)); #467938=CARTESIAN_POINT('Origin',(47.753631,39.660466,0.)); #467939=CARTESIAN_POINT('',(47.753631,39.660466,0.)); #467940=CARTESIAN_POINT('',(47.753631,39.660466,0.)); #467941=CARTESIAN_POINT('',(47.753631,39.660466,0.035)); #467942=CARTESIAN_POINT('',(47.753631,39.660466,0.035)); #467943=CARTESIAN_POINT('',(47.753631,39.660466,0.)); #467944=CARTESIAN_POINT('Origin',(47.783353,39.6665,0.)); #467945=CARTESIAN_POINT('',(47.783353,39.6665,0.)); #467946=CARTESIAN_POINT('',(47.783353,39.6665,0.)); #467947=CARTESIAN_POINT('',(47.783353,39.6665,0.035)); #467948=CARTESIAN_POINT('',(47.783353,39.6665,0.035)); #467949=CARTESIAN_POINT('',(47.783353,39.6665,0.)); #467950=CARTESIAN_POINT('Origin',(48.409247,39.6665,0.)); #467951=CARTESIAN_POINT('',(48.409247,39.6665,0.)); #467952=CARTESIAN_POINT('',(48.409247,39.6665,0.)); #467953=CARTESIAN_POINT('',(48.409247,39.6665,0.035)); #467954=CARTESIAN_POINT('',(48.409247,39.6665,0.035)); #467955=CARTESIAN_POINT('',(48.409247,39.6665,0.)); #467956=CARTESIAN_POINT('Origin',(48.435578,39.661806,0.)); #467957=CARTESIAN_POINT('',(48.435578,39.661806,0.)); #467958=CARTESIAN_POINT('',(48.435578,39.661806,0.)); #467959=CARTESIAN_POINT('',(48.435578,39.661806,0.035)); #467960=CARTESIAN_POINT('',(48.435578,39.661806,0.035)); #467961=CARTESIAN_POINT('',(48.435578,39.661806,0.)); #467962=CARTESIAN_POINT('Origin',(48.461384,39.645872,0.)); #467963=CARTESIAN_POINT('',(48.461384,39.645872,0.)); #467964=CARTESIAN_POINT('',(48.461384,39.645872,0.)); #467965=CARTESIAN_POINT('',(48.461384,39.645872,0.035)); #467966=CARTESIAN_POINT('',(48.461384,39.645872,0.035)); #467967=CARTESIAN_POINT('',(48.461384,39.645872,0.)); #467968=CARTESIAN_POINT('Origin',(48.478928,39.621134,0.)); #467969=CARTESIAN_POINT('',(48.478928,39.621134,0.)); #467970=CARTESIAN_POINT('',(48.478928,39.621134,0.)); #467971=CARTESIAN_POINT('',(48.478928,39.621134,0.035)); #467972=CARTESIAN_POINT('',(48.478928,39.621134,0.035)); #467973=CARTESIAN_POINT('',(48.478928,39.621134,0.)); #467974=CARTESIAN_POINT('Origin',(48.485438,39.591516,0.)); #467975=CARTESIAN_POINT('',(48.485438,39.591516,0.)); #467976=CARTESIAN_POINT('',(48.485438,39.591516,0.)); #467977=CARTESIAN_POINT('',(48.485438,39.591516,0.035)); #467978=CARTESIAN_POINT('',(48.485438,39.591516,0.035)); #467979=CARTESIAN_POINT('',(48.485438,39.591516,0.)); #467980=CARTESIAN_POINT('Origin',(48.479878,39.561703,0.)); #467981=CARTESIAN_POINT('',(48.479878,39.561703,0.)); #467982=CARTESIAN_POINT('',(48.479878,39.561703,0.)); #467983=CARTESIAN_POINT('',(48.479878,39.561703,0.035)); #467984=CARTESIAN_POINT('',(48.479878,39.561703,0.035)); #467985=CARTESIAN_POINT('',(48.479878,39.561703,0.)); #467986=CARTESIAN_POINT('Origin',(48.463128,39.536419,0.)); #467987=CARTESIAN_POINT('',(48.463128,39.536419,0.)); #467988=CARTESIAN_POINT('',(48.463128,39.536419,0.)); #467989=CARTESIAN_POINT('',(48.463128,39.536419,0.035)); #467990=CARTESIAN_POINT('',(48.463128,39.536419,0.035)); #467991=CARTESIAN_POINT('',(48.463128,39.536419,0.)); #467992=CARTESIAN_POINT('Origin',(48.302628,39.375919,0.)); #467993=CARTESIAN_POINT('',(48.302628,39.375919,0.)); #467994=CARTESIAN_POINT('',(48.302628,39.375919,0.)); #467995=CARTESIAN_POINT('',(48.302628,39.375919,0.035)); #467996=CARTESIAN_POINT('',(48.302628,39.375919,0.035)); #467997=CARTESIAN_POINT('',(48.302628,39.375919,0.)); #467998=CARTESIAN_POINT('Origin',(48.278469,39.359634,0.)); #467999=CARTESIAN_POINT('',(48.278469,39.359634,0.)); #468000=CARTESIAN_POINT('',(48.278469,39.359634,0.035)); #468001=CARTESIAN_POINT('Origin',(48.0160500989818,39.510050015067,0.035)); #468002=CARTESIAN_POINT('Origin',(48.0160500989818,39.510050015067,0.)); #468003=CARTESIAN_POINT('Origin',(37.447022,25.449113,0.)); #468004=CARTESIAN_POINT('',(37.447022,25.449113,0.)); #468005=CARTESIAN_POINT('',(37.476591,25.455856,0.)); #468006=CARTESIAN_POINT('',(37.447022,25.449113,0.)); #468007=CARTESIAN_POINT('',(37.476591,25.455856,0.035)); #468008=CARTESIAN_POINT('',(37.476591,25.455856,0.)); #468009=CARTESIAN_POINT('',(37.447022,25.449113,0.035)); #468010=CARTESIAN_POINT('',(37.447022,25.449113,0.035)); #468011=CARTESIAN_POINT('',(37.447022,25.449113,0.)); #468012=CARTESIAN_POINT('Origin',(37.417166,25.454434,0.)); #468013=CARTESIAN_POINT('',(37.417166,25.454434,0.)); #468014=CARTESIAN_POINT('',(37.417166,25.454434,0.)); #468015=CARTESIAN_POINT('',(37.417166,25.454434,0.035)); #468016=CARTESIAN_POINT('',(37.417166,25.454434,0.035)); #468017=CARTESIAN_POINT('',(37.417166,25.454434,0.)); #468018=CARTESIAN_POINT('Origin',(37.39175,25.470981,0.)); #468019=CARTESIAN_POINT('',(37.39175,25.470981,0.)); #468020=CARTESIAN_POINT('',(37.39175,25.470981,0.)); #468021=CARTESIAN_POINT('',(37.39175,25.470981,0.035)); #468022=CARTESIAN_POINT('',(37.39175,25.470981,0.035)); #468023=CARTESIAN_POINT('',(37.39175,25.470981,0.)); #468024=CARTESIAN_POINT('Origin',(37.3748,25.496131,0.)); #468025=CARTESIAN_POINT('',(37.3748,25.496131,0.)); #468026=CARTESIAN_POINT('',(37.3748,25.496131,0.)); #468027=CARTESIAN_POINT('',(37.3748,25.496131,0.035)); #468028=CARTESIAN_POINT('',(37.3748,25.496131,0.035)); #468029=CARTESIAN_POINT('',(37.3748,25.496131,0.)); #468030=CARTESIAN_POINT('Origin',(37.265016,25.761169,0.)); #468031=CARTESIAN_POINT('',(37.265016,25.761169,0.)); #468032=CARTESIAN_POINT('',(37.265016,25.761169,0.)); #468033=CARTESIAN_POINT('',(37.265016,25.761169,0.035)); #468034=CARTESIAN_POINT('',(37.265016,25.761169,0.035)); #468035=CARTESIAN_POINT('',(37.265016,25.761169,0.)); #468036=CARTESIAN_POINT('Origin',(37.011169,26.015016,0.)); #468037=CARTESIAN_POINT('',(37.011169,26.015016,0.)); #468038=CARTESIAN_POINT('',(37.011169,26.015016,0.)); #468039=CARTESIAN_POINT('',(37.011169,26.015016,0.035)); #468040=CARTESIAN_POINT('',(37.011169,26.015016,0.035)); #468041=CARTESIAN_POINT('',(37.011169,26.015016,0.)); #468042=CARTESIAN_POINT('Origin',(36.6795,26.1524,0.)); #468043=CARTESIAN_POINT('',(36.6795,26.1524,0.)); #468044=CARTESIAN_POINT('',(36.6795,26.1524,0.)); #468045=CARTESIAN_POINT('',(36.6795,26.1524,0.035)); #468046=CARTESIAN_POINT('',(36.6795,26.1524,0.035)); #468047=CARTESIAN_POINT('',(36.6795,26.1524,0.)); #468048=CARTESIAN_POINT('Origin',(36.3205,26.1524,0.)); #468049=CARTESIAN_POINT('',(36.3205,26.1524,0.)); #468050=CARTESIAN_POINT('',(36.3205,26.1524,0.)); #468051=CARTESIAN_POINT('',(36.3205,26.1524,0.035)); #468052=CARTESIAN_POINT('',(36.3205,26.1524,0.035)); #468053=CARTESIAN_POINT('',(36.3205,26.1524,0.)); #468054=CARTESIAN_POINT('Origin',(35.988831,26.015016,0.)); #468055=CARTESIAN_POINT('',(35.988831,26.015016,0.)); #468056=CARTESIAN_POINT('',(35.988831,26.015016,0.)); #468057=CARTESIAN_POINT('',(35.988831,26.015016,0.035)); #468058=CARTESIAN_POINT('',(35.988831,26.015016,0.035)); #468059=CARTESIAN_POINT('',(35.988831,26.015016,0.)); #468060=CARTESIAN_POINT('Origin',(35.796181,25.822366,0.)); #468061=CARTESIAN_POINT('',(35.796181,25.822366,0.)); #468062=CARTESIAN_POINT('',(35.796181,25.822366,0.)); #468063=CARTESIAN_POINT('',(35.796181,25.822366,0.035)); #468064=CARTESIAN_POINT('',(35.796181,25.822366,0.035)); #468065=CARTESIAN_POINT('',(35.796181,25.822366,0.)); #468066=CARTESIAN_POINT('Origin',(35.774244,25.807066,0.)); #468067=CARTESIAN_POINT('',(35.774244,25.807066,0.)); #468068=CARTESIAN_POINT('',(35.774244,25.807066,0.)); #468069=CARTESIAN_POINT('',(35.774244,25.807066,0.035)); #468070=CARTESIAN_POINT('',(35.774244,25.807066,0.035)); #468071=CARTESIAN_POINT('',(35.774244,25.807066,0.)); #468072=CARTESIAN_POINT('Origin',(35.744728,25.800084,0.)); #468073=CARTESIAN_POINT('',(35.744728,25.800084,0.)); #468074=CARTESIAN_POINT('',(35.744728,25.800084,0.)); #468075=CARTESIAN_POINT('',(35.744728,25.800084,0.035)); #468076=CARTESIAN_POINT('',(35.744728,25.800084,0.035)); #468077=CARTESIAN_POINT('',(35.744728,25.800084,0.)); #468078=CARTESIAN_POINT('Origin',(35.714831,25.805169,0.)); #468079=CARTESIAN_POINT('',(35.714831,25.805169,0.)); #468080=CARTESIAN_POINT('',(35.714831,25.805169,0.)); #468081=CARTESIAN_POINT('',(35.714831,25.805169,0.035)); #468082=CARTESIAN_POINT('',(35.714831,25.805169,0.035)); #468083=CARTESIAN_POINT('',(35.714831,25.805169,0.)); #468084=CARTESIAN_POINT('Origin',(35.689284,25.821513,0.)); #468085=CARTESIAN_POINT('',(35.689284,25.821513,0.)); #468086=CARTESIAN_POINT('',(35.689284,25.821513,0.)); #468087=CARTESIAN_POINT('',(35.689284,25.821513,0.035)); #468088=CARTESIAN_POINT('',(35.689284,25.821513,0.035)); #468089=CARTESIAN_POINT('',(35.689284,25.821513,0.)); #468090=CARTESIAN_POINT('Origin',(35.672134,25.846525,0.)); #468091=CARTESIAN_POINT('',(35.672134,25.846525,0.)); #468092=CARTESIAN_POINT('',(35.672134,25.846525,0.)); #468093=CARTESIAN_POINT('',(35.672134,25.846525,0.035)); #468094=CARTESIAN_POINT('',(35.672134,25.846525,0.035)); #468095=CARTESIAN_POINT('',(35.672134,25.846525,0.)); #468096=CARTESIAN_POINT('Origin',(35.6661,25.876247,0.)); #468097=CARTESIAN_POINT('',(35.6661,25.876247,0.)); #468098=CARTESIAN_POINT('',(35.6661,25.876247,0.)); #468099=CARTESIAN_POINT('',(35.6661,25.876247,0.035)); #468100=CARTESIAN_POINT('',(35.6661,25.876247,0.035)); #468101=CARTESIAN_POINT('',(35.6661,25.876247,0.)); #468102=CARTESIAN_POINT('Origin',(35.6661,27.123753,0.)); #468103=CARTESIAN_POINT('',(35.6661,27.123753,0.)); #468104=CARTESIAN_POINT('',(35.6661,27.123753,0.)); #468105=CARTESIAN_POINT('',(35.6661,27.123753,0.035)); #468106=CARTESIAN_POINT('',(35.6661,27.123753,0.035)); #468107=CARTESIAN_POINT('',(35.6661,27.123753,0.)); #468108=CARTESIAN_POINT('Origin',(35.670794,27.150084,0.)); #468109=CARTESIAN_POINT('',(35.670794,27.150084,0.)); #468110=CARTESIAN_POINT('',(35.670794,27.150084,0.)); #468111=CARTESIAN_POINT('',(35.670794,27.150084,0.035)); #468112=CARTESIAN_POINT('',(35.670794,27.150084,0.035)); #468113=CARTESIAN_POINT('',(35.670794,27.150084,0.)); #468114=CARTESIAN_POINT('Origin',(35.686728,27.175891,0.)); #468115=CARTESIAN_POINT('',(35.686728,27.175891,0.)); #468116=CARTESIAN_POINT('',(35.686728,27.175891,0.)); #468117=CARTESIAN_POINT('',(35.686728,27.175891,0.035)); #468118=CARTESIAN_POINT('',(35.686728,27.175891,0.035)); #468119=CARTESIAN_POINT('',(35.686728,27.175891,0.)); #468120=CARTESIAN_POINT('Origin',(35.711466,27.193434,0.)); #468121=CARTESIAN_POINT('',(35.711466,27.193434,0.)); #468122=CARTESIAN_POINT('',(35.711466,27.193434,0.)); #468123=CARTESIAN_POINT('',(35.711466,27.193434,0.035)); #468124=CARTESIAN_POINT('',(35.711466,27.193434,0.035)); #468125=CARTESIAN_POINT('',(35.711466,27.193434,0.)); #468126=CARTESIAN_POINT('Origin',(35.741084,27.199944,0.)); #468127=CARTESIAN_POINT('',(35.741084,27.199944,0.)); #468128=CARTESIAN_POINT('',(35.741084,27.199944,0.)); #468129=CARTESIAN_POINT('',(35.741084,27.199944,0.035)); #468130=CARTESIAN_POINT('',(35.741084,27.199944,0.035)); #468131=CARTESIAN_POINT('',(35.741084,27.199944,0.)); #468132=CARTESIAN_POINT('Origin',(35.770897,27.194384,0.)); #468133=CARTESIAN_POINT('',(35.770897,27.194384,0.)); #468134=CARTESIAN_POINT('',(35.770897,27.194384,0.)); #468135=CARTESIAN_POINT('',(35.770897,27.194384,0.035)); #468136=CARTESIAN_POINT('',(35.770897,27.194384,0.035)); #468137=CARTESIAN_POINT('',(35.770897,27.194384,0.)); #468138=CARTESIAN_POINT('Origin',(35.796181,27.177634,0.)); #468139=CARTESIAN_POINT('',(35.796181,27.177634,0.)); #468140=CARTESIAN_POINT('',(35.796181,27.177634,0.)); #468141=CARTESIAN_POINT('',(35.796181,27.177634,0.035)); #468142=CARTESIAN_POINT('',(35.796181,27.177634,0.035)); #468143=CARTESIAN_POINT('',(35.796181,27.177634,0.)); #468144=CARTESIAN_POINT('Origin',(35.988831,26.984984,0.)); #468145=CARTESIAN_POINT('',(35.988831,26.984984,0.)); #468146=CARTESIAN_POINT('',(35.988831,26.984984,0.)); #468147=CARTESIAN_POINT('',(35.988831,26.984984,0.035)); #468148=CARTESIAN_POINT('',(35.988831,26.984984,0.035)); #468149=CARTESIAN_POINT('',(35.988831,26.984984,0.)); #468150=CARTESIAN_POINT('Origin',(36.3205,26.8476,0.)); #468151=CARTESIAN_POINT('',(36.3205,26.8476,0.)); #468152=CARTESIAN_POINT('',(36.3205,26.8476,0.)); #468153=CARTESIAN_POINT('',(36.3205,26.8476,0.035)); #468154=CARTESIAN_POINT('',(36.3205,26.8476,0.035)); #468155=CARTESIAN_POINT('',(36.3205,26.8476,0.)); #468156=CARTESIAN_POINT('Origin',(36.6795,26.8476,0.)); #468157=CARTESIAN_POINT('',(36.6795,26.8476,0.)); #468158=CARTESIAN_POINT('',(36.6795,26.8476,0.)); #468159=CARTESIAN_POINT('',(36.6795,26.8476,0.035)); #468160=CARTESIAN_POINT('',(36.6795,26.8476,0.035)); #468161=CARTESIAN_POINT('',(36.6795,26.8476,0.)); #468162=CARTESIAN_POINT('Origin',(37.011169,26.984984,0.)); #468163=CARTESIAN_POINT('',(37.011169,26.984984,0.)); #468164=CARTESIAN_POINT('',(37.011169,26.984984,0.)); #468165=CARTESIAN_POINT('',(37.011169,26.984984,0.035)); #468166=CARTESIAN_POINT('',(37.011169,26.984984,0.035)); #468167=CARTESIAN_POINT('',(37.011169,26.984984,0.)); #468168=CARTESIAN_POINT('Origin',(37.265016,27.238831,0.)); #468169=CARTESIAN_POINT('',(37.265016,27.238831,0.)); #468170=CARTESIAN_POINT('',(37.265016,27.238831,0.)); #468171=CARTESIAN_POINT('',(37.265016,27.238831,0.035)); #468172=CARTESIAN_POINT('',(37.265016,27.238831,0.035)); #468173=CARTESIAN_POINT('',(37.265016,27.238831,0.)); #468174=CARTESIAN_POINT('Origin',(37.3748,27.503869,0.)); #468175=CARTESIAN_POINT('',(37.3748,27.503869,0.)); #468176=CARTESIAN_POINT('',(37.3748,27.503869,0.)); #468177=CARTESIAN_POINT('',(37.3748,27.503869,0.035)); #468178=CARTESIAN_POINT('',(37.3748,27.503869,0.035)); #468179=CARTESIAN_POINT('',(37.3748,27.503869,0.)); #468180=CARTESIAN_POINT('Origin',(37.388803,27.525953,0.)); #468181=CARTESIAN_POINT('',(37.388803,27.525953,0.)); #468182=CARTESIAN_POINT('',(37.388803,27.525953,0.)); #468183=CARTESIAN_POINT('',(37.388803,27.525953,0.035)); #468184=CARTESIAN_POINT('',(37.388803,27.525953,0.035)); #468185=CARTESIAN_POINT('',(37.388803,27.525953,0.)); #468186=CARTESIAN_POINT('Origin',(37.413256,27.543891,0.)); #468187=CARTESIAN_POINT('',(37.413256,27.543891,0.)); #468188=CARTESIAN_POINT('',(37.413256,27.543891,0.)); #468189=CARTESIAN_POINT('',(37.413256,27.543891,0.035)); #468190=CARTESIAN_POINT('',(37.413256,27.543891,0.035)); #468191=CARTESIAN_POINT('',(37.413256,27.543891,0.)); #468192=CARTESIAN_POINT('Origin',(37.442772,27.550872,0.)); #468193=CARTESIAN_POINT('',(37.442772,27.550872,0.)); #468194=CARTESIAN_POINT('',(37.442772,27.550872,0.)); #468195=CARTESIAN_POINT('',(37.442772,27.550872,0.035)); #468196=CARTESIAN_POINT('',(37.442772,27.550872,0.035)); #468197=CARTESIAN_POINT('',(37.442772,27.550872,0.)); #468198=CARTESIAN_POINT('Origin',(37.472669,27.545788,0.)); #468199=CARTESIAN_POINT('',(37.472669,27.545788,0.)); #468200=CARTESIAN_POINT('',(37.472669,27.545788,0.)); #468201=CARTESIAN_POINT('',(37.472669,27.545788,0.035)); #468202=CARTESIAN_POINT('',(37.472669,27.545788,0.035)); #468203=CARTESIAN_POINT('',(37.472669,27.545788,0.)); #468204=CARTESIAN_POINT('Origin',(37.498216,27.529444,0.)); #468205=CARTESIAN_POINT('',(37.498216,27.529444,0.)); #468206=CARTESIAN_POINT('',(37.498216,27.529444,0.)); #468207=CARTESIAN_POINT('',(37.498216,27.529444,0.035)); #468208=CARTESIAN_POINT('',(37.498216,27.529444,0.035)); #468209=CARTESIAN_POINT('',(37.498216,27.529444,0.)); #468210=CARTESIAN_POINT('Origin',(37.515366,27.504431,0.)); #468211=CARTESIAN_POINT('',(37.515366,27.504431,0.)); #468212=CARTESIAN_POINT('',(37.515366,27.504431,0.)); #468213=CARTESIAN_POINT('',(37.515366,27.504431,0.035)); #468214=CARTESIAN_POINT('',(37.515366,27.504431,0.035)); #468215=CARTESIAN_POINT('',(37.515366,27.504431,0.)); #468216=CARTESIAN_POINT('Origin',(37.5214,27.474709,0.)); #468217=CARTESIAN_POINT('',(37.5214,27.474709,0.)); #468218=CARTESIAN_POINT('',(37.5214,27.474709,0.)); #468219=CARTESIAN_POINT('',(37.5214,27.474709,0.035)); #468220=CARTESIAN_POINT('',(37.5214,27.474709,0.035)); #468221=CARTESIAN_POINT('',(37.5214,27.474709,0.)); #468222=CARTESIAN_POINT('Origin',(37.5214,25.525291,0.)); #468223=CARTESIAN_POINT('',(37.5214,25.525291,0.)); #468224=CARTESIAN_POINT('',(37.5214,25.525291,0.)); #468225=CARTESIAN_POINT('',(37.5214,25.525291,0.035)); #468226=CARTESIAN_POINT('',(37.5214,25.525291,0.035)); #468227=CARTESIAN_POINT('',(37.5214,25.525291,0.)); #468228=CARTESIAN_POINT('Origin',(37.516913,25.499528,0.)); #468229=CARTESIAN_POINT('',(37.516913,25.499528,0.)); #468230=CARTESIAN_POINT('',(37.516913,25.499528,0.)); #468231=CARTESIAN_POINT('',(37.516913,25.499528,0.035)); #468232=CARTESIAN_POINT('',(37.516913,25.499528,0.035)); #468233=CARTESIAN_POINT('',(37.516913,25.499528,0.)); #468234=CARTESIAN_POINT('Origin',(37.501184,25.4736,0.)); #468235=CARTESIAN_POINT('',(37.501184,25.4736,0.)); #468236=CARTESIAN_POINT('',(37.501184,25.4736,0.)); #468237=CARTESIAN_POINT('',(37.501184,25.4736,0.035)); #468238=CARTESIAN_POINT('',(37.501184,25.4736,0.035)); #468239=CARTESIAN_POINT('',(37.501184,25.4736,0.)); #468240=CARTESIAN_POINT('Origin',(37.476591,25.455856,0.)); #468241=CARTESIAN_POINT('',(37.476591,25.455856,0.)); #468242=CARTESIAN_POINT('',(37.476591,25.455856,0.035)); #468243=CARTESIAN_POINT('Origin',(36.7095066139446,26.4999999701313,0.035)); #468244=CARTESIAN_POINT('Origin',(36.7095066139446,26.4999999701313,0.)); #468245=CARTESIAN_POINT('Origin',(24.25,34.,-200.)); #468246=CARTESIAN_POINT('',(23.735,34.,0.)); #468247=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #468248=CARTESIAN_POINT('',(23.735,34.,0.0349999999999895)); #468249=CARTESIAN_POINT('',(23.735,34.,-200.)); #468250=CARTESIAN_POINT('Origin',(24.25,34.,0.0349999999999895)); #468251=CARTESIAN_POINT('Origin',(29.4500000454174,34.565,-200.)); #468252=CARTESIAN_POINT('',(28.8500000151391,34.565,0.0349999999999895)); #468253=CARTESIAN_POINT('',(29.4500000454174,34.565,0.0349999999999895)); #468254=CARTESIAN_POINT('',(27.8709143749371,34.565,0.0350000000000072)); #468255=CARTESIAN_POINT('',(28.8500000151391,34.565,0.)); #468256=CARTESIAN_POINT('',(28.8500000151391,34.565,-200.)); #468257=CARTESIAN_POINT('',(29.4500000454174,34.565,0.)); #468258=CARTESIAN_POINT('',(27.8709143749371,34.565,0.)); #468259=CARTESIAN_POINT('',(29.4500000454174,34.565,-200.)); #468260=CARTESIAN_POINT('Origin',(29.45,34.,-200.)); #468261=CARTESIAN_POINT('',(29.4499999848609,33.435,0.0349999999999895)); #468262=CARTESIAN_POINT('Origin',(29.45,34.,0.0349999999999895)); #468263=CARTESIAN_POINT('',(29.4499999848609,33.435,0.)); #468264=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #468265=CARTESIAN_POINT('',(29.4499999848609,33.435,-200.)); #468266=CARTESIAN_POINT('Origin',(28.8499999545827,33.435,-200.)); #468267=CARTESIAN_POINT('',(28.8499999545827,33.435,0.0349999999999895)); #468268=CARTESIAN_POINT('',(27.5709143295198,33.435,0.0350000000000072)); #468269=CARTESIAN_POINT('',(28.8499999545827,33.435,0.)); #468270=CARTESIAN_POINT('',(27.5709143295198,33.435,0.)); #468271=CARTESIAN_POINT('',(28.8499999545827,33.435,-200.)); #468272=CARTESIAN_POINT('Origin',(28.85,34.,-200.)); #468273=CARTESIAN_POINT('Origin',(28.85,34.,0.0349999999999895)); #468274=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #468275=CARTESIAN_POINT('Origin',(29.571416,26.595338,0.)); #468276=CARTESIAN_POINT('',(29.571416,26.595338,0.)); #468277=CARTESIAN_POINT('',(29.601034,26.601847,0.)); #468278=CARTESIAN_POINT('',(29.571416,26.595338,0.)); #468279=CARTESIAN_POINT('',(29.601034,26.601847,0.035)); #468280=CARTESIAN_POINT('',(29.601034,26.601847,0.)); #468281=CARTESIAN_POINT('',(29.571416,26.595338,0.035)); #468282=CARTESIAN_POINT('',(29.571416,26.595338,0.035)); #468283=CARTESIAN_POINT('',(29.571416,26.595338,0.)); #468284=CARTESIAN_POINT('Origin',(29.541603,26.600897,0.)); #468285=CARTESIAN_POINT('',(29.541603,26.600897,0.)); #468286=CARTESIAN_POINT('',(29.541603,26.600897,0.)); #468287=CARTESIAN_POINT('',(29.541603,26.600897,0.035)); #468288=CARTESIAN_POINT('',(29.541603,26.600897,0.035)); #468289=CARTESIAN_POINT('',(29.541603,26.600897,0.)); #468290=CARTESIAN_POINT('Origin',(29.516319,26.617647,0.)); #468291=CARTESIAN_POINT('',(29.516319,26.617647,0.)); #468292=CARTESIAN_POINT('',(29.516319,26.617647,0.)); #468293=CARTESIAN_POINT('',(29.516319,26.617647,0.035)); #468294=CARTESIAN_POINT('',(29.516319,26.617647,0.035)); #468295=CARTESIAN_POINT('',(29.516319,26.617647,0.)); #468296=CARTESIAN_POINT('Origin',(27.000919,29.133047,0.)); #468297=CARTESIAN_POINT('',(27.000919,29.133047,0.)); #468298=CARTESIAN_POINT('',(27.000919,29.133047,0.)); #468299=CARTESIAN_POINT('',(27.000919,29.133047,0.035)); #468300=CARTESIAN_POINT('',(27.000919,29.133047,0.035)); #468301=CARTESIAN_POINT('',(27.000919,29.133047,0.)); #468302=CARTESIAN_POINT('Origin',(26.984634,29.157206,0.)); #468303=CARTESIAN_POINT('',(26.984634,29.157206,0.)); #468304=CARTESIAN_POINT('',(26.984634,29.157206,0.)); #468305=CARTESIAN_POINT('',(26.984634,29.157206,0.035)); #468306=CARTESIAN_POINT('',(26.984634,29.157206,0.035)); #468307=CARTESIAN_POINT('',(26.984634,29.157206,0.)); #468308=CARTESIAN_POINT('Origin',(26.9786,29.186928,0.)); #468309=CARTESIAN_POINT('',(26.9786,29.186928,0.)); #468310=CARTESIAN_POINT('',(26.9786,29.186928,0.)); #468311=CARTESIAN_POINT('',(26.9786,29.186928,0.035)); #468312=CARTESIAN_POINT('',(26.9786,29.186928,0.035)); #468313=CARTESIAN_POINT('',(26.9786,29.186928,0.)); #468314=CARTESIAN_POINT('Origin',(26.9786,30.592603,0.)); #468315=CARTESIAN_POINT('',(26.9786,30.592603,0.)); #468316=CARTESIAN_POINT('',(26.9786,30.592603,0.)); #468317=CARTESIAN_POINT('',(26.9786,30.592603,0.035)); #468318=CARTESIAN_POINT('',(26.9786,30.592603,0.035)); #468319=CARTESIAN_POINT('',(26.9786,30.592603,0.)); #468320=CARTESIAN_POINT('Origin',(26.984169,30.6212,0.)); #468321=CARTESIAN_POINT('',(26.984169,30.6212,0.)); #468322=CARTESIAN_POINT('',(26.984169,30.6212,0.)); #468323=CARTESIAN_POINT('',(26.984169,30.6212,0.035)); #468324=CARTESIAN_POINT('',(26.984169,30.6212,0.035)); #468325=CARTESIAN_POINT('',(26.984169,30.6212,0.)); #468326=CARTESIAN_POINT('Origin',(27.000919,30.646484,0.)); #468327=CARTESIAN_POINT('',(27.000919,30.646484,0.)); #468328=CARTESIAN_POINT('',(27.000919,30.646484,0.)); #468329=CARTESIAN_POINT('',(27.000919,30.646484,0.035)); #468330=CARTESIAN_POINT('',(27.000919,30.646484,0.035)); #468331=CARTESIAN_POINT('',(27.000919,30.646484,0.)); #468332=CARTESIAN_POINT('Origin',(27.1774,30.822966,0.)); #468333=CARTESIAN_POINT('',(27.1774,30.822966,0.)); #468334=CARTESIAN_POINT('',(27.1774,30.822966,0.)); #468335=CARTESIAN_POINT('',(27.1774,30.822966,0.035)); #468336=CARTESIAN_POINT('',(27.1774,30.822966,0.035)); #468337=CARTESIAN_POINT('',(27.1774,30.822966,0.)); #468338=CARTESIAN_POINT('Origin',(27.1774,31.177034,0.)); #468339=CARTESIAN_POINT('',(27.1774,31.177034,0.)); #468340=CARTESIAN_POINT('',(27.1774,31.177034,0.)); #468341=CARTESIAN_POINT('',(27.1774,31.177034,0.035)); #468342=CARTESIAN_POINT('',(27.1774,31.177034,0.035)); #468343=CARTESIAN_POINT('',(27.1774,31.177034,0.)); #468344=CARTESIAN_POINT('Origin',(26.927034,31.4274,0.)); #468345=CARTESIAN_POINT('',(26.927034,31.4274,0.)); #468346=CARTESIAN_POINT('',(26.927034,31.4274,0.)); #468347=CARTESIAN_POINT('',(26.927034,31.4274,0.035)); #468348=CARTESIAN_POINT('',(26.927034,31.4274,0.035)); #468349=CARTESIAN_POINT('',(26.927034,31.4274,0.)); #468350=CARTESIAN_POINT('Origin',(26.572966,31.4274,0.)); #468351=CARTESIAN_POINT('',(26.572966,31.4274,0.)); #468352=CARTESIAN_POINT('',(26.572966,31.4274,0.)); #468353=CARTESIAN_POINT('',(26.572966,31.4274,0.035)); #468354=CARTESIAN_POINT('',(26.572966,31.4274,0.035)); #468355=CARTESIAN_POINT('',(26.572966,31.4274,0.)); #468356=CARTESIAN_POINT('Origin',(26.428881,31.283316,0.)); #468357=CARTESIAN_POINT('',(26.428881,31.283316,0.)); #468358=CARTESIAN_POINT('',(26.428881,31.283316,0.)); #468359=CARTESIAN_POINT('',(26.428881,31.283316,0.035)); #468360=CARTESIAN_POINT('',(26.428881,31.283316,0.035)); #468361=CARTESIAN_POINT('',(26.428881,31.283316,0.)); #468362=CARTESIAN_POINT('Origin',(26.405834,31.267516,0.)); #468363=CARTESIAN_POINT('',(26.405834,31.267516,0.)); #468364=CARTESIAN_POINT('',(26.405834,31.267516,0.)); #468365=CARTESIAN_POINT('',(26.405834,31.267516,0.035)); #468366=CARTESIAN_POINT('',(26.405834,31.267516,0.035)); #468367=CARTESIAN_POINT('',(26.405834,31.267516,0.)); #468368=CARTESIAN_POINT('Origin',(26.376216,31.261006,0.)); #468369=CARTESIAN_POINT('',(26.376216,31.261006,0.)); #468370=CARTESIAN_POINT('',(26.376216,31.261006,0.)); #468371=CARTESIAN_POINT('',(26.376216,31.261006,0.035)); #468372=CARTESIAN_POINT('',(26.376216,31.261006,0.035)); #468373=CARTESIAN_POINT('',(26.376216,31.261006,0.)); #468374=CARTESIAN_POINT('Origin',(26.346403,31.266566,0.)); #468375=CARTESIAN_POINT('',(26.346403,31.266566,0.)); #468376=CARTESIAN_POINT('',(26.346403,31.266566,0.)); #468377=CARTESIAN_POINT('',(26.346403,31.266566,0.035)); #468378=CARTESIAN_POINT('',(26.346403,31.266566,0.035)); #468379=CARTESIAN_POINT('',(26.346403,31.266566,0.)); #468380=CARTESIAN_POINT('Origin',(26.321119,31.283316,0.)); #468381=CARTESIAN_POINT('',(26.321119,31.283316,0.)); #468382=CARTESIAN_POINT('',(26.321119,31.283316,0.)); #468383=CARTESIAN_POINT('',(26.321119,31.283316,0.035)); #468384=CARTESIAN_POINT('',(26.321119,31.283316,0.035)); #468385=CARTESIAN_POINT('',(26.321119,31.283316,0.)); #468386=CARTESIAN_POINT('Origin',(26.177034,31.4274,0.)); #468387=CARTESIAN_POINT('',(26.177034,31.4274,0.)); #468388=CARTESIAN_POINT('',(26.177034,31.4274,0.)); #468389=CARTESIAN_POINT('',(26.177034,31.4274,0.035)); #468390=CARTESIAN_POINT('',(26.177034,31.4274,0.035)); #468391=CARTESIAN_POINT('',(26.177034,31.4274,0.)); #468392=CARTESIAN_POINT('Origin',(25.822966,31.4274,0.)); #468393=CARTESIAN_POINT('',(25.822966,31.4274,0.)); #468394=CARTESIAN_POINT('',(25.822966,31.4274,0.)); #468395=CARTESIAN_POINT('',(25.822966,31.4274,0.035)); #468396=CARTESIAN_POINT('',(25.822966,31.4274,0.035)); #468397=CARTESIAN_POINT('',(25.822966,31.4274,0.)); #468398=CARTESIAN_POINT('Origin',(25.5726,31.177034,0.)); #468399=CARTESIAN_POINT('',(25.5726,31.177034,0.)); #468400=CARTESIAN_POINT('',(25.5726,31.177034,0.)); #468401=CARTESIAN_POINT('',(25.5726,31.177034,0.035)); #468402=CARTESIAN_POINT('',(25.5726,31.177034,0.035)); #468403=CARTESIAN_POINT('',(25.5726,31.177034,0.)); #468404=CARTESIAN_POINT('Origin',(25.5726,30.822966,0.)); #468405=CARTESIAN_POINT('',(25.5726,30.822966,0.)); #468406=CARTESIAN_POINT('',(25.5726,30.822966,0.)); #468407=CARTESIAN_POINT('',(25.5726,30.822966,0.035)); #468408=CARTESIAN_POINT('',(25.5726,30.822966,0.035)); #468409=CARTESIAN_POINT('',(25.5726,30.822966,0.)); #468410=CARTESIAN_POINT('Origin',(25.749081,30.646484,0.)); #468411=CARTESIAN_POINT('',(25.749081,30.646484,0.)); #468412=CARTESIAN_POINT('',(25.749081,30.646484,0.)); #468413=CARTESIAN_POINT('',(25.749081,30.646484,0.035)); #468414=CARTESIAN_POINT('',(25.749081,30.646484,0.035)); #468415=CARTESIAN_POINT('',(25.749081,30.646484,0.)); #468416=CARTESIAN_POINT('Origin',(25.765366,30.622325,0.)); #468417=CARTESIAN_POINT('',(25.765366,30.622325,0.)); #468418=CARTESIAN_POINT('',(25.765366,30.622325,0.)); #468419=CARTESIAN_POINT('',(25.765366,30.622325,0.035)); #468420=CARTESIAN_POINT('',(25.765366,30.622325,0.035)); #468421=CARTESIAN_POINT('',(25.765366,30.622325,0.)); #468422=CARTESIAN_POINT('Origin',(25.7714,30.592603,0.)); #468423=CARTESIAN_POINT('',(25.7714,30.592603,0.)); #468424=CARTESIAN_POINT('',(25.7714,30.592603,0.)); #468425=CARTESIAN_POINT('',(25.7714,30.592603,0.035)); #468426=CARTESIAN_POINT('',(25.7714,30.592603,0.035)); #468427=CARTESIAN_POINT('',(25.7714,30.592603,0.)); #468428=CARTESIAN_POINT('Origin',(25.7714,29.3048,0.)); #468429=CARTESIAN_POINT('',(25.7714,29.3048,0.)); #468430=CARTESIAN_POINT('',(25.7714,29.3048,0.)); #468431=CARTESIAN_POINT('',(25.7714,29.3048,0.035)); #468432=CARTESIAN_POINT('',(25.7714,29.3048,0.035)); #468433=CARTESIAN_POINT('',(25.7714,29.3048,0.)); #468434=CARTESIAN_POINT('Origin',(25.766278,29.277331,0.)); #468435=CARTESIAN_POINT('',(25.766278,29.277331,0.)); #468436=CARTESIAN_POINT('',(25.766278,29.277331,0.)); #468437=CARTESIAN_POINT('',(25.766278,29.277331,0.035)); #468438=CARTESIAN_POINT('',(25.766278,29.277331,0.035)); #468439=CARTESIAN_POINT('',(25.766278,29.277331,0.)); #468440=CARTESIAN_POINT('Origin',(25.749934,29.251784,0.)); #468441=CARTESIAN_POINT('',(25.749934,29.251784,0.)); #468442=CARTESIAN_POINT('',(25.749934,29.251784,0.)); #468443=CARTESIAN_POINT('',(25.749934,29.251784,0.035)); #468444=CARTESIAN_POINT('',(25.749934,29.251784,0.035)); #468445=CARTESIAN_POINT('',(25.749934,29.251784,0.)); #468446=CARTESIAN_POINT('Origin',(25.724922,29.234634,0.)); #468447=CARTESIAN_POINT('',(25.724922,29.234634,0.)); #468448=CARTESIAN_POINT('',(25.724922,29.234634,0.)); #468449=CARTESIAN_POINT('',(25.724922,29.234634,0.035)); #468450=CARTESIAN_POINT('',(25.724922,29.234634,0.035)); #468451=CARTESIAN_POINT('',(25.724922,29.234634,0.)); #468452=CARTESIAN_POINT('Origin',(25.6952,29.2286,0.)); #468453=CARTESIAN_POINT('',(25.6952,29.2286,0.)); #468454=CARTESIAN_POINT('',(25.6952,29.2286,0.)); #468455=CARTESIAN_POINT('',(25.6952,29.2286,0.035)); #468456=CARTESIAN_POINT('',(25.6952,29.2286,0.035)); #468457=CARTESIAN_POINT('',(25.6952,29.2286,0.)); #468458=CARTESIAN_POINT('Origin',(20.5528,29.2286,0.)); #468459=CARTESIAN_POINT('',(20.5528,29.2286,0.)); #468460=CARTESIAN_POINT('',(20.5528,29.2286,0.)); #468461=CARTESIAN_POINT('',(20.5528,29.2286,0.035)); #468462=CARTESIAN_POINT('',(20.5528,29.2286,0.035)); #468463=CARTESIAN_POINT('',(20.5528,29.2286,0.)); #468464=CARTESIAN_POINT('Origin',(20.525331,29.233722,0.)); #468465=CARTESIAN_POINT('',(20.525331,29.233722,0.)); #468466=CARTESIAN_POINT('',(20.525331,29.233722,0.)); #468467=CARTESIAN_POINT('',(20.525331,29.233722,0.035)); #468468=CARTESIAN_POINT('',(20.525331,29.233722,0.035)); #468469=CARTESIAN_POINT('',(20.525331,29.233722,0.)); #468470=CARTESIAN_POINT('Origin',(20.499784,29.250066,0.)); #468471=CARTESIAN_POINT('',(20.499784,29.250066,0.)); #468472=CARTESIAN_POINT('',(20.499784,29.250066,0.)); #468473=CARTESIAN_POINT('',(20.499784,29.250066,0.035)); #468474=CARTESIAN_POINT('',(20.499784,29.250066,0.035)); #468475=CARTESIAN_POINT('',(20.499784,29.250066,0.)); #468476=CARTESIAN_POINT('Origin',(20.482634,29.275078,0.)); #468477=CARTESIAN_POINT('',(20.482634,29.275078,0.)); #468478=CARTESIAN_POINT('',(20.482634,29.275078,0.)); #468479=CARTESIAN_POINT('',(20.482634,29.275078,0.035)); #468480=CARTESIAN_POINT('',(20.482634,29.275078,0.035)); #468481=CARTESIAN_POINT('',(20.482634,29.275078,0.)); #468482=CARTESIAN_POINT('Origin',(20.4766,29.3048,0.)); #468483=CARTESIAN_POINT('',(20.4766,29.3048,0.)); #468484=CARTESIAN_POINT('',(20.4766,29.3048,0.)); #468485=CARTESIAN_POINT('',(20.4766,29.3048,0.035)); #468486=CARTESIAN_POINT('',(20.4766,29.3048,0.035)); #468487=CARTESIAN_POINT('',(20.4766,29.3048,0.)); #468488=CARTESIAN_POINT('Origin',(20.4766,30.1972,0.)); #468489=CARTESIAN_POINT('',(20.4766,30.1972,0.)); #468490=CARTESIAN_POINT('',(20.4766,30.1972,0.)); #468491=CARTESIAN_POINT('',(20.4766,30.1972,0.035)); #468492=CARTESIAN_POINT('',(20.4766,30.1972,0.035)); #468493=CARTESIAN_POINT('',(20.4766,30.1972,0.)); #468494=CARTESIAN_POINT('Origin',(20.481722,30.224669,0.)); #468495=CARTESIAN_POINT('',(20.481722,30.224669,0.)); #468496=CARTESIAN_POINT('',(20.481722,30.224669,0.)); #468497=CARTESIAN_POINT('',(20.481722,30.224669,0.035)); #468498=CARTESIAN_POINT('',(20.481722,30.224669,0.035)); #468499=CARTESIAN_POINT('',(20.481722,30.224669,0.)); #468500=CARTESIAN_POINT('Origin',(20.498066,30.250216,0.)); #468501=CARTESIAN_POINT('',(20.498066,30.250216,0.)); #468502=CARTESIAN_POINT('',(20.498066,30.250216,0.)); #468503=CARTESIAN_POINT('',(20.498066,30.250216,0.035)); #468504=CARTESIAN_POINT('',(20.498066,30.250216,0.035)); #468505=CARTESIAN_POINT('',(20.498066,30.250216,0.)); #468506=CARTESIAN_POINT('Origin',(20.523078,30.267366,0.)); #468507=CARTESIAN_POINT('',(20.523078,30.267366,0.)); #468508=CARTESIAN_POINT('',(20.523078,30.267366,0.)); #468509=CARTESIAN_POINT('',(20.523078,30.267366,0.035)); #468510=CARTESIAN_POINT('',(20.523078,30.267366,0.035)); #468511=CARTESIAN_POINT('',(20.523078,30.267366,0.)); #468512=CARTESIAN_POINT('Origin',(20.5528,30.2734,0.)); #468513=CARTESIAN_POINT('',(20.5528,30.2734,0.)); #468514=CARTESIAN_POINT('',(20.5528,30.2734,0.)); #468515=CARTESIAN_POINT('',(20.5528,30.2734,0.035)); #468516=CARTESIAN_POINT('',(20.5528,30.2734,0.035)); #468517=CARTESIAN_POINT('',(20.5528,30.2734,0.)); #468518=CARTESIAN_POINT('Origin',(21.620953,30.2734,0.)); #468519=CARTESIAN_POINT('',(21.620953,30.2734,0.)); #468520=CARTESIAN_POINT('',(21.620953,30.2734,0.)); #468521=CARTESIAN_POINT('',(21.620953,30.2734,0.035)); #468522=CARTESIAN_POINT('',(21.620953,30.2734,0.035)); #468523=CARTESIAN_POINT('',(21.620953,30.2734,0.)); #468524=CARTESIAN_POINT('Origin',(21.646309,30.2761,0.)); #468525=CARTESIAN_POINT('',(21.646309,30.2761,0.)); #468526=CARTESIAN_POINT('',(21.646309,30.2761,0.)); #468527=CARTESIAN_POINT('',(21.646309,30.2761,0.035)); #468528=CARTESIAN_POINT('',(21.646309,30.2761,0.035)); #468529=CARTESIAN_POINT('',(21.646309,30.2761,0.)); #468530=CARTESIAN_POINT('Origin',(21.666663,30.282806,0.)); #468531=CARTESIAN_POINT('',(21.666663,30.282806,0.)); #468532=CARTESIAN_POINT('',(21.666663,30.282806,0.)); #468533=CARTESIAN_POINT('',(21.666663,30.282806,0.035)); #468534=CARTESIAN_POINT('',(21.666663,30.282806,0.035)); #468535=CARTESIAN_POINT('',(21.666663,30.282806,0.)); #468536=CARTESIAN_POINT('Origin',(21.686197,30.294269,0.)); #468537=CARTESIAN_POINT('',(21.686197,30.294269,0.)); #468538=CARTESIAN_POINT('',(21.686197,30.294269,0.)); #468539=CARTESIAN_POINT('',(21.686197,30.294269,0.035)); #468540=CARTESIAN_POINT('',(21.686197,30.294269,0.035)); #468541=CARTESIAN_POINT('',(21.686197,30.294269,0.)); #468542=CARTESIAN_POINT('Origin',(21.698866,30.305178,0.)); #468543=CARTESIAN_POINT('',(21.698866,30.305178,0.)); #468544=CARTESIAN_POINT('',(21.698866,30.305178,0.)); #468545=CARTESIAN_POINT('',(21.698866,30.305178,0.035)); #468546=CARTESIAN_POINT('',(21.698866,30.305178,0.035)); #468547=CARTESIAN_POINT('',(21.698866,30.305178,0.)); #468548=CARTESIAN_POINT('Origin',(23.068978,31.675291,0.)); #468549=CARTESIAN_POINT('',(23.068978,31.675291,0.)); #468550=CARTESIAN_POINT('',(23.068978,31.675291,0.)); #468551=CARTESIAN_POINT('',(23.068978,31.675291,0.035)); #468552=CARTESIAN_POINT('',(23.068978,31.675291,0.035)); #468553=CARTESIAN_POINT('',(23.068978,31.675291,0.)); #468554=CARTESIAN_POINT('Origin',(23.084997,31.695131,0.)); #468555=CARTESIAN_POINT('',(23.084997,31.695131,0.)); #468556=CARTESIAN_POINT('',(23.084997,31.695131,0.)); #468557=CARTESIAN_POINT('',(23.084997,31.695131,0.035)); #468558=CARTESIAN_POINT('',(23.084997,31.695131,0.035)); #468559=CARTESIAN_POINT('',(23.084997,31.695131,0.)); #468560=CARTESIAN_POINT('Origin',(23.094647,31.714272,0.)); #468561=CARTESIAN_POINT('',(23.094647,31.714272,0.)); #468562=CARTESIAN_POINT('',(23.094647,31.714272,0.)); #468563=CARTESIAN_POINT('',(23.094647,31.714272,0.035)); #468564=CARTESIAN_POINT('',(23.094647,31.714272,0.035)); #468565=CARTESIAN_POINT('',(23.094647,31.714272,0.)); #468566=CARTESIAN_POINT('Origin',(23.100359,31.736184,0.)); #468567=CARTESIAN_POINT('',(23.100359,31.736184,0.)); #468568=CARTESIAN_POINT('',(23.100359,31.736184,0.)); #468569=CARTESIAN_POINT('',(23.100359,31.736184,0.035)); #468570=CARTESIAN_POINT('',(23.100359,31.736184,0.035)); #468571=CARTESIAN_POINT('',(23.100359,31.736184,0.)); #468572=CARTESIAN_POINT('Origin',(23.1016,31.752847,0.)); #468573=CARTESIAN_POINT('',(23.1016,31.752847,0.)); #468574=CARTESIAN_POINT('',(23.1016,31.752847,0.)); #468575=CARTESIAN_POINT('',(23.1016,31.752847,0.035)); #468576=CARTESIAN_POINT('',(23.1016,31.752847,0.035)); #468577=CARTESIAN_POINT('',(23.1016,31.752847,0.)); #468578=CARTESIAN_POINT('Origin',(23.1016,34.92635,0.)); #468579=CARTESIAN_POINT('',(23.1016,34.92635,0.)); #468580=CARTESIAN_POINT('',(23.1016,34.92635,0.)); #468581=CARTESIAN_POINT('',(23.1016,34.92635,0.035)); #468582=CARTESIAN_POINT('',(23.1016,34.92635,0.035)); #468583=CARTESIAN_POINT('',(23.1016,34.92635,0.)); #468584=CARTESIAN_POINT('Origin',(23.107169,34.954947,0.)); #468585=CARTESIAN_POINT('',(23.107169,34.954947,0.)); #468586=CARTESIAN_POINT('',(23.107169,34.954947,0.)); #468587=CARTESIAN_POINT('',(23.107169,34.954947,0.035)); #468588=CARTESIAN_POINT('',(23.107169,34.954947,0.035)); #468589=CARTESIAN_POINT('',(23.107169,34.954947,0.)); #468590=CARTESIAN_POINT('Origin',(23.123919,34.980231,0.)); #468591=CARTESIAN_POINT('',(23.123919,34.980231,0.)); #468592=CARTESIAN_POINT('',(23.123919,34.980231,0.)); #468593=CARTESIAN_POINT('',(23.123919,34.980231,0.035)); #468594=CARTESIAN_POINT('',(23.123919,34.980231,0.035)); #468595=CARTESIAN_POINT('',(23.123919,34.980231,0.)); #468596=CARTESIAN_POINT('Origin',(24.019769,35.876081,0.)); #468597=CARTESIAN_POINT('',(24.019769,35.876081,0.)); #468598=CARTESIAN_POINT('',(24.019769,35.876081,0.)); #468599=CARTESIAN_POINT('',(24.019769,35.876081,0.035)); #468600=CARTESIAN_POINT('',(24.019769,35.876081,0.035)); #468601=CARTESIAN_POINT('',(24.019769,35.876081,0.)); #468602=CARTESIAN_POINT('Origin',(24.043928,35.892366,0.)); #468603=CARTESIAN_POINT('',(24.043928,35.892366,0.)); #468604=CARTESIAN_POINT('',(24.043928,35.892366,0.)); #468605=CARTESIAN_POINT('',(24.043928,35.892366,0.035)); #468606=CARTESIAN_POINT('',(24.043928,35.892366,0.035)); #468607=CARTESIAN_POINT('',(24.043928,35.892366,0.)); #468608=CARTESIAN_POINT('Origin',(24.07365,35.8984,0.)); #468609=CARTESIAN_POINT('',(24.07365,35.8984,0.)); #468610=CARTESIAN_POINT('',(24.07365,35.8984,0.)); #468611=CARTESIAN_POINT('',(24.07365,35.8984,0.035)); #468612=CARTESIAN_POINT('',(24.07365,35.8984,0.035)); #468613=CARTESIAN_POINT('',(24.07365,35.8984,0.)); #468614=CARTESIAN_POINT('Origin',(25.4964,35.8984,0.)); #468615=CARTESIAN_POINT('',(25.4964,35.8984,0.)); #468616=CARTESIAN_POINT('',(25.4964,35.8984,0.)); #468617=CARTESIAN_POINT('',(25.4964,35.8984,0.035)); #468618=CARTESIAN_POINT('',(25.4964,35.8984,0.035)); #468619=CARTESIAN_POINT('',(25.4964,35.8984,0.)); #468620=CARTESIAN_POINT('Origin',(25.523869,35.893278,0.)); #468621=CARTESIAN_POINT('',(25.523869,35.893278,0.)); #468622=CARTESIAN_POINT('',(25.523869,35.893278,0.)); #468623=CARTESIAN_POINT('',(25.523869,35.893278,0.035)); #468624=CARTESIAN_POINT('',(25.523869,35.893278,0.035)); #468625=CARTESIAN_POINT('',(25.523869,35.893278,0.)); #468626=CARTESIAN_POINT('Origin',(25.549416,35.876934,0.)); #468627=CARTESIAN_POINT('',(25.549416,35.876934,0.)); #468628=CARTESIAN_POINT('',(25.549416,35.876934,0.)); #468629=CARTESIAN_POINT('',(25.549416,35.876934,0.035)); #468630=CARTESIAN_POINT('',(25.549416,35.876934,0.035)); #468631=CARTESIAN_POINT('',(25.549416,35.876934,0.)); #468632=CARTESIAN_POINT('Origin',(25.566566,35.851922,0.)); #468633=CARTESIAN_POINT('',(25.566566,35.851922,0.)); #468634=CARTESIAN_POINT('',(25.566566,35.851922,0.)); #468635=CARTESIAN_POINT('',(25.566566,35.851922,0.035)); #468636=CARTESIAN_POINT('',(25.566566,35.851922,0.035)); #468637=CARTESIAN_POINT('',(25.566566,35.851922,0.)); #468638=CARTESIAN_POINT('Origin',(25.572406,35.823159,0.)); #468639=CARTESIAN_POINT('',(25.572406,35.823159,0.)); #468640=CARTESIAN_POINT('',(25.572406,35.823159,0.)); #468641=CARTESIAN_POINT('',(25.572406,35.823159,0.035)); #468642=CARTESIAN_POINT('',(25.572406,35.823159,0.035)); #468643=CARTESIAN_POINT('',(25.572406,35.823159,0.)); #468644=CARTESIAN_POINT('Origin',(25.822966,35.5726,0.)); #468645=CARTESIAN_POINT('',(25.822966,35.5726,0.)); #468646=CARTESIAN_POINT('',(25.822966,35.5726,0.)); #468647=CARTESIAN_POINT('',(25.822966,35.5726,0.035)); #468648=CARTESIAN_POINT('',(25.822966,35.5726,0.035)); #468649=CARTESIAN_POINT('',(25.822966,35.5726,0.)); #468650=CARTESIAN_POINT('Origin',(26.177034,35.5726,0.)); #468651=CARTESIAN_POINT('',(26.177034,35.5726,0.)); #468652=CARTESIAN_POINT('',(26.177034,35.5726,0.)); #468653=CARTESIAN_POINT('',(26.177034,35.5726,0.035)); #468654=CARTESIAN_POINT('',(26.177034,35.5726,0.035)); #468655=CARTESIAN_POINT('',(26.177034,35.5726,0.)); #468656=CARTESIAN_POINT('Origin',(26.321119,35.716684,0.)); #468657=CARTESIAN_POINT('',(26.321119,35.716684,0.)); #468658=CARTESIAN_POINT('',(26.321119,35.716684,0.)); #468659=CARTESIAN_POINT('',(26.321119,35.716684,0.035)); #468660=CARTESIAN_POINT('',(26.321119,35.716684,0.035)); #468661=CARTESIAN_POINT('',(26.321119,35.716684,0.)); #468662=CARTESIAN_POINT('Origin',(26.344166,35.732484,0.)); #468663=CARTESIAN_POINT('',(26.344166,35.732484,0.)); #468664=CARTESIAN_POINT('',(26.344166,35.732484,0.)); #468665=CARTESIAN_POINT('',(26.344166,35.732484,0.035)); #468666=CARTESIAN_POINT('',(26.344166,35.732484,0.035)); #468667=CARTESIAN_POINT('',(26.344166,35.732484,0.)); #468668=CARTESIAN_POINT('Origin',(26.373784,35.738994,0.)); #468669=CARTESIAN_POINT('',(26.373784,35.738994,0.)); #468670=CARTESIAN_POINT('',(26.373784,35.738994,0.)); #468671=CARTESIAN_POINT('',(26.373784,35.738994,0.035)); #468672=CARTESIAN_POINT('',(26.373784,35.738994,0.035)); #468673=CARTESIAN_POINT('',(26.373784,35.738994,0.)); #468674=CARTESIAN_POINT('Origin',(26.403597,35.733434,0.)); #468675=CARTESIAN_POINT('',(26.403597,35.733434,0.)); #468676=CARTESIAN_POINT('',(26.403597,35.733434,0.)); #468677=CARTESIAN_POINT('',(26.403597,35.733434,0.035)); #468678=CARTESIAN_POINT('',(26.403597,35.733434,0.035)); #468679=CARTESIAN_POINT('',(26.403597,35.733434,0.)); #468680=CARTESIAN_POINT('Origin',(26.428881,35.716684,0.)); #468681=CARTESIAN_POINT('',(26.428881,35.716684,0.)); #468682=CARTESIAN_POINT('',(26.428881,35.716684,0.)); #468683=CARTESIAN_POINT('',(26.428881,35.716684,0.035)); #468684=CARTESIAN_POINT('',(26.428881,35.716684,0.035)); #468685=CARTESIAN_POINT('',(26.428881,35.716684,0.)); #468686=CARTESIAN_POINT('Origin',(26.572966,35.5726,0.)); #468687=CARTESIAN_POINT('',(26.572966,35.5726,0.)); #468688=CARTESIAN_POINT('',(26.572966,35.5726,0.)); #468689=CARTESIAN_POINT('',(26.572966,35.5726,0.035)); #468690=CARTESIAN_POINT('',(26.572966,35.5726,0.035)); #468691=CARTESIAN_POINT('',(26.572966,35.5726,0.)); #468692=CARTESIAN_POINT('Origin',(26.927034,35.5726,0.)); #468693=CARTESIAN_POINT('',(26.927034,35.5726,0.)); #468694=CARTESIAN_POINT('',(26.927034,35.5726,0.)); #468695=CARTESIAN_POINT('',(26.927034,35.5726,0.035)); #468696=CARTESIAN_POINT('',(26.927034,35.5726,0.035)); #468697=CARTESIAN_POINT('',(26.927034,35.5726,0.)); #468698=CARTESIAN_POINT('Origin',(27.1774,35.822966,0.)); #468699=CARTESIAN_POINT('',(27.1774,35.822966,0.)); #468700=CARTESIAN_POINT('',(27.1774,35.822966,0.)); #468701=CARTESIAN_POINT('',(27.1774,35.822966,0.035)); #468702=CARTESIAN_POINT('',(27.1774,35.822966,0.035)); #468703=CARTESIAN_POINT('',(27.1774,35.822966,0.)); #468704=CARTESIAN_POINT('Origin',(27.1774,36.004672,0.)); #468705=CARTESIAN_POINT('',(27.1774,36.004672,0.)); #468706=CARTESIAN_POINT('',(27.1774,36.004672,0.)); #468707=CARTESIAN_POINT('',(27.1774,36.004672,0.035)); #468708=CARTESIAN_POINT('',(27.1774,36.004672,0.035)); #468709=CARTESIAN_POINT('',(27.1774,36.004672,0.)); #468710=CARTESIAN_POINT('Origin',(27.182094,36.031003,0.)); #468711=CARTESIAN_POINT('',(27.182094,36.031003,0.)); #468712=CARTESIAN_POINT('',(27.182094,36.031003,0.)); #468713=CARTESIAN_POINT('',(27.182094,36.031003,0.035)); #468714=CARTESIAN_POINT('',(27.182094,36.031003,0.035)); #468715=CARTESIAN_POINT('',(27.182094,36.031003,0.)); #468716=CARTESIAN_POINT('Origin',(27.198028,36.056809,0.)); #468717=CARTESIAN_POINT('',(27.198028,36.056809,0.)); #468718=CARTESIAN_POINT('',(27.198028,36.056809,0.)); #468719=CARTESIAN_POINT('',(27.198028,36.056809,0.035)); #468720=CARTESIAN_POINT('',(27.198028,36.056809,0.035)); #468721=CARTESIAN_POINT('',(27.198028,36.056809,0.)); #468722=CARTESIAN_POINT('Origin',(27.222766,36.074353,0.)); #468723=CARTESIAN_POINT('',(27.222766,36.074353,0.)); #468724=CARTESIAN_POINT('',(27.222766,36.074353,0.)); #468725=CARTESIAN_POINT('',(27.222766,36.074353,0.035)); #468726=CARTESIAN_POINT('',(27.222766,36.074353,0.035)); #468727=CARTESIAN_POINT('',(27.222766,36.074353,0.)); #468728=CARTESIAN_POINT('Origin',(27.252384,36.080863,0.)); #468729=CARTESIAN_POINT('',(27.252384,36.080863,0.)); #468730=CARTESIAN_POINT('',(27.252384,36.080863,0.)); #468731=CARTESIAN_POINT('',(27.252384,36.080863,0.035)); #468732=CARTESIAN_POINT('',(27.252384,36.080863,0.035)); #468733=CARTESIAN_POINT('',(27.252384,36.080863,0.)); #468734=CARTESIAN_POINT('Origin',(27.282197,36.075303,0.)); #468735=CARTESIAN_POINT('',(27.282197,36.075303,0.)); #468736=CARTESIAN_POINT('',(27.282197,36.075303,0.)); #468737=CARTESIAN_POINT('',(27.282197,36.075303,0.035)); #468738=CARTESIAN_POINT('',(27.282197,36.075303,0.035)); #468739=CARTESIAN_POINT('',(27.282197,36.075303,0.)); #468740=CARTESIAN_POINT('Origin',(27.307481,36.058553,0.)); #468741=CARTESIAN_POINT('',(27.307481,36.058553,0.)); #468742=CARTESIAN_POINT('',(27.307481,36.058553,0.)); #468743=CARTESIAN_POINT('',(27.307481,36.058553,0.035)); #468744=CARTESIAN_POINT('',(27.307481,36.058553,0.035)); #468745=CARTESIAN_POINT('',(27.307481,36.058553,0.)); #468746=CARTESIAN_POINT('Origin',(27.594634,35.7714,0.)); #468747=CARTESIAN_POINT('',(27.594634,35.7714,0.)); #468748=CARTESIAN_POINT('',(27.594634,35.7714,0.)); #468749=CARTESIAN_POINT('',(27.594634,35.7714,0.035)); #468750=CARTESIAN_POINT('',(27.594634,35.7714,0.035)); #468751=CARTESIAN_POINT('',(27.594634,35.7714,0.)); #468752=CARTESIAN_POINT('Origin',(29.563072,35.7714,0.)); #468753=CARTESIAN_POINT('',(29.563072,35.7714,0.)); #468754=CARTESIAN_POINT('',(29.563072,35.7714,0.)); #468755=CARTESIAN_POINT('',(29.563072,35.7714,0.035)); #468756=CARTESIAN_POINT('',(29.563072,35.7714,0.035)); #468757=CARTESIAN_POINT('',(29.563072,35.7714,0.)); #468758=CARTESIAN_POINT('Origin',(29.591669,35.765831,0.)); #468759=CARTESIAN_POINT('',(29.591669,35.765831,0.)); #468760=CARTESIAN_POINT('',(29.591669,35.765831,0.)); #468761=CARTESIAN_POINT('',(29.591669,35.765831,0.035)); #468762=CARTESIAN_POINT('',(29.591669,35.765831,0.035)); #468763=CARTESIAN_POINT('',(29.591669,35.765831,0.)); #468764=CARTESIAN_POINT('Origin',(29.616953,35.749081,0.)); #468765=CARTESIAN_POINT('',(29.616953,35.749081,0.)); #468766=CARTESIAN_POINT('',(29.616953,35.749081,0.)); #468767=CARTESIAN_POINT('',(29.616953,35.749081,0.035)); #468768=CARTESIAN_POINT('',(29.616953,35.749081,0.035)); #468769=CARTESIAN_POINT('',(29.616953,35.749081,0.)); #468770=CARTESIAN_POINT('Origin',(30.936581,34.429453,0.)); #468771=CARTESIAN_POINT('',(30.936581,34.429453,0.)); #468772=CARTESIAN_POINT('',(30.936581,34.429453,0.)); #468773=CARTESIAN_POINT('',(30.936581,34.429453,0.035)); #468774=CARTESIAN_POINT('',(30.936581,34.429453,0.035)); #468775=CARTESIAN_POINT('',(30.936581,34.429453,0.)); #468776=CARTESIAN_POINT('Origin',(30.952866,34.405294,0.)); #468777=CARTESIAN_POINT('',(30.952866,34.405294,0.)); #468778=CARTESIAN_POINT('',(30.952866,34.405294,0.)); #468779=CARTESIAN_POINT('',(30.952866,34.405294,0.035)); #468780=CARTESIAN_POINT('',(30.952866,34.405294,0.035)); #468781=CARTESIAN_POINT('',(30.952866,34.405294,0.)); #468782=CARTESIAN_POINT('Origin',(30.9589,34.375572,0.)); #468783=CARTESIAN_POINT('',(30.9589,34.375572,0.)); #468784=CARTESIAN_POINT('',(30.9589,34.375572,0.)); #468785=CARTESIAN_POINT('',(30.9589,34.375572,0.035)); #468786=CARTESIAN_POINT('',(30.9589,34.375572,0.035)); #468787=CARTESIAN_POINT('',(30.9589,34.375572,0.)); #468788=CARTESIAN_POINT('Origin',(30.9589,32.563753,0.)); #468789=CARTESIAN_POINT('',(30.9589,32.563753,0.)); #468790=CARTESIAN_POINT('',(30.9589,32.563753,0.)); #468791=CARTESIAN_POINT('',(30.9589,32.563753,0.035)); #468792=CARTESIAN_POINT('',(30.9589,32.563753,0.035)); #468793=CARTESIAN_POINT('',(30.9589,32.563753,0.)); #468794=CARTESIAN_POINT('Origin',(30.953331,32.535156,0.)); #468795=CARTESIAN_POINT('',(30.953331,32.535156,0.)); #468796=CARTESIAN_POINT('',(30.953331,32.535156,0.)); #468797=CARTESIAN_POINT('',(30.953331,32.535156,0.035)); #468798=CARTESIAN_POINT('',(30.953331,32.535156,0.035)); #468799=CARTESIAN_POINT('',(30.953331,32.535156,0.)); #468800=CARTESIAN_POINT('Origin',(30.936581,32.509872,0.)); #468801=CARTESIAN_POINT('',(30.936581,32.509872,0.)); #468802=CARTESIAN_POINT('',(30.936581,32.509872,0.)); #468803=CARTESIAN_POINT('',(30.936581,32.509872,0.035)); #468804=CARTESIAN_POINT('',(30.936581,32.509872,0.035)); #468805=CARTESIAN_POINT('',(30.936581,32.509872,0.)); #468806=CARTESIAN_POINT('Origin',(29.6464,31.219691,0.)); #468807=CARTESIAN_POINT('',(29.6464,31.219691,0.)); #468808=CARTESIAN_POINT('',(29.6464,31.219691,0.)); #468809=CARTESIAN_POINT('',(29.6464,31.219691,0.035)); #468810=CARTESIAN_POINT('',(29.6464,31.219691,0.035)); #468811=CARTESIAN_POINT('',(29.6464,31.219691,0.)); #468812=CARTESIAN_POINT('Origin',(29.6464,26.671528,0.)); #468813=CARTESIAN_POINT('',(29.6464,26.671528,0.)); #468814=CARTESIAN_POINT('',(29.6464,26.671528,0.)); #468815=CARTESIAN_POINT('',(29.6464,26.671528,0.035)); #468816=CARTESIAN_POINT('',(29.6464,26.671528,0.035)); #468817=CARTESIAN_POINT('',(29.6464,26.671528,0.)); #468818=CARTESIAN_POINT('Origin',(29.641706,26.645197,0.)); #468819=CARTESIAN_POINT('',(29.641706,26.645197,0.)); #468820=CARTESIAN_POINT('',(29.641706,26.645197,0.)); #468821=CARTESIAN_POINT('',(29.641706,26.645197,0.035)); #468822=CARTESIAN_POINT('',(29.641706,26.645197,0.035)); #468823=CARTESIAN_POINT('',(29.641706,26.645197,0.)); #468824=CARTESIAN_POINT('Origin',(29.625772,26.619391,0.)); #468825=CARTESIAN_POINT('',(29.625772,26.619391,0.)); #468826=CARTESIAN_POINT('',(29.625772,26.619391,0.)); #468827=CARTESIAN_POINT('',(29.625772,26.619391,0.035)); #468828=CARTESIAN_POINT('',(29.625772,26.619391,0.035)); #468829=CARTESIAN_POINT('',(29.625772,26.619391,0.)); #468830=CARTESIAN_POINT('Origin',(29.601034,26.601847,0.)); #468831=CARTESIAN_POINT('',(29.601034,26.601847,0.)); #468832=CARTESIAN_POINT('',(29.601034,26.601847,0.035)); #468833=CARTESIAN_POINT('Origin',(26.2918287044569,31.5528236724846,0.035)); #468834=CARTESIAN_POINT('Origin',(26.2918287044569,31.5528236724846,0.)); #468835=CARTESIAN_POINT('Origin',(27.294484,25.4786,0.)); #468836=CARTESIAN_POINT('',(27.294484,25.4786,0.)); #468837=CARTESIAN_POINT('',(27.324206,25.484634,0.)); #468838=CARTESIAN_POINT('',(27.294484,25.4786,0.)); #468839=CARTESIAN_POINT('',(27.324206,25.484634,0.035)); #468840=CARTESIAN_POINT('',(27.324206,25.484634,0.)); #468841=CARTESIAN_POINT('',(27.294484,25.4786,0.035)); #468842=CARTESIAN_POINT('',(27.294484,25.4786,0.035)); #468843=CARTESIAN_POINT('',(27.294484,25.4786,0.)); #468844=CARTESIAN_POINT('Origin',(24.4097,25.4786,0.)); #468845=CARTESIAN_POINT('',(24.4097,25.4786,0.)); #468846=CARTESIAN_POINT('',(24.4097,25.4786,0.)); #468847=CARTESIAN_POINT('',(24.4097,25.4786,0.035)); #468848=CARTESIAN_POINT('',(24.4097,25.4786,0.035)); #468849=CARTESIAN_POINT('',(24.4097,25.4786,0.)); #468850=CARTESIAN_POINT('Origin',(24.382231,25.483722,0.)); #468851=CARTESIAN_POINT('',(24.382231,25.483722,0.)); #468852=CARTESIAN_POINT('',(24.382231,25.483722,0.)); #468853=CARTESIAN_POINT('',(24.382231,25.483722,0.035)); #468854=CARTESIAN_POINT('',(24.382231,25.483722,0.035)); #468855=CARTESIAN_POINT('',(24.382231,25.483722,0.)); #468856=CARTESIAN_POINT('Origin',(24.356684,25.500066,0.)); #468857=CARTESIAN_POINT('',(24.356684,25.500066,0.)); #468858=CARTESIAN_POINT('',(24.356684,25.500066,0.)); #468859=CARTESIAN_POINT('',(24.356684,25.500066,0.035)); #468860=CARTESIAN_POINT('',(24.356684,25.500066,0.035)); #468861=CARTESIAN_POINT('',(24.356684,25.500066,0.)); #468862=CARTESIAN_POINT('Origin',(24.339534,25.525078,0.)); #468863=CARTESIAN_POINT('',(24.339534,25.525078,0.)); #468864=CARTESIAN_POINT('',(24.339534,25.525078,0.)); #468865=CARTESIAN_POINT('',(24.339534,25.525078,0.035)); #468866=CARTESIAN_POINT('',(24.339534,25.525078,0.035)); #468867=CARTESIAN_POINT('',(24.339534,25.525078,0.)); #468868=CARTESIAN_POINT('Origin',(24.3335,25.5548,0.)); #468869=CARTESIAN_POINT('',(24.3335,25.5548,0.)); #468870=CARTESIAN_POINT('',(24.3335,25.5548,0.)); #468871=CARTESIAN_POINT('',(24.3335,25.5548,0.035)); #468872=CARTESIAN_POINT('',(24.3335,25.5548,0.035)); #468873=CARTESIAN_POINT('',(24.3335,25.5548,0.)); #468874=CARTESIAN_POINT('Origin',(24.3335,25.6952,0.)); #468875=CARTESIAN_POINT('',(24.3335,25.6952,0.)); #468876=CARTESIAN_POINT('',(24.3335,25.6952,0.)); #468877=CARTESIAN_POINT('',(24.3335,25.6952,0.035)); #468878=CARTESIAN_POINT('',(24.3335,25.6952,0.035)); #468879=CARTESIAN_POINT('',(24.3335,25.6952,0.)); #468880=CARTESIAN_POINT('Origin',(24.338622,25.722669,0.)); #468881=CARTESIAN_POINT('',(24.338622,25.722669,0.)); #468882=CARTESIAN_POINT('',(24.338622,25.722669,0.)); #468883=CARTESIAN_POINT('',(24.338622,25.722669,0.035)); #468884=CARTESIAN_POINT('',(24.338622,25.722669,0.035)); #468885=CARTESIAN_POINT('',(24.338622,25.722669,0.)); #468886=CARTESIAN_POINT('Origin',(24.354966,25.748216,0.)); #468887=CARTESIAN_POINT('',(24.354966,25.748216,0.)); #468888=CARTESIAN_POINT('',(24.354966,25.748216,0.)); #468889=CARTESIAN_POINT('',(24.354966,25.748216,0.035)); #468890=CARTESIAN_POINT('',(24.354966,25.748216,0.035)); #468891=CARTESIAN_POINT('',(24.354966,25.748216,0.)); #468892=CARTESIAN_POINT('Origin',(24.379978,25.765366,0.)); #468893=CARTESIAN_POINT('',(24.379978,25.765366,0.)); #468894=CARTESIAN_POINT('',(24.379978,25.765366,0.)); #468895=CARTESIAN_POINT('',(24.379978,25.765366,0.035)); #468896=CARTESIAN_POINT('',(24.379978,25.765366,0.035)); #468897=CARTESIAN_POINT('',(24.379978,25.765366,0.)); #468898=CARTESIAN_POINT('Origin',(24.4097,25.7714,0.)); #468899=CARTESIAN_POINT('',(24.4097,25.7714,0.)); #468900=CARTESIAN_POINT('',(24.4097,25.7714,0.)); #468901=CARTESIAN_POINT('',(24.4097,25.7714,0.035)); #468902=CARTESIAN_POINT('',(24.4097,25.7714,0.035)); #468903=CARTESIAN_POINT('',(24.4097,25.7714,0.)); #468904=CARTESIAN_POINT('Origin',(27.154084,25.7714,0.)); #468905=CARTESIAN_POINT('',(27.154084,25.7714,0.)); #468906=CARTESIAN_POINT('',(27.154084,25.7714,0.)); #468907=CARTESIAN_POINT('',(27.154084,25.7714,0.035)); #468908=CARTESIAN_POINT('',(27.154084,25.7714,0.035)); #468909=CARTESIAN_POINT('',(27.154084,25.7714,0.)); #468910=CARTESIAN_POINT('Origin',(27.182681,25.765831,0.)); #468911=CARTESIAN_POINT('',(27.182681,25.765831,0.)); #468912=CARTESIAN_POINT('',(27.182681,25.765831,0.)); #468913=CARTESIAN_POINT('',(27.182681,25.765831,0.035)); #468914=CARTESIAN_POINT('',(27.182681,25.765831,0.035)); #468915=CARTESIAN_POINT('',(27.182681,25.765831,0.)); #468916=CARTESIAN_POINT('Origin',(27.207966,25.749081,0.)); #468917=CARTESIAN_POINT('',(27.207966,25.749081,0.)); #468918=CARTESIAN_POINT('',(27.207966,25.749081,0.)); #468919=CARTESIAN_POINT('',(27.207966,25.749081,0.035)); #468920=CARTESIAN_POINT('',(27.207966,25.749081,0.035)); #468921=CARTESIAN_POINT('',(27.207966,25.749081,0.)); #468922=CARTESIAN_POINT('Origin',(27.348366,25.608681,0.)); #468923=CARTESIAN_POINT('',(27.348366,25.608681,0.)); #468924=CARTESIAN_POINT('',(27.348366,25.608681,0.)); #468925=CARTESIAN_POINT('',(27.348366,25.608681,0.035)); #468926=CARTESIAN_POINT('',(27.348366,25.608681,0.035)); #468927=CARTESIAN_POINT('',(27.348366,25.608681,0.)); #468928=CARTESIAN_POINT('Origin',(27.363666,25.586744,0.)); #468929=CARTESIAN_POINT('',(27.363666,25.586744,0.)); #468930=CARTESIAN_POINT('',(27.363666,25.586744,0.)); #468931=CARTESIAN_POINT('',(27.363666,25.586744,0.035)); #468932=CARTESIAN_POINT('',(27.363666,25.586744,0.035)); #468933=CARTESIAN_POINT('',(27.363666,25.586744,0.)); #468934=CARTESIAN_POINT('Origin',(27.370647,25.557228,0.)); #468935=CARTESIAN_POINT('',(27.370647,25.557228,0.)); #468936=CARTESIAN_POINT('',(27.370647,25.557228,0.)); #468937=CARTESIAN_POINT('',(27.370647,25.557228,0.035)); #468938=CARTESIAN_POINT('',(27.370647,25.557228,0.035)); #468939=CARTESIAN_POINT('',(27.370647,25.557228,0.)); #468940=CARTESIAN_POINT('Origin',(27.365563,25.527331,0.)); #468941=CARTESIAN_POINT('',(27.365563,25.527331,0.)); #468942=CARTESIAN_POINT('',(27.365563,25.527331,0.)); #468943=CARTESIAN_POINT('',(27.365563,25.527331,0.035)); #468944=CARTESIAN_POINT('',(27.365563,25.527331,0.035)); #468945=CARTESIAN_POINT('',(27.365563,25.527331,0.)); #468946=CARTESIAN_POINT('Origin',(27.349219,25.501784,0.)); #468947=CARTESIAN_POINT('',(27.349219,25.501784,0.)); #468948=CARTESIAN_POINT('',(27.349219,25.501784,0.)); #468949=CARTESIAN_POINT('',(27.349219,25.501784,0.035)); #468950=CARTESIAN_POINT('',(27.349219,25.501784,0.035)); #468951=CARTESIAN_POINT('',(27.349219,25.501784,0.)); #468952=CARTESIAN_POINT('Origin',(27.324206,25.484634,0.)); #468953=CARTESIAN_POINT('',(27.324206,25.484634,0.)); #468954=CARTESIAN_POINT('',(27.324206,25.484634,0.035)); #468955=CARTESIAN_POINT('Origin',(25.8317530176669,25.6221754033764,0.035)); #468956=CARTESIAN_POINT('Origin',(25.8317530176669,25.6221754033764,0.)); #468957=CARTESIAN_POINT('Origin',(45.125,56.75,-200.)); #468958=CARTESIAN_POINT('',(44.985,56.75,0.)); #468959=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #468960=CARTESIAN_POINT('',(44.985,56.75,0.0349999999999895)); #468961=CARTESIAN_POINT('',(44.985,56.75,-200.)); #468962=CARTESIAN_POINT('Origin',(45.125,56.75,0.0349999999999895)); #468963=CARTESIAN_POINT('Origin',(47.75,56.75,-200.)); #468964=CARTESIAN_POINT('',(47.61,56.75,0.)); #468965=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #468966=CARTESIAN_POINT('',(47.61,56.75,0.0349999999999895)); #468967=CARTESIAN_POINT('',(47.61,56.75,-200.)); #468968=CARTESIAN_POINT('Origin',(47.75,56.75,0.0349999999999895)); #468969=CARTESIAN_POINT('Origin',(47.75,55.25,-200.)); #468970=CARTESIAN_POINT('',(47.61,55.25,0.)); #468971=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #468972=CARTESIAN_POINT('',(47.61,55.25,0.0349999999999895)); #468973=CARTESIAN_POINT('',(47.61,55.25,-200.)); #468974=CARTESIAN_POINT('Origin',(47.75,55.25,0.0349999999999895)); #468975=CARTESIAN_POINT('Origin',(47.75,56.,-200.)); #468976=CARTESIAN_POINT('',(47.61,56.,0.)); #468977=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #468978=CARTESIAN_POINT('',(47.61,56.,0.0349999999999895)); #468979=CARTESIAN_POINT('',(47.61,56.,-200.)); #468980=CARTESIAN_POINT('Origin',(47.75,56.,0.0349999999999895)); #468981=CARTESIAN_POINT('Origin',(46.,56.,-200.)); #468982=CARTESIAN_POINT('',(45.86,56.,0.)); #468983=CARTESIAN_POINT('Origin',(46.,56.,0.)); #468984=CARTESIAN_POINT('',(45.86,56.,0.0349999999999895)); #468985=CARTESIAN_POINT('',(45.86,56.,-200.)); #468986=CARTESIAN_POINT('Origin',(46.,56.,0.0349999999999895)); #468987=CARTESIAN_POINT('Origin',(44.25,56.,-200.)); #468988=CARTESIAN_POINT('',(44.11,56.,0.)); #468989=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #468990=CARTESIAN_POINT('',(44.11,56.,0.0349999999999895)); #468991=CARTESIAN_POINT('',(44.11,56.,-200.)); #468992=CARTESIAN_POINT('Origin',(44.25,56.,0.0349999999999895)); #468993=CARTESIAN_POINT('Origin',(46.,56.75,-200.)); #468994=CARTESIAN_POINT('',(45.86,56.75,0.)); #468995=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #468996=CARTESIAN_POINT('',(45.86,56.75,0.0349999999999895)); #468997=CARTESIAN_POINT('',(45.86,56.75,-200.)); #468998=CARTESIAN_POINT('Origin',(46.,56.75,0.0349999999999895)); #468999=CARTESIAN_POINT('Origin',(46.,55.25,-200.)); #469000=CARTESIAN_POINT('',(45.86,55.25,0.)); #469001=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #469002=CARTESIAN_POINT('',(45.86,55.25,0.0349999999999895)); #469003=CARTESIAN_POINT('',(45.86,55.25,-200.)); #469004=CARTESIAN_POINT('Origin',(46.,55.25,0.0349999999999895)); #469005=CARTESIAN_POINT('Origin',(44.25,56.75,-200.)); #469006=CARTESIAN_POINT('',(44.11,56.75,0.)); #469007=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #469008=CARTESIAN_POINT('',(44.11,56.75,0.0349999999999895)); #469009=CARTESIAN_POINT('',(44.11,56.75,-200.)); #469010=CARTESIAN_POINT('Origin',(44.25,56.75,0.0349999999999895)); #469011=CARTESIAN_POINT('Origin',(44.25,55.25,-200.)); #469012=CARTESIAN_POINT('',(44.11,55.25,0.)); #469013=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #469014=CARTESIAN_POINT('',(44.11,55.25,0.0349999999999895)); #469015=CARTESIAN_POINT('',(44.11,55.25,-200.)); #469016=CARTESIAN_POINT('Origin',(44.25,55.25,0.0349999999999895)); #469017=CARTESIAN_POINT('Origin',(46.875,56.,-200.)); #469018=CARTESIAN_POINT('',(46.735,56.,0.)); #469019=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #469020=CARTESIAN_POINT('',(46.735,56.,0.0349999999999895)); #469021=CARTESIAN_POINT('',(46.735,56.,-200.)); #469022=CARTESIAN_POINT('Origin',(46.875,56.,0.0349999999999895)); #469023=CARTESIAN_POINT('Origin',(46.875,55.25,-200.)); #469024=CARTESIAN_POINT('',(46.735,55.25,0.)); #469025=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #469026=CARTESIAN_POINT('',(46.735,55.25,0.0349999999999895)); #469027=CARTESIAN_POINT('',(46.735,55.25,-200.)); #469028=CARTESIAN_POINT('Origin',(46.875,55.25,0.0349999999999895)); #469029=CARTESIAN_POINT('Origin',(45.125,56.,-200.)); #469030=CARTESIAN_POINT('',(44.985,56.,0.)); #469031=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #469032=CARTESIAN_POINT('',(44.985,56.,0.0349999999999895)); #469033=CARTESIAN_POINT('',(44.985,56.,-200.)); #469034=CARTESIAN_POINT('Origin',(45.125,56.,0.0349999999999895)); #469035=CARTESIAN_POINT('Origin',(45.125,55.25,-200.)); #469036=CARTESIAN_POINT('',(44.985,55.25,0.)); #469037=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #469038=CARTESIAN_POINT('',(44.985,55.25,0.0349999999999895)); #469039=CARTESIAN_POINT('',(44.985,55.25,-200.)); #469040=CARTESIAN_POINT('Origin',(45.125,55.25,0.0349999999999895)); #469041=CARTESIAN_POINT('Origin',(46.875,56.75,-200.)); #469042=CARTESIAN_POINT('',(46.735,56.75,0.)); #469043=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #469044=CARTESIAN_POINT('',(46.735,56.75,0.0349999999999895)); #469045=CARTESIAN_POINT('',(46.735,56.75,-200.)); #469046=CARTESIAN_POINT('Origin',(46.875,56.75,0.0349999999999895)); #469047=CARTESIAN_POINT('Origin',(42.984647,49.606559,0.)); #469048=CARTESIAN_POINT('',(42.984647,49.606559,0.)); #469049=CARTESIAN_POINT('',(43.033838,49.618191,0.)); #469050=CARTESIAN_POINT('',(42.984647,49.606559,0.)); #469051=CARTESIAN_POINT('',(43.033838,49.618191,0.035)); #469052=CARTESIAN_POINT('',(43.033838,49.618191,0.)); #469053=CARTESIAN_POINT('',(42.984647,49.606559,0.035)); #469054=CARTESIAN_POINT('',(42.984647,49.606559,0.035)); #469055=CARTESIAN_POINT('',(42.984647,49.606559,0.)); #469056=CARTESIAN_POINT('Origin',(42.934819,49.615031,0.)); #469057=CARTESIAN_POINT('',(42.934819,49.615031,0.)); #469058=CARTESIAN_POINT('',(42.934819,49.615031,0.)); #469059=CARTESIAN_POINT('',(42.934819,49.615031,0.035)); #469060=CARTESIAN_POINT('',(42.934819,49.615031,0.035)); #469061=CARTESIAN_POINT('',(42.934819,49.615031,0.)); #469062=CARTESIAN_POINT('Origin',(42.892241,49.642272,0.)); #469063=CARTESIAN_POINT('',(42.892241,49.642272,0.)); #469064=CARTESIAN_POINT('',(42.892241,49.642272,0.)); #469065=CARTESIAN_POINT('',(42.892241,49.642272,0.035)); #469066=CARTESIAN_POINT('',(42.892241,49.642272,0.035)); #469067=CARTESIAN_POINT('',(42.892241,49.642272,0.)); #469068=CARTESIAN_POINT('Origin',(42.863659,49.683959,0.)); #469069=CARTESIAN_POINT('',(42.863659,49.683959,0.)); #469070=CARTESIAN_POINT('',(42.863659,49.683959,0.)); #469071=CARTESIAN_POINT('',(42.863659,49.683959,0.035)); #469072=CARTESIAN_POINT('',(42.863659,49.683959,0.035)); #469073=CARTESIAN_POINT('',(42.863659,49.683959,0.)); #469074=CARTESIAN_POINT('Origin',(42.8536,49.733494,0.)); #469075=CARTESIAN_POINT('',(42.8536,49.733494,0.)); #469076=CARTESIAN_POINT('',(42.8536,49.733494,0.)); #469077=CARTESIAN_POINT('',(42.8536,49.733494,0.035)); #469078=CARTESIAN_POINT('',(42.8536,49.733494,0.035)); #469079=CARTESIAN_POINT('',(42.8536,49.733494,0.)); #469080=CARTESIAN_POINT('Origin',(42.8536,57.727706,0.)); #469081=CARTESIAN_POINT('',(42.8536,57.727706,0.)); #469082=CARTESIAN_POINT('',(42.8536,57.727706,0.)); #469083=CARTESIAN_POINT('',(42.8536,57.727706,0.035)); #469084=CARTESIAN_POINT('',(42.8536,57.727706,0.035)); #469085=CARTESIAN_POINT('',(42.8536,57.727706,0.)); #469086=CARTESIAN_POINT('Origin',(42.862884,57.775369,0.)); #469087=CARTESIAN_POINT('',(42.862884,57.775369,0.)); #469088=CARTESIAN_POINT('',(42.862884,57.775369,0.)); #469089=CARTESIAN_POINT('',(42.862884,57.775369,0.035)); #469090=CARTESIAN_POINT('',(42.862884,57.775369,0.035)); #469091=CARTESIAN_POINT('',(42.862884,57.775369,0.)); #469092=CARTESIAN_POINT('Origin',(42.890797,57.817509,0.)); #469093=CARTESIAN_POINT('',(42.890797,57.817509,0.)); #469094=CARTESIAN_POINT('',(42.890797,57.817509,0.)); #469095=CARTESIAN_POINT('',(42.890797,57.817509,0.035)); #469096=CARTESIAN_POINT('',(42.890797,57.817509,0.035)); #469097=CARTESIAN_POINT('',(42.890797,57.817509,0.)); #469098=CARTESIAN_POINT('Origin',(43.557491,58.484203,0.)); #469099=CARTESIAN_POINT('',(43.557491,58.484203,0.)); #469100=CARTESIAN_POINT('',(43.557491,58.484203,0.)); #469101=CARTESIAN_POINT('',(43.557491,58.484203,0.035)); #469102=CARTESIAN_POINT('',(43.557491,58.484203,0.035)); #469103=CARTESIAN_POINT('',(43.557491,58.484203,0.)); #469104=CARTESIAN_POINT('Origin',(43.597759,58.511341,0.)); #469105=CARTESIAN_POINT('',(43.597759,58.511341,0.)); #469106=CARTESIAN_POINT('',(43.597759,58.511341,0.)); #469107=CARTESIAN_POINT('',(43.597759,58.511341,0.035)); #469108=CARTESIAN_POINT('',(43.597759,58.511341,0.035)); #469109=CARTESIAN_POINT('',(43.597759,58.511341,0.)); #469110=CARTESIAN_POINT('Origin',(43.647294,58.5214,0.)); #469111=CARTESIAN_POINT('',(43.647294,58.5214,0.)); #469112=CARTESIAN_POINT('',(43.647294,58.5214,0.)); #469113=CARTESIAN_POINT('',(43.647294,58.5214,0.035)); #469114=CARTESIAN_POINT('',(43.647294,58.5214,0.035)); #469115=CARTESIAN_POINT('',(43.647294,58.5214,0.)); #469116=CARTESIAN_POINT('Origin',(47.476559,58.5214,0.)); #469117=CARTESIAN_POINT('',(47.476559,58.5214,0.)); #469118=CARTESIAN_POINT('',(47.476559,58.5214,0.)); #469119=CARTESIAN_POINT('',(47.476559,58.5214,0.035)); #469120=CARTESIAN_POINT('',(47.476559,58.5214,0.035)); #469121=CARTESIAN_POINT('',(47.476559,58.5214,0.)); #469122=CARTESIAN_POINT('Origin',(47.524222,58.512116,0.)); #469123=CARTESIAN_POINT('',(47.524222,58.512116,0.)); #469124=CARTESIAN_POINT('',(47.524222,58.512116,0.)); #469125=CARTESIAN_POINT('',(47.524222,58.512116,0.035)); #469126=CARTESIAN_POINT('',(47.524222,58.512116,0.035)); #469127=CARTESIAN_POINT('',(47.524222,58.512116,0.)); #469128=CARTESIAN_POINT('Origin',(47.566363,58.484203,0.)); #469129=CARTESIAN_POINT('',(47.566363,58.484203,0.)); #469130=CARTESIAN_POINT('',(47.566363,58.484203,0.)); #469131=CARTESIAN_POINT('',(47.566363,58.484203,0.035)); #469132=CARTESIAN_POINT('',(47.566363,58.484203,0.035)); #469133=CARTESIAN_POINT('',(47.566363,58.484203,0.)); #469134=CARTESIAN_POINT('Origin',(47.727966,58.3226,0.)); #469135=CARTESIAN_POINT('',(47.727966,58.3226,0.)); #469136=CARTESIAN_POINT('',(47.727966,58.3226,0.)); #469137=CARTESIAN_POINT('',(47.727966,58.3226,0.035)); #469138=CARTESIAN_POINT('',(47.727966,58.3226,0.035)); #469139=CARTESIAN_POINT('',(47.727966,58.3226,0.)); #469140=CARTESIAN_POINT('Origin',(48.082034,58.3226,0.)); #469141=CARTESIAN_POINT('',(48.082034,58.3226,0.)); #469142=CARTESIAN_POINT('',(48.082034,58.3226,0.)); #469143=CARTESIAN_POINT('',(48.082034,58.3226,0.035)); #469144=CARTESIAN_POINT('',(48.082034,58.3226,0.035)); #469145=CARTESIAN_POINT('',(48.082034,58.3226,0.)); #469146=CARTESIAN_POINT('Origin',(48.102416,58.342981,0.)); #469147=CARTESIAN_POINT('',(48.102416,58.342981,0.)); #469148=CARTESIAN_POINT('',(48.102416,58.342981,0.)); #469149=CARTESIAN_POINT('',(48.102416,58.342981,0.035)); #469150=CARTESIAN_POINT('',(48.102416,58.342981,0.035)); #469151=CARTESIAN_POINT('',(48.102416,58.342981,0.)); #469152=CARTESIAN_POINT('Origin',(48.140828,58.369316,0.)); #469153=CARTESIAN_POINT('',(48.140828,58.369316,0.)); #469154=CARTESIAN_POINT('',(48.140828,58.369316,0.)); #469155=CARTESIAN_POINT('',(48.140828,58.369316,0.035)); #469156=CARTESIAN_POINT('',(48.140828,58.369316,0.035)); #469157=CARTESIAN_POINT('',(48.140828,58.369316,0.)); #469158=CARTESIAN_POINT('Origin',(48.190194,58.380163,0.)); #469159=CARTESIAN_POINT('',(48.190194,58.380163,0.)); #469160=CARTESIAN_POINT('',(48.190194,58.380163,0.)); #469161=CARTESIAN_POINT('',(48.190194,58.380163,0.035)); #469162=CARTESIAN_POINT('',(48.190194,58.380163,0.035)); #469163=CARTESIAN_POINT('',(48.190194,58.380163,0.)); #469164=CARTESIAN_POINT('Origin',(48.239884,58.370894,0.)); #469165=CARTESIAN_POINT('',(48.239884,58.370894,0.)); #469166=CARTESIAN_POINT('',(48.239884,58.370894,0.)); #469167=CARTESIAN_POINT('',(48.239884,58.370894,0.035)); #469168=CARTESIAN_POINT('',(48.239884,58.370894,0.035)); #469169=CARTESIAN_POINT('',(48.239884,58.370894,0.)); #469170=CARTESIAN_POINT('Origin',(48.282022,58.342981,0.)); #469171=CARTESIAN_POINT('',(48.282022,58.342981,0.)); #469172=CARTESIAN_POINT('',(48.282022,58.342981,0.)); #469173=CARTESIAN_POINT('',(48.282022,58.342981,0.035)); #469174=CARTESIAN_POINT('',(48.282022,58.342981,0.035)); #469175=CARTESIAN_POINT('',(48.282022,58.342981,0.)); #469176=CARTESIAN_POINT('Origin',(49.587803,57.037197,0.)); #469177=CARTESIAN_POINT('',(49.587803,57.037197,0.)); #469178=CARTESIAN_POINT('',(49.587803,57.037197,0.)); #469179=CARTESIAN_POINT('',(49.587803,57.037197,0.035)); #469180=CARTESIAN_POINT('',(49.587803,57.037197,0.035)); #469181=CARTESIAN_POINT('',(49.587803,57.037197,0.)); #469182=CARTESIAN_POINT('Origin',(49.614941,56.996928,0.)); #469183=CARTESIAN_POINT('',(49.614941,56.996928,0.)); #469184=CARTESIAN_POINT('',(49.614941,56.996928,0.)); #469185=CARTESIAN_POINT('',(49.614941,56.996928,0.035)); #469186=CARTESIAN_POINT('',(49.614941,56.996928,0.035)); #469187=CARTESIAN_POINT('',(49.614941,56.996928,0.)); #469188=CARTESIAN_POINT('Origin',(49.625,56.947394,0.)); #469189=CARTESIAN_POINT('',(49.625,56.947394,0.)); #469190=CARTESIAN_POINT('',(49.625,56.947394,0.)); #469191=CARTESIAN_POINT('',(49.625,56.947394,0.035)); #469192=CARTESIAN_POINT('',(49.625,56.947394,0.035)); #469193=CARTESIAN_POINT('',(49.625,56.947394,0.)); #469194=CARTESIAN_POINT('Origin',(49.625,51.189284,0.)); #469195=CARTESIAN_POINT('',(49.625,51.189284,0.)); #469196=CARTESIAN_POINT('',(49.625,51.189284,0.)); #469197=CARTESIAN_POINT('',(49.625,51.189284,0.035)); #469198=CARTESIAN_POINT('',(49.625,51.189284,0.035)); #469199=CARTESIAN_POINT('',(49.625,51.189284,0.)); #469200=CARTESIAN_POINT('Origin',(49.609653,51.128766,0.)); #469201=CARTESIAN_POINT('',(49.609653,51.128766,0.)); #469202=CARTESIAN_POINT('',(49.609653,51.128766,0.)); #469203=CARTESIAN_POINT('',(49.609653,51.128766,0.035)); #469204=CARTESIAN_POINT('',(49.609653,51.128766,0.035)); #469205=CARTESIAN_POINT('',(49.609653,51.128766,0.)); #469206=CARTESIAN_POINT('Origin',(49.577206,51.090009,0.)); #469207=CARTESIAN_POINT('',(49.577206,51.090009,0.)); #469208=CARTESIAN_POINT('',(49.577206,51.090009,0.)); #469209=CARTESIAN_POINT('',(49.577206,51.090009,0.035)); #469210=CARTESIAN_POINT('',(49.577206,51.090009,0.035)); #469211=CARTESIAN_POINT('',(49.577206,51.090009,0.)); #469212=CARTESIAN_POINT('Origin',(49.548128,51.066809,0.)); #469213=CARTESIAN_POINT('',(49.548128,51.066809,0.)); #469214=CARTESIAN_POINT('',(49.548128,51.066809,0.)); #469215=CARTESIAN_POINT('',(49.548128,51.066809,0.035)); #469216=CARTESIAN_POINT('',(49.548128,51.066809,0.035)); #469217=CARTESIAN_POINT('',(49.548128,51.066809,0.)); #469218=CARTESIAN_POINT('Origin',(48.460181,49.978863,0.)); #469219=CARTESIAN_POINT('',(48.460181,49.978863,0.)); #469220=CARTESIAN_POINT('',(48.460181,49.978863,0.)); #469221=CARTESIAN_POINT('',(48.460181,49.978863,0.035)); #469222=CARTESIAN_POINT('',(48.460181,49.978863,0.035)); #469223=CARTESIAN_POINT('',(48.460181,49.978863,0.)); #469224=CARTESIAN_POINT('Origin',(48.421772,49.952528,0.)); #469225=CARTESIAN_POINT('',(48.421772,49.952528,0.)); #469226=CARTESIAN_POINT('',(48.421772,49.952528,0.)); #469227=CARTESIAN_POINT('',(48.421772,49.952528,0.035)); #469228=CARTESIAN_POINT('',(48.421772,49.952528,0.035)); #469229=CARTESIAN_POINT('',(48.421772,49.952528,0.)); #469230=CARTESIAN_POINT('Origin',(48.372403,49.941681,0.)); #469231=CARTESIAN_POINT('',(48.372403,49.941681,0.)); #469232=CARTESIAN_POINT('',(48.372403,49.941681,0.)); #469233=CARTESIAN_POINT('',(48.372403,49.941681,0.035)); #469234=CARTESIAN_POINT('',(48.372403,49.941681,0.035)); #469235=CARTESIAN_POINT('',(48.372403,49.941681,0.)); #469236=CARTESIAN_POINT('Origin',(48.322716,49.95095,0.)); #469237=CARTESIAN_POINT('',(48.322716,49.95095,0.)); #469238=CARTESIAN_POINT('',(48.322716,49.95095,0.)); #469239=CARTESIAN_POINT('',(48.322716,49.95095,0.035)); #469240=CARTESIAN_POINT('',(48.322716,49.95095,0.035)); #469241=CARTESIAN_POINT('',(48.322716,49.95095,0.)); #469242=CARTESIAN_POINT('Origin',(48.280575,49.978863,0.)); #469243=CARTESIAN_POINT('',(48.280575,49.978863,0.)); #469244=CARTESIAN_POINT('',(48.280575,49.978863,0.)); #469245=CARTESIAN_POINT('',(48.280575,49.978863,0.035)); #469246=CARTESIAN_POINT('',(48.280575,49.978863,0.035)); #469247=CARTESIAN_POINT('',(48.280575,49.978863,0.)); #469248=CARTESIAN_POINT('Origin',(48.082034,50.1774,0.)); #469249=CARTESIAN_POINT('',(48.082034,50.1774,0.)); #469250=CARTESIAN_POINT('',(48.082034,50.1774,0.)); #469251=CARTESIAN_POINT('',(48.082034,50.1774,0.035)); #469252=CARTESIAN_POINT('',(48.082034,50.1774,0.035)); #469253=CARTESIAN_POINT('',(48.082034,50.1774,0.)); #469254=CARTESIAN_POINT('Origin',(47.727966,50.1774,0.)); #469255=CARTESIAN_POINT('',(47.727966,50.1774,0.)); #469256=CARTESIAN_POINT('',(47.727966,50.1774,0.)); #469257=CARTESIAN_POINT('',(47.727966,50.1774,0.035)); #469258=CARTESIAN_POINT('',(47.727966,50.1774,0.035)); #469259=CARTESIAN_POINT('',(47.727966,50.1774,0.)); #469260=CARTESIAN_POINT('Origin',(47.566363,50.015797,0.)); #469261=CARTESIAN_POINT('',(47.566363,50.015797,0.)); #469262=CARTESIAN_POINT('',(47.566363,50.015797,0.)); #469263=CARTESIAN_POINT('',(47.566363,50.015797,0.035)); #469264=CARTESIAN_POINT('',(47.566363,50.015797,0.035)); #469265=CARTESIAN_POINT('',(47.566363,50.015797,0.)); #469266=CARTESIAN_POINT('Origin',(47.526094,49.988659,0.)); #469267=CARTESIAN_POINT('',(47.526094,49.988659,0.)); #469268=CARTESIAN_POINT('',(47.526094,49.988659,0.)); #469269=CARTESIAN_POINT('',(47.526094,49.988659,0.035)); #469270=CARTESIAN_POINT('',(47.526094,49.988659,0.035)); #469271=CARTESIAN_POINT('',(47.526094,49.988659,0.)); #469272=CARTESIAN_POINT('Origin',(47.476559,49.9786,0.)); #469273=CARTESIAN_POINT('',(47.476559,49.9786,0.)); #469274=CARTESIAN_POINT('',(47.476559,49.9786,0.)); #469275=CARTESIAN_POINT('',(47.476559,49.9786,0.035)); #469276=CARTESIAN_POINT('',(47.476559,49.9786,0.035)); #469277=CARTESIAN_POINT('',(47.476559,49.9786,0.)); #469278=CARTESIAN_POINT('Origin',(43.405313,49.9786,0.)); #469279=CARTESIAN_POINT('',(43.405313,49.9786,0.)); #469280=CARTESIAN_POINT('',(43.405313,49.9786,0.)); #469281=CARTESIAN_POINT('',(43.405313,49.9786,0.035)); #469282=CARTESIAN_POINT('',(43.405313,49.9786,0.035)); #469283=CARTESIAN_POINT('',(43.405313,49.9786,0.)); #469284=CARTESIAN_POINT('Origin',(43.070403,49.643691,0.)); #469285=CARTESIAN_POINT('',(43.070403,49.643691,0.)); #469286=CARTESIAN_POINT('',(43.070403,49.643691,0.)); #469287=CARTESIAN_POINT('',(43.070403,49.643691,0.035)); #469288=CARTESIAN_POINT('',(43.070403,49.643691,0.035)); #469289=CARTESIAN_POINT('',(43.070403,49.643691,0.)); #469290=CARTESIAN_POINT('Origin',(43.033838,49.618191,0.)); #469291=CARTESIAN_POINT('',(43.033838,49.618191,0.)); #469292=CARTESIAN_POINT('',(43.033838,49.618191,0.035)); #469293=CARTESIAN_POINT('Origin',(46.0445789129981,54.0476162558765,0.035)); #469294=CARTESIAN_POINT('Origin',(46.0445789129981,54.0476162558765,0.)); #469295=CARTESIAN_POINT('Origin',(38.512,53.,-200.)); #469296=CARTESIAN_POINT('',(38.372,53.,0.)); #469297=CARTESIAN_POINT('Origin',(38.512,53.,0.)); #469298=CARTESIAN_POINT('',(38.372,53.,0.0349999999999895)); #469299=CARTESIAN_POINT('',(38.372,53.,-200.)); #469300=CARTESIAN_POINT('Origin',(38.512,53.,0.0349999999999895)); #469301=CARTESIAN_POINT('Origin',(34.3875,20.0875,0.)); #469302=CARTESIAN_POINT('',(34.3875,20.0875,0.)); #469303=CARTESIAN_POINT('',(34.3875,20.7125,0.)); #469304=CARTESIAN_POINT('',(34.3875,20.0875,0.)); #469305=CARTESIAN_POINT('',(34.3875,20.7125,0.035)); #469306=CARTESIAN_POINT('',(34.3875,20.7125,0.)); #469307=CARTESIAN_POINT('',(34.3875,20.0875,0.035)); #469308=CARTESIAN_POINT('',(34.3875,20.0875,0.035)); #469309=CARTESIAN_POINT('',(34.3875,20.0875,0.)); #469310=CARTESIAN_POINT('Origin',(34.1125,20.0875,0.)); #469311=CARTESIAN_POINT('',(34.1125,20.0875,0.)); #469312=CARTESIAN_POINT('',(34.1125,20.0875,0.)); #469313=CARTESIAN_POINT('',(34.1125,20.0875,0.035)); #469314=CARTESIAN_POINT('',(34.1125,20.0875,0.035)); #469315=CARTESIAN_POINT('',(34.1125,20.0875,0.)); #469316=CARTESIAN_POINT('Origin',(34.1125,20.7125,0.)); #469317=CARTESIAN_POINT('',(34.1125,20.7125,0.)); #469318=CARTESIAN_POINT('',(34.1125,20.7125,0.)); #469319=CARTESIAN_POINT('',(34.1125,20.7125,0.035)); #469320=CARTESIAN_POINT('',(34.1125,20.7125,0.035)); #469321=CARTESIAN_POINT('',(34.1125,20.7125,0.)); #469322=CARTESIAN_POINT('Origin',(34.123,20.7125,0.)); #469323=CARTESIAN_POINT('',(34.123,20.7125,0.)); #469324=CARTESIAN_POINT('',(34.123,20.7125,0.)); #469325=CARTESIAN_POINT('',(34.123,20.7125,0.035)); #469326=CARTESIAN_POINT('',(34.123,20.7125,0.035)); #469327=CARTESIAN_POINT('',(34.123,20.7125,0.)); #469328=CARTESIAN_POINT('Origin',(34.123,21.969663,0.)); #469329=CARTESIAN_POINT('',(34.123,21.969663,0.)); #469330=CARTESIAN_POINT('',(34.123,21.969663,0.)); #469331=CARTESIAN_POINT('',(34.123,21.969663,0.035)); #469332=CARTESIAN_POINT('',(34.123,21.969663,0.035)); #469333=CARTESIAN_POINT('',(34.123,21.969663,0.)); #469334=CARTESIAN_POINT('Origin',(34.2500003087252,21.9696637497004,0.)); #469335=CARTESIAN_POINT('',(34.160197,22.059466,0.)); #469336=CARTESIAN_POINT('Origin',(34.2500003087252,21.9696637497004,0.)); #469337=CARTESIAN_POINT('',(34.160197,22.059466,0.035)); #469338=CARTESIAN_POINT('Origin',(34.2500003087252,21.9696637497004,0.035)); #469339=CARTESIAN_POINT('',(34.160197,22.059466,0.)); #469340=CARTESIAN_POINT('Origin',(35.3105,23.209769,0.)); #469341=CARTESIAN_POINT('',(35.3105,23.209769,0.)); #469342=CARTESIAN_POINT('',(35.3105,23.209769,0.)); #469343=CARTESIAN_POINT('',(35.3105,23.209769,0.035)); #469344=CARTESIAN_POINT('',(35.3105,23.209769,0.035)); #469345=CARTESIAN_POINT('',(35.3105,23.209769,0.)); #469346=CARTESIAN_POINT('Origin',(35.3105,34.1875,0.)); #469347=CARTESIAN_POINT('',(35.3105,34.1875,0.)); #469348=CARTESIAN_POINT('',(35.3105,34.1875,0.)); #469349=CARTESIAN_POINT('',(35.3105,34.1875,0.035)); #469350=CARTESIAN_POINT('',(35.3105,34.1875,0.035)); #469351=CARTESIAN_POINT('',(35.3105,34.1875,0.)); #469352=CARTESIAN_POINT('Origin',(35.4375003087252,34.1875007497004,0.)); #469353=CARTESIAN_POINT('',(35.347697,34.277303,0.)); #469354=CARTESIAN_POINT('Origin',(35.4375003087252,34.1875007497004,0.)); #469355=CARTESIAN_POINT('',(35.347697,34.277303,0.035)); #469356=CARTESIAN_POINT('Origin',(35.4375003087252,34.1875007497004,0.035)); #469357=CARTESIAN_POINT('',(35.347697,34.277303,0.)); #469358=CARTESIAN_POINT('Origin',(37.6735,36.603106,0.)); #469359=CARTESIAN_POINT('',(37.6735,36.603106,0.)); #469360=CARTESIAN_POINT('',(37.6735,36.603106,0.)); #469361=CARTESIAN_POINT('',(37.6735,36.603106,0.035)); #469362=CARTESIAN_POINT('',(37.6735,36.603106,0.035)); #469363=CARTESIAN_POINT('',(37.6735,36.603106,0.)); #469364=CARTESIAN_POINT('Origin',(37.6735,37.384894,0.)); #469365=CARTESIAN_POINT('',(37.6735,37.384894,0.)); #469366=CARTESIAN_POINT('',(37.6735,37.384894,0.)); #469367=CARTESIAN_POINT('',(37.6735,37.384894,0.035)); #469368=CARTESIAN_POINT('',(37.6735,37.384894,0.035)); #469369=CARTESIAN_POINT('',(37.6735,37.384894,0.)); #469370=CARTESIAN_POINT('Origin',(37.502894,37.5555,0.)); #469371=CARTESIAN_POINT('',(37.502894,37.5555,0.)); #469372=CARTESIAN_POINT('',(37.502894,37.5555,0.)); #469373=CARTESIAN_POINT('',(37.502894,37.5555,0.035)); #469374=CARTESIAN_POINT('',(37.502894,37.5555,0.035)); #469375=CARTESIAN_POINT('',(37.502894,37.5555,0.)); #469376=CARTESIAN_POINT('Origin',(36.8189,37.5555,0.)); #469377=CARTESIAN_POINT('',(36.8189,37.5555,0.)); #469378=CARTESIAN_POINT('',(36.8189,37.5555,0.)); #469379=CARTESIAN_POINT('',(36.8189,37.5555,0.035)); #469380=CARTESIAN_POINT('',(36.8189,37.5555,0.035)); #469381=CARTESIAN_POINT('',(36.8189,37.5555,0.)); #469382=CARTESIAN_POINT('Origin',(36.8189,38.4445,0.)); #469383=CARTESIAN_POINT('',(36.8189,38.4445,0.)); #469384=CARTESIAN_POINT('',(36.8189,38.4445,0.)); #469385=CARTESIAN_POINT('',(36.8189,38.4445,0.035)); #469386=CARTESIAN_POINT('',(36.8189,38.4445,0.035)); #469387=CARTESIAN_POINT('',(36.8189,38.4445,0.)); #469388=CARTESIAN_POINT('Origin',(37.502894,38.4445,0.)); #469389=CARTESIAN_POINT('',(37.502894,38.4445,0.)); #469390=CARTESIAN_POINT('',(37.502894,38.4445,0.)); #469391=CARTESIAN_POINT('',(37.502894,38.4445,0.035)); #469392=CARTESIAN_POINT('',(37.502894,38.4445,0.035)); #469393=CARTESIAN_POINT('',(37.502894,38.4445,0.)); #469394=CARTESIAN_POINT('Origin',(42.123,43.064606,0.)); #469395=CARTESIAN_POINT('',(42.123,43.064606,0.)); #469396=CARTESIAN_POINT('',(42.123,43.064606,0.)); #469397=CARTESIAN_POINT('',(42.123,43.064606,0.035)); #469398=CARTESIAN_POINT('',(42.123,43.064606,0.035)); #469399=CARTESIAN_POINT('',(42.123,43.064606,0.)); #469400=CARTESIAN_POINT('Origin',(42.123,51.697394,0.)); #469401=CARTESIAN_POINT('',(42.123,51.697394,0.)); #469402=CARTESIAN_POINT('',(42.123,51.697394,0.)); #469403=CARTESIAN_POINT('',(42.123,51.697394,0.035)); #469404=CARTESIAN_POINT('',(42.123,51.697394,0.035)); #469405=CARTESIAN_POINT('',(42.123,51.697394,0.)); #469406=CARTESIAN_POINT('Origin',(40.947394,52.873,0.)); #469407=CARTESIAN_POINT('',(40.947394,52.873,0.)); #469408=CARTESIAN_POINT('',(40.947394,52.873,0.)); #469409=CARTESIAN_POINT('',(40.947394,52.873,0.035)); #469410=CARTESIAN_POINT('',(40.947394,52.873,0.035)); #469411=CARTESIAN_POINT('',(40.947394,52.873,0.)); #469412=CARTESIAN_POINT('Origin',(38.9311,52.873,0.)); #469413=CARTESIAN_POINT('',(38.9311,52.873,0.)); #469414=CARTESIAN_POINT('',(38.9311,52.873,0.)); #469415=CARTESIAN_POINT('',(38.9311,52.873,0.035)); #469416=CARTESIAN_POINT('',(38.9311,52.873,0.035)); #469417=CARTESIAN_POINT('',(38.9311,52.873,0.)); #469418=CARTESIAN_POINT('Origin',(38.9311,52.5555,0.)); #469419=CARTESIAN_POINT('',(38.9311,52.5555,0.)); #469420=CARTESIAN_POINT('',(38.9311,52.5555,0.)); #469421=CARTESIAN_POINT('',(38.9311,52.5555,0.035)); #469422=CARTESIAN_POINT('',(38.9311,52.5555,0.035)); #469423=CARTESIAN_POINT('',(38.9311,52.5555,0.)); #469424=CARTESIAN_POINT('Origin',(38.0929,52.5555,0.)); #469425=CARTESIAN_POINT('',(38.0929,52.5555,0.)); #469426=CARTESIAN_POINT('',(38.0929,52.5555,0.)); #469427=CARTESIAN_POINT('',(38.0929,52.5555,0.035)); #469428=CARTESIAN_POINT('',(38.0929,52.5555,0.035)); #469429=CARTESIAN_POINT('',(38.0929,52.5555,0.)); #469430=CARTESIAN_POINT('Origin',(38.0929,53.4445,0.)); #469431=CARTESIAN_POINT('',(38.0929,53.4445,0.)); #469432=CARTESIAN_POINT('',(38.0929,53.4445,0.)); #469433=CARTESIAN_POINT('',(38.0929,53.4445,0.035)); #469434=CARTESIAN_POINT('',(38.0929,53.4445,0.035)); #469435=CARTESIAN_POINT('',(38.0929,53.4445,0.)); #469436=CARTESIAN_POINT('Origin',(38.9311,53.4445,0.)); #469437=CARTESIAN_POINT('',(38.9311,53.4445,0.)); #469438=CARTESIAN_POINT('',(38.9311,53.4445,0.)); #469439=CARTESIAN_POINT('',(38.9311,53.4445,0.035)); #469440=CARTESIAN_POINT('',(38.9311,53.4445,0.035)); #469441=CARTESIAN_POINT('',(38.9311,53.4445,0.)); #469442=CARTESIAN_POINT('Origin',(38.9311,53.127,0.)); #469443=CARTESIAN_POINT('',(38.9311,53.127,0.)); #469444=CARTESIAN_POINT('',(38.9311,53.127,0.)); #469445=CARTESIAN_POINT('',(38.9311,53.127,0.035)); #469446=CARTESIAN_POINT('',(38.9311,53.127,0.035)); #469447=CARTESIAN_POINT('',(38.9311,53.127,0.)); #469448=CARTESIAN_POINT('Origin',(41.,53.127,0.)); #469449=CARTESIAN_POINT('',(41.,53.127,0.)); #469450=CARTESIAN_POINT('',(41.,53.127,0.)); #469451=CARTESIAN_POINT('',(41.,53.127,0.035)); #469452=CARTESIAN_POINT('',(41.,53.127,0.035)); #469453=CARTESIAN_POINT('',(41.,53.127,0.)); #469454=CARTESIAN_POINT('Origin',(41.0000007497004,52.9999996912748,0.)); #469455=CARTESIAN_POINT('',(41.089803,53.089803,0.)); #469456=CARTESIAN_POINT('Origin',(41.0000007497004,52.9999996912748,0.)); #469457=CARTESIAN_POINT('',(41.089803,53.089803,0.035)); #469458=CARTESIAN_POINT('Origin',(41.0000007497004,52.9999996912748,0.035)); #469459=CARTESIAN_POINT('',(41.089803,53.089803,0.)); #469460=CARTESIAN_POINT('Origin',(42.339803,51.839803,0.)); #469461=CARTESIAN_POINT('',(42.339803,51.839803,0.)); #469462=CARTESIAN_POINT('',(42.339803,51.839803,0.)); #469463=CARTESIAN_POINT('',(42.339803,51.839803,0.035)); #469464=CARTESIAN_POINT('',(42.339803,51.839803,0.035)); #469465=CARTESIAN_POINT('',(42.339803,51.839803,0.)); #469466=CARTESIAN_POINT('Origin',(42.2499996912748,51.7500007497004,0.)); #469467=CARTESIAN_POINT('',(42.377,51.75,0.)); #469468=CARTESIAN_POINT('Origin',(42.2499996912748,51.7500007497004,0.)); #469469=CARTESIAN_POINT('',(42.377,51.75,0.035)); #469470=CARTESIAN_POINT('Origin',(42.2499996912748,51.7500007497004,0.035)); #469471=CARTESIAN_POINT('',(42.377,51.75,0.)); #469472=CARTESIAN_POINT('Origin',(42.377,43.,0.)); #469473=CARTESIAN_POINT('',(42.377,43.,0.)); #469474=CARTESIAN_POINT('',(42.377,43.,0.)); #469475=CARTESIAN_POINT('',(42.377,43.,0.035)); #469476=CARTESIAN_POINT('',(42.377,43.,0.035)); #469477=CARTESIAN_POINT('',(42.377,43.,0.)); #469478=CARTESIAN_POINT('Origin',(42.2500482816203,43.0000736876987,0.)); #469479=CARTESIAN_POINT('',(42.301719,42.884113,0.)); #469480=CARTESIAN_POINT('Origin',(42.2500482816203,43.0000736876987,0.)); #469481=CARTESIAN_POINT('',(42.301719,42.884113,0.035)); #469482=CARTESIAN_POINT('Origin',(42.2500482816203,43.0000736876987,0.035)); #469483=CARTESIAN_POINT('',(42.301719,42.884113,0.)); #469484=CARTESIAN_POINT('Origin',(37.6571,38.239494,0.)); #469485=CARTESIAN_POINT('',(37.6571,38.239494,0.)); #469486=CARTESIAN_POINT('',(37.6571,38.239494,0.)); #469487=CARTESIAN_POINT('',(37.6571,38.239494,0.035)); #469488=CARTESIAN_POINT('',(37.6571,38.239494,0.035)); #469489=CARTESIAN_POINT('',(37.6571,38.239494,0.)); #469490=CARTESIAN_POINT('Origin',(37.6571,37.760506,0.)); #469491=CARTESIAN_POINT('',(37.6571,37.760506,0.)); #469492=CARTESIAN_POINT('',(37.6571,37.760506,0.)); #469493=CARTESIAN_POINT('',(37.6571,37.760506,0.035)); #469494=CARTESIAN_POINT('',(37.6571,37.760506,0.035)); #469495=CARTESIAN_POINT('',(37.6571,37.760506,0.)); #469496=CARTESIAN_POINT('Origin',(37.890303,37.527303,0.)); #469497=CARTESIAN_POINT('',(37.890303,37.527303,0.)); #469498=CARTESIAN_POINT('',(37.890303,37.527303,0.)); #469499=CARTESIAN_POINT('',(37.890303,37.527303,0.035)); #469500=CARTESIAN_POINT('',(37.890303,37.527303,0.035)); #469501=CARTESIAN_POINT('',(37.890303,37.527303,0.)); #469502=CARTESIAN_POINT('Origin',(37.8004996912748,37.4375007497004,0.)); #469503=CARTESIAN_POINT('',(37.9275,37.4375,0.)); #469504=CARTESIAN_POINT('Origin',(37.8004996912748,37.4375007497004,0.)); #469505=CARTESIAN_POINT('',(37.9275,37.4375,0.035)); #469506=CARTESIAN_POINT('Origin',(37.8004996912748,37.4375007497004,0.035)); #469507=CARTESIAN_POINT('',(37.9275,37.4375,0.)); #469508=CARTESIAN_POINT('Origin',(37.9275,36.5505,0.)); #469509=CARTESIAN_POINT('',(37.9275,36.5505,0.)); #469510=CARTESIAN_POINT('',(37.9275,36.5505,0.)); #469511=CARTESIAN_POINT('',(37.9275,36.5505,0.035)); #469512=CARTESIAN_POINT('',(37.9275,36.5505,0.035)); #469513=CARTESIAN_POINT('',(37.9275,36.5505,0.)); #469514=CARTESIAN_POINT('Origin',(37.8004996912748,36.5504992502996,0.)); #469515=CARTESIAN_POINT('',(37.890303,36.460697,0.)); #469516=CARTESIAN_POINT('Origin',(37.8004996912748,36.5504992502996,0.)); #469517=CARTESIAN_POINT('',(37.890303,36.460697,0.035)); #469518=CARTESIAN_POINT('Origin',(37.8004996912748,36.5504992502996,0.035)); #469519=CARTESIAN_POINT('',(37.890303,36.460697,0.)); #469520=CARTESIAN_POINT('Origin',(35.5645,34.134894,0.)); #469521=CARTESIAN_POINT('',(35.5645,34.134894,0.)); #469522=CARTESIAN_POINT('',(35.5645,34.134894,0.)); #469523=CARTESIAN_POINT('',(35.5645,34.134894,0.035)); #469524=CARTESIAN_POINT('',(35.5645,34.134894,0.035)); #469525=CARTESIAN_POINT('',(35.5645,34.134894,0.)); #469526=CARTESIAN_POINT('Origin',(35.5645,23.157163,0.)); #469527=CARTESIAN_POINT('',(35.5645,23.157163,0.)); #469528=CARTESIAN_POINT('',(35.5645,23.157163,0.)); #469529=CARTESIAN_POINT('',(35.5645,23.157163,0.035)); #469530=CARTESIAN_POINT('',(35.5645,23.157163,0.035)); #469531=CARTESIAN_POINT('',(35.5645,23.157163,0.)); #469532=CARTESIAN_POINT('Origin',(35.437498484168,23.1571617502996,0.)); #469533=CARTESIAN_POINT('',(35.527303,23.067359,0.)); #469534=CARTESIAN_POINT('Origin',(35.437498484168,23.1571617502996,0.)); #469535=CARTESIAN_POINT('',(35.527303,23.067359,0.035)); #469536=CARTESIAN_POINT('Origin',(35.437498484168,23.1571617502996,0.035)); #469537=CARTESIAN_POINT('',(35.527303,23.067359,0.)); #469538=CARTESIAN_POINT('Origin',(34.377,21.917056,0.)); #469539=CARTESIAN_POINT('',(34.377,21.917056,0.)); #469540=CARTESIAN_POINT('',(34.377,21.917056,0.)); #469541=CARTESIAN_POINT('',(34.377,21.917056,0.035)); #469542=CARTESIAN_POINT('',(34.377,21.917056,0.035)); #469543=CARTESIAN_POINT('',(34.377,21.917056,0.)); #469544=CARTESIAN_POINT('Origin',(34.377,20.7125,0.)); #469545=CARTESIAN_POINT('',(34.377,20.7125,0.)); #469546=CARTESIAN_POINT('',(34.377,20.7125,0.)); #469547=CARTESIAN_POINT('',(34.377,20.7125,0.035)); #469548=CARTESIAN_POINT('',(34.377,20.7125,0.035)); #469549=CARTESIAN_POINT('',(34.377,20.7125,0.)); #469550=CARTESIAN_POINT('Origin',(34.3875,20.7125,0.)); #469551=CARTESIAN_POINT('',(34.3875,20.7125,0.)); #469552=CARTESIAN_POINT('',(34.3875,20.7125,0.035)); #469553=CARTESIAN_POINT('Origin',(38.3338383077531,38.1668940391581,0.035)); #469554=CARTESIAN_POINT('Origin',(38.3338383077531,38.1668940391581,0.)); #469555=CARTESIAN_POINT('Origin',(23.75,56.,-200.)); #469556=CARTESIAN_POINT('',(23.61,56.,0.)); #469557=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #469558=CARTESIAN_POINT('',(23.61,56.,0.0349999999999895)); #469559=CARTESIAN_POINT('',(23.61,56.,-200.)); #469560=CARTESIAN_POINT('Origin',(23.75,56.,0.0349999999999895)); #469561=CARTESIAN_POINT('Origin',(23.75,55.25,-200.)); #469562=CARTESIAN_POINT('',(23.61,55.25,0.)); #469563=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #469564=CARTESIAN_POINT('',(23.61,55.25,0.0349999999999895)); #469565=CARTESIAN_POINT('',(23.61,55.25,-200.)); #469566=CARTESIAN_POINT('Origin',(23.75,55.25,0.0349999999999895)); #469567=CARTESIAN_POINT('Origin',(22.,56.75,-200.)); #469568=CARTESIAN_POINT('',(21.86,56.75,0.)); #469569=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #469570=CARTESIAN_POINT('',(21.86,56.75,0.0349999999999895)); #469571=CARTESIAN_POINT('',(21.86,56.75,-200.)); #469572=CARTESIAN_POINT('Origin',(22.,56.75,0.0349999999999895)); #469573=CARTESIAN_POINT('Origin',(22.,55.25,-200.)); #469574=CARTESIAN_POINT('',(21.86,55.25,0.)); #469575=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #469576=CARTESIAN_POINT('',(21.86,55.25,0.0349999999999895)); #469577=CARTESIAN_POINT('',(21.86,55.25,-200.)); #469578=CARTESIAN_POINT('Origin',(22.,55.25,0.0349999999999895)); #469579=CARTESIAN_POINT('Origin',(20.25,55.25,-200.)); #469580=CARTESIAN_POINT('',(20.11,55.25,0.)); #469581=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #469582=CARTESIAN_POINT('',(20.11,55.25,0.0349999999999895)); #469583=CARTESIAN_POINT('',(20.11,55.25,-200.)); #469584=CARTESIAN_POINT('Origin',(20.25,55.25,0.0349999999999895)); #469585=CARTESIAN_POINT('Origin',(20.25,56.75,-200.)); #469586=CARTESIAN_POINT('',(20.11,56.75,0.)); #469587=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #469588=CARTESIAN_POINT('',(20.11,56.75,0.0349999999999895)); #469589=CARTESIAN_POINT('',(20.11,56.75,-200.)); #469590=CARTESIAN_POINT('Origin',(20.25,56.75,0.0349999999999895)); #469591=CARTESIAN_POINT('Origin',(20.25,56.,-200.)); #469592=CARTESIAN_POINT('',(20.11,56.,0.)); #469593=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #469594=CARTESIAN_POINT('',(20.11,56.,0.0349999999999895)); #469595=CARTESIAN_POINT('',(20.11,56.,-200.)); #469596=CARTESIAN_POINT('Origin',(20.25,56.,0.0349999999999895)); #469597=CARTESIAN_POINT('Origin',(22.,56.,-200.)); #469598=CARTESIAN_POINT('',(21.86,56.,0.)); #469599=CARTESIAN_POINT('Origin',(22.,56.,0.)); #469600=CARTESIAN_POINT('',(21.86,56.,0.0349999999999895)); #469601=CARTESIAN_POINT('',(21.86,56.,-200.)); #469602=CARTESIAN_POINT('Origin',(22.,56.,0.0349999999999895)); #469603=CARTESIAN_POINT('Origin',(21.125,56.75,-200.)); #469604=CARTESIAN_POINT('',(20.985,56.75,0.)); #469605=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #469606=CARTESIAN_POINT('',(20.985,56.75,0.0349999999999895)); #469607=CARTESIAN_POINT('',(20.985,56.75,-200.)); #469608=CARTESIAN_POINT('Origin',(21.125,56.75,0.0349999999999895)); #469609=CARTESIAN_POINT('Origin',(21.125,55.25,-200.)); #469610=CARTESIAN_POINT('',(20.985,55.25,0.)); #469611=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #469612=CARTESIAN_POINT('',(20.985,55.25,0.0349999999999895)); #469613=CARTESIAN_POINT('',(20.985,55.25,-200.)); #469614=CARTESIAN_POINT('Origin',(21.125,55.25,0.0349999999999895)); #469615=CARTESIAN_POINT('Origin',(21.125,56.,-200.)); #469616=CARTESIAN_POINT('',(20.985,56.,0.)); #469617=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #469618=CARTESIAN_POINT('',(20.985,56.,0.0349999999999895)); #469619=CARTESIAN_POINT('',(20.985,56.,-200.)); #469620=CARTESIAN_POINT('Origin',(21.125,56.,0.0349999999999895)); #469621=CARTESIAN_POINT('Origin',(22.875,56.75,-200.)); #469622=CARTESIAN_POINT('',(22.735,56.75,0.)); #469623=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #469624=CARTESIAN_POINT('',(22.735,56.75,0.0349999999999895)); #469625=CARTESIAN_POINT('',(22.735,56.75,-200.)); #469626=CARTESIAN_POINT('Origin',(22.875,56.75,0.0349999999999895)); #469627=CARTESIAN_POINT('Origin',(23.75,56.75,-200.)); #469628=CARTESIAN_POINT('',(23.61,56.75,0.)); #469629=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #469630=CARTESIAN_POINT('',(23.61,56.75,0.0349999999999895)); #469631=CARTESIAN_POINT('',(23.61,56.75,-200.)); #469632=CARTESIAN_POINT('Origin',(23.75,56.75,0.0349999999999895)); #469633=CARTESIAN_POINT('Origin',(22.875,56.,-200.)); #469634=CARTESIAN_POINT('',(22.735,56.,0.)); #469635=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #469636=CARTESIAN_POINT('',(22.735,56.,0.0349999999999895)); #469637=CARTESIAN_POINT('',(22.735,56.,-200.)); #469638=CARTESIAN_POINT('Origin',(22.875,56.,0.0349999999999895)); #469639=CARTESIAN_POINT('Origin',(22.875,55.25,-200.)); #469640=CARTESIAN_POINT('',(22.735,55.25,0.)); #469641=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #469642=CARTESIAN_POINT('',(22.735,55.25,0.0349999999999895)); #469643=CARTESIAN_POINT('',(22.735,55.25,-200.)); #469644=CARTESIAN_POINT('Origin',(22.875,55.25,0.0349999999999895)); #469645=CARTESIAN_POINT('Origin',(25.021425,49.606509,0.)); #469646=CARTESIAN_POINT('',(25.021425,49.606509,0.)); #469647=CARTESIAN_POINT('',(25.070794,49.617356,0.)); #469648=CARTESIAN_POINT('',(25.021425,49.606509,0.)); #469649=CARTESIAN_POINT('',(25.070794,49.617356,0.035)); #469650=CARTESIAN_POINT('',(25.070794,49.617356,0.)); #469651=CARTESIAN_POINT('',(25.021425,49.606509,0.035)); #469652=CARTESIAN_POINT('',(25.021425,49.606509,0.035)); #469653=CARTESIAN_POINT('',(25.021425,49.606509,0.)); #469654=CARTESIAN_POINT('Origin',(24.971737,49.615778,0.)); #469655=CARTESIAN_POINT('',(24.971737,49.615778,0.)); #469656=CARTESIAN_POINT('',(24.971737,49.615778,0.)); #469657=CARTESIAN_POINT('',(24.971737,49.615778,0.035)); #469658=CARTESIAN_POINT('',(24.971737,49.615778,0.035)); #469659=CARTESIAN_POINT('',(24.971737,49.615778,0.)); #469660=CARTESIAN_POINT('Origin',(24.929597,49.643691,0.)); #469661=CARTESIAN_POINT('',(24.929597,49.643691,0.)); #469662=CARTESIAN_POINT('',(24.929597,49.643691,0.)); #469663=CARTESIAN_POINT('',(24.929597,49.643691,0.035)); #469664=CARTESIAN_POINT('',(24.929597,49.643691,0.035)); #469665=CARTESIAN_POINT('',(24.929597,49.643691,0.)); #469666=CARTESIAN_POINT('Origin',(24.594688,49.9786,0.)); #469667=CARTESIAN_POINT('',(24.594688,49.9786,0.)); #469668=CARTESIAN_POINT('',(24.594688,49.9786,0.)); #469669=CARTESIAN_POINT('',(24.594688,49.9786,0.035)); #469670=CARTESIAN_POINT('',(24.594688,49.9786,0.035)); #469671=CARTESIAN_POINT('',(24.594688,49.9786,0.)); #469672=CARTESIAN_POINT('Origin',(24.333441,49.9786,0.)); #469673=CARTESIAN_POINT('',(24.333441,49.9786,0.)); #469674=CARTESIAN_POINT('',(24.333441,49.9786,0.)); #469675=CARTESIAN_POINT('',(24.333441,49.9786,0.035)); #469676=CARTESIAN_POINT('',(24.333441,49.9786,0.035)); #469677=CARTESIAN_POINT('',(24.333441,49.9786,0.)); #469678=CARTESIAN_POINT('Origin',(24.285778,49.987884,0.)); #469679=CARTESIAN_POINT('',(24.285778,49.987884,0.)); #469680=CARTESIAN_POINT('',(24.285778,49.987884,0.)); #469681=CARTESIAN_POINT('',(24.285778,49.987884,0.035)); #469682=CARTESIAN_POINT('',(24.285778,49.987884,0.035)); #469683=CARTESIAN_POINT('',(24.285778,49.987884,0.)); #469684=CARTESIAN_POINT('Origin',(24.243638,50.015797,0.)); #469685=CARTESIAN_POINT('',(24.243638,50.015797,0.)); #469686=CARTESIAN_POINT('',(24.243638,50.015797,0.)); #469687=CARTESIAN_POINT('',(24.243638,50.015797,0.035)); #469688=CARTESIAN_POINT('',(24.243638,50.015797,0.035)); #469689=CARTESIAN_POINT('',(24.243638,50.015797,0.)); #469690=CARTESIAN_POINT('Origin',(24.082034,50.1774,0.)); #469691=CARTESIAN_POINT('',(24.082034,50.1774,0.)); #469692=CARTESIAN_POINT('',(24.082034,50.1774,0.)); #469693=CARTESIAN_POINT('',(24.082034,50.1774,0.035)); #469694=CARTESIAN_POINT('',(24.082034,50.1774,0.035)); #469695=CARTESIAN_POINT('',(24.082034,50.1774,0.)); #469696=CARTESIAN_POINT('Origin',(23.727966,50.1774,0.)); #469697=CARTESIAN_POINT('',(23.727966,50.1774,0.)); #469698=CARTESIAN_POINT('',(23.727966,50.1774,0.)); #469699=CARTESIAN_POINT('',(23.727966,50.1774,0.035)); #469700=CARTESIAN_POINT('',(23.727966,50.1774,0.035)); #469701=CARTESIAN_POINT('',(23.727966,50.1774,0.)); #469702=CARTESIAN_POINT('Origin',(23.443625,49.893059,0.)); #469703=CARTESIAN_POINT('',(23.443625,49.893059,0.)); #469704=CARTESIAN_POINT('',(23.443625,49.893059,0.)); #469705=CARTESIAN_POINT('',(23.443625,49.893059,0.035)); #469706=CARTESIAN_POINT('',(23.443625,49.893059,0.035)); #469707=CARTESIAN_POINT('',(23.443625,49.893059,0.)); #469708=CARTESIAN_POINT('Origin',(23.441822,49.890241,0.)); #469709=CARTESIAN_POINT('',(23.441822,49.890241,0.)); #469710=CARTESIAN_POINT('',(23.441822,49.890241,0.)); #469711=CARTESIAN_POINT('',(23.441822,49.890241,0.035)); #469712=CARTESIAN_POINT('',(23.441822,49.890241,0.035)); #469713=CARTESIAN_POINT('',(23.441822,49.890241,0.)); #469714=CARTESIAN_POINT('Origin',(23.400134,49.861659,0.)); #469715=CARTESIAN_POINT('',(23.400134,49.861659,0.)); #469716=CARTESIAN_POINT('',(23.400134,49.861659,0.)); #469717=CARTESIAN_POINT('',(23.400134,49.861659,0.035)); #469718=CARTESIAN_POINT('',(23.400134,49.861659,0.035)); #469719=CARTESIAN_POINT('',(23.400134,49.861659,0.)); #469720=CARTESIAN_POINT('Origin',(23.3506,49.8516,0.)); #469721=CARTESIAN_POINT('',(23.3506,49.8516,0.)); #469722=CARTESIAN_POINT('',(23.3506,49.8516,0.)); #469723=CARTESIAN_POINT('',(23.3506,49.8516,0.035)); #469724=CARTESIAN_POINT('',(23.3506,49.8516,0.035)); #469725=CARTESIAN_POINT('',(23.3506,49.8516,0.)); #469726=CARTESIAN_POINT('Origin',(19.719688,49.8516,0.)); #469727=CARTESIAN_POINT('',(19.719688,49.8516,0.)); #469728=CARTESIAN_POINT('',(19.719688,49.8516,0.)); #469729=CARTESIAN_POINT('',(19.719688,49.8516,0.035)); #469730=CARTESIAN_POINT('',(19.719688,49.8516,0.035)); #469731=CARTESIAN_POINT('',(19.719688,49.8516,0.)); #469732=CARTESIAN_POINT('Origin',(19.672025,49.860884,0.)); #469733=CARTESIAN_POINT('',(19.672025,49.860884,0.)); #469734=CARTESIAN_POINT('',(19.672025,49.860884,0.)); #469735=CARTESIAN_POINT('',(19.672025,49.860884,0.035)); #469736=CARTESIAN_POINT('',(19.672025,49.860884,0.035)); #469737=CARTESIAN_POINT('',(19.672025,49.860884,0.)); #469738=CARTESIAN_POINT('Origin',(19.629884,49.888797,0.)); #469739=CARTESIAN_POINT('',(19.629884,49.888797,0.)); #469740=CARTESIAN_POINT('',(19.629884,49.888797,0.)); #469741=CARTESIAN_POINT('',(19.629884,49.888797,0.035)); #469742=CARTESIAN_POINT('',(19.629884,49.888797,0.035)); #469743=CARTESIAN_POINT('',(19.629884,49.888797,0.)); #469744=CARTESIAN_POINT('Origin',(18.451025,51.067656,0.)); #469745=CARTESIAN_POINT('',(18.451025,51.067656,0.)); #469746=CARTESIAN_POINT('',(18.451025,51.067656,0.)); #469747=CARTESIAN_POINT('',(18.451025,51.067656,0.035)); #469748=CARTESIAN_POINT('',(18.451025,51.067656,0.035)); #469749=CARTESIAN_POINT('',(18.451025,51.067656,0.)); #469750=CARTESIAN_POINT('Origin',(18.422725,51.091103,0.)); #469751=CARTESIAN_POINT('',(18.422725,51.091103,0.)); #469752=CARTESIAN_POINT('',(18.422725,51.091103,0.)); #469753=CARTESIAN_POINT('',(18.422725,51.091103,0.035)); #469754=CARTESIAN_POINT('',(18.422725,51.091103,0.035)); #469755=CARTESIAN_POINT('',(18.422725,51.091103,0.)); #469756=CARTESIAN_POINT('Origin',(18.423144,51.091609,0.)); #469757=CARTESIAN_POINT('',(18.423144,51.091609,0.)); #469758=CARTESIAN_POINT('',(18.423144,51.091609,0.)); #469759=CARTESIAN_POINT('',(18.423144,51.091609,0.035)); #469760=CARTESIAN_POINT('',(18.423144,51.091609,0.035)); #469761=CARTESIAN_POINT('',(18.423144,51.091609,0.)); #469762=CARTESIAN_POINT('Origin',(18.413641,51.098641,0.)); #469763=CARTESIAN_POINT('',(18.413641,51.098641,0.)); #469764=CARTESIAN_POINT('',(18.413641,51.098641,0.)); #469765=CARTESIAN_POINT('',(18.413641,51.098641,0.035)); #469766=CARTESIAN_POINT('',(18.413641,51.098641,0.035)); #469767=CARTESIAN_POINT('',(18.413641,51.098641,0.)); #469768=CARTESIAN_POINT('Origin',(18.385059,51.140328,0.)); #469769=CARTESIAN_POINT('',(18.385059,51.140328,0.)); #469770=CARTESIAN_POINT('',(18.385059,51.140328,0.)); #469771=CARTESIAN_POINT('',(18.385059,51.140328,0.035)); #469772=CARTESIAN_POINT('',(18.385059,51.140328,0.035)); #469773=CARTESIAN_POINT('',(18.385059,51.140328,0.)); #469774=CARTESIAN_POINT('Origin',(18.375,51.189863,0.)); #469775=CARTESIAN_POINT('',(18.375,51.189863,0.)); #469776=CARTESIAN_POINT('',(18.375,51.189863,0.)); #469777=CARTESIAN_POINT('',(18.375,51.189863,0.035)); #469778=CARTESIAN_POINT('',(18.375,51.189863,0.035)); #469779=CARTESIAN_POINT('',(18.375,51.189863,0.)); #469780=CARTESIAN_POINT('Origin',(18.375,56.947394,0.)); #469781=CARTESIAN_POINT('',(18.375,56.947394,0.)); #469782=CARTESIAN_POINT('',(18.375,56.947394,0.)); #469783=CARTESIAN_POINT('',(18.375,56.947394,0.035)); #469784=CARTESIAN_POINT('',(18.375,56.947394,0.035)); #469785=CARTESIAN_POINT('',(18.375,56.947394,0.)); #469786=CARTESIAN_POINT('Origin',(18.384284,56.995056,0.)); #469787=CARTESIAN_POINT('',(18.384284,56.995056,0.)); #469788=CARTESIAN_POINT('',(18.384284,56.995056,0.)); #469789=CARTESIAN_POINT('',(18.384284,56.995056,0.035)); #469790=CARTESIAN_POINT('',(18.384284,56.995056,0.035)); #469791=CARTESIAN_POINT('',(18.384284,56.995056,0.)); #469792=CARTESIAN_POINT('Origin',(18.412197,57.037197,0.)); #469793=CARTESIAN_POINT('',(18.412197,57.037197,0.)); #469794=CARTESIAN_POINT('',(18.412197,57.037197,0.)); #469795=CARTESIAN_POINT('',(18.412197,57.037197,0.035)); #469796=CARTESIAN_POINT('',(18.412197,57.037197,0.035)); #469797=CARTESIAN_POINT('',(18.412197,57.037197,0.)); #469798=CARTESIAN_POINT('Origin',(20.087803,58.712803,0.)); #469799=CARTESIAN_POINT('',(20.087803,58.712803,0.)); #469800=CARTESIAN_POINT('',(20.087803,58.712803,0.)); #469801=CARTESIAN_POINT('',(20.087803,58.712803,0.035)); #469802=CARTESIAN_POINT('',(20.087803,58.712803,0.035)); #469803=CARTESIAN_POINT('',(20.087803,58.712803,0.)); #469804=CARTESIAN_POINT('Origin',(20.128072,58.739941,0.)); #469805=CARTESIAN_POINT('',(20.128072,58.739941,0.)); #469806=CARTESIAN_POINT('',(20.128072,58.739941,0.)); #469807=CARTESIAN_POINT('',(20.128072,58.739941,0.035)); #469808=CARTESIAN_POINT('',(20.128072,58.739941,0.035)); #469809=CARTESIAN_POINT('',(20.128072,58.739941,0.)); #469810=CARTESIAN_POINT('Origin',(20.177606,58.75,0.)); #469811=CARTESIAN_POINT('',(20.177606,58.75,0.)); #469812=CARTESIAN_POINT('',(20.177606,58.75,0.)); #469813=CARTESIAN_POINT('',(20.177606,58.75,0.035)); #469814=CARTESIAN_POINT('',(20.177606,58.75,0.035)); #469815=CARTESIAN_POINT('',(20.177606,58.75,0.)); #469816=CARTESIAN_POINT('Origin',(23.3506,58.75,0.)); #469817=CARTESIAN_POINT('',(23.3506,58.75,0.)); #469818=CARTESIAN_POINT('',(23.3506,58.75,0.)); #469819=CARTESIAN_POINT('',(23.3506,58.75,0.035)); #469820=CARTESIAN_POINT('',(23.3506,58.75,0.035)); #469821=CARTESIAN_POINT('',(23.3506,58.75,0.)); #469822=CARTESIAN_POINT('Origin',(23.396381,58.741463,0.)); #469823=CARTESIAN_POINT('',(23.396381,58.741463,0.)); #469824=CARTESIAN_POINT('',(23.396381,58.741463,0.)); #469825=CARTESIAN_POINT('',(23.396381,58.741463,0.035)); #469826=CARTESIAN_POINT('',(23.396381,58.741463,0.035)); #469827=CARTESIAN_POINT('',(23.396381,58.741463,0.)); #469828=CARTESIAN_POINT('Origin',(23.438959,58.714222,0.)); #469829=CARTESIAN_POINT('',(23.438959,58.714222,0.)); #469830=CARTESIAN_POINT('',(23.438959,58.714222,0.)); #469831=CARTESIAN_POINT('',(23.438959,58.714222,0.035)); #469832=CARTESIAN_POINT('',(23.438959,58.714222,0.035)); #469833=CARTESIAN_POINT('',(23.438959,58.714222,0.)); #469834=CARTESIAN_POINT('Origin',(23.467541,58.672534,0.)); #469835=CARTESIAN_POINT('',(23.467541,58.672534,0.)); #469836=CARTESIAN_POINT('',(23.467541,58.672534,0.)); #469837=CARTESIAN_POINT('',(23.467541,58.672534,0.035)); #469838=CARTESIAN_POINT('',(23.467541,58.672534,0.035)); #469839=CARTESIAN_POINT('',(23.467541,58.672534,0.)); #469840=CARTESIAN_POINT('Origin',(23.4776,58.623,0.)); #469841=CARTESIAN_POINT('',(23.4776,58.623,0.)); #469842=CARTESIAN_POINT('',(23.4776,58.623,0.)); #469843=CARTESIAN_POINT('',(23.4776,58.623,0.035)); #469844=CARTESIAN_POINT('',(23.4776,58.623,0.035)); #469845=CARTESIAN_POINT('',(23.4776,58.623,0.)); #469846=CARTESIAN_POINT('Origin',(23.4776,58.572966,0.)); #469847=CARTESIAN_POINT('',(23.4776,58.572966,0.)); #469848=CARTESIAN_POINT('',(23.4776,58.572966,0.)); #469849=CARTESIAN_POINT('',(23.4776,58.572966,0.035)); #469850=CARTESIAN_POINT('',(23.4776,58.572966,0.035)); #469851=CARTESIAN_POINT('',(23.4776,58.572966,0.)); #469852=CARTESIAN_POINT('Origin',(23.727966,58.3226,0.)); #469853=CARTESIAN_POINT('',(23.727966,58.3226,0.)); #469854=CARTESIAN_POINT('',(23.727966,58.3226,0.)); #469855=CARTESIAN_POINT('',(23.727966,58.3226,0.035)); #469856=CARTESIAN_POINT('',(23.727966,58.3226,0.035)); #469857=CARTESIAN_POINT('',(23.727966,58.3226,0.)); #469858=CARTESIAN_POINT('Origin',(24.082034,58.3226,0.)); #469859=CARTESIAN_POINT('',(24.082034,58.3226,0.)); #469860=CARTESIAN_POINT('',(24.082034,58.3226,0.)); #469861=CARTESIAN_POINT('',(24.082034,58.3226,0.035)); #469862=CARTESIAN_POINT('',(24.082034,58.3226,0.035)); #469863=CARTESIAN_POINT('',(24.082034,58.3226,0.)); #469864=CARTESIAN_POINT('Origin',(24.243638,58.484203,0.)); #469865=CARTESIAN_POINT('',(24.243638,58.484203,0.)); #469866=CARTESIAN_POINT('',(24.243638,58.484203,0.)); #469867=CARTESIAN_POINT('',(24.243638,58.484203,0.035)); #469868=CARTESIAN_POINT('',(24.243638,58.484203,0.035)); #469869=CARTESIAN_POINT('',(24.243638,58.484203,0.)); #469870=CARTESIAN_POINT('Origin',(24.283906,58.511341,0.)); #469871=CARTESIAN_POINT('',(24.283906,58.511341,0.)); #469872=CARTESIAN_POINT('',(24.283906,58.511341,0.)); #469873=CARTESIAN_POINT('',(24.283906,58.511341,0.035)); #469874=CARTESIAN_POINT('',(24.283906,58.511341,0.035)); #469875=CARTESIAN_POINT('',(24.283906,58.511341,0.)); #469876=CARTESIAN_POINT('Origin',(24.333441,58.5214,0.)); #469877=CARTESIAN_POINT('',(24.333441,58.5214,0.)); #469878=CARTESIAN_POINT('',(24.333441,58.5214,0.)); #469879=CARTESIAN_POINT('',(24.333441,58.5214,0.035)); #469880=CARTESIAN_POINT('',(24.333441,58.5214,0.035)); #469881=CARTESIAN_POINT('',(24.333441,58.5214,0.)); #469882=CARTESIAN_POINT('Origin',(24.352706,58.5214,0.)); #469883=CARTESIAN_POINT('',(24.352706,58.5214,0.)); #469884=CARTESIAN_POINT('',(24.352706,58.5214,0.)); #469885=CARTESIAN_POINT('',(24.352706,58.5214,0.035)); #469886=CARTESIAN_POINT('',(24.352706,58.5214,0.035)); #469887=CARTESIAN_POINT('',(24.352706,58.5214,0.)); #469888=CARTESIAN_POINT('Origin',(24.400369,58.512116,0.)); #469889=CARTESIAN_POINT('',(24.400369,58.512116,0.)); #469890=CARTESIAN_POINT('',(24.400369,58.512116,0.)); #469891=CARTESIAN_POINT('',(24.400369,58.512116,0.035)); #469892=CARTESIAN_POINT('',(24.400369,58.512116,0.035)); #469893=CARTESIAN_POINT('',(24.400369,58.512116,0.)); #469894=CARTESIAN_POINT('Origin',(24.442509,58.484203,0.)); #469895=CARTESIAN_POINT('',(24.442509,58.484203,0.)); #469896=CARTESIAN_POINT('',(24.442509,58.484203,0.)); #469897=CARTESIAN_POINT('',(24.442509,58.484203,0.035)); #469898=CARTESIAN_POINT('',(24.442509,58.484203,0.035)); #469899=CARTESIAN_POINT('',(24.442509,58.484203,0.)); #469900=CARTESIAN_POINT('Origin',(25.109203,57.817509,0.)); #469901=CARTESIAN_POINT('',(25.109203,57.817509,0.)); #469902=CARTESIAN_POINT('',(25.109203,57.817509,0.)); #469903=CARTESIAN_POINT('',(25.109203,57.817509,0.035)); #469904=CARTESIAN_POINT('',(25.109203,57.817509,0.035)); #469905=CARTESIAN_POINT('',(25.109203,57.817509,0.)); #469906=CARTESIAN_POINT('Origin',(25.136341,57.777241,0.)); #469907=CARTESIAN_POINT('',(25.136341,57.777241,0.)); #469908=CARTESIAN_POINT('',(25.136341,57.777241,0.)); #469909=CARTESIAN_POINT('',(25.136341,57.777241,0.035)); #469910=CARTESIAN_POINT('',(25.136341,57.777241,0.035)); #469911=CARTESIAN_POINT('',(25.136341,57.777241,0.)); #469912=CARTESIAN_POINT('Origin',(25.1464,57.727706,0.)); #469913=CARTESIAN_POINT('',(25.1464,57.727706,0.)); #469914=CARTESIAN_POINT('',(25.1464,57.727706,0.)); #469915=CARTESIAN_POINT('',(25.1464,57.727706,0.035)); #469916=CARTESIAN_POINT('',(25.1464,57.727706,0.035)); #469917=CARTESIAN_POINT('',(25.1464,57.727706,0.)); #469918=CARTESIAN_POINT('Origin',(25.1464,49.733494,0.)); #469919=CARTESIAN_POINT('',(25.1464,49.733494,0.)); #469920=CARTESIAN_POINT('',(25.1464,49.733494,0.)); #469921=CARTESIAN_POINT('',(25.1464,49.733494,0.035)); #469922=CARTESIAN_POINT('',(25.1464,49.733494,0.035)); #469923=CARTESIAN_POINT('',(25.1464,49.733494,0.)); #469924=CARTESIAN_POINT('Origin',(25.138575,49.689606,0.)); #469925=CARTESIAN_POINT('',(25.138575,49.689606,0.)); #469926=CARTESIAN_POINT('',(25.138575,49.689606,0.)); #469927=CARTESIAN_POINT('',(25.138575,49.689606,0.035)); #469928=CARTESIAN_POINT('',(25.138575,49.689606,0.035)); #469929=CARTESIAN_POINT('',(25.138575,49.689606,0.)); #469930=CARTESIAN_POINT('Origin',(25.112019,49.6466,0.)); #469931=CARTESIAN_POINT('',(25.112019,49.6466,0.)); #469932=CARTESIAN_POINT('',(25.112019,49.6466,0.)); #469933=CARTESIAN_POINT('',(25.112019,49.6466,0.035)); #469934=CARTESIAN_POINT('',(25.112019,49.6466,0.035)); #469935=CARTESIAN_POINT('',(25.112019,49.6466,0.)); #469936=CARTESIAN_POINT('Origin',(25.070794,49.617356,0.)); #469937=CARTESIAN_POINT('',(25.070794,49.617356,0.)); #469938=CARTESIAN_POINT('',(25.070794,49.617356,0.035)); #469939=CARTESIAN_POINT('Origin',(21.988274724459,54.1019743691861,0.035)); #469940=CARTESIAN_POINT('Origin',(21.988274724459,54.1019743691861,0.)); #469941=CARTESIAN_POINT('Origin',(29.488,53.,-200.)); #469942=CARTESIAN_POINT('',(29.348,53.,0.)); #469943=CARTESIAN_POINT('Origin',(29.488,53.,0.)); #469944=CARTESIAN_POINT('',(29.348,53.,0.0349999999999895)); #469945=CARTESIAN_POINT('',(29.348,53.,-200.)); #469946=CARTESIAN_POINT('Origin',(29.488,53.,0.0349999999999895)); #469947=CARTESIAN_POINT('Origin',(32.8875,20.0875,0.)); #469948=CARTESIAN_POINT('',(32.8875,20.0875,0.)); #469949=CARTESIAN_POINT('',(32.8875,20.7125,0.)); #469950=CARTESIAN_POINT('',(32.8875,20.0875,0.)); #469951=CARTESIAN_POINT('',(32.8875,20.7125,0.035)); #469952=CARTESIAN_POINT('',(32.8875,20.7125,0.)); #469953=CARTESIAN_POINT('',(32.8875,20.0875,0.035)); #469954=CARTESIAN_POINT('',(32.8875,20.0875,0.035)); #469955=CARTESIAN_POINT('',(32.8875,20.0875,0.)); #469956=CARTESIAN_POINT('Origin',(32.6125,20.0875,0.)); #469957=CARTESIAN_POINT('',(32.6125,20.0875,0.)); #469958=CARTESIAN_POINT('',(32.6125,20.0875,0.)); #469959=CARTESIAN_POINT('',(32.6125,20.0875,0.035)); #469960=CARTESIAN_POINT('',(32.6125,20.0875,0.035)); #469961=CARTESIAN_POINT('',(32.6125,20.0875,0.)); #469962=CARTESIAN_POINT('Origin',(32.6125,20.7125,0.)); #469963=CARTESIAN_POINT('',(32.6125,20.7125,0.)); #469964=CARTESIAN_POINT('',(32.6125,20.7125,0.)); #469965=CARTESIAN_POINT('',(32.6125,20.7125,0.035)); #469966=CARTESIAN_POINT('',(32.6125,20.7125,0.035)); #469967=CARTESIAN_POINT('',(32.6125,20.7125,0.)); #469968=CARTESIAN_POINT('Origin',(32.623,20.7125,0.)); #469969=CARTESIAN_POINT('',(32.623,20.7125,0.)); #469970=CARTESIAN_POINT('',(32.623,20.7125,0.)); #469971=CARTESIAN_POINT('',(32.623,20.7125,0.035)); #469972=CARTESIAN_POINT('',(32.623,20.7125,0.035)); #469973=CARTESIAN_POINT('',(32.623,20.7125,0.)); #469974=CARTESIAN_POINT('Origin',(32.623,21.917056,0.)); #469975=CARTESIAN_POINT('',(32.623,21.917056,0.)); #469976=CARTESIAN_POINT('',(32.623,21.917056,0.)); #469977=CARTESIAN_POINT('',(32.623,21.917056,0.035)); #469978=CARTESIAN_POINT('',(32.623,21.917056,0.035)); #469979=CARTESIAN_POINT('',(32.623,21.917056,0.)); #469980=CARTESIAN_POINT('Origin',(32.472697,22.067359,0.)); #469981=CARTESIAN_POINT('',(32.472697,22.067359,0.)); #469982=CARTESIAN_POINT('',(32.472697,22.067359,0.)); #469983=CARTESIAN_POINT('',(32.472697,22.067359,0.035)); #469984=CARTESIAN_POINT('',(32.472697,22.067359,0.035)); #469985=CARTESIAN_POINT('',(32.472697,22.067359,0.)); #469986=CARTESIAN_POINT('Origin',(32.562501515832,22.1571617502996,0.)); #469987=CARTESIAN_POINT('',(32.4355,22.157163,0.)); #469988=CARTESIAN_POINT('Origin',(32.562501515832,22.1571617502996,0.)); #469989=CARTESIAN_POINT('',(32.4355,22.157163,0.035)); #469990=CARTESIAN_POINT('Origin',(32.562501515832,22.1571617502996,0.035)); #469991=CARTESIAN_POINT('',(32.4355,22.157163,0.)); #469992=CARTESIAN_POINT('Origin',(32.4355,34.134894,0.)); #469993=CARTESIAN_POINT('',(32.4355,34.134894,0.)); #469994=CARTESIAN_POINT('',(32.4355,34.134894,0.)); #469995=CARTESIAN_POINT('',(32.4355,34.134894,0.035)); #469996=CARTESIAN_POINT('',(32.4355,34.134894,0.035)); #469997=CARTESIAN_POINT('',(32.4355,34.134894,0.)); #469998=CARTESIAN_POINT('Origin',(30.109697,36.460697,0.)); #469999=CARTESIAN_POINT('',(30.109697,36.460697,0.)); #470000=CARTESIAN_POINT('',(30.109697,36.460697,0.)); #470001=CARTESIAN_POINT('',(30.109697,36.460697,0.035)); #470002=CARTESIAN_POINT('',(30.109697,36.460697,0.035)); #470003=CARTESIAN_POINT('',(30.109697,36.460697,0.)); #470004=CARTESIAN_POINT('Origin',(30.1995003087252,36.5504992502996,0.)); #470005=CARTESIAN_POINT('',(30.0725,36.5505,0.)); #470006=CARTESIAN_POINT('Origin',(30.1995003087252,36.5504992502996,0.)); #470007=CARTESIAN_POINT('',(30.0725,36.5505,0.035)); #470008=CARTESIAN_POINT('Origin',(30.1995003087252,36.5504992502996,0.035)); #470009=CARTESIAN_POINT('',(30.0725,36.5505,0.)); #470010=CARTESIAN_POINT('Origin',(30.0725,37.4375,0.)); #470011=CARTESIAN_POINT('',(30.0725,37.4375,0.)); #470012=CARTESIAN_POINT('',(30.0725,37.4375,0.)); #470013=CARTESIAN_POINT('',(30.0725,37.4375,0.035)); #470014=CARTESIAN_POINT('',(30.0725,37.4375,0.035)); #470015=CARTESIAN_POINT('',(30.0725,37.4375,0.)); #470016=CARTESIAN_POINT('Origin',(30.1995003087252,37.4375007497004,0.)); #470017=CARTESIAN_POINT('',(30.109697,37.527303,0.)); #470018=CARTESIAN_POINT('Origin',(30.1995003087252,37.4375007497004,0.)); #470019=CARTESIAN_POINT('',(30.109697,37.527303,0.035)); #470020=CARTESIAN_POINT('Origin',(30.1995003087252,37.4375007497004,0.035)); #470021=CARTESIAN_POINT('',(30.109697,37.527303,0.)); #470022=CARTESIAN_POINT('Origin',(30.3429,37.760506,0.)); #470023=CARTESIAN_POINT('',(30.3429,37.760506,0.)); #470024=CARTESIAN_POINT('',(30.3429,37.760506,0.)); #470025=CARTESIAN_POINT('',(30.3429,37.760506,0.035)); #470026=CARTESIAN_POINT('',(30.3429,37.760506,0.035)); #470027=CARTESIAN_POINT('',(30.3429,37.760506,0.)); #470028=CARTESIAN_POINT('Origin',(30.3429,38.239494,0.)); #470029=CARTESIAN_POINT('',(30.3429,38.239494,0.)); #470030=CARTESIAN_POINT('',(30.3429,38.239494,0.)); #470031=CARTESIAN_POINT('',(30.3429,38.239494,0.035)); #470032=CARTESIAN_POINT('',(30.3429,38.239494,0.035)); #470033=CARTESIAN_POINT('',(30.3429,38.239494,0.)); #470034=CARTESIAN_POINT('Origin',(25.660197,42.922197,0.)); #470035=CARTESIAN_POINT('',(25.660197,42.922197,0.)); #470036=CARTESIAN_POINT('',(25.660197,42.922197,0.)); #470037=CARTESIAN_POINT('',(25.660197,42.922197,0.035)); #470038=CARTESIAN_POINT('',(25.660197,42.922197,0.035)); #470039=CARTESIAN_POINT('',(25.660197,42.922197,0.)); #470040=CARTESIAN_POINT('Origin',(25.7500003087252,43.0119992502996,0.)); #470041=CARTESIAN_POINT('',(25.623,43.012,0.)); #470042=CARTESIAN_POINT('Origin',(25.7500003087252,43.0119992502996,0.)); #470043=CARTESIAN_POINT('',(25.623,43.012,0.035)); #470044=CARTESIAN_POINT('Origin',(25.7500003087252,43.0119992502996,0.035)); #470045=CARTESIAN_POINT('',(25.623,43.012,0.)); #470046=CARTESIAN_POINT('Origin',(25.623,51.75,0.)); #470047=CARTESIAN_POINT('',(25.623,51.75,0.)); #470048=CARTESIAN_POINT('',(25.623,51.75,0.)); #470049=CARTESIAN_POINT('',(25.623,51.75,0.035)); #470050=CARTESIAN_POINT('',(25.623,51.75,0.035)); #470051=CARTESIAN_POINT('',(25.623,51.75,0.)); #470052=CARTESIAN_POINT('Origin',(25.7500003087252,51.7500007497004,0.)); #470053=CARTESIAN_POINT('',(25.660197,51.839803,0.)); #470054=CARTESIAN_POINT('Origin',(25.7500003087252,51.7500007497004,0.)); #470055=CARTESIAN_POINT('',(25.660197,51.839803,0.035)); #470056=CARTESIAN_POINT('Origin',(25.7500003087252,51.7500007497004,0.035)); #470057=CARTESIAN_POINT('',(25.660197,51.839803,0.)); #470058=CARTESIAN_POINT('Origin',(26.910197,53.089803,0.)); #470059=CARTESIAN_POINT('',(26.910197,53.089803,0.)); #470060=CARTESIAN_POINT('',(26.910197,53.089803,0.)); #470061=CARTESIAN_POINT('',(26.910197,53.089803,0.035)); #470062=CARTESIAN_POINT('',(26.910197,53.089803,0.035)); #470063=CARTESIAN_POINT('',(26.910197,53.089803,0.)); #470064=CARTESIAN_POINT('Origin',(26.9999992502996,52.9999996912748,0.)); #470065=CARTESIAN_POINT('',(27.,53.127,0.)); #470066=CARTESIAN_POINT('Origin',(26.9999992502996,52.9999996912748,0.)); #470067=CARTESIAN_POINT('',(27.,53.127,0.035)); #470068=CARTESIAN_POINT('Origin',(26.9999992502996,52.9999996912748,0.035)); #470069=CARTESIAN_POINT('',(27.,53.127,0.)); #470070=CARTESIAN_POINT('Origin',(29.0689,53.127,0.)); #470071=CARTESIAN_POINT('',(29.0689,53.127,0.)); #470072=CARTESIAN_POINT('',(29.0689,53.127,0.)); #470073=CARTESIAN_POINT('',(29.0689,53.127,0.035)); #470074=CARTESIAN_POINT('',(29.0689,53.127,0.035)); #470075=CARTESIAN_POINT('',(29.0689,53.127,0.)); #470076=CARTESIAN_POINT('Origin',(29.0689,53.4445,0.)); #470077=CARTESIAN_POINT('',(29.0689,53.4445,0.)); #470078=CARTESIAN_POINT('',(29.0689,53.4445,0.)); #470079=CARTESIAN_POINT('',(29.0689,53.4445,0.035)); #470080=CARTESIAN_POINT('',(29.0689,53.4445,0.035)); #470081=CARTESIAN_POINT('',(29.0689,53.4445,0.)); #470082=CARTESIAN_POINT('Origin',(29.9071,53.4445,0.)); #470083=CARTESIAN_POINT('',(29.9071,53.4445,0.)); #470084=CARTESIAN_POINT('',(29.9071,53.4445,0.)); #470085=CARTESIAN_POINT('',(29.9071,53.4445,0.035)); #470086=CARTESIAN_POINT('',(29.9071,53.4445,0.035)); #470087=CARTESIAN_POINT('',(29.9071,53.4445,0.)); #470088=CARTESIAN_POINT('Origin',(29.9071,52.5555,0.)); #470089=CARTESIAN_POINT('',(29.9071,52.5555,0.)); #470090=CARTESIAN_POINT('',(29.9071,52.5555,0.)); #470091=CARTESIAN_POINT('',(29.9071,52.5555,0.035)); #470092=CARTESIAN_POINT('',(29.9071,52.5555,0.035)); #470093=CARTESIAN_POINT('',(29.9071,52.5555,0.)); #470094=CARTESIAN_POINT('Origin',(29.0689,52.5555,0.)); #470095=CARTESIAN_POINT('',(29.0689,52.5555,0.)); #470096=CARTESIAN_POINT('',(29.0689,52.5555,0.)); #470097=CARTESIAN_POINT('',(29.0689,52.5555,0.035)); #470098=CARTESIAN_POINT('',(29.0689,52.5555,0.035)); #470099=CARTESIAN_POINT('',(29.0689,52.5555,0.)); #470100=CARTESIAN_POINT('Origin',(29.0689,52.873,0.)); #470101=CARTESIAN_POINT('',(29.0689,52.873,0.)); #470102=CARTESIAN_POINT('',(29.0689,52.873,0.)); #470103=CARTESIAN_POINT('',(29.0689,52.873,0.035)); #470104=CARTESIAN_POINT('',(29.0689,52.873,0.035)); #470105=CARTESIAN_POINT('',(29.0689,52.873,0.)); #470106=CARTESIAN_POINT('Origin',(27.052606,52.873,0.)); #470107=CARTESIAN_POINT('',(27.052606,52.873,0.)); #470108=CARTESIAN_POINT('',(27.052606,52.873,0.)); #470109=CARTESIAN_POINT('',(27.052606,52.873,0.035)); #470110=CARTESIAN_POINT('',(27.052606,52.873,0.035)); #470111=CARTESIAN_POINT('',(27.052606,52.873,0.)); #470112=CARTESIAN_POINT('Origin',(25.877,51.697394,0.)); #470113=CARTESIAN_POINT('',(25.877,51.697394,0.)); #470114=CARTESIAN_POINT('',(25.877,51.697394,0.)); #470115=CARTESIAN_POINT('',(25.877,51.697394,0.035)); #470116=CARTESIAN_POINT('',(25.877,51.697394,0.035)); #470117=CARTESIAN_POINT('',(25.877,51.697394,0.)); #470118=CARTESIAN_POINT('Origin',(25.877,43.064606,0.)); #470119=CARTESIAN_POINT('',(25.877,43.064606,0.)); #470120=CARTESIAN_POINT('',(25.877,43.064606,0.)); #470121=CARTESIAN_POINT('',(25.877,43.064606,0.035)); #470122=CARTESIAN_POINT('',(25.877,43.064606,0.035)); #470123=CARTESIAN_POINT('',(25.877,43.064606,0.)); #470124=CARTESIAN_POINT('Origin',(30.497106,38.4445,0.)); #470125=CARTESIAN_POINT('',(30.497106,38.4445,0.)); #470126=CARTESIAN_POINT('',(30.497106,38.4445,0.)); #470127=CARTESIAN_POINT('',(30.497106,38.4445,0.035)); #470128=CARTESIAN_POINT('',(30.497106,38.4445,0.035)); #470129=CARTESIAN_POINT('',(30.497106,38.4445,0.)); #470130=CARTESIAN_POINT('Origin',(31.1811,38.4445,0.)); #470131=CARTESIAN_POINT('',(31.1811,38.4445,0.)); #470132=CARTESIAN_POINT('',(31.1811,38.4445,0.)); #470133=CARTESIAN_POINT('',(31.1811,38.4445,0.035)); #470134=CARTESIAN_POINT('',(31.1811,38.4445,0.035)); #470135=CARTESIAN_POINT('',(31.1811,38.4445,0.)); #470136=CARTESIAN_POINT('Origin',(31.1811,37.5555,0.)); #470137=CARTESIAN_POINT('',(31.1811,37.5555,0.)); #470138=CARTESIAN_POINT('',(31.1811,37.5555,0.)); #470139=CARTESIAN_POINT('',(31.1811,37.5555,0.035)); #470140=CARTESIAN_POINT('',(31.1811,37.5555,0.035)); #470141=CARTESIAN_POINT('',(31.1811,37.5555,0.)); #470142=CARTESIAN_POINT('Origin',(30.497106,37.5555,0.)); #470143=CARTESIAN_POINT('',(30.497106,37.5555,0.)); #470144=CARTESIAN_POINT('',(30.497106,37.5555,0.)); #470145=CARTESIAN_POINT('',(30.497106,37.5555,0.035)); #470146=CARTESIAN_POINT('',(30.497106,37.5555,0.035)); #470147=CARTESIAN_POINT('',(30.497106,37.5555,0.)); #470148=CARTESIAN_POINT('Origin',(30.3265,37.384894,0.)); #470149=CARTESIAN_POINT('',(30.3265,37.384894,0.)); #470150=CARTESIAN_POINT('',(30.3265,37.384894,0.)); #470151=CARTESIAN_POINT('',(30.3265,37.384894,0.035)); #470152=CARTESIAN_POINT('',(30.3265,37.384894,0.035)); #470153=CARTESIAN_POINT('',(30.3265,37.384894,0.)); #470154=CARTESIAN_POINT('Origin',(30.3265,36.603106,0.)); #470155=CARTESIAN_POINT('',(30.3265,36.603106,0.)); #470156=CARTESIAN_POINT('',(30.3265,36.603106,0.)); #470157=CARTESIAN_POINT('',(30.3265,36.603106,0.035)); #470158=CARTESIAN_POINT('',(30.3265,36.603106,0.035)); #470159=CARTESIAN_POINT('',(30.3265,36.603106,0.)); #470160=CARTESIAN_POINT('Origin',(32.652303,34.277303,0.)); #470161=CARTESIAN_POINT('',(32.652303,34.277303,0.)); #470162=CARTESIAN_POINT('',(32.652303,34.277303,0.)); #470163=CARTESIAN_POINT('',(32.652303,34.277303,0.035)); #470164=CARTESIAN_POINT('',(32.652303,34.277303,0.035)); #470165=CARTESIAN_POINT('',(32.652303,34.277303,0.)); #470166=CARTESIAN_POINT('Origin',(32.5624996912748,34.1875007497004,0.)); #470167=CARTESIAN_POINT('',(32.6895,34.1875,0.)); #470168=CARTESIAN_POINT('Origin',(32.5624996912748,34.1875007497004,0.)); #470169=CARTESIAN_POINT('',(32.6895,34.1875,0.035)); #470170=CARTESIAN_POINT('Origin',(32.5624996912748,34.1875007497004,0.035)); #470171=CARTESIAN_POINT('',(32.6895,34.1875,0.)); #470172=CARTESIAN_POINT('Origin',(32.6895,22.209769,0.)); #470173=CARTESIAN_POINT('',(32.6895,22.209769,0.)); #470174=CARTESIAN_POINT('',(32.6895,22.209769,0.)); #470175=CARTESIAN_POINT('',(32.6895,22.209769,0.035)); #470176=CARTESIAN_POINT('',(32.6895,22.209769,0.035)); #470177=CARTESIAN_POINT('',(32.6895,22.209769,0.)); #470178=CARTESIAN_POINT('Origin',(32.839803,22.059466,0.)); #470179=CARTESIAN_POINT('',(32.839803,22.059466,0.)); #470180=CARTESIAN_POINT('',(32.839803,22.059466,0.)); #470181=CARTESIAN_POINT('',(32.839803,22.059466,0.035)); #470182=CARTESIAN_POINT('',(32.839803,22.059466,0.035)); #470183=CARTESIAN_POINT('',(32.839803,22.059466,0.)); #470184=CARTESIAN_POINT('Origin',(32.7499996912748,21.9696637497004,0.)); #470185=CARTESIAN_POINT('',(32.877,21.969663,0.)); #470186=CARTESIAN_POINT('Origin',(32.7499996912748,21.9696637497004,0.)); #470187=CARTESIAN_POINT('',(32.877,21.969663,0.035)); #470188=CARTESIAN_POINT('Origin',(32.7499996912748,21.9696637497004,0.035)); #470189=CARTESIAN_POINT('',(32.877,21.969663,0.)); #470190=CARTESIAN_POINT('Origin',(32.877,20.7125,0.)); #470191=CARTESIAN_POINT('',(32.877,20.7125,0.)); #470192=CARTESIAN_POINT('',(32.877,20.7125,0.)); #470193=CARTESIAN_POINT('',(32.877,20.7125,0.035)); #470194=CARTESIAN_POINT('',(32.877,20.7125,0.035)); #470195=CARTESIAN_POINT('',(32.877,20.7125,0.)); #470196=CARTESIAN_POINT('Origin',(32.8875,20.7125,0.)); #470197=CARTESIAN_POINT('',(32.8875,20.7125,0.)); #470198=CARTESIAN_POINT('',(32.8875,20.7125,0.035)); #470199=CARTESIAN_POINT('Origin',(29.5639435750555,38.3234251498672,0.035)); #470200=CARTESIAN_POINT('Origin',(29.5639435750555,38.3234251498672,0.)); #470201=CARTESIAN_POINT('Origin',(26.75,36.,-200.)); #470202=CARTESIAN_POINT('',(26.61,36.,0.)); #470203=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #470204=CARTESIAN_POINT('',(26.61,36.,0.0349999999999895)); #470205=CARTESIAN_POINT('',(26.61,36.,-200.)); #470206=CARTESIAN_POINT('Origin',(26.75,36.,0.0349999999999895)); #470207=CARTESIAN_POINT('Origin',(16.625,47.75,-200.)); #470208=CARTESIAN_POINT('',(16.485,47.75,0.)); #470209=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #470210=CARTESIAN_POINT('',(16.485,47.75,0.0349999999999895)); #470211=CARTESIAN_POINT('',(16.485,47.75,-200.)); #470212=CARTESIAN_POINT('Origin',(16.625,47.75,0.0349999999999895)); #470213=CARTESIAN_POINT('Origin',(15.75,47.75,-200.)); #470214=CARTESIAN_POINT('',(15.61,47.75,0.)); #470215=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #470216=CARTESIAN_POINT('',(15.61,47.75,0.0349999999999895)); #470217=CARTESIAN_POINT('',(15.61,47.75,-200.)); #470218=CARTESIAN_POINT('Origin',(15.75,47.75,0.0349999999999895)); #470219=CARTESIAN_POINT('Origin',(16.625,47.,-200.)); #470220=CARTESIAN_POINT('',(16.485,47.,0.)); #470221=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #470222=CARTESIAN_POINT('',(16.485,47.,0.0349999999999895)); #470223=CARTESIAN_POINT('',(16.485,47.,-200.)); #470224=CARTESIAN_POINT('Origin',(16.625,47.,0.0349999999999895)); #470225=CARTESIAN_POINT('Origin',(16.625,46.25,-200.)); #470226=CARTESIAN_POINT('',(16.485,46.25,0.)); #470227=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #470228=CARTESIAN_POINT('',(16.485,46.25,0.0349999999999895)); #470229=CARTESIAN_POINT('',(16.485,46.25,-200.)); #470230=CARTESIAN_POINT('Origin',(16.625,46.25,0.0349999999999895)); #470231=CARTESIAN_POINT('Origin',(17.5,47.75,-200.)); #470232=CARTESIAN_POINT('',(17.36,47.75,0.)); #470233=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #470234=CARTESIAN_POINT('',(17.36,47.75,0.0349999999999895)); #470235=CARTESIAN_POINT('',(17.36,47.75,-200.)); #470236=CARTESIAN_POINT('Origin',(17.5,47.75,0.0349999999999895)); #470237=CARTESIAN_POINT('Origin',(19.375,46.25,-200.)); #470238=CARTESIAN_POINT('',(19.235,46.25,0.)); #470239=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #470240=CARTESIAN_POINT('',(19.235,46.25,0.0349999999999895)); #470241=CARTESIAN_POINT('',(19.235,46.25,-200.)); #470242=CARTESIAN_POINT('Origin',(19.375,46.25,0.0349999999999895)); #470243=CARTESIAN_POINT('Origin',(19.375,47.75,-200.)); #470244=CARTESIAN_POINT('',(19.235,47.75,0.)); #470245=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #470246=CARTESIAN_POINT('',(19.235,47.75,0.0349999999999895)); #470247=CARTESIAN_POINT('',(19.235,47.75,-200.)); #470248=CARTESIAN_POINT('Origin',(19.375,47.75,0.0349999999999895)); #470249=CARTESIAN_POINT('Origin',(19.375,47.,-200.)); #470250=CARTESIAN_POINT('',(19.235,47.,0.)); #470251=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #470252=CARTESIAN_POINT('',(19.235,47.,0.0349999999999895)); #470253=CARTESIAN_POINT('',(19.235,47.,-200.)); #470254=CARTESIAN_POINT('Origin',(19.375,47.,0.0349999999999895)); #470255=CARTESIAN_POINT('Origin',(17.5,46.25,-200.)); #470256=CARTESIAN_POINT('',(17.36,46.25,0.)); #470257=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #470258=CARTESIAN_POINT('',(17.36,46.25,0.0349999999999895)); #470259=CARTESIAN_POINT('',(17.36,46.25,-200.)); #470260=CARTESIAN_POINT('Origin',(17.5,46.25,0.0349999999999895)); #470261=CARTESIAN_POINT('Origin',(17.5,47.,-200.)); #470262=CARTESIAN_POINT('',(17.36,47.,0.)); #470263=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #470264=CARTESIAN_POINT('',(17.36,47.,0.0349999999999895)); #470265=CARTESIAN_POINT('',(17.36,47.,-200.)); #470266=CARTESIAN_POINT('Origin',(17.5,47.,0.0349999999999895)); #470267=CARTESIAN_POINT('Origin',(15.75,46.25,-200.)); #470268=CARTESIAN_POINT('',(15.61,46.25,0.)); #470269=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #470270=CARTESIAN_POINT('',(15.61,46.25,0.0349999999999895)); #470271=CARTESIAN_POINT('',(15.61,46.25,-200.)); #470272=CARTESIAN_POINT('Origin',(15.75,46.25,0.0349999999999895)); #470273=CARTESIAN_POINT('Origin',(15.75,47.,-200.)); #470274=CARTESIAN_POINT('',(15.61,47.,0.)); #470275=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #470276=CARTESIAN_POINT('',(15.61,47.,0.0349999999999895)); #470277=CARTESIAN_POINT('',(15.61,47.,-200.)); #470278=CARTESIAN_POINT('Origin',(15.75,47.,0.0349999999999895)); #470279=CARTESIAN_POINT('Origin',(23.75,47.,-200.)); #470280=CARTESIAN_POINT('',(23.61,47.,0.)); #470281=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #470282=CARTESIAN_POINT('',(23.61,47.,0.0349999999999895)); #470283=CARTESIAN_POINT('',(23.61,47.,-200.)); #470284=CARTESIAN_POINT('Origin',(23.75,47.,0.0349999999999895)); #470285=CARTESIAN_POINT('Origin',(20.25,47.,-200.)); #470286=CARTESIAN_POINT('',(20.11,47.,0.)); #470287=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #470288=CARTESIAN_POINT('',(20.11,47.,0.0349999999999895)); #470289=CARTESIAN_POINT('',(20.11,47.,-200.)); #470290=CARTESIAN_POINT('Origin',(20.25,47.,0.0349999999999895)); #470291=CARTESIAN_POINT('Origin',(23.75,46.25,-200.)); #470292=CARTESIAN_POINT('',(23.61,46.25,0.)); #470293=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #470294=CARTESIAN_POINT('',(23.61,46.25,0.0349999999999895)); #470295=CARTESIAN_POINT('',(23.61,46.25,-200.)); #470296=CARTESIAN_POINT('Origin',(23.75,46.25,0.0349999999999895)); #470297=CARTESIAN_POINT('Origin',(22.,46.25,-200.)); #470298=CARTESIAN_POINT('',(21.86,46.25,0.)); #470299=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #470300=CARTESIAN_POINT('',(21.86,46.25,0.0349999999999895)); #470301=CARTESIAN_POINT('',(21.86,46.25,-200.)); #470302=CARTESIAN_POINT('Origin',(22.,46.25,0.0349999999999895)); #470303=CARTESIAN_POINT('Origin',(20.25,46.25,-200.)); #470304=CARTESIAN_POINT('',(20.11,46.25,0.)); #470305=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #470306=CARTESIAN_POINT('',(20.11,46.25,0.0349999999999895)); #470307=CARTESIAN_POINT('',(20.11,46.25,-200.)); #470308=CARTESIAN_POINT('Origin',(20.25,46.25,0.0349999999999895)); #470309=CARTESIAN_POINT('Origin',(18.5,47.75,-200.)); #470310=CARTESIAN_POINT('',(18.36,47.75,0.)); #470311=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #470312=CARTESIAN_POINT('',(18.36,47.75,0.0349999999999895)); #470313=CARTESIAN_POINT('',(18.36,47.75,-200.)); #470314=CARTESIAN_POINT('Origin',(18.5,47.75,0.0349999999999895)); #470315=CARTESIAN_POINT('Origin',(20.25,47.75,-200.)); #470316=CARTESIAN_POINT('',(20.11,47.75,0.)); #470317=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #470318=CARTESIAN_POINT('',(20.11,47.75,0.0349999999999895)); #470319=CARTESIAN_POINT('',(20.11,47.75,-200.)); #470320=CARTESIAN_POINT('Origin',(20.25,47.75,0.0349999999999895)); #470321=CARTESIAN_POINT('Origin',(23.75,47.75,-200.)); #470322=CARTESIAN_POINT('',(23.61,47.75,0.)); #470323=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #470324=CARTESIAN_POINT('',(23.61,47.75,0.0349999999999895)); #470325=CARTESIAN_POINT('',(23.61,47.75,-200.)); #470326=CARTESIAN_POINT('Origin',(23.75,47.75,0.0349999999999895)); #470327=CARTESIAN_POINT('Origin',(21.125,47.,-200.)); #470328=CARTESIAN_POINT('',(20.985,47.,0.)); #470329=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #470330=CARTESIAN_POINT('',(20.985,47.,0.0349999999999895)); #470331=CARTESIAN_POINT('',(20.985,47.,-200.)); #470332=CARTESIAN_POINT('Origin',(21.125,47.,0.0349999999999895)); #470333=CARTESIAN_POINT('Origin',(21.125,47.75,-200.)); #470334=CARTESIAN_POINT('',(20.985,47.75,0.)); #470335=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #470336=CARTESIAN_POINT('',(20.985,47.75,0.0349999999999895)); #470337=CARTESIAN_POINT('',(20.985,47.75,-200.)); #470338=CARTESIAN_POINT('Origin',(21.125,47.75,0.0349999999999895)); #470339=CARTESIAN_POINT('Origin',(22.,47.,-200.)); #470340=CARTESIAN_POINT('',(21.86,47.,0.)); #470341=CARTESIAN_POINT('Origin',(22.,47.,0.)); #470342=CARTESIAN_POINT('',(21.86,47.,0.0349999999999895)); #470343=CARTESIAN_POINT('',(21.86,47.,-200.)); #470344=CARTESIAN_POINT('Origin',(22.,47.,0.0349999999999895)); #470345=CARTESIAN_POINT('Origin',(22.,47.75,-200.)); #470346=CARTESIAN_POINT('',(21.86,47.75,0.)); #470347=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #470348=CARTESIAN_POINT('',(21.86,47.75,0.0349999999999895)); #470349=CARTESIAN_POINT('',(21.86,47.75,-200.)); #470350=CARTESIAN_POINT('Origin',(22.,47.75,0.0349999999999895)); #470351=CARTESIAN_POINT('Origin',(22.875,46.25,-200.)); #470352=CARTESIAN_POINT('',(22.735,46.25,0.)); #470353=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #470354=CARTESIAN_POINT('',(22.735,46.25,0.0349999999999895)); #470355=CARTESIAN_POINT('',(22.735,46.25,-200.)); #470356=CARTESIAN_POINT('Origin',(22.875,46.25,0.0349999999999895)); #470357=CARTESIAN_POINT('Origin',(21.125,46.25,-200.)); #470358=CARTESIAN_POINT('',(20.985,46.25,0.)); #470359=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #470360=CARTESIAN_POINT('',(20.985,46.25,0.0349999999999895)); #470361=CARTESIAN_POINT('',(20.985,46.25,-200.)); #470362=CARTESIAN_POINT('Origin',(21.125,46.25,0.0349999999999895)); #470363=CARTESIAN_POINT('Origin',(22.875,47.,-200.)); #470364=CARTESIAN_POINT('',(22.735,47.,0.)); #470365=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #470366=CARTESIAN_POINT('',(22.735,47.,0.0349999999999895)); #470367=CARTESIAN_POINT('',(22.735,47.,-200.)); #470368=CARTESIAN_POINT('Origin',(22.875,47.,0.0349999999999895)); #470369=CARTESIAN_POINT('Origin',(22.875,47.75,-200.)); #470370=CARTESIAN_POINT('',(22.735,47.75,0.)); #470371=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #470372=CARTESIAN_POINT('',(22.735,47.75,0.0349999999999895)); #470373=CARTESIAN_POINT('',(22.735,47.75,-200.)); #470374=CARTESIAN_POINT('Origin',(22.875,47.75,0.0349999999999895)); #470375=CARTESIAN_POINT('Origin',(18.5,47.,-200.)); #470376=CARTESIAN_POINT('',(18.36,47.,0.)); #470377=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #470378=CARTESIAN_POINT('',(18.36,47.,0.0349999999999895)); #470379=CARTESIAN_POINT('',(18.36,47.,-200.)); #470380=CARTESIAN_POINT('Origin',(18.5,47.,0.0349999999999895)); #470381=CARTESIAN_POINT('Origin',(18.5,46.25,-200.)); #470382=CARTESIAN_POINT('',(18.36,46.25,0.)); #470383=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #470384=CARTESIAN_POINT('',(18.36,46.25,0.0349999999999895)); #470385=CARTESIAN_POINT('',(18.36,46.25,-200.)); #470386=CARTESIAN_POINT('Origin',(18.5,46.25,0.0349999999999895)); #470387=CARTESIAN_POINT('Origin',(26.771644,35.725,0.)); #470388=CARTESIAN_POINT('',(26.771644,35.725,0.)); #470389=CARTESIAN_POINT('',(26.814397,35.731772,0.)); #470390=CARTESIAN_POINT('',(26.771644,35.725,0.)); #470391=CARTESIAN_POINT('',(26.814397,35.731772,0.035)); #470392=CARTESIAN_POINT('',(26.814397,35.731772,0.)); #470393=CARTESIAN_POINT('',(26.771644,35.725,0.035)); #470394=CARTESIAN_POINT('',(26.771644,35.725,0.035)); #470395=CARTESIAN_POINT('',(26.771644,35.725,0.)); #470396=CARTESIAN_POINT('Origin',(26.750118471131,35.9995872515008,0.)); #470397=CARTESIAN_POINT('',(26.623,36.243928,0.)); #470398=CARTESIAN_POINT('Origin',(26.750118471131,35.9995872515008,0.)); #470399=CARTESIAN_POINT('',(26.623,36.243928,0.035)); #470400=CARTESIAN_POINT('Origin',(26.750118471131,35.9995872515008,0.035)); #470401=CARTESIAN_POINT('',(26.623,36.243928,0.)); #470402=CARTESIAN_POINT('Origin',(26.623,36.356381,0.)); #470403=CARTESIAN_POINT('',(26.623,36.356381,0.)); #470404=CARTESIAN_POINT('',(26.623,36.356381,0.)); #470405=CARTESIAN_POINT('',(26.623,36.356381,0.035)); #470406=CARTESIAN_POINT('',(26.623,36.356381,0.035)); #470407=CARTESIAN_POINT('',(26.623,36.356381,0.)); #470408=CARTESIAN_POINT('Origin',(23.981381,38.998,0.)); #470409=CARTESIAN_POINT('',(23.981381,38.998,0.)); #470410=CARTESIAN_POINT('',(23.981381,38.998,0.)); #470411=CARTESIAN_POINT('',(23.981381,38.998,0.035)); #470412=CARTESIAN_POINT('',(23.981381,38.998,0.035)); #470413=CARTESIAN_POINT('',(23.981381,38.998,0.)); #470414=CARTESIAN_POINT('Origin',(19.625,38.998,0.)); #470415=CARTESIAN_POINT('',(19.625,38.998,0.)); #470416=CARTESIAN_POINT('',(19.625,38.998,0.)); #470417=CARTESIAN_POINT('',(19.625,38.998,0.035)); #470418=CARTESIAN_POINT('',(19.625,38.998,0.035)); #470419=CARTESIAN_POINT('',(19.625,38.998,0.)); #470420=CARTESIAN_POINT('Origin',(19.6249992502996,39.1250003087252,0.)); #470421=CARTESIAN_POINT('',(19.535197,39.035197,0.)); #470422=CARTESIAN_POINT('Origin',(19.6249992502996,39.1250003087252,0.)); #470423=CARTESIAN_POINT('',(19.535197,39.035197,0.035)); #470424=CARTESIAN_POINT('Origin',(19.6249992502996,39.1250003087252,0.035)); #470425=CARTESIAN_POINT('',(19.535197,39.035197,0.)); #470426=CARTESIAN_POINT('Origin',(19.160197,39.410197,0.)); #470427=CARTESIAN_POINT('',(19.160197,39.410197,0.)); #470428=CARTESIAN_POINT('',(19.160197,39.410197,0.)); #470429=CARTESIAN_POINT('',(19.160197,39.410197,0.035)); #470430=CARTESIAN_POINT('',(19.160197,39.410197,0.035)); #470431=CARTESIAN_POINT('',(19.160197,39.410197,0.)); #470432=CARTESIAN_POINT('Origin',(19.2500003087252,39.4999992502996,0.)); #470433=CARTESIAN_POINT('',(19.123,39.5,0.)); #470434=CARTESIAN_POINT('Origin',(19.2500003087252,39.4999992502996,0.)); #470435=CARTESIAN_POINT('',(19.123,39.5,0.035)); #470436=CARTESIAN_POINT('Origin',(19.2500003087252,39.4999992502996,0.035)); #470437=CARTESIAN_POINT('',(19.123,39.5,0.)); #470438=CARTESIAN_POINT('Origin',(19.123,44.385613,0.)); #470439=CARTESIAN_POINT('',(19.123,44.385613,0.)); #470440=CARTESIAN_POINT('',(19.123,44.385613,0.)); #470441=CARTESIAN_POINT('',(19.123,44.385613,0.035)); #470442=CARTESIAN_POINT('',(19.123,44.385613,0.035)); #470443=CARTESIAN_POINT('',(19.123,44.385613,0.)); #470444=CARTESIAN_POINT('Origin',(19.113659,44.399472,0.)); #470445=CARTESIAN_POINT('',(19.113659,44.399472,0.)); #470446=CARTESIAN_POINT('',(19.113659,44.399472,0.)); #470447=CARTESIAN_POINT('',(19.113659,44.399472,0.035)); #470448=CARTESIAN_POINT('',(19.113659,44.399472,0.035)); #470449=CARTESIAN_POINT('',(19.113659,44.399472,0.)); #470450=CARTESIAN_POINT('Origin',(19.1036,44.449006,0.)); #470451=CARTESIAN_POINT('',(19.1036,44.449006,0.)); #470452=CARTESIAN_POINT('',(19.1036,44.449006,0.)); #470453=CARTESIAN_POINT('',(19.1036,44.449006,0.035)); #470454=CARTESIAN_POINT('',(19.1036,44.449006,0.035)); #470455=CARTESIAN_POINT('',(19.1036,44.449006,0.)); #470456=CARTESIAN_POINT('Origin',(19.1036,44.719687,0.)); #470457=CARTESIAN_POINT('',(19.1036,44.719687,0.)); #470458=CARTESIAN_POINT('',(19.1036,44.719687,0.)); #470459=CARTESIAN_POINT('',(19.1036,44.719687,0.035)); #470460=CARTESIAN_POINT('',(19.1036,44.719687,0.035)); #470461=CARTESIAN_POINT('',(19.1036,44.719687,0.)); #470462=CARTESIAN_POINT('Origin',(18.094687,45.7286,0.)); #470463=CARTESIAN_POINT('',(18.094687,45.7286,0.)); #470464=CARTESIAN_POINT('',(18.094687,45.7286,0.)); #470465=CARTESIAN_POINT('',(18.094687,45.7286,0.035)); #470466=CARTESIAN_POINT('',(18.094687,45.7286,0.035)); #470467=CARTESIAN_POINT('',(18.094687,45.7286,0.)); #470468=CARTESIAN_POINT('Origin',(15.647294,45.7286,0.)); #470469=CARTESIAN_POINT('',(15.647294,45.7286,0.)); #470470=CARTESIAN_POINT('',(15.647294,45.7286,0.)); #470471=CARTESIAN_POINT('',(15.647294,45.7286,0.035)); #470472=CARTESIAN_POINT('',(15.647294,45.7286,0.035)); #470473=CARTESIAN_POINT('',(15.647294,45.7286,0.)); #470474=CARTESIAN_POINT('Origin',(15.599631,45.737884,0.)); #470475=CARTESIAN_POINT('',(15.599631,45.737884,0.)); #470476=CARTESIAN_POINT('',(15.599631,45.737884,0.)); #470477=CARTESIAN_POINT('',(15.599631,45.737884,0.035)); #470478=CARTESIAN_POINT('',(15.599631,45.737884,0.035)); #470479=CARTESIAN_POINT('',(15.599631,45.737884,0.)); #470480=CARTESIAN_POINT('Origin',(15.557491,45.765797,0.)); #470481=CARTESIAN_POINT('',(15.557491,45.765797,0.)); #470482=CARTESIAN_POINT('',(15.557491,45.765797,0.)); #470483=CARTESIAN_POINT('',(15.557491,45.765797,0.035)); #470484=CARTESIAN_POINT('',(15.557491,45.765797,0.035)); #470485=CARTESIAN_POINT('',(15.557491,45.765797,0.)); #470486=CARTESIAN_POINT('Origin',(15.265797,46.057491,0.)); #470487=CARTESIAN_POINT('',(15.265797,46.057491,0.)); #470488=CARTESIAN_POINT('',(15.265797,46.057491,0.)); #470489=CARTESIAN_POINT('',(15.265797,46.057491,0.035)); #470490=CARTESIAN_POINT('',(15.265797,46.057491,0.035)); #470491=CARTESIAN_POINT('',(15.265797,46.057491,0.)); #470492=CARTESIAN_POINT('Origin',(15.238659,46.097759,0.)); #470493=CARTESIAN_POINT('',(15.238659,46.097759,0.)); #470494=CARTESIAN_POINT('',(15.238659,46.097759,0.)); #470495=CARTESIAN_POINT('',(15.238659,46.097759,0.035)); #470496=CARTESIAN_POINT('',(15.238659,46.097759,0.035)); #470497=CARTESIAN_POINT('',(15.238659,46.097759,0.)); #470498=CARTESIAN_POINT('Origin',(15.2286,46.147294,0.)); #470499=CARTESIAN_POINT('',(15.2286,46.147294,0.)); #470500=CARTESIAN_POINT('',(15.2286,46.147294,0.)); #470501=CARTESIAN_POINT('',(15.2286,46.147294,0.035)); #470502=CARTESIAN_POINT('',(15.2286,46.147294,0.035)); #470503=CARTESIAN_POINT('',(15.2286,46.147294,0.)); #470504=CARTESIAN_POINT('Origin',(15.2286,50.102706,0.)); #470505=CARTESIAN_POINT('',(15.2286,50.102706,0.)); #470506=CARTESIAN_POINT('',(15.2286,50.102706,0.)); #470507=CARTESIAN_POINT('',(15.2286,50.102706,0.035)); #470508=CARTESIAN_POINT('',(15.2286,50.102706,0.035)); #470509=CARTESIAN_POINT('',(15.2286,50.102706,0.)); #470510=CARTESIAN_POINT('Origin',(15.237884,50.150369,0.)); #470511=CARTESIAN_POINT('',(15.237884,50.150369,0.)); #470512=CARTESIAN_POINT('',(15.237884,50.150369,0.)); #470513=CARTESIAN_POINT('',(15.237884,50.150369,0.035)); #470514=CARTESIAN_POINT('',(15.237884,50.150369,0.035)); #470515=CARTESIAN_POINT('',(15.237884,50.150369,0.)); #470516=CARTESIAN_POINT('Origin',(15.265797,50.192509,0.)); #470517=CARTESIAN_POINT('',(15.265797,50.192509,0.)); #470518=CARTESIAN_POINT('',(15.265797,50.192509,0.)); #470519=CARTESIAN_POINT('',(15.265797,50.192509,0.035)); #470520=CARTESIAN_POINT('',(15.265797,50.192509,0.035)); #470521=CARTESIAN_POINT('',(15.265797,50.192509,0.)); #470522=CARTESIAN_POINT('Origin',(15.307491,50.234203,0.)); #470523=CARTESIAN_POINT('',(15.307491,50.234203,0.)); #470524=CARTESIAN_POINT('',(15.307491,50.234203,0.)); #470525=CARTESIAN_POINT('',(15.307491,50.234203,0.035)); #470526=CARTESIAN_POINT('',(15.307491,50.234203,0.035)); #470527=CARTESIAN_POINT('',(15.307491,50.234203,0.)); #470528=CARTESIAN_POINT('Origin',(15.347759,50.261341,0.)); #470529=CARTESIAN_POINT('',(15.347759,50.261341,0.)); #470530=CARTESIAN_POINT('',(15.347759,50.261341,0.)); #470531=CARTESIAN_POINT('',(15.347759,50.261341,0.035)); #470532=CARTESIAN_POINT('',(15.347759,50.261341,0.035)); #470533=CARTESIAN_POINT('',(15.347759,50.261341,0.)); #470534=CARTESIAN_POINT('Origin',(15.397294,50.2714,0.)); #470535=CARTESIAN_POINT('',(15.397294,50.2714,0.)); #470536=CARTESIAN_POINT('',(15.397294,50.2714,0.)); #470537=CARTESIAN_POINT('',(15.397294,50.2714,0.035)); #470538=CARTESIAN_POINT('',(15.397294,50.2714,0.035)); #470539=CARTESIAN_POINT('',(15.397294,50.2714,0.)); #470540=CARTESIAN_POINT('Origin',(15.5395,50.2714,0.)); #470541=CARTESIAN_POINT('',(15.5395,50.2714,0.)); #470542=CARTESIAN_POINT('',(15.5395,50.2714,0.)); #470543=CARTESIAN_POINT('',(15.5395,50.2714,0.035)); #470544=CARTESIAN_POINT('',(15.5395,50.2714,0.035)); #470545=CARTESIAN_POINT('',(15.5395,50.2714,0.)); #470546=CARTESIAN_POINT('Origin',(15.585281,50.262863,0.)); #470547=CARTESIAN_POINT('',(15.585281,50.262863,0.)); #470548=CARTESIAN_POINT('',(15.585281,50.262863,0.)); #470549=CARTESIAN_POINT('',(15.585281,50.262863,0.035)); #470550=CARTESIAN_POINT('',(15.585281,50.262863,0.035)); #470551=CARTESIAN_POINT('',(15.585281,50.262863,0.)); #470552=CARTESIAN_POINT('Origin',(15.627859,50.235622,0.)); #470553=CARTESIAN_POINT('',(15.627859,50.235622,0.)); #470554=CARTESIAN_POINT('',(15.627859,50.235622,0.)); #470555=CARTESIAN_POINT('',(15.627859,50.235622,0.035)); #470556=CARTESIAN_POINT('',(15.627859,50.235622,0.035)); #470557=CARTESIAN_POINT('',(15.627859,50.235622,0.)); #470558=CARTESIAN_POINT('Origin',(15.656441,50.193934,0.)); #470559=CARTESIAN_POINT('',(15.656441,50.193934,0.)); #470560=CARTESIAN_POINT('',(15.656441,50.193934,0.)); #470561=CARTESIAN_POINT('',(15.656441,50.193934,0.035)); #470562=CARTESIAN_POINT('',(15.656441,50.193934,0.035)); #470563=CARTESIAN_POINT('',(15.656441,50.193934,0.)); #470564=CARTESIAN_POINT('Origin',(15.6665,50.1444,0.)); #470565=CARTESIAN_POINT('',(15.6665,50.1444,0.)); #470566=CARTESIAN_POINT('',(15.6665,50.1444,0.)); #470567=CARTESIAN_POINT('',(15.6665,50.1444,0.035)); #470568=CARTESIAN_POINT('',(15.6665,50.1444,0.035)); #470569=CARTESIAN_POINT('',(15.6665,50.1444,0.)); #470570=CARTESIAN_POINT('Origin',(15.6665,49.992375,0.)); #470571=CARTESIAN_POINT('',(15.6665,49.992375,0.)); #470572=CARTESIAN_POINT('',(15.6665,49.992375,0.)); #470573=CARTESIAN_POINT('',(15.6665,49.992375,0.035)); #470574=CARTESIAN_POINT('',(15.6665,49.992375,0.035)); #470575=CARTESIAN_POINT('',(15.6665,49.992375,0.)); #470576=CARTESIAN_POINT('Origin',(15.755775,49.9031,0.)); #470577=CARTESIAN_POINT('',(15.755775,49.9031,0.)); #470578=CARTESIAN_POINT('',(15.755775,49.9031,0.)); #470579=CARTESIAN_POINT('',(15.755775,49.9031,0.035)); #470580=CARTESIAN_POINT('',(15.755775,49.9031,0.035)); #470581=CARTESIAN_POINT('',(15.755775,49.9031,0.)); #470582=CARTESIAN_POINT('Origin',(16.720225,49.9031,0.)); #470583=CARTESIAN_POINT('',(16.720225,49.9031,0.)); #470584=CARTESIAN_POINT('',(16.720225,49.9031,0.)); #470585=CARTESIAN_POINT('',(16.720225,49.9031,0.035)); #470586=CARTESIAN_POINT('',(16.720225,49.9031,0.035)); #470587=CARTESIAN_POINT('',(16.720225,49.9031,0.)); #470588=CARTESIAN_POINT('Origin',(16.8095,49.992375,0.)); #470589=CARTESIAN_POINT('',(16.8095,49.992375,0.)); #470590=CARTESIAN_POINT('',(16.8095,49.992375,0.)); #470591=CARTESIAN_POINT('',(16.8095,49.992375,0.035)); #470592=CARTESIAN_POINT('',(16.8095,49.992375,0.035)); #470593=CARTESIAN_POINT('',(16.8095,49.992375,0.)); #470594=CARTESIAN_POINT('Origin',(16.8095,50.873,0.)); #470595=CARTESIAN_POINT('',(16.8095,50.873,0.)); #470596=CARTESIAN_POINT('',(16.8095,50.873,0.)); #470597=CARTESIAN_POINT('',(16.8095,50.873,0.035)); #470598=CARTESIAN_POINT('',(16.8095,50.873,0.035)); #470599=CARTESIAN_POINT('',(16.8095,50.873,0.)); #470600=CARTESIAN_POINT('Origin',(16.818037,50.918781,0.)); #470601=CARTESIAN_POINT('',(16.818037,50.918781,0.)); #470602=CARTESIAN_POINT('',(16.818037,50.918781,0.)); #470603=CARTESIAN_POINT('',(16.818037,50.918781,0.035)); #470604=CARTESIAN_POINT('',(16.818037,50.918781,0.035)); #470605=CARTESIAN_POINT('',(16.818037,50.918781,0.)); #470606=CARTESIAN_POINT('Origin',(16.845278,50.961359,0.)); #470607=CARTESIAN_POINT('',(16.845278,50.961359,0.)); #470608=CARTESIAN_POINT('',(16.845278,50.961359,0.)); #470609=CARTESIAN_POINT('',(16.845278,50.961359,0.035)); #470610=CARTESIAN_POINT('',(16.845278,50.961359,0.035)); #470611=CARTESIAN_POINT('',(16.845278,50.961359,0.)); #470612=CARTESIAN_POINT('Origin',(16.886966,50.989941,0.)); #470613=CARTESIAN_POINT('',(16.886966,50.989941,0.)); #470614=CARTESIAN_POINT('',(16.886966,50.989941,0.)); #470615=CARTESIAN_POINT('',(16.886966,50.989941,0.035)); #470616=CARTESIAN_POINT('',(16.886966,50.989941,0.035)); #470617=CARTESIAN_POINT('',(16.886966,50.989941,0.)); #470618=CARTESIAN_POINT('Origin',(16.9365,51.,0.)); #470619=CARTESIAN_POINT('',(16.9365,51.,0.)); #470620=CARTESIAN_POINT('',(16.9365,51.,0.)); #470621=CARTESIAN_POINT('',(16.9365,51.,0.035)); #470622=CARTESIAN_POINT('',(16.9365,51.,0.035)); #470623=CARTESIAN_POINT('',(16.9365,51.,0.)); #470624=CARTESIAN_POINT('Origin',(18.322394,51.,0.)); #470625=CARTESIAN_POINT('',(18.322394,51.,0.)); #470626=CARTESIAN_POINT('',(18.322394,51.,0.)); #470627=CARTESIAN_POINT('',(18.322394,51.,0.035)); #470628=CARTESIAN_POINT('',(18.322394,51.,0.035)); #470629=CARTESIAN_POINT('',(18.322394,51.,0.)); #470630=CARTESIAN_POINT('Origin',(18.370056,50.990716,0.)); #470631=CARTESIAN_POINT('',(18.370056,50.990716,0.)); #470632=CARTESIAN_POINT('',(18.370056,50.990716,0.)); #470633=CARTESIAN_POINT('',(18.370056,50.990716,0.035)); #470634=CARTESIAN_POINT('',(18.370056,50.990716,0.035)); #470635=CARTESIAN_POINT('',(18.370056,50.990716,0.)); #470636=CARTESIAN_POINT('Origin',(18.412197,50.962803,0.)); #470637=CARTESIAN_POINT('',(18.412197,50.962803,0.)); #470638=CARTESIAN_POINT('',(18.412197,50.962803,0.)); #470639=CARTESIAN_POINT('',(18.412197,50.962803,0.035)); #470640=CARTESIAN_POINT('',(18.412197,50.962803,0.035)); #470641=CARTESIAN_POINT('',(18.412197,50.962803,0.)); #470642=CARTESIAN_POINT('Origin',(19.618634,49.756366,0.)); #470643=CARTESIAN_POINT('',(19.618634,49.756366,0.)); #470644=CARTESIAN_POINT('',(19.618634,49.756366,0.)); #470645=CARTESIAN_POINT('',(19.618634,49.756366,0.035)); #470646=CARTESIAN_POINT('',(19.618634,49.756366,0.035)); #470647=CARTESIAN_POINT('',(19.618634,49.756366,0.)); #470648=CARTESIAN_POINT('Origin',(19.625928,49.750891,0.)); #470649=CARTESIAN_POINT('',(19.625928,49.750891,0.)); #470650=CARTESIAN_POINT('',(19.625928,49.750891,0.)); #470651=CARTESIAN_POINT('',(19.625928,49.750891,0.035)); #470652=CARTESIAN_POINT('',(19.625928,49.750891,0.035)); #470653=CARTESIAN_POINT('',(19.625928,49.750891,0.)); #470654=CARTESIAN_POINT('Origin',(19.632984,49.75,0.)); #470655=CARTESIAN_POINT('',(19.632984,49.75,0.)); #470656=CARTESIAN_POINT('',(19.632984,49.75,0.)); #470657=CARTESIAN_POINT('',(19.632984,49.75,0.035)); #470658=CARTESIAN_POINT('',(19.632984,49.75,0.035)); #470659=CARTESIAN_POINT('',(19.632984,49.75,0.)); #470660=CARTESIAN_POINT('Origin',(23.3506,49.75,0.)); #470661=CARTESIAN_POINT('',(23.3506,49.75,0.)); #470662=CARTESIAN_POINT('',(23.3506,49.75,0.)); #470663=CARTESIAN_POINT('',(23.3506,49.75,0.035)); #470664=CARTESIAN_POINT('',(23.3506,49.75,0.035)); #470665=CARTESIAN_POINT('',(23.3506,49.75,0.)); #470666=CARTESIAN_POINT('Origin',(23.396381,49.741463,0.)); #470667=CARTESIAN_POINT('',(23.396381,49.741463,0.)); #470668=CARTESIAN_POINT('',(23.396381,49.741463,0.)); #470669=CARTESIAN_POINT('',(23.396381,49.741463,0.035)); #470670=CARTESIAN_POINT('',(23.396381,49.741463,0.035)); #470671=CARTESIAN_POINT('',(23.396381,49.741463,0.)); #470672=CARTESIAN_POINT('Origin',(23.438959,49.714222,0.)); #470673=CARTESIAN_POINT('',(23.438959,49.714222,0.)); #470674=CARTESIAN_POINT('',(23.438959,49.714222,0.)); #470675=CARTESIAN_POINT('',(23.438959,49.714222,0.035)); #470676=CARTESIAN_POINT('',(23.438959,49.714222,0.035)); #470677=CARTESIAN_POINT('',(23.438959,49.714222,0.)); #470678=CARTESIAN_POINT('Origin',(23.467541,49.672534,0.)); #470679=CARTESIAN_POINT('',(23.467541,49.672534,0.)); #470680=CARTESIAN_POINT('',(23.467541,49.672534,0.)); #470681=CARTESIAN_POINT('',(23.467541,49.672534,0.035)); #470682=CARTESIAN_POINT('',(23.467541,49.672534,0.035)); #470683=CARTESIAN_POINT('',(23.467541,49.672534,0.)); #470684=CARTESIAN_POINT('Origin',(23.4776,49.623,0.)); #470685=CARTESIAN_POINT('',(23.4776,49.623,0.)); #470686=CARTESIAN_POINT('',(23.4776,49.623,0.)); #470687=CARTESIAN_POINT('',(23.4776,49.623,0.035)); #470688=CARTESIAN_POINT('',(23.4776,49.623,0.035)); #470689=CARTESIAN_POINT('',(23.4776,49.623,0.)); #470690=CARTESIAN_POINT('Origin',(23.4776,49.572966,0.)); #470691=CARTESIAN_POINT('',(23.4776,49.572966,0.)); #470692=CARTESIAN_POINT('',(23.4776,49.572966,0.)); #470693=CARTESIAN_POINT('',(23.4776,49.572966,0.035)); #470694=CARTESIAN_POINT('',(23.4776,49.572966,0.035)); #470695=CARTESIAN_POINT('',(23.4776,49.572966,0.)); #470696=CARTESIAN_POINT('Origin',(23.727966,49.3226,0.)); #470697=CARTESIAN_POINT('',(23.727966,49.3226,0.)); #470698=CARTESIAN_POINT('',(23.727966,49.3226,0.)); #470699=CARTESIAN_POINT('',(23.727966,49.3226,0.035)); #470700=CARTESIAN_POINT('',(23.727966,49.3226,0.035)); #470701=CARTESIAN_POINT('',(23.727966,49.3226,0.)); #470702=CARTESIAN_POINT('Origin',(24.082034,49.3226,0.)); #470703=CARTESIAN_POINT('',(24.082034,49.3226,0.)); #470704=CARTESIAN_POINT('',(24.082034,49.3226,0.)); #470705=CARTESIAN_POINT('',(24.082034,49.3226,0.035)); #470706=CARTESIAN_POINT('',(24.082034,49.3226,0.035)); #470707=CARTESIAN_POINT('',(24.082034,49.3226,0.)); #470708=CARTESIAN_POINT('Origin',(24.243638,49.484203,0.)); #470709=CARTESIAN_POINT('',(24.243638,49.484203,0.)); #470710=CARTESIAN_POINT('',(24.243638,49.484203,0.)); #470711=CARTESIAN_POINT('',(24.243638,49.484203,0.035)); #470712=CARTESIAN_POINT('',(24.243638,49.484203,0.035)); #470713=CARTESIAN_POINT('',(24.243638,49.484203,0.)); #470714=CARTESIAN_POINT('Origin',(24.283906,49.511341,0.)); #470715=CARTESIAN_POINT('',(24.283906,49.511341,0.)); #470716=CARTESIAN_POINT('',(24.283906,49.511341,0.)); #470717=CARTESIAN_POINT('',(24.283906,49.511341,0.035)); #470718=CARTESIAN_POINT('',(24.283906,49.511341,0.035)); #470719=CARTESIAN_POINT('',(24.283906,49.511341,0.)); #470720=CARTESIAN_POINT('Origin',(24.333441,49.5214,0.)); #470721=CARTESIAN_POINT('',(24.333441,49.5214,0.)); #470722=CARTESIAN_POINT('',(24.333441,49.5214,0.)); #470723=CARTESIAN_POINT('',(24.333441,49.5214,0.035)); #470724=CARTESIAN_POINT('',(24.333441,49.5214,0.035)); #470725=CARTESIAN_POINT('',(24.333441,49.5214,0.)); #470726=CARTESIAN_POINT('Origin',(24.352706,49.5214,0.)); #470727=CARTESIAN_POINT('',(24.352706,49.5214,0.)); #470728=CARTESIAN_POINT('',(24.352706,49.5214,0.)); #470729=CARTESIAN_POINT('',(24.352706,49.5214,0.035)); #470730=CARTESIAN_POINT('',(24.352706,49.5214,0.035)); #470731=CARTESIAN_POINT('',(24.352706,49.5214,0.)); #470732=CARTESIAN_POINT('Origin',(24.400369,49.512116,0.)); #470733=CARTESIAN_POINT('',(24.400369,49.512116,0.)); #470734=CARTESIAN_POINT('',(24.400369,49.512116,0.)); #470735=CARTESIAN_POINT('',(24.400369,49.512116,0.035)); #470736=CARTESIAN_POINT('',(24.400369,49.512116,0.035)); #470737=CARTESIAN_POINT('',(24.400369,49.512116,0.)); #470738=CARTESIAN_POINT('Origin',(24.442509,49.484203,0.)); #470739=CARTESIAN_POINT('',(24.442509,49.484203,0.)); #470740=CARTESIAN_POINT('',(24.442509,49.484203,0.)); #470741=CARTESIAN_POINT('',(24.442509,49.484203,0.035)); #470742=CARTESIAN_POINT('',(24.442509,49.484203,0.035)); #470743=CARTESIAN_POINT('',(24.442509,49.484203,0.)); #470744=CARTESIAN_POINT('Origin',(24.734203,49.192509,0.)); #470745=CARTESIAN_POINT('',(24.734203,49.192509,0.)); #470746=CARTESIAN_POINT('',(24.734203,49.192509,0.)); #470747=CARTESIAN_POINT('',(24.734203,49.192509,0.035)); #470748=CARTESIAN_POINT('',(24.734203,49.192509,0.035)); #470749=CARTESIAN_POINT('',(24.734203,49.192509,0.)); #470750=CARTESIAN_POINT('Origin',(24.761341,49.152241,0.)); #470751=CARTESIAN_POINT('',(24.761341,49.152241,0.)); #470752=CARTESIAN_POINT('',(24.761341,49.152241,0.)); #470753=CARTESIAN_POINT('',(24.761341,49.152241,0.035)); #470754=CARTESIAN_POINT('',(24.761341,49.152241,0.035)); #470755=CARTESIAN_POINT('',(24.761341,49.152241,0.)); #470756=CARTESIAN_POINT('Origin',(24.7714,49.102706,0.)); #470757=CARTESIAN_POINT('',(24.7714,49.102706,0.)); #470758=CARTESIAN_POINT('',(24.7714,49.102706,0.)); #470759=CARTESIAN_POINT('',(24.7714,49.102706,0.035)); #470760=CARTESIAN_POINT('',(24.7714,49.102706,0.035)); #470761=CARTESIAN_POINT('',(24.7714,49.102706,0.)); #470762=CARTESIAN_POINT('Origin',(24.7714,43.397294,0.)); #470763=CARTESIAN_POINT('',(24.7714,43.397294,0.)); #470764=CARTESIAN_POINT('',(24.7714,43.397294,0.)); #470765=CARTESIAN_POINT('',(24.7714,43.397294,0.035)); #470766=CARTESIAN_POINT('',(24.7714,43.397294,0.035)); #470767=CARTESIAN_POINT('',(24.7714,43.397294,0.)); #470768=CARTESIAN_POINT('Origin',(24.762116,43.349631,0.)); #470769=CARTESIAN_POINT('',(24.762116,43.349631,0.)); #470770=CARTESIAN_POINT('',(24.762116,43.349631,0.)); #470771=CARTESIAN_POINT('',(24.762116,43.349631,0.035)); #470772=CARTESIAN_POINT('',(24.762116,43.349631,0.035)); #470773=CARTESIAN_POINT('',(24.762116,43.349631,0.)); #470774=CARTESIAN_POINT('Origin',(24.734203,43.307491,0.)); #470775=CARTESIAN_POINT('',(24.734203,43.307491,0.)); #470776=CARTESIAN_POINT('',(24.734203,43.307491,0.)); #470777=CARTESIAN_POINT('',(24.734203,43.307491,0.035)); #470778=CARTESIAN_POINT('',(24.734203,43.307491,0.035)); #470779=CARTESIAN_POINT('',(24.734203,43.307491,0.)); #470780=CARTESIAN_POINT('Origin',(24.192509,42.765797,0.)); #470781=CARTESIAN_POINT('',(24.192509,42.765797,0.)); #470782=CARTESIAN_POINT('',(24.192509,42.765797,0.)); #470783=CARTESIAN_POINT('',(24.192509,42.765797,0.035)); #470784=CARTESIAN_POINT('',(24.192509,42.765797,0.035)); #470785=CARTESIAN_POINT('',(24.192509,42.765797,0.)); #470786=CARTESIAN_POINT('Origin',(24.152241,42.738659,0.)); #470787=CARTESIAN_POINT('',(24.152241,42.738659,0.)); #470788=CARTESIAN_POINT('',(24.152241,42.738659,0.)); #470789=CARTESIAN_POINT('',(24.152241,42.738659,0.035)); #470790=CARTESIAN_POINT('',(24.152241,42.738659,0.035)); #470791=CARTESIAN_POINT('',(24.152241,42.738659,0.)); #470792=CARTESIAN_POINT('Origin',(24.102706,42.7286,0.)); #470793=CARTESIAN_POINT('',(24.102706,42.7286,0.)); #470794=CARTESIAN_POINT('',(24.102706,42.7286,0.)); #470795=CARTESIAN_POINT('',(24.102706,42.7286,0.035)); #470796=CARTESIAN_POINT('',(24.102706,42.7286,0.035)); #470797=CARTESIAN_POINT('',(24.102706,42.7286,0.)); #470798=CARTESIAN_POINT('Origin',(20.655313,42.7286,0.)); #470799=CARTESIAN_POINT('',(20.655313,42.7286,0.)); #470800=CARTESIAN_POINT('',(20.655313,42.7286,0.)); #470801=CARTESIAN_POINT('',(20.655313,42.7286,0.035)); #470802=CARTESIAN_POINT('',(20.655313,42.7286,0.035)); #470803=CARTESIAN_POINT('',(20.655313,42.7286,0.)); #470804=CARTESIAN_POINT('Origin',(20.297409,42.370697,0.)); #470805=CARTESIAN_POINT('',(20.297409,42.370697,0.)); #470806=CARTESIAN_POINT('',(20.297409,42.370697,0.)); #470807=CARTESIAN_POINT('',(20.297409,42.370697,0.035)); #470808=CARTESIAN_POINT('',(20.297409,42.370697,0.035)); #470809=CARTESIAN_POINT('',(20.297409,42.370697,0.)); #470810=CARTESIAN_POINT('Origin',(20.257141,42.343559,0.)); #470811=CARTESIAN_POINT('',(20.257141,42.343559,0.)); #470812=CARTESIAN_POINT('',(20.257141,42.343559,0.)); #470813=CARTESIAN_POINT('',(20.257141,42.343559,0.035)); #470814=CARTESIAN_POINT('',(20.257141,42.343559,0.035)); #470815=CARTESIAN_POINT('',(20.257141,42.343559,0.)); #470816=CARTESIAN_POINT('Origin',(20.207606,42.3335,0.)); #470817=CARTESIAN_POINT('',(20.207606,42.3335,0.)); #470818=CARTESIAN_POINT('',(20.207606,42.3335,0.)); #470819=CARTESIAN_POINT('',(20.207606,42.3335,0.035)); #470820=CARTESIAN_POINT('',(20.207606,42.3335,0.035)); #470821=CARTESIAN_POINT('',(20.207606,42.3335,0.)); #470822=CARTESIAN_POINT('Origin',(19.492375,42.3335,0.)); #470823=CARTESIAN_POINT('',(19.492375,42.3335,0.)); #470824=CARTESIAN_POINT('',(19.492375,42.3335,0.)); #470825=CARTESIAN_POINT('',(19.492375,42.3335,0.035)); #470826=CARTESIAN_POINT('',(19.492375,42.3335,0.035)); #470827=CARTESIAN_POINT('',(19.492375,42.3335,0.)); #470828=CARTESIAN_POINT('Origin',(19.466803,42.307928,0.)); #470829=CARTESIAN_POINT('',(19.466803,42.307928,0.)); #470830=CARTESIAN_POINT('',(19.466803,42.307928,0.)); #470831=CARTESIAN_POINT('',(19.466803,42.307928,0.035)); #470832=CARTESIAN_POINT('',(19.466803,42.307928,0.035)); #470833=CARTESIAN_POINT('',(19.466803,42.307928,0.)); #470834=CARTESIAN_POINT('Origin',(19.430238,42.282428,0.)); #470835=CARTESIAN_POINT('',(19.430238,42.282428,0.)); #470836=CARTESIAN_POINT('',(19.430238,42.282428,0.)); #470837=CARTESIAN_POINT('',(19.430238,42.282428,0.035)); #470838=CARTESIAN_POINT('',(19.430238,42.282428,0.035)); #470839=CARTESIAN_POINT('',(19.430238,42.282428,0.)); #470840=CARTESIAN_POINT('Origin',(19.381047,42.270797,0.)); #470841=CARTESIAN_POINT('',(19.381047,42.270797,0.)); #470842=CARTESIAN_POINT('',(19.381047,42.270797,0.)); #470843=CARTESIAN_POINT('',(19.381047,42.270797,0.035)); #470844=CARTESIAN_POINT('',(19.381047,42.270797,0.035)); #470845=CARTESIAN_POINT('',(19.381047,42.270797,0.)); #470846=CARTESIAN_POINT('Origin',(19.377,42.271484,0.)); #470847=CARTESIAN_POINT('',(19.377,42.271484,0.)); #470848=CARTESIAN_POINT('',(19.377,42.271484,0.)); #470849=CARTESIAN_POINT('',(19.377,42.271484,0.035)); #470850=CARTESIAN_POINT('',(19.377,42.271484,0.035)); #470851=CARTESIAN_POINT('',(19.377,42.271484,0.)); #470852=CARTESIAN_POINT('Origin',(19.377,39.552606,0.)); #470853=CARTESIAN_POINT('',(19.377,39.552606,0.)); #470854=CARTESIAN_POINT('',(19.377,39.552606,0.)); #470855=CARTESIAN_POINT('',(19.377,39.552606,0.035)); #470856=CARTESIAN_POINT('',(19.377,39.552606,0.035)); #470857=CARTESIAN_POINT('',(19.377,39.552606,0.)); #470858=CARTESIAN_POINT('Origin',(19.677606,39.252,0.)); #470859=CARTESIAN_POINT('',(19.677606,39.252,0.)); #470860=CARTESIAN_POINT('',(19.677606,39.252,0.)); #470861=CARTESIAN_POINT('',(19.677606,39.252,0.035)); #470862=CARTESIAN_POINT('',(19.677606,39.252,0.035)); #470863=CARTESIAN_POINT('',(19.677606,39.252,0.)); #470864=CARTESIAN_POINT('Origin',(24.033988,39.252,0.)); #470865=CARTESIAN_POINT('',(24.033988,39.252,0.)); #470866=CARTESIAN_POINT('',(24.033988,39.252,0.)); #470867=CARTESIAN_POINT('',(24.033988,39.252,0.035)); #470868=CARTESIAN_POINT('',(24.033988,39.252,0.035)); #470869=CARTESIAN_POINT('',(24.033988,39.252,0.)); #470870=CARTESIAN_POINT('Origin',(24.0339887497004,39.1249996912748,0.)); #470871=CARTESIAN_POINT('',(24.123791,39.214803,0.)); #470872=CARTESIAN_POINT('Origin',(24.0339887497004,39.1249996912748,0.)); #470873=CARTESIAN_POINT('',(24.123791,39.214803,0.035)); #470874=CARTESIAN_POINT('Origin',(24.0339887497004,39.1249996912748,0.035)); #470875=CARTESIAN_POINT('',(24.123791,39.214803,0.)); #470876=CARTESIAN_POINT('Origin',(26.839803,36.498791,0.)); #470877=CARTESIAN_POINT('',(26.839803,36.498791,0.)); #470878=CARTESIAN_POINT('',(26.839803,36.498791,0.)); #470879=CARTESIAN_POINT('',(26.839803,36.498791,0.035)); #470880=CARTESIAN_POINT('',(26.839803,36.498791,0.035)); #470881=CARTESIAN_POINT('',(26.839803,36.498791,0.)); #470882=CARTESIAN_POINT('Origin',(26.7499996912748,36.4089887497004,0.)); #470883=CARTESIAN_POINT('',(26.877,36.408988,0.)); #470884=CARTESIAN_POINT('Origin',(26.7499996912748,36.4089887497004,0.)); #470885=CARTESIAN_POINT('',(26.877,36.408988,0.035)); #470886=CARTESIAN_POINT('Origin',(26.7499996912748,36.4089887497004,0.035)); #470887=CARTESIAN_POINT('',(26.877,36.408988,0.)); #470888=CARTESIAN_POINT('Origin',(26.877,36.243928,0.)); #470889=CARTESIAN_POINT('',(26.877,36.243928,0.)); #470890=CARTESIAN_POINT('',(26.877,36.243928,0.)); #470891=CARTESIAN_POINT('',(26.877,36.243928,0.035)); #470892=CARTESIAN_POINT('',(26.877,36.243928,0.035)); #470893=CARTESIAN_POINT('',(26.877,36.243928,0.)); #470894=CARTESIAN_POINT('Origin',(26.7499453752955,35.999554310534,0.)); #470895=CARTESIAN_POINT('Origin',(26.7499453752955,35.999554310534,0.)); #470896=CARTESIAN_POINT('Origin',(26.7499453752955,35.999554310534,0.035)); #470897=CARTESIAN_POINT('Origin',(21.1479476777499,43.5287186366358,0.035)); #470898=CARTESIAN_POINT('Origin',(21.1479476777499,43.5287186366358,0.)); #470899=CARTESIAN_POINT('Origin',(26.75,31.,-200.)); #470900=CARTESIAN_POINT('',(26.61,31.,0.)); #470901=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #470902=CARTESIAN_POINT('',(26.61,31.,0.0349999999999895)); #470903=CARTESIAN_POINT('',(26.61,31.,-200.)); #470904=CARTESIAN_POINT('Origin',(26.75,31.,0.0349999999999895)); #470905=CARTESIAN_POINT('Origin',(31.3875,20.0875,0.)); #470906=CARTESIAN_POINT('',(31.3875,20.0875,0.)); #470907=CARTESIAN_POINT('',(31.3875,20.7125,0.)); #470908=CARTESIAN_POINT('',(31.3875,20.0875,0.)); #470909=CARTESIAN_POINT('',(31.3875,20.7125,0.035)); #470910=CARTESIAN_POINT('',(31.3875,20.7125,0.)); #470911=CARTESIAN_POINT('',(31.3875,20.0875,0.035)); #470912=CARTESIAN_POINT('',(31.3875,20.0875,0.035)); #470913=CARTESIAN_POINT('',(31.3875,20.0875,0.)); #470914=CARTESIAN_POINT('Origin',(31.1125,20.0875,0.)); #470915=CARTESIAN_POINT('',(31.1125,20.0875,0.)); #470916=CARTESIAN_POINT('',(31.1125,20.0875,0.)); #470917=CARTESIAN_POINT('',(31.1125,20.0875,0.035)); #470918=CARTESIAN_POINT('',(31.1125,20.0875,0.035)); #470919=CARTESIAN_POINT('',(31.1125,20.0875,0.)); #470920=CARTESIAN_POINT('Origin',(31.1125,20.7125,0.)); #470921=CARTESIAN_POINT('',(31.1125,20.7125,0.)); #470922=CARTESIAN_POINT('',(31.1125,20.7125,0.)); #470923=CARTESIAN_POINT('',(31.1125,20.7125,0.035)); #470924=CARTESIAN_POINT('',(31.1125,20.7125,0.035)); #470925=CARTESIAN_POINT('',(31.1125,20.7125,0.)); #470926=CARTESIAN_POINT('Origin',(31.123,20.7125,0.)); #470927=CARTESIAN_POINT('',(31.123,20.7125,0.)); #470928=CARTESIAN_POINT('',(31.123,20.7125,0.)); #470929=CARTESIAN_POINT('',(31.123,20.7125,0.035)); #470930=CARTESIAN_POINT('',(31.123,20.7125,0.035)); #470931=CARTESIAN_POINT('',(31.123,20.7125,0.)); #470932=CARTESIAN_POINT('Origin',(31.123,21.826044,0.)); #470933=CARTESIAN_POINT('',(31.123,21.826044,0.)); #470934=CARTESIAN_POINT('',(31.123,21.826044,0.)); #470935=CARTESIAN_POINT('',(31.123,21.826044,0.035)); #470936=CARTESIAN_POINT('',(31.123,21.826044,0.035)); #470937=CARTESIAN_POINT('',(31.123,21.826044,0.)); #470938=CARTESIAN_POINT('Origin',(29.410197,23.538847,0.)); #470939=CARTESIAN_POINT('',(29.410197,23.538847,0.)); #470940=CARTESIAN_POINT('',(29.410197,23.538847,0.)); #470941=CARTESIAN_POINT('',(29.410197,23.538847,0.035)); #470942=CARTESIAN_POINT('',(29.410197,23.538847,0.035)); #470943=CARTESIAN_POINT('',(29.410197,23.538847,0.)); #470944=CARTESIAN_POINT('Origin',(29.5000003087252,23.6286492502996,0.)); #470945=CARTESIAN_POINT('',(29.373,23.62865,0.)); #470946=CARTESIAN_POINT('Origin',(29.5000003087252,23.6286492502996,0.)); #470947=CARTESIAN_POINT('',(29.373,23.62865,0.035)); #470948=CARTESIAN_POINT('Origin',(29.5000003087252,23.6286492502996,0.035)); #470949=CARTESIAN_POINT('',(29.373,23.62865,0.)); #470950=CARTESIAN_POINT('Origin',(29.373,26.258069,0.)); #470951=CARTESIAN_POINT('',(29.373,26.258069,0.)); #470952=CARTESIAN_POINT('',(29.373,26.258069,0.)); #470953=CARTESIAN_POINT('',(29.373,26.258069,0.035)); #470954=CARTESIAN_POINT('',(29.373,26.258069,0.035)); #470955=CARTESIAN_POINT('',(29.373,26.258069,0.)); #470956=CARTESIAN_POINT('Origin',(26.660197,28.970872,0.)); #470957=CARTESIAN_POINT('',(26.660197,28.970872,0.)); #470958=CARTESIAN_POINT('',(26.660197,28.970872,0.)); #470959=CARTESIAN_POINT('',(26.660197,28.970872,0.035)); #470960=CARTESIAN_POINT('',(26.660197,28.970872,0.035)); #470961=CARTESIAN_POINT('',(26.660197,28.970872,0.)); #470962=CARTESIAN_POINT('Origin',(26.7500003087252,29.0606742502996,0.)); #470963=CARTESIAN_POINT('',(26.623,29.060675,0.)); #470964=CARTESIAN_POINT('Origin',(26.7500003087252,29.0606742502996,0.)); #470965=CARTESIAN_POINT('',(26.623,29.060675,0.035)); #470966=CARTESIAN_POINT('Origin',(26.7500003087252,29.0606742502996,0.035)); #470967=CARTESIAN_POINT('',(26.623,29.060675,0.)); #470968=CARTESIAN_POINT('Origin',(26.623,30.756072,0.)); #470969=CARTESIAN_POINT('',(26.623,30.756072,0.)); #470970=CARTESIAN_POINT('',(26.623,30.756072,0.)); #470971=CARTESIAN_POINT('',(26.623,30.756072,0.035)); #470972=CARTESIAN_POINT('',(26.623,30.756072,0.035)); #470973=CARTESIAN_POINT('',(26.623,30.756072,0.)); #470974=CARTESIAN_POINT('Origin',(26.7500204601791,31.0004637716938,0.)); #470975=CARTESIAN_POINT('',(26.644438,31.254853,0.)); #470976=CARTESIAN_POINT('Origin',(26.7500204601791,31.0004637716938,0.)); #470977=CARTESIAN_POINT('',(26.644438,31.254853,0.035)); #470978=CARTESIAN_POINT('Origin',(26.7500204601791,31.0004637716938,0.035)); #470979=CARTESIAN_POINT('',(26.644438,31.254853,0.)); #470980=CARTESIAN_POINT('Origin',(26.7498222285952,31.000381763261,0.)); #470981=CARTESIAN_POINT('',(26.877,30.756072,0.)); #470982=CARTESIAN_POINT('Origin',(26.7498222285952,31.000381763261,0.)); #470983=CARTESIAN_POINT('',(26.877,30.756072,0.035)); #470984=CARTESIAN_POINT('Origin',(26.7498222285952,31.000381763261,0.035)); #470985=CARTESIAN_POINT('',(26.877,30.756072,0.)); #470986=CARTESIAN_POINT('Origin',(26.877,29.113281,0.)); #470987=CARTESIAN_POINT('',(26.877,29.113281,0.)); #470988=CARTESIAN_POINT('',(26.877,29.113281,0.)); #470989=CARTESIAN_POINT('',(26.877,29.113281,0.035)); #470990=CARTESIAN_POINT('',(26.877,29.113281,0.035)); #470991=CARTESIAN_POINT('',(26.877,29.113281,0.)); #470992=CARTESIAN_POINT('Origin',(29.589803,26.400478,0.)); #470993=CARTESIAN_POINT('',(29.589803,26.400478,0.)); #470994=CARTESIAN_POINT('',(29.589803,26.400478,0.)); #470995=CARTESIAN_POINT('',(29.589803,26.400478,0.035)); #470996=CARTESIAN_POINT('',(29.589803,26.400478,0.035)); #470997=CARTESIAN_POINT('',(29.589803,26.400478,0.)); #470998=CARTESIAN_POINT('Origin',(29.4999996912748,26.3106757497004,0.)); #470999=CARTESIAN_POINT('',(29.627,26.310675,0.)); #471000=CARTESIAN_POINT('Origin',(29.4999996912748,26.3106757497004,0.)); #471001=CARTESIAN_POINT('',(29.627,26.310675,0.035)); #471002=CARTESIAN_POINT('Origin',(29.4999996912748,26.3106757497004,0.035)); #471003=CARTESIAN_POINT('',(29.627,26.310675,0.)); #471004=CARTESIAN_POINT('Origin',(29.627,23.681256,0.)); #471005=CARTESIAN_POINT('',(29.627,23.681256,0.)); #471006=CARTESIAN_POINT('',(29.627,23.681256,0.)); #471007=CARTESIAN_POINT('',(29.627,23.681256,0.035)); #471008=CARTESIAN_POINT('',(29.627,23.681256,0.035)); #471009=CARTESIAN_POINT('',(29.627,23.681256,0.)); #471010=CARTESIAN_POINT('Origin',(31.339803,21.968453,0.)); #471011=CARTESIAN_POINT('',(31.339803,21.968453,0.)); #471012=CARTESIAN_POINT('',(31.339803,21.968453,0.)); #471013=CARTESIAN_POINT('',(31.339803,21.968453,0.035)); #471014=CARTESIAN_POINT('',(31.339803,21.968453,0.035)); #471015=CARTESIAN_POINT('',(31.339803,21.968453,0.)); #471016=CARTESIAN_POINT('Origin',(31.2499996912748,21.8786507497004,0.)); #471017=CARTESIAN_POINT('',(31.377,21.87865,0.)); #471018=CARTESIAN_POINT('Origin',(31.2499996912748,21.8786507497004,0.)); #471019=CARTESIAN_POINT('',(31.377,21.87865,0.035)); #471020=CARTESIAN_POINT('Origin',(31.2499996912748,21.8786507497004,0.035)); #471021=CARTESIAN_POINT('',(31.377,21.87865,0.)); #471022=CARTESIAN_POINT('Origin',(31.377,20.7125,0.)); #471023=CARTESIAN_POINT('',(31.377,20.7125,0.)); #471024=CARTESIAN_POINT('',(31.377,20.7125,0.)); #471025=CARTESIAN_POINT('',(31.377,20.7125,0.035)); #471026=CARTESIAN_POINT('',(31.377,20.7125,0.035)); #471027=CARTESIAN_POINT('',(31.377,20.7125,0.)); #471028=CARTESIAN_POINT('Origin',(31.3875,20.7125,0.)); #471029=CARTESIAN_POINT('',(31.3875,20.7125,0.)); #471030=CARTESIAN_POINT('',(31.3875,20.7125,0.035)); #471031=CARTESIAN_POINT('Origin',(29.017469224125,25.7191482094355,0.035)); #471032=CARTESIAN_POINT('Origin',(29.017469224125,25.7191482094355,0.)); #471033=CARTESIAN_POINT('Origin',(53.5,20.25,-200.)); #471034=CARTESIAN_POINT('',(53.36,20.25,0.)); #471035=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #471036=CARTESIAN_POINT('',(53.36,20.25,0.0349999999999895)); #471037=CARTESIAN_POINT('',(53.36,20.25,-200.)); #471038=CARTESIAN_POINT('Origin',(53.5,20.25,0.0349999999999895)); #471039=CARTESIAN_POINT('Origin',(52.75,20.25,-200.)); #471040=CARTESIAN_POINT('',(52.61,20.25,0.)); #471041=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #471042=CARTESIAN_POINT('',(52.61,20.25,0.0349999999999895)); #471043=CARTESIAN_POINT('',(52.61,20.25,-200.)); #471044=CARTESIAN_POINT('Origin',(52.75,20.25,0.0349999999999895)); #471045=CARTESIAN_POINT('Origin',(52.,21.75,-200.)); #471046=CARTESIAN_POINT('',(51.86,21.75,0.)); #471047=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #471048=CARTESIAN_POINT('',(51.86,21.75,0.0349999999999895)); #471049=CARTESIAN_POINT('',(51.86,21.75,-200.)); #471050=CARTESIAN_POINT('Origin',(52.,21.75,0.0349999999999895)); #471051=CARTESIAN_POINT('Origin',(52.,20.25,-200.)); #471052=CARTESIAN_POINT('',(51.86,20.25,0.)); #471053=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #471054=CARTESIAN_POINT('',(51.86,20.25,0.0349999999999895)); #471055=CARTESIAN_POINT('',(51.86,20.25,-200.)); #471056=CARTESIAN_POINT('Origin',(52.,20.25,0.0349999999999895)); #471057=CARTESIAN_POINT('Origin',(52.75,21.,-200.)); #471058=CARTESIAN_POINT('',(52.61,21.,0.)); #471059=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #471060=CARTESIAN_POINT('',(52.61,21.,0.0349999999999895)); #471061=CARTESIAN_POINT('',(52.61,21.,-200.)); #471062=CARTESIAN_POINT('Origin',(52.75,21.,0.0349999999999895)); #471063=CARTESIAN_POINT('Origin',(39.25,19.,-200.)); #471064=CARTESIAN_POINT('',(39.11,19.,0.)); #471065=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #471066=CARTESIAN_POINT('',(39.11,19.,0.0349999999999895)); #471067=CARTESIAN_POINT('',(39.11,19.,-200.)); #471068=CARTESIAN_POINT('Origin',(39.25,19.,0.0349999999999895)); #471069=CARTESIAN_POINT('Origin',(53.5,21.,-200.)); #471070=CARTESIAN_POINT('',(53.36,21.,0.)); #471071=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #471072=CARTESIAN_POINT('',(53.36,21.,0.0349999999999895)); #471073=CARTESIAN_POINT('',(53.36,21.,-200.)); #471074=CARTESIAN_POINT('Origin',(53.5,21.,0.0349999999999895)); #471075=CARTESIAN_POINT('Origin',(52.,21.,-200.)); #471076=CARTESIAN_POINT('',(51.86,21.,0.)); #471077=CARTESIAN_POINT('Origin',(52.,21.,0.)); #471078=CARTESIAN_POINT('',(51.86,21.,0.0349999999999895)); #471079=CARTESIAN_POINT('',(51.86,21.,-200.)); #471080=CARTESIAN_POINT('Origin',(52.,21.,0.0349999999999895)); #471081=CARTESIAN_POINT('Origin',(53.5,21.75,-200.)); #471082=CARTESIAN_POINT('',(53.36,21.75,0.)); #471083=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #471084=CARTESIAN_POINT('',(53.36,21.75,0.0349999999999895)); #471085=CARTESIAN_POINT('',(53.36,21.75,-200.)); #471086=CARTESIAN_POINT('Origin',(53.5,21.75,0.0349999999999895)); #471087=CARTESIAN_POINT('Origin',(52.75,21.75,-200.)); #471088=CARTESIAN_POINT('',(52.61,21.75,0.)); #471089=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #471090=CARTESIAN_POINT('',(52.61,21.75,0.0349999999999895)); #471091=CARTESIAN_POINT('',(52.61,21.75,-200.)); #471092=CARTESIAN_POINT('Origin',(52.75,21.75,0.0349999999999895)); #471093=CARTESIAN_POINT('Origin',(52.25,34.,-200.)); #471094=CARTESIAN_POINT('',(51.785,34.,0.)); #471095=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #471096=CARTESIAN_POINT('',(51.785,34.,0.0349999999999895)); #471097=CARTESIAN_POINT('',(51.785,34.,-200.)); #471098=CARTESIAN_POINT('Origin',(52.25,34.,0.0349999999999895)); #471099=CARTESIAN_POINT('Origin',(49.947394,15.5,0.)); #471100=CARTESIAN_POINT('',(49.947394,15.5,0.)); #471101=CARTESIAN_POINT('',(49.996928,15.510059,0.)); #471102=CARTESIAN_POINT('',(49.947394,15.5,0.)); #471103=CARTESIAN_POINT('',(49.996928,15.510059,0.035)); #471104=CARTESIAN_POINT('',(49.996928,15.510059,0.)); #471105=CARTESIAN_POINT('',(49.947394,15.5,0.035)); #471106=CARTESIAN_POINT('',(49.947394,15.5,0.035)); #471107=CARTESIAN_POINT('',(49.947394,15.5,0.)); #471108=CARTESIAN_POINT('Origin',(44.3536,15.5,0.)); #471109=CARTESIAN_POINT('',(44.3536,15.5,0.)); #471110=CARTESIAN_POINT('',(44.3536,15.5,0.)); #471111=CARTESIAN_POINT('',(44.3536,15.5,0.035)); #471112=CARTESIAN_POINT('',(44.3536,15.5,0.035)); #471113=CARTESIAN_POINT('',(44.3536,15.5,0.)); #471114=CARTESIAN_POINT('Origin',(44.307819,15.508538,0.)); #471115=CARTESIAN_POINT('',(44.307819,15.508538,0.)); #471116=CARTESIAN_POINT('',(44.307819,15.508538,0.)); #471117=CARTESIAN_POINT('',(44.307819,15.508538,0.035)); #471118=CARTESIAN_POINT('',(44.307819,15.508538,0.035)); #471119=CARTESIAN_POINT('',(44.307819,15.508538,0.)); #471120=CARTESIAN_POINT('Origin',(44.265241,15.535778,0.)); #471121=CARTESIAN_POINT('',(44.265241,15.535778,0.)); #471122=CARTESIAN_POINT('',(44.265241,15.535778,0.)); #471123=CARTESIAN_POINT('',(44.265241,15.535778,0.035)); #471124=CARTESIAN_POINT('',(44.265241,15.535778,0.035)); #471125=CARTESIAN_POINT('',(44.265241,15.535778,0.)); #471126=CARTESIAN_POINT('Origin',(44.236659,15.577466,0.)); #471127=CARTESIAN_POINT('',(44.236659,15.577466,0.)); #471128=CARTESIAN_POINT('',(44.236659,15.577466,0.)); #471129=CARTESIAN_POINT('',(44.236659,15.577466,0.035)); #471130=CARTESIAN_POINT('',(44.236659,15.577466,0.035)); #471131=CARTESIAN_POINT('',(44.236659,15.577466,0.)); #471132=CARTESIAN_POINT('Origin',(44.2266,15.627,0.)); #471133=CARTESIAN_POINT('',(44.2266,15.627,0.)); #471134=CARTESIAN_POINT('',(44.2266,15.627,0.)); #471135=CARTESIAN_POINT('',(44.2266,15.627,0.035)); #471136=CARTESIAN_POINT('',(44.2266,15.627,0.035)); #471137=CARTESIAN_POINT('',(44.2266,15.627,0.)); #471138=CARTESIAN_POINT('Origin',(44.2266,18.244066,0.)); #471139=CARTESIAN_POINT('',(44.2266,18.244066,0.)); #471140=CARTESIAN_POINT('',(44.2266,18.244066,0.)); #471141=CARTESIAN_POINT('',(44.2266,18.244066,0.035)); #471142=CARTESIAN_POINT('',(44.2266,18.244066,0.035)); #471143=CARTESIAN_POINT('',(44.2266,18.244066,0.)); #471144=CARTESIAN_POINT('Origin',(44.224278,18.268797,0.)); #471145=CARTESIAN_POINT('',(44.224278,18.268797,0.)); #471146=CARTESIAN_POINT('',(44.224278,18.268797,0.)); #471147=CARTESIAN_POINT('',(44.224278,18.268797,0.035)); #471148=CARTESIAN_POINT('',(44.224278,18.268797,0.035)); #471149=CARTESIAN_POINT('',(44.224278,18.268797,0.)); #471150=CARTESIAN_POINT('Origin',(44.219047,18.286941,0.)); #471151=CARTESIAN_POINT('',(44.219047,18.286941,0.)); #471152=CARTESIAN_POINT('',(44.219047,18.286941,0.)); #471153=CARTESIAN_POINT('',(44.219047,18.286941,0.035)); #471154=CARTESIAN_POINT('',(44.219047,18.286941,0.035)); #471155=CARTESIAN_POINT('',(44.219047,18.286941,0.)); #471156=CARTESIAN_POINT('Origin',(44.210538,18.303781,0.)); #471157=CARTESIAN_POINT('',(44.210538,18.303781,0.)); #471158=CARTESIAN_POINT('',(44.210538,18.303781,0.)); #471159=CARTESIAN_POINT('',(44.210538,18.303781,0.035)); #471160=CARTESIAN_POINT('',(44.210538,18.303781,0.035)); #471161=CARTESIAN_POINT('',(44.210538,18.303781,0.)); #471162=CARTESIAN_POINT('Origin',(44.199025,18.318772,0.)); #471163=CARTESIAN_POINT('',(44.199025,18.318772,0.)); #471164=CARTESIAN_POINT('',(44.199025,18.318772,0.)); #471165=CARTESIAN_POINT('',(44.199025,18.318772,0.035)); #471166=CARTESIAN_POINT('',(44.199025,18.318772,0.035)); #471167=CARTESIAN_POINT('',(44.199025,18.318772,0.)); #471168=CARTESIAN_POINT('Origin',(44.184944,18.331341,0.)); #471169=CARTESIAN_POINT('',(44.184944,18.331341,0.)); #471170=CARTESIAN_POINT('',(44.184944,18.331341,0.)); #471171=CARTESIAN_POINT('',(44.184944,18.331341,0.035)); #471172=CARTESIAN_POINT('',(44.184944,18.331341,0.035)); #471173=CARTESIAN_POINT('',(44.184944,18.331341,0.)); #471174=CARTESIAN_POINT('Origin',(44.168762,18.341072,0.)); #471175=CARTESIAN_POINT('',(44.168762,18.341072,0.)); #471176=CARTESIAN_POINT('',(44.168762,18.341072,0.)); #471177=CARTESIAN_POINT('',(44.168762,18.341072,0.035)); #471178=CARTESIAN_POINT('',(44.168762,18.341072,0.035)); #471179=CARTESIAN_POINT('',(44.168762,18.341072,0.)); #471180=CARTESIAN_POINT('Origin',(44.153275,18.346803,0.)); #471181=CARTESIAN_POINT('',(44.153275,18.346803,0.)); #471182=CARTESIAN_POINT('',(44.153275,18.346803,0.)); #471183=CARTESIAN_POINT('',(44.153275,18.346803,0.035)); #471184=CARTESIAN_POINT('',(44.153275,18.346803,0.035)); #471185=CARTESIAN_POINT('',(44.153275,18.346803,0.)); #471186=CARTESIAN_POINT('Origin',(44.116706,18.3516,0.)); #471187=CARTESIAN_POINT('',(44.116706,18.3516,0.)); #471188=CARTESIAN_POINT('',(44.116706,18.3516,0.)); #471189=CARTESIAN_POINT('',(44.116706,18.3516,0.035)); #471190=CARTESIAN_POINT('',(44.116706,18.3516,0.035)); #471191=CARTESIAN_POINT('',(44.116706,18.3516,0.)); #471192=CARTESIAN_POINT('Origin',(39.8044,18.3516,0.)); #471193=CARTESIAN_POINT('',(39.8044,18.3516,0.)); #471194=CARTESIAN_POINT('',(39.8044,18.3516,0.)); #471195=CARTESIAN_POINT('',(39.8044,18.3516,0.035)); #471196=CARTESIAN_POINT('',(39.8044,18.3516,0.035)); #471197=CARTESIAN_POINT('',(39.8044,18.3516,0.)); #471198=CARTESIAN_POINT('Origin',(39.758619,18.360138,0.)); #471199=CARTESIAN_POINT('',(39.758619,18.360138,0.)); #471200=CARTESIAN_POINT('',(39.758619,18.360138,0.)); #471201=CARTESIAN_POINT('',(39.758619,18.360138,0.035)); #471202=CARTESIAN_POINT('',(39.758619,18.360138,0.035)); #471203=CARTESIAN_POINT('',(39.758619,18.360138,0.)); #471204=CARTESIAN_POINT('Origin',(39.716041,18.387378,0.)); #471205=CARTESIAN_POINT('',(39.716041,18.387378,0.)); #471206=CARTESIAN_POINT('',(39.716041,18.387378,0.)); #471207=CARTESIAN_POINT('',(39.716041,18.387378,0.035)); #471208=CARTESIAN_POINT('',(39.716041,18.387378,0.035)); #471209=CARTESIAN_POINT('',(39.716041,18.387378,0.)); #471210=CARTESIAN_POINT('Origin',(39.713825,18.390609,0.)); #471211=CARTESIAN_POINT('',(39.713825,18.390609,0.)); #471212=CARTESIAN_POINT('',(39.713825,18.390609,0.)); #471213=CARTESIAN_POINT('',(39.713825,18.390609,0.035)); #471214=CARTESIAN_POINT('',(39.713825,18.390609,0.035)); #471215=CARTESIAN_POINT('',(39.713825,18.390609,0.)); #471216=CARTESIAN_POINT('Origin',(39.427034,18.6774,0.)); #471217=CARTESIAN_POINT('',(39.427034,18.6774,0.)); #471218=CARTESIAN_POINT('',(39.427034,18.6774,0.)); #471219=CARTESIAN_POINT('',(39.427034,18.6774,0.035)); #471220=CARTESIAN_POINT('',(39.427034,18.6774,0.035)); #471221=CARTESIAN_POINT('',(39.427034,18.6774,0.)); #471222=CARTESIAN_POINT('Origin',(39.377,18.6774,0.)); #471223=CARTESIAN_POINT('',(39.377,18.6774,0.)); #471224=CARTESIAN_POINT('',(39.377,18.6774,0.)); #471225=CARTESIAN_POINT('',(39.377,18.6774,0.035)); #471226=CARTESIAN_POINT('',(39.377,18.6774,0.035)); #471227=CARTESIAN_POINT('',(39.377,18.6774,0.)); #471228=CARTESIAN_POINT('Origin',(39.331219,18.685938,0.)); #471229=CARTESIAN_POINT('',(39.331219,18.685938,0.)); #471230=CARTESIAN_POINT('',(39.331219,18.685938,0.)); #471231=CARTESIAN_POINT('',(39.331219,18.685938,0.035)); #471232=CARTESIAN_POINT('',(39.331219,18.685938,0.035)); #471233=CARTESIAN_POINT('',(39.331219,18.685938,0.)); #471234=CARTESIAN_POINT('Origin',(39.288641,18.713178,0.)); #471235=CARTESIAN_POINT('',(39.288641,18.713178,0.)); #471236=CARTESIAN_POINT('',(39.288641,18.713178,0.)); #471237=CARTESIAN_POINT('',(39.288641,18.713178,0.035)); #471238=CARTESIAN_POINT('',(39.288641,18.713178,0.035)); #471239=CARTESIAN_POINT('',(39.288641,18.713178,0.)); #471240=CARTESIAN_POINT('Origin',(39.279666,18.726272,0.)); #471241=CARTESIAN_POINT('',(39.279666,18.726272,0.)); #471242=CARTESIAN_POINT('',(39.279666,18.726272,0.)); #471243=CARTESIAN_POINT('',(39.279666,18.726272,0.035)); #471244=CARTESIAN_POINT('',(39.279666,18.726272,0.035)); #471245=CARTESIAN_POINT('',(39.279666,18.726272,0.)); #471246=CARTESIAN_POINT('Origin',(39.2502517833023,18.9998655759146,0.)); #471247=CARTESIAN_POINT('',(39.006072,18.873,0.)); #471248=CARTESIAN_POINT('Origin',(39.2502517833023,18.9998655759146,0.)); #471249=CARTESIAN_POINT('',(39.006072,18.873,0.035)); #471250=CARTESIAN_POINT('Origin',(39.2502517833023,18.9998655759146,0.035)); #471251=CARTESIAN_POINT('',(39.006072,18.873,0.)); #471252=CARTESIAN_POINT('Origin',(38.552606,18.873,0.)); #471253=CARTESIAN_POINT('',(38.552606,18.873,0.)); #471254=CARTESIAN_POINT('',(38.552606,18.873,0.)); #471255=CARTESIAN_POINT('',(38.552606,18.873,0.035)); #471256=CARTESIAN_POINT('',(38.552606,18.873,0.035)); #471257=CARTESIAN_POINT('',(38.552606,18.873,0.)); #471258=CARTESIAN_POINT('Origin',(38.339803,18.660197,0.)); #471259=CARTESIAN_POINT('',(38.339803,18.660197,0.)); #471260=CARTESIAN_POINT('',(38.339803,18.660197,0.)); #471261=CARTESIAN_POINT('',(38.339803,18.660197,0.035)); #471262=CARTESIAN_POINT('',(38.339803,18.660197,0.035)); #471263=CARTESIAN_POINT('',(38.339803,18.660197,0.)); #471264=CARTESIAN_POINT('Origin',(38.2500007497004,18.7500003087252,0.)); #471265=CARTESIAN_POINT('',(38.25,18.623,0.)); #471266=CARTESIAN_POINT('Origin',(38.2500007497004,18.7500003087252,0.)); #471267=CARTESIAN_POINT('',(38.25,18.623,0.035)); #471268=CARTESIAN_POINT('Origin',(38.2500007497004,18.7500003087252,0.035)); #471269=CARTESIAN_POINT('',(38.25,18.623,0.)); #471270=CARTESIAN_POINT('Origin',(37.7125,18.623,0.)); #471271=CARTESIAN_POINT('',(37.7125,18.623,0.)); #471272=CARTESIAN_POINT('',(37.7125,18.623,0.)); #471273=CARTESIAN_POINT('',(37.7125,18.623,0.035)); #471274=CARTESIAN_POINT('',(37.7125,18.623,0.035)); #471275=CARTESIAN_POINT('',(37.7125,18.623,0.)); #471276=CARTESIAN_POINT('Origin',(37.7125,18.6125,0.)); #471277=CARTESIAN_POINT('',(37.7125,18.6125,0.)); #471278=CARTESIAN_POINT('',(37.7125,18.6125,0.)); #471279=CARTESIAN_POINT('',(37.7125,18.6125,0.035)); #471280=CARTESIAN_POINT('',(37.7125,18.6125,0.035)); #471281=CARTESIAN_POINT('',(37.7125,18.6125,0.)); #471282=CARTESIAN_POINT('Origin',(37.0875,18.6125,0.)); #471283=CARTESIAN_POINT('',(37.0875,18.6125,0.)); #471284=CARTESIAN_POINT('',(37.0875,18.6125,0.)); #471285=CARTESIAN_POINT('',(37.0875,18.6125,0.035)); #471286=CARTESIAN_POINT('',(37.0875,18.6125,0.035)); #471287=CARTESIAN_POINT('',(37.0875,18.6125,0.)); #471288=CARTESIAN_POINT('Origin',(37.0875,18.8875,0.)); #471289=CARTESIAN_POINT('',(37.0875,18.8875,0.)); #471290=CARTESIAN_POINT('',(37.0875,18.8875,0.)); #471291=CARTESIAN_POINT('',(37.0875,18.8875,0.035)); #471292=CARTESIAN_POINT('',(37.0875,18.8875,0.035)); #471293=CARTESIAN_POINT('',(37.0875,18.8875,0.)); #471294=CARTESIAN_POINT('Origin',(37.7125,18.8875,0.)); #471295=CARTESIAN_POINT('',(37.7125,18.8875,0.)); #471296=CARTESIAN_POINT('',(37.7125,18.8875,0.)); #471297=CARTESIAN_POINT('',(37.7125,18.8875,0.035)); #471298=CARTESIAN_POINT('',(37.7125,18.8875,0.035)); #471299=CARTESIAN_POINT('',(37.7125,18.8875,0.)); #471300=CARTESIAN_POINT('Origin',(37.7125,18.877,0.)); #471301=CARTESIAN_POINT('',(37.7125,18.877,0.)); #471302=CARTESIAN_POINT('',(37.7125,18.877,0.)); #471303=CARTESIAN_POINT('',(37.7125,18.877,0.035)); #471304=CARTESIAN_POINT('',(37.7125,18.877,0.035)); #471305=CARTESIAN_POINT('',(37.7125,18.877,0.)); #471306=CARTESIAN_POINT('Origin',(38.197394,18.877,0.)); #471307=CARTESIAN_POINT('',(38.197394,18.877,0.)); #471308=CARTESIAN_POINT('',(38.197394,18.877,0.)); #471309=CARTESIAN_POINT('',(38.197394,18.877,0.035)); #471310=CARTESIAN_POINT('',(38.197394,18.877,0.035)); #471311=CARTESIAN_POINT('',(38.197394,18.877,0.)); #471312=CARTESIAN_POINT('Origin',(38.410197,19.089803,0.)); #471313=CARTESIAN_POINT('',(38.410197,19.089803,0.)); #471314=CARTESIAN_POINT('',(38.410197,19.089803,0.)); #471315=CARTESIAN_POINT('',(38.410197,19.089803,0.035)); #471316=CARTESIAN_POINT('',(38.410197,19.089803,0.035)); #471317=CARTESIAN_POINT('',(38.410197,19.089803,0.)); #471318=CARTESIAN_POINT('Origin',(38.4999992502996,18.9999996912748,0.)); #471319=CARTESIAN_POINT('',(38.5,19.127,0.)); #471320=CARTESIAN_POINT('Origin',(38.4999992502996,18.9999996912748,0.)); #471321=CARTESIAN_POINT('',(38.5,19.127,0.035)); #471322=CARTESIAN_POINT('Origin',(38.4999992502996,18.9999996912748,0.035)); #471323=CARTESIAN_POINT('',(38.5,19.127,0.)); #471324=CARTESIAN_POINT('Origin',(39.006072,19.127,0.)); #471325=CARTESIAN_POINT('',(39.006072,19.127,0.)); #471326=CARTESIAN_POINT('',(39.006072,19.127,0.)); #471327=CARTESIAN_POINT('',(39.006072,19.127,0.035)); #471328=CARTESIAN_POINT('',(39.006072,19.127,0.035)); #471329=CARTESIAN_POINT('',(39.006072,19.127,0.)); #471330=CARTESIAN_POINT('Origin',(39.249992678009,18.9999956178826,0.)); #471331=CARTESIAN_POINT('',(39.25,19.275,0.)); #471332=CARTESIAN_POINT('Origin',(39.249992678009,18.9999956178826,0.)); #471333=CARTESIAN_POINT('',(39.25,19.275,0.035)); #471334=CARTESIAN_POINT('Origin',(39.249992678009,18.9999956178826,0.035)); #471335=CARTESIAN_POINT('',(39.25,19.275,0.)); #471336=CARTESIAN_POINT('Origin',(39.25,19.623,0.)); #471337=CARTESIAN_POINT('',(39.25,19.623,0.)); #471338=CARTESIAN_POINT('',(39.25,19.623,0.)); #471339=CARTESIAN_POINT('',(39.25,19.623,0.035)); #471340=CARTESIAN_POINT('',(39.25,19.623,0.035)); #471341=CARTESIAN_POINT('',(39.25,19.623,0.)); #471342=CARTESIAN_POINT('Origin',(37.7125,19.623,0.)); #471343=CARTESIAN_POINT('',(37.7125,19.623,0.)); #471344=CARTESIAN_POINT('',(37.7125,19.623,0.)); #471345=CARTESIAN_POINT('',(37.7125,19.623,0.035)); #471346=CARTESIAN_POINT('',(37.7125,19.623,0.035)); #471347=CARTESIAN_POINT('',(37.7125,19.623,0.)); #471348=CARTESIAN_POINT('Origin',(37.7125,19.6125,0.)); #471349=CARTESIAN_POINT('',(37.7125,19.6125,0.)); #471350=CARTESIAN_POINT('',(37.7125,19.6125,0.)); #471351=CARTESIAN_POINT('',(37.7125,19.6125,0.035)); #471352=CARTESIAN_POINT('',(37.7125,19.6125,0.035)); #471353=CARTESIAN_POINT('',(37.7125,19.6125,0.)); #471354=CARTESIAN_POINT('Origin',(37.0875,19.6125,0.)); #471355=CARTESIAN_POINT('',(37.0875,19.6125,0.)); #471356=CARTESIAN_POINT('',(37.0875,19.6125,0.)); #471357=CARTESIAN_POINT('',(37.0875,19.6125,0.035)); #471358=CARTESIAN_POINT('',(37.0875,19.6125,0.035)); #471359=CARTESIAN_POINT('',(37.0875,19.6125,0.)); #471360=CARTESIAN_POINT('Origin',(37.0875,19.8875,0.)); #471361=CARTESIAN_POINT('',(37.0875,19.8875,0.)); #471362=CARTESIAN_POINT('',(37.0875,19.8875,0.)); #471363=CARTESIAN_POINT('',(37.0875,19.8875,0.035)); #471364=CARTESIAN_POINT('',(37.0875,19.8875,0.035)); #471365=CARTESIAN_POINT('',(37.0875,19.8875,0.)); #471366=CARTESIAN_POINT('Origin',(37.273,19.8875,0.)); #471367=CARTESIAN_POINT('',(37.273,19.8875,0.)); #471368=CARTESIAN_POINT('',(37.273,19.8875,0.)); #471369=CARTESIAN_POINT('',(37.273,19.8875,0.035)); #471370=CARTESIAN_POINT('',(37.273,19.8875,0.035)); #471371=CARTESIAN_POINT('',(37.273,19.8875,0.)); #471372=CARTESIAN_POINT('Origin',(37.273,20.072394,0.)); #471373=CARTESIAN_POINT('',(37.273,20.072394,0.)); #471374=CARTESIAN_POINT('',(37.273,20.072394,0.)); #471375=CARTESIAN_POINT('',(37.273,20.072394,0.035)); #471376=CARTESIAN_POINT('',(37.273,20.072394,0.035)); #471377=CARTESIAN_POINT('',(37.273,20.072394,0.)); #471378=CARTESIAN_POINT('Origin',(37.072394,20.273,0.)); #471379=CARTESIAN_POINT('',(37.072394,20.273,0.)); #471380=CARTESIAN_POINT('',(37.072394,20.273,0.)); #471381=CARTESIAN_POINT('',(37.072394,20.273,0.035)); #471382=CARTESIAN_POINT('',(37.072394,20.273,0.035)); #471383=CARTESIAN_POINT('',(37.072394,20.273,0.)); #471384=CARTESIAN_POINT('Origin',(36.8875,20.273,0.)); #471385=CARTESIAN_POINT('',(36.8875,20.273,0.)); #471386=CARTESIAN_POINT('',(36.8875,20.273,0.)); #471387=CARTESIAN_POINT('',(36.8875,20.273,0.035)); #471388=CARTESIAN_POINT('',(36.8875,20.273,0.035)); #471389=CARTESIAN_POINT('',(36.8875,20.273,0.)); #471390=CARTESIAN_POINT('Origin',(36.8875,20.0875,0.)); #471391=CARTESIAN_POINT('',(36.8875,20.0875,0.)); #471392=CARTESIAN_POINT('',(36.8875,20.0875,0.)); #471393=CARTESIAN_POINT('',(36.8875,20.0875,0.035)); #471394=CARTESIAN_POINT('',(36.8875,20.0875,0.035)); #471395=CARTESIAN_POINT('',(36.8875,20.0875,0.)); #471396=CARTESIAN_POINT('Origin',(36.6125,20.0875,0.)); #471397=CARTESIAN_POINT('',(36.6125,20.0875,0.)); #471398=CARTESIAN_POINT('',(36.6125,20.0875,0.)); #471399=CARTESIAN_POINT('',(36.6125,20.0875,0.035)); #471400=CARTESIAN_POINT('',(36.6125,20.0875,0.035)); #471401=CARTESIAN_POINT('',(36.6125,20.0875,0.)); #471402=CARTESIAN_POINT('Origin',(36.6125,20.7125,0.)); #471403=CARTESIAN_POINT('',(36.6125,20.7125,0.)); #471404=CARTESIAN_POINT('',(36.6125,20.7125,0.)); #471405=CARTESIAN_POINT('',(36.6125,20.7125,0.035)); #471406=CARTESIAN_POINT('',(36.6125,20.7125,0.035)); #471407=CARTESIAN_POINT('',(36.6125,20.7125,0.)); #471408=CARTESIAN_POINT('Origin',(36.8875,20.7125,0.)); #471409=CARTESIAN_POINT('',(36.8875,20.7125,0.)); #471410=CARTESIAN_POINT('',(36.8875,20.7125,0.)); #471411=CARTESIAN_POINT('',(36.8875,20.7125,0.035)); #471412=CARTESIAN_POINT('',(36.8875,20.7125,0.035)); #471413=CARTESIAN_POINT('',(36.8875,20.7125,0.)); #471414=CARTESIAN_POINT('Origin',(36.8875,20.527,0.)); #471415=CARTESIAN_POINT('',(36.8875,20.527,0.)); #471416=CARTESIAN_POINT('',(36.8875,20.527,0.)); #471417=CARTESIAN_POINT('',(36.8875,20.527,0.035)); #471418=CARTESIAN_POINT('',(36.8875,20.527,0.035)); #471419=CARTESIAN_POINT('',(36.8875,20.527,0.)); #471420=CARTESIAN_POINT('Origin',(37.125,20.527,0.)); #471421=CARTESIAN_POINT('',(37.125,20.527,0.)); #471422=CARTESIAN_POINT('',(37.125,20.527,0.)); #471423=CARTESIAN_POINT('',(37.125,20.527,0.035)); #471424=CARTESIAN_POINT('',(37.125,20.527,0.035)); #471425=CARTESIAN_POINT('',(37.125,20.527,0.)); #471426=CARTESIAN_POINT('Origin',(37.1250007497004,20.3999996912748,0.)); #471427=CARTESIAN_POINT('',(37.214803,20.489803,0.)); #471428=CARTESIAN_POINT('Origin',(37.1250007497004,20.3999996912748,0.)); #471429=CARTESIAN_POINT('',(37.214803,20.489803,0.035)); #471430=CARTESIAN_POINT('Origin',(37.1250007497004,20.3999996912748,0.035)); #471431=CARTESIAN_POINT('',(37.214803,20.489803,0.)); #471432=CARTESIAN_POINT('Origin',(37.489803,20.214803,0.)); #471433=CARTESIAN_POINT('',(37.489803,20.214803,0.)); #471434=CARTESIAN_POINT('',(37.489803,20.214803,0.)); #471435=CARTESIAN_POINT('',(37.489803,20.214803,0.035)); #471436=CARTESIAN_POINT('',(37.489803,20.214803,0.035)); #471437=CARTESIAN_POINT('',(37.489803,20.214803,0.)); #471438=CARTESIAN_POINT('Origin',(37.3999996912748,20.1250007497004,0.)); #471439=CARTESIAN_POINT('',(37.527,20.125,0.)); #471440=CARTESIAN_POINT('Origin',(37.3999996912748,20.1250007497004,0.)); #471441=CARTESIAN_POINT('',(37.527,20.125,0.035)); #471442=CARTESIAN_POINT('Origin',(37.3999996912748,20.1250007497004,0.035)); #471443=CARTESIAN_POINT('',(37.527,20.125,0.)); #471444=CARTESIAN_POINT('Origin',(37.527,19.8875,0.)); #471445=CARTESIAN_POINT('',(37.527,19.8875,0.)); #471446=CARTESIAN_POINT('',(37.527,19.8875,0.)); #471447=CARTESIAN_POINT('',(37.527,19.8875,0.035)); #471448=CARTESIAN_POINT('',(37.527,19.8875,0.035)); #471449=CARTESIAN_POINT('',(37.527,19.8875,0.)); #471450=CARTESIAN_POINT('Origin',(37.7125,19.8875,0.)); #471451=CARTESIAN_POINT('',(37.7125,19.8875,0.)); #471452=CARTESIAN_POINT('',(37.7125,19.8875,0.)); #471453=CARTESIAN_POINT('',(37.7125,19.8875,0.035)); #471454=CARTESIAN_POINT('',(37.7125,19.8875,0.035)); #471455=CARTESIAN_POINT('',(37.7125,19.8875,0.)); #471456=CARTESIAN_POINT('Origin',(37.7125,19.877,0.)); #471457=CARTESIAN_POINT('',(37.7125,19.877,0.)); #471458=CARTESIAN_POINT('',(37.7125,19.877,0.)); #471459=CARTESIAN_POINT('',(37.7125,19.877,0.035)); #471460=CARTESIAN_POINT('',(37.7125,19.877,0.035)); #471461=CARTESIAN_POINT('',(37.7125,19.877,0.)); #471462=CARTESIAN_POINT('Origin',(39.25,19.877,0.)); #471463=CARTESIAN_POINT('',(39.25,19.877,0.)); #471464=CARTESIAN_POINT('',(39.25,19.877,0.)); #471465=CARTESIAN_POINT('',(39.25,19.877,0.035)); #471466=CARTESIAN_POINT('',(39.25,19.877,0.035)); #471467=CARTESIAN_POINT('',(39.25,19.877,0.)); #471468=CARTESIAN_POINT('Origin',(39.25,20.947394,0.)); #471469=CARTESIAN_POINT('',(39.25,20.947394,0.)); #471470=CARTESIAN_POINT('',(39.25,20.947394,0.)); #471471=CARTESIAN_POINT('',(39.25,20.947394,0.035)); #471472=CARTESIAN_POINT('',(39.25,20.947394,0.035)); #471473=CARTESIAN_POINT('',(39.25,20.947394,0.)); #471474=CARTESIAN_POINT('Origin',(39.259284,20.995056,0.)); #471475=CARTESIAN_POINT('',(39.259284,20.995056,0.)); #471476=CARTESIAN_POINT('',(39.259284,20.995056,0.)); #471477=CARTESIAN_POINT('',(39.259284,20.995056,0.035)); #471478=CARTESIAN_POINT('',(39.259284,20.995056,0.035)); #471479=CARTESIAN_POINT('',(39.259284,20.995056,0.)); #471480=CARTESIAN_POINT('Origin',(39.287197,21.037197,0.)); #471481=CARTESIAN_POINT('',(39.287197,21.037197,0.)); #471482=CARTESIAN_POINT('',(39.287197,21.037197,0.)); #471483=CARTESIAN_POINT('',(39.287197,21.037197,0.035)); #471484=CARTESIAN_POINT('',(39.287197,21.037197,0.035)); #471485=CARTESIAN_POINT('',(39.287197,21.037197,0.)); #471486=CARTESIAN_POINT('Origin',(40.462803,22.212803,0.)); #471487=CARTESIAN_POINT('',(40.462803,22.212803,0.)); #471488=CARTESIAN_POINT('',(40.462803,22.212803,0.)); #471489=CARTESIAN_POINT('',(40.462803,22.212803,0.035)); #471490=CARTESIAN_POINT('',(40.462803,22.212803,0.035)); #471491=CARTESIAN_POINT('',(40.462803,22.212803,0.)); #471492=CARTESIAN_POINT('Origin',(40.503072,22.239941,0.)); #471493=CARTESIAN_POINT('',(40.503072,22.239941,0.)); #471494=CARTESIAN_POINT('',(40.503072,22.239941,0.)); #471495=CARTESIAN_POINT('',(40.503072,22.239941,0.035)); #471496=CARTESIAN_POINT('',(40.503072,22.239941,0.035)); #471497=CARTESIAN_POINT('',(40.503072,22.239941,0.)); #471498=CARTESIAN_POINT('Origin',(40.552606,22.25,0.)); #471499=CARTESIAN_POINT('',(40.552606,22.25,0.)); #471500=CARTESIAN_POINT('',(40.552606,22.25,0.)); #471501=CARTESIAN_POINT('',(40.552606,22.25,0.035)); #471502=CARTESIAN_POINT('',(40.552606,22.25,0.035)); #471503=CARTESIAN_POINT('',(40.552606,22.25,0.)); #471504=CARTESIAN_POINT('Origin',(47.241006,22.25,0.)); #471505=CARTESIAN_POINT('',(47.241006,22.25,0.)); #471506=CARTESIAN_POINT('',(47.241006,22.25,0.)); #471507=CARTESIAN_POINT('',(47.241006,22.25,0.035)); #471508=CARTESIAN_POINT('',(47.241006,22.25,0.035)); #471509=CARTESIAN_POINT('',(47.241006,22.25,0.)); #471510=CARTESIAN_POINT('Origin',(47.250025,22.251284,0.)); #471511=CARTESIAN_POINT('',(47.250025,22.251284,0.)); #471512=CARTESIAN_POINT('',(47.250025,22.251284,0.)); #471513=CARTESIAN_POINT('',(47.250025,22.251284,0.035)); #471514=CARTESIAN_POINT('',(47.250025,22.251284,0.035)); #471515=CARTESIAN_POINT('',(47.250025,22.251284,0.)); #471516=CARTESIAN_POINT('Origin',(47.255653,22.255653,0.)); #471517=CARTESIAN_POINT('',(47.255653,22.255653,0.)); #471518=CARTESIAN_POINT('',(47.255653,22.255653,0.)); #471519=CARTESIAN_POINT('',(47.255653,22.255653,0.035)); #471520=CARTESIAN_POINT('',(47.255653,22.255653,0.035)); #471521=CARTESIAN_POINT('',(47.255653,22.255653,0.)); #471522=CARTESIAN_POINT('Origin',(48.493634,23.493634,0.)); #471523=CARTESIAN_POINT('',(48.493634,23.493634,0.)); #471524=CARTESIAN_POINT('',(48.493634,23.493634,0.)); #471525=CARTESIAN_POINT('',(48.493634,23.493634,0.035)); #471526=CARTESIAN_POINT('',(48.493634,23.493634,0.035)); #471527=CARTESIAN_POINT('',(48.493634,23.493634,0.)); #471528=CARTESIAN_POINT('Origin',(48.499109,23.500928,0.)); #471529=CARTESIAN_POINT('',(48.499109,23.500928,0.)); #471530=CARTESIAN_POINT('',(48.499109,23.500928,0.)); #471531=CARTESIAN_POINT('',(48.499109,23.500928,0.035)); #471532=CARTESIAN_POINT('',(48.499109,23.500928,0.035)); #471533=CARTESIAN_POINT('',(48.499109,23.500928,0.)); #471534=CARTESIAN_POINT('Origin',(48.5,23.507984,0.)); #471535=CARTESIAN_POINT('',(48.5,23.507984,0.)); #471536=CARTESIAN_POINT('',(48.5,23.507984,0.)); #471537=CARTESIAN_POINT('',(48.5,23.507984,0.035)); #471538=CARTESIAN_POINT('',(48.5,23.507984,0.035)); #471539=CARTESIAN_POINT('',(48.5,23.507984,0.)); #471540=CARTESIAN_POINT('Origin',(48.5,38.3944,0.)); #471541=CARTESIAN_POINT('',(48.5,38.3944,0.)); #471542=CARTESIAN_POINT('',(48.5,38.3944,0.)); #471543=CARTESIAN_POINT('',(48.5,38.3944,0.035)); #471544=CARTESIAN_POINT('',(48.5,38.3944,0.035)); #471545=CARTESIAN_POINT('',(48.5,38.3944,0.)); #471546=CARTESIAN_POINT('Origin',(48.508538,38.440181,0.)); #471547=CARTESIAN_POINT('',(48.508538,38.440181,0.)); #471548=CARTESIAN_POINT('',(48.508538,38.440181,0.)); #471549=CARTESIAN_POINT('',(48.508538,38.440181,0.035)); #471550=CARTESIAN_POINT('',(48.508538,38.440181,0.035)); #471551=CARTESIAN_POINT('',(48.508538,38.440181,0.)); #471552=CARTESIAN_POINT('Origin',(48.535778,38.482759,0.)); #471553=CARTESIAN_POINT('',(48.535778,38.482759,0.)); #471554=CARTESIAN_POINT('',(48.535778,38.482759,0.)); #471555=CARTESIAN_POINT('',(48.535778,38.482759,0.035)); #471556=CARTESIAN_POINT('',(48.535778,38.482759,0.035)); #471557=CARTESIAN_POINT('',(48.535778,38.482759,0.)); #471558=CARTESIAN_POINT('Origin',(48.577466,38.511341,0.)); #471559=CARTESIAN_POINT('',(48.577466,38.511341,0.)); #471560=CARTESIAN_POINT('',(48.577466,38.511341,0.)); #471561=CARTESIAN_POINT('',(48.577466,38.511341,0.035)); #471562=CARTESIAN_POINT('',(48.577466,38.511341,0.035)); #471563=CARTESIAN_POINT('',(48.577466,38.511341,0.)); #471564=CARTESIAN_POINT('Origin',(48.624522,38.520897,0.)); #471565=CARTESIAN_POINT('',(48.624522,38.520897,0.)); #471566=CARTESIAN_POINT('',(48.624522,38.520897,0.)); #471567=CARTESIAN_POINT('',(48.624522,38.520897,0.035)); #471568=CARTESIAN_POINT('',(48.624522,38.520897,0.035)); #471569=CARTESIAN_POINT('',(48.624522,38.520897,0.)); #471570=CARTESIAN_POINT('Origin',(49.3536,39.249975,0.)); #471571=CARTESIAN_POINT('',(49.3536,39.249975,0.)); #471572=CARTESIAN_POINT('',(49.3536,39.249975,0.)); #471573=CARTESIAN_POINT('',(49.3536,39.249975,0.035)); #471574=CARTESIAN_POINT('',(49.3536,39.249975,0.035)); #471575=CARTESIAN_POINT('',(49.3536,39.249975,0.)); #471576=CARTESIAN_POINT('Origin',(49.3536,44.477706,0.)); #471577=CARTESIAN_POINT('',(49.3536,44.477706,0.)); #471578=CARTESIAN_POINT('',(49.3536,44.477706,0.)); #471579=CARTESIAN_POINT('',(49.3536,44.477706,0.035)); #471580=CARTESIAN_POINT('',(49.3536,44.477706,0.035)); #471581=CARTESIAN_POINT('',(49.3536,44.477706,0.)); #471582=CARTESIAN_POINT('Origin',(49.362884,44.525369,0.)); #471583=CARTESIAN_POINT('',(49.362884,44.525369,0.)); #471584=CARTESIAN_POINT('',(49.362884,44.525369,0.)); #471585=CARTESIAN_POINT('',(49.362884,44.525369,0.035)); #471586=CARTESIAN_POINT('',(49.362884,44.525369,0.035)); #471587=CARTESIAN_POINT('',(49.362884,44.525369,0.)); #471588=CARTESIAN_POINT('Origin',(49.390797,44.567509,0.)); #471589=CARTESIAN_POINT('',(49.390797,44.567509,0.)); #471590=CARTESIAN_POINT('',(49.390797,44.567509,0.)); #471591=CARTESIAN_POINT('',(49.390797,44.567509,0.035)); #471592=CARTESIAN_POINT('',(49.390797,44.567509,0.035)); #471593=CARTESIAN_POINT('',(49.390797,44.567509,0.)); #471594=CARTESIAN_POINT('Origin',(50.057491,45.234203,0.)); #471595=CARTESIAN_POINT('',(50.057491,45.234203,0.)); #471596=CARTESIAN_POINT('',(50.057491,45.234203,0.)); #471597=CARTESIAN_POINT('',(50.057491,45.234203,0.035)); #471598=CARTESIAN_POINT('',(50.057491,45.234203,0.035)); #471599=CARTESIAN_POINT('',(50.057491,45.234203,0.)); #471600=CARTESIAN_POINT('Origin',(50.097759,45.261341,0.)); #471601=CARTESIAN_POINT('',(50.097759,45.261341,0.)); #471602=CARTESIAN_POINT('',(50.097759,45.261341,0.)); #471603=CARTESIAN_POINT('',(50.097759,45.261341,0.035)); #471604=CARTESIAN_POINT('',(50.097759,45.261341,0.035)); #471605=CARTESIAN_POINT('',(50.097759,45.261341,0.)); #471606=CARTESIAN_POINT('Origin',(50.147294,45.2714,0.)); #471607=CARTESIAN_POINT('',(50.147294,45.2714,0.)); #471608=CARTESIAN_POINT('',(50.147294,45.2714,0.)); #471609=CARTESIAN_POINT('',(50.147294,45.2714,0.035)); #471610=CARTESIAN_POINT('',(50.147294,45.2714,0.035)); #471611=CARTESIAN_POINT('',(50.147294,45.2714,0.)); #471612=CARTESIAN_POINT('Origin',(52.594687,45.2714,0.)); #471613=CARTESIAN_POINT('',(52.594687,45.2714,0.)); #471614=CARTESIAN_POINT('',(52.594687,45.2714,0.)); #471615=CARTESIAN_POINT('',(52.594687,45.2714,0.035)); #471616=CARTESIAN_POINT('',(52.594687,45.2714,0.035)); #471617=CARTESIAN_POINT('',(52.594687,45.2714,0.)); #471618=CARTESIAN_POINT('Origin',(53.2286,45.905313,0.)); #471619=CARTESIAN_POINT('',(53.2286,45.905313,0.)); #471620=CARTESIAN_POINT('',(53.2286,45.905313,0.)); #471621=CARTESIAN_POINT('',(53.2286,45.905313,0.035)); #471622=CARTESIAN_POINT('',(53.2286,45.905313,0.035)); #471623=CARTESIAN_POINT('',(53.2286,45.905313,0.)); #471624=CARTESIAN_POINT('Origin',(53.2286,50.102706,0.)); #471625=CARTESIAN_POINT('',(53.2286,50.102706,0.)); #471626=CARTESIAN_POINT('',(53.2286,50.102706,0.)); #471627=CARTESIAN_POINT('',(53.2286,50.102706,0.035)); #471628=CARTESIAN_POINT('',(53.2286,50.102706,0.035)); #471629=CARTESIAN_POINT('',(53.2286,50.102706,0.)); #471630=CARTESIAN_POINT('Origin',(53.237884,50.150369,0.)); #471631=CARTESIAN_POINT('',(53.237884,50.150369,0.)); #471632=CARTESIAN_POINT('',(53.237884,50.150369,0.)); #471633=CARTESIAN_POINT('',(53.237884,50.150369,0.035)); #471634=CARTESIAN_POINT('',(53.237884,50.150369,0.035)); #471635=CARTESIAN_POINT('',(53.237884,50.150369,0.)); #471636=CARTESIAN_POINT('Origin',(53.265797,50.192509,0.)); #471637=CARTESIAN_POINT('',(53.265797,50.192509,0.)); #471638=CARTESIAN_POINT('',(53.265797,50.192509,0.)); #471639=CARTESIAN_POINT('',(53.265797,50.192509,0.035)); #471640=CARTESIAN_POINT('',(53.265797,50.192509,0.035)); #471641=CARTESIAN_POINT('',(53.265797,50.192509,0.)); #471642=CARTESIAN_POINT('Origin',(53.307491,50.234203,0.)); #471643=CARTESIAN_POINT('',(53.307491,50.234203,0.)); #471644=CARTESIAN_POINT('',(53.307491,50.234203,0.)); #471645=CARTESIAN_POINT('',(53.307491,50.234203,0.035)); #471646=CARTESIAN_POINT('',(53.307491,50.234203,0.035)); #471647=CARTESIAN_POINT('',(53.307491,50.234203,0.)); #471648=CARTESIAN_POINT('Origin',(53.347759,50.261341,0.)); #471649=CARTESIAN_POINT('',(53.347759,50.261341,0.)); #471650=CARTESIAN_POINT('',(53.347759,50.261341,0.)); #471651=CARTESIAN_POINT('',(53.347759,50.261341,0.035)); #471652=CARTESIAN_POINT('',(53.347759,50.261341,0.035)); #471653=CARTESIAN_POINT('',(53.347759,50.261341,0.)); #471654=CARTESIAN_POINT('Origin',(53.397294,50.2714,0.)); #471655=CARTESIAN_POINT('',(53.397294,50.2714,0.)); #471656=CARTESIAN_POINT('',(53.397294,50.2714,0.)); #471657=CARTESIAN_POINT('',(53.397294,50.2714,0.035)); #471658=CARTESIAN_POINT('',(53.397294,50.2714,0.035)); #471659=CARTESIAN_POINT('',(53.397294,50.2714,0.)); #471660=CARTESIAN_POINT('Origin',(53.5395,50.2714,0.)); #471661=CARTESIAN_POINT('',(53.5395,50.2714,0.)); #471662=CARTESIAN_POINT('',(53.5395,50.2714,0.)); #471663=CARTESIAN_POINT('',(53.5395,50.2714,0.035)); #471664=CARTESIAN_POINT('',(53.5395,50.2714,0.035)); #471665=CARTESIAN_POINT('',(53.5395,50.2714,0.)); #471666=CARTESIAN_POINT('Origin',(53.585281,50.262863,0.)); #471667=CARTESIAN_POINT('',(53.585281,50.262863,0.)); #471668=CARTESIAN_POINT('',(53.585281,50.262863,0.)); #471669=CARTESIAN_POINT('',(53.585281,50.262863,0.035)); #471670=CARTESIAN_POINT('',(53.585281,50.262863,0.035)); #471671=CARTESIAN_POINT('',(53.585281,50.262863,0.)); #471672=CARTESIAN_POINT('Origin',(53.627859,50.235622,0.)); #471673=CARTESIAN_POINT('',(53.627859,50.235622,0.)); #471674=CARTESIAN_POINT('',(53.627859,50.235622,0.)); #471675=CARTESIAN_POINT('',(53.627859,50.235622,0.035)); #471676=CARTESIAN_POINT('',(53.627859,50.235622,0.035)); #471677=CARTESIAN_POINT('',(53.627859,50.235622,0.)); #471678=CARTESIAN_POINT('Origin',(53.656441,50.193934,0.)); #471679=CARTESIAN_POINT('',(53.656441,50.193934,0.)); #471680=CARTESIAN_POINT('',(53.656441,50.193934,0.)); #471681=CARTESIAN_POINT('',(53.656441,50.193934,0.035)); #471682=CARTESIAN_POINT('',(53.656441,50.193934,0.035)); #471683=CARTESIAN_POINT('',(53.656441,50.193934,0.)); #471684=CARTESIAN_POINT('Origin',(53.6665,50.1444,0.)); #471685=CARTESIAN_POINT('',(53.6665,50.1444,0.)); #471686=CARTESIAN_POINT('',(53.6665,50.1444,0.)); #471687=CARTESIAN_POINT('',(53.6665,50.1444,0.035)); #471688=CARTESIAN_POINT('',(53.6665,50.1444,0.035)); #471689=CARTESIAN_POINT('',(53.6665,50.1444,0.)); #471690=CARTESIAN_POINT('Origin',(53.6665,49.992375,0.)); #471691=CARTESIAN_POINT('',(53.6665,49.992375,0.)); #471692=CARTESIAN_POINT('',(53.6665,49.992375,0.)); #471693=CARTESIAN_POINT('',(53.6665,49.992375,0.035)); #471694=CARTESIAN_POINT('',(53.6665,49.992375,0.035)); #471695=CARTESIAN_POINT('',(53.6665,49.992375,0.)); #471696=CARTESIAN_POINT('Origin',(53.755775,49.9031,0.)); #471697=CARTESIAN_POINT('',(53.755775,49.9031,0.)); #471698=CARTESIAN_POINT('',(53.755775,49.9031,0.)); #471699=CARTESIAN_POINT('',(53.755775,49.9031,0.035)); #471700=CARTESIAN_POINT('',(53.755775,49.9031,0.035)); #471701=CARTESIAN_POINT('',(53.755775,49.9031,0.)); #471702=CARTESIAN_POINT('Origin',(54.720225,49.9031,0.)); #471703=CARTESIAN_POINT('',(54.720225,49.9031,0.)); #471704=CARTESIAN_POINT('',(54.720225,49.9031,0.)); #471705=CARTESIAN_POINT('',(54.720225,49.9031,0.035)); #471706=CARTESIAN_POINT('',(54.720225,49.9031,0.035)); #471707=CARTESIAN_POINT('',(54.720225,49.9031,0.)); #471708=CARTESIAN_POINT('Origin',(54.8095,49.992375,0.)); #471709=CARTESIAN_POINT('',(54.8095,49.992375,0.)); #471710=CARTESIAN_POINT('',(54.8095,49.992375,0.)); #471711=CARTESIAN_POINT('',(54.8095,49.992375,0.035)); #471712=CARTESIAN_POINT('',(54.8095,49.992375,0.035)); #471713=CARTESIAN_POINT('',(54.8095,49.992375,0.)); #471714=CARTESIAN_POINT('Origin',(54.8095,50.873,0.)); #471715=CARTESIAN_POINT('',(54.8095,50.873,0.)); #471716=CARTESIAN_POINT('',(54.8095,50.873,0.)); #471717=CARTESIAN_POINT('',(54.8095,50.873,0.035)); #471718=CARTESIAN_POINT('',(54.8095,50.873,0.035)); #471719=CARTESIAN_POINT('',(54.8095,50.873,0.)); #471720=CARTESIAN_POINT('Origin',(54.818038,50.918781,0.)); #471721=CARTESIAN_POINT('',(54.818038,50.918781,0.)); #471722=CARTESIAN_POINT('',(54.818038,50.918781,0.)); #471723=CARTESIAN_POINT('',(54.818038,50.918781,0.035)); #471724=CARTESIAN_POINT('',(54.818038,50.918781,0.035)); #471725=CARTESIAN_POINT('',(54.818038,50.918781,0.)); #471726=CARTESIAN_POINT('Origin',(54.845278,50.961359,0.)); #471727=CARTESIAN_POINT('',(54.845278,50.961359,0.)); #471728=CARTESIAN_POINT('',(54.845278,50.961359,0.)); #471729=CARTESIAN_POINT('',(54.845278,50.961359,0.035)); #471730=CARTESIAN_POINT('',(54.845278,50.961359,0.035)); #471731=CARTESIAN_POINT('',(54.845278,50.961359,0.)); #471732=CARTESIAN_POINT('Origin',(54.886966,50.989941,0.)); #471733=CARTESIAN_POINT('',(54.886966,50.989941,0.)); #471734=CARTESIAN_POINT('',(54.886966,50.989941,0.)); #471735=CARTESIAN_POINT('',(54.886966,50.989941,0.035)); #471736=CARTESIAN_POINT('',(54.886966,50.989941,0.035)); #471737=CARTESIAN_POINT('',(54.886966,50.989941,0.)); #471738=CARTESIAN_POINT('Origin',(54.9365,51.,0.)); #471739=CARTESIAN_POINT('',(54.9365,51.,0.)); #471740=CARTESIAN_POINT('',(54.9365,51.,0.)); #471741=CARTESIAN_POINT('',(54.9365,51.,0.035)); #471742=CARTESIAN_POINT('',(54.9365,51.,0.035)); #471743=CARTESIAN_POINT('',(54.9365,51.,0.)); #471744=CARTESIAN_POINT('Origin',(56.343441,51.,0.)); #471745=CARTESIAN_POINT('',(56.343441,51.,0.)); #471746=CARTESIAN_POINT('',(56.343441,51.,0.)); #471747=CARTESIAN_POINT('',(56.343441,51.,0.035)); #471748=CARTESIAN_POINT('',(56.343441,51.,0.035)); #471749=CARTESIAN_POINT('',(56.343441,51.,0.)); #471750=CARTESIAN_POINT('Origin',(56.397225,50.98805,0.)); #471751=CARTESIAN_POINT('',(56.397225,50.98805,0.)); #471752=CARTESIAN_POINT('',(56.397225,50.98805,0.)); #471753=CARTESIAN_POINT('',(56.397225,50.98805,0.035)); #471754=CARTESIAN_POINT('',(56.397225,50.98805,0.035)); #471755=CARTESIAN_POINT('',(56.397225,50.98805,0.)); #471756=CARTESIAN_POINT('Origin',(56.437838,50.957959,0.)); #471757=CARTESIAN_POINT('',(56.437838,50.957959,0.)); #471758=CARTESIAN_POINT('',(56.437838,50.957959,0.)); #471759=CARTESIAN_POINT('',(56.437838,50.957959,0.035)); #471760=CARTESIAN_POINT('',(56.437838,50.957959,0.035)); #471761=CARTESIAN_POINT('',(56.437838,50.957959,0.)); #471762=CARTESIAN_POINT('Origin',(57.717397,49.536225,0.)); #471763=CARTESIAN_POINT('',(57.717397,49.536225,0.)); #471764=CARTESIAN_POINT('',(57.717397,49.536225,0.)); #471765=CARTESIAN_POINT('',(57.717397,49.536225,0.035)); #471766=CARTESIAN_POINT('',(57.717397,49.536225,0.035)); #471767=CARTESIAN_POINT('',(57.717397,49.536225,0.)); #471768=CARTESIAN_POINT('Origin',(57.739941,49.5008,0.)); #471769=CARTESIAN_POINT('',(57.739941,49.5008,0.)); #471770=CARTESIAN_POINT('',(57.739941,49.5008,0.)); #471771=CARTESIAN_POINT('',(57.739941,49.5008,0.035)); #471772=CARTESIAN_POINT('',(57.739941,49.5008,0.035)); #471773=CARTESIAN_POINT('',(57.739941,49.5008,0.)); #471774=CARTESIAN_POINT('Origin',(57.75,49.451266,0.)); #471775=CARTESIAN_POINT('',(57.75,49.451266,0.)); #471776=CARTESIAN_POINT('',(57.75,49.451266,0.)); #471777=CARTESIAN_POINT('',(57.75,49.451266,0.035)); #471778=CARTESIAN_POINT('',(57.75,49.451266,0.035)); #471779=CARTESIAN_POINT('',(57.75,49.451266,0.)); #471780=CARTESIAN_POINT('Origin',(57.75,41.802606,0.)); #471781=CARTESIAN_POINT('',(57.75,41.802606,0.)); #471782=CARTESIAN_POINT('',(57.75,41.802606,0.)); #471783=CARTESIAN_POINT('',(57.75,41.802606,0.035)); #471784=CARTESIAN_POINT('',(57.75,41.802606,0.035)); #471785=CARTESIAN_POINT('',(57.75,41.802606,0.)); #471786=CARTESIAN_POINT('Origin',(57.740716,41.754944,0.)); #471787=CARTESIAN_POINT('',(57.740716,41.754944,0.)); #471788=CARTESIAN_POINT('',(57.740716,41.754944,0.)); #471789=CARTESIAN_POINT('',(57.740716,41.754944,0.035)); #471790=CARTESIAN_POINT('',(57.740716,41.754944,0.035)); #471791=CARTESIAN_POINT('',(57.740716,41.754944,0.)); #471792=CARTESIAN_POINT('Origin',(57.712803,41.712803,0.)); #471793=CARTESIAN_POINT('',(57.712803,41.712803,0.)); #471794=CARTESIAN_POINT('',(57.712803,41.712803,0.)); #471795=CARTESIAN_POINT('',(57.712803,41.712803,0.035)); #471796=CARTESIAN_POINT('',(57.712803,41.712803,0.035)); #471797=CARTESIAN_POINT('',(57.712803,41.712803,0.)); #471798=CARTESIAN_POINT('Origin',(54.006366,38.006366,0.)); #471799=CARTESIAN_POINT('',(54.006366,38.006366,0.)); #471800=CARTESIAN_POINT('',(54.006366,38.006366,0.)); #471801=CARTESIAN_POINT('',(54.006366,38.006366,0.035)); #471802=CARTESIAN_POINT('',(54.006366,38.006366,0.035)); #471803=CARTESIAN_POINT('',(54.006366,38.006366,0.)); #471804=CARTESIAN_POINT('Origin',(54.000891,37.999072,0.)); #471805=CARTESIAN_POINT('',(54.000891,37.999072,0.)); #471806=CARTESIAN_POINT('',(54.000891,37.999072,0.)); #471807=CARTESIAN_POINT('',(54.000891,37.999072,0.035)); #471808=CARTESIAN_POINT('',(54.000891,37.999072,0.035)); #471809=CARTESIAN_POINT('',(54.000891,37.999072,0.)); #471810=CARTESIAN_POINT('Origin',(54.,37.992016,0.)); #471811=CARTESIAN_POINT('',(54.,37.992016,0.)); #471812=CARTESIAN_POINT('',(54.,37.992016,0.)); #471813=CARTESIAN_POINT('',(54.,37.992016,0.035)); #471814=CARTESIAN_POINT('',(54.,37.992016,0.035)); #471815=CARTESIAN_POINT('',(54.,37.992016,0.)); #471816=CARTESIAN_POINT('Origin',(54.,19.552606,0.)); #471817=CARTESIAN_POINT('',(54.,19.552606,0.)); #471818=CARTESIAN_POINT('',(54.,19.552606,0.)); #471819=CARTESIAN_POINT('',(54.,19.552606,0.035)); #471820=CARTESIAN_POINT('',(54.,19.552606,0.035)); #471821=CARTESIAN_POINT('',(54.,19.552606,0.)); #471822=CARTESIAN_POINT('Origin',(53.990716,19.504944,0.)); #471823=CARTESIAN_POINT('',(53.990716,19.504944,0.)); #471824=CARTESIAN_POINT('',(53.990716,19.504944,0.)); #471825=CARTESIAN_POINT('',(53.990716,19.504944,0.035)); #471826=CARTESIAN_POINT('',(53.990716,19.504944,0.035)); #471827=CARTESIAN_POINT('',(53.990716,19.504944,0.)); #471828=CARTESIAN_POINT('Origin',(53.962803,19.462803,0.)); #471829=CARTESIAN_POINT('',(53.962803,19.462803,0.)); #471830=CARTESIAN_POINT('',(53.962803,19.462803,0.)); #471831=CARTESIAN_POINT('',(53.962803,19.462803,0.035)); #471832=CARTESIAN_POINT('',(53.962803,19.462803,0.035)); #471833=CARTESIAN_POINT('',(53.962803,19.462803,0.)); #471834=CARTESIAN_POINT('Origin',(50.037197,15.537197,0.)); #471835=CARTESIAN_POINT('',(50.037197,15.537197,0.)); #471836=CARTESIAN_POINT('',(50.037197,15.537197,0.)); #471837=CARTESIAN_POINT('',(50.037197,15.537197,0.035)); #471838=CARTESIAN_POINT('',(50.037197,15.537197,0.035)); #471839=CARTESIAN_POINT('',(50.037197,15.537197,0.)); #471840=CARTESIAN_POINT('Origin',(49.996928,15.510059,0.)); #471841=CARTESIAN_POINT('',(49.996928,15.510059,0.)); #471842=CARTESIAN_POINT('',(49.996928,15.510059,0.035)); #471843=CARTESIAN_POINT('Origin',(49.0825219885062,30.3482896673943,0.035)); #471844=CARTESIAN_POINT('Origin',(49.0825219885062,30.3482896673943,0.)); #471845=CARTESIAN_POINT('Origin',(45.125,47.75,-200.)); #471846=CARTESIAN_POINT('',(44.985,47.75,0.)); #471847=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #471848=CARTESIAN_POINT('',(44.985,47.75,0.0349999999999895)); #471849=CARTESIAN_POINT('',(44.985,47.75,-200.)); #471850=CARTESIAN_POINT('Origin',(45.125,47.75,0.0349999999999895)); #471851=CARTESIAN_POINT('Origin',(46.,47.,-200.)); #471852=CARTESIAN_POINT('',(45.86,47.,0.)); #471853=CARTESIAN_POINT('Origin',(46.,47.,0.)); #471854=CARTESIAN_POINT('',(45.86,47.,0.0349999999999895)); #471855=CARTESIAN_POINT('',(45.86,47.,-200.)); #471856=CARTESIAN_POINT('Origin',(46.,47.,0.0349999999999895)); #471857=CARTESIAN_POINT('Origin',(46.,47.75,-200.)); #471858=CARTESIAN_POINT('',(45.86,47.75,0.)); #471859=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #471860=CARTESIAN_POINT('',(45.86,47.75,0.0349999999999895)); #471861=CARTESIAN_POINT('',(45.86,47.75,-200.)); #471862=CARTESIAN_POINT('Origin',(46.,47.75,0.0349999999999895)); #471863=CARTESIAN_POINT('Origin',(47.75,47.75,-200.)); #471864=CARTESIAN_POINT('',(47.61,47.75,0.)); #471865=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #471866=CARTESIAN_POINT('',(47.61,47.75,0.0349999999999895)); #471867=CARTESIAN_POINT('',(47.61,47.75,-200.)); #471868=CARTESIAN_POINT('Origin',(47.75,47.75,0.0349999999999895)); #471869=CARTESIAN_POINT('Origin',(47.75,46.25,-200.)); #471870=CARTESIAN_POINT('',(47.61,46.25,0.)); #471871=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #471872=CARTESIAN_POINT('',(47.61,46.25,0.0349999999999895)); #471873=CARTESIAN_POINT('',(47.61,46.25,-200.)); #471874=CARTESIAN_POINT('Origin',(47.75,46.25,0.0349999999999895)); #471875=CARTESIAN_POINT('Origin',(46.,46.25,-200.)); #471876=CARTESIAN_POINT('',(45.86,46.25,0.)); #471877=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #471878=CARTESIAN_POINT('',(45.86,46.25,0.0349999999999895)); #471879=CARTESIAN_POINT('',(45.86,46.25,-200.)); #471880=CARTESIAN_POINT('Origin',(46.,46.25,0.0349999999999895)); #471881=CARTESIAN_POINT('Origin',(47.75,47.,-200.)); #471882=CARTESIAN_POINT('',(47.61,47.,0.)); #471883=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #471884=CARTESIAN_POINT('',(47.61,47.,0.0349999999999895)); #471885=CARTESIAN_POINT('',(47.61,47.,-200.)); #471886=CARTESIAN_POINT('Origin',(47.75,47.,0.0349999999999895)); #471887=CARTESIAN_POINT('Origin',(44.25,47.,-200.)); #471888=CARTESIAN_POINT('',(44.11,47.,0.)); #471889=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #471890=CARTESIAN_POINT('',(44.11,47.,0.0349999999999895)); #471891=CARTESIAN_POINT('',(44.11,47.,-200.)); #471892=CARTESIAN_POINT('Origin',(44.25,47.,0.0349999999999895)); #471893=CARTESIAN_POINT('Origin',(44.25,47.75,-200.)); #471894=CARTESIAN_POINT('',(44.11,47.75,0.)); #471895=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #471896=CARTESIAN_POINT('',(44.11,47.75,0.0349999999999895)); #471897=CARTESIAN_POINT('',(44.11,47.75,-200.)); #471898=CARTESIAN_POINT('Origin',(44.25,47.75,0.0349999999999895)); #471899=CARTESIAN_POINT('Origin',(44.25,46.25,-200.)); #471900=CARTESIAN_POINT('',(44.11,46.25,0.)); #471901=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #471902=CARTESIAN_POINT('',(44.11,46.25,0.0349999999999895)); #471903=CARTESIAN_POINT('',(44.11,46.25,-200.)); #471904=CARTESIAN_POINT('Origin',(44.25,46.25,0.0349999999999895)); #471905=CARTESIAN_POINT('Origin',(52.125,47.75,-200.)); #471906=CARTESIAN_POINT('',(51.985,47.75,0.)); #471907=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #471908=CARTESIAN_POINT('',(51.985,47.75,0.0349999999999895)); #471909=CARTESIAN_POINT('',(51.985,47.75,-200.)); #471910=CARTESIAN_POINT('Origin',(52.125,47.75,0.0349999999999895)); #471911=CARTESIAN_POINT('Origin',(52.125,47.,-200.)); #471912=CARTESIAN_POINT('',(51.985,47.,0.)); #471913=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #471914=CARTESIAN_POINT('',(51.985,47.,0.0349999999999895)); #471915=CARTESIAN_POINT('',(51.985,47.,-200.)); #471916=CARTESIAN_POINT('Origin',(52.125,47.,0.0349999999999895)); #471917=CARTESIAN_POINT('Origin',(51.25,46.25,-200.)); #471918=CARTESIAN_POINT('',(51.11,46.25,0.)); #471919=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #471920=CARTESIAN_POINT('',(51.11,46.25,0.0349999999999895)); #471921=CARTESIAN_POINT('',(51.11,46.25,-200.)); #471922=CARTESIAN_POINT('Origin',(51.25,46.25,0.0349999999999895)); #471923=CARTESIAN_POINT('Origin',(52.125,46.25,-200.)); #471924=CARTESIAN_POINT('',(51.985,46.25,0.)); #471925=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #471926=CARTESIAN_POINT('',(51.985,46.25,0.0349999999999895)); #471927=CARTESIAN_POINT('',(51.985,46.25,-200.)); #471928=CARTESIAN_POINT('Origin',(52.125,46.25,0.0349999999999895)); #471929=CARTESIAN_POINT('Origin',(41.25,36.,-200.)); #471930=CARTESIAN_POINT('',(41.11,36.,0.)); #471931=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #471932=CARTESIAN_POINT('',(41.11,36.,0.0349999999999895)); #471933=CARTESIAN_POINT('',(41.11,36.,-200.)); #471934=CARTESIAN_POINT('Origin',(41.25,36.,0.0349999999999895)); #471935=CARTESIAN_POINT('Origin',(48.625,47.75,-200.)); #471936=CARTESIAN_POINT('',(48.485,47.75,0.)); #471937=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #471938=CARTESIAN_POINT('',(48.485,47.75,0.0349999999999895)); #471939=CARTESIAN_POINT('',(48.485,47.75,-200.)); #471940=CARTESIAN_POINT('Origin',(48.625,47.75,0.0349999999999895)); #471941=CARTESIAN_POINT('Origin',(48.625,47.,-200.)); #471942=CARTESIAN_POINT('',(48.485,47.,0.)); #471943=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #471944=CARTESIAN_POINT('',(48.485,47.,0.0349999999999895)); #471945=CARTESIAN_POINT('',(48.485,47.,-200.)); #471946=CARTESIAN_POINT('Origin',(48.625,47.,0.0349999999999895)); #471947=CARTESIAN_POINT('Origin',(46.875,47.75,-200.)); #471948=CARTESIAN_POINT('',(46.735,47.75,0.)); #471949=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #471950=CARTESIAN_POINT('',(46.735,47.75,0.0349999999999895)); #471951=CARTESIAN_POINT('',(46.735,47.75,-200.)); #471952=CARTESIAN_POINT('Origin',(46.875,47.75,0.0349999999999895)); #471953=CARTESIAN_POINT('Origin',(48.625,46.25,-200.)); #471954=CARTESIAN_POINT('',(48.485,46.25,0.)); #471955=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #471956=CARTESIAN_POINT('',(48.485,46.25,0.0349999999999895)); #471957=CARTESIAN_POINT('',(48.485,46.25,-200.)); #471958=CARTESIAN_POINT('Origin',(48.625,46.25,0.0349999999999895)); #471959=CARTESIAN_POINT('Origin',(45.125,46.25,-200.)); #471960=CARTESIAN_POINT('',(44.985,46.25,0.)); #471961=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #471962=CARTESIAN_POINT('',(44.985,46.25,0.0349999999999895)); #471963=CARTESIAN_POINT('',(44.985,46.25,-200.)); #471964=CARTESIAN_POINT('Origin',(45.125,46.25,0.0349999999999895)); #471965=CARTESIAN_POINT('Origin',(45.125,47.,-200.)); #471966=CARTESIAN_POINT('',(44.985,47.,0.)); #471967=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #471968=CARTESIAN_POINT('',(44.985,47.,0.0349999999999895)); #471969=CARTESIAN_POINT('',(44.985,47.,-200.)); #471970=CARTESIAN_POINT('Origin',(45.125,47.,0.0349999999999895)); #471971=CARTESIAN_POINT('Origin',(46.875,46.25,-200.)); #471972=CARTESIAN_POINT('',(46.735,46.25,0.)); #471973=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #471974=CARTESIAN_POINT('',(46.735,46.25,0.0349999999999895)); #471975=CARTESIAN_POINT('',(46.735,46.25,-200.)); #471976=CARTESIAN_POINT('Origin',(46.875,46.25,0.0349999999999895)); #471977=CARTESIAN_POINT('Origin',(46.875,47.,-200.)); #471978=CARTESIAN_POINT('',(46.735,47.,0.)); #471979=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #471980=CARTESIAN_POINT('',(46.735,47.,0.0349999999999895)); #471981=CARTESIAN_POINT('',(46.735,47.,-200.)); #471982=CARTESIAN_POINT('Origin',(46.875,47.,0.0349999999999895)); #471983=CARTESIAN_POINT('Origin',(50.375,46.25,-200.)); #471984=CARTESIAN_POINT('',(50.235,46.25,0.)); #471985=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #471986=CARTESIAN_POINT('',(50.235,46.25,0.0349999999999895)); #471987=CARTESIAN_POINT('',(50.235,46.25,-200.)); #471988=CARTESIAN_POINT('Origin',(50.375,46.25,0.0349999999999895)); #471989=CARTESIAN_POINT('Origin',(49.5,46.25,-200.)); #471990=CARTESIAN_POINT('',(49.36,46.25,0.)); #471991=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #471992=CARTESIAN_POINT('',(49.36,46.25,0.0349999999999895)); #471993=CARTESIAN_POINT('',(49.36,46.25,-200.)); #471994=CARTESIAN_POINT('Origin',(49.5,46.25,0.0349999999999895)); #471995=CARTESIAN_POINT('Origin',(49.5,47.75,-200.)); #471996=CARTESIAN_POINT('',(49.36,47.75,0.)); #471997=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #471998=CARTESIAN_POINT('',(49.36,47.75,0.0349999999999895)); #471999=CARTESIAN_POINT('',(49.36,47.75,-200.)); #472000=CARTESIAN_POINT('Origin',(49.5,47.75,0.0349999999999895)); #472001=CARTESIAN_POINT('Origin',(49.5,47.,-200.)); #472002=CARTESIAN_POINT('',(49.36,47.,0.)); #472003=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #472004=CARTESIAN_POINT('',(49.36,47.,0.0349999999999895)); #472005=CARTESIAN_POINT('',(49.36,47.,-200.)); #472006=CARTESIAN_POINT('Origin',(49.5,47.,0.0349999999999895)); #472007=CARTESIAN_POINT('Origin',(50.375,47.75,-200.)); #472008=CARTESIAN_POINT('',(50.235,47.75,0.)); #472009=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #472010=CARTESIAN_POINT('',(50.235,47.75,0.0349999999999895)); #472011=CARTESIAN_POINT('',(50.235,47.75,-200.)); #472012=CARTESIAN_POINT('Origin',(50.375,47.75,0.0349999999999895)); #472013=CARTESIAN_POINT('Origin',(50.375,47.,-200.)); #472014=CARTESIAN_POINT('',(50.235,47.,0.)); #472015=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #472016=CARTESIAN_POINT('',(50.235,47.,0.0349999999999895)); #472017=CARTESIAN_POINT('',(50.235,47.,-200.)); #472018=CARTESIAN_POINT('Origin',(50.375,47.,0.0349999999999895)); #472019=CARTESIAN_POINT('Origin',(51.25,47.75,-200.)); #472020=CARTESIAN_POINT('',(51.11,47.75,0.)); #472021=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #472022=CARTESIAN_POINT('',(51.11,47.75,0.0349999999999895)); #472023=CARTESIAN_POINT('',(51.11,47.75,-200.)); #472024=CARTESIAN_POINT('Origin',(51.25,47.75,0.0349999999999895)); #472025=CARTESIAN_POINT('Origin',(51.25,47.,-200.)); #472026=CARTESIAN_POINT('',(51.11,47.,0.)); #472027=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #472028=CARTESIAN_POINT('',(51.11,47.,0.0349999999999895)); #472029=CARTESIAN_POINT('',(51.11,47.,-200.)); #472030=CARTESIAN_POINT('Origin',(51.25,47.,0.0349999999999895)); #472031=CARTESIAN_POINT('Origin',(41.271644,35.725,0.)); #472032=CARTESIAN_POINT('',(41.271644,35.725,0.)); #472033=CARTESIAN_POINT('',(41.314397,35.731772,0.)); #472034=CARTESIAN_POINT('',(41.271644,35.725,0.)); #472035=CARTESIAN_POINT('',(41.314397,35.731772,0.035)); #472036=CARTESIAN_POINT('',(41.314397,35.731772,0.)); #472037=CARTESIAN_POINT('',(41.271644,35.725,0.035)); #472038=CARTESIAN_POINT('',(41.271644,35.725,0.035)); #472039=CARTESIAN_POINT('',(41.271644,35.725,0.)); #472040=CARTESIAN_POINT('Origin',(41.250118471131,35.9995872515008,0.)); #472041=CARTESIAN_POINT('',(41.123,36.243928,0.)); #472042=CARTESIAN_POINT('Origin',(41.250118471131,35.9995872515008,0.)); #472043=CARTESIAN_POINT('',(41.123,36.243928,0.035)); #472044=CARTESIAN_POINT('Origin',(41.250118471131,35.9995872515008,0.035)); #472045=CARTESIAN_POINT('',(41.123,36.243928,0.)); #472046=CARTESIAN_POINT('Origin',(41.123,36.408988,0.)); #472047=CARTESIAN_POINT('',(41.123,36.408988,0.)); #472048=CARTESIAN_POINT('',(41.123,36.408988,0.)); #472049=CARTESIAN_POINT('',(41.123,36.408988,0.035)); #472050=CARTESIAN_POINT('',(41.123,36.408988,0.035)); #472051=CARTESIAN_POINT('',(41.123,36.408988,0.)); #472052=CARTESIAN_POINT('Origin',(41.2500003087252,36.4089887497004,0.)); #472053=CARTESIAN_POINT('',(41.160197,36.498791,0.)); #472054=CARTESIAN_POINT('Origin',(41.2500003087252,36.4089887497004,0.)); #472055=CARTESIAN_POINT('',(41.160197,36.498791,0.035)); #472056=CARTESIAN_POINT('Origin',(41.2500003087252,36.4089887497004,0.035)); #472057=CARTESIAN_POINT('',(41.160197,36.498791,0.)); #472058=CARTESIAN_POINT('Origin',(43.876209,39.214803,0.)); #472059=CARTESIAN_POINT('',(43.876209,39.214803,0.)); #472060=CARTESIAN_POINT('',(43.876209,39.214803,0.)); #472061=CARTESIAN_POINT('',(43.876209,39.214803,0.035)); #472062=CARTESIAN_POINT('',(43.876209,39.214803,0.035)); #472063=CARTESIAN_POINT('',(43.876209,39.214803,0.)); #472064=CARTESIAN_POINT('Origin',(43.9660117502996,39.124998484168,0.)); #472065=CARTESIAN_POINT('',(43.966013,39.252,0.)); #472066=CARTESIAN_POINT('Origin',(43.9660117502996,39.124998484168,0.)); #472067=CARTESIAN_POINT('',(43.966013,39.252,0.035)); #472068=CARTESIAN_POINT('Origin',(43.9660117502996,39.124998484168,0.035)); #472069=CARTESIAN_POINT('',(43.966013,39.252,0.)); #472070=CARTESIAN_POINT('Origin',(48.322394,39.252,0.)); #472071=CARTESIAN_POINT('',(48.322394,39.252,0.)); #472072=CARTESIAN_POINT('',(48.322394,39.252,0.)); #472073=CARTESIAN_POINT('',(48.322394,39.252,0.035)); #472074=CARTESIAN_POINT('',(48.322394,39.252,0.035)); #472075=CARTESIAN_POINT('',(48.322394,39.252,0.)); #472076=CARTESIAN_POINT('Origin',(48.623,39.552606,0.)); #472077=CARTESIAN_POINT('',(48.623,39.552606,0.)); #472078=CARTESIAN_POINT('',(48.623,39.552606,0.)); #472079=CARTESIAN_POINT('',(48.623,39.552606,0.035)); #472080=CARTESIAN_POINT('',(48.623,39.552606,0.035)); #472081=CARTESIAN_POINT('',(48.623,39.552606,0.)); #472082=CARTESIAN_POINT('Origin',(48.623,42.271125,0.)); #472083=CARTESIAN_POINT('',(48.623,42.271125,0.)); #472084=CARTESIAN_POINT('',(48.623,42.271125,0.)); #472085=CARTESIAN_POINT('',(48.623,42.271125,0.035)); #472086=CARTESIAN_POINT('',(48.623,42.271125,0.035)); #472087=CARTESIAN_POINT('',(48.623,42.271125,0.)); #472088=CARTESIAN_POINT('Origin',(48.575338,42.280016,0.)); #472089=CARTESIAN_POINT('',(48.575338,42.280016,0.)); #472090=CARTESIAN_POINT('',(48.575338,42.280016,0.)); #472091=CARTESIAN_POINT('',(48.575338,42.280016,0.035)); #472092=CARTESIAN_POINT('',(48.575338,42.280016,0.035)); #472093=CARTESIAN_POINT('',(48.575338,42.280016,0.)); #472094=CARTESIAN_POINT('Origin',(48.533197,42.307928,0.)); #472095=CARTESIAN_POINT('',(48.533197,42.307928,0.)); #472096=CARTESIAN_POINT('',(48.533197,42.307928,0.)); #472097=CARTESIAN_POINT('',(48.533197,42.307928,0.035)); #472098=CARTESIAN_POINT('',(48.533197,42.307928,0.035)); #472099=CARTESIAN_POINT('',(48.533197,42.307928,0.)); #472100=CARTESIAN_POINT('Origin',(48.507625,42.3335,0.)); #472101=CARTESIAN_POINT('',(48.507625,42.3335,0.)); #472102=CARTESIAN_POINT('',(48.507625,42.3335,0.)); #472103=CARTESIAN_POINT('',(48.507625,42.3335,0.035)); #472104=CARTESIAN_POINT('',(48.507625,42.3335,0.035)); #472105=CARTESIAN_POINT('',(48.507625,42.3335,0.)); #472106=CARTESIAN_POINT('Origin',(47.804394,42.3335,0.)); #472107=CARTESIAN_POINT('',(47.804394,42.3335,0.)); #472108=CARTESIAN_POINT('',(47.804394,42.3335,0.)); #472109=CARTESIAN_POINT('',(47.804394,42.3335,0.035)); #472110=CARTESIAN_POINT('',(47.804394,42.3335,0.035)); #472111=CARTESIAN_POINT('',(47.804394,42.3335,0.)); #472112=CARTESIAN_POINT('Origin',(47.756731,42.342784,0.)); #472113=CARTESIAN_POINT('',(47.756731,42.342784,0.)); #472114=CARTESIAN_POINT('',(47.756731,42.342784,0.)); #472115=CARTESIAN_POINT('',(47.756731,42.342784,0.035)); #472116=CARTESIAN_POINT('',(47.756731,42.342784,0.035)); #472117=CARTESIAN_POINT('',(47.756731,42.342784,0.)); #472118=CARTESIAN_POINT('Origin',(47.714591,42.370697,0.)); #472119=CARTESIAN_POINT('',(47.714591,42.370697,0.)); #472120=CARTESIAN_POINT('',(47.714591,42.370697,0.)); #472121=CARTESIAN_POINT('',(47.714591,42.370697,0.035)); #472122=CARTESIAN_POINT('',(47.714591,42.370697,0.035)); #472123=CARTESIAN_POINT('',(47.714591,42.370697,0.)); #472124=CARTESIAN_POINT('Origin',(47.356687,42.7286,0.)); #472125=CARTESIAN_POINT('',(47.356687,42.7286,0.)); #472126=CARTESIAN_POINT('',(47.356687,42.7286,0.)); #472127=CARTESIAN_POINT('',(47.356687,42.7286,0.035)); #472128=CARTESIAN_POINT('',(47.356687,42.7286,0.035)); #472129=CARTESIAN_POINT('',(47.356687,42.7286,0.)); #472130=CARTESIAN_POINT('Origin',(43.897294,42.7286,0.)); #472131=CARTESIAN_POINT('',(43.897294,42.7286,0.)); #472132=CARTESIAN_POINT('',(43.897294,42.7286,0.)); #472133=CARTESIAN_POINT('',(43.897294,42.7286,0.035)); #472134=CARTESIAN_POINT('',(43.897294,42.7286,0.035)); #472135=CARTESIAN_POINT('',(43.897294,42.7286,0.)); #472136=CARTESIAN_POINT('Origin',(43.849631,42.737884,0.)); #472137=CARTESIAN_POINT('',(43.849631,42.737884,0.)); #472138=CARTESIAN_POINT('',(43.849631,42.737884,0.)); #472139=CARTESIAN_POINT('',(43.849631,42.737884,0.035)); #472140=CARTESIAN_POINT('',(43.849631,42.737884,0.035)); #472141=CARTESIAN_POINT('',(43.849631,42.737884,0.)); #472142=CARTESIAN_POINT('Origin',(43.807491,42.765797,0.)); #472143=CARTESIAN_POINT('',(43.807491,42.765797,0.)); #472144=CARTESIAN_POINT('',(43.807491,42.765797,0.)); #472145=CARTESIAN_POINT('',(43.807491,42.765797,0.035)); #472146=CARTESIAN_POINT('',(43.807491,42.765797,0.035)); #472147=CARTESIAN_POINT('',(43.807491,42.765797,0.)); #472148=CARTESIAN_POINT('Origin',(43.265797,43.307491,0.)); #472149=CARTESIAN_POINT('',(43.265797,43.307491,0.)); #472150=CARTESIAN_POINT('',(43.265797,43.307491,0.)); #472151=CARTESIAN_POINT('',(43.265797,43.307491,0.035)); #472152=CARTESIAN_POINT('',(43.265797,43.307491,0.035)); #472153=CARTESIAN_POINT('',(43.265797,43.307491,0.)); #472154=CARTESIAN_POINT('Origin',(43.238659,43.347759,0.)); #472155=CARTESIAN_POINT('',(43.238659,43.347759,0.)); #472156=CARTESIAN_POINT('',(43.238659,43.347759,0.)); #472157=CARTESIAN_POINT('',(43.238659,43.347759,0.035)); #472158=CARTESIAN_POINT('',(43.238659,43.347759,0.035)); #472159=CARTESIAN_POINT('',(43.238659,43.347759,0.)); #472160=CARTESIAN_POINT('Origin',(43.2286,43.397294,0.)); #472161=CARTESIAN_POINT('',(43.2286,43.397294,0.)); #472162=CARTESIAN_POINT('',(43.2286,43.397294,0.)); #472163=CARTESIAN_POINT('',(43.2286,43.397294,0.035)); #472164=CARTESIAN_POINT('',(43.2286,43.397294,0.035)); #472165=CARTESIAN_POINT('',(43.2286,43.397294,0.)); #472166=CARTESIAN_POINT('Origin',(43.2286,49.102706,0.)); #472167=CARTESIAN_POINT('',(43.2286,49.102706,0.)); #472168=CARTESIAN_POINT('',(43.2286,49.102706,0.)); #472169=CARTESIAN_POINT('',(43.2286,49.102706,0.035)); #472170=CARTESIAN_POINT('',(43.2286,49.102706,0.035)); #472171=CARTESIAN_POINT('',(43.2286,49.102706,0.)); #472172=CARTESIAN_POINT('Origin',(43.237884,49.150369,0.)); #472173=CARTESIAN_POINT('',(43.237884,49.150369,0.)); #472174=CARTESIAN_POINT('',(43.237884,49.150369,0.)); #472175=CARTESIAN_POINT('',(43.237884,49.150369,0.035)); #472176=CARTESIAN_POINT('',(43.237884,49.150369,0.035)); #472177=CARTESIAN_POINT('',(43.237884,49.150369,0.)); #472178=CARTESIAN_POINT('Origin',(43.265797,49.192509,0.)); #472179=CARTESIAN_POINT('',(43.265797,49.192509,0.)); #472180=CARTESIAN_POINT('',(43.265797,49.192509,0.)); #472181=CARTESIAN_POINT('',(43.265797,49.192509,0.035)); #472182=CARTESIAN_POINT('',(43.265797,49.192509,0.035)); #472183=CARTESIAN_POINT('',(43.265797,49.192509,0.)); #472184=CARTESIAN_POINT('Origin',(43.557491,49.484203,0.)); #472185=CARTESIAN_POINT('',(43.557491,49.484203,0.)); #472186=CARTESIAN_POINT('',(43.557491,49.484203,0.)); #472187=CARTESIAN_POINT('',(43.557491,49.484203,0.035)); #472188=CARTESIAN_POINT('',(43.557491,49.484203,0.035)); #472189=CARTESIAN_POINT('',(43.557491,49.484203,0.)); #472190=CARTESIAN_POINT('Origin',(43.597759,49.511341,0.)); #472191=CARTESIAN_POINT('',(43.597759,49.511341,0.)); #472192=CARTESIAN_POINT('',(43.597759,49.511341,0.)); #472193=CARTESIAN_POINT('',(43.597759,49.511341,0.035)); #472194=CARTESIAN_POINT('',(43.597759,49.511341,0.035)); #472195=CARTESIAN_POINT('',(43.597759,49.511341,0.)); #472196=CARTESIAN_POINT('Origin',(43.647294,49.5214,0.)); #472197=CARTESIAN_POINT('',(43.647294,49.5214,0.)); #472198=CARTESIAN_POINT('',(43.647294,49.5214,0.)); #472199=CARTESIAN_POINT('',(43.647294,49.5214,0.035)); #472200=CARTESIAN_POINT('',(43.647294,49.5214,0.035)); #472201=CARTESIAN_POINT('',(43.647294,49.5214,0.)); #472202=CARTESIAN_POINT('Origin',(47.476559,49.5214,0.)); #472203=CARTESIAN_POINT('',(47.476559,49.5214,0.)); #472204=CARTESIAN_POINT('',(47.476559,49.5214,0.)); #472205=CARTESIAN_POINT('',(47.476559,49.5214,0.035)); #472206=CARTESIAN_POINT('',(47.476559,49.5214,0.035)); #472207=CARTESIAN_POINT('',(47.476559,49.5214,0.)); #472208=CARTESIAN_POINT('Origin',(47.524222,49.512116,0.)); #472209=CARTESIAN_POINT('',(47.524222,49.512116,0.)); #472210=CARTESIAN_POINT('',(47.524222,49.512116,0.)); #472211=CARTESIAN_POINT('',(47.524222,49.512116,0.035)); #472212=CARTESIAN_POINT('',(47.524222,49.512116,0.035)); #472213=CARTESIAN_POINT('',(47.524222,49.512116,0.)); #472214=CARTESIAN_POINT('Origin',(47.566363,49.484203,0.)); #472215=CARTESIAN_POINT('',(47.566363,49.484203,0.)); #472216=CARTESIAN_POINT('',(47.566363,49.484203,0.)); #472217=CARTESIAN_POINT('',(47.566363,49.484203,0.035)); #472218=CARTESIAN_POINT('',(47.566363,49.484203,0.035)); #472219=CARTESIAN_POINT('',(47.566363,49.484203,0.)); #472220=CARTESIAN_POINT('Origin',(47.727966,49.3226,0.)); #472221=CARTESIAN_POINT('',(47.727966,49.3226,0.)); #472222=CARTESIAN_POINT('',(47.727966,49.3226,0.)); #472223=CARTESIAN_POINT('',(47.727966,49.3226,0.035)); #472224=CARTESIAN_POINT('',(47.727966,49.3226,0.035)); #472225=CARTESIAN_POINT('',(47.727966,49.3226,0.)); #472226=CARTESIAN_POINT('Origin',(48.082034,49.3226,0.)); #472227=CARTESIAN_POINT('',(48.082034,49.3226,0.)); #472228=CARTESIAN_POINT('',(48.082034,49.3226,0.)); #472229=CARTESIAN_POINT('',(48.082034,49.3226,0.035)); #472230=CARTESIAN_POINT('',(48.082034,49.3226,0.035)); #472231=CARTESIAN_POINT('',(48.082034,49.3226,0.)); #472232=CARTESIAN_POINT('Origin',(48.3324,49.572966,0.)); #472233=CARTESIAN_POINT('',(48.3324,49.572966,0.)); #472234=CARTESIAN_POINT('',(48.3324,49.572966,0.)); #472235=CARTESIAN_POINT('',(48.3324,49.572966,0.035)); #472236=CARTESIAN_POINT('',(48.3324,49.572966,0.035)); #472237=CARTESIAN_POINT('',(48.3324,49.572966,0.)); #472238=CARTESIAN_POINT('Origin',(48.3324,49.656006,0.)); #472239=CARTESIAN_POINT('',(48.3324,49.656006,0.)); #472240=CARTESIAN_POINT('',(48.3324,49.656006,0.)); #472241=CARTESIAN_POINT('',(48.3324,49.656006,0.035)); #472242=CARTESIAN_POINT('',(48.3324,49.656006,0.035)); #472243=CARTESIAN_POINT('',(48.3324,49.656006,0.)); #472244=CARTESIAN_POINT('Origin',(48.348591,49.718053,0.)); #472245=CARTESIAN_POINT('',(48.348591,49.718053,0.)); #472246=CARTESIAN_POINT('',(48.348591,49.718053,0.)); #472247=CARTESIAN_POINT('',(48.348591,49.718053,0.035)); #472248=CARTESIAN_POINT('',(48.348591,49.718053,0.035)); #472249=CARTESIAN_POINT('',(48.348591,49.718053,0.)); #472250=CARTESIAN_POINT('Origin',(48.375588,49.749413,0.)); #472251=CARTESIAN_POINT('',(48.375588,49.749413,0.)); #472252=CARTESIAN_POINT('',(48.375588,49.749413,0.)); #472253=CARTESIAN_POINT('',(48.375588,49.749413,0.035)); #472254=CARTESIAN_POINT('',(48.375588,49.749413,0.035)); #472255=CARTESIAN_POINT('',(48.375588,49.749413,0.)); #472256=CARTESIAN_POINT('Origin',(48.375,49.75,0.)); #472257=CARTESIAN_POINT('',(48.375,49.75,0.)); #472258=CARTESIAN_POINT('',(48.375,49.75,0.)); #472259=CARTESIAN_POINT('',(48.375,49.75,0.035)); #472260=CARTESIAN_POINT('',(48.375,49.75,0.035)); #472261=CARTESIAN_POINT('',(48.375,49.75,0.)); #472262=CARTESIAN_POINT('Origin',(49.587803,50.962803,0.)); #472263=CARTESIAN_POINT('',(49.587803,50.962803,0.)); #472264=CARTESIAN_POINT('',(49.587803,50.962803,0.)); #472265=CARTESIAN_POINT('',(49.587803,50.962803,0.035)); #472266=CARTESIAN_POINT('',(49.587803,50.962803,0.035)); #472267=CARTESIAN_POINT('',(49.587803,50.962803,0.)); #472268=CARTESIAN_POINT('Origin',(49.628072,50.989941,0.)); #472269=CARTESIAN_POINT('',(49.628072,50.989941,0.)); #472270=CARTESIAN_POINT('',(49.628072,50.989941,0.)); #472271=CARTESIAN_POINT('',(49.628072,50.989941,0.035)); #472272=CARTESIAN_POINT('',(49.628072,50.989941,0.035)); #472273=CARTESIAN_POINT('',(49.628072,50.989941,0.)); #472274=CARTESIAN_POINT('Origin',(49.677606,51.,0.)); #472275=CARTESIAN_POINT('',(49.677606,51.,0.)); #472276=CARTESIAN_POINT('',(49.677606,51.,0.)); #472277=CARTESIAN_POINT('',(49.677606,51.,0.035)); #472278=CARTESIAN_POINT('',(49.677606,51.,0.035)); #472279=CARTESIAN_POINT('',(49.677606,51.,0.)); #472280=CARTESIAN_POINT('Origin',(51.0635,51.,0.)); #472281=CARTESIAN_POINT('',(51.0635,51.,0.)); #472282=CARTESIAN_POINT('',(51.0635,51.,0.)); #472283=CARTESIAN_POINT('',(51.0635,51.,0.035)); #472284=CARTESIAN_POINT('',(51.0635,51.,0.035)); #472285=CARTESIAN_POINT('',(51.0635,51.,0.)); #472286=CARTESIAN_POINT('Origin',(51.109281,50.991463,0.)); #472287=CARTESIAN_POINT('',(51.109281,50.991463,0.)); #472288=CARTESIAN_POINT('',(51.109281,50.991463,0.)); #472289=CARTESIAN_POINT('',(51.109281,50.991463,0.035)); #472290=CARTESIAN_POINT('',(51.109281,50.991463,0.035)); #472291=CARTESIAN_POINT('',(51.109281,50.991463,0.)); #472292=CARTESIAN_POINT('Origin',(51.151859,50.964222,0.)); #472293=CARTESIAN_POINT('',(51.151859,50.964222,0.)); #472294=CARTESIAN_POINT('',(51.151859,50.964222,0.)); #472295=CARTESIAN_POINT('',(51.151859,50.964222,0.035)); #472296=CARTESIAN_POINT('',(51.151859,50.964222,0.035)); #472297=CARTESIAN_POINT('',(51.151859,50.964222,0.)); #472298=CARTESIAN_POINT('Origin',(51.180441,50.922534,0.)); #472299=CARTESIAN_POINT('',(51.180441,50.922534,0.)); #472300=CARTESIAN_POINT('',(51.180441,50.922534,0.)); #472301=CARTESIAN_POINT('',(51.180441,50.922534,0.035)); #472302=CARTESIAN_POINT('',(51.180441,50.922534,0.035)); #472303=CARTESIAN_POINT('',(51.180441,50.922534,0.)); #472304=CARTESIAN_POINT('Origin',(51.1905,50.873,0.)); #472305=CARTESIAN_POINT('',(51.1905,50.873,0.)); #472306=CARTESIAN_POINT('',(51.1905,50.873,0.)); #472307=CARTESIAN_POINT('',(51.1905,50.873,0.035)); #472308=CARTESIAN_POINT('',(51.1905,50.873,0.035)); #472309=CARTESIAN_POINT('',(51.1905,50.873,0.)); #472310=CARTESIAN_POINT('Origin',(51.1905,49.992375,0.)); #472311=CARTESIAN_POINT('',(51.1905,49.992375,0.)); #472312=CARTESIAN_POINT('',(51.1905,49.992375,0.)); #472313=CARTESIAN_POINT('',(51.1905,49.992375,0.035)); #472314=CARTESIAN_POINT('',(51.1905,49.992375,0.035)); #472315=CARTESIAN_POINT('',(51.1905,49.992375,0.)); #472316=CARTESIAN_POINT('Origin',(51.279775,49.9031,0.)); #472317=CARTESIAN_POINT('',(51.279775,49.9031,0.)); #472318=CARTESIAN_POINT('',(51.279775,49.9031,0.)); #472319=CARTESIAN_POINT('',(51.279775,49.9031,0.035)); #472320=CARTESIAN_POINT('',(51.279775,49.9031,0.035)); #472321=CARTESIAN_POINT('',(51.279775,49.9031,0.)); #472322=CARTESIAN_POINT('Origin',(52.244225,49.9031,0.)); #472323=CARTESIAN_POINT('',(52.244225,49.9031,0.)); #472324=CARTESIAN_POINT('',(52.244225,49.9031,0.)); #472325=CARTESIAN_POINT('',(52.244225,49.9031,0.035)); #472326=CARTESIAN_POINT('',(52.244225,49.9031,0.035)); #472327=CARTESIAN_POINT('',(52.244225,49.9031,0.)); #472328=CARTESIAN_POINT('Origin',(52.3335,49.992375,0.)); #472329=CARTESIAN_POINT('',(52.3335,49.992375,0.)); #472330=CARTESIAN_POINT('',(52.3335,49.992375,0.)); #472331=CARTESIAN_POINT('',(52.3335,49.992375,0.035)); #472332=CARTESIAN_POINT('',(52.3335,49.992375,0.035)); #472333=CARTESIAN_POINT('',(52.3335,49.992375,0.)); #472334=CARTESIAN_POINT('Origin',(52.3335,50.1444,0.)); #472335=CARTESIAN_POINT('',(52.3335,50.1444,0.)); #472336=CARTESIAN_POINT('',(52.3335,50.1444,0.)); #472337=CARTESIAN_POINT('',(52.3335,50.1444,0.035)); #472338=CARTESIAN_POINT('',(52.3335,50.1444,0.035)); #472339=CARTESIAN_POINT('',(52.3335,50.1444,0.)); #472340=CARTESIAN_POINT('Origin',(52.342038,50.190181,0.)); #472341=CARTESIAN_POINT('',(52.342038,50.190181,0.)); #472342=CARTESIAN_POINT('',(52.342038,50.190181,0.)); #472343=CARTESIAN_POINT('',(52.342038,50.190181,0.035)); #472344=CARTESIAN_POINT('',(52.342038,50.190181,0.035)); #472345=CARTESIAN_POINT('',(52.342038,50.190181,0.)); #472346=CARTESIAN_POINT('Origin',(52.369278,50.232759,0.)); #472347=CARTESIAN_POINT('',(52.369278,50.232759,0.)); #472348=CARTESIAN_POINT('',(52.369278,50.232759,0.)); #472349=CARTESIAN_POINT('',(52.369278,50.232759,0.035)); #472350=CARTESIAN_POINT('',(52.369278,50.232759,0.035)); #472351=CARTESIAN_POINT('',(52.369278,50.232759,0.)); #472352=CARTESIAN_POINT('Origin',(52.410966,50.261341,0.)); #472353=CARTESIAN_POINT('',(52.410966,50.261341,0.)); #472354=CARTESIAN_POINT('',(52.410966,50.261341,0.)); #472355=CARTESIAN_POINT('',(52.410966,50.261341,0.035)); #472356=CARTESIAN_POINT('',(52.410966,50.261341,0.035)); #472357=CARTESIAN_POINT('',(52.410966,50.261341,0.)); #472358=CARTESIAN_POINT('Origin',(52.4605,50.2714,0.)); #472359=CARTESIAN_POINT('',(52.4605,50.2714,0.)); #472360=CARTESIAN_POINT('',(52.4605,50.2714,0.)); #472361=CARTESIAN_POINT('',(52.4605,50.2714,0.035)); #472362=CARTESIAN_POINT('',(52.4605,50.2714,0.035)); #472363=CARTESIAN_POINT('',(52.4605,50.2714,0.)); #472364=CARTESIAN_POINT('Origin',(52.602706,50.2714,0.)); #472365=CARTESIAN_POINT('',(52.602706,50.2714,0.)); #472366=CARTESIAN_POINT('',(52.602706,50.2714,0.)); #472367=CARTESIAN_POINT('',(52.602706,50.2714,0.035)); #472368=CARTESIAN_POINT('',(52.602706,50.2714,0.035)); #472369=CARTESIAN_POINT('',(52.602706,50.2714,0.)); #472370=CARTESIAN_POINT('Origin',(52.650369,50.262116,0.)); #472371=CARTESIAN_POINT('',(52.650369,50.262116,0.)); #472372=CARTESIAN_POINT('',(52.650369,50.262116,0.)); #472373=CARTESIAN_POINT('',(52.650369,50.262116,0.035)); #472374=CARTESIAN_POINT('',(52.650369,50.262116,0.035)); #472375=CARTESIAN_POINT('',(52.650369,50.262116,0.)); #472376=CARTESIAN_POINT('Origin',(52.692509,50.234203,0.)); #472377=CARTESIAN_POINT('',(52.692509,50.234203,0.)); #472378=CARTESIAN_POINT('',(52.692509,50.234203,0.)); #472379=CARTESIAN_POINT('',(52.692509,50.234203,0.035)); #472380=CARTESIAN_POINT('',(52.692509,50.234203,0.035)); #472381=CARTESIAN_POINT('',(52.692509,50.234203,0.)); #472382=CARTESIAN_POINT('Origin',(52.734203,50.192509,0.)); #472383=CARTESIAN_POINT('',(52.734203,50.192509,0.)); #472384=CARTESIAN_POINT('',(52.734203,50.192509,0.)); #472385=CARTESIAN_POINT('',(52.734203,50.192509,0.035)); #472386=CARTESIAN_POINT('',(52.734203,50.192509,0.035)); #472387=CARTESIAN_POINT('',(52.734203,50.192509,0.)); #472388=CARTESIAN_POINT('Origin',(52.761341,50.152241,0.)); #472389=CARTESIAN_POINT('',(52.761341,50.152241,0.)); #472390=CARTESIAN_POINT('',(52.761341,50.152241,0.)); #472391=CARTESIAN_POINT('',(52.761341,50.152241,0.035)); #472392=CARTESIAN_POINT('',(52.761341,50.152241,0.035)); #472393=CARTESIAN_POINT('',(52.761341,50.152241,0.)); #472394=CARTESIAN_POINT('Origin',(52.7714,50.102706,0.)); #472395=CARTESIAN_POINT('',(52.7714,50.102706,0.)); #472396=CARTESIAN_POINT('',(52.7714,50.102706,0.)); #472397=CARTESIAN_POINT('',(52.7714,50.102706,0.035)); #472398=CARTESIAN_POINT('',(52.7714,50.102706,0.035)); #472399=CARTESIAN_POINT('',(52.7714,50.102706,0.)); #472400=CARTESIAN_POINT('Origin',(52.7714,46.147294,0.)); #472401=CARTESIAN_POINT('',(52.7714,46.147294,0.)); #472402=CARTESIAN_POINT('',(52.7714,46.147294,0.)); #472403=CARTESIAN_POINT('',(52.7714,46.147294,0.035)); #472404=CARTESIAN_POINT('',(52.7714,46.147294,0.035)); #472405=CARTESIAN_POINT('',(52.7714,46.147294,0.)); #472406=CARTESIAN_POINT('Origin',(52.762116,46.099631,0.)); #472407=CARTESIAN_POINT('',(52.762116,46.099631,0.)); #472408=CARTESIAN_POINT('',(52.762116,46.099631,0.)); #472409=CARTESIAN_POINT('',(52.762116,46.099631,0.035)); #472410=CARTESIAN_POINT('',(52.762116,46.099631,0.035)); #472411=CARTESIAN_POINT('',(52.762116,46.099631,0.)); #472412=CARTESIAN_POINT('Origin',(52.734203,46.057491,0.)); #472413=CARTESIAN_POINT('',(52.734203,46.057491,0.)); #472414=CARTESIAN_POINT('',(52.734203,46.057491,0.)); #472415=CARTESIAN_POINT('',(52.734203,46.057491,0.035)); #472416=CARTESIAN_POINT('',(52.734203,46.057491,0.035)); #472417=CARTESIAN_POINT('',(52.734203,46.057491,0.)); #472418=CARTESIAN_POINT('Origin',(52.442509,45.765797,0.)); #472419=CARTESIAN_POINT('',(52.442509,45.765797,0.)); #472420=CARTESIAN_POINT('',(52.442509,45.765797,0.)); #472421=CARTESIAN_POINT('',(52.442509,45.765797,0.035)); #472422=CARTESIAN_POINT('',(52.442509,45.765797,0.035)); #472423=CARTESIAN_POINT('',(52.442509,45.765797,0.)); #472424=CARTESIAN_POINT('Origin',(52.402241,45.738659,0.)); #472425=CARTESIAN_POINT('',(52.402241,45.738659,0.)); #472426=CARTESIAN_POINT('',(52.402241,45.738659,0.)); #472427=CARTESIAN_POINT('',(52.402241,45.738659,0.035)); #472428=CARTESIAN_POINT('',(52.402241,45.738659,0.035)); #472429=CARTESIAN_POINT('',(52.402241,45.738659,0.)); #472430=CARTESIAN_POINT('Origin',(52.352706,45.7286,0.)); #472431=CARTESIAN_POINT('',(52.352706,45.7286,0.)); #472432=CARTESIAN_POINT('',(52.352706,45.7286,0.)); #472433=CARTESIAN_POINT('',(52.352706,45.7286,0.035)); #472434=CARTESIAN_POINT('',(52.352706,45.7286,0.035)); #472435=CARTESIAN_POINT('',(52.352706,45.7286,0.)); #472436=CARTESIAN_POINT('Origin',(49.905313,45.7286,0.)); #472437=CARTESIAN_POINT('',(49.905313,45.7286,0.)); #472438=CARTESIAN_POINT('',(49.905313,45.7286,0.)); #472439=CARTESIAN_POINT('',(49.905313,45.7286,0.035)); #472440=CARTESIAN_POINT('',(49.905313,45.7286,0.035)); #472441=CARTESIAN_POINT('',(49.905313,45.7286,0.)); #472442=CARTESIAN_POINT('Origin',(48.8964,44.719687,0.)); #472443=CARTESIAN_POINT('',(48.8964,44.719687,0.)); #472444=CARTESIAN_POINT('',(48.8964,44.719687,0.)); #472445=CARTESIAN_POINT('',(48.8964,44.719687,0.035)); #472446=CARTESIAN_POINT('',(48.8964,44.719687,0.035)); #472447=CARTESIAN_POINT('',(48.8964,44.719687,0.)); #472448=CARTESIAN_POINT('Origin',(48.8964,44.449006,0.)); #472449=CARTESIAN_POINT('',(48.8964,44.449006,0.)); #472450=CARTESIAN_POINT('',(48.8964,44.449006,0.)); #472451=CARTESIAN_POINT('',(48.8964,44.449006,0.035)); #472452=CARTESIAN_POINT('',(48.8964,44.449006,0.035)); #472453=CARTESIAN_POINT('',(48.8964,44.449006,0.)); #472454=CARTESIAN_POINT('Origin',(48.887116,44.401344,0.)); #472455=CARTESIAN_POINT('',(48.887116,44.401344,0.)); #472456=CARTESIAN_POINT('',(48.887116,44.401344,0.)); #472457=CARTESIAN_POINT('',(48.887116,44.401344,0.035)); #472458=CARTESIAN_POINT('',(48.887116,44.401344,0.035)); #472459=CARTESIAN_POINT('',(48.887116,44.401344,0.)); #472460=CARTESIAN_POINT('Origin',(48.877,44.386072,0.)); #472461=CARTESIAN_POINT('',(48.877,44.386072,0.)); #472462=CARTESIAN_POINT('',(48.877,44.386072,0.)); #472463=CARTESIAN_POINT('',(48.877,44.386072,0.035)); #472464=CARTESIAN_POINT('',(48.877,44.386072,0.035)); #472465=CARTESIAN_POINT('',(48.877,44.386072,0.)); #472466=CARTESIAN_POINT('Origin',(48.877,39.5,0.)); #472467=CARTESIAN_POINT('',(48.877,39.5,0.)); #472468=CARTESIAN_POINT('',(48.877,39.5,0.)); #472469=CARTESIAN_POINT('',(48.877,39.5,0.035)); #472470=CARTESIAN_POINT('',(48.877,39.5,0.035)); #472471=CARTESIAN_POINT('',(48.877,39.5,0.)); #472472=CARTESIAN_POINT('Origin',(48.7499996912748,39.4999992502996,0.)); #472473=CARTESIAN_POINT('',(48.839803,39.410197,0.)); #472474=CARTESIAN_POINT('Origin',(48.7499996912748,39.4999992502996,0.)); #472475=CARTESIAN_POINT('',(48.839803,39.410197,0.035)); #472476=CARTESIAN_POINT('Origin',(48.7499996912748,39.4999992502996,0.035)); #472477=CARTESIAN_POINT('',(48.839803,39.410197,0.)); #472478=CARTESIAN_POINT('Origin',(48.464803,39.035197,0.)); #472479=CARTESIAN_POINT('',(48.464803,39.035197,0.)); #472480=CARTESIAN_POINT('',(48.464803,39.035197,0.)); #472481=CARTESIAN_POINT('',(48.464803,39.035197,0.035)); #472482=CARTESIAN_POINT('',(48.464803,39.035197,0.035)); #472483=CARTESIAN_POINT('',(48.464803,39.035197,0.)); #472484=CARTESIAN_POINT('Origin',(48.3750007497004,39.1250003087252,0.)); #472485=CARTESIAN_POINT('',(48.375,38.998,0.)); #472486=CARTESIAN_POINT('Origin',(48.3750007497004,39.1250003087252,0.)); #472487=CARTESIAN_POINT('',(48.375,38.998,0.035)); #472488=CARTESIAN_POINT('Origin',(48.3750007497004,39.1250003087252,0.035)); #472489=CARTESIAN_POINT('',(48.375,38.998,0.)); #472490=CARTESIAN_POINT('Origin',(44.018619,38.998,0.)); #472491=CARTESIAN_POINT('',(44.018619,38.998,0.)); #472492=CARTESIAN_POINT('',(44.018619,38.998,0.)); #472493=CARTESIAN_POINT('',(44.018619,38.998,0.035)); #472494=CARTESIAN_POINT('',(44.018619,38.998,0.035)); #472495=CARTESIAN_POINT('',(44.018619,38.998,0.)); #472496=CARTESIAN_POINT('Origin',(41.377,36.356381,0.)); #472497=CARTESIAN_POINT('',(41.377,36.356381,0.)); #472498=CARTESIAN_POINT('',(41.377,36.356381,0.)); #472499=CARTESIAN_POINT('',(41.377,36.356381,0.035)); #472500=CARTESIAN_POINT('',(41.377,36.356381,0.035)); #472501=CARTESIAN_POINT('',(41.377,36.356381,0.)); #472502=CARTESIAN_POINT('Origin',(41.377,36.243928,0.)); #472503=CARTESIAN_POINT('',(41.377,36.243928,0.)); #472504=CARTESIAN_POINT('',(41.377,36.243928,0.)); #472505=CARTESIAN_POINT('',(41.377,36.243928,0.035)); #472506=CARTESIAN_POINT('',(41.377,36.243928,0.035)); #472507=CARTESIAN_POINT('',(41.377,36.243928,0.)); #472508=CARTESIAN_POINT('Origin',(41.2499453752955,35.999554310534,0.)); #472509=CARTESIAN_POINT('Origin',(41.2499453752955,35.999554310534,0.)); #472510=CARTESIAN_POINT('Origin',(41.2499453752955,35.999554310534,0.035)); #472511=CARTESIAN_POINT('Origin',(46.8721740485171,43.5168897953292,0.035)); #472512=CARTESIAN_POINT('Origin',(46.8721740485171,43.5168897953292,0.)); #472513=CARTESIAN_POINT('Origin',(41.25,31.,-200.)); #472514=CARTESIAN_POINT('',(41.11,31.,0.)); #472515=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #472516=CARTESIAN_POINT('',(41.11,31.,0.0349999999999895)); #472517=CARTESIAN_POINT('',(41.11,31.,-200.)); #472518=CARTESIAN_POINT('Origin',(41.25,31.,0.0349999999999895)); #472519=CARTESIAN_POINT('Origin',(35.8875,20.0875,0.)); #472520=CARTESIAN_POINT('',(35.8875,20.0875,0.)); #472521=CARTESIAN_POINT('',(35.8875,20.7125,0.)); #472522=CARTESIAN_POINT('',(35.8875,20.0875,0.)); #472523=CARTESIAN_POINT('',(35.8875,20.7125,0.035)); #472524=CARTESIAN_POINT('',(35.8875,20.7125,0.)); #472525=CARTESIAN_POINT('',(35.8875,20.0875,0.035)); #472526=CARTESIAN_POINT('',(35.8875,20.0875,0.035)); #472527=CARTESIAN_POINT('',(35.8875,20.0875,0.)); #472528=CARTESIAN_POINT('Origin',(35.6125,20.0875,0.)); #472529=CARTESIAN_POINT('',(35.6125,20.0875,0.)); #472530=CARTESIAN_POINT('',(35.6125,20.0875,0.)); #472531=CARTESIAN_POINT('',(35.6125,20.0875,0.035)); #472532=CARTESIAN_POINT('',(35.6125,20.0875,0.035)); #472533=CARTESIAN_POINT('',(35.6125,20.0875,0.)); #472534=CARTESIAN_POINT('Origin',(35.6125,20.7125,0.)); #472535=CARTESIAN_POINT('',(35.6125,20.7125,0.)); #472536=CARTESIAN_POINT('',(35.6125,20.7125,0.)); #472537=CARTESIAN_POINT('',(35.6125,20.7125,0.035)); #472538=CARTESIAN_POINT('',(35.6125,20.7125,0.035)); #472539=CARTESIAN_POINT('',(35.6125,20.7125,0.)); #472540=CARTESIAN_POINT('Origin',(35.623,20.7125,0.)); #472541=CARTESIAN_POINT('',(35.623,20.7125,0.)); #472542=CARTESIAN_POINT('',(35.623,20.7125,0.)); #472543=CARTESIAN_POINT('',(35.623,20.7125,0.035)); #472544=CARTESIAN_POINT('',(35.623,20.7125,0.035)); #472545=CARTESIAN_POINT('',(35.623,20.7125,0.)); #472546=CARTESIAN_POINT('Origin',(35.623,21.87865,0.)); #472547=CARTESIAN_POINT('',(35.623,21.87865,0.)); #472548=CARTESIAN_POINT('',(35.623,21.87865,0.)); #472549=CARTESIAN_POINT('',(35.623,21.87865,0.035)); #472550=CARTESIAN_POINT('',(35.623,21.87865,0.035)); #472551=CARTESIAN_POINT('',(35.623,21.87865,0.)); #472552=CARTESIAN_POINT('Origin',(35.7500003087252,21.8786507497004,0.)); #472553=CARTESIAN_POINT('',(35.660197,21.968453,0.)); #472554=CARTESIAN_POINT('Origin',(35.7500003087252,21.8786507497004,0.)); #472555=CARTESIAN_POINT('',(35.660197,21.968453,0.035)); #472556=CARTESIAN_POINT('Origin',(35.7500003087252,21.8786507497004,0.035)); #472557=CARTESIAN_POINT('',(35.660197,21.968453,0.)); #472558=CARTESIAN_POINT('Origin',(38.373,24.681256,0.)); #472559=CARTESIAN_POINT('',(38.373,24.681256,0.)); #472560=CARTESIAN_POINT('',(38.373,24.681256,0.)); #472561=CARTESIAN_POINT('',(38.373,24.681256,0.035)); #472562=CARTESIAN_POINT('',(38.373,24.681256,0.035)); #472563=CARTESIAN_POINT('',(38.373,24.681256,0.)); #472564=CARTESIAN_POINT('Origin',(38.373,26.310675,0.)); #472565=CARTESIAN_POINT('',(38.373,26.310675,0.)); #472566=CARTESIAN_POINT('',(38.373,26.310675,0.)); #472567=CARTESIAN_POINT('',(38.373,26.310675,0.035)); #472568=CARTESIAN_POINT('',(38.373,26.310675,0.035)); #472569=CARTESIAN_POINT('',(38.373,26.310675,0.)); #472570=CARTESIAN_POINT('Origin',(38.5000003087252,26.3106757497004,0.)); #472571=CARTESIAN_POINT('',(38.410197,26.400478,0.)); #472572=CARTESIAN_POINT('Origin',(38.5000003087252,26.3106757497004,0.)); #472573=CARTESIAN_POINT('',(38.410197,26.400478,0.035)); #472574=CARTESIAN_POINT('Origin',(38.5000003087252,26.3106757497004,0.035)); #472575=CARTESIAN_POINT('',(38.410197,26.400478,0.)); #472576=CARTESIAN_POINT('Origin',(41.123,29.113281,0.)); #472577=CARTESIAN_POINT('',(41.123,29.113281,0.)); #472578=CARTESIAN_POINT('',(41.123,29.113281,0.)); #472579=CARTESIAN_POINT('',(41.123,29.113281,0.035)); #472580=CARTESIAN_POINT('',(41.123,29.113281,0.035)); #472581=CARTESIAN_POINT('',(41.123,29.113281,0.)); #472582=CARTESIAN_POINT('Origin',(41.123,30.756072,0.)); #472583=CARTESIAN_POINT('',(41.123,30.756072,0.)); #472584=CARTESIAN_POINT('',(41.123,30.756072,0.)); #472585=CARTESIAN_POINT('',(41.123,30.756072,0.035)); #472586=CARTESIAN_POINT('',(41.123,30.756072,0.035)); #472587=CARTESIAN_POINT('',(41.123,30.756072,0.)); #472588=CARTESIAN_POINT('Origin',(41.2500204601791,31.0004637716938,0.)); #472589=CARTESIAN_POINT('',(41.144438,31.254853,0.)); #472590=CARTESIAN_POINT('Origin',(41.2500204601791,31.0004637716938,0.)); #472591=CARTESIAN_POINT('',(41.144438,31.254853,0.035)); #472592=CARTESIAN_POINT('Origin',(41.2500204601791,31.0004637716938,0.035)); #472593=CARTESIAN_POINT('',(41.144438,31.254853,0.)); #472594=CARTESIAN_POINT('Origin',(41.2498222285952,31.000381763261,0.)); #472595=CARTESIAN_POINT('',(41.377,30.756072,0.)); #472596=CARTESIAN_POINT('Origin',(41.2498222285952,31.000381763261,0.)); #472597=CARTESIAN_POINT('',(41.377,30.756072,0.035)); #472598=CARTESIAN_POINT('Origin',(41.2498222285952,31.000381763261,0.035)); #472599=CARTESIAN_POINT('',(41.377,30.756072,0.)); #472600=CARTESIAN_POINT('Origin',(41.377,29.060675,0.)); #472601=CARTESIAN_POINT('',(41.377,29.060675,0.)); #472602=CARTESIAN_POINT('',(41.377,29.060675,0.)); #472603=CARTESIAN_POINT('',(41.377,29.060675,0.035)); #472604=CARTESIAN_POINT('',(41.377,29.060675,0.035)); #472605=CARTESIAN_POINT('',(41.377,29.060675,0.)); #472606=CARTESIAN_POINT('Origin',(41.2499996912748,29.0606742502996,0.)); #472607=CARTESIAN_POINT('',(41.339803,28.970872,0.)); #472608=CARTESIAN_POINT('Origin',(41.2499996912748,29.0606742502996,0.)); #472609=CARTESIAN_POINT('',(41.339803,28.970872,0.035)); #472610=CARTESIAN_POINT('Origin',(41.2499996912748,29.0606742502996,0.035)); #472611=CARTESIAN_POINT('',(41.339803,28.970872,0.)); #472612=CARTESIAN_POINT('Origin',(38.627,26.258069,0.)); #472613=CARTESIAN_POINT('',(38.627,26.258069,0.)); #472614=CARTESIAN_POINT('',(38.627,26.258069,0.)); #472615=CARTESIAN_POINT('',(38.627,26.258069,0.035)); #472616=CARTESIAN_POINT('',(38.627,26.258069,0.035)); #472617=CARTESIAN_POINT('',(38.627,26.258069,0.)); #472618=CARTESIAN_POINT('Origin',(38.627,24.62865,0.)); #472619=CARTESIAN_POINT('',(38.627,24.62865,0.)); #472620=CARTESIAN_POINT('',(38.627,24.62865,0.)); #472621=CARTESIAN_POINT('',(38.627,24.62865,0.035)); #472622=CARTESIAN_POINT('',(38.627,24.62865,0.035)); #472623=CARTESIAN_POINT('',(38.627,24.62865,0.)); #472624=CARTESIAN_POINT('Origin',(38.4999996912748,24.6286492502996,0.)); #472625=CARTESIAN_POINT('',(38.589803,24.538847,0.)); #472626=CARTESIAN_POINT('Origin',(38.4999996912748,24.6286492502996,0.)); #472627=CARTESIAN_POINT('',(38.589803,24.538847,0.035)); #472628=CARTESIAN_POINT('Origin',(38.4999996912748,24.6286492502996,0.035)); #472629=CARTESIAN_POINT('',(38.589803,24.538847,0.)); #472630=CARTESIAN_POINT('Origin',(35.877,21.826044,0.)); #472631=CARTESIAN_POINT('',(35.877,21.826044,0.)); #472632=CARTESIAN_POINT('',(35.877,21.826044,0.)); #472633=CARTESIAN_POINT('',(35.877,21.826044,0.035)); #472634=CARTESIAN_POINT('',(35.877,21.826044,0.035)); #472635=CARTESIAN_POINT('',(35.877,21.826044,0.)); #472636=CARTESIAN_POINT('Origin',(35.877,20.7125,0.)); #472637=CARTESIAN_POINT('',(35.877,20.7125,0.)); #472638=CARTESIAN_POINT('',(35.877,20.7125,0.)); #472639=CARTESIAN_POINT('',(35.877,20.7125,0.035)); #472640=CARTESIAN_POINT('',(35.877,20.7125,0.035)); #472641=CARTESIAN_POINT('',(35.877,20.7125,0.)); #472642=CARTESIAN_POINT('Origin',(35.8875,20.7125,0.)); #472643=CARTESIAN_POINT('',(35.8875,20.7125,0.)); #472644=CARTESIAN_POINT('',(35.8875,20.7125,0.035)); #472645=CARTESIAN_POINT('Origin',(38.5971607495462,25.6712692573498,0.035)); #472646=CARTESIAN_POINT('Origin',(38.5971607495462,25.6712692573498,0.)); #472647=CARTESIAN_POINT('Origin',(33.25,5.5,-200.)); #472648=CARTESIAN_POINT('',(33.11,5.5,0.)); #472649=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #472650=CARTESIAN_POINT('',(33.11,5.5,0.0349999999999895)); #472651=CARTESIAN_POINT('',(33.11,5.5,-200.)); #472652=CARTESIAN_POINT('Origin',(33.25,5.5,0.0349999999999895)); #472653=CARTESIAN_POINT('Origin',(34.,5.5,-200.)); #472654=CARTESIAN_POINT('',(33.86,5.5,0.)); #472655=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #472656=CARTESIAN_POINT('',(33.86,5.5,0.0349999999999895)); #472657=CARTESIAN_POINT('',(33.86,5.5,-200.)); #472658=CARTESIAN_POINT('Origin',(34.,5.5,0.0349999999999895)); #472659=CARTESIAN_POINT('Origin',(39.25,17.5,-200.)); #472660=CARTESIAN_POINT('',(39.11,17.5,0.)); #472661=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #472662=CARTESIAN_POINT('',(39.11,17.5,0.0349999999999895)); #472663=CARTESIAN_POINT('',(39.11,17.5,-200.)); #472664=CARTESIAN_POINT('Origin',(39.25,17.5,0.0349999999999895)); #472665=CARTESIAN_POINT('Origin',(37.25,8.75,-200.)); #472666=CARTESIAN_POINT('',(37.11,8.75,0.)); #472667=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #472668=CARTESIAN_POINT('',(37.11,8.75,0.0349999999999895)); #472669=CARTESIAN_POINT('',(37.11,8.75,-200.)); #472670=CARTESIAN_POINT('Origin',(37.25,8.75,0.0349999999999895)); #472671=CARTESIAN_POINT('Origin',(31.6,7.6,-200.)); #472672=CARTESIAN_POINT('',(31.485,7.6,0.)); #472673=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #472674=CARTESIAN_POINT('',(31.485,7.6,0.0349999999999895)); #472675=CARTESIAN_POINT('',(31.485,7.6,-200.)); #472676=CARTESIAN_POINT('Origin',(31.6,7.6,0.0349999999999895)); #472677=CARTESIAN_POINT('Origin',(36.4,7.1,-200.)); #472678=CARTESIAN_POINT('',(36.285,7.1,0.)); #472679=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #472680=CARTESIAN_POINT('',(36.285,7.1,0.0349999999999895)); #472681=CARTESIAN_POINT('',(36.285,7.1,-200.)); #472682=CARTESIAN_POINT('Origin',(36.4,7.1,0.0349999999999895)); #472683=CARTESIAN_POINT('Origin',(36.4,7.6,-200.)); #472684=CARTESIAN_POINT('',(36.285,7.6,0.)); #472685=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #472686=CARTESIAN_POINT('',(36.285,7.6,0.0349999999999895)); #472687=CARTESIAN_POINT('',(36.285,7.6,-200.)); #472688=CARTESIAN_POINT('Origin',(36.4,7.6,0.0349999999999895)); #472689=CARTESIAN_POINT('Origin',(31.6,7.1,-200.)); #472690=CARTESIAN_POINT('',(31.485,7.1,0.)); #472691=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #472692=CARTESIAN_POINT('',(31.485,7.1,0.0349999999999895)); #472693=CARTESIAN_POINT('',(31.485,7.1,-200.)); #472694=CARTESIAN_POINT('Origin',(31.6,7.1,0.0349999999999895)); #472695=CARTESIAN_POINT('Origin',(30.75,9.5,-200.)); #472696=CARTESIAN_POINT('',(30.61,9.5,0.)); #472697=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #472698=CARTESIAN_POINT('',(30.61,9.5,0.0349999999999895)); #472699=CARTESIAN_POINT('',(30.61,9.5,-200.)); #472700=CARTESIAN_POINT('Origin',(30.75,9.5,0.0349999999999895)); #472701=CARTESIAN_POINT('Origin',(30.75,8.75,-200.)); #472702=CARTESIAN_POINT('',(30.61,8.75,0.)); #472703=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #472704=CARTESIAN_POINT('',(30.61,8.75,0.0349999999999895)); #472705=CARTESIAN_POINT('',(30.61,8.75,-200.)); #472706=CARTESIAN_POINT('Origin',(30.75,8.75,0.0349999999999895)); #472707=CARTESIAN_POINT('Origin',(34.75,5.5,-200.)); #472708=CARTESIAN_POINT('',(34.61,5.5,0.)); #472709=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #472710=CARTESIAN_POINT('',(34.61,5.5,0.0349999999999895)); #472711=CARTESIAN_POINT('',(34.61,5.5,-200.)); #472712=CARTESIAN_POINT('Origin',(34.75,5.5,0.0349999999999895)); #472713=CARTESIAN_POINT('Origin',(31.5,8.75,-200.)); #472714=CARTESIAN_POINT('',(31.36,8.75,0.)); #472715=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #472716=CARTESIAN_POINT('',(31.36,8.75,0.0349999999999895)); #472717=CARTESIAN_POINT('',(31.36,8.75,-200.)); #472718=CARTESIAN_POINT('Origin',(31.5,8.75,0.0349999999999895)); #472719=CARTESIAN_POINT('Origin',(36.5,8.75,-200.)); #472720=CARTESIAN_POINT('',(36.36,8.75,0.)); #472721=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #472722=CARTESIAN_POINT('',(36.36,8.75,0.0349999999999895)); #472723=CARTESIAN_POINT('',(36.36,8.75,-200.)); #472724=CARTESIAN_POINT('Origin',(36.5,8.75,0.0349999999999895)); #472725=CARTESIAN_POINT('Origin',(31.5,9.5,-200.)); #472726=CARTESIAN_POINT('',(31.36,9.5,0.)); #472727=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #472728=CARTESIAN_POINT('',(31.36,9.5,0.0349999999999895)); #472729=CARTESIAN_POINT('',(31.36,9.5,-200.)); #472730=CARTESIAN_POINT('Origin',(31.5,9.5,0.0349999999999895)); #472731=CARTESIAN_POINT('Origin',(36.5,9.5,-200.)); #472732=CARTESIAN_POINT('',(36.36,9.5,0.)); #472733=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #472734=CARTESIAN_POINT('',(36.36,9.5,0.0349999999999895)); #472735=CARTESIAN_POINT('',(36.36,9.5,-200.)); #472736=CARTESIAN_POINT('Origin',(36.5,9.5,0.0349999999999895)); #472737=CARTESIAN_POINT('Origin',(37.25,9.5,-200.)); #472738=CARTESIAN_POINT('',(37.11,9.5,0.)); #472739=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #472740=CARTESIAN_POINT('',(37.11,9.5,0.0349999999999895)); #472741=CARTESIAN_POINT('',(37.11,9.5,-200.)); #472742=CARTESIAN_POINT('Origin',(37.25,9.5,0.0349999999999895)); #472743=CARTESIAN_POINT('Origin',(41.427034,12.5755,0.)); #472744=CARTESIAN_POINT('',(41.427034,12.5755,0.)); #472745=CARTESIAN_POINT('',(41.072966,12.5755,0.)); #472746=CARTESIAN_POINT('',(41.427034,12.5755,0.)); #472747=CARTESIAN_POINT('',(41.072966,12.5755,0.035)); #472748=CARTESIAN_POINT('',(41.072966,12.5755,0.)); #472749=CARTESIAN_POINT('',(41.427034,12.5755,0.035)); #472750=CARTESIAN_POINT('',(41.427034,12.5755,0.035)); #472751=CARTESIAN_POINT('',(41.427034,12.5755,0.)); #472752=CARTESIAN_POINT('Origin',(41.6774,12.825866,0.)); #472753=CARTESIAN_POINT('',(41.6774,12.825866,0.)); #472754=CARTESIAN_POINT('',(41.6774,12.825866,0.)); #472755=CARTESIAN_POINT('',(41.6774,12.825866,0.035)); #472756=CARTESIAN_POINT('',(41.6774,12.825866,0.035)); #472757=CARTESIAN_POINT('',(41.6774,12.825866,0.)); #472758=CARTESIAN_POINT('Origin',(41.6774,13.054406,0.)); #472759=CARTESIAN_POINT('',(41.6774,13.054406,0.)); #472760=CARTESIAN_POINT('',(41.6774,13.054406,0.)); #472761=CARTESIAN_POINT('',(41.6774,13.054406,0.035)); #472762=CARTESIAN_POINT('',(41.6774,13.054406,0.035)); #472763=CARTESIAN_POINT('',(41.6774,13.054406,0.)); #472764=CARTESIAN_POINT('Origin',(41.686684,13.102069,0.)); #472765=CARTESIAN_POINT('',(41.686684,13.102069,0.)); #472766=CARTESIAN_POINT('',(41.686684,13.102069,0.)); #472767=CARTESIAN_POINT('',(41.686684,13.102069,0.035)); #472768=CARTESIAN_POINT('',(41.686684,13.102069,0.035)); #472769=CARTESIAN_POINT('',(41.686684,13.102069,0.)); #472770=CARTESIAN_POINT('Origin',(41.714597,13.144209,0.)); #472771=CARTESIAN_POINT('',(41.714597,13.144209,0.)); #472772=CARTESIAN_POINT('',(41.714597,13.144209,0.)); #472773=CARTESIAN_POINT('',(41.714597,13.144209,0.035)); #472774=CARTESIAN_POINT('',(41.714597,13.144209,0.035)); #472775=CARTESIAN_POINT('',(41.714597,13.144209,0.)); #472776=CARTESIAN_POINT('Origin',(42.355791,13.785403,0.)); #472777=CARTESIAN_POINT('',(42.355791,13.785403,0.)); #472778=CARTESIAN_POINT('',(42.355791,13.785403,0.)); #472779=CARTESIAN_POINT('',(42.355791,13.785403,0.035)); #472780=CARTESIAN_POINT('',(42.355791,13.785403,0.035)); #472781=CARTESIAN_POINT('',(42.355791,13.785403,0.)); #472782=CARTESIAN_POINT('Origin',(42.396059,13.812541,0.)); #472783=CARTESIAN_POINT('',(42.396059,13.812541,0.)); #472784=CARTESIAN_POINT('',(42.396059,13.812541,0.)); #472785=CARTESIAN_POINT('',(42.396059,13.812541,0.035)); #472786=CARTESIAN_POINT('',(42.396059,13.812541,0.035)); #472787=CARTESIAN_POINT('',(42.396059,13.812541,0.)); #472788=CARTESIAN_POINT('Origin',(42.445594,13.8226,0.)); #472789=CARTESIAN_POINT('',(42.445594,13.8226,0.)); #472790=CARTESIAN_POINT('',(42.445594,13.8226,0.)); #472791=CARTESIAN_POINT('',(42.445594,13.8226,0.035)); #472792=CARTESIAN_POINT('',(42.445594,13.8226,0.035)); #472793=CARTESIAN_POINT('',(42.445594,13.8226,0.)); #472794=CARTESIAN_POINT('Origin',(42.677034,13.8226,0.)); #472795=CARTESIAN_POINT('',(42.677034,13.8226,0.)); #472796=CARTESIAN_POINT('',(42.677034,13.8226,0.)); #472797=CARTESIAN_POINT('',(42.677034,13.8226,0.035)); #472798=CARTESIAN_POINT('',(42.677034,13.8226,0.035)); #472799=CARTESIAN_POINT('',(42.677034,13.8226,0.)); #472800=CARTESIAN_POINT('Origin',(42.9274,14.072966,0.)); #472801=CARTESIAN_POINT('',(42.9274,14.072966,0.)); #472802=CARTESIAN_POINT('',(42.9274,14.072966,0.)); #472803=CARTESIAN_POINT('',(42.9274,14.072966,0.035)); #472804=CARTESIAN_POINT('',(42.9274,14.072966,0.035)); #472805=CARTESIAN_POINT('',(42.9274,14.072966,0.)); #472806=CARTESIAN_POINT('Origin',(42.9274,14.427034,0.)); #472807=CARTESIAN_POINT('',(42.9274,14.427034,0.)); #472808=CARTESIAN_POINT('',(42.9274,14.427034,0.)); #472809=CARTESIAN_POINT('',(42.9274,14.427034,0.035)); #472810=CARTESIAN_POINT('',(42.9274,14.427034,0.035)); #472811=CARTESIAN_POINT('',(42.9274,14.427034,0.)); #472812=CARTESIAN_POINT('Origin',(42.677034,14.6774,0.)); #472813=CARTESIAN_POINT('',(42.677034,14.6774,0.)); #472814=CARTESIAN_POINT('',(42.677034,14.6774,0.)); #472815=CARTESIAN_POINT('',(42.677034,14.6774,0.035)); #472816=CARTESIAN_POINT('',(42.677034,14.6774,0.035)); #472817=CARTESIAN_POINT('',(42.677034,14.6774,0.)); #472818=CARTESIAN_POINT('Origin',(42.322966,14.6774,0.)); #472819=CARTESIAN_POINT('',(42.322966,14.6774,0.)); #472820=CARTESIAN_POINT('',(42.322966,14.6774,0.)); #472821=CARTESIAN_POINT('',(42.322966,14.6774,0.035)); #472822=CARTESIAN_POINT('',(42.322966,14.6774,0.035)); #472823=CARTESIAN_POINT('',(42.322966,14.6774,0.)); #472824=CARTESIAN_POINT('Origin',(42.0726,14.427034,0.)); #472825=CARTESIAN_POINT('',(42.0726,14.427034,0.)); #472826=CARTESIAN_POINT('',(42.0726,14.427034,0.)); #472827=CARTESIAN_POINT('',(42.0726,14.427034,0.035)); #472828=CARTESIAN_POINT('',(42.0726,14.427034,0.035)); #472829=CARTESIAN_POINT('',(42.0726,14.427034,0.)); #472830=CARTESIAN_POINT('Origin',(42.0726,14.201394,0.)); #472831=CARTESIAN_POINT('',(42.0726,14.201394,0.)); #472832=CARTESIAN_POINT('',(42.0726,14.201394,0.)); #472833=CARTESIAN_POINT('',(42.0726,14.201394,0.035)); #472834=CARTESIAN_POINT('',(42.0726,14.201394,0.035)); #472835=CARTESIAN_POINT('',(42.0726,14.201394,0.)); #472836=CARTESIAN_POINT('Origin',(42.063316,14.153731,0.)); #472837=CARTESIAN_POINT('',(42.063316,14.153731,0.)); #472838=CARTESIAN_POINT('',(42.063316,14.153731,0.)); #472839=CARTESIAN_POINT('',(42.063316,14.153731,0.035)); #472840=CARTESIAN_POINT('',(42.063316,14.153731,0.035)); #472841=CARTESIAN_POINT('',(42.063316,14.153731,0.)); #472842=CARTESIAN_POINT('Origin',(42.035403,14.111591,0.)); #472843=CARTESIAN_POINT('',(42.035403,14.111591,0.)); #472844=CARTESIAN_POINT('',(42.035403,14.111591,0.)); #472845=CARTESIAN_POINT('',(42.035403,14.111591,0.035)); #472846=CARTESIAN_POINT('',(42.035403,14.111591,0.035)); #472847=CARTESIAN_POINT('',(42.035403,14.111591,0.)); #472848=CARTESIAN_POINT('Origin',(41.391309,13.467497,0.)); #472849=CARTESIAN_POINT('',(41.391309,13.467497,0.)); #472850=CARTESIAN_POINT('',(41.391309,13.467497,0.)); #472851=CARTESIAN_POINT('',(41.391309,13.467497,0.035)); #472852=CARTESIAN_POINT('',(41.391309,13.467497,0.035)); #472853=CARTESIAN_POINT('',(41.391309,13.467497,0.)); #472854=CARTESIAN_POINT('Origin',(41.351041,13.440359,0.)); #472855=CARTESIAN_POINT('',(41.351041,13.440359,0.)); #472856=CARTESIAN_POINT('',(41.351041,13.440359,0.)); #472857=CARTESIAN_POINT('',(41.351041,13.440359,0.035)); #472858=CARTESIAN_POINT('',(41.351041,13.440359,0.035)); #472859=CARTESIAN_POINT('',(41.351041,13.440359,0.)); #472860=CARTESIAN_POINT('Origin',(41.301506,13.4303,0.)); #472861=CARTESIAN_POINT('',(41.301506,13.4303,0.)); #472862=CARTESIAN_POINT('',(41.301506,13.4303,0.)); #472863=CARTESIAN_POINT('',(41.301506,13.4303,0.035)); #472864=CARTESIAN_POINT('',(41.301506,13.4303,0.035)); #472865=CARTESIAN_POINT('',(41.301506,13.4303,0.)); #472866=CARTESIAN_POINT('Origin',(41.072966,13.4303,0.)); #472867=CARTESIAN_POINT('',(41.072966,13.4303,0.)); #472868=CARTESIAN_POINT('',(41.072966,13.4303,0.)); #472869=CARTESIAN_POINT('',(41.072966,13.4303,0.035)); #472870=CARTESIAN_POINT('',(41.072966,13.4303,0.035)); #472871=CARTESIAN_POINT('',(41.072966,13.4303,0.)); #472872=CARTESIAN_POINT('Origin',(40.8226,13.179934,0.)); #472873=CARTESIAN_POINT('',(40.8226,13.179934,0.)); #472874=CARTESIAN_POINT('',(40.8226,13.179934,0.)); #472875=CARTESIAN_POINT('',(40.8226,13.179934,0.035)); #472876=CARTESIAN_POINT('',(40.8226,13.179934,0.035)); #472877=CARTESIAN_POINT('',(40.8226,13.179934,0.)); #472878=CARTESIAN_POINT('Origin',(40.8226,12.825866,0.)); #472879=CARTESIAN_POINT('',(40.8226,12.825866,0.)); #472880=CARTESIAN_POINT('',(40.8226,12.825866,0.)); #472881=CARTESIAN_POINT('',(40.8226,12.825866,0.035)); #472882=CARTESIAN_POINT('',(40.8226,12.825866,0.035)); #472883=CARTESIAN_POINT('',(40.8226,12.825866,0.)); #472884=CARTESIAN_POINT('Origin',(41.072966,12.5755,0.)); #472885=CARTESIAN_POINT('',(41.072966,12.5755,0.)); #472886=CARTESIAN_POINT('',(41.072966,12.5755,0.035)); #472887=CARTESIAN_POINT('Origin',(35.697394,5.,0.)); #472888=CARTESIAN_POINT('',(35.697394,5.,0.)); #472889=CARTESIAN_POINT('',(35.746928,5.0100594,0.)); #472890=CARTESIAN_POINT('',(35.697394,5.,0.)); #472891=CARTESIAN_POINT('',(35.746928,5.0100594,0.035)); #472892=CARTESIAN_POINT('',(35.746928,5.0100594,0.)); #472893=CARTESIAN_POINT('',(35.697394,5.,0.035)); #472894=CARTESIAN_POINT('',(35.697394,5.,0.035)); #472895=CARTESIAN_POINT('',(35.697394,5.,0.)); #472896=CARTESIAN_POINT('Origin',(32.302606,5.,0.)); #472897=CARTESIAN_POINT('',(32.302606,5.,0.)); #472898=CARTESIAN_POINT('',(32.302606,5.,0.)); #472899=CARTESIAN_POINT('',(32.302606,5.,0.035)); #472900=CARTESIAN_POINT('',(32.302606,5.,0.035)); #472901=CARTESIAN_POINT('',(32.302606,5.,0.)); #472902=CARTESIAN_POINT('Origin',(32.254941,5.0092844,0.)); #472903=CARTESIAN_POINT('',(32.254941,5.0092844,0.)); #472904=CARTESIAN_POINT('',(32.254941,5.0092844,0.)); #472905=CARTESIAN_POINT('',(32.254941,5.0092844,0.035)); #472906=CARTESIAN_POINT('',(32.254941,5.0092844,0.035)); #472907=CARTESIAN_POINT('',(32.254941,5.0092844,0.)); #472908=CARTESIAN_POINT('Origin',(32.212803,5.0371969,0.)); #472909=CARTESIAN_POINT('',(32.212803,5.0371969,0.)); #472910=CARTESIAN_POINT('',(32.212803,5.0371969,0.)); #472911=CARTESIAN_POINT('',(32.212803,5.0371969,0.035)); #472912=CARTESIAN_POINT('',(32.212803,5.0371969,0.035)); #472913=CARTESIAN_POINT('',(32.212803,5.0371969,0.)); #472914=CARTESIAN_POINT('Origin',(31.621747,5.6282563,0.)); #472915=CARTESIAN_POINT('',(31.621747,5.6282563,0.)); #472916=CARTESIAN_POINT('',(31.621747,5.6282563,0.)); #472917=CARTESIAN_POINT('',(31.621747,5.6282563,0.035)); #472918=CARTESIAN_POINT('',(31.621747,5.6282563,0.035)); #472919=CARTESIAN_POINT('',(31.621747,5.6282563,0.)); #472920=CARTESIAN_POINT('Origin',(31.595413,5.6666656,0.)); #472921=CARTESIAN_POINT('',(31.595413,5.6666656,0.)); #472922=CARTESIAN_POINT('',(31.595413,5.6666656,0.)); #472923=CARTESIAN_POINT('',(31.595413,5.6666656,0.035)); #472924=CARTESIAN_POINT('',(31.595413,5.6666656,0.035)); #472925=CARTESIAN_POINT('',(31.595413,5.6666656,0.)); #472926=CARTESIAN_POINT('Origin',(31.584566,5.7160344,0.)); #472927=CARTESIAN_POINT('',(31.584566,5.7160344,0.)); #472928=CARTESIAN_POINT('',(31.584566,5.7160344,0.)); #472929=CARTESIAN_POINT('',(31.584566,5.7160344,0.035)); #472930=CARTESIAN_POINT('',(31.584566,5.7160344,0.035)); #472931=CARTESIAN_POINT('',(31.584566,5.7160344,0.)); #472932=CARTESIAN_POINT('Origin',(31.593834,5.7657219,0.)); #472933=CARTESIAN_POINT('',(31.593834,5.7657219,0.)); #472934=CARTESIAN_POINT('',(31.593834,5.7657219,0.)); #472935=CARTESIAN_POINT('',(31.593834,5.7657219,0.035)); #472936=CARTESIAN_POINT('',(31.593834,5.7657219,0.035)); #472937=CARTESIAN_POINT('',(31.593834,5.7657219,0.)); #472938=CARTESIAN_POINT('Origin',(31.621747,5.8078625,0.)); #472939=CARTESIAN_POINT('',(31.621747,5.8078625,0.)); #472940=CARTESIAN_POINT('',(31.621747,5.8078625,0.)); #472941=CARTESIAN_POINT('',(31.621747,5.8078625,0.035)); #472942=CARTESIAN_POINT('',(31.621747,5.8078625,0.035)); #472943=CARTESIAN_POINT('',(31.621747,5.8078625,0.)); #472944=CARTESIAN_POINT('Origin',(31.63985,5.8259656,0.)); #472945=CARTESIAN_POINT('',(31.63985,5.8259656,0.)); #472946=CARTESIAN_POINT('',(31.63985,5.8259656,0.)); #472947=CARTESIAN_POINT('',(31.63985,5.8259656,0.035)); #472948=CARTESIAN_POINT('',(31.63985,5.8259656,0.035)); #472949=CARTESIAN_POINT('',(31.63985,5.8259656,0.)); #472950=CARTESIAN_POINT('Origin',(31.735,6.0556844,0.)); #472951=CARTESIAN_POINT('',(31.735,6.0556844,0.)); #472952=CARTESIAN_POINT('',(31.735,6.0556844,0.)); #472953=CARTESIAN_POINT('',(31.735,6.0556844,0.035)); #472954=CARTESIAN_POINT('',(31.735,6.0556844,0.035)); #472955=CARTESIAN_POINT('',(31.735,6.0556844,0.)); #472956=CARTESIAN_POINT('Origin',(31.735,6.3043156,0.)); #472957=CARTESIAN_POINT('',(31.735,6.3043156,0.)); #472958=CARTESIAN_POINT('',(31.735,6.3043156,0.)); #472959=CARTESIAN_POINT('',(31.735,6.3043156,0.035)); #472960=CARTESIAN_POINT('',(31.735,6.3043156,0.035)); #472961=CARTESIAN_POINT('',(31.735,6.3043156,0.)); #472962=CARTESIAN_POINT('Origin',(31.63985,6.5340344,0.)); #472963=CARTESIAN_POINT('',(31.63985,6.5340344,0.)); #472964=CARTESIAN_POINT('',(31.63985,6.5340344,0.)); #472965=CARTESIAN_POINT('',(31.63985,6.5340344,0.035)); #472966=CARTESIAN_POINT('',(31.63985,6.5340344,0.035)); #472967=CARTESIAN_POINT('',(31.63985,6.5340344,0.)); #472968=CARTESIAN_POINT('Origin',(31.464031,6.7098531,0.)); #472969=CARTESIAN_POINT('',(31.464031,6.7098531,0.)); #472970=CARTESIAN_POINT('',(31.464031,6.7098531,0.)); #472971=CARTESIAN_POINT('',(31.464031,6.7098531,0.035)); #472972=CARTESIAN_POINT('',(31.464031,6.7098531,0.035)); #472973=CARTESIAN_POINT('',(31.464031,6.7098531,0.)); #472974=CARTESIAN_POINT('Origin',(31.3284,6.7660344,0.)); #472975=CARTESIAN_POINT('',(31.3284,6.7660344,0.)); #472976=CARTESIAN_POINT('',(31.3284,6.7660344,0.)); #472977=CARTESIAN_POINT('',(31.3284,6.7660344,0.035)); #472978=CARTESIAN_POINT('',(31.3284,6.7660344,0.035)); #472979=CARTESIAN_POINT('',(31.3284,6.7660344,0.)); #472980=CARTESIAN_POINT('Origin',(31.288641,6.7921438,0.)); #472981=CARTESIAN_POINT('',(31.288641,6.7921438,0.)); #472982=CARTESIAN_POINT('',(31.288641,6.7921438,0.)); #472983=CARTESIAN_POINT('',(31.288641,6.7921438,0.035)); #472984=CARTESIAN_POINT('',(31.288641,6.7921438,0.035)); #472985=CARTESIAN_POINT('',(31.288641,6.7921438,0.)); #472986=CARTESIAN_POINT('Origin',(31.260059,6.8338313,0.)); #472987=CARTESIAN_POINT('',(31.260059,6.8338313,0.)); #472988=CARTESIAN_POINT('',(31.260059,6.8338313,0.)); #472989=CARTESIAN_POINT('',(31.260059,6.8338313,0.035)); #472990=CARTESIAN_POINT('',(31.260059,6.8338313,0.035)); #472991=CARTESIAN_POINT('',(31.260059,6.8338313,0.)); #472992=CARTESIAN_POINT('Origin',(31.25,6.8833656,0.)); #472993=CARTESIAN_POINT('',(31.25,6.8833656,0.)); #472994=CARTESIAN_POINT('',(31.25,6.8833656,0.)); #472995=CARTESIAN_POINT('',(31.25,6.8833656,0.035)); #472996=CARTESIAN_POINT('',(31.25,6.8833656,0.035)); #472997=CARTESIAN_POINT('',(31.25,6.8833656,0.)); #472998=CARTESIAN_POINT('Origin',(31.25,7.9899781,0.)); #472999=CARTESIAN_POINT('',(31.25,7.9899781,0.)); #473000=CARTESIAN_POINT('',(31.25,7.9899781,0.)); #473001=CARTESIAN_POINT('',(31.25,7.9899781,0.035)); #473002=CARTESIAN_POINT('',(31.25,7.9899781,0.035)); #473003=CARTESIAN_POINT('',(31.25,7.9899781,0.)); #473004=CARTESIAN_POINT('Origin',(31.248541,7.999175,0.)); #473005=CARTESIAN_POINT('',(31.248541,7.999175,0.)); #473006=CARTESIAN_POINT('',(31.248541,7.999175,0.)); #473007=CARTESIAN_POINT('',(31.248541,7.999175,0.035)); #473008=CARTESIAN_POINT('',(31.248541,7.999175,0.035)); #473009=CARTESIAN_POINT('',(31.248541,7.999175,0.)); #473010=CARTESIAN_POINT('Origin',(31.242016,8.,0.)); #473011=CARTESIAN_POINT('',(31.242016,8.,0.)); #473012=CARTESIAN_POINT('',(31.242016,8.,0.)); #473013=CARTESIAN_POINT('',(31.242016,8.,0.035)); #473014=CARTESIAN_POINT('',(31.242016,8.,0.035)); #473015=CARTESIAN_POINT('',(31.242016,8.,0.)); #473016=CARTESIAN_POINT('Origin',(31.021684,8.,0.)); #473017=CARTESIAN_POINT('',(31.021684,8.,0.)); #473018=CARTESIAN_POINT('',(31.021684,8.,0.)); #473019=CARTESIAN_POINT('',(31.021684,8.,0.035)); #473020=CARTESIAN_POINT('',(31.021684,8.,0.035)); #473021=CARTESIAN_POINT('',(31.021684,8.,0.)); #473022=CARTESIAN_POINT('Origin',(31.009363,8.0024,0.)); #473023=CARTESIAN_POINT('',(31.009363,8.0024,0.)); #473024=CARTESIAN_POINT('',(31.009363,8.0024,0.)); #473025=CARTESIAN_POINT('',(31.009363,8.0024,0.035)); #473026=CARTESIAN_POINT('',(31.009363,8.0024,0.035)); #473027=CARTESIAN_POINT('',(31.009363,8.0024,0.)); #473028=CARTESIAN_POINT('Origin',(30.800206,8.0024,0.)); #473029=CARTESIAN_POINT('',(30.800206,8.0024,0.)); #473030=CARTESIAN_POINT('',(30.800206,8.0024,0.)); #473031=CARTESIAN_POINT('',(30.800206,8.0024,0.035)); #473032=CARTESIAN_POINT('',(30.800206,8.0024,0.035)); #473033=CARTESIAN_POINT('',(30.800206,8.0024,0.)); #473034=CARTESIAN_POINT('Origin',(30.752544,8.0116844,0.)); #473035=CARTESIAN_POINT('',(30.752544,8.0116844,0.)); #473036=CARTESIAN_POINT('',(30.752544,8.0116844,0.)); #473037=CARTESIAN_POINT('',(30.752544,8.0116844,0.035)); #473038=CARTESIAN_POINT('',(30.752544,8.0116844,0.035)); #473039=CARTESIAN_POINT('',(30.752544,8.0116844,0.)); #473040=CARTESIAN_POINT('Origin',(30.710403,8.0395969,0.)); #473041=CARTESIAN_POINT('',(30.710403,8.0395969,0.)); #473042=CARTESIAN_POINT('',(30.710403,8.0395969,0.)); #473043=CARTESIAN_POINT('',(30.710403,8.0395969,0.035)); #473044=CARTESIAN_POINT('',(30.710403,8.0395969,0.035)); #473045=CARTESIAN_POINT('',(30.710403,8.0395969,0.)); #473046=CARTESIAN_POINT('Origin',(30.287197,8.4628031,0.)); #473047=CARTESIAN_POINT('',(30.287197,8.4628031,0.)); #473048=CARTESIAN_POINT('',(30.287197,8.4628031,0.)); #473049=CARTESIAN_POINT('',(30.287197,8.4628031,0.035)); #473050=CARTESIAN_POINT('',(30.287197,8.4628031,0.035)); #473051=CARTESIAN_POINT('',(30.287197,8.4628031,0.)); #473052=CARTESIAN_POINT('Origin',(30.260059,8.5030719,0.)); #473053=CARTESIAN_POINT('',(30.260059,8.5030719,0.)); #473054=CARTESIAN_POINT('',(30.260059,8.5030719,0.)); #473055=CARTESIAN_POINT('',(30.260059,8.5030719,0.035)); #473056=CARTESIAN_POINT('',(30.260059,8.5030719,0.035)); #473057=CARTESIAN_POINT('',(30.260059,8.5030719,0.)); #473058=CARTESIAN_POINT('Origin',(30.25,8.5526063,0.)); #473059=CARTESIAN_POINT('',(30.25,8.5526063,0.)); #473060=CARTESIAN_POINT('',(30.25,8.5526063,0.)); #473061=CARTESIAN_POINT('',(30.25,8.5526063,0.035)); #473062=CARTESIAN_POINT('',(30.25,8.5526063,0.035)); #473063=CARTESIAN_POINT('',(30.25,8.5526063,0.)); #473064=CARTESIAN_POINT('Origin',(30.25,9.9456,0.)); #473065=CARTESIAN_POINT('',(30.25,9.9456,0.)); #473066=CARTESIAN_POINT('',(30.25,9.9456,0.)); #473067=CARTESIAN_POINT('',(30.25,9.9456,0.035)); #473068=CARTESIAN_POINT('',(30.25,9.9456,0.035)); #473069=CARTESIAN_POINT('',(30.25,9.9456,0.)); #473070=CARTESIAN_POINT('Origin',(30.258538,9.9913812,0.)); #473071=CARTESIAN_POINT('',(30.258538,9.9913812,0.)); #473072=CARTESIAN_POINT('',(30.258538,9.9913812,0.)); #473073=CARTESIAN_POINT('',(30.258538,9.9913812,0.035)); #473074=CARTESIAN_POINT('',(30.258538,9.9913812,0.035)); #473075=CARTESIAN_POINT('',(30.258538,9.9913812,0.)); #473076=CARTESIAN_POINT('Origin',(30.285778,10.033959,0.)); #473077=CARTESIAN_POINT('',(30.285778,10.033959,0.)); #473078=CARTESIAN_POINT('',(30.285778,10.033959,0.)); #473079=CARTESIAN_POINT('',(30.285778,10.033959,0.035)); #473080=CARTESIAN_POINT('',(30.285778,10.033959,0.035)); #473081=CARTESIAN_POINT('',(30.285778,10.033959,0.)); #473082=CARTESIAN_POINT('Origin',(30.327466,10.062541,0.)); #473083=CARTESIAN_POINT('',(30.327466,10.062541,0.)); #473084=CARTESIAN_POINT('',(30.327466,10.062541,0.)); #473085=CARTESIAN_POINT('',(30.327466,10.062541,0.035)); #473086=CARTESIAN_POINT('',(30.327466,10.062541,0.035)); #473087=CARTESIAN_POINT('',(30.327466,10.062541,0.)); #473088=CARTESIAN_POINT('Origin',(30.377,10.0726,0.)); #473089=CARTESIAN_POINT('',(30.377,10.0726,0.)); #473090=CARTESIAN_POINT('',(30.377,10.0726,0.)); #473091=CARTESIAN_POINT('',(30.377,10.0726,0.035)); #473092=CARTESIAN_POINT('',(30.377,10.0726,0.035)); #473093=CARTESIAN_POINT('',(30.377,10.0726,0.)); #473094=CARTESIAN_POINT('Origin',(30.677034,10.0726,0.)); #473095=CARTESIAN_POINT('',(30.677034,10.0726,0.)); #473096=CARTESIAN_POINT('',(30.677034,10.0726,0.)); #473097=CARTESIAN_POINT('',(30.677034,10.0726,0.035)); #473098=CARTESIAN_POINT('',(30.677034,10.0726,0.035)); #473099=CARTESIAN_POINT('',(30.677034,10.0726,0.)); #473100=CARTESIAN_POINT('Origin',(30.9274,10.322966,0.)); #473101=CARTESIAN_POINT('',(30.9274,10.322966,0.)); #473102=CARTESIAN_POINT('',(30.9274,10.322966,0.)); #473103=CARTESIAN_POINT('',(30.9274,10.322966,0.035)); #473104=CARTESIAN_POINT('',(30.9274,10.322966,0.035)); #473105=CARTESIAN_POINT('',(30.9274,10.322966,0.)); #473106=CARTESIAN_POINT('Origin',(30.9274,10.677034,0.)); #473107=CARTESIAN_POINT('',(30.9274,10.677034,0.)); #473108=CARTESIAN_POINT('',(30.9274,10.677034,0.)); #473109=CARTESIAN_POINT('',(30.9274,10.677034,0.035)); #473110=CARTESIAN_POINT('',(30.9274,10.677034,0.035)); #473111=CARTESIAN_POINT('',(30.9274,10.677034,0.)); #473112=CARTESIAN_POINT('Origin',(30.819237,10.785197,0.)); #473113=CARTESIAN_POINT('',(30.819237,10.785197,0.)); #473114=CARTESIAN_POINT('',(30.819237,10.785197,0.)); #473115=CARTESIAN_POINT('',(30.819237,10.785197,0.035)); #473116=CARTESIAN_POINT('',(30.819237,10.785197,0.035)); #473117=CARTESIAN_POINT('',(30.819237,10.785197,0.)); #473118=CARTESIAN_POINT('Origin',(30.792903,10.823606,0.)); #473119=CARTESIAN_POINT('',(30.792903,10.823606,0.)); #473120=CARTESIAN_POINT('',(30.792903,10.823606,0.)); #473121=CARTESIAN_POINT('',(30.792903,10.823606,0.035)); #473122=CARTESIAN_POINT('',(30.792903,10.823606,0.035)); #473123=CARTESIAN_POINT('',(30.792903,10.823606,0.)); #473124=CARTESIAN_POINT('Origin',(30.782056,10.872975,0.)); #473125=CARTESIAN_POINT('',(30.782056,10.872975,0.)); #473126=CARTESIAN_POINT('',(30.782056,10.872975,0.)); #473127=CARTESIAN_POINT('',(30.782056,10.872975,0.035)); #473128=CARTESIAN_POINT('',(30.782056,10.872975,0.035)); #473129=CARTESIAN_POINT('',(30.782056,10.872975,0.)); #473130=CARTESIAN_POINT('Origin',(30.791325,10.922663,0.)); #473131=CARTESIAN_POINT('',(30.791325,10.922663,0.)); #473132=CARTESIAN_POINT('',(30.791325,10.922663,0.)); #473133=CARTESIAN_POINT('',(30.791325,10.922663,0.035)); #473134=CARTESIAN_POINT('',(30.791325,10.922663,0.035)); #473135=CARTESIAN_POINT('',(30.791325,10.922663,0.)); #473136=CARTESIAN_POINT('Origin',(30.819237,10.964803,0.)); #473137=CARTESIAN_POINT('',(30.819237,10.964803,0.)); #473138=CARTESIAN_POINT('',(30.819237,10.964803,0.)); #473139=CARTESIAN_POINT('',(30.819237,10.964803,0.035)); #473140=CARTESIAN_POINT('',(30.819237,10.964803,0.035)); #473141=CARTESIAN_POINT('',(30.819237,10.964803,0.)); #473142=CARTESIAN_POINT('Origin',(30.9274,11.072966,0.)); #473143=CARTESIAN_POINT('',(30.9274,11.072966,0.)); #473144=CARTESIAN_POINT('',(30.9274,11.072966,0.)); #473145=CARTESIAN_POINT('',(30.9274,11.072966,0.035)); #473146=CARTESIAN_POINT('',(30.9274,11.072966,0.035)); #473147=CARTESIAN_POINT('',(30.9274,11.072966,0.)); #473148=CARTESIAN_POINT('Origin',(30.9274,11.123,0.)); #473149=CARTESIAN_POINT('',(30.9274,11.123,0.)); #473150=CARTESIAN_POINT('',(30.9274,11.123,0.)); #473151=CARTESIAN_POINT('',(30.9274,11.123,0.035)); #473152=CARTESIAN_POINT('',(30.9274,11.123,0.035)); #473153=CARTESIAN_POINT('',(30.9274,11.123,0.)); #473154=CARTESIAN_POINT('Origin',(30.935938,11.168781,0.)); #473155=CARTESIAN_POINT('',(30.935938,11.168781,0.)); #473156=CARTESIAN_POINT('',(30.935938,11.168781,0.)); #473157=CARTESIAN_POINT('',(30.935938,11.168781,0.035)); #473158=CARTESIAN_POINT('',(30.935938,11.168781,0.035)); #473159=CARTESIAN_POINT('',(30.935938,11.168781,0.)); #473160=CARTESIAN_POINT('Origin',(30.963178,11.211359,0.)); #473161=CARTESIAN_POINT('',(30.963178,11.211359,0.)); #473162=CARTESIAN_POINT('',(30.963178,11.211359,0.)); #473163=CARTESIAN_POINT('',(30.963178,11.211359,0.035)); #473164=CARTESIAN_POINT('',(30.963178,11.211359,0.035)); #473165=CARTESIAN_POINT('',(30.963178,11.211359,0.)); #473166=CARTESIAN_POINT('Origin',(31.004866,11.239941,0.)); #473167=CARTESIAN_POINT('',(31.004866,11.239941,0.)); #473168=CARTESIAN_POINT('',(31.004866,11.239941,0.)); #473169=CARTESIAN_POINT('',(31.004866,11.239941,0.035)); #473170=CARTESIAN_POINT('',(31.004866,11.239941,0.035)); #473171=CARTESIAN_POINT('',(31.004866,11.239941,0.)); #473172=CARTESIAN_POINT('Origin',(31.0544,11.25,0.)); #473173=CARTESIAN_POINT('',(31.0544,11.25,0.)); #473174=CARTESIAN_POINT('',(31.0544,11.25,0.)); #473175=CARTESIAN_POINT('',(31.0544,11.25,0.035)); #473176=CARTESIAN_POINT('',(31.0544,11.25,0.035)); #473177=CARTESIAN_POINT('',(31.0544,11.25,0.)); #473178=CARTESIAN_POINT('Origin',(31.502769,11.25,0.)); #473179=CARTESIAN_POINT('',(31.502769,11.25,0.)); #473180=CARTESIAN_POINT('',(31.502769,11.25,0.)); #473181=CARTESIAN_POINT('',(31.502769,11.25,0.035)); #473182=CARTESIAN_POINT('',(31.502769,11.25,0.035)); #473183=CARTESIAN_POINT('',(31.502769,11.25,0.)); #473184=CARTESIAN_POINT('Origin',(31.550431,11.240716,0.)); #473185=CARTESIAN_POINT('',(31.550431,11.240716,0.)); #473186=CARTESIAN_POINT('',(31.550431,11.240716,0.)); #473187=CARTESIAN_POINT('',(31.550431,11.240716,0.035)); #473188=CARTESIAN_POINT('',(31.550431,11.240716,0.035)); #473189=CARTESIAN_POINT('',(31.550431,11.240716,0.)); #473190=CARTESIAN_POINT('Origin',(31.592572,11.212803,0.)); #473191=CARTESIAN_POINT('',(31.592572,11.212803,0.)); #473192=CARTESIAN_POINT('',(31.592572,11.212803,0.)); #473193=CARTESIAN_POINT('',(31.592572,11.212803,0.035)); #473194=CARTESIAN_POINT('',(31.592572,11.212803,0.035)); #473195=CARTESIAN_POINT('',(31.592572,11.212803,0.)); #473196=CARTESIAN_POINT('Origin',(32.484203,10.321172,0.)); #473197=CARTESIAN_POINT('',(32.484203,10.321172,0.)); #473198=CARTESIAN_POINT('',(32.484203,10.321172,0.)); #473199=CARTESIAN_POINT('',(32.484203,10.321172,0.035)); #473200=CARTESIAN_POINT('',(32.484203,10.321172,0.035)); #473201=CARTESIAN_POINT('',(32.484203,10.321172,0.)); #473202=CARTESIAN_POINT('Origin',(32.511341,10.280903,0.)); #473203=CARTESIAN_POINT('',(32.511341,10.280903,0.)); #473204=CARTESIAN_POINT('',(32.511341,10.280903,0.)); #473205=CARTESIAN_POINT('',(32.511341,10.280903,0.035)); #473206=CARTESIAN_POINT('',(32.511341,10.280903,0.035)); #473207=CARTESIAN_POINT('',(32.511341,10.280903,0.)); #473208=CARTESIAN_POINT('Origin',(32.5214,10.231369,0.)); #473209=CARTESIAN_POINT('',(32.5214,10.231369,0.)); #473210=CARTESIAN_POINT('',(32.5214,10.231369,0.)); #473211=CARTESIAN_POINT('',(32.5214,10.231369,0.035)); #473212=CARTESIAN_POINT('',(32.5214,10.231369,0.035)); #473213=CARTESIAN_POINT('',(32.5214,10.231369,0.)); #473214=CARTESIAN_POINT('Origin',(32.5214,8.6784406,0.)); #473215=CARTESIAN_POINT('',(32.5214,8.6784406,0.)); #473216=CARTESIAN_POINT('',(32.5214,8.6784406,0.)); #473217=CARTESIAN_POINT('',(32.5214,8.6784406,0.035)); #473218=CARTESIAN_POINT('',(32.5214,8.6784406,0.035)); #473219=CARTESIAN_POINT('',(32.5214,8.6784406,0.)); #473220=CARTESIAN_POINT('Origin',(32.512116,8.6307781,0.)); #473221=CARTESIAN_POINT('',(32.512116,8.6307781,0.)); #473222=CARTESIAN_POINT('',(32.512116,8.6307781,0.)); #473223=CARTESIAN_POINT('',(32.512116,8.6307781,0.035)); #473224=CARTESIAN_POINT('',(32.512116,8.6307781,0.035)); #473225=CARTESIAN_POINT('',(32.512116,8.6307781,0.)); #473226=CARTESIAN_POINT('Origin',(32.484203,8.5886375,0.)); #473227=CARTESIAN_POINT('',(32.484203,8.5886375,0.)); #473228=CARTESIAN_POINT('',(32.484203,8.5886375,0.)); #473229=CARTESIAN_POINT('',(32.484203,8.5886375,0.035)); #473230=CARTESIAN_POINT('',(32.484203,8.5886375,0.035)); #473231=CARTESIAN_POINT('',(32.484203,8.5886375,0.)); #473232=CARTESIAN_POINT('Origin',(32.3226,8.4270344,0.)); #473233=CARTESIAN_POINT('',(32.3226,8.4270344,0.)); #473234=CARTESIAN_POINT('',(32.3226,8.4270344,0.)); #473235=CARTESIAN_POINT('',(32.3226,8.4270344,0.035)); #473236=CARTESIAN_POINT('',(32.3226,8.4270344,0.035)); #473237=CARTESIAN_POINT('',(32.3226,8.4270344,0.)); #473238=CARTESIAN_POINT('Origin',(32.3226,8.0729656,0.)); #473239=CARTESIAN_POINT('',(32.3226,8.0729656,0.)); #473240=CARTESIAN_POINT('',(32.3226,8.0729656,0.)); #473241=CARTESIAN_POINT('',(32.3226,8.0729656,0.035)); #473242=CARTESIAN_POINT('',(32.3226,8.0729656,0.035)); #473243=CARTESIAN_POINT('',(32.3226,8.0729656,0.)); #473244=CARTESIAN_POINT('Origin',(32.572966,7.8226,0.)); #473245=CARTESIAN_POINT('',(32.572966,7.8226,0.)); #473246=CARTESIAN_POINT('',(32.572966,7.8226,0.)); #473247=CARTESIAN_POINT('',(32.572966,7.8226,0.035)); #473248=CARTESIAN_POINT('',(32.572966,7.8226,0.035)); #473249=CARTESIAN_POINT('',(32.572966,7.8226,0.)); #473250=CARTESIAN_POINT('Origin',(32.927034,7.8226,0.)); #473251=CARTESIAN_POINT('',(32.927034,7.8226,0.)); #473252=CARTESIAN_POINT('',(32.927034,7.8226,0.)); #473253=CARTESIAN_POINT('',(32.927034,7.8226,0.035)); #473254=CARTESIAN_POINT('',(32.927034,7.8226,0.035)); #473255=CARTESIAN_POINT('',(32.927034,7.8226,0.)); #473256=CARTESIAN_POINT('Origin',(33.054597,7.9501625,0.)); #473257=CARTESIAN_POINT('',(33.054597,7.9501625,0.)); #473258=CARTESIAN_POINT('',(33.054597,7.9501625,0.)); #473259=CARTESIAN_POINT('',(33.054597,7.9501625,0.035)); #473260=CARTESIAN_POINT('',(33.054597,7.9501625,0.035)); #473261=CARTESIAN_POINT('',(33.054597,7.9501625,0.)); #473262=CARTESIAN_POINT('Origin',(33.091163,7.9756625,0.)); #473263=CARTESIAN_POINT('',(33.091163,7.9756625,0.)); #473264=CARTESIAN_POINT('',(33.091163,7.9756625,0.)); #473265=CARTESIAN_POINT('',(33.091163,7.9756625,0.035)); #473266=CARTESIAN_POINT('',(33.091163,7.9756625,0.035)); #473267=CARTESIAN_POINT('',(33.091163,7.9756625,0.)); #473268=CARTESIAN_POINT('Origin',(33.140353,7.9872938,0.)); #473269=CARTESIAN_POINT('',(33.140353,7.9872938,0.)); #473270=CARTESIAN_POINT('',(33.140353,7.9872938,0.)); #473271=CARTESIAN_POINT('',(33.140353,7.9872938,0.035)); #473272=CARTESIAN_POINT('',(33.140353,7.9872938,0.035)); #473273=CARTESIAN_POINT('',(33.140353,7.9872938,0.)); #473274=CARTESIAN_POINT('Origin',(33.190181,7.9788219,0.)); #473275=CARTESIAN_POINT('',(33.190181,7.9788219,0.)); #473276=CARTESIAN_POINT('',(33.190181,7.9788219,0.)); #473277=CARTESIAN_POINT('',(33.190181,7.9788219,0.035)); #473278=CARTESIAN_POINT('',(33.190181,7.9788219,0.035)); #473279=CARTESIAN_POINT('',(33.190181,7.9788219,0.)); #473280=CARTESIAN_POINT('Origin',(33.232759,7.9515813,0.)); #473281=CARTESIAN_POINT('',(33.232759,7.9515813,0.)); #473282=CARTESIAN_POINT('',(33.232759,7.9515813,0.)); #473283=CARTESIAN_POINT('',(33.232759,7.9515813,0.035)); #473284=CARTESIAN_POINT('',(33.232759,7.9515813,0.035)); #473285=CARTESIAN_POINT('',(33.232759,7.9515813,0.)); #473286=CARTESIAN_POINT('Origin',(33.261341,7.9098938,0.)); #473287=CARTESIAN_POINT('',(33.261341,7.9098938,0.)); #473288=CARTESIAN_POINT('',(33.261341,7.9098938,0.)); #473289=CARTESIAN_POINT('',(33.261341,7.9098938,0.035)); #473290=CARTESIAN_POINT('',(33.261341,7.9098938,0.035)); #473291=CARTESIAN_POINT('',(33.261341,7.9098938,0.)); #473292=CARTESIAN_POINT('Origin',(33.261831,7.9074813,0.)); #473293=CARTESIAN_POINT('',(33.261831,7.9074813,0.)); #473294=CARTESIAN_POINT('',(33.261831,7.9074813,0.)); #473295=CARTESIAN_POINT('',(33.261831,7.9074813,0.035)); #473296=CARTESIAN_POINT('',(33.261831,7.9074813,0.035)); #473297=CARTESIAN_POINT('',(33.261831,7.9074813,0.)); #473298=CARTESIAN_POINT('Origin',(33.484203,7.6851094,0.)); #473299=CARTESIAN_POINT('',(33.484203,7.6851094,0.)); #473300=CARTESIAN_POINT('',(33.484203,7.6851094,0.)); #473301=CARTESIAN_POINT('',(33.484203,7.6851094,0.035)); #473302=CARTESIAN_POINT('',(33.484203,7.6851094,0.035)); #473303=CARTESIAN_POINT('',(33.484203,7.6851094,0.)); #473304=CARTESIAN_POINT('Origin',(33.511341,7.6448406,0.)); #473305=CARTESIAN_POINT('',(33.511341,7.6448406,0.)); #473306=CARTESIAN_POINT('',(33.511341,7.6448406,0.)); #473307=CARTESIAN_POINT('',(33.511341,7.6448406,0.035)); #473308=CARTESIAN_POINT('',(33.511341,7.6448406,0.035)); #473309=CARTESIAN_POINT('',(33.511341,7.6448406,0.)); #473310=CARTESIAN_POINT('Origin',(33.5214,7.5953063,0.)); #473311=CARTESIAN_POINT('',(33.5214,7.5953063,0.)); #473312=CARTESIAN_POINT('',(33.5214,7.5953063,0.)); #473313=CARTESIAN_POINT('',(33.5214,7.5953063,0.035)); #473314=CARTESIAN_POINT('',(33.5214,7.5953063,0.035)); #473315=CARTESIAN_POINT('',(33.5214,7.5953063,0.)); #473316=CARTESIAN_POINT('Origin',(33.5214,6.6710406,0.)); #473317=CARTESIAN_POINT('',(33.5214,6.6710406,0.)); #473318=CARTESIAN_POINT('',(33.5214,6.6710406,0.)); #473319=CARTESIAN_POINT('',(33.5214,6.6710406,0.035)); #473320=CARTESIAN_POINT('',(33.5214,6.6710406,0.035)); #473321=CARTESIAN_POINT('',(33.5214,6.6710406,0.)); #473322=CARTESIAN_POINT('Origin',(33.512116,6.6233781,0.)); #473323=CARTESIAN_POINT('',(33.512116,6.6233781,0.)); #473324=CARTESIAN_POINT('',(33.512116,6.6233781,0.)); #473325=CARTESIAN_POINT('',(33.512116,6.6233781,0.035)); #473326=CARTESIAN_POINT('',(33.512116,6.6233781,0.035)); #473327=CARTESIAN_POINT('',(33.512116,6.6233781,0.)); #473328=CARTESIAN_POINT('Origin',(33.484203,6.5812375,0.)); #473329=CARTESIAN_POINT('',(33.484203,6.5812375,0.)); #473330=CARTESIAN_POINT('',(33.484203,6.5812375,0.)); #473331=CARTESIAN_POINT('',(33.484203,6.5812375,0.035)); #473332=CARTESIAN_POINT('',(33.484203,6.5812375,0.035)); #473333=CARTESIAN_POINT('',(33.484203,6.5812375,0.)); #473334=CARTESIAN_POINT('Origin',(33.3226,6.4196344,0.)); #473335=CARTESIAN_POINT('',(33.3226,6.4196344,0.)); #473336=CARTESIAN_POINT('',(33.3226,6.4196344,0.)); #473337=CARTESIAN_POINT('',(33.3226,6.4196344,0.035)); #473338=CARTESIAN_POINT('',(33.3226,6.4196344,0.035)); #473339=CARTESIAN_POINT('',(33.3226,6.4196344,0.)); #473340=CARTESIAN_POINT('Origin',(33.3226,6.0655656,0.)); #473341=CARTESIAN_POINT('',(33.3226,6.0655656,0.)); #473342=CARTESIAN_POINT('',(33.3226,6.0655656,0.)); #473343=CARTESIAN_POINT('',(33.3226,6.0655656,0.035)); #473344=CARTESIAN_POINT('',(33.3226,6.0655656,0.035)); #473345=CARTESIAN_POINT('',(33.3226,6.0655656,0.)); #473346=CARTESIAN_POINT('Origin',(33.572966,5.8152,0.)); #473347=CARTESIAN_POINT('',(33.572966,5.8152,0.)); #473348=CARTESIAN_POINT('',(33.572966,5.8152,0.)); #473349=CARTESIAN_POINT('',(33.572966,5.8152,0.035)); #473350=CARTESIAN_POINT('',(33.572966,5.8152,0.035)); #473351=CARTESIAN_POINT('',(33.572966,5.8152,0.)); #473352=CARTESIAN_POINT('Origin',(33.927034,5.8152,0.)); #473353=CARTESIAN_POINT('',(33.927034,5.8152,0.)); #473354=CARTESIAN_POINT('',(33.927034,5.8152,0.)); #473355=CARTESIAN_POINT('',(33.927034,5.8152,0.035)); #473356=CARTESIAN_POINT('',(33.927034,5.8152,0.035)); #473357=CARTESIAN_POINT('',(33.927034,5.8152,0.)); #473358=CARTESIAN_POINT('Origin',(34.1774,6.0655656,0.)); #473359=CARTESIAN_POINT('',(34.1774,6.0655656,0.)); #473360=CARTESIAN_POINT('',(34.1774,6.0655656,0.)); #473361=CARTESIAN_POINT('',(34.1774,6.0655656,0.035)); #473362=CARTESIAN_POINT('',(34.1774,6.0655656,0.035)); #473363=CARTESIAN_POINT('',(34.1774,6.0655656,0.)); #473364=CARTESIAN_POINT('Origin',(34.1774,6.4196344,0.)); #473365=CARTESIAN_POINT('',(34.1774,6.4196344,0.)); #473366=CARTESIAN_POINT('',(34.1774,6.4196344,0.)); #473367=CARTESIAN_POINT('',(34.1774,6.4196344,0.035)); #473368=CARTESIAN_POINT('',(34.1774,6.4196344,0.035)); #473369=CARTESIAN_POINT('',(34.1774,6.4196344,0.)); #473370=CARTESIAN_POINT('Origin',(34.015797,6.5812375,0.)); #473371=CARTESIAN_POINT('',(34.015797,6.5812375,0.)); #473372=CARTESIAN_POINT('',(34.015797,6.5812375,0.)); #473373=CARTESIAN_POINT('',(34.015797,6.5812375,0.035)); #473374=CARTESIAN_POINT('',(34.015797,6.5812375,0.035)); #473375=CARTESIAN_POINT('',(34.015797,6.5812375,0.)); #473376=CARTESIAN_POINT('Origin',(33.988659,6.6215063,0.)); #473377=CARTESIAN_POINT('',(33.988659,6.6215063,0.)); #473378=CARTESIAN_POINT('',(33.988659,6.6215063,0.)); #473379=CARTESIAN_POINT('',(33.988659,6.6215063,0.035)); #473380=CARTESIAN_POINT('',(33.988659,6.6215063,0.035)); #473381=CARTESIAN_POINT('',(33.988659,6.6215063,0.)); #473382=CARTESIAN_POINT('Origin',(33.9786,6.6710406,0.)); #473383=CARTESIAN_POINT('',(33.9786,6.6710406,0.)); #473384=CARTESIAN_POINT('',(33.9786,6.6710406,0.)); #473385=CARTESIAN_POINT('',(33.9786,6.6710406,0.035)); #473386=CARTESIAN_POINT('',(33.9786,6.6710406,0.035)); #473387=CARTESIAN_POINT('',(33.9786,6.6710406,0.)); #473388=CARTESIAN_POINT('Origin',(33.9786,7.6956,0.)); #473389=CARTESIAN_POINT('',(33.9786,7.6956,0.)); #473390=CARTESIAN_POINT('',(33.9786,7.6956,0.)); #473391=CARTESIAN_POINT('',(33.9786,7.6956,0.035)); #473392=CARTESIAN_POINT('',(33.9786,7.6956,0.035)); #473393=CARTESIAN_POINT('',(33.9786,7.6956,0.)); #473394=CARTESIAN_POINT('Origin',(33.987138,7.7413813,0.)); #473395=CARTESIAN_POINT('',(33.987138,7.7413813,0.)); #473396=CARTESIAN_POINT('',(33.987138,7.7413813,0.)); #473397=CARTESIAN_POINT('',(33.987138,7.7413813,0.035)); #473398=CARTESIAN_POINT('',(33.987138,7.7413813,0.035)); #473399=CARTESIAN_POINT('',(33.987138,7.7413813,0.)); #473400=CARTESIAN_POINT('Origin',(34.014378,7.7839594,0.)); #473401=CARTESIAN_POINT('',(34.014378,7.7839594,0.)); #473402=CARTESIAN_POINT('',(34.014378,7.7839594,0.)); #473403=CARTESIAN_POINT('',(34.014378,7.7839594,0.035)); #473404=CARTESIAN_POINT('',(34.014378,7.7839594,0.035)); #473405=CARTESIAN_POINT('',(34.014378,7.7839594,0.)); #473406=CARTESIAN_POINT('Origin',(34.056066,7.8125406,0.)); #473407=CARTESIAN_POINT('',(34.056066,7.8125406,0.)); #473408=CARTESIAN_POINT('',(34.056066,7.8125406,0.)); #473409=CARTESIAN_POINT('',(34.056066,7.8125406,0.035)); #473410=CARTESIAN_POINT('',(34.056066,7.8125406,0.035)); #473411=CARTESIAN_POINT('',(34.056066,7.8125406,0.)); #473412=CARTESIAN_POINT('Origin',(34.1056,7.8226,0.)); #473413=CARTESIAN_POINT('',(34.1056,7.8226,0.)); #473414=CARTESIAN_POINT('',(34.1056,7.8226,0.)); #473415=CARTESIAN_POINT('',(34.1056,7.8226,0.035)); #473416=CARTESIAN_POINT('',(34.1056,7.8226,0.035)); #473417=CARTESIAN_POINT('',(34.1056,7.8226,0.)); #473418=CARTESIAN_POINT('Origin',(34.427034,7.8226,0.)); #473419=CARTESIAN_POINT('',(34.427034,7.8226,0.)); #473420=CARTESIAN_POINT('',(34.427034,7.8226,0.)); #473421=CARTESIAN_POINT('',(34.427034,7.8226,0.035)); #473422=CARTESIAN_POINT('',(34.427034,7.8226,0.035)); #473423=CARTESIAN_POINT('',(34.427034,7.8226,0.)); #473424=CARTESIAN_POINT('Origin',(34.554597,7.9501625,0.)); #473425=CARTESIAN_POINT('',(34.554597,7.9501625,0.)); #473426=CARTESIAN_POINT('',(34.554597,7.9501625,0.)); #473427=CARTESIAN_POINT('',(34.554597,7.9501625,0.035)); #473428=CARTESIAN_POINT('',(34.554597,7.9501625,0.035)); #473429=CARTESIAN_POINT('',(34.554597,7.9501625,0.)); #473430=CARTESIAN_POINT('Origin',(34.591163,7.9756625,0.)); #473431=CARTESIAN_POINT('',(34.591163,7.9756625,0.)); #473432=CARTESIAN_POINT('',(34.591163,7.9756625,0.)); #473433=CARTESIAN_POINT('',(34.591163,7.9756625,0.035)); #473434=CARTESIAN_POINT('',(34.591163,7.9756625,0.035)); #473435=CARTESIAN_POINT('',(34.591163,7.9756625,0.)); #473436=CARTESIAN_POINT('Origin',(34.640353,7.9872938,0.)); #473437=CARTESIAN_POINT('',(34.640353,7.9872938,0.)); #473438=CARTESIAN_POINT('',(34.640353,7.9872938,0.)); #473439=CARTESIAN_POINT('',(34.640353,7.9872938,0.035)); #473440=CARTESIAN_POINT('',(34.640353,7.9872938,0.035)); #473441=CARTESIAN_POINT('',(34.640353,7.9872938,0.)); #473442=CARTESIAN_POINT('Origin',(34.690181,7.9788219,0.)); #473443=CARTESIAN_POINT('',(34.690181,7.9788219,0.)); #473444=CARTESIAN_POINT('',(34.690181,7.9788219,0.)); #473445=CARTESIAN_POINT('',(34.690181,7.9788219,0.035)); #473446=CARTESIAN_POINT('',(34.690181,7.9788219,0.035)); #473447=CARTESIAN_POINT('',(34.690181,7.9788219,0.)); #473448=CARTESIAN_POINT('Origin',(34.732759,7.9515813,0.)); #473449=CARTESIAN_POINT('',(34.732759,7.9515813,0.)); #473450=CARTESIAN_POINT('',(34.732759,7.9515813,0.)); #473451=CARTESIAN_POINT('',(34.732759,7.9515813,0.035)); #473452=CARTESIAN_POINT('',(34.732759,7.9515813,0.035)); #473453=CARTESIAN_POINT('',(34.732759,7.9515813,0.)); #473454=CARTESIAN_POINT('Origin',(34.749394,7.9273188,0.)); #473455=CARTESIAN_POINT('',(34.749394,7.9273188,0.)); #473456=CARTESIAN_POINT('',(34.749394,7.9273188,0.)); #473457=CARTESIAN_POINT('',(34.749394,7.9273188,0.035)); #473458=CARTESIAN_POINT('',(34.749394,7.9273188,0.035)); #473459=CARTESIAN_POINT('',(34.749394,7.9273188,0.)); #473460=CARTESIAN_POINT('Origin',(34.984203,7.6925094,0.)); #473461=CARTESIAN_POINT('',(34.984203,7.6925094,0.)); #473462=CARTESIAN_POINT('',(34.984203,7.6925094,0.)); #473463=CARTESIAN_POINT('',(34.984203,7.6925094,0.035)); #473464=CARTESIAN_POINT('',(34.984203,7.6925094,0.035)); #473465=CARTESIAN_POINT('',(34.984203,7.6925094,0.)); #473466=CARTESIAN_POINT('Origin',(35.011341,7.6522406,0.)); #473467=CARTESIAN_POINT('',(35.011341,7.6522406,0.)); #473468=CARTESIAN_POINT('',(35.011341,7.6522406,0.)); #473469=CARTESIAN_POINT('',(35.011341,7.6522406,0.035)); #473470=CARTESIAN_POINT('',(35.011341,7.6522406,0.035)); #473471=CARTESIAN_POINT('',(35.011341,7.6522406,0.)); #473472=CARTESIAN_POINT('Origin',(35.0214,7.6027063,0.)); #473473=CARTESIAN_POINT('',(35.0214,7.6027063,0.)); #473474=CARTESIAN_POINT('',(35.0214,7.6027063,0.)); #473475=CARTESIAN_POINT('',(35.0214,7.6027063,0.035)); #473476=CARTESIAN_POINT('',(35.0214,7.6027063,0.035)); #473477=CARTESIAN_POINT('',(35.0214,7.6027063,0.)); #473478=CARTESIAN_POINT('Origin',(35.0214,6.6784406,0.)); #473479=CARTESIAN_POINT('',(35.0214,6.6784406,0.)); #473480=CARTESIAN_POINT('',(35.0214,6.6784406,0.)); #473481=CARTESIAN_POINT('',(35.0214,6.6784406,0.035)); #473482=CARTESIAN_POINT('',(35.0214,6.6784406,0.035)); #473483=CARTESIAN_POINT('',(35.0214,6.6784406,0.)); #473484=CARTESIAN_POINT('Origin',(35.012116,6.6307781,0.)); #473485=CARTESIAN_POINT('',(35.012116,6.6307781,0.)); #473486=CARTESIAN_POINT('',(35.012116,6.6307781,0.)); #473487=CARTESIAN_POINT('',(35.012116,6.6307781,0.035)); #473488=CARTESIAN_POINT('',(35.012116,6.6307781,0.035)); #473489=CARTESIAN_POINT('',(35.012116,6.6307781,0.)); #473490=CARTESIAN_POINT('Origin',(34.984203,6.5886375,0.)); #473491=CARTESIAN_POINT('',(34.984203,6.5886375,0.)); #473492=CARTESIAN_POINT('',(34.984203,6.5886375,0.)); #473493=CARTESIAN_POINT('',(34.984203,6.5886375,0.035)); #473494=CARTESIAN_POINT('',(34.984203,6.5886375,0.035)); #473495=CARTESIAN_POINT('',(34.984203,6.5886375,0.)); #473496=CARTESIAN_POINT('Origin',(34.8226,6.4270344,0.)); #473497=CARTESIAN_POINT('',(34.8226,6.4270344,0.)); #473498=CARTESIAN_POINT('',(34.8226,6.4270344,0.)); #473499=CARTESIAN_POINT('',(34.8226,6.4270344,0.035)); #473500=CARTESIAN_POINT('',(34.8226,6.4270344,0.035)); #473501=CARTESIAN_POINT('',(34.8226,6.4270344,0.)); #473502=CARTESIAN_POINT('Origin',(34.8226,6.0729656,0.)); #473503=CARTESIAN_POINT('',(34.8226,6.0729656,0.)); #473504=CARTESIAN_POINT('',(34.8226,6.0729656,0.)); #473505=CARTESIAN_POINT('',(34.8226,6.0729656,0.035)); #473506=CARTESIAN_POINT('',(34.8226,6.0729656,0.035)); #473507=CARTESIAN_POINT('',(34.8226,6.0729656,0.)); #473508=CARTESIAN_POINT('Origin',(35.072966,5.8226,0.)); #473509=CARTESIAN_POINT('',(35.072966,5.8226,0.)); #473510=CARTESIAN_POINT('',(35.072966,5.8226,0.)); #473511=CARTESIAN_POINT('',(35.072966,5.8226,0.035)); #473512=CARTESIAN_POINT('',(35.072966,5.8226,0.035)); #473513=CARTESIAN_POINT('',(35.072966,5.8226,0.)); #473514=CARTESIAN_POINT('Origin',(35.427034,5.8226,0.)); #473515=CARTESIAN_POINT('',(35.427034,5.8226,0.)); #473516=CARTESIAN_POINT('',(35.427034,5.8226,0.)); #473517=CARTESIAN_POINT('',(35.427034,5.8226,0.035)); #473518=CARTESIAN_POINT('',(35.427034,5.8226,0.035)); #473519=CARTESIAN_POINT('',(35.427034,5.8226,0.)); #473520=CARTESIAN_POINT('Origin',(35.6774,6.0729656,0.)); #473521=CARTESIAN_POINT('',(35.6774,6.0729656,0.)); #473522=CARTESIAN_POINT('',(35.6774,6.0729656,0.)); #473523=CARTESIAN_POINT('',(35.6774,6.0729656,0.035)); #473524=CARTESIAN_POINT('',(35.6774,6.0729656,0.035)); #473525=CARTESIAN_POINT('',(35.6774,6.0729656,0.)); #473526=CARTESIAN_POINT('Origin',(35.6774,6.4270344,0.)); #473527=CARTESIAN_POINT('',(35.6774,6.4270344,0.)); #473528=CARTESIAN_POINT('',(35.6774,6.4270344,0.)); #473529=CARTESIAN_POINT('',(35.6774,6.4270344,0.035)); #473530=CARTESIAN_POINT('',(35.6774,6.4270344,0.035)); #473531=CARTESIAN_POINT('',(35.6774,6.4270344,0.)); #473532=CARTESIAN_POINT('Origin',(35.515797,6.5886375,0.)); #473533=CARTESIAN_POINT('',(35.515797,6.5886375,0.)); #473534=CARTESIAN_POINT('',(35.515797,6.5886375,0.)); #473535=CARTESIAN_POINT('',(35.515797,6.5886375,0.035)); #473536=CARTESIAN_POINT('',(35.515797,6.5886375,0.035)); #473537=CARTESIAN_POINT('',(35.515797,6.5886375,0.)); #473538=CARTESIAN_POINT('Origin',(35.488659,6.6289063,0.)); #473539=CARTESIAN_POINT('',(35.488659,6.6289063,0.)); #473540=CARTESIAN_POINT('',(35.488659,6.6289063,0.)); #473541=CARTESIAN_POINT('',(35.488659,6.6289063,0.035)); #473542=CARTESIAN_POINT('',(35.488659,6.6289063,0.035)); #473543=CARTESIAN_POINT('',(35.488659,6.6289063,0.)); #473544=CARTESIAN_POINT('Origin',(35.4786,6.6784406,0.)); #473545=CARTESIAN_POINT('',(35.4786,6.6784406,0.)); #473546=CARTESIAN_POINT('',(35.4786,6.6784406,0.)); #473547=CARTESIAN_POINT('',(35.4786,6.6784406,0.035)); #473548=CARTESIAN_POINT('',(35.4786,6.6784406,0.035)); #473549=CARTESIAN_POINT('',(35.4786,6.6784406,0.)); #473550=CARTESIAN_POINT('Origin',(35.4786,7.8446875,0.)); #473551=CARTESIAN_POINT('',(35.4786,7.8446875,0.)); #473552=CARTESIAN_POINT('',(35.4786,7.8446875,0.)); #473553=CARTESIAN_POINT('',(35.4786,7.8446875,0.035)); #473554=CARTESIAN_POINT('',(35.4786,7.8446875,0.035)); #473555=CARTESIAN_POINT('',(35.4786,7.8446875,0.)); #473556=CARTESIAN_POINT('Origin',(35.265797,8.0574906,0.)); #473557=CARTESIAN_POINT('',(35.265797,8.0574906,0.)); #473558=CARTESIAN_POINT('',(35.265797,8.0574906,0.)); #473559=CARTESIAN_POINT('',(35.265797,8.0574906,0.035)); #473560=CARTESIAN_POINT('',(35.265797,8.0574906,0.035)); #473561=CARTESIAN_POINT('',(35.265797,8.0574906,0.)); #473562=CARTESIAN_POINT('Origin',(35.238659,8.0977594,0.)); #473563=CARTESIAN_POINT('',(35.238659,8.0977594,0.)); #473564=CARTESIAN_POINT('',(35.238659,8.0977594,0.)); #473565=CARTESIAN_POINT('',(35.238659,8.0977594,0.035)); #473566=CARTESIAN_POINT('',(35.238659,8.0977594,0.035)); #473567=CARTESIAN_POINT('',(35.238659,8.0977594,0.)); #473568=CARTESIAN_POINT('Origin',(35.2286,8.1472938,0.)); #473569=CARTESIAN_POINT('',(35.2286,8.1472938,0.)); #473570=CARTESIAN_POINT('',(35.2286,8.1472938,0.)); #473571=CARTESIAN_POINT('',(35.2286,8.1472938,0.035)); #473572=CARTESIAN_POINT('',(35.2286,8.1472938,0.035)); #473573=CARTESIAN_POINT('',(35.2286,8.1472938,0.)); #473574=CARTESIAN_POINT('Origin',(35.2286,10.344688,0.)); #473575=CARTESIAN_POINT('',(35.2286,10.344688,0.)); #473576=CARTESIAN_POINT('',(35.2286,10.344688,0.)); #473577=CARTESIAN_POINT('',(35.2286,10.344688,0.035)); #473578=CARTESIAN_POINT('',(35.2286,10.344688,0.035)); #473579=CARTESIAN_POINT('',(35.2286,10.344688,0.)); #473580=CARTESIAN_POINT('Origin',(33.967491,11.605797,0.)); #473581=CARTESIAN_POINT('',(33.967491,11.605797,0.)); #473582=CARTESIAN_POINT('',(33.967491,11.605797,0.)); #473583=CARTESIAN_POINT('',(33.967491,11.605797,0.035)); #473584=CARTESIAN_POINT('',(33.967491,11.605797,0.035)); #473585=CARTESIAN_POINT('',(33.967491,11.605797,0.)); #473586=CARTESIAN_POINT('Origin',(33.941991,11.642363,0.)); #473587=CARTESIAN_POINT('',(33.941991,11.642363,0.)); #473588=CARTESIAN_POINT('',(33.941991,11.642363,0.)); #473589=CARTESIAN_POINT('',(33.941991,11.642363,0.035)); #473590=CARTESIAN_POINT('',(33.941991,11.642363,0.035)); #473591=CARTESIAN_POINT('',(33.941991,11.642363,0.)); #473592=CARTESIAN_POINT('Origin',(33.930359,11.691553,0.)); #473593=CARTESIAN_POINT('',(33.930359,11.691553,0.)); #473594=CARTESIAN_POINT('',(33.930359,11.691553,0.)); #473595=CARTESIAN_POINT('',(33.930359,11.691553,0.035)); #473596=CARTESIAN_POINT('',(33.930359,11.691553,0.035)); #473597=CARTESIAN_POINT('',(33.930359,11.691553,0.)); #473598=CARTESIAN_POINT('Origin',(33.938831,11.741381,0.)); #473599=CARTESIAN_POINT('',(33.938831,11.741381,0.)); #473600=CARTESIAN_POINT('',(33.938831,11.741381,0.)); #473601=CARTESIAN_POINT('',(33.938831,11.741381,0.035)); #473602=CARTESIAN_POINT('',(33.938831,11.741381,0.035)); #473603=CARTESIAN_POINT('',(33.938831,11.741381,0.)); #473604=CARTESIAN_POINT('Origin',(33.966072,11.783959,0.)); #473605=CARTESIAN_POINT('',(33.966072,11.783959,0.)); #473606=CARTESIAN_POINT('',(33.966072,11.783959,0.)); #473607=CARTESIAN_POINT('',(33.966072,11.783959,0.035)); #473608=CARTESIAN_POINT('',(33.966072,11.783959,0.035)); #473609=CARTESIAN_POINT('',(33.966072,11.783959,0.)); #473610=CARTESIAN_POINT('Origin',(34.007759,11.812541,0.)); #473611=CARTESIAN_POINT('',(34.007759,11.812541,0.)); #473612=CARTESIAN_POINT('',(34.007759,11.812541,0.)); #473613=CARTESIAN_POINT('',(34.007759,11.812541,0.035)); #473614=CARTESIAN_POINT('',(34.007759,11.812541,0.035)); #473615=CARTESIAN_POINT('',(34.007759,11.812541,0.)); #473616=CARTESIAN_POINT('Origin',(34.057294,11.8226,0.)); #473617=CARTESIAN_POINT('',(34.057294,11.8226,0.)); #473618=CARTESIAN_POINT('',(34.057294,11.8226,0.)); #473619=CARTESIAN_POINT('',(34.057294,11.8226,0.035)); #473620=CARTESIAN_POINT('',(34.057294,11.8226,0.035)); #473621=CARTESIAN_POINT('',(34.057294,11.8226,0.)); #473622=CARTESIAN_POINT('Origin',(34.239534,11.8226,0.)); #473623=CARTESIAN_POINT('',(34.239534,11.8226,0.)); #473624=CARTESIAN_POINT('',(34.239534,11.8226,0.)); #473625=CARTESIAN_POINT('',(34.239534,11.8226,0.035)); #473626=CARTESIAN_POINT('',(34.239534,11.8226,0.035)); #473627=CARTESIAN_POINT('',(34.239534,11.8226,0.)); #473628=CARTESIAN_POINT('Origin',(34.410197,11.993263,0.)); #473629=CARTESIAN_POINT('',(34.410197,11.993263,0.)); #473630=CARTESIAN_POINT('',(34.410197,11.993263,0.)); #473631=CARTESIAN_POINT('',(34.410197,11.993263,0.035)); #473632=CARTESIAN_POINT('',(34.410197,11.993263,0.035)); #473633=CARTESIAN_POINT('',(34.410197,11.993263,0.)); #473634=CARTESIAN_POINT('Origin',(34.448606,12.019597,0.)); #473635=CARTESIAN_POINT('',(34.448606,12.019597,0.)); #473636=CARTESIAN_POINT('',(34.448606,12.019597,0.)); #473637=CARTESIAN_POINT('',(34.448606,12.019597,0.035)); #473638=CARTESIAN_POINT('',(34.448606,12.019597,0.035)); #473639=CARTESIAN_POINT('',(34.448606,12.019597,0.)); #473640=CARTESIAN_POINT('Origin',(34.497975,12.030444,0.)); #473641=CARTESIAN_POINT('',(34.497975,12.030444,0.)); #473642=CARTESIAN_POINT('',(34.497975,12.030444,0.)); #473643=CARTESIAN_POINT('',(34.497975,12.030444,0.035)); #473644=CARTESIAN_POINT('',(34.497975,12.030444,0.035)); #473645=CARTESIAN_POINT('',(34.497975,12.030444,0.)); #473646=CARTESIAN_POINT('Origin',(34.547663,12.021175,0.)); #473647=CARTESIAN_POINT('',(34.547663,12.021175,0.)); #473648=CARTESIAN_POINT('',(34.547663,12.021175,0.)); #473649=CARTESIAN_POINT('',(34.547663,12.021175,0.035)); #473650=CARTESIAN_POINT('',(34.547663,12.021175,0.035)); #473651=CARTESIAN_POINT('',(34.547663,12.021175,0.)); #473652=CARTESIAN_POINT('Origin',(34.589803,11.993263,0.)); #473653=CARTESIAN_POINT('',(34.589803,11.993263,0.)); #473654=CARTESIAN_POINT('',(34.589803,11.993263,0.)); #473655=CARTESIAN_POINT('',(34.589803,11.993263,0.035)); #473656=CARTESIAN_POINT('',(34.589803,11.993263,0.035)); #473657=CARTESIAN_POINT('',(34.589803,11.993263,0.)); #473658=CARTESIAN_POINT('Origin',(34.760466,11.8226,0.)); #473659=CARTESIAN_POINT('',(34.760466,11.8226,0.)); #473660=CARTESIAN_POINT('',(34.760466,11.8226,0.)); #473661=CARTESIAN_POINT('',(34.760466,11.8226,0.035)); #473662=CARTESIAN_POINT('',(34.760466,11.8226,0.035)); #473663=CARTESIAN_POINT('',(34.760466,11.8226,0.)); #473664=CARTESIAN_POINT('Origin',(35.114534,11.8226,0.)); #473665=CARTESIAN_POINT('',(35.114534,11.8226,0.)); #473666=CARTESIAN_POINT('',(35.114534,11.8226,0.)); #473667=CARTESIAN_POINT('',(35.114534,11.8226,0.035)); #473668=CARTESIAN_POINT('',(35.114534,11.8226,0.035)); #473669=CARTESIAN_POINT('',(35.114534,11.8226,0.)); #473670=CARTESIAN_POINT('Origin',(35.304597,12.012663,0.)); #473671=CARTESIAN_POINT('',(35.304597,12.012663,0.)); #473672=CARTESIAN_POINT('',(35.304597,12.012663,0.)); #473673=CARTESIAN_POINT('',(35.304597,12.012663,0.035)); #473674=CARTESIAN_POINT('',(35.304597,12.012663,0.035)); #473675=CARTESIAN_POINT('',(35.304597,12.012663,0.)); #473676=CARTESIAN_POINT('Origin',(35.341163,12.038163,0.)); #473677=CARTESIAN_POINT('',(35.341163,12.038163,0.)); #473678=CARTESIAN_POINT('',(35.341163,12.038163,0.)); #473679=CARTESIAN_POINT('',(35.341163,12.038163,0.035)); #473680=CARTESIAN_POINT('',(35.341163,12.038163,0.035)); #473681=CARTESIAN_POINT('',(35.341163,12.038163,0.)); #473682=CARTESIAN_POINT('Origin',(35.390353,12.049794,0.)); #473683=CARTESIAN_POINT('',(35.390353,12.049794,0.)); #473684=CARTESIAN_POINT('',(35.390353,12.049794,0.)); #473685=CARTESIAN_POINT('',(35.390353,12.049794,0.035)); #473686=CARTESIAN_POINT('',(35.390353,12.049794,0.035)); #473687=CARTESIAN_POINT('',(35.390353,12.049794,0.)); #473688=CARTESIAN_POINT('Origin',(35.440181,12.041322,0.)); #473689=CARTESIAN_POINT('',(35.440181,12.041322,0.)); #473690=CARTESIAN_POINT('',(35.440181,12.041322,0.)); #473691=CARTESIAN_POINT('',(35.440181,12.041322,0.035)); #473692=CARTESIAN_POINT('',(35.440181,12.041322,0.035)); #473693=CARTESIAN_POINT('',(35.440181,12.041322,0.)); #473694=CARTESIAN_POINT('Origin',(35.482759,12.014081,0.)); #473695=CARTESIAN_POINT('',(35.482759,12.014081,0.)); #473696=CARTESIAN_POINT('',(35.482759,12.014081,0.)); #473697=CARTESIAN_POINT('',(35.482759,12.014081,0.035)); #473698=CARTESIAN_POINT('',(35.482759,12.014081,0.035)); #473699=CARTESIAN_POINT('',(35.482759,12.014081,0.)); #473700=CARTESIAN_POINT('Origin',(35.511341,11.972394,0.)); #473701=CARTESIAN_POINT('',(35.511341,11.972394,0.)); #473702=CARTESIAN_POINT('',(35.511341,11.972394,0.)); #473703=CARTESIAN_POINT('',(35.511341,11.972394,0.035)); #473704=CARTESIAN_POINT('',(35.511341,11.972394,0.035)); #473705=CARTESIAN_POINT('',(35.511341,11.972394,0.)); #473706=CARTESIAN_POINT('Origin',(35.5214,11.922859,0.)); #473707=CARTESIAN_POINT('',(35.5214,11.922859,0.)); #473708=CARTESIAN_POINT('',(35.5214,11.922859,0.)); #473709=CARTESIAN_POINT('',(35.5214,11.922859,0.035)); #473710=CARTESIAN_POINT('',(35.5214,11.922859,0.035)); #473711=CARTESIAN_POINT('',(35.5214,11.922859,0.)); #473712=CARTESIAN_POINT('Origin',(35.5214,11.678441,0.)); #473713=CARTESIAN_POINT('',(35.5214,11.678441,0.)); #473714=CARTESIAN_POINT('',(35.5214,11.678441,0.)); #473715=CARTESIAN_POINT('',(35.5214,11.678441,0.035)); #473716=CARTESIAN_POINT('',(35.5214,11.678441,0.035)); #473717=CARTESIAN_POINT('',(35.5214,11.678441,0.)); #473718=CARTESIAN_POINT('Origin',(35.512116,11.630778,0.)); #473719=CARTESIAN_POINT('',(35.512116,11.630778,0.)); #473720=CARTESIAN_POINT('',(35.512116,11.630778,0.)); #473721=CARTESIAN_POINT('',(35.512116,11.630778,0.035)); #473722=CARTESIAN_POINT('',(35.512116,11.630778,0.035)); #473723=CARTESIAN_POINT('',(35.512116,11.630778,0.)); #473724=CARTESIAN_POINT('Origin',(35.484203,11.588638,0.)); #473725=CARTESIAN_POINT('',(35.484203,11.588638,0.)); #473726=CARTESIAN_POINT('',(35.484203,11.588638,0.)); #473727=CARTESIAN_POINT('',(35.484203,11.588638,0.035)); #473728=CARTESIAN_POINT('',(35.484203,11.588638,0.035)); #473729=CARTESIAN_POINT('',(35.484203,11.588638,0.)); #473730=CARTESIAN_POINT('Origin',(35.3226,11.427034,0.)); #473731=CARTESIAN_POINT('',(35.3226,11.427034,0.)); #473732=CARTESIAN_POINT('',(35.3226,11.427034,0.)); #473733=CARTESIAN_POINT('',(35.3226,11.427034,0.035)); #473734=CARTESIAN_POINT('',(35.3226,11.427034,0.035)); #473735=CARTESIAN_POINT('',(35.3226,11.427034,0.)); #473736=CARTESIAN_POINT('Origin',(35.3226,11.072966,0.)); #473737=CARTESIAN_POINT('',(35.3226,11.072966,0.)); #473738=CARTESIAN_POINT('',(35.3226,11.072966,0.)); #473739=CARTESIAN_POINT('',(35.3226,11.072966,0.035)); #473740=CARTESIAN_POINT('',(35.3226,11.072966,0.035)); #473741=CARTESIAN_POINT('',(35.3226,11.072966,0.)); #473742=CARTESIAN_POINT('Origin',(35.572966,10.8226,0.)); #473743=CARTESIAN_POINT('',(35.572966,10.8226,0.)); #473744=CARTESIAN_POINT('',(35.572966,10.8226,0.)); #473745=CARTESIAN_POINT('',(35.572966,10.8226,0.035)); #473746=CARTESIAN_POINT('',(35.572966,10.8226,0.035)); #473747=CARTESIAN_POINT('',(35.572966,10.8226,0.)); #473748=CARTESIAN_POINT('Origin',(35.9456,10.8226,0.)); #473749=CARTESIAN_POINT('',(35.9456,10.8226,0.)); #473750=CARTESIAN_POINT('',(35.9456,10.8226,0.)); #473751=CARTESIAN_POINT('',(35.9456,10.8226,0.035)); #473752=CARTESIAN_POINT('',(35.9456,10.8226,0.035)); #473753=CARTESIAN_POINT('',(35.9456,10.8226,0.)); #473754=CARTESIAN_POINT('Origin',(35.991381,10.814063,0.)); #473755=CARTESIAN_POINT('',(35.991381,10.814063,0.)); #473756=CARTESIAN_POINT('',(35.991381,10.814063,0.)); #473757=CARTESIAN_POINT('',(35.991381,10.814063,0.035)); #473758=CARTESIAN_POINT('',(35.991381,10.814063,0.035)); #473759=CARTESIAN_POINT('',(35.991381,10.814063,0.)); #473760=CARTESIAN_POINT('Origin',(36.033959,10.786822,0.)); #473761=CARTESIAN_POINT('',(36.033959,10.786822,0.)); #473762=CARTESIAN_POINT('',(36.033959,10.786822,0.)); #473763=CARTESIAN_POINT('',(36.033959,10.786822,0.035)); #473764=CARTESIAN_POINT('',(36.033959,10.786822,0.035)); #473765=CARTESIAN_POINT('',(36.033959,10.786822,0.)); #473766=CARTESIAN_POINT('Origin',(36.062541,10.745134,0.)); #473767=CARTESIAN_POINT('',(36.062541,10.745134,0.)); #473768=CARTESIAN_POINT('',(36.062541,10.745134,0.)); #473769=CARTESIAN_POINT('',(36.062541,10.745134,0.035)); #473770=CARTESIAN_POINT('',(36.062541,10.745134,0.035)); #473771=CARTESIAN_POINT('',(36.062541,10.745134,0.)); #473772=CARTESIAN_POINT('Origin',(36.0726,10.6956,0.)); #473773=CARTESIAN_POINT('',(36.0726,10.6956,0.)); #473774=CARTESIAN_POINT('',(36.0726,10.6956,0.)); #473775=CARTESIAN_POINT('',(36.0726,10.6956,0.035)); #473776=CARTESIAN_POINT('',(36.0726,10.6956,0.035)); #473777=CARTESIAN_POINT('',(36.0726,10.6956,0.)); #473778=CARTESIAN_POINT('Origin',(36.0726,10.322966,0.)); #473779=CARTESIAN_POINT('',(36.0726,10.322966,0.)); #473780=CARTESIAN_POINT('',(36.0726,10.322966,0.)); #473781=CARTESIAN_POINT('',(36.0726,10.322966,0.035)); #473782=CARTESIAN_POINT('',(36.0726,10.322966,0.035)); #473783=CARTESIAN_POINT('',(36.0726,10.322966,0.)); #473784=CARTESIAN_POINT('Origin',(36.322966,10.0726,0.)); #473785=CARTESIAN_POINT('',(36.322966,10.0726,0.)); #473786=CARTESIAN_POINT('',(36.322966,10.0726,0.)); #473787=CARTESIAN_POINT('',(36.322966,10.0726,0.035)); #473788=CARTESIAN_POINT('',(36.322966,10.0726,0.035)); #473789=CARTESIAN_POINT('',(36.322966,10.0726,0.)); #473790=CARTESIAN_POINT('Origin',(36.677034,10.0726,0.)); #473791=CARTESIAN_POINT('',(36.677034,10.0726,0.)); #473792=CARTESIAN_POINT('',(36.677034,10.0726,0.)); #473793=CARTESIAN_POINT('',(36.677034,10.0726,0.035)); #473794=CARTESIAN_POINT('',(36.677034,10.0726,0.035)); #473795=CARTESIAN_POINT('',(36.677034,10.0726,0.)); #473796=CARTESIAN_POINT('Origin',(36.9274,10.322966,0.)); #473797=CARTESIAN_POINT('',(36.9274,10.322966,0.)); #473798=CARTESIAN_POINT('',(36.9274,10.322966,0.)); #473799=CARTESIAN_POINT('',(36.9274,10.322966,0.035)); #473800=CARTESIAN_POINT('',(36.9274,10.322966,0.035)); #473801=CARTESIAN_POINT('',(36.9274,10.322966,0.)); #473802=CARTESIAN_POINT('Origin',(36.9274,10.677034,0.)); #473803=CARTESIAN_POINT('',(36.9274,10.677034,0.)); #473804=CARTESIAN_POINT('',(36.9274,10.677034,0.)); #473805=CARTESIAN_POINT('',(36.9274,10.677034,0.035)); #473806=CARTESIAN_POINT('',(36.9274,10.677034,0.035)); #473807=CARTESIAN_POINT('',(36.9274,10.677034,0.)); #473808=CARTESIAN_POINT('Origin',(36.765797,10.838638,0.)); #473809=CARTESIAN_POINT('',(36.765797,10.838638,0.)); #473810=CARTESIAN_POINT('',(36.765797,10.838638,0.)); #473811=CARTESIAN_POINT('',(36.765797,10.838638,0.035)); #473812=CARTESIAN_POINT('',(36.765797,10.838638,0.035)); #473813=CARTESIAN_POINT('',(36.765797,10.838638,0.)); #473814=CARTESIAN_POINT('Origin',(36.738659,10.878906,0.)); #473815=CARTESIAN_POINT('',(36.738659,10.878906,0.)); #473816=CARTESIAN_POINT('',(36.738659,10.878906,0.)); #473817=CARTESIAN_POINT('',(36.738659,10.878906,0.035)); #473818=CARTESIAN_POINT('',(36.738659,10.878906,0.035)); #473819=CARTESIAN_POINT('',(36.738659,10.878906,0.)); #473820=CARTESIAN_POINT('Origin',(36.7286,10.928441,0.)); #473821=CARTESIAN_POINT('',(36.7286,10.928441,0.)); #473822=CARTESIAN_POINT('',(36.7286,10.928441,0.)); #473823=CARTESIAN_POINT('',(36.7286,10.928441,0.035)); #473824=CARTESIAN_POINT('',(36.7286,10.928441,0.035)); #473825=CARTESIAN_POINT('',(36.7286,10.928441,0.)); #473826=CARTESIAN_POINT('Origin',(36.7286,12.844688,0.)); #473827=CARTESIAN_POINT('',(36.7286,12.844688,0.)); #473828=CARTESIAN_POINT('',(36.7286,12.844688,0.)); #473829=CARTESIAN_POINT('',(36.7286,12.844688,0.035)); #473830=CARTESIAN_POINT('',(36.7286,12.844688,0.035)); #473831=CARTESIAN_POINT('',(36.7286,12.844688,0.)); #473832=CARTESIAN_POINT('Origin',(36.654991,12.918297,0.)); #473833=CARTESIAN_POINT('',(36.654991,12.918297,0.)); #473834=CARTESIAN_POINT('',(36.654991,12.918297,0.)); #473835=CARTESIAN_POINT('',(36.654991,12.918297,0.035)); #473836=CARTESIAN_POINT('',(36.654991,12.918297,0.035)); #473837=CARTESIAN_POINT('',(36.654991,12.918297,0.)); #473838=CARTESIAN_POINT('Origin',(36.629491,12.954863,0.)); #473839=CARTESIAN_POINT('',(36.629491,12.954863,0.)); #473840=CARTESIAN_POINT('',(36.629491,12.954863,0.)); #473841=CARTESIAN_POINT('',(36.629491,12.954863,0.035)); #473842=CARTESIAN_POINT('',(36.629491,12.954863,0.035)); #473843=CARTESIAN_POINT('',(36.629491,12.954863,0.)); #473844=CARTESIAN_POINT('Origin',(36.617859,13.004053,0.)); #473845=CARTESIAN_POINT('',(36.617859,13.004053,0.)); #473846=CARTESIAN_POINT('',(36.617859,13.004053,0.)); #473847=CARTESIAN_POINT('',(36.617859,13.004053,0.035)); #473848=CARTESIAN_POINT('',(36.617859,13.004053,0.035)); #473849=CARTESIAN_POINT('',(36.617859,13.004053,0.)); #473850=CARTESIAN_POINT('Origin',(36.626331,13.053881,0.)); #473851=CARTESIAN_POINT('',(36.626331,13.053881,0.)); #473852=CARTESIAN_POINT('',(36.626331,13.053881,0.)); #473853=CARTESIAN_POINT('',(36.626331,13.053881,0.035)); #473854=CARTESIAN_POINT('',(36.626331,13.053881,0.035)); #473855=CARTESIAN_POINT('',(36.626331,13.053881,0.)); #473856=CARTESIAN_POINT('Origin',(36.653572,13.096459,0.)); #473857=CARTESIAN_POINT('',(36.653572,13.096459,0.)); #473858=CARTESIAN_POINT('',(36.653572,13.096459,0.)); #473859=CARTESIAN_POINT('',(36.653572,13.096459,0.035)); #473860=CARTESIAN_POINT('',(36.653572,13.096459,0.035)); #473861=CARTESIAN_POINT('',(36.653572,13.096459,0.)); #473862=CARTESIAN_POINT('Origin',(36.695259,13.125041,0.)); #473863=CARTESIAN_POINT('',(36.695259,13.125041,0.)); #473864=CARTESIAN_POINT('',(36.695259,13.125041,0.)); #473865=CARTESIAN_POINT('',(36.695259,13.125041,0.035)); #473866=CARTESIAN_POINT('',(36.695259,13.125041,0.035)); #473867=CARTESIAN_POINT('',(36.695259,13.125041,0.)); #473868=CARTESIAN_POINT('Origin',(36.744794,13.1351,0.)); #473869=CARTESIAN_POINT('',(36.744794,13.1351,0.)); #473870=CARTESIAN_POINT('',(36.744794,13.1351,0.)); #473871=CARTESIAN_POINT('',(36.744794,13.1351,0.035)); #473872=CARTESIAN_POINT('',(36.744794,13.1351,0.035)); #473873=CARTESIAN_POINT('',(36.744794,13.1351,0.)); #473874=CARTESIAN_POINT('Origin',(36.950625,13.1351,0.)); #473875=CARTESIAN_POINT('',(36.950625,13.1351,0.)); #473876=CARTESIAN_POINT('',(36.950625,13.1351,0.)); #473877=CARTESIAN_POINT('',(36.950625,13.1351,0.035)); #473878=CARTESIAN_POINT('',(36.950625,13.1351,0.035)); #473879=CARTESIAN_POINT('',(36.950625,13.1351,0.)); #473880=CARTESIAN_POINT('Origin',(37.0399,13.224375,0.)); #473881=CARTESIAN_POINT('',(37.0399,13.224375,0.)); #473882=CARTESIAN_POINT('',(37.0399,13.224375,0.)); #473883=CARTESIAN_POINT('',(37.0399,13.224375,0.035)); #473884=CARTESIAN_POINT('',(37.0399,13.224375,0.035)); #473885=CARTESIAN_POINT('',(37.0399,13.224375,0.)); #473886=CARTESIAN_POINT('Origin',(37.0399,13.8331,0.)); #473887=CARTESIAN_POINT('',(37.0399,13.8331,0.)); #473888=CARTESIAN_POINT('',(37.0399,13.8331,0.)); #473889=CARTESIAN_POINT('',(37.0399,13.8331,0.035)); #473890=CARTESIAN_POINT('',(37.0399,13.8331,0.035)); #473891=CARTESIAN_POINT('',(37.0399,13.8331,0.)); #473892=CARTESIAN_POINT('Origin',(37.048437,13.878881,0.)); #473893=CARTESIAN_POINT('',(37.048437,13.878881,0.)); #473894=CARTESIAN_POINT('',(37.048437,13.878881,0.)); #473895=CARTESIAN_POINT('',(37.048437,13.878881,0.035)); #473896=CARTESIAN_POINT('',(37.048437,13.878881,0.035)); #473897=CARTESIAN_POINT('',(37.048437,13.878881,0.)); #473898=CARTESIAN_POINT('Origin',(37.075678,13.921459,0.)); #473899=CARTESIAN_POINT('',(37.075678,13.921459,0.)); #473900=CARTESIAN_POINT('',(37.075678,13.921459,0.)); #473901=CARTESIAN_POINT('',(37.075678,13.921459,0.035)); #473902=CARTESIAN_POINT('',(37.075678,13.921459,0.035)); #473903=CARTESIAN_POINT('',(37.075678,13.921459,0.)); #473904=CARTESIAN_POINT('Origin',(37.117366,13.950041,0.)); #473905=CARTESIAN_POINT('',(37.117366,13.950041,0.)); #473906=CARTESIAN_POINT('',(37.117366,13.950041,0.)); #473907=CARTESIAN_POINT('',(37.117366,13.950041,0.035)); #473908=CARTESIAN_POINT('',(37.117366,13.950041,0.035)); #473909=CARTESIAN_POINT('',(37.117366,13.950041,0.)); #473910=CARTESIAN_POINT('Origin',(37.1669,13.9601,0.)); #473911=CARTESIAN_POINT('',(37.1669,13.9601,0.)); #473912=CARTESIAN_POINT('',(37.1669,13.9601,0.)); #473913=CARTESIAN_POINT('',(37.1669,13.9601,0.035)); #473914=CARTESIAN_POINT('',(37.1669,13.9601,0.035)); #473915=CARTESIAN_POINT('',(37.1669,13.9601,0.)); #473916=CARTESIAN_POINT('Origin',(37.775625,13.9601,0.)); #473917=CARTESIAN_POINT('',(37.775625,13.9601,0.)); #473918=CARTESIAN_POINT('',(37.775625,13.9601,0.)); #473919=CARTESIAN_POINT('',(37.775625,13.9601,0.035)); #473920=CARTESIAN_POINT('',(37.775625,13.9601,0.035)); #473921=CARTESIAN_POINT('',(37.775625,13.9601,0.)); #473922=CARTESIAN_POINT('Origin',(37.8649,14.049375,0.)); #473923=CARTESIAN_POINT('',(37.8649,14.049375,0.)); #473924=CARTESIAN_POINT('',(37.8649,14.049375,0.)); #473925=CARTESIAN_POINT('',(37.8649,14.049375,0.035)); #473926=CARTESIAN_POINT('',(37.8649,14.049375,0.035)); #473927=CARTESIAN_POINT('',(37.8649,14.049375,0.)); #473928=CARTESIAN_POINT('Origin',(37.8649,15.3944,0.)); #473929=CARTESIAN_POINT('',(37.8649,15.3944,0.)); #473930=CARTESIAN_POINT('',(37.8649,15.3944,0.)); #473931=CARTESIAN_POINT('',(37.8649,15.3944,0.035)); #473932=CARTESIAN_POINT('',(37.8649,15.3944,0.035)); #473933=CARTESIAN_POINT('',(37.8649,15.3944,0.)); #473934=CARTESIAN_POINT('Origin',(37.873438,15.440181,0.)); #473935=CARTESIAN_POINT('',(37.873438,15.440181,0.)); #473936=CARTESIAN_POINT('',(37.873438,15.440181,0.)); #473937=CARTESIAN_POINT('',(37.873438,15.440181,0.035)); #473938=CARTESIAN_POINT('',(37.873438,15.440181,0.035)); #473939=CARTESIAN_POINT('',(37.873438,15.440181,0.)); #473940=CARTESIAN_POINT('Origin',(37.900678,15.482759,0.)); #473941=CARTESIAN_POINT('',(37.900678,15.482759,0.)); #473942=CARTESIAN_POINT('',(37.900678,15.482759,0.)); #473943=CARTESIAN_POINT('',(37.900678,15.482759,0.035)); #473944=CARTESIAN_POINT('',(37.900678,15.482759,0.035)); #473945=CARTESIAN_POINT('',(37.900678,15.482759,0.)); #473946=CARTESIAN_POINT('Origin',(37.942366,15.511341,0.)); #473947=CARTESIAN_POINT('',(37.942366,15.511341,0.)); #473948=CARTESIAN_POINT('',(37.942366,15.511341,0.)); #473949=CARTESIAN_POINT('',(37.942366,15.511341,0.035)); #473950=CARTESIAN_POINT('',(37.942366,15.511341,0.035)); #473951=CARTESIAN_POINT('',(37.942366,15.511341,0.)); #473952=CARTESIAN_POINT('Origin',(37.9919,15.5214,0.)); #473953=CARTESIAN_POINT('',(37.9919,15.5214,0.)); #473954=CARTESIAN_POINT('',(37.9919,15.5214,0.)); #473955=CARTESIAN_POINT('',(37.9919,15.5214,0.035)); #473956=CARTESIAN_POINT('',(37.9919,15.5214,0.035)); #473957=CARTESIAN_POINT('',(37.9919,15.5214,0.)); #473958=CARTESIAN_POINT('Origin',(38.821559,15.5214,0.)); #473959=CARTESIAN_POINT('',(38.821559,15.5214,0.)); #473960=CARTESIAN_POINT('',(38.821559,15.5214,0.)); #473961=CARTESIAN_POINT('',(38.821559,15.5214,0.035)); #473962=CARTESIAN_POINT('',(38.821559,15.5214,0.035)); #473963=CARTESIAN_POINT('',(38.821559,15.5214,0.)); #473964=CARTESIAN_POINT('Origin',(38.869222,15.512116,0.)); #473965=CARTESIAN_POINT('',(38.869222,15.512116,0.)); #473966=CARTESIAN_POINT('',(38.869222,15.512116,0.)); #473967=CARTESIAN_POINT('',(38.869222,15.512116,0.035)); #473968=CARTESIAN_POINT('',(38.869222,15.512116,0.035)); #473969=CARTESIAN_POINT('',(38.869222,15.512116,0.)); #473970=CARTESIAN_POINT('Origin',(38.911363,15.484203,0.)); #473971=CARTESIAN_POINT('',(38.911363,15.484203,0.)); #473972=CARTESIAN_POINT('',(38.911363,15.484203,0.)); #473973=CARTESIAN_POINT('',(38.911363,15.484203,0.035)); #473974=CARTESIAN_POINT('',(38.911363,15.484203,0.035)); #473975=CARTESIAN_POINT('',(38.911363,15.484203,0.)); #473976=CARTESIAN_POINT('Origin',(39.072966,15.3226,0.)); #473977=CARTESIAN_POINT('',(39.072966,15.3226,0.)); #473978=CARTESIAN_POINT('',(39.072966,15.3226,0.)); #473979=CARTESIAN_POINT('',(39.072966,15.3226,0.035)); #473980=CARTESIAN_POINT('',(39.072966,15.3226,0.035)); #473981=CARTESIAN_POINT('',(39.072966,15.3226,0.)); #473982=CARTESIAN_POINT('Origin',(39.427034,15.3226,0.)); #473983=CARTESIAN_POINT('',(39.427034,15.3226,0.)); #473984=CARTESIAN_POINT('',(39.427034,15.3226,0.)); #473985=CARTESIAN_POINT('',(39.427034,15.3226,0.035)); #473986=CARTESIAN_POINT('',(39.427034,15.3226,0.035)); #473987=CARTESIAN_POINT('',(39.427034,15.3226,0.)); #473988=CARTESIAN_POINT('Origin',(39.6774,15.572966,0.)); #473989=CARTESIAN_POINT('',(39.6774,15.572966,0.)); #473990=CARTESIAN_POINT('',(39.6774,15.572966,0.)); #473991=CARTESIAN_POINT('',(39.6774,15.572966,0.035)); #473992=CARTESIAN_POINT('',(39.6774,15.572966,0.035)); #473993=CARTESIAN_POINT('',(39.6774,15.572966,0.)); #473994=CARTESIAN_POINT('Origin',(39.6774,15.927034,0.)); #473995=CARTESIAN_POINT('',(39.6774,15.927034,0.)); #473996=CARTESIAN_POINT('',(39.6774,15.927034,0.)); #473997=CARTESIAN_POINT('',(39.6774,15.927034,0.035)); #473998=CARTESIAN_POINT('',(39.6774,15.927034,0.035)); #473999=CARTESIAN_POINT('',(39.6774,15.927034,0.)); #474000=CARTESIAN_POINT('Origin',(39.569237,16.035197,0.)); #474001=CARTESIAN_POINT('',(39.569237,16.035197,0.)); #474002=CARTESIAN_POINT('',(39.569237,16.035197,0.)); #474003=CARTESIAN_POINT('',(39.569237,16.035197,0.035)); #474004=CARTESIAN_POINT('',(39.569237,16.035197,0.035)); #474005=CARTESIAN_POINT('',(39.569237,16.035197,0.)); #474006=CARTESIAN_POINT('Origin',(39.542903,16.073606,0.)); #474007=CARTESIAN_POINT('',(39.542903,16.073606,0.)); #474008=CARTESIAN_POINT('',(39.542903,16.073606,0.)); #474009=CARTESIAN_POINT('',(39.542903,16.073606,0.035)); #474010=CARTESIAN_POINT('',(39.542903,16.073606,0.035)); #474011=CARTESIAN_POINT('',(39.542903,16.073606,0.)); #474012=CARTESIAN_POINT('Origin',(39.532056,16.122975,0.)); #474013=CARTESIAN_POINT('',(39.532056,16.122975,0.)); #474014=CARTESIAN_POINT('',(39.532056,16.122975,0.)); #474015=CARTESIAN_POINT('',(39.532056,16.122975,0.035)); #474016=CARTESIAN_POINT('',(39.532056,16.122975,0.035)); #474017=CARTESIAN_POINT('',(39.532056,16.122975,0.)); #474018=CARTESIAN_POINT('Origin',(39.541325,16.172663,0.)); #474019=CARTESIAN_POINT('',(39.541325,16.172663,0.)); #474020=CARTESIAN_POINT('',(39.541325,16.172663,0.)); #474021=CARTESIAN_POINT('',(39.541325,16.172663,0.035)); #474022=CARTESIAN_POINT('',(39.541325,16.172663,0.035)); #474023=CARTESIAN_POINT('',(39.541325,16.172663,0.)); #474024=CARTESIAN_POINT('Origin',(39.569237,16.214803,0.)); #474025=CARTESIAN_POINT('',(39.569237,16.214803,0.)); #474026=CARTESIAN_POINT('',(39.569237,16.214803,0.)); #474027=CARTESIAN_POINT('',(39.569237,16.214803,0.035)); #474028=CARTESIAN_POINT('',(39.569237,16.214803,0.035)); #474029=CARTESIAN_POINT('',(39.569237,16.214803,0.)); #474030=CARTESIAN_POINT('Origin',(39.6774,16.322966,0.)); #474031=CARTESIAN_POINT('',(39.6774,16.322966,0.)); #474032=CARTESIAN_POINT('',(39.6774,16.322966,0.)); #474033=CARTESIAN_POINT('',(39.6774,16.322966,0.035)); #474034=CARTESIAN_POINT('',(39.6774,16.322966,0.035)); #474035=CARTESIAN_POINT('',(39.6774,16.322966,0.)); #474036=CARTESIAN_POINT('Origin',(39.6774,16.677034,0.)); #474037=CARTESIAN_POINT('',(39.6774,16.677034,0.)); #474038=CARTESIAN_POINT('',(39.6774,16.677034,0.)); #474039=CARTESIAN_POINT('',(39.6774,16.677034,0.035)); #474040=CARTESIAN_POINT('',(39.6774,16.677034,0.035)); #474041=CARTESIAN_POINT('',(39.6774,16.677034,0.)); #474042=CARTESIAN_POINT('Origin',(39.427034,16.9274,0.)); #474043=CARTESIAN_POINT('',(39.427034,16.9274,0.)); #474044=CARTESIAN_POINT('',(39.427034,16.9274,0.)); #474045=CARTESIAN_POINT('',(39.427034,16.9274,0.035)); #474046=CARTESIAN_POINT('',(39.427034,16.9274,0.035)); #474047=CARTESIAN_POINT('',(39.427034,16.9274,0.)); #474048=CARTESIAN_POINT('Origin',(39.377,16.9274,0.)); #474049=CARTESIAN_POINT('',(39.377,16.9274,0.)); #474050=CARTESIAN_POINT('',(39.377,16.9274,0.)); #474051=CARTESIAN_POINT('',(39.377,16.9274,0.035)); #474052=CARTESIAN_POINT('',(39.377,16.9274,0.035)); #474053=CARTESIAN_POINT('',(39.377,16.9274,0.)); #474054=CARTESIAN_POINT('Origin',(39.331219,16.935938,0.)); #474055=CARTESIAN_POINT('',(39.331219,16.935938,0.)); #474056=CARTESIAN_POINT('',(39.331219,16.935938,0.)); #474057=CARTESIAN_POINT('',(39.331219,16.935938,0.035)); #474058=CARTESIAN_POINT('',(39.331219,16.935938,0.035)); #474059=CARTESIAN_POINT('',(39.331219,16.935938,0.)); #474060=CARTESIAN_POINT('Origin',(39.288641,16.963178,0.)); #474061=CARTESIAN_POINT('',(39.288641,16.963178,0.)); #474062=CARTESIAN_POINT('',(39.288641,16.963178,0.)); #474063=CARTESIAN_POINT('',(39.288641,16.963178,0.035)); #474064=CARTESIAN_POINT('',(39.288641,16.963178,0.035)); #474065=CARTESIAN_POINT('',(39.288641,16.963178,0.)); #474066=CARTESIAN_POINT('Origin',(39.260059,17.004866,0.)); #474067=CARTESIAN_POINT('',(39.260059,17.004866,0.)); #474068=CARTESIAN_POINT('',(39.260059,17.004866,0.)); #474069=CARTESIAN_POINT('',(39.260059,17.004866,0.035)); #474070=CARTESIAN_POINT('',(39.260059,17.004866,0.035)); #474071=CARTESIAN_POINT('',(39.260059,17.004866,0.)); #474072=CARTESIAN_POINT('Origin',(39.25,17.0544,0.)); #474073=CARTESIAN_POINT('',(39.25,17.0544,0.)); #474074=CARTESIAN_POINT('',(39.25,17.0544,0.)); #474075=CARTESIAN_POINT('',(39.25,17.0544,0.035)); #474076=CARTESIAN_POINT('',(39.25,17.0544,0.035)); #474077=CARTESIAN_POINT('',(39.25,17.0544,0.)); #474078=CARTESIAN_POINT('Origin',(39.25,17.225,0.)); #474079=CARTESIAN_POINT('',(39.25,17.225,0.)); #474080=CARTESIAN_POINT('',(39.25,17.225,0.)); #474081=CARTESIAN_POINT('',(39.25,17.225,0.035)); #474082=CARTESIAN_POINT('',(39.25,17.225,0.035)); #474083=CARTESIAN_POINT('',(39.25,17.225,0.)); #474084=CARTESIAN_POINT('Origin',(39.249992678009,17.5000043821174,0.)); #474085=CARTESIAN_POINT('',(39.006072,17.373,0.)); #474086=CARTESIAN_POINT('Origin',(39.249992678009,17.5000043821174,0.)); #474087=CARTESIAN_POINT('',(39.006072,17.373,0.035)); #474088=CARTESIAN_POINT('Origin',(39.249992678009,17.5000043821174,0.035)); #474089=CARTESIAN_POINT('',(39.006072,17.373,0.)); #474090=CARTESIAN_POINT('Origin',(38.5,17.373,0.)); #474091=CARTESIAN_POINT('',(38.5,17.373,0.)); #474092=CARTESIAN_POINT('',(38.5,17.373,0.)); #474093=CARTESIAN_POINT('',(38.5,17.373,0.035)); #474094=CARTESIAN_POINT('',(38.5,17.373,0.035)); #474095=CARTESIAN_POINT('',(38.5,17.373,0.)); #474096=CARTESIAN_POINT('Origin',(38.4999992502996,17.5000003087252,0.)); #474097=CARTESIAN_POINT('',(38.410197,17.410197,0.)); #474098=CARTESIAN_POINT('Origin',(38.4999992502996,17.5000003087252,0.)); #474099=CARTESIAN_POINT('',(38.410197,17.410197,0.035)); #474100=CARTESIAN_POINT('Origin',(38.4999992502996,17.5000003087252,0.035)); #474101=CARTESIAN_POINT('',(38.410197,17.410197,0.)); #474102=CARTESIAN_POINT('Origin',(38.197394,17.623,0.)); #474103=CARTESIAN_POINT('',(38.197394,17.623,0.)); #474104=CARTESIAN_POINT('',(38.197394,17.623,0.)); #474105=CARTESIAN_POINT('',(38.197394,17.623,0.035)); #474106=CARTESIAN_POINT('',(38.197394,17.623,0.035)); #474107=CARTESIAN_POINT('',(38.197394,17.623,0.)); #474108=CARTESIAN_POINT('Origin',(37.7125,17.623,0.)); #474109=CARTESIAN_POINT('',(37.7125,17.623,0.)); #474110=CARTESIAN_POINT('',(37.7125,17.623,0.)); #474111=CARTESIAN_POINT('',(37.7125,17.623,0.035)); #474112=CARTESIAN_POINT('',(37.7125,17.623,0.035)); #474113=CARTESIAN_POINT('',(37.7125,17.623,0.)); #474114=CARTESIAN_POINT('Origin',(37.7125,17.6125,0.)); #474115=CARTESIAN_POINT('',(37.7125,17.6125,0.)); #474116=CARTESIAN_POINT('',(37.7125,17.6125,0.)); #474117=CARTESIAN_POINT('',(37.7125,17.6125,0.035)); #474118=CARTESIAN_POINT('',(37.7125,17.6125,0.035)); #474119=CARTESIAN_POINT('',(37.7125,17.6125,0.)); #474120=CARTESIAN_POINT('Origin',(37.0875,17.6125,0.)); #474121=CARTESIAN_POINT('',(37.0875,17.6125,0.)); #474122=CARTESIAN_POINT('',(37.0875,17.6125,0.)); #474123=CARTESIAN_POINT('',(37.0875,17.6125,0.035)); #474124=CARTESIAN_POINT('',(37.0875,17.6125,0.035)); #474125=CARTESIAN_POINT('',(37.0875,17.6125,0.)); #474126=CARTESIAN_POINT('Origin',(37.0875,17.8875,0.)); #474127=CARTESIAN_POINT('',(37.0875,17.8875,0.)); #474128=CARTESIAN_POINT('',(37.0875,17.8875,0.)); #474129=CARTESIAN_POINT('',(37.0875,17.8875,0.035)); #474130=CARTESIAN_POINT('',(37.0875,17.8875,0.035)); #474131=CARTESIAN_POINT('',(37.0875,17.8875,0.)); #474132=CARTESIAN_POINT('Origin',(37.7125,17.8875,0.)); #474133=CARTESIAN_POINT('',(37.7125,17.8875,0.)); #474134=CARTESIAN_POINT('',(37.7125,17.8875,0.)); #474135=CARTESIAN_POINT('',(37.7125,17.8875,0.035)); #474136=CARTESIAN_POINT('',(37.7125,17.8875,0.035)); #474137=CARTESIAN_POINT('',(37.7125,17.8875,0.)); #474138=CARTESIAN_POINT('Origin',(37.7125,17.877,0.)); #474139=CARTESIAN_POINT('',(37.7125,17.877,0.)); #474140=CARTESIAN_POINT('',(37.7125,17.877,0.)); #474141=CARTESIAN_POINT('',(37.7125,17.877,0.035)); #474142=CARTESIAN_POINT('',(37.7125,17.877,0.035)); #474143=CARTESIAN_POINT('',(37.7125,17.877,0.)); #474144=CARTESIAN_POINT('Origin',(38.25,17.877,0.)); #474145=CARTESIAN_POINT('',(38.25,17.877,0.)); #474146=CARTESIAN_POINT('',(38.25,17.877,0.)); #474147=CARTESIAN_POINT('',(38.25,17.877,0.035)); #474148=CARTESIAN_POINT('',(38.25,17.877,0.035)); #474149=CARTESIAN_POINT('',(38.25,17.877,0.)); #474150=CARTESIAN_POINT('Origin',(38.2500007497004,17.7499996912748,0.)); #474151=CARTESIAN_POINT('',(38.339803,17.839803,0.)); #474152=CARTESIAN_POINT('Origin',(38.2500007497004,17.7499996912748,0.)); #474153=CARTESIAN_POINT('',(38.339803,17.839803,0.035)); #474154=CARTESIAN_POINT('Origin',(38.2500007497004,17.7499996912748,0.035)); #474155=CARTESIAN_POINT('',(38.339803,17.839803,0.)); #474156=CARTESIAN_POINT('Origin',(38.552606,17.627,0.)); #474157=CARTESIAN_POINT('',(38.552606,17.627,0.)); #474158=CARTESIAN_POINT('',(38.552606,17.627,0.)); #474159=CARTESIAN_POINT('',(38.552606,17.627,0.035)); #474160=CARTESIAN_POINT('',(38.552606,17.627,0.035)); #474161=CARTESIAN_POINT('',(38.552606,17.627,0.)); #474162=CARTESIAN_POINT('Origin',(39.006072,17.627,0.)); #474163=CARTESIAN_POINT('',(39.006072,17.627,0.)); #474164=CARTESIAN_POINT('',(39.006072,17.627,0.)); #474165=CARTESIAN_POINT('',(39.006072,17.627,0.035)); #474166=CARTESIAN_POINT('',(39.006072,17.627,0.035)); #474167=CARTESIAN_POINT('',(39.006072,17.627,0.)); #474168=CARTESIAN_POINT('Origin',(39.2502678314863,17.5001435692817,0.)); #474169=CARTESIAN_POINT('',(39.279272,17.773791,0.)); #474170=CARTESIAN_POINT('Origin',(39.2502678314863,17.5001435692817,0.)); #474171=CARTESIAN_POINT('',(39.279272,17.773791,0.035)); #474172=CARTESIAN_POINT('Origin',(39.2502678314863,17.5001435692817,0.035)); #474173=CARTESIAN_POINT('',(39.279272,17.773791,0.)); #474174=CARTESIAN_POINT('Origin',(39.285778,17.783959,0.)); #474175=CARTESIAN_POINT('',(39.285778,17.783959,0.)); #474176=CARTESIAN_POINT('',(39.285778,17.783959,0.)); #474177=CARTESIAN_POINT('',(39.285778,17.783959,0.035)); #474178=CARTESIAN_POINT('',(39.285778,17.783959,0.035)); #474179=CARTESIAN_POINT('',(39.285778,17.783959,0.)); #474180=CARTESIAN_POINT('Origin',(39.327466,17.812541,0.)); #474181=CARTESIAN_POINT('',(39.327466,17.812541,0.)); #474182=CARTESIAN_POINT('',(39.327466,17.812541,0.)); #474183=CARTESIAN_POINT('',(39.327466,17.812541,0.035)); #474184=CARTESIAN_POINT('',(39.327466,17.812541,0.035)); #474185=CARTESIAN_POINT('',(39.327466,17.812541,0.)); #474186=CARTESIAN_POINT('Origin',(39.377,17.8226,0.)); #474187=CARTESIAN_POINT('',(39.377,17.8226,0.)); #474188=CARTESIAN_POINT('',(39.377,17.8226,0.)); #474189=CARTESIAN_POINT('',(39.377,17.8226,0.035)); #474190=CARTESIAN_POINT('',(39.377,17.8226,0.035)); #474191=CARTESIAN_POINT('',(39.377,17.8226,0.)); #474192=CARTESIAN_POINT('Origin',(39.427034,17.8226,0.)); #474193=CARTESIAN_POINT('',(39.427034,17.8226,0.)); #474194=CARTESIAN_POINT('',(39.427034,17.8226,0.)); #474195=CARTESIAN_POINT('',(39.427034,17.8226,0.035)); #474196=CARTESIAN_POINT('',(39.427034,17.8226,0.035)); #474197=CARTESIAN_POINT('',(39.427034,17.8226,0.)); #474198=CARTESIAN_POINT('Origin',(39.6774,18.072966,0.)); #474199=CARTESIAN_POINT('',(39.6774,18.072966,0.)); #474200=CARTESIAN_POINT('',(39.6774,18.072966,0.)); #474201=CARTESIAN_POINT('',(39.6774,18.072966,0.035)); #474202=CARTESIAN_POINT('',(39.6774,18.072966,0.035)); #474203=CARTESIAN_POINT('',(39.6774,18.072966,0.)); #474204=CARTESIAN_POINT('Origin',(39.6774,18.123,0.)); #474205=CARTESIAN_POINT('',(39.6774,18.123,0.)); #474206=CARTESIAN_POINT('',(39.6774,18.123,0.)); #474207=CARTESIAN_POINT('',(39.6774,18.123,0.035)); #474208=CARTESIAN_POINT('',(39.6774,18.123,0.035)); #474209=CARTESIAN_POINT('',(39.6774,18.123,0.)); #474210=CARTESIAN_POINT('Origin',(39.685938,18.168781,0.)); #474211=CARTESIAN_POINT('',(39.685938,18.168781,0.)); #474212=CARTESIAN_POINT('',(39.685938,18.168781,0.)); #474213=CARTESIAN_POINT('',(39.685938,18.168781,0.035)); #474214=CARTESIAN_POINT('',(39.685938,18.168781,0.035)); #474215=CARTESIAN_POINT('',(39.685938,18.168781,0.)); #474216=CARTESIAN_POINT('Origin',(39.713178,18.211359,0.)); #474217=CARTESIAN_POINT('',(39.713178,18.211359,0.)); #474218=CARTESIAN_POINT('',(39.713178,18.211359,0.)); #474219=CARTESIAN_POINT('',(39.713178,18.211359,0.035)); #474220=CARTESIAN_POINT('',(39.713178,18.211359,0.035)); #474221=CARTESIAN_POINT('',(39.713178,18.211359,0.)); #474222=CARTESIAN_POINT('Origin',(39.754866,18.239941,0.)); #474223=CARTESIAN_POINT('',(39.754866,18.239941,0.)); #474224=CARTESIAN_POINT('',(39.754866,18.239941,0.)); #474225=CARTESIAN_POINT('',(39.754866,18.239941,0.035)); #474226=CARTESIAN_POINT('',(39.754866,18.239941,0.035)); #474227=CARTESIAN_POINT('',(39.754866,18.239941,0.)); #474228=CARTESIAN_POINT('Origin',(39.8044,18.25,0.)); #474229=CARTESIAN_POINT('',(39.8044,18.25,0.)); #474230=CARTESIAN_POINT('',(39.8044,18.25,0.)); #474231=CARTESIAN_POINT('',(39.8044,18.25,0.035)); #474232=CARTESIAN_POINT('',(39.8044,18.25,0.035)); #474233=CARTESIAN_POINT('',(39.8044,18.25,0.)); #474234=CARTESIAN_POINT('Origin',(43.998,18.25,0.)); #474235=CARTESIAN_POINT('',(43.998,18.25,0.)); #474236=CARTESIAN_POINT('',(43.998,18.25,0.)); #474237=CARTESIAN_POINT('',(43.998,18.25,0.035)); #474238=CARTESIAN_POINT('',(43.998,18.25,0.035)); #474239=CARTESIAN_POINT('',(43.998,18.25,0.)); #474240=CARTESIAN_POINT('Origin',(44.043781,18.241463,0.)); #474241=CARTESIAN_POINT('',(44.043781,18.241463,0.)); #474242=CARTESIAN_POINT('',(44.043781,18.241463,0.)); #474243=CARTESIAN_POINT('',(44.043781,18.241463,0.035)); #474244=CARTESIAN_POINT('',(44.043781,18.241463,0.035)); #474245=CARTESIAN_POINT('',(44.043781,18.241463,0.)); #474246=CARTESIAN_POINT('Origin',(44.086359,18.214222,0.)); #474247=CARTESIAN_POINT('',(44.086359,18.214222,0.)); #474248=CARTESIAN_POINT('',(44.086359,18.214222,0.)); #474249=CARTESIAN_POINT('',(44.086359,18.214222,0.035)); #474250=CARTESIAN_POINT('',(44.086359,18.214222,0.035)); #474251=CARTESIAN_POINT('',(44.086359,18.214222,0.)); #474252=CARTESIAN_POINT('Origin',(44.114941,18.172534,0.)); #474253=CARTESIAN_POINT('',(44.114941,18.172534,0.)); #474254=CARTESIAN_POINT('',(44.114941,18.172534,0.)); #474255=CARTESIAN_POINT('',(44.114941,18.172534,0.035)); #474256=CARTESIAN_POINT('',(44.114941,18.172534,0.035)); #474257=CARTESIAN_POINT('',(44.114941,18.172534,0.)); #474258=CARTESIAN_POINT('Origin',(44.125,18.123,0.)); #474259=CARTESIAN_POINT('',(44.125,18.123,0.)); #474260=CARTESIAN_POINT('',(44.125,18.123,0.)); #474261=CARTESIAN_POINT('',(44.125,18.123,0.035)); #474262=CARTESIAN_POINT('',(44.125,18.123,0.035)); #474263=CARTESIAN_POINT('',(44.125,18.123,0.)); #474264=CARTESIAN_POINT('Origin',(44.125,16.5044,0.)); #474265=CARTESIAN_POINT('',(44.125,16.5044,0.)); #474266=CARTESIAN_POINT('',(44.125,16.5044,0.)); #474267=CARTESIAN_POINT('',(44.125,16.5044,0.035)); #474268=CARTESIAN_POINT('',(44.125,16.5044,0.035)); #474269=CARTESIAN_POINT('',(44.125,16.5044,0.)); #474270=CARTESIAN_POINT('Origin',(44.116463,16.458619,0.)); #474271=CARTESIAN_POINT('',(44.116463,16.458619,0.)); #474272=CARTESIAN_POINT('',(44.116463,16.458619,0.)); #474273=CARTESIAN_POINT('',(44.116463,16.458619,0.035)); #474274=CARTESIAN_POINT('',(44.116463,16.458619,0.035)); #474275=CARTESIAN_POINT('',(44.116463,16.458619,0.)); #474276=CARTESIAN_POINT('Origin',(44.089222,16.416041,0.)); #474277=CARTESIAN_POINT('',(44.089222,16.416041,0.)); #474278=CARTESIAN_POINT('',(44.089222,16.416041,0.)); #474279=CARTESIAN_POINT('',(44.089222,16.416041,0.035)); #474280=CARTESIAN_POINT('',(44.089222,16.416041,0.035)); #474281=CARTESIAN_POINT('',(44.089222,16.416041,0.)); #474282=CARTESIAN_POINT('Origin',(44.047534,16.387459,0.)); #474283=CARTESIAN_POINT('',(44.047534,16.387459,0.)); #474284=CARTESIAN_POINT('',(44.047534,16.387459,0.)); #474285=CARTESIAN_POINT('',(44.047534,16.387459,0.035)); #474286=CARTESIAN_POINT('',(44.047534,16.387459,0.035)); #474287=CARTESIAN_POINT('',(44.047534,16.387459,0.)); #474288=CARTESIAN_POINT('Origin',(43.998,16.3774,0.)); #474289=CARTESIAN_POINT('',(43.998,16.3774,0.)); #474290=CARTESIAN_POINT('',(43.998,16.3774,0.)); #474291=CARTESIAN_POINT('',(43.998,16.3774,0.035)); #474292=CARTESIAN_POINT('',(43.998,16.3774,0.035)); #474293=CARTESIAN_POINT('',(43.998,16.3774,0.)); #474294=CARTESIAN_POINT('Origin',(43.036875,16.3774,0.)); #474295=CARTESIAN_POINT('',(43.036875,16.3774,0.)); #474296=CARTESIAN_POINT('',(43.036875,16.3774,0.)); #474297=CARTESIAN_POINT('',(43.036875,16.3774,0.035)); #474298=CARTESIAN_POINT('',(43.036875,16.3774,0.035)); #474299=CARTESIAN_POINT('',(43.036875,16.3774,0.)); #474300=CARTESIAN_POINT('Origin',(42.971759,16.312284,0.)); #474301=CARTESIAN_POINT('',(42.971759,16.312284,0.)); #474302=CARTESIAN_POINT('',(42.971759,16.312284,0.)); #474303=CARTESIAN_POINT('',(42.971759,16.312284,0.035)); #474304=CARTESIAN_POINT('',(42.971759,16.312284,0.035)); #474305=CARTESIAN_POINT('',(42.971759,16.312284,0.)); #474306=CARTESIAN_POINT('Origin',(42.93335,16.28595,0.)); #474307=CARTESIAN_POINT('',(42.93335,16.28595,0.)); #474308=CARTESIAN_POINT('',(42.93335,16.28595,0.)); #474309=CARTESIAN_POINT('',(42.93335,16.28595,0.035)); #474310=CARTESIAN_POINT('',(42.93335,16.28595,0.035)); #474311=CARTESIAN_POINT('',(42.93335,16.28595,0.)); #474312=CARTESIAN_POINT('Origin',(42.883981,16.275103,0.)); #474313=CARTESIAN_POINT('',(42.883981,16.275103,0.)); #474314=CARTESIAN_POINT('',(42.883981,16.275103,0.)); #474315=CARTESIAN_POINT('',(42.883981,16.275103,0.035)); #474316=CARTESIAN_POINT('',(42.883981,16.275103,0.035)); #474317=CARTESIAN_POINT('',(42.883981,16.275103,0.)); #474318=CARTESIAN_POINT('Origin',(42.834294,16.284372,0.)); #474319=CARTESIAN_POINT('',(42.834294,16.284372,0.)); #474320=CARTESIAN_POINT('',(42.834294,16.284372,0.)); #474321=CARTESIAN_POINT('',(42.834294,16.284372,0.035)); #474322=CARTESIAN_POINT('',(42.834294,16.284372,0.035)); #474323=CARTESIAN_POINT('',(42.834294,16.284372,0.)); #474324=CARTESIAN_POINT('Origin',(42.792153,16.312284,0.)); #474325=CARTESIAN_POINT('',(42.792153,16.312284,0.)); #474326=CARTESIAN_POINT('',(42.792153,16.312284,0.)); #474327=CARTESIAN_POINT('',(42.792153,16.312284,0.035)); #474328=CARTESIAN_POINT('',(42.792153,16.312284,0.035)); #474329=CARTESIAN_POINT('',(42.792153,16.312284,0.)); #474330=CARTESIAN_POINT('Origin',(42.677034,16.4274,0.)); #474331=CARTESIAN_POINT('',(42.677034,16.4274,0.)); #474332=CARTESIAN_POINT('',(42.677034,16.4274,0.)); #474333=CARTESIAN_POINT('',(42.677034,16.4274,0.035)); #474334=CARTESIAN_POINT('',(42.677034,16.4274,0.035)); #474335=CARTESIAN_POINT('',(42.677034,16.4274,0.)); #474336=CARTESIAN_POINT('Origin',(42.322966,16.4274,0.)); #474337=CARTESIAN_POINT('',(42.322966,16.4274,0.)); #474338=CARTESIAN_POINT('',(42.322966,16.4274,0.)); #474339=CARTESIAN_POINT('',(42.322966,16.4274,0.035)); #474340=CARTESIAN_POINT('',(42.322966,16.4274,0.035)); #474341=CARTESIAN_POINT('',(42.322966,16.4274,0.)); #474342=CARTESIAN_POINT('Origin',(42.0726,16.177034,0.)); #474343=CARTESIAN_POINT('',(42.0726,16.177034,0.)); #474344=CARTESIAN_POINT('',(42.0726,16.177034,0.)); #474345=CARTESIAN_POINT('',(42.0726,16.177034,0.035)); #474346=CARTESIAN_POINT('',(42.0726,16.177034,0.035)); #474347=CARTESIAN_POINT('',(42.0726,16.177034,0.)); #474348=CARTESIAN_POINT('Origin',(42.0726,15.822966,0.)); #474349=CARTESIAN_POINT('',(42.0726,15.822966,0.)); #474350=CARTESIAN_POINT('',(42.0726,15.822966,0.)); #474351=CARTESIAN_POINT('',(42.0726,15.822966,0.035)); #474352=CARTESIAN_POINT('',(42.0726,15.822966,0.035)); #474353=CARTESIAN_POINT('',(42.0726,15.822966,0.)); #474354=CARTESIAN_POINT('Origin',(42.322966,15.5726,0.)); #474355=CARTESIAN_POINT('',(42.322966,15.5726,0.)); #474356=CARTESIAN_POINT('',(42.322966,15.5726,0.)); #474357=CARTESIAN_POINT('',(42.322966,15.5726,0.035)); #474358=CARTESIAN_POINT('',(42.322966,15.5726,0.035)); #474359=CARTESIAN_POINT('',(42.322966,15.5726,0.)); #474360=CARTESIAN_POINT('Origin',(42.677034,15.5726,0.)); #474361=CARTESIAN_POINT('',(42.677034,15.5726,0.)); #474362=CARTESIAN_POINT('',(42.677034,15.5726,0.)); #474363=CARTESIAN_POINT('',(42.677034,15.5726,0.035)); #474364=CARTESIAN_POINT('',(42.677034,15.5726,0.035)); #474365=CARTESIAN_POINT('',(42.677034,15.5726,0.)); #474366=CARTESIAN_POINT('Origin',(42.817153,15.712719,0.)); #474367=CARTESIAN_POINT('',(42.817153,15.712719,0.)); #474368=CARTESIAN_POINT('',(42.817153,15.712719,0.)); #474369=CARTESIAN_POINT('',(42.817153,15.712719,0.035)); #474370=CARTESIAN_POINT('',(42.817153,15.712719,0.035)); #474371=CARTESIAN_POINT('',(42.817153,15.712719,0.)); #474372=CARTESIAN_POINT('Origin',(42.855566,15.739053,0.)); #474373=CARTESIAN_POINT('',(42.855566,15.739053,0.)); #474374=CARTESIAN_POINT('',(42.855566,15.739053,0.)); #474375=CARTESIAN_POINT('',(42.855566,15.739053,0.035)); #474376=CARTESIAN_POINT('',(42.855566,15.739053,0.035)); #474377=CARTESIAN_POINT('',(42.855566,15.739053,0.)); #474378=CARTESIAN_POINT('Origin',(42.904934,15.7499,0.)); #474379=CARTESIAN_POINT('',(42.904934,15.7499,0.)); #474380=CARTESIAN_POINT('',(42.904934,15.7499,0.)); #474381=CARTESIAN_POINT('',(42.904934,15.7499,0.035)); #474382=CARTESIAN_POINT('',(42.904934,15.7499,0.035)); #474383=CARTESIAN_POINT('',(42.904934,15.7499,0.)); #474384=CARTESIAN_POINT('Origin',(42.954622,15.740631,0.)); #474385=CARTESIAN_POINT('',(42.954622,15.740631,0.)); #474386=CARTESIAN_POINT('',(42.954622,15.740631,0.)); #474387=CARTESIAN_POINT('',(42.954622,15.740631,0.035)); #474388=CARTESIAN_POINT('',(42.954622,15.740631,0.035)); #474389=CARTESIAN_POINT('',(42.954622,15.740631,0.)); #474390=CARTESIAN_POINT('Origin',(42.996759,15.712716,0.)); #474391=CARTESIAN_POINT('',(42.996759,15.712716,0.)); #474392=CARTESIAN_POINT('',(42.996759,15.712716,0.)); #474393=CARTESIAN_POINT('',(42.996759,15.712716,0.035)); #474394=CARTESIAN_POINT('',(42.996759,15.712716,0.035)); #474395=CARTESIAN_POINT('',(42.996759,15.712716,0.)); #474396=CARTESIAN_POINT('Origin',(43.036872,15.6726,0.)); #474397=CARTESIAN_POINT('',(43.036872,15.6726,0.)); #474398=CARTESIAN_POINT('',(43.036872,15.6726,0.)); #474399=CARTESIAN_POINT('',(43.036872,15.6726,0.035)); #474400=CARTESIAN_POINT('',(43.036872,15.6726,0.035)); #474401=CARTESIAN_POINT('',(43.036872,15.6726,0.)); #474402=CARTESIAN_POINT('Origin',(43.998,15.6726,0.)); #474403=CARTESIAN_POINT('',(43.998,15.6726,0.)); #474404=CARTESIAN_POINT('',(43.998,15.6726,0.)); #474405=CARTESIAN_POINT('',(43.998,15.6726,0.035)); #474406=CARTESIAN_POINT('',(43.998,15.6726,0.035)); #474407=CARTESIAN_POINT('',(43.998,15.6726,0.)); #474408=CARTESIAN_POINT('Origin',(44.043781,15.664063,0.)); #474409=CARTESIAN_POINT('',(44.043781,15.664063,0.)); #474410=CARTESIAN_POINT('',(44.043781,15.664063,0.)); #474411=CARTESIAN_POINT('',(44.043781,15.664063,0.035)); #474412=CARTESIAN_POINT('',(44.043781,15.664063,0.035)); #474413=CARTESIAN_POINT('',(44.043781,15.664063,0.)); #474414=CARTESIAN_POINT('Origin',(44.086359,15.636822,0.)); #474415=CARTESIAN_POINT('',(44.086359,15.636822,0.)); #474416=CARTESIAN_POINT('',(44.086359,15.636822,0.)); #474417=CARTESIAN_POINT('',(44.086359,15.636822,0.035)); #474418=CARTESIAN_POINT('',(44.086359,15.636822,0.035)); #474419=CARTESIAN_POINT('',(44.086359,15.636822,0.)); #474420=CARTESIAN_POINT('Origin',(44.114941,15.595134,0.)); #474421=CARTESIAN_POINT('',(44.114941,15.595134,0.)); #474422=CARTESIAN_POINT('',(44.114941,15.595134,0.)); #474423=CARTESIAN_POINT('',(44.114941,15.595134,0.035)); #474424=CARTESIAN_POINT('',(44.114941,15.595134,0.035)); #474425=CARTESIAN_POINT('',(44.114941,15.595134,0.)); #474426=CARTESIAN_POINT('Origin',(44.125,15.5456,0.)); #474427=CARTESIAN_POINT('',(44.125,15.5456,0.)); #474428=CARTESIAN_POINT('',(44.125,15.5456,0.)); #474429=CARTESIAN_POINT('',(44.125,15.5456,0.035)); #474430=CARTESIAN_POINT('',(44.125,15.5456,0.035)); #474431=CARTESIAN_POINT('',(44.125,15.5456,0.)); #474432=CARTESIAN_POINT('Origin',(44.125,15.385022,0.)); #474433=CARTESIAN_POINT('',(44.125,15.385022,0.)); #474434=CARTESIAN_POINT('',(44.125,15.385022,0.)); #474435=CARTESIAN_POINT('',(44.125,15.385022,0.035)); #474436=CARTESIAN_POINT('',(44.125,15.385022,0.035)); #474437=CARTESIAN_POINT('',(44.125,15.385022,0.)); #474438=CARTESIAN_POINT('Origin',(44.126459,15.375825,0.)); #474439=CARTESIAN_POINT('',(44.126459,15.375825,0.)); #474440=CARTESIAN_POINT('',(44.126459,15.375825,0.)); #474441=CARTESIAN_POINT('',(44.126459,15.375825,0.035)); #474442=CARTESIAN_POINT('',(44.126459,15.375825,0.035)); #474443=CARTESIAN_POINT('',(44.126459,15.375825,0.)); #474444=CARTESIAN_POINT('Origin',(44.132984,15.375,0.)); #474445=CARTESIAN_POINT('',(44.132984,15.375,0.)); #474446=CARTESIAN_POINT('',(44.132984,15.375,0.)); #474447=CARTESIAN_POINT('',(44.132984,15.375,0.035)); #474448=CARTESIAN_POINT('',(44.132984,15.375,0.035)); #474449=CARTESIAN_POINT('',(44.132984,15.375,0.)); #474450=CARTESIAN_POINT('Origin',(44.873,15.375,0.)); #474451=CARTESIAN_POINT('',(44.873,15.375,0.)); #474452=CARTESIAN_POINT('',(44.873,15.375,0.)); #474453=CARTESIAN_POINT('',(44.873,15.375,0.035)); #474454=CARTESIAN_POINT('',(44.873,15.375,0.035)); #474455=CARTESIAN_POINT('',(44.873,15.375,0.)); #474456=CARTESIAN_POINT('Origin',(44.918781,15.366463,0.)); #474457=CARTESIAN_POINT('',(44.918781,15.366463,0.)); #474458=CARTESIAN_POINT('',(44.918781,15.366463,0.)); #474459=CARTESIAN_POINT('',(44.918781,15.366463,0.035)); #474460=CARTESIAN_POINT('',(44.918781,15.366463,0.035)); #474461=CARTESIAN_POINT('',(44.918781,15.366463,0.)); #474462=CARTESIAN_POINT('Origin',(44.961359,15.339222,0.)); #474463=CARTESIAN_POINT('',(44.961359,15.339222,0.)); #474464=CARTESIAN_POINT('',(44.961359,15.339222,0.)); #474465=CARTESIAN_POINT('',(44.961359,15.339222,0.035)); #474466=CARTESIAN_POINT('',(44.961359,15.339222,0.035)); #474467=CARTESIAN_POINT('',(44.961359,15.339222,0.)); #474468=CARTESIAN_POINT('Origin',(44.989941,15.297534,0.)); #474469=CARTESIAN_POINT('',(44.989941,15.297534,0.)); #474470=CARTESIAN_POINT('',(44.989941,15.297534,0.)); #474471=CARTESIAN_POINT('',(44.989941,15.297534,0.035)); #474472=CARTESIAN_POINT('',(44.989941,15.297534,0.035)); #474473=CARTESIAN_POINT('',(44.989941,15.297534,0.)); #474474=CARTESIAN_POINT('Origin',(45.,15.248,0.)); #474475=CARTESIAN_POINT('',(45.,15.248,0.)); #474476=CARTESIAN_POINT('',(45.,15.248,0.)); #474477=CARTESIAN_POINT('',(45.,15.248,0.035)); #474478=CARTESIAN_POINT('',(45.,15.248,0.035)); #474479=CARTESIAN_POINT('',(45.,15.248,0.)); #474480=CARTESIAN_POINT('Origin',(45.,10.802606,0.)); #474481=CARTESIAN_POINT('',(45.,10.802606,0.)); #474482=CARTESIAN_POINT('',(45.,10.802606,0.)); #474483=CARTESIAN_POINT('',(45.,10.802606,0.035)); #474484=CARTESIAN_POINT('',(45.,10.802606,0.035)); #474485=CARTESIAN_POINT('',(45.,10.802606,0.)); #474486=CARTESIAN_POINT('Origin',(44.990716,10.754944,0.)); #474487=CARTESIAN_POINT('',(44.990716,10.754944,0.)); #474488=CARTESIAN_POINT('',(44.990716,10.754944,0.)); #474489=CARTESIAN_POINT('',(44.990716,10.754944,0.035)); #474490=CARTESIAN_POINT('',(44.990716,10.754944,0.035)); #474491=CARTESIAN_POINT('',(44.990716,10.754944,0.)); #474492=CARTESIAN_POINT('Origin',(44.962803,10.712803,0.)); #474493=CARTESIAN_POINT('',(44.962803,10.712803,0.)); #474494=CARTESIAN_POINT('',(44.962803,10.712803,0.)); #474495=CARTESIAN_POINT('',(44.962803,10.712803,0.035)); #474496=CARTESIAN_POINT('',(44.962803,10.712803,0.035)); #474497=CARTESIAN_POINT('',(44.962803,10.712803,0.)); #474498=CARTESIAN_POINT('Origin',(42.787197,8.5371969,0.)); #474499=CARTESIAN_POINT('',(42.787197,8.5371969,0.)); #474500=CARTESIAN_POINT('',(42.787197,8.5371969,0.)); #474501=CARTESIAN_POINT('',(42.787197,8.5371969,0.035)); #474502=CARTESIAN_POINT('',(42.787197,8.5371969,0.035)); #474503=CARTESIAN_POINT('',(42.787197,8.5371969,0.)); #474504=CARTESIAN_POINT('Origin',(42.746928,8.5100594,0.)); #474505=CARTESIAN_POINT('',(42.746928,8.5100594,0.)); #474506=CARTESIAN_POINT('',(42.746928,8.5100594,0.)); #474507=CARTESIAN_POINT('',(42.746928,8.5100594,0.035)); #474508=CARTESIAN_POINT('',(42.746928,8.5100594,0.035)); #474509=CARTESIAN_POINT('',(42.746928,8.5100594,0.)); #474510=CARTESIAN_POINT('Origin',(42.697394,8.5,0.)); #474511=CARTESIAN_POINT('',(42.697394,8.5,0.)); #474512=CARTESIAN_POINT('',(42.697394,8.5,0.)); #474513=CARTESIAN_POINT('',(42.697394,8.5,0.035)); #474514=CARTESIAN_POINT('',(42.697394,8.5,0.035)); #474515=CARTESIAN_POINT('',(42.697394,8.5,0.)); #474516=CARTESIAN_POINT('Origin',(37.758994,8.5,0.)); #474517=CARTESIAN_POINT('',(37.758994,8.5,0.)); #474518=CARTESIAN_POINT('',(37.758994,8.5,0.)); #474519=CARTESIAN_POINT('',(37.758994,8.5,0.035)); #474520=CARTESIAN_POINT('',(37.758994,8.5,0.035)); #474521=CARTESIAN_POINT('',(37.758994,8.5,0.)); #474522=CARTESIAN_POINT('Origin',(37.749975,8.4987156,0.)); #474523=CARTESIAN_POINT('',(37.749975,8.4987156,0.)); #474524=CARTESIAN_POINT('',(37.749975,8.4987156,0.)); #474525=CARTESIAN_POINT('',(37.749975,8.4987156,0.035)); #474526=CARTESIAN_POINT('',(37.749975,8.4987156,0.035)); #474527=CARTESIAN_POINT('',(37.749975,8.4987156,0.)); #474528=CARTESIAN_POINT('Origin',(37.744347,8.4943469,0.)); #474529=CARTESIAN_POINT('',(37.744347,8.4943469,0.)); #474530=CARTESIAN_POINT('',(37.744347,8.4943469,0.)); #474531=CARTESIAN_POINT('',(37.744347,8.4943469,0.035)); #474532=CARTESIAN_POINT('',(37.744347,8.4943469,0.035)); #474533=CARTESIAN_POINT('',(37.744347,8.4943469,0.)); #474534=CARTESIAN_POINT('Origin',(37.289597,8.0395969,0.)); #474535=CARTESIAN_POINT('',(37.289597,8.0395969,0.)); #474536=CARTESIAN_POINT('',(37.289597,8.0395969,0.)); #474537=CARTESIAN_POINT('',(37.289597,8.0395969,0.035)); #474538=CARTESIAN_POINT('',(37.289597,8.0395969,0.035)); #474539=CARTESIAN_POINT('',(37.289597,8.0395969,0.)); #474540=CARTESIAN_POINT('Origin',(37.249328,8.0124594,0.)); #474541=CARTESIAN_POINT('',(37.249328,8.0124594,0.)); #474542=CARTESIAN_POINT('',(37.249328,8.0124594,0.)); #474543=CARTESIAN_POINT('',(37.249328,8.0124594,0.035)); #474544=CARTESIAN_POINT('',(37.249328,8.0124594,0.035)); #474545=CARTESIAN_POINT('',(37.249328,8.0124594,0.)); #474546=CARTESIAN_POINT('Origin',(37.199794,8.0024,0.)); #474547=CARTESIAN_POINT('',(37.199794,8.0024,0.)); #474548=CARTESIAN_POINT('',(37.199794,8.0024,0.)); #474549=CARTESIAN_POINT('',(37.199794,8.0024,0.035)); #474550=CARTESIAN_POINT('',(37.199794,8.0024,0.035)); #474551=CARTESIAN_POINT('',(37.199794,8.0024,0.)); #474552=CARTESIAN_POINT('Origin',(36.990134,8.0024,0.)); #474553=CARTESIAN_POINT('',(36.990134,8.0024,0.)); #474554=CARTESIAN_POINT('',(36.990134,8.0024,0.)); #474555=CARTESIAN_POINT('',(36.990134,8.0024,0.035)); #474556=CARTESIAN_POINT('',(36.990134,8.0024,0.035)); #474557=CARTESIAN_POINT('',(36.990134,8.0024,0.)); #474558=CARTESIAN_POINT('Origin',(36.978316,8.,0.)); #474559=CARTESIAN_POINT('',(36.978316,8.,0.)); #474560=CARTESIAN_POINT('',(36.978316,8.,0.)); #474561=CARTESIAN_POINT('',(36.978316,8.,0.035)); #474562=CARTESIAN_POINT('',(36.978316,8.,0.035)); #474563=CARTESIAN_POINT('',(36.978316,8.,0.)); #474564=CARTESIAN_POINT('Origin',(36.760022,8.,0.)); #474565=CARTESIAN_POINT('',(36.760022,8.,0.)); #474566=CARTESIAN_POINT('',(36.760022,8.,0.)); #474567=CARTESIAN_POINT('',(36.760022,8.,0.035)); #474568=CARTESIAN_POINT('',(36.760022,8.,0.035)); #474569=CARTESIAN_POINT('',(36.760022,8.,0.)); #474570=CARTESIAN_POINT('Origin',(36.750825,7.9985406,0.)); #474571=CARTESIAN_POINT('',(36.750825,7.9985406,0.)); #474572=CARTESIAN_POINT('',(36.750825,7.9985406,0.)); #474573=CARTESIAN_POINT('',(36.750825,7.9985406,0.035)); #474574=CARTESIAN_POINT('',(36.750825,7.9985406,0.035)); #474575=CARTESIAN_POINT('',(36.750825,7.9985406,0.)); #474576=CARTESIAN_POINT('Origin',(36.75,7.9920156,0.)); #474577=CARTESIAN_POINT('',(36.75,7.9920156,0.)); #474578=CARTESIAN_POINT('',(36.75,7.9920156,0.)); #474579=CARTESIAN_POINT('',(36.75,7.9920156,0.035)); #474580=CARTESIAN_POINT('',(36.75,7.9920156,0.035)); #474581=CARTESIAN_POINT('',(36.75,7.9920156,0.)); #474582=CARTESIAN_POINT('Origin',(36.75,6.8833656,0.)); #474583=CARTESIAN_POINT('',(36.75,6.8833656,0.)); #474584=CARTESIAN_POINT('',(36.75,6.8833656,0.)); #474585=CARTESIAN_POINT('',(36.75,6.8833656,0.035)); #474586=CARTESIAN_POINT('',(36.75,6.8833656,0.035)); #474587=CARTESIAN_POINT('',(36.75,6.8833656,0.)); #474588=CARTESIAN_POINT('Origin',(36.741094,6.8366406,0.)); #474589=CARTESIAN_POINT('',(36.741094,6.8366406,0.)); #474590=CARTESIAN_POINT('',(36.741094,6.8366406,0.)); #474591=CARTESIAN_POINT('',(36.741094,6.8366406,0.035)); #474592=CARTESIAN_POINT('',(36.741094,6.8366406,0.035)); #474593=CARTESIAN_POINT('',(36.741094,6.8366406,0.)); #474594=CARTESIAN_POINT('Origin',(36.713516,6.7942813,0.)); #474595=CARTESIAN_POINT('',(36.713516,6.7942813,0.)); #474596=CARTESIAN_POINT('',(36.713516,6.7942813,0.)); #474597=CARTESIAN_POINT('',(36.713516,6.7942813,0.035)); #474598=CARTESIAN_POINT('',(36.713516,6.7942813,0.035)); #474599=CARTESIAN_POINT('',(36.713516,6.7942813,0.)); #474600=CARTESIAN_POINT('Origin',(36.6716,6.7660344,0.)); #474601=CARTESIAN_POINT('',(36.6716,6.7660344,0.)); #474602=CARTESIAN_POINT('',(36.6716,6.7660344,0.)); #474603=CARTESIAN_POINT('',(36.6716,6.7660344,0.035)); #474604=CARTESIAN_POINT('',(36.6716,6.7660344,0.035)); #474605=CARTESIAN_POINT('',(36.6716,6.7660344,0.)); #474606=CARTESIAN_POINT('Origin',(36.535969,6.7098531,0.)); #474607=CARTESIAN_POINT('',(36.535969,6.7098531,0.)); #474608=CARTESIAN_POINT('',(36.535969,6.7098531,0.)); #474609=CARTESIAN_POINT('',(36.535969,6.7098531,0.035)); #474610=CARTESIAN_POINT('',(36.535969,6.7098531,0.035)); #474611=CARTESIAN_POINT('',(36.535969,6.7098531,0.)); #474612=CARTESIAN_POINT('Origin',(36.36015,6.5340344,0.)); #474613=CARTESIAN_POINT('',(36.36015,6.5340344,0.)); #474614=CARTESIAN_POINT('',(36.36015,6.5340344,0.)); #474615=CARTESIAN_POINT('',(36.36015,6.5340344,0.035)); #474616=CARTESIAN_POINT('',(36.36015,6.5340344,0.035)); #474617=CARTESIAN_POINT('',(36.36015,6.5340344,0.)); #474618=CARTESIAN_POINT('Origin',(36.265,6.3043156,0.)); #474619=CARTESIAN_POINT('',(36.265,6.3043156,0.)); #474620=CARTESIAN_POINT('',(36.265,6.3043156,0.)); #474621=CARTESIAN_POINT('',(36.265,6.3043156,0.035)); #474622=CARTESIAN_POINT('',(36.265,6.3043156,0.035)); #474623=CARTESIAN_POINT('',(36.265,6.3043156,0.)); #474624=CARTESIAN_POINT('Origin',(36.265,6.0556844,0.)); #474625=CARTESIAN_POINT('',(36.265,6.0556844,0.)); #474626=CARTESIAN_POINT('',(36.265,6.0556844,0.)); #474627=CARTESIAN_POINT('',(36.265,6.0556844,0.035)); #474628=CARTESIAN_POINT('',(36.265,6.0556844,0.035)); #474629=CARTESIAN_POINT('',(36.265,6.0556844,0.)); #474630=CARTESIAN_POINT('Origin',(36.36015,5.8259656,0.)); #474631=CARTESIAN_POINT('',(36.36015,5.8259656,0.)); #474632=CARTESIAN_POINT('',(36.36015,5.8259656,0.)); #474633=CARTESIAN_POINT('',(36.36015,5.8259656,0.035)); #474634=CARTESIAN_POINT('',(36.36015,5.8259656,0.035)); #474635=CARTESIAN_POINT('',(36.36015,5.8259656,0.)); #474636=CARTESIAN_POINT('Origin',(36.378253,5.8078656,0.)); #474637=CARTESIAN_POINT('',(36.378253,5.8078656,0.)); #474638=CARTESIAN_POINT('',(36.378253,5.8078656,0.)); #474639=CARTESIAN_POINT('',(36.378253,5.8078656,0.035)); #474640=CARTESIAN_POINT('',(36.378253,5.8078656,0.035)); #474641=CARTESIAN_POINT('',(36.378253,5.8078656,0.)); #474642=CARTESIAN_POINT('Origin',(36.404591,5.7694531,0.)); #474643=CARTESIAN_POINT('',(36.404591,5.7694531,0.)); #474644=CARTESIAN_POINT('',(36.404591,5.7694531,0.)); #474645=CARTESIAN_POINT('',(36.404591,5.7694531,0.035)); #474646=CARTESIAN_POINT('',(36.404591,5.7694531,0.035)); #474647=CARTESIAN_POINT('',(36.404591,5.7694531,0.)); #474648=CARTESIAN_POINT('Origin',(36.415438,5.7200844,0.)); #474649=CARTESIAN_POINT('',(36.415438,5.7200844,0.)); #474650=CARTESIAN_POINT('',(36.415438,5.7200844,0.)); #474651=CARTESIAN_POINT('',(36.415438,5.7200844,0.035)); #474652=CARTESIAN_POINT('',(36.415438,5.7200844,0.035)); #474653=CARTESIAN_POINT('',(36.415438,5.7200844,0.)); #474654=CARTESIAN_POINT('Origin',(36.406169,5.6703969,0.)); #474655=CARTESIAN_POINT('',(36.406169,5.6703969,0.)); #474656=CARTESIAN_POINT('',(36.406169,5.6703969,0.)); #474657=CARTESIAN_POINT('',(36.406169,5.6703969,0.035)); #474658=CARTESIAN_POINT('',(36.406169,5.6703969,0.035)); #474659=CARTESIAN_POINT('',(36.406169,5.6703969,0.)); #474660=CARTESIAN_POINT('Origin',(36.378256,5.6282563,0.)); #474661=CARTESIAN_POINT('',(36.378256,5.6282563,0.)); #474662=CARTESIAN_POINT('',(36.378256,5.6282563,0.)); #474663=CARTESIAN_POINT('',(36.378256,5.6282563,0.035)); #474664=CARTESIAN_POINT('',(36.378256,5.6282563,0.035)); #474665=CARTESIAN_POINT('',(36.378256,5.6282563,0.)); #474666=CARTESIAN_POINT('Origin',(35.787197,5.0371969,0.)); #474667=CARTESIAN_POINT('',(35.787197,5.0371969,0.)); #474668=CARTESIAN_POINT('',(35.787197,5.0371969,0.)); #474669=CARTESIAN_POINT('',(35.787197,5.0371969,0.035)); #474670=CARTESIAN_POINT('',(35.787197,5.0371969,0.035)); #474671=CARTESIAN_POINT('',(35.787197,5.0371969,0.)); #474672=CARTESIAN_POINT('Origin',(35.746928,5.0100594,0.)); #474673=CARTESIAN_POINT('',(35.746928,5.0100594,0.)); #474674=CARTESIAN_POINT('',(35.746928,5.0100594,0.035)); #474675=CARTESIAN_POINT('Origin',(37.4061396491429,11.1786906973281,0.035)); #474676=CARTESIAN_POINT('Origin',(37.4061396491429,11.1786906973281,0.)); #474677=CARTESIAN_POINT('Origin',(33.859647,8.5127063,0.)); #474678=CARTESIAN_POINT('',(33.859647,8.5127063,0.)); #474679=CARTESIAN_POINT('',(33.908838,8.5243375,0.)); #474680=CARTESIAN_POINT('',(33.859647,8.5127063,0.)); #474681=CARTESIAN_POINT('',(33.908838,8.5243375,0.035)); #474682=CARTESIAN_POINT('',(33.908838,8.5243375,0.)); #474683=CARTESIAN_POINT('',(33.859647,8.5127063,0.035)); #474684=CARTESIAN_POINT('',(33.859647,8.5127063,0.035)); #474685=CARTESIAN_POINT('',(33.859647,8.5127063,0.)); #474686=CARTESIAN_POINT('Origin',(33.809819,8.5211781,0.)); #474687=CARTESIAN_POINT('',(33.809819,8.5211781,0.)); #474688=CARTESIAN_POINT('',(33.809819,8.5211781,0.)); #474689=CARTESIAN_POINT('',(33.809819,8.5211781,0.035)); #474690=CARTESIAN_POINT('',(33.809819,8.5211781,0.035)); #474691=CARTESIAN_POINT('',(33.809819,8.5211781,0.)); #474692=CARTESIAN_POINT('Origin',(33.767241,8.5484187,0.)); #474693=CARTESIAN_POINT('',(33.767241,8.5484187,0.)); #474694=CARTESIAN_POINT('',(33.767241,8.5484187,0.)); #474695=CARTESIAN_POINT('',(33.767241,8.5484187,0.035)); #474696=CARTESIAN_POINT('',(33.767241,8.5484187,0.035)); #474697=CARTESIAN_POINT('',(33.767241,8.5484187,0.)); #474698=CARTESIAN_POINT('Origin',(33.738659,8.5901062,0.)); #474699=CARTESIAN_POINT('',(33.738659,8.5901062,0.)); #474700=CARTESIAN_POINT('',(33.738659,8.5901062,0.)); #474701=CARTESIAN_POINT('',(33.738659,8.5901062,0.035)); #474702=CARTESIAN_POINT('',(33.738659,8.5901062,0.035)); #474703=CARTESIAN_POINT('',(33.738659,8.5901062,0.)); #474704=CARTESIAN_POINT('Origin',(33.7286,8.6396406,0.)); #474705=CARTESIAN_POINT('',(33.7286,8.6396406,0.)); #474706=CARTESIAN_POINT('',(33.7286,8.6396406,0.)); #474707=CARTESIAN_POINT('',(33.7286,8.6396406,0.035)); #474708=CARTESIAN_POINT('',(33.7286,8.6396406,0.035)); #474709=CARTESIAN_POINT('',(33.7286,8.6396406,0.)); #474710=CARTESIAN_POINT('Origin',(33.7286,10.184394,0.)); #474711=CARTESIAN_POINT('',(33.7286,10.184394,0.)); #474712=CARTESIAN_POINT('',(33.7286,10.184394,0.)); #474713=CARTESIAN_POINT('',(33.7286,10.184394,0.035)); #474714=CARTESIAN_POINT('',(33.7286,10.184394,0.035)); #474715=CARTESIAN_POINT('',(33.7286,10.184394,0.)); #474716=CARTESIAN_POINT('Origin',(33.736425,10.228281,0.)); #474717=CARTESIAN_POINT('',(33.736425,10.228281,0.)); #474718=CARTESIAN_POINT('',(33.736425,10.228281,0.)); #474719=CARTESIAN_POINT('',(33.736425,10.228281,0.035)); #474720=CARTESIAN_POINT('',(33.736425,10.228281,0.035)); #474721=CARTESIAN_POINT('',(33.736425,10.228281,0.)); #474722=CARTESIAN_POINT('Origin',(33.762981,10.271287,0.)); #474723=CARTESIAN_POINT('',(33.762981,10.271287,0.)); #474724=CARTESIAN_POINT('',(33.762981,10.271287,0.)); #474725=CARTESIAN_POINT('',(33.762981,10.271287,0.035)); #474726=CARTESIAN_POINT('',(33.762981,10.271287,0.035)); #474727=CARTESIAN_POINT('',(33.762981,10.271287,0.)); #474728=CARTESIAN_POINT('Origin',(33.804206,10.300531,0.)); #474729=CARTESIAN_POINT('',(33.804206,10.300531,0.)); #474730=CARTESIAN_POINT('',(33.804206,10.300531,0.)); #474731=CARTESIAN_POINT('',(33.804206,10.300531,0.035)); #474732=CARTESIAN_POINT('',(33.804206,10.300531,0.035)); #474733=CARTESIAN_POINT('',(33.804206,10.300531,0.)); #474734=CARTESIAN_POINT('Origin',(33.853575,10.311378,0.)); #474735=CARTESIAN_POINT('',(33.853575,10.311378,0.)); #474736=CARTESIAN_POINT('',(33.853575,10.311378,0.)); #474737=CARTESIAN_POINT('',(33.853575,10.311378,0.035)); #474738=CARTESIAN_POINT('',(33.853575,10.311378,0.035)); #474739=CARTESIAN_POINT('',(33.853575,10.311378,0.)); #474740=CARTESIAN_POINT('Origin',(33.903263,10.302109,0.)); #474741=CARTESIAN_POINT('',(33.903263,10.302109,0.)); #474742=CARTESIAN_POINT('',(33.903263,10.302109,0.)); #474743=CARTESIAN_POINT('',(33.903263,10.302109,0.035)); #474744=CARTESIAN_POINT('',(33.903263,10.302109,0.035)); #474745=CARTESIAN_POINT('',(33.903263,10.302109,0.)); #474746=CARTESIAN_POINT('Origin',(33.945403,10.274197,0.)); #474747=CARTESIAN_POINT('',(33.945403,10.274197,0.)); #474748=CARTESIAN_POINT('',(33.945403,10.274197,0.)); #474749=CARTESIAN_POINT('',(33.945403,10.274197,0.035)); #474750=CARTESIAN_POINT('',(33.945403,10.274197,0.035)); #474751=CARTESIAN_POINT('',(33.945403,10.274197,0.)); #474752=CARTESIAN_POINT('Origin',(33.984203,10.235397,0.)); #474753=CARTESIAN_POINT('',(33.984203,10.235397,0.)); #474754=CARTESIAN_POINT('',(33.984203,10.235397,0.)); #474755=CARTESIAN_POINT('',(33.984203,10.235397,0.035)); #474756=CARTESIAN_POINT('',(33.984203,10.235397,0.035)); #474757=CARTESIAN_POINT('',(33.984203,10.235397,0.)); #474758=CARTESIAN_POINT('Origin',(34.011341,10.195128,0.)); #474759=CARTESIAN_POINT('',(34.011341,10.195128,0.)); #474760=CARTESIAN_POINT('',(34.011341,10.195128,0.)); #474761=CARTESIAN_POINT('',(34.011341,10.195128,0.035)); #474762=CARTESIAN_POINT('',(34.011341,10.195128,0.035)); #474763=CARTESIAN_POINT('',(34.011341,10.195128,0.)); #474764=CARTESIAN_POINT('Origin',(34.0214,10.145594,0.)); #474765=CARTESIAN_POINT('',(34.0214,10.145594,0.)); #474766=CARTESIAN_POINT('',(34.0214,10.145594,0.)); #474767=CARTESIAN_POINT('',(34.0214,10.145594,0.035)); #474768=CARTESIAN_POINT('',(34.0214,10.145594,0.035)); #474769=CARTESIAN_POINT('',(34.0214,10.145594,0.)); #474770=CARTESIAN_POINT('Origin',(34.0214,8.6784406,0.)); #474771=CARTESIAN_POINT('',(34.0214,8.6784406,0.)); #474772=CARTESIAN_POINT('',(34.0214,8.6784406,0.)); #474773=CARTESIAN_POINT('',(34.0214,8.6784406,0.035)); #474774=CARTESIAN_POINT('',(34.0214,8.6784406,0.035)); #474775=CARTESIAN_POINT('',(34.0214,8.6784406,0.)); #474776=CARTESIAN_POINT('Origin',(34.012116,8.6307781,0.)); #474777=CARTESIAN_POINT('',(34.012116,8.6307781,0.)); #474778=CARTESIAN_POINT('',(34.012116,8.6307781,0.)); #474779=CARTESIAN_POINT('',(34.012116,8.6307781,0.035)); #474780=CARTESIAN_POINT('',(34.012116,8.6307781,0.035)); #474781=CARTESIAN_POINT('',(34.012116,8.6307781,0.)); #474782=CARTESIAN_POINT('Origin',(33.984203,8.5886375,0.)); #474783=CARTESIAN_POINT('',(33.984203,8.5886375,0.)); #474784=CARTESIAN_POINT('',(33.984203,8.5886375,0.)); #474785=CARTESIAN_POINT('',(33.984203,8.5886375,0.035)); #474786=CARTESIAN_POINT('',(33.984203,8.5886375,0.035)); #474787=CARTESIAN_POINT('',(33.984203,8.5886375,0.)); #474788=CARTESIAN_POINT('Origin',(33.945403,8.5498375,0.)); #474789=CARTESIAN_POINT('',(33.945403,8.5498375,0.)); #474790=CARTESIAN_POINT('',(33.945403,8.5498375,0.)); #474791=CARTESIAN_POINT('',(33.945403,8.5498375,0.035)); #474792=CARTESIAN_POINT('',(33.945403,8.5498375,0.035)); #474793=CARTESIAN_POINT('',(33.945403,8.5498375,0.)); #474794=CARTESIAN_POINT('Origin',(33.908838,8.5243375,0.)); #474795=CARTESIAN_POINT('',(33.908838,8.5243375,0.)); #474796=CARTESIAN_POINT('',(33.908838,8.5243375,0.035)); #474797=CARTESIAN_POINT('Origin',(33.8726526750844,9.41201386953242,0.035)); #474798=CARTESIAN_POINT('Origin',(33.8726526750844,9.41201386953242,0.)); #474799=CARTESIAN_POINT('Origin',(36.146425,11.512656,0.)); #474800=CARTESIAN_POINT('',(36.146425,11.512656,0.)); #474801=CARTESIAN_POINT('',(36.195794,11.523503,0.)); #474802=CARTESIAN_POINT('',(36.146425,11.512656,0.)); #474803=CARTESIAN_POINT('',(36.195794,11.523503,0.035)); #474804=CARTESIAN_POINT('',(36.195794,11.523503,0.)); #474805=CARTESIAN_POINT('',(36.146425,11.512656,0.035)); #474806=CARTESIAN_POINT('',(36.146425,11.512656,0.035)); #474807=CARTESIAN_POINT('',(36.146425,11.512656,0.)); #474808=CARTESIAN_POINT('Origin',(36.096738,11.521925,0.)); #474809=CARTESIAN_POINT('',(36.096738,11.521925,0.)); #474810=CARTESIAN_POINT('',(36.096738,11.521925,0.)); #474811=CARTESIAN_POINT('',(36.096738,11.521925,0.035)); #474812=CARTESIAN_POINT('',(36.096738,11.521925,0.035)); #474813=CARTESIAN_POINT('',(36.096738,11.521925,0.)); #474814=CARTESIAN_POINT('Origin',(36.054597,11.549838,0.)); #474815=CARTESIAN_POINT('',(36.054597,11.549838,0.)); #474816=CARTESIAN_POINT('',(36.054597,11.549838,0.)); #474817=CARTESIAN_POINT('',(36.054597,11.549838,0.035)); #474818=CARTESIAN_POINT('',(36.054597,11.549838,0.035)); #474819=CARTESIAN_POINT('',(36.054597,11.549838,0.)); #474820=CARTESIAN_POINT('Origin',(36.015797,11.588638,0.)); #474821=CARTESIAN_POINT('',(36.015797,11.588638,0.)); #474822=CARTESIAN_POINT('',(36.015797,11.588638,0.)); #474823=CARTESIAN_POINT('',(36.015797,11.588638,0.035)); #474824=CARTESIAN_POINT('',(36.015797,11.588638,0.035)); #474825=CARTESIAN_POINT('',(36.015797,11.588638,0.)); #474826=CARTESIAN_POINT('Origin',(35.988659,11.628906,0.)); #474827=CARTESIAN_POINT('',(35.988659,11.628906,0.)); #474828=CARTESIAN_POINT('',(35.988659,11.628906,0.)); #474829=CARTESIAN_POINT('',(35.988659,11.628906,0.035)); #474830=CARTESIAN_POINT('',(35.988659,11.628906,0.035)); #474831=CARTESIAN_POINT('',(35.988659,11.628906,0.)); #474832=CARTESIAN_POINT('Origin',(35.9786,11.678441,0.)); #474833=CARTESIAN_POINT('',(35.9786,11.678441,0.)); #474834=CARTESIAN_POINT('',(35.9786,11.678441,0.)); #474835=CARTESIAN_POINT('',(35.9786,11.678441,0.035)); #474836=CARTESIAN_POINT('',(35.9786,11.678441,0.035)); #474837=CARTESIAN_POINT('',(35.9786,11.678441,0.)); #474838=CARTESIAN_POINT('Origin',(35.9786,12.641506,0.)); #474839=CARTESIAN_POINT('',(35.9786,12.641506,0.)); #474840=CARTESIAN_POINT('',(35.9786,12.641506,0.)); #474841=CARTESIAN_POINT('',(35.9786,12.641506,0.035)); #474842=CARTESIAN_POINT('',(35.9786,12.641506,0.035)); #474843=CARTESIAN_POINT('',(35.9786,12.641506,0.)); #474844=CARTESIAN_POINT('Origin',(35.986425,12.685394,0.)); #474845=CARTESIAN_POINT('',(35.986425,12.685394,0.)); #474846=CARTESIAN_POINT('',(35.986425,12.685394,0.)); #474847=CARTESIAN_POINT('',(35.986425,12.685394,0.035)); #474848=CARTESIAN_POINT('',(35.986425,12.685394,0.035)); #474849=CARTESIAN_POINT('',(35.986425,12.685394,0.)); #474850=CARTESIAN_POINT('Origin',(36.012981,12.7284,0.)); #474851=CARTESIAN_POINT('',(36.012981,12.7284,0.)); #474852=CARTESIAN_POINT('',(36.012981,12.7284,0.)); #474853=CARTESIAN_POINT('',(36.012981,12.7284,0.035)); #474854=CARTESIAN_POINT('',(36.012981,12.7284,0.035)); #474855=CARTESIAN_POINT('',(36.012981,12.7284,0.)); #474856=CARTESIAN_POINT('Origin',(36.054206,12.757644,0.)); #474857=CARTESIAN_POINT('',(36.054206,12.757644,0.)); #474858=CARTESIAN_POINT('',(36.054206,12.757644,0.)); #474859=CARTESIAN_POINT('',(36.054206,12.757644,0.035)); #474860=CARTESIAN_POINT('',(36.054206,12.757644,0.035)); #474861=CARTESIAN_POINT('',(36.054206,12.757644,0.)); #474862=CARTESIAN_POINT('Origin',(36.103575,12.768491,0.)); #474863=CARTESIAN_POINT('',(36.103575,12.768491,0.)); #474864=CARTESIAN_POINT('',(36.103575,12.768491,0.)); #474865=CARTESIAN_POINT('',(36.103575,12.768491,0.035)); #474866=CARTESIAN_POINT('',(36.103575,12.768491,0.035)); #474867=CARTESIAN_POINT('',(36.103575,12.768491,0.)); #474868=CARTESIAN_POINT('Origin',(36.153263,12.759222,0.)); #474869=CARTESIAN_POINT('',(36.153263,12.759222,0.)); #474870=CARTESIAN_POINT('',(36.153263,12.759222,0.)); #474871=CARTESIAN_POINT('',(36.153263,12.759222,0.035)); #474872=CARTESIAN_POINT('',(36.153263,12.759222,0.035)); #474873=CARTESIAN_POINT('',(36.153263,12.759222,0.)); #474874=CARTESIAN_POINT('Origin',(36.195403,12.731309,0.)); #474875=CARTESIAN_POINT('',(36.195403,12.731309,0.)); #474876=CARTESIAN_POINT('',(36.195403,12.731309,0.)); #474877=CARTESIAN_POINT('',(36.195403,12.731309,0.035)); #474878=CARTESIAN_POINT('',(36.195403,12.731309,0.035)); #474879=CARTESIAN_POINT('',(36.195403,12.731309,0.)); #474880=CARTESIAN_POINT('Origin',(36.234203,12.692509,0.)); #474881=CARTESIAN_POINT('',(36.234203,12.692509,0.)); #474882=CARTESIAN_POINT('',(36.234203,12.692509,0.)); #474883=CARTESIAN_POINT('',(36.234203,12.692509,0.035)); #474884=CARTESIAN_POINT('',(36.234203,12.692509,0.035)); #474885=CARTESIAN_POINT('',(36.234203,12.692509,0.)); #474886=CARTESIAN_POINT('Origin',(36.261341,12.652241,0.)); #474887=CARTESIAN_POINT('',(36.261341,12.652241,0.)); #474888=CARTESIAN_POINT('',(36.261341,12.652241,0.)); #474889=CARTESIAN_POINT('',(36.261341,12.652241,0.035)); #474890=CARTESIAN_POINT('',(36.261341,12.652241,0.035)); #474891=CARTESIAN_POINT('',(36.261341,12.652241,0.)); #474892=CARTESIAN_POINT('Origin',(36.2714,12.602706,0.)); #474893=CARTESIAN_POINT('',(36.2714,12.602706,0.)); #474894=CARTESIAN_POINT('',(36.2714,12.602706,0.)); #474895=CARTESIAN_POINT('',(36.2714,12.602706,0.035)); #474896=CARTESIAN_POINT('',(36.2714,12.602706,0.035)); #474897=CARTESIAN_POINT('',(36.2714,12.602706,0.)); #474898=CARTESIAN_POINT('Origin',(36.2714,11.639641,0.)); #474899=CARTESIAN_POINT('',(36.2714,11.639641,0.)); #474900=CARTESIAN_POINT('',(36.2714,11.639641,0.)); #474901=CARTESIAN_POINT('',(36.2714,11.639641,0.035)); #474902=CARTESIAN_POINT('',(36.2714,11.639641,0.035)); #474903=CARTESIAN_POINT('',(36.2714,11.639641,0.)); #474904=CARTESIAN_POINT('Origin',(36.263575,11.595753,0.)); #474905=CARTESIAN_POINT('',(36.263575,11.595753,0.)); #474906=CARTESIAN_POINT('',(36.263575,11.595753,0.)); #474907=CARTESIAN_POINT('',(36.263575,11.595753,0.035)); #474908=CARTESIAN_POINT('',(36.263575,11.595753,0.035)); #474909=CARTESIAN_POINT('',(36.263575,11.595753,0.)); #474910=CARTESIAN_POINT('Origin',(36.237019,11.552747,0.)); #474911=CARTESIAN_POINT('',(36.237019,11.552747,0.)); #474912=CARTESIAN_POINT('',(36.237019,11.552747,0.)); #474913=CARTESIAN_POINT('',(36.237019,11.552747,0.035)); #474914=CARTESIAN_POINT('',(36.237019,11.552747,0.035)); #474915=CARTESIAN_POINT('',(36.237019,11.552747,0.)); #474916=CARTESIAN_POINT('Origin',(36.195794,11.523503,0.)); #474917=CARTESIAN_POINT('',(36.195794,11.523503,0.)); #474918=CARTESIAN_POINT('',(36.195794,11.523503,0.035)); #474919=CARTESIAN_POINT('Origin',(36.1250000045172,12.1405735383865,0.035)); #474920=CARTESIAN_POINT('Origin',(36.1250000045172,12.1405735383865,0.)); #474921=CARTESIAN_POINT('Origin',(33.146425,8.5126563,0.)); #474922=CARTESIAN_POINT('',(33.146425,8.5126563,0.)); #474923=CARTESIAN_POINT('',(33.195794,8.5235031,0.)); #474924=CARTESIAN_POINT('',(33.146425,8.5126563,0.)); #474925=CARTESIAN_POINT('',(33.195794,8.5235031,0.035)); #474926=CARTESIAN_POINT('',(33.195794,8.5235031,0.)); #474927=CARTESIAN_POINT('',(33.146425,8.5126563,0.035)); #474928=CARTESIAN_POINT('',(33.146425,8.5126563,0.035)); #474929=CARTESIAN_POINT('',(33.146425,8.5126563,0.)); #474930=CARTESIAN_POINT('Origin',(33.096738,8.521925,0.)); #474931=CARTESIAN_POINT('',(33.096738,8.521925,0.)); #474932=CARTESIAN_POINT('',(33.096738,8.521925,0.)); #474933=CARTESIAN_POINT('',(33.096738,8.521925,0.035)); #474934=CARTESIAN_POINT('',(33.096738,8.521925,0.035)); #474935=CARTESIAN_POINT('',(33.096738,8.521925,0.)); #474936=CARTESIAN_POINT('Origin',(33.054597,8.5498375,0.)); #474937=CARTESIAN_POINT('',(33.054597,8.5498375,0.)); #474938=CARTESIAN_POINT('',(33.054597,8.5498375,0.)); #474939=CARTESIAN_POINT('',(33.054597,8.5498375,0.035)); #474940=CARTESIAN_POINT('',(33.054597,8.5498375,0.035)); #474941=CARTESIAN_POINT('',(33.054597,8.5498375,0.)); #474942=CARTESIAN_POINT('Origin',(33.015797,8.5886375,0.)); #474943=CARTESIAN_POINT('',(33.015797,8.5886375,0.)); #474944=CARTESIAN_POINT('',(33.015797,8.5886375,0.)); #474945=CARTESIAN_POINT('',(33.015797,8.5886375,0.035)); #474946=CARTESIAN_POINT('',(33.015797,8.5886375,0.035)); #474947=CARTESIAN_POINT('',(33.015797,8.5886375,0.)); #474948=CARTESIAN_POINT('Origin',(32.988659,8.6289063,0.)); #474949=CARTESIAN_POINT('',(32.988659,8.6289063,0.)); #474950=CARTESIAN_POINT('',(32.988659,8.6289063,0.)); #474951=CARTESIAN_POINT('',(32.988659,8.6289063,0.035)); #474952=CARTESIAN_POINT('',(32.988659,8.6289063,0.035)); #474953=CARTESIAN_POINT('',(32.988659,8.6289063,0.)); #474954=CARTESIAN_POINT('Origin',(32.9786,8.6784406,0.)); #474955=CARTESIAN_POINT('',(32.9786,8.6784406,0.)); #474956=CARTESIAN_POINT('',(32.9786,8.6784406,0.)); #474957=CARTESIAN_POINT('',(32.9786,8.6784406,0.035)); #474958=CARTESIAN_POINT('',(32.9786,8.6784406,0.035)); #474959=CARTESIAN_POINT('',(32.9786,8.6784406,0.)); #474960=CARTESIAN_POINT('Origin',(32.9786,10.227281,0.)); #474961=CARTESIAN_POINT('',(32.9786,10.227281,0.)); #474962=CARTESIAN_POINT('',(32.9786,10.227281,0.)); #474963=CARTESIAN_POINT('',(32.9786,10.227281,0.035)); #474964=CARTESIAN_POINT('',(32.9786,10.227281,0.035)); #474965=CARTESIAN_POINT('',(32.9786,10.227281,0.)); #474966=CARTESIAN_POINT('Origin',(32.986425,10.271169,0.)); #474967=CARTESIAN_POINT('',(32.986425,10.271169,0.)); #474968=CARTESIAN_POINT('',(32.986425,10.271169,0.)); #474969=CARTESIAN_POINT('',(32.986425,10.271169,0.035)); #474970=CARTESIAN_POINT('',(32.986425,10.271169,0.035)); #474971=CARTESIAN_POINT('',(32.986425,10.271169,0.)); #474972=CARTESIAN_POINT('Origin',(33.012981,10.314175,0.)); #474973=CARTESIAN_POINT('',(33.012981,10.314175,0.)); #474974=CARTESIAN_POINT('',(33.012981,10.314175,0.)); #474975=CARTESIAN_POINT('',(33.012981,10.314175,0.035)); #474976=CARTESIAN_POINT('',(33.012981,10.314175,0.035)); #474977=CARTESIAN_POINT('',(33.012981,10.314175,0.)); #474978=CARTESIAN_POINT('Origin',(33.054206,10.343419,0.)); #474979=CARTESIAN_POINT('',(33.054206,10.343419,0.)); #474980=CARTESIAN_POINT('',(33.054206,10.343419,0.)); #474981=CARTESIAN_POINT('',(33.054206,10.343419,0.035)); #474982=CARTESIAN_POINT('',(33.054206,10.343419,0.035)); #474983=CARTESIAN_POINT('',(33.054206,10.343419,0.)); #474984=CARTESIAN_POINT('Origin',(33.103575,10.354266,0.)); #474985=CARTESIAN_POINT('',(33.103575,10.354266,0.)); #474986=CARTESIAN_POINT('',(33.103575,10.354266,0.)); #474987=CARTESIAN_POINT('',(33.103575,10.354266,0.035)); #474988=CARTESIAN_POINT('',(33.103575,10.354266,0.035)); #474989=CARTESIAN_POINT('',(33.103575,10.354266,0.)); #474990=CARTESIAN_POINT('Origin',(33.153263,10.344997,0.)); #474991=CARTESIAN_POINT('',(33.153263,10.344997,0.)); #474992=CARTESIAN_POINT('',(33.153263,10.344997,0.)); #474993=CARTESIAN_POINT('',(33.153263,10.344997,0.035)); #474994=CARTESIAN_POINT('',(33.153263,10.344997,0.035)); #474995=CARTESIAN_POINT('',(33.153263,10.344997,0.)); #474996=CARTESIAN_POINT('Origin',(33.195403,10.317084,0.)); #474997=CARTESIAN_POINT('',(33.195403,10.317084,0.)); #474998=CARTESIAN_POINT('',(33.195403,10.317084,0.)); #474999=CARTESIAN_POINT('',(33.195403,10.317084,0.035)); #475000=CARTESIAN_POINT('',(33.195403,10.317084,0.035)); #475001=CARTESIAN_POINT('',(33.195403,10.317084,0.)); #475002=CARTESIAN_POINT('Origin',(33.234203,10.278284,0.)); #475003=CARTESIAN_POINT('',(33.234203,10.278284,0.)); #475004=CARTESIAN_POINT('',(33.234203,10.278284,0.)); #475005=CARTESIAN_POINT('',(33.234203,10.278284,0.035)); #475006=CARTESIAN_POINT('',(33.234203,10.278284,0.035)); #475007=CARTESIAN_POINT('',(33.234203,10.278284,0.)); #475008=CARTESIAN_POINT('Origin',(33.261341,10.238016,0.)); #475009=CARTESIAN_POINT('',(33.261341,10.238016,0.)); #475010=CARTESIAN_POINT('',(33.261341,10.238016,0.)); #475011=CARTESIAN_POINT('',(33.261341,10.238016,0.035)); #475012=CARTESIAN_POINT('',(33.261341,10.238016,0.035)); #475013=CARTESIAN_POINT('',(33.261341,10.238016,0.)); #475014=CARTESIAN_POINT('Origin',(33.2714,10.188481,0.)); #475015=CARTESIAN_POINT('',(33.2714,10.188481,0.)); #475016=CARTESIAN_POINT('',(33.2714,10.188481,0.)); #475017=CARTESIAN_POINT('',(33.2714,10.188481,0.035)); #475018=CARTESIAN_POINT('',(33.2714,10.188481,0.035)); #475019=CARTESIAN_POINT('',(33.2714,10.188481,0.)); #475020=CARTESIAN_POINT('Origin',(33.2714,8.6396406,0.)); #475021=CARTESIAN_POINT('',(33.2714,8.6396406,0.)); #475022=CARTESIAN_POINT('',(33.2714,8.6396406,0.)); #475023=CARTESIAN_POINT('',(33.2714,8.6396406,0.035)); #475024=CARTESIAN_POINT('',(33.2714,8.6396406,0.035)); #475025=CARTESIAN_POINT('',(33.2714,8.6396406,0.)); #475026=CARTESIAN_POINT('Origin',(33.263575,8.5957531,0.)); #475027=CARTESIAN_POINT('',(33.263575,8.5957531,0.)); #475028=CARTESIAN_POINT('',(33.263575,8.5957531,0.)); #475029=CARTESIAN_POINT('',(33.263575,8.5957531,0.035)); #475030=CARTESIAN_POINT('',(33.263575,8.5957531,0.035)); #475031=CARTESIAN_POINT('',(33.263575,8.5957531,0.)); #475032=CARTESIAN_POINT('Origin',(33.237019,8.5527469,0.)); #475033=CARTESIAN_POINT('',(33.237019,8.5527469,0.)); #475034=CARTESIAN_POINT('',(33.237019,8.5527469,0.)); #475035=CARTESIAN_POINT('',(33.237019,8.5527469,0.035)); #475036=CARTESIAN_POINT('',(33.237019,8.5527469,0.035)); #475037=CARTESIAN_POINT('',(33.237019,8.5527469,0.)); #475038=CARTESIAN_POINT('Origin',(33.195794,8.5235031,0.)); #475039=CARTESIAN_POINT('',(33.195794,8.5235031,0.)); #475040=CARTESIAN_POINT('',(33.195794,8.5235031,0.035)); #475041=CARTESIAN_POINT('Origin',(33.1249999951871,9.43346104515319,0.035)); #475042=CARTESIAN_POINT('Origin',(33.1249999951871,9.43346104515319,0.)); #475043=CARTESIAN_POINT('Origin',(35.396425,12.450156,0.)); #475044=CARTESIAN_POINT('',(35.396425,12.450156,0.)); #475045=CARTESIAN_POINT('',(35.445794,12.461003,0.)); #475046=CARTESIAN_POINT('',(35.396425,12.450156,0.)); #475047=CARTESIAN_POINT('',(35.445794,12.461003,0.035)); #475048=CARTESIAN_POINT('',(35.445794,12.461003,0.)); #475049=CARTESIAN_POINT('',(35.396425,12.450156,0.035)); #475050=CARTESIAN_POINT('',(35.396425,12.450156,0.035)); #475051=CARTESIAN_POINT('',(35.396425,12.450156,0.)); #475052=CARTESIAN_POINT('Origin',(35.346738,12.459425,0.)); #475053=CARTESIAN_POINT('',(35.346738,12.459425,0.)); #475054=CARTESIAN_POINT('',(35.346738,12.459425,0.)); #475055=CARTESIAN_POINT('',(35.346738,12.459425,0.035)); #475056=CARTESIAN_POINT('',(35.346738,12.459425,0.035)); #475057=CARTESIAN_POINT('',(35.346738,12.459425,0.)); #475058=CARTESIAN_POINT('Origin',(35.304597,12.487338,0.)); #475059=CARTESIAN_POINT('',(35.304597,12.487338,0.)); #475060=CARTESIAN_POINT('',(35.304597,12.487338,0.)); #475061=CARTESIAN_POINT('',(35.304597,12.487338,0.035)); #475062=CARTESIAN_POINT('',(35.304597,12.487338,0.035)); #475063=CARTESIAN_POINT('',(35.304597,12.487338,0.)); #475064=CARTESIAN_POINT('Origin',(35.114534,12.6774,0.)); #475065=CARTESIAN_POINT('',(35.114534,12.6774,0.)); #475066=CARTESIAN_POINT('',(35.114534,12.6774,0.)); #475067=CARTESIAN_POINT('',(35.114534,12.6774,0.035)); #475068=CARTESIAN_POINT('',(35.114534,12.6774,0.035)); #475069=CARTESIAN_POINT('',(35.114534,12.6774,0.)); #475070=CARTESIAN_POINT('Origin',(34.885994,12.6774,0.)); #475071=CARTESIAN_POINT('',(34.885994,12.6774,0.)); #475072=CARTESIAN_POINT('',(34.885994,12.6774,0.)); #475073=CARTESIAN_POINT('',(34.885994,12.6774,0.035)); #475074=CARTESIAN_POINT('',(34.885994,12.6774,0.035)); #475075=CARTESIAN_POINT('',(34.885994,12.6774,0.)); #475076=CARTESIAN_POINT('Origin',(34.838331,12.686684,0.)); #475077=CARTESIAN_POINT('',(34.838331,12.686684,0.)); #475078=CARTESIAN_POINT('',(34.838331,12.686684,0.)); #475079=CARTESIAN_POINT('',(34.838331,12.686684,0.035)); #475080=CARTESIAN_POINT('',(34.838331,12.686684,0.035)); #475081=CARTESIAN_POINT('',(34.838331,12.686684,0.)); #475082=CARTESIAN_POINT('Origin',(34.796191,12.714597,0.)); #475083=CARTESIAN_POINT('',(34.796191,12.714597,0.)); #475084=CARTESIAN_POINT('',(34.796191,12.714597,0.)); #475085=CARTESIAN_POINT('',(34.796191,12.714597,0.035)); #475086=CARTESIAN_POINT('',(34.796191,12.714597,0.035)); #475087=CARTESIAN_POINT('',(34.796191,12.714597,0.)); #475088=CARTESIAN_POINT('Origin',(34.592491,12.918297,0.)); #475089=CARTESIAN_POINT('',(34.592491,12.918297,0.)); #475090=CARTESIAN_POINT('',(34.592491,12.918297,0.)); #475091=CARTESIAN_POINT('',(34.592491,12.918297,0.035)); #475092=CARTESIAN_POINT('',(34.592491,12.918297,0.035)); #475093=CARTESIAN_POINT('',(34.592491,12.918297,0.)); #475094=CARTESIAN_POINT('Origin',(34.566991,12.954863,0.)); #475095=CARTESIAN_POINT('',(34.566991,12.954863,0.)); #475096=CARTESIAN_POINT('',(34.566991,12.954863,0.)); #475097=CARTESIAN_POINT('',(34.566991,12.954863,0.035)); #475098=CARTESIAN_POINT('',(34.566991,12.954863,0.035)); #475099=CARTESIAN_POINT('',(34.566991,12.954863,0.)); #475100=CARTESIAN_POINT('Origin',(34.555359,13.004053,0.)); #475101=CARTESIAN_POINT('',(34.555359,13.004053,0.)); #475102=CARTESIAN_POINT('',(34.555359,13.004053,0.)); #475103=CARTESIAN_POINT('',(34.555359,13.004053,0.035)); #475104=CARTESIAN_POINT('',(34.555359,13.004053,0.035)); #475105=CARTESIAN_POINT('',(34.555359,13.004053,0.)); #475106=CARTESIAN_POINT('Origin',(34.563831,13.053881,0.)); #475107=CARTESIAN_POINT('',(34.563831,13.053881,0.)); #475108=CARTESIAN_POINT('',(34.563831,13.053881,0.)); #475109=CARTESIAN_POINT('',(34.563831,13.053881,0.035)); #475110=CARTESIAN_POINT('',(34.563831,13.053881,0.035)); #475111=CARTESIAN_POINT('',(34.563831,13.053881,0.)); #475112=CARTESIAN_POINT('Origin',(34.591072,13.096459,0.)); #475113=CARTESIAN_POINT('',(34.591072,13.096459,0.)); #475114=CARTESIAN_POINT('',(34.591072,13.096459,0.)); #475115=CARTESIAN_POINT('',(34.591072,13.096459,0.035)); #475116=CARTESIAN_POINT('',(34.591072,13.096459,0.035)); #475117=CARTESIAN_POINT('',(34.591072,13.096459,0.)); #475118=CARTESIAN_POINT('Origin',(34.632759,13.125041,0.)); #475119=CARTESIAN_POINT('',(34.632759,13.125041,0.)); #475120=CARTESIAN_POINT('',(34.632759,13.125041,0.)); #475121=CARTESIAN_POINT('',(34.632759,13.125041,0.035)); #475122=CARTESIAN_POINT('',(34.632759,13.125041,0.035)); #475123=CARTESIAN_POINT('',(34.632759,13.125041,0.)); #475124=CARTESIAN_POINT('Origin',(34.682294,13.1351,0.)); #475125=CARTESIAN_POINT('',(34.682294,13.1351,0.)); #475126=CARTESIAN_POINT('',(34.682294,13.1351,0.)); #475127=CARTESIAN_POINT('',(34.682294,13.1351,0.035)); #475128=CARTESIAN_POINT('',(34.682294,13.1351,0.035)); #475129=CARTESIAN_POINT('',(34.682294,13.1351,0.)); #475130=CARTESIAN_POINT('Origin',(35.3944,13.1351,0.)); #475131=CARTESIAN_POINT('',(35.3944,13.1351,0.)); #475132=CARTESIAN_POINT('',(35.3944,13.1351,0.)); #475133=CARTESIAN_POINT('',(35.3944,13.1351,0.035)); #475134=CARTESIAN_POINT('',(35.3944,13.1351,0.035)); #475135=CARTESIAN_POINT('',(35.3944,13.1351,0.)); #475136=CARTESIAN_POINT('Origin',(35.440181,13.126563,0.)); #475137=CARTESIAN_POINT('',(35.440181,13.126563,0.)); #475138=CARTESIAN_POINT('',(35.440181,13.126563,0.)); #475139=CARTESIAN_POINT('',(35.440181,13.126563,0.035)); #475140=CARTESIAN_POINT('',(35.440181,13.126563,0.035)); #475141=CARTESIAN_POINT('',(35.440181,13.126563,0.)); #475142=CARTESIAN_POINT('Origin',(35.482759,13.099322,0.)); #475143=CARTESIAN_POINT('',(35.482759,13.099322,0.)); #475144=CARTESIAN_POINT('',(35.482759,13.099322,0.)); #475145=CARTESIAN_POINT('',(35.482759,13.099322,0.035)); #475146=CARTESIAN_POINT('',(35.482759,13.099322,0.035)); #475147=CARTESIAN_POINT('',(35.482759,13.099322,0.)); #475148=CARTESIAN_POINT('Origin',(35.511341,13.057634,0.)); #475149=CARTESIAN_POINT('',(35.511341,13.057634,0.)); #475150=CARTESIAN_POINT('',(35.511341,13.057634,0.)); #475151=CARTESIAN_POINT('',(35.511341,13.057634,0.035)); #475152=CARTESIAN_POINT('',(35.511341,13.057634,0.035)); #475153=CARTESIAN_POINT('',(35.511341,13.057634,0.)); #475154=CARTESIAN_POINT('Origin',(35.5214,13.0081,0.)); #475155=CARTESIAN_POINT('',(35.5214,13.0081,0.)); #475156=CARTESIAN_POINT('',(35.5214,13.0081,0.)); #475157=CARTESIAN_POINT('',(35.5214,13.0081,0.035)); #475158=CARTESIAN_POINT('',(35.5214,13.0081,0.035)); #475159=CARTESIAN_POINT('',(35.5214,13.0081,0.)); #475160=CARTESIAN_POINT('Origin',(35.5214,12.577141,0.)); #475161=CARTESIAN_POINT('',(35.5214,12.577141,0.)); #475162=CARTESIAN_POINT('',(35.5214,12.577141,0.)); #475163=CARTESIAN_POINT('',(35.5214,12.577141,0.035)); #475164=CARTESIAN_POINT('',(35.5214,12.577141,0.035)); #475165=CARTESIAN_POINT('',(35.5214,12.577141,0.)); #475166=CARTESIAN_POINT('Origin',(35.513575,12.533253,0.)); #475167=CARTESIAN_POINT('',(35.513575,12.533253,0.)); #475168=CARTESIAN_POINT('',(35.513575,12.533253,0.)); #475169=CARTESIAN_POINT('',(35.513575,12.533253,0.035)); #475170=CARTESIAN_POINT('',(35.513575,12.533253,0.035)); #475171=CARTESIAN_POINT('',(35.513575,12.533253,0.)); #475172=CARTESIAN_POINT('Origin',(35.487019,12.490247,0.)); #475173=CARTESIAN_POINT('',(35.487019,12.490247,0.)); #475174=CARTESIAN_POINT('',(35.487019,12.490247,0.)); #475175=CARTESIAN_POINT('',(35.487019,12.490247,0.035)); #475176=CARTESIAN_POINT('',(35.487019,12.490247,0.035)); #475177=CARTESIAN_POINT('',(35.487019,12.490247,0.)); #475178=CARTESIAN_POINT('Origin',(35.445794,12.461003,0.)); #475179=CARTESIAN_POINT('',(35.445794,12.461003,0.)); #475180=CARTESIAN_POINT('',(35.445794,12.461003,0.035)); #475181=CARTESIAN_POINT('Origin',(35.108281874105,12.8637414527452,0.035)); #475182=CARTESIAN_POINT('Origin',(35.108281874105,12.8637414527452,0.)); #475183=CARTESIAN_POINT('Origin',(34.646425,8.5126563,0.)); #475184=CARTESIAN_POINT('',(34.646425,8.5126563,0.)); #475185=CARTESIAN_POINT('',(34.695794,8.5235031,0.)); #475186=CARTESIAN_POINT('',(34.646425,8.5126563,0.)); #475187=CARTESIAN_POINT('',(34.695794,8.5235031,0.035)); #475188=CARTESIAN_POINT('',(34.695794,8.5235031,0.)); #475189=CARTESIAN_POINT('',(34.646425,8.5126563,0.035)); #475190=CARTESIAN_POINT('',(34.646425,8.5126563,0.035)); #475191=CARTESIAN_POINT('',(34.646425,8.5126563,0.)); #475192=CARTESIAN_POINT('Origin',(34.596738,8.521925,0.)); #475193=CARTESIAN_POINT('',(34.596738,8.521925,0.)); #475194=CARTESIAN_POINT('',(34.596738,8.521925,0.)); #475195=CARTESIAN_POINT('',(34.596738,8.521925,0.035)); #475196=CARTESIAN_POINT('',(34.596738,8.521925,0.035)); #475197=CARTESIAN_POINT('',(34.596738,8.521925,0.)); #475198=CARTESIAN_POINT('Origin',(34.554597,8.5498375,0.)); #475199=CARTESIAN_POINT('',(34.554597,8.5498375,0.)); #475200=CARTESIAN_POINT('',(34.554597,8.5498375,0.)); #475201=CARTESIAN_POINT('',(34.554597,8.5498375,0.035)); #475202=CARTESIAN_POINT('',(34.554597,8.5498375,0.035)); #475203=CARTESIAN_POINT('',(34.554597,8.5498375,0.)); #475204=CARTESIAN_POINT('Origin',(34.515797,8.5886375,0.)); #475205=CARTESIAN_POINT('',(34.515797,8.5886375,0.)); #475206=CARTESIAN_POINT('',(34.515797,8.5886375,0.)); #475207=CARTESIAN_POINT('',(34.515797,8.5886375,0.035)); #475208=CARTESIAN_POINT('',(34.515797,8.5886375,0.035)); #475209=CARTESIAN_POINT('',(34.515797,8.5886375,0.)); #475210=CARTESIAN_POINT('Origin',(34.488659,8.6289063,0.)); #475211=CARTESIAN_POINT('',(34.488659,8.6289063,0.)); #475212=CARTESIAN_POINT('',(34.488659,8.6289063,0.)); #475213=CARTESIAN_POINT('',(34.488659,8.6289063,0.035)); #475214=CARTESIAN_POINT('',(34.488659,8.6289063,0.035)); #475215=CARTESIAN_POINT('',(34.488659,8.6289063,0.)); #475216=CARTESIAN_POINT('Origin',(34.4786,8.6784406,0.)); #475217=CARTESIAN_POINT('',(34.4786,8.6784406,0.)); #475218=CARTESIAN_POINT('',(34.4786,8.6784406,0.)); #475219=CARTESIAN_POINT('',(34.4786,8.6784406,0.035)); #475220=CARTESIAN_POINT('',(34.4786,8.6784406,0.035)); #475221=CARTESIAN_POINT('',(34.4786,8.6784406,0.)); #475222=CARTESIAN_POINT('Origin',(34.4786,10.141506,0.)); #475223=CARTESIAN_POINT('',(34.4786,10.141506,0.)); #475224=CARTESIAN_POINT('',(34.4786,10.141506,0.)); #475225=CARTESIAN_POINT('',(34.4786,10.141506,0.035)); #475226=CARTESIAN_POINT('',(34.4786,10.141506,0.035)); #475227=CARTESIAN_POINT('',(34.4786,10.141506,0.)); #475228=CARTESIAN_POINT('Origin',(34.486425,10.185394,0.)); #475229=CARTESIAN_POINT('',(34.486425,10.185394,0.)); #475230=CARTESIAN_POINT('',(34.486425,10.185394,0.)); #475231=CARTESIAN_POINT('',(34.486425,10.185394,0.035)); #475232=CARTESIAN_POINT('',(34.486425,10.185394,0.035)); #475233=CARTESIAN_POINT('',(34.486425,10.185394,0.)); #475234=CARTESIAN_POINT('Origin',(34.512981,10.2284,0.)); #475235=CARTESIAN_POINT('',(34.512981,10.2284,0.)); #475236=CARTESIAN_POINT('',(34.512981,10.2284,0.)); #475237=CARTESIAN_POINT('',(34.512981,10.2284,0.035)); #475238=CARTESIAN_POINT('',(34.512981,10.2284,0.035)); #475239=CARTESIAN_POINT('',(34.512981,10.2284,0.)); #475240=CARTESIAN_POINT('Origin',(34.554206,10.257644,0.)); #475241=CARTESIAN_POINT('',(34.554206,10.257644,0.)); #475242=CARTESIAN_POINT('',(34.554206,10.257644,0.)); #475243=CARTESIAN_POINT('',(34.554206,10.257644,0.035)); #475244=CARTESIAN_POINT('',(34.554206,10.257644,0.035)); #475245=CARTESIAN_POINT('',(34.554206,10.257644,0.)); #475246=CARTESIAN_POINT('Origin',(34.603575,10.268491,0.)); #475247=CARTESIAN_POINT('',(34.603575,10.268491,0.)); #475248=CARTESIAN_POINT('',(34.603575,10.268491,0.)); #475249=CARTESIAN_POINT('',(34.603575,10.268491,0.035)); #475250=CARTESIAN_POINT('',(34.603575,10.268491,0.035)); #475251=CARTESIAN_POINT('',(34.603575,10.268491,0.)); #475252=CARTESIAN_POINT('Origin',(34.653263,10.259222,0.)); #475253=CARTESIAN_POINT('',(34.653263,10.259222,0.)); #475254=CARTESIAN_POINT('',(34.653263,10.259222,0.)); #475255=CARTESIAN_POINT('',(34.653263,10.259222,0.035)); #475256=CARTESIAN_POINT('',(34.653263,10.259222,0.035)); #475257=CARTESIAN_POINT('',(34.653263,10.259222,0.)); #475258=CARTESIAN_POINT('Origin',(34.695403,10.231309,0.)); #475259=CARTESIAN_POINT('',(34.695403,10.231309,0.)); #475260=CARTESIAN_POINT('',(34.695403,10.231309,0.)); #475261=CARTESIAN_POINT('',(34.695403,10.231309,0.035)); #475262=CARTESIAN_POINT('',(34.695403,10.231309,0.035)); #475263=CARTESIAN_POINT('',(34.695403,10.231309,0.)); #475264=CARTESIAN_POINT('Origin',(34.734203,10.192509,0.)); #475265=CARTESIAN_POINT('',(34.734203,10.192509,0.)); #475266=CARTESIAN_POINT('',(34.734203,10.192509,0.)); #475267=CARTESIAN_POINT('',(34.734203,10.192509,0.035)); #475268=CARTESIAN_POINT('',(34.734203,10.192509,0.035)); #475269=CARTESIAN_POINT('',(34.734203,10.192509,0.)); #475270=CARTESIAN_POINT('Origin',(34.761341,10.152241,0.)); #475271=CARTESIAN_POINT('',(34.761341,10.152241,0.)); #475272=CARTESIAN_POINT('',(34.761341,10.152241,0.)); #475273=CARTESIAN_POINT('',(34.761341,10.152241,0.035)); #475274=CARTESIAN_POINT('',(34.761341,10.152241,0.035)); #475275=CARTESIAN_POINT('',(34.761341,10.152241,0.)); #475276=CARTESIAN_POINT('Origin',(34.7714,10.102706,0.)); #475277=CARTESIAN_POINT('',(34.7714,10.102706,0.)); #475278=CARTESIAN_POINT('',(34.7714,10.102706,0.)); #475279=CARTESIAN_POINT('',(34.7714,10.102706,0.035)); #475280=CARTESIAN_POINT('',(34.7714,10.102706,0.035)); #475281=CARTESIAN_POINT('',(34.7714,10.102706,0.)); #475282=CARTESIAN_POINT('Origin',(34.7714,8.6396406,0.)); #475283=CARTESIAN_POINT('',(34.7714,8.6396406,0.)); #475284=CARTESIAN_POINT('',(34.7714,8.6396406,0.)); #475285=CARTESIAN_POINT('',(34.7714,8.6396406,0.035)); #475286=CARTESIAN_POINT('',(34.7714,8.6396406,0.035)); #475287=CARTESIAN_POINT('',(34.7714,8.6396406,0.)); #475288=CARTESIAN_POINT('Origin',(34.763575,8.5957531,0.)); #475289=CARTESIAN_POINT('',(34.763575,8.5957531,0.)); #475290=CARTESIAN_POINT('',(34.763575,8.5957531,0.)); #475291=CARTESIAN_POINT('',(34.763575,8.5957531,0.035)); #475292=CARTESIAN_POINT('',(34.763575,8.5957531,0.035)); #475293=CARTESIAN_POINT('',(34.763575,8.5957531,0.)); #475294=CARTESIAN_POINT('Origin',(34.737019,8.5527469,0.)); #475295=CARTESIAN_POINT('',(34.737019,8.5527469,0.)); #475296=CARTESIAN_POINT('',(34.737019,8.5527469,0.)); #475297=CARTESIAN_POINT('',(34.737019,8.5527469,0.035)); #475298=CARTESIAN_POINT('',(34.737019,8.5527469,0.035)); #475299=CARTESIAN_POINT('',(34.737019,8.5527469,0.)); #475300=CARTESIAN_POINT('Origin',(34.695794,8.5235031,0.)); #475301=CARTESIAN_POINT('',(34.695794,8.5235031,0.)); #475302=CARTESIAN_POINT('',(34.695794,8.5235031,0.035)); #475303=CARTESIAN_POINT('Origin',(34.6249999949714,9.39057354511795,0.035)); #475304=CARTESIAN_POINT('Origin',(34.6249999949714,9.39057354511795,0.)); #475305=CARTESIAN_POINT('Origin',(30.071559,10.7286,0.)); #475306=CARTESIAN_POINT('',(30.071559,10.7286,0.)); #475307=CARTESIAN_POINT('',(30.121094,10.738659,0.)); #475308=CARTESIAN_POINT('',(30.071559,10.7286,0.)); #475309=CARTESIAN_POINT('',(30.121094,10.738659,0.035)); #475310=CARTESIAN_POINT('',(30.121094,10.738659,0.)); #475311=CARTESIAN_POINT('',(30.071559,10.7286,0.035)); #475312=CARTESIAN_POINT('',(30.071559,10.7286,0.035)); #475313=CARTESIAN_POINT('',(30.071559,10.7286,0.)); #475314=CARTESIAN_POINT('Origin',(29.897294,10.7286,0.)); #475315=CARTESIAN_POINT('',(29.897294,10.7286,0.)); #475316=CARTESIAN_POINT('',(29.897294,10.7286,0.)); #475317=CARTESIAN_POINT('',(29.897294,10.7286,0.035)); #475318=CARTESIAN_POINT('',(29.897294,10.7286,0.035)); #475319=CARTESIAN_POINT('',(29.897294,10.7286,0.)); #475320=CARTESIAN_POINT('Origin',(29.849631,10.737884,0.)); #475321=CARTESIAN_POINT('',(29.849631,10.737884,0.)); #475322=CARTESIAN_POINT('',(29.849631,10.737884,0.)); #475323=CARTESIAN_POINT('',(29.849631,10.737884,0.035)); #475324=CARTESIAN_POINT('',(29.849631,10.737884,0.035)); #475325=CARTESIAN_POINT('',(29.849631,10.737884,0.)); #475326=CARTESIAN_POINT('Origin',(29.807491,10.765797,0.)); #475327=CARTESIAN_POINT('',(29.807491,10.765797,0.)); #475328=CARTESIAN_POINT('',(29.807491,10.765797,0.)); #475329=CARTESIAN_POINT('',(29.807491,10.765797,0.035)); #475330=CARTESIAN_POINT('',(29.807491,10.765797,0.035)); #475331=CARTESIAN_POINT('',(29.807491,10.765797,0.)); #475332=CARTESIAN_POINT('Origin',(29.768691,10.804597,0.)); #475333=CARTESIAN_POINT('',(29.768691,10.804597,0.)); #475334=CARTESIAN_POINT('',(29.768691,10.804597,0.)); #475335=CARTESIAN_POINT('',(29.768691,10.804597,0.035)); #475336=CARTESIAN_POINT('',(29.768691,10.804597,0.035)); #475337=CARTESIAN_POINT('',(29.768691,10.804597,0.)); #475338=CARTESIAN_POINT('Origin',(29.743191,10.841163,0.)); #475339=CARTESIAN_POINT('',(29.743191,10.841163,0.)); #475340=CARTESIAN_POINT('',(29.743191,10.841163,0.)); #475341=CARTESIAN_POINT('',(29.743191,10.841163,0.035)); #475342=CARTESIAN_POINT('',(29.743191,10.841163,0.035)); #475343=CARTESIAN_POINT('',(29.743191,10.841163,0.)); #475344=CARTESIAN_POINT('Origin',(29.731559,10.890353,0.)); #475345=CARTESIAN_POINT('',(29.731559,10.890353,0.)); #475346=CARTESIAN_POINT('',(29.731559,10.890353,0.)); #475347=CARTESIAN_POINT('',(29.731559,10.890353,0.035)); #475348=CARTESIAN_POINT('',(29.731559,10.890353,0.035)); #475349=CARTESIAN_POINT('',(29.731559,10.890353,0.)); #475350=CARTESIAN_POINT('Origin',(29.740031,10.940181,0.)); #475351=CARTESIAN_POINT('',(29.740031,10.940181,0.)); #475352=CARTESIAN_POINT('',(29.740031,10.940181,0.)); #475353=CARTESIAN_POINT('',(29.740031,10.940181,0.035)); #475354=CARTESIAN_POINT('',(29.740031,10.940181,0.035)); #475355=CARTESIAN_POINT('',(29.740031,10.940181,0.)); #475356=CARTESIAN_POINT('Origin',(29.767272,10.982759,0.)); #475357=CARTESIAN_POINT('',(29.767272,10.982759,0.)); #475358=CARTESIAN_POINT('',(29.767272,10.982759,0.)); #475359=CARTESIAN_POINT('',(29.767272,10.982759,0.035)); #475360=CARTESIAN_POINT('',(29.767272,10.982759,0.035)); #475361=CARTESIAN_POINT('',(29.767272,10.982759,0.)); #475362=CARTESIAN_POINT('Origin',(29.808959,11.011341,0.)); #475363=CARTESIAN_POINT('',(29.808959,11.011341,0.)); #475364=CARTESIAN_POINT('',(29.808959,11.011341,0.)); #475365=CARTESIAN_POINT('',(29.808959,11.011341,0.035)); #475366=CARTESIAN_POINT('',(29.808959,11.011341,0.035)); #475367=CARTESIAN_POINT('',(29.808959,11.011341,0.)); #475368=CARTESIAN_POINT('Origin',(29.858494,11.0214,0.)); #475369=CARTESIAN_POINT('',(29.858494,11.0214,0.)); #475370=CARTESIAN_POINT('',(29.858494,11.0214,0.)); #475371=CARTESIAN_POINT('',(29.858494,11.0214,0.035)); #475372=CARTESIAN_POINT('',(29.858494,11.0214,0.035)); #475373=CARTESIAN_POINT('',(29.858494,11.0214,0.)); #475374=CARTESIAN_POINT('Origin',(30.071559,11.0214,0.)); #475375=CARTESIAN_POINT('',(30.071559,11.0214,0.)); #475376=CARTESIAN_POINT('',(30.071559,11.0214,0.)); #475377=CARTESIAN_POINT('',(30.071559,11.0214,0.035)); #475378=CARTESIAN_POINT('',(30.071559,11.0214,0.035)); #475379=CARTESIAN_POINT('',(30.071559,11.0214,0.)); #475380=CARTESIAN_POINT('Origin',(30.119222,11.012116,0.)); #475381=CARTESIAN_POINT('',(30.119222,11.012116,0.)); #475382=CARTESIAN_POINT('',(30.119222,11.012116,0.)); #475383=CARTESIAN_POINT('',(30.119222,11.012116,0.035)); #475384=CARTESIAN_POINT('',(30.119222,11.012116,0.035)); #475385=CARTESIAN_POINT('',(30.119222,11.012116,0.)); #475386=CARTESIAN_POINT('Origin',(30.161363,10.984203,0.)); #475387=CARTESIAN_POINT('',(30.161363,10.984203,0.)); #475388=CARTESIAN_POINT('',(30.161363,10.984203,0.)); #475389=CARTESIAN_POINT('',(30.161363,10.984203,0.035)); #475390=CARTESIAN_POINT('',(30.161363,10.984203,0.035)); #475391=CARTESIAN_POINT('',(30.161363,10.984203,0.)); #475392=CARTESIAN_POINT('Origin',(30.180763,10.964803,0.)); #475393=CARTESIAN_POINT('',(30.180763,10.964803,0.)); #475394=CARTESIAN_POINT('',(30.180763,10.964803,0.)); #475395=CARTESIAN_POINT('',(30.180763,10.964803,0.035)); #475396=CARTESIAN_POINT('',(30.180763,10.964803,0.035)); #475397=CARTESIAN_POINT('',(30.180763,10.964803,0.)); #475398=CARTESIAN_POINT('Origin',(30.207097,10.926394,0.)); #475399=CARTESIAN_POINT('',(30.207097,10.926394,0.)); #475400=CARTESIAN_POINT('',(30.207097,10.926394,0.)); #475401=CARTESIAN_POINT('',(30.207097,10.926394,0.035)); #475402=CARTESIAN_POINT('',(30.207097,10.926394,0.035)); #475403=CARTESIAN_POINT('',(30.207097,10.926394,0.)); #475404=CARTESIAN_POINT('Origin',(30.217944,10.877025,0.)); #475405=CARTESIAN_POINT('',(30.217944,10.877025,0.)); #475406=CARTESIAN_POINT('',(30.217944,10.877025,0.)); #475407=CARTESIAN_POINT('',(30.217944,10.877025,0.035)); #475408=CARTESIAN_POINT('',(30.217944,10.877025,0.035)); #475409=CARTESIAN_POINT('',(30.217944,10.877025,0.)); #475410=CARTESIAN_POINT('Origin',(30.208675,10.827338,0.)); #475411=CARTESIAN_POINT('',(30.208675,10.827338,0.)); #475412=CARTESIAN_POINT('',(30.208675,10.827338,0.)); #475413=CARTESIAN_POINT('',(30.208675,10.827338,0.035)); #475414=CARTESIAN_POINT('',(30.208675,10.827338,0.035)); #475415=CARTESIAN_POINT('',(30.208675,10.827338,0.)); #475416=CARTESIAN_POINT('Origin',(30.180763,10.785197,0.)); #475417=CARTESIAN_POINT('',(30.180763,10.785197,0.)); #475418=CARTESIAN_POINT('',(30.180763,10.785197,0.)); #475419=CARTESIAN_POINT('',(30.180763,10.785197,0.035)); #475420=CARTESIAN_POINT('',(30.180763,10.785197,0.035)); #475421=CARTESIAN_POINT('',(30.180763,10.785197,0.)); #475422=CARTESIAN_POINT('Origin',(30.161363,10.765797,0.)); #475423=CARTESIAN_POINT('',(30.161363,10.765797,0.)); #475424=CARTESIAN_POINT('',(30.161363,10.765797,0.)); #475425=CARTESIAN_POINT('',(30.161363,10.765797,0.035)); #475426=CARTESIAN_POINT('',(30.161363,10.765797,0.035)); #475427=CARTESIAN_POINT('',(30.161363,10.765797,0.)); #475428=CARTESIAN_POINT('Origin',(30.121094,10.738659,0.)); #475429=CARTESIAN_POINT('',(30.121094,10.738659,0.)); #475430=CARTESIAN_POINT('',(30.121094,10.738659,0.035)); #475431=CARTESIAN_POINT('Origin',(29.9748478148828,10.8785549931505,0.035)); #475432=CARTESIAN_POINT('Origin',(29.9748478148828,10.8785549931505,0.)); #475433=CARTESIAN_POINT('Origin',(32.73,45.,-200.)); #475434=CARTESIAN_POINT('',(32.165,45.,0.0349999999999895)); #475435=CARTESIAN_POINT('Origin',(32.73,45.,0.0349999999999895)); #475436=CARTESIAN_POINT('',(32.165,45.,0.0700000000000145)); #475437=CARTESIAN_POINT('',(32.165,45.,-200.)); #475438=CARTESIAN_POINT('Origin',(32.73,45.,0.0700000000000145)); #475439=CARTESIAN_POINT('Origin',(32.769294,44.1,0.035)); #475440=CARTESIAN_POINT('',(32.769294,44.1,0.035)); #475441=CARTESIAN_POINT('',(32.847584,44.10685,0.035)); #475442=CARTESIAN_POINT('',(32.769294,44.1,0.035)); #475443=CARTESIAN_POINT('',(32.847584,44.10685,0.07)); #475444=CARTESIAN_POINT('',(32.847584,44.10685,0.035)); #475445=CARTESIAN_POINT('',(32.769294,44.1,0.07)); #475446=CARTESIAN_POINT('',(32.769294,44.1,0.07)); #475447=CARTESIAN_POINT('',(32.769294,44.1,0.035)); #475448=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.035)); #475449=CARTESIAN_POINT('',(32.612416,45.89315,0.035)); #475450=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.035)); #475451=CARTESIAN_POINT('',(32.612416,45.89315,0.07)); #475452=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.07)); #475453=CARTESIAN_POINT('',(32.612416,45.89315,0.035)); #475454=CARTESIAN_POINT('Origin',(32.73,45.,0.035)); #475455=CARTESIAN_POINT('Origin',(32.73,45.,0.035)); #475456=CARTESIAN_POINT('Origin',(32.73,45.,0.07)); #475457=CARTESIAN_POINT('Origin',(32.7282590237178,44.9986240251875,0.07)); #475458=CARTESIAN_POINT('Origin',(32.7282590237178,44.9986240251875,0.035)); #475459=CARTESIAN_POINT('Origin',(35.27,45.,-200.)); #475460=CARTESIAN_POINT('',(34.705,45.,0.0349999999999895)); #475461=CARTESIAN_POINT('Origin',(35.27,45.,0.0349999999999895)); #475462=CARTESIAN_POINT('',(34.705,45.,0.0700000000000145)); #475463=CARTESIAN_POINT('',(34.705,45.,-200.)); #475464=CARTESIAN_POINT('Origin',(35.27,45.,0.0700000000000145)); #475465=CARTESIAN_POINT('Origin',(35.309294,44.1,0.035)); #475466=CARTESIAN_POINT('',(35.309294,44.1,0.035)); #475467=CARTESIAN_POINT('',(35.387584,44.10685,0.035)); #475468=CARTESIAN_POINT('',(35.309294,44.1,0.035)); #475469=CARTESIAN_POINT('',(35.387584,44.10685,0.07)); #475470=CARTESIAN_POINT('',(35.387584,44.10685,0.035)); #475471=CARTESIAN_POINT('',(35.309294,44.1,0.07)); #475472=CARTESIAN_POINT('',(35.309294,44.1,0.07)); #475473=CARTESIAN_POINT('',(35.309294,44.1,0.035)); #475474=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,0.035)); #475475=CARTESIAN_POINT('',(35.152416,45.89315,0.035)); #475476=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,0.035)); #475477=CARTESIAN_POINT('',(35.152416,45.89315,0.07)); #475478=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,0.07)); #475479=CARTESIAN_POINT('',(35.152416,45.89315,0.035)); #475480=CARTESIAN_POINT('Origin',(35.27,45.,0.035)); #475481=CARTESIAN_POINT('Origin',(35.27,45.,0.035)); #475482=CARTESIAN_POINT('Origin',(35.27,45.,0.07)); #475483=CARTESIAN_POINT('Origin',(35.2682590237178,44.9986240251875,0.07)); #475484=CARTESIAN_POINT('Origin',(35.2682590237178,44.9986240251875,0.035)); #475485=CARTESIAN_POINT('Origin',(36.5,27.75,-200.)); #475486=CARTESIAN_POINT('',(36.035,27.75,0.0349999999999895)); #475487=CARTESIAN_POINT('Origin',(36.5,27.75,0.0349999999999895)); #475488=CARTESIAN_POINT('',(36.035,27.75,0.0700000000000145)); #475489=CARTESIAN_POINT('',(36.035,27.75,-200.)); #475490=CARTESIAN_POINT('Origin',(36.5,27.75,0.0700000000000145)); #475491=CARTESIAN_POINT('Origin',(36.536844,27.,0.035)); #475492=CARTESIAN_POINT('',(36.536844,27.,0.035)); #475493=CARTESIAN_POINT('',(36.610181,27.007222,0.035)); #475494=CARTESIAN_POINT('',(36.536844,27.,0.035)); #475495=CARTESIAN_POINT('',(36.610181,27.007222,0.07)); #475496=CARTESIAN_POINT('',(36.610181,27.007222,0.035)); #475497=CARTESIAN_POINT('',(36.536844,27.,0.07)); #475498=CARTESIAN_POINT('',(36.536844,27.,0.07)); #475499=CARTESIAN_POINT('',(36.536844,27.,0.035)); #475500=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,0.035)); #475501=CARTESIAN_POINT('',(36.389819,28.492778,0.035)); #475502=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,0.035)); #475503=CARTESIAN_POINT('',(36.389819,28.492778,0.07)); #475504=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,0.07)); #475505=CARTESIAN_POINT('',(36.389819,28.492778,0.035)); #475506=CARTESIAN_POINT('Origin',(36.5,27.75,0.035)); #475507=CARTESIAN_POINT('Origin',(36.5,27.75,0.035)); #475508=CARTESIAN_POINT('Origin',(36.5,27.75,0.07)); #475509=CARTESIAN_POINT('Origin',(36.4983877860344,27.7486960162912,0.07)); #475510=CARTESIAN_POINT('Origin',(36.4983877860344,27.7486960162912,0.035)); #475511=CARTESIAN_POINT('Origin',(46.25,34.,-200.)); #475512=CARTESIAN_POINT('',(45.735,34.,0.0349999999999895)); #475513=CARTESIAN_POINT('Origin',(46.25,34.,0.0349999999999895)); #475514=CARTESIAN_POINT('',(45.735,34.,0.0700000000000145)); #475515=CARTESIAN_POINT('',(45.735,34.,-200.)); #475516=CARTESIAN_POINT('Origin',(46.25,34.,0.0700000000000145)); #475517=CARTESIAN_POINT('Origin',(46.290528,33.175,0.035)); #475518=CARTESIAN_POINT('',(46.290528,33.175,0.035)); #475519=CARTESIAN_POINT('',(46.3712,33.182944,0.035)); #475520=CARTESIAN_POINT('',(46.290528,33.175,0.035)); #475521=CARTESIAN_POINT('',(46.3712,33.182944,0.07)); #475522=CARTESIAN_POINT('',(46.3712,33.182944,0.035)); #475523=CARTESIAN_POINT('',(46.290528,33.175,0.07)); #475524=CARTESIAN_POINT('',(46.290528,33.175,0.07)); #475525=CARTESIAN_POINT('',(46.290528,33.175,0.035)); #475526=CARTESIAN_POINT('Origin',(46.24999984599,34.0000007242556,0.035)); #475527=CARTESIAN_POINT('',(46.1288,34.817056,0.035)); #475528=CARTESIAN_POINT('Origin',(46.24999984599,34.0000007242556,0.035)); #475529=CARTESIAN_POINT('',(46.1288,34.817056,0.07)); #475530=CARTESIAN_POINT('Origin',(46.24999984599,34.0000007242556,0.07)); #475531=CARTESIAN_POINT('',(46.1288,34.817056,0.035)); #475532=CARTESIAN_POINT('Origin',(46.25,34.,0.035)); #475533=CARTESIAN_POINT('Origin',(46.25,34.,0.035)); #475534=CARTESIAN_POINT('Origin',(46.25,34.,0.07)); #475535=CARTESIAN_POINT('Origin',(46.24822648407,33.9985656780324,0.07)); #475536=CARTESIAN_POINT('Origin',(46.24822648407,33.9985656780324,0.035)); #475537=CARTESIAN_POINT('Origin',(21.75,34.,-200.)); #475538=CARTESIAN_POINT('',(21.235,34.,0.0349999999999895)); #475539=CARTESIAN_POINT('Origin',(21.75,34.,0.0349999999999895)); #475540=CARTESIAN_POINT('',(21.235,34.,0.0700000000000145)); #475541=CARTESIAN_POINT('',(21.235,34.,-200.)); #475542=CARTESIAN_POINT('Origin',(21.75,34.,0.0700000000000145)); #475543=CARTESIAN_POINT('Origin',(22.575,33.175,0.035)); #475544=CARTESIAN_POINT('',(22.575,33.175,0.035)); #475545=CARTESIAN_POINT('',(22.575,34.825,0.035)); #475546=CARTESIAN_POINT('',(22.575,33.175,0.035)); #475547=CARTESIAN_POINT('',(22.575,34.825,0.07)); #475548=CARTESIAN_POINT('',(22.575,34.825,0.035)); #475549=CARTESIAN_POINT('',(22.575,33.175,0.07)); #475550=CARTESIAN_POINT('',(22.575,33.175,0.07)); #475551=CARTESIAN_POINT('',(22.575,33.175,0.035)); #475552=CARTESIAN_POINT('Origin',(20.925,33.175,0.035)); #475553=CARTESIAN_POINT('',(20.925,33.175,0.035)); #475554=CARTESIAN_POINT('',(20.925,33.175,0.035)); #475555=CARTESIAN_POINT('',(20.925,33.175,0.07)); #475556=CARTESIAN_POINT('',(20.925,33.175,0.07)); #475557=CARTESIAN_POINT('',(20.925,33.175,0.035)); #475558=CARTESIAN_POINT('Origin',(20.925,34.825,0.035)); #475559=CARTESIAN_POINT('',(20.925,34.825,0.035)); #475560=CARTESIAN_POINT('',(20.925,34.825,0.035)); #475561=CARTESIAN_POINT('',(20.925,34.825,0.07)); #475562=CARTESIAN_POINT('',(20.925,34.825,0.07)); #475563=CARTESIAN_POINT('',(20.925,34.825,0.035)); #475564=CARTESIAN_POINT('Origin',(22.575,34.825,0.035)); #475565=CARTESIAN_POINT('',(22.575,34.825,0.035)); #475566=CARTESIAN_POINT('',(22.575,34.825,0.07)); #475567=CARTESIAN_POINT('Origin',(21.75,34.,0.07)); #475568=CARTESIAN_POINT('Origin',(21.75,34.,0.035)); #475569=CARTESIAN_POINT('Origin',(31.5,27.75,-200.)); #475570=CARTESIAN_POINT('',(31.035,27.75,0.0349999999999895)); #475571=CARTESIAN_POINT('Origin',(31.5,27.75,0.0349999999999895)); #475572=CARTESIAN_POINT('',(31.035,27.75,0.0700000000000145)); #475573=CARTESIAN_POINT('',(31.035,27.75,-200.)); #475574=CARTESIAN_POINT('Origin',(31.5,27.75,0.0700000000000145)); #475575=CARTESIAN_POINT('Origin',(31.536844,27.,0.035)); #475576=CARTESIAN_POINT('',(31.536844,27.,0.035)); #475577=CARTESIAN_POINT('',(31.610181,27.007222,0.035)); #475578=CARTESIAN_POINT('',(31.536844,27.,0.035)); #475579=CARTESIAN_POINT('',(31.610181,27.007222,0.07)); #475580=CARTESIAN_POINT('',(31.610181,27.007222,0.035)); #475581=CARTESIAN_POINT('',(31.536844,27.,0.07)); #475582=CARTESIAN_POINT('',(31.536844,27.,0.07)); #475583=CARTESIAN_POINT('',(31.536844,27.,0.035)); #475584=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,0.035)); #475585=CARTESIAN_POINT('',(31.389819,28.492778,0.035)); #475586=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,0.035)); #475587=CARTESIAN_POINT('',(31.389819,28.492778,0.07)); #475588=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,0.07)); #475589=CARTESIAN_POINT('',(31.389819,28.492778,0.035)); #475590=CARTESIAN_POINT('Origin',(31.5,27.75,0.035)); #475591=CARTESIAN_POINT('Origin',(31.5,27.75,0.035)); #475592=CARTESIAN_POINT('Origin',(31.5,27.75,0.07)); #475593=CARTESIAN_POINT('Origin',(31.4983877860344,27.7486960162912,0.07)); #475594=CARTESIAN_POINT('Origin',(31.4983877860344,27.7486960162912,0.035)); #475595=CARTESIAN_POINT('Origin',(15.75,34.,-200.)); #475596=CARTESIAN_POINT('',(15.285,34.,0.0349999999999895)); #475597=CARTESIAN_POINT('Origin',(15.75,34.,0.0349999999999895)); #475598=CARTESIAN_POINT('',(15.285,34.,0.0700000000000145)); #475599=CARTESIAN_POINT('',(15.285,34.,-200.)); #475600=CARTESIAN_POINT('Origin',(15.75,34.,0.0700000000000145)); #475601=CARTESIAN_POINT('Origin',(15.786844,33.25,0.035)); #475602=CARTESIAN_POINT('',(15.786844,33.25,0.035)); #475603=CARTESIAN_POINT('',(15.860181,33.257222,0.035)); #475604=CARTESIAN_POINT('',(15.786844,33.25,0.035)); #475605=CARTESIAN_POINT('',(15.860181,33.257222,0.07)); #475606=CARTESIAN_POINT('',(15.860181,33.257222,0.035)); #475607=CARTESIAN_POINT('',(15.786844,33.25,0.07)); #475608=CARTESIAN_POINT('',(15.786844,33.25,0.07)); #475609=CARTESIAN_POINT('',(15.786844,33.25,0.035)); #475610=CARTESIAN_POINT('Origin',(15.7500001874156,34.0000005308286,0.035)); #475611=CARTESIAN_POINT('',(15.639819,34.742778,0.035)); #475612=CARTESIAN_POINT('Origin',(15.7500001874156,34.0000005308286,0.035)); #475613=CARTESIAN_POINT('',(15.639819,34.742778,0.07)); #475614=CARTESIAN_POINT('Origin',(15.7500001874156,34.0000005308286,0.07)); #475615=CARTESIAN_POINT('',(15.639819,34.742778,0.035)); #475616=CARTESIAN_POINT('Origin',(15.75,34.,0.035)); #475617=CARTESIAN_POINT('Origin',(15.75,34.,0.035)); #475618=CARTESIAN_POINT('Origin',(15.75,34.,0.07)); #475619=CARTESIAN_POINT('Origin',(15.7483877860344,33.9986960162912,0.07)); #475620=CARTESIAN_POINT('Origin',(15.7483877860344,33.9986960162912,0.035)); #475621=CARTESIAN_POINT('Origin',(37.81,45.,-200.)); #475622=CARTESIAN_POINT('',(37.245,45.,0.0349999999999895)); #475623=CARTESIAN_POINT('Origin',(37.81,45.,0.0349999999999895)); #475624=CARTESIAN_POINT('',(37.245,45.,0.0700000000000145)); #475625=CARTESIAN_POINT('',(37.245,45.,-200.)); #475626=CARTESIAN_POINT('Origin',(37.81,45.,0.0700000000000145)); #475627=CARTESIAN_POINT('Origin',(37.849294,44.1,0.035)); #475628=CARTESIAN_POINT('',(37.849294,44.1,0.035)); #475629=CARTESIAN_POINT('',(37.927584,44.10685,0.035)); #475630=CARTESIAN_POINT('',(37.849294,44.1,0.035)); #475631=CARTESIAN_POINT('',(37.927584,44.10685,0.07)); #475632=CARTESIAN_POINT('',(37.927584,44.10685,0.035)); #475633=CARTESIAN_POINT('',(37.849294,44.1,0.07)); #475634=CARTESIAN_POINT('',(37.849294,44.1,0.07)); #475635=CARTESIAN_POINT('',(37.849294,44.1,0.035)); #475636=CARTESIAN_POINT('Origin',(37.810000340126,44.9999997233462,0.035)); #475637=CARTESIAN_POINT('',(37.692416,45.89315,0.035)); #475638=CARTESIAN_POINT('Origin',(37.810000340126,44.9999997233462,0.035)); #475639=CARTESIAN_POINT('',(37.692416,45.89315,0.07)); #475640=CARTESIAN_POINT('Origin',(37.810000340126,44.9999997233462,0.07)); #475641=CARTESIAN_POINT('',(37.692416,45.89315,0.035)); #475642=CARTESIAN_POINT('Origin',(37.81,45.,0.035)); #475643=CARTESIAN_POINT('Origin',(37.81,45.,0.035)); #475644=CARTESIAN_POINT('Origin',(37.81,45.,0.07)); #475645=CARTESIAN_POINT('Origin',(37.8082590237178,44.9986240251875,0.07)); #475646=CARTESIAN_POINT('Origin',(37.8082590237178,44.9986240251875,0.035)); #475647=CARTESIAN_POINT('Origin',(55.75,34.,-200.)); #475648=CARTESIAN_POINT('',(55.285,34.,0.0349999999999895)); #475649=CARTESIAN_POINT('Origin',(55.75,34.,0.0349999999999895)); #475650=CARTESIAN_POINT('',(55.285,34.,0.0700000000000145)); #475651=CARTESIAN_POINT('',(55.285,34.,-200.)); #475652=CARTESIAN_POINT('Origin',(55.75,34.,0.0700000000000145)); #475653=CARTESIAN_POINT('Origin',(55.786844,33.25,0.035)); #475654=CARTESIAN_POINT('',(55.786844,33.25,0.035)); #475655=CARTESIAN_POINT('',(55.860181,33.257222,0.035)); #475656=CARTESIAN_POINT('',(55.786844,33.25,0.035)); #475657=CARTESIAN_POINT('',(55.860181,33.257222,0.07)); #475658=CARTESIAN_POINT('',(55.860181,33.257222,0.035)); #475659=CARTESIAN_POINT('',(55.786844,33.25,0.07)); #475660=CARTESIAN_POINT('',(55.786844,33.25,0.07)); #475661=CARTESIAN_POINT('',(55.786844,33.25,0.035)); #475662=CARTESIAN_POINT('Origin',(55.7500001874156,34.0000005308286,0.035)); #475663=CARTESIAN_POINT('',(55.639819,34.742778,0.035)); #475664=CARTESIAN_POINT('Origin',(55.7500001874156,34.0000005308286,0.035)); #475665=CARTESIAN_POINT('',(55.639819,34.742778,0.07)); #475666=CARTESIAN_POINT('Origin',(55.7500001874156,34.0000005308286,0.07)); #475667=CARTESIAN_POINT('',(55.639819,34.742778,0.035)); #475668=CARTESIAN_POINT('Origin',(55.75,34.,0.035)); #475669=CARTESIAN_POINT('Origin',(55.75,34.,0.035)); #475670=CARTESIAN_POINT('Origin',(55.75,34.,0.07)); #475671=CARTESIAN_POINT('Origin',(55.7483877860344,33.9986960162912,0.07)); #475672=CARTESIAN_POINT('Origin',(55.7483877860344,33.9986960162912,0.035)); #475673=CARTESIAN_POINT('Origin',(38.635,2.09999997467882,-200.)); #475674=CARTESIAN_POINT('',(38.635,2.89999999155961,0.0700000000000145)); #475675=CARTESIAN_POINT('',(38.635,2.09999997467882,0.0700000000000145)); #475676=CARTESIAN_POINT('',(38.635,2.29999998733941,0.0699999999999967)); #475677=CARTESIAN_POINT('',(38.635,2.89999999155961,0.0349999999999895)); #475678=CARTESIAN_POINT('',(38.635,2.89999999155961,-200.)); #475679=CARTESIAN_POINT('',(38.635,2.09999997467882,0.0349999999999895)); #475680=CARTESIAN_POINT('',(38.635,2.29999998733941,0.0350000000000072)); #475681=CARTESIAN_POINT('',(38.635,2.09999997467882,-200.)); #475682=CARTESIAN_POINT('Origin',(38.32,2.1,-200.)); #475683=CARTESIAN_POINT('',(38.005,2.10000000844039,0.0700000000000145)); #475684=CARTESIAN_POINT('Origin',(38.32,2.1,0.0700000000000145)); #475685=CARTESIAN_POINT('',(38.005,2.10000000844039,0.0349999999999895)); #475686=CARTESIAN_POINT('Origin',(38.32,2.1,0.0349999999999895)); #475687=CARTESIAN_POINT('',(38.005,2.10000000844039,-200.)); #475688=CARTESIAN_POINT('Origin',(38.005,2.90000002532118,-200.)); #475689=CARTESIAN_POINT('',(38.005,2.90000002532118,0.0700000000000145)); #475690=CARTESIAN_POINT('',(38.005,2.70000001266059,0.0699999999999967)); #475691=CARTESIAN_POINT('',(38.005,2.90000002532118,0.0349999999999895)); #475692=CARTESIAN_POINT('',(38.005,2.70000001266059,0.0350000000000072)); #475693=CARTESIAN_POINT('',(38.005,2.90000002532118,-200.)); #475694=CARTESIAN_POINT('Origin',(38.32,2.9,-200.)); #475695=CARTESIAN_POINT('Origin',(38.32,2.9,0.0700000000000145)); #475696=CARTESIAN_POINT('Origin',(38.32,2.9,0.0349999999999895)); #475697=CARTESIAN_POINT('Origin',(38.350887,1.55,0.035)); #475698=CARTESIAN_POINT('',(38.350887,1.55,0.035)); #475699=CARTESIAN_POINT('',(38.412275,1.5569156,0.035)); #475700=CARTESIAN_POINT('',(38.350887,1.55,0.035)); #475701=CARTESIAN_POINT('',(38.412275,1.5569156,0.07)); #475702=CARTESIAN_POINT('',(38.412275,1.5569156,0.035)); #475703=CARTESIAN_POINT('',(38.350887,1.55,0.07)); #475704=CARTESIAN_POINT('',(38.350887,1.55,0.07)); #475705=CARTESIAN_POINT('',(38.350887,1.55,0.035)); #475706=CARTESIAN_POINT('Origin',(38.289113,1.55,0.035)); #475707=CARTESIAN_POINT('',(38.289113,1.55,0.035)); #475708=CARTESIAN_POINT('',(38.289113,1.55,0.035)); #475709=CARTESIAN_POINT('',(38.289113,1.55,0.07)); #475710=CARTESIAN_POINT('',(38.289113,1.55,0.07)); #475711=CARTESIAN_POINT('',(38.289113,1.55,0.035)); #475712=CARTESIAN_POINT('Origin',(38.227725,1.5569156,0.035)); #475713=CARTESIAN_POINT('',(38.227725,1.5569156,0.035)); #475714=CARTESIAN_POINT('',(38.227725,1.5569156,0.035)); #475715=CARTESIAN_POINT('',(38.227725,1.5569156,0.07)); #475716=CARTESIAN_POINT('',(38.227725,1.5569156,0.07)); #475717=CARTESIAN_POINT('',(38.227725,1.5569156,0.035)); #475718=CARTESIAN_POINT('Origin',(38.1675,1.5706625,0.035)); #475719=CARTESIAN_POINT('',(38.1675,1.5706625,0.035)); #475720=CARTESIAN_POINT('',(38.1675,1.5706625,0.035)); #475721=CARTESIAN_POINT('',(38.1675,1.5706625,0.07)); #475722=CARTESIAN_POINT('',(38.1675,1.5706625,0.07)); #475723=CARTESIAN_POINT('',(38.1675,1.5706625,0.035)); #475724=CARTESIAN_POINT('Origin',(38.109194,1.5910656,0.035)); #475725=CARTESIAN_POINT('',(38.109194,1.5910656,0.035)); #475726=CARTESIAN_POINT('',(38.109194,1.5910656,0.035)); #475727=CARTESIAN_POINT('',(38.109194,1.5910656,0.07)); #475728=CARTESIAN_POINT('',(38.109194,1.5910656,0.07)); #475729=CARTESIAN_POINT('',(38.109194,1.5910656,0.035)); #475730=CARTESIAN_POINT('Origin',(38.053534,1.6178687,0.035)); #475731=CARTESIAN_POINT('',(38.053534,1.6178687,0.035)); #475732=CARTESIAN_POINT('',(38.053534,1.6178687,0.035)); #475733=CARTESIAN_POINT('',(38.053534,1.6178687,0.07)); #475734=CARTESIAN_POINT('',(38.053534,1.6178687,0.07)); #475735=CARTESIAN_POINT('',(38.053534,1.6178687,0.035)); #475736=CARTESIAN_POINT('Origin',(38.001228,1.6507344,0.035)); #475737=CARTESIAN_POINT('',(38.001228,1.6507344,0.035)); #475738=CARTESIAN_POINT('',(38.001228,1.6507344,0.035)); #475739=CARTESIAN_POINT('',(38.001228,1.6507344,0.07)); #475740=CARTESIAN_POINT('',(38.001228,1.6507344,0.07)); #475741=CARTESIAN_POINT('',(38.001228,1.6507344,0.035)); #475742=CARTESIAN_POINT('Origin',(37.952931,1.68925,0.035)); #475743=CARTESIAN_POINT('',(37.952931,1.68925,0.035)); #475744=CARTESIAN_POINT('',(37.952931,1.68925,0.035)); #475745=CARTESIAN_POINT('',(37.952931,1.68925,0.07)); #475746=CARTESIAN_POINT('',(37.952931,1.68925,0.07)); #475747=CARTESIAN_POINT('',(37.952931,1.68925,0.035)); #475748=CARTESIAN_POINT('Origin',(37.90925,1.7329313,0.035)); #475749=CARTESIAN_POINT('',(37.90925,1.7329313,0.035)); #475750=CARTESIAN_POINT('',(37.90925,1.7329313,0.035)); #475751=CARTESIAN_POINT('',(37.90925,1.7329313,0.07)); #475752=CARTESIAN_POINT('',(37.90925,1.7329313,0.07)); #475753=CARTESIAN_POINT('',(37.90925,1.7329313,0.035)); #475754=CARTESIAN_POINT('Origin',(37.870734,1.7812281,0.035)); #475755=CARTESIAN_POINT('',(37.870734,1.7812281,0.035)); #475756=CARTESIAN_POINT('',(37.870734,1.7812281,0.035)); #475757=CARTESIAN_POINT('',(37.870734,1.7812281,0.07)); #475758=CARTESIAN_POINT('',(37.870734,1.7812281,0.07)); #475759=CARTESIAN_POINT('',(37.870734,1.7812281,0.035)); #475760=CARTESIAN_POINT('Origin',(37.837869,1.8335344,0.035)); #475761=CARTESIAN_POINT('',(37.837869,1.8335344,0.035)); #475762=CARTESIAN_POINT('',(37.837869,1.8335344,0.035)); #475763=CARTESIAN_POINT('',(37.837869,1.8335344,0.07)); #475764=CARTESIAN_POINT('',(37.837869,1.8335344,0.07)); #475765=CARTESIAN_POINT('',(37.837869,1.8335344,0.035)); #475766=CARTESIAN_POINT('Origin',(37.811066,1.8891938,0.035)); #475767=CARTESIAN_POINT('',(37.811066,1.8891938,0.035)); #475768=CARTESIAN_POINT('',(37.811066,1.8891938,0.035)); #475769=CARTESIAN_POINT('',(37.811066,1.8891938,0.07)); #475770=CARTESIAN_POINT('',(37.811066,1.8891938,0.07)); #475771=CARTESIAN_POINT('',(37.811066,1.8891938,0.035)); #475772=CARTESIAN_POINT('Origin',(37.790663,1.9475,0.035)); #475773=CARTESIAN_POINT('',(37.790663,1.9475,0.035)); #475774=CARTESIAN_POINT('',(37.790663,1.9475,0.035)); #475775=CARTESIAN_POINT('',(37.790663,1.9475,0.07)); #475776=CARTESIAN_POINT('',(37.790663,1.9475,0.07)); #475777=CARTESIAN_POINT('',(37.790663,1.9475,0.035)); #475778=CARTESIAN_POINT('Origin',(37.776916,2.007725,0.035)); #475779=CARTESIAN_POINT('',(37.776916,2.007725,0.035)); #475780=CARTESIAN_POINT('',(37.776916,2.007725,0.035)); #475781=CARTESIAN_POINT('',(37.776916,2.007725,0.07)); #475782=CARTESIAN_POINT('',(37.776916,2.007725,0.07)); #475783=CARTESIAN_POINT('',(37.776916,2.007725,0.035)); #475784=CARTESIAN_POINT('Origin',(37.77,2.0691125,0.035)); #475785=CARTESIAN_POINT('',(37.77,2.0691125,0.035)); #475786=CARTESIAN_POINT('',(37.77,2.0691125,0.035)); #475787=CARTESIAN_POINT('',(37.77,2.0691125,0.07)); #475788=CARTESIAN_POINT('',(37.77,2.0691125,0.07)); #475789=CARTESIAN_POINT('',(37.77,2.0691125,0.035)); #475790=CARTESIAN_POINT('Origin',(37.77,2.9308875,0.035)); #475791=CARTESIAN_POINT('',(37.77,2.9308875,0.035)); #475792=CARTESIAN_POINT('',(37.77,2.9308875,0.035)); #475793=CARTESIAN_POINT('',(37.77,2.9308875,0.07)); #475794=CARTESIAN_POINT('',(37.77,2.9308875,0.07)); #475795=CARTESIAN_POINT('',(37.77,2.9308875,0.035)); #475796=CARTESIAN_POINT('Origin',(37.776916,2.992275,0.035)); #475797=CARTESIAN_POINT('',(37.776916,2.992275,0.035)); #475798=CARTESIAN_POINT('',(37.776916,2.992275,0.035)); #475799=CARTESIAN_POINT('',(37.776916,2.992275,0.07)); #475800=CARTESIAN_POINT('',(37.776916,2.992275,0.07)); #475801=CARTESIAN_POINT('',(37.776916,2.992275,0.035)); #475802=CARTESIAN_POINT('Origin',(37.790663,3.0525,0.035)); #475803=CARTESIAN_POINT('',(37.790663,3.0525,0.035)); #475804=CARTESIAN_POINT('',(37.790663,3.0525,0.035)); #475805=CARTESIAN_POINT('',(37.790663,3.0525,0.07)); #475806=CARTESIAN_POINT('',(37.790663,3.0525,0.07)); #475807=CARTESIAN_POINT('',(37.790663,3.0525,0.035)); #475808=CARTESIAN_POINT('Origin',(37.811066,3.1108062,0.035)); #475809=CARTESIAN_POINT('',(37.811066,3.1108062,0.035)); #475810=CARTESIAN_POINT('',(37.811066,3.1108062,0.035)); #475811=CARTESIAN_POINT('',(37.811066,3.1108062,0.07)); #475812=CARTESIAN_POINT('',(37.811066,3.1108062,0.07)); #475813=CARTESIAN_POINT('',(37.811066,3.1108062,0.035)); #475814=CARTESIAN_POINT('Origin',(37.837869,3.1664656,0.035)); #475815=CARTESIAN_POINT('',(37.837869,3.1664656,0.035)); #475816=CARTESIAN_POINT('',(37.837869,3.1664656,0.035)); #475817=CARTESIAN_POINT('',(37.837869,3.1664656,0.07)); #475818=CARTESIAN_POINT('',(37.837869,3.1664656,0.07)); #475819=CARTESIAN_POINT('',(37.837869,3.1664656,0.035)); #475820=CARTESIAN_POINT('Origin',(37.870734,3.2187719,0.035)); #475821=CARTESIAN_POINT('',(37.870734,3.2187719,0.035)); #475822=CARTESIAN_POINT('',(37.870734,3.2187719,0.035)); #475823=CARTESIAN_POINT('',(37.870734,3.2187719,0.07)); #475824=CARTESIAN_POINT('',(37.870734,3.2187719,0.07)); #475825=CARTESIAN_POINT('',(37.870734,3.2187719,0.035)); #475826=CARTESIAN_POINT('Origin',(37.90925,3.2670687,0.035)); #475827=CARTESIAN_POINT('',(37.90925,3.2670687,0.035)); #475828=CARTESIAN_POINT('',(37.90925,3.2670687,0.035)); #475829=CARTESIAN_POINT('',(37.90925,3.2670687,0.07)); #475830=CARTESIAN_POINT('',(37.90925,3.2670687,0.07)); #475831=CARTESIAN_POINT('',(37.90925,3.2670687,0.035)); #475832=CARTESIAN_POINT('Origin',(37.952931,3.31075,0.035)); #475833=CARTESIAN_POINT('',(37.952931,3.31075,0.035)); #475834=CARTESIAN_POINT('',(37.952931,3.31075,0.035)); #475835=CARTESIAN_POINT('',(37.952931,3.31075,0.07)); #475836=CARTESIAN_POINT('',(37.952931,3.31075,0.07)); #475837=CARTESIAN_POINT('',(37.952931,3.31075,0.035)); #475838=CARTESIAN_POINT('Origin',(38.001228,3.3492656,0.035)); #475839=CARTESIAN_POINT('',(38.001228,3.3492656,0.035)); #475840=CARTESIAN_POINT('',(38.001228,3.3492656,0.035)); #475841=CARTESIAN_POINT('',(38.001228,3.3492656,0.07)); #475842=CARTESIAN_POINT('',(38.001228,3.3492656,0.07)); #475843=CARTESIAN_POINT('',(38.001228,3.3492656,0.035)); #475844=CARTESIAN_POINT('Origin',(38.053534,3.3821313,0.035)); #475845=CARTESIAN_POINT('',(38.053534,3.3821313,0.035)); #475846=CARTESIAN_POINT('',(38.053534,3.3821313,0.035)); #475847=CARTESIAN_POINT('',(38.053534,3.3821313,0.07)); #475848=CARTESIAN_POINT('',(38.053534,3.3821313,0.07)); #475849=CARTESIAN_POINT('',(38.053534,3.3821313,0.035)); #475850=CARTESIAN_POINT('Origin',(38.109194,3.4089344,0.035)); #475851=CARTESIAN_POINT('',(38.109194,3.4089344,0.035)); #475852=CARTESIAN_POINT('',(38.109194,3.4089344,0.035)); #475853=CARTESIAN_POINT('',(38.109194,3.4089344,0.07)); #475854=CARTESIAN_POINT('',(38.109194,3.4089344,0.07)); #475855=CARTESIAN_POINT('',(38.109194,3.4089344,0.035)); #475856=CARTESIAN_POINT('Origin',(38.1675,3.4293375,0.035)); #475857=CARTESIAN_POINT('',(38.1675,3.4293375,0.035)); #475858=CARTESIAN_POINT('',(38.1675,3.4293375,0.035)); #475859=CARTESIAN_POINT('',(38.1675,3.4293375,0.07)); #475860=CARTESIAN_POINT('',(38.1675,3.4293375,0.07)); #475861=CARTESIAN_POINT('',(38.1675,3.4293375,0.035)); #475862=CARTESIAN_POINT('Origin',(38.227725,3.4430844,0.035)); #475863=CARTESIAN_POINT('',(38.227725,3.4430844,0.035)); #475864=CARTESIAN_POINT('',(38.227725,3.4430844,0.035)); #475865=CARTESIAN_POINT('',(38.227725,3.4430844,0.07)); #475866=CARTESIAN_POINT('',(38.227725,3.4430844,0.07)); #475867=CARTESIAN_POINT('',(38.227725,3.4430844,0.035)); #475868=CARTESIAN_POINT('Origin',(38.289113,3.45,0.035)); #475869=CARTESIAN_POINT('',(38.289113,3.45,0.035)); #475870=CARTESIAN_POINT('',(38.289113,3.45,0.035)); #475871=CARTESIAN_POINT('',(38.289113,3.45,0.07)); #475872=CARTESIAN_POINT('',(38.289113,3.45,0.07)); #475873=CARTESIAN_POINT('',(38.289113,3.45,0.035)); #475874=CARTESIAN_POINT('Origin',(38.350887,3.45,0.035)); #475875=CARTESIAN_POINT('',(38.350887,3.45,0.035)); #475876=CARTESIAN_POINT('',(38.350887,3.45,0.035)); #475877=CARTESIAN_POINT('',(38.350887,3.45,0.07)); #475878=CARTESIAN_POINT('',(38.350887,3.45,0.07)); #475879=CARTESIAN_POINT('',(38.350887,3.45,0.035)); #475880=CARTESIAN_POINT('Origin',(38.412275,3.4430844,0.035)); #475881=CARTESIAN_POINT('',(38.412275,3.4430844,0.035)); #475882=CARTESIAN_POINT('',(38.412275,3.4430844,0.035)); #475883=CARTESIAN_POINT('',(38.412275,3.4430844,0.07)); #475884=CARTESIAN_POINT('',(38.412275,3.4430844,0.07)); #475885=CARTESIAN_POINT('',(38.412275,3.4430844,0.035)); #475886=CARTESIAN_POINT('Origin',(38.4725,3.4293375,0.035)); #475887=CARTESIAN_POINT('',(38.4725,3.4293375,0.035)); #475888=CARTESIAN_POINT('',(38.4725,3.4293375,0.035)); #475889=CARTESIAN_POINT('',(38.4725,3.4293375,0.07)); #475890=CARTESIAN_POINT('',(38.4725,3.4293375,0.07)); #475891=CARTESIAN_POINT('',(38.4725,3.4293375,0.035)); #475892=CARTESIAN_POINT('Origin',(38.530806,3.4089344,0.035)); #475893=CARTESIAN_POINT('',(38.530806,3.4089344,0.035)); #475894=CARTESIAN_POINT('',(38.530806,3.4089344,0.035)); #475895=CARTESIAN_POINT('',(38.530806,3.4089344,0.07)); #475896=CARTESIAN_POINT('',(38.530806,3.4089344,0.07)); #475897=CARTESIAN_POINT('',(38.530806,3.4089344,0.035)); #475898=CARTESIAN_POINT('Origin',(38.586466,3.3821313,0.035)); #475899=CARTESIAN_POINT('',(38.586466,3.3821313,0.035)); #475900=CARTESIAN_POINT('',(38.586466,3.3821313,0.035)); #475901=CARTESIAN_POINT('',(38.586466,3.3821313,0.07)); #475902=CARTESIAN_POINT('',(38.586466,3.3821313,0.07)); #475903=CARTESIAN_POINT('',(38.586466,3.3821313,0.035)); #475904=CARTESIAN_POINT('Origin',(38.638772,3.3492656,0.035)); #475905=CARTESIAN_POINT('',(38.638772,3.3492656,0.035)); #475906=CARTESIAN_POINT('',(38.638772,3.3492656,0.035)); #475907=CARTESIAN_POINT('',(38.638772,3.3492656,0.07)); #475908=CARTESIAN_POINT('',(38.638772,3.3492656,0.07)); #475909=CARTESIAN_POINT('',(38.638772,3.3492656,0.035)); #475910=CARTESIAN_POINT('Origin',(38.687069,3.31075,0.035)); #475911=CARTESIAN_POINT('',(38.687069,3.31075,0.035)); #475912=CARTESIAN_POINT('',(38.687069,3.31075,0.035)); #475913=CARTESIAN_POINT('',(38.687069,3.31075,0.07)); #475914=CARTESIAN_POINT('',(38.687069,3.31075,0.07)); #475915=CARTESIAN_POINT('',(38.687069,3.31075,0.035)); #475916=CARTESIAN_POINT('Origin',(38.73075,3.2670687,0.035)); #475917=CARTESIAN_POINT('',(38.73075,3.2670687,0.035)); #475918=CARTESIAN_POINT('',(38.73075,3.2670687,0.035)); #475919=CARTESIAN_POINT('',(38.73075,3.2670687,0.07)); #475920=CARTESIAN_POINT('',(38.73075,3.2670687,0.07)); #475921=CARTESIAN_POINT('',(38.73075,3.2670687,0.035)); #475922=CARTESIAN_POINT('Origin',(38.769266,3.2187719,0.035)); #475923=CARTESIAN_POINT('',(38.769266,3.2187719,0.035)); #475924=CARTESIAN_POINT('',(38.769266,3.2187719,0.035)); #475925=CARTESIAN_POINT('',(38.769266,3.2187719,0.07)); #475926=CARTESIAN_POINT('',(38.769266,3.2187719,0.07)); #475927=CARTESIAN_POINT('',(38.769266,3.2187719,0.035)); #475928=CARTESIAN_POINT('Origin',(38.802131,3.1664656,0.035)); #475929=CARTESIAN_POINT('',(38.802131,3.1664656,0.035)); #475930=CARTESIAN_POINT('',(38.802131,3.1664656,0.035)); #475931=CARTESIAN_POINT('',(38.802131,3.1664656,0.07)); #475932=CARTESIAN_POINT('',(38.802131,3.1664656,0.07)); #475933=CARTESIAN_POINT('',(38.802131,3.1664656,0.035)); #475934=CARTESIAN_POINT('Origin',(38.828934,3.1108062,0.035)); #475935=CARTESIAN_POINT('',(38.828934,3.1108062,0.035)); #475936=CARTESIAN_POINT('',(38.828934,3.1108062,0.035)); #475937=CARTESIAN_POINT('',(38.828934,3.1108062,0.07)); #475938=CARTESIAN_POINT('',(38.828934,3.1108062,0.07)); #475939=CARTESIAN_POINT('',(38.828934,3.1108062,0.035)); #475940=CARTESIAN_POINT('Origin',(38.849338,3.0525,0.035)); #475941=CARTESIAN_POINT('',(38.849338,3.0525,0.035)); #475942=CARTESIAN_POINT('',(38.849338,3.0525,0.035)); #475943=CARTESIAN_POINT('',(38.849338,3.0525,0.07)); #475944=CARTESIAN_POINT('',(38.849338,3.0525,0.07)); #475945=CARTESIAN_POINT('',(38.849338,3.0525,0.035)); #475946=CARTESIAN_POINT('Origin',(38.863084,2.992275,0.035)); #475947=CARTESIAN_POINT('',(38.863084,2.992275,0.035)); #475948=CARTESIAN_POINT('',(38.863084,2.992275,0.035)); #475949=CARTESIAN_POINT('',(38.863084,2.992275,0.07)); #475950=CARTESIAN_POINT('',(38.863084,2.992275,0.07)); #475951=CARTESIAN_POINT('',(38.863084,2.992275,0.035)); #475952=CARTESIAN_POINT('Origin',(38.87,2.9308875,0.035)); #475953=CARTESIAN_POINT('',(38.87,2.9308875,0.035)); #475954=CARTESIAN_POINT('',(38.87,2.9308875,0.035)); #475955=CARTESIAN_POINT('',(38.87,2.9308875,0.07)); #475956=CARTESIAN_POINT('',(38.87,2.9308875,0.07)); #475957=CARTESIAN_POINT('',(38.87,2.9308875,0.035)); #475958=CARTESIAN_POINT('Origin',(38.87,2.0691125,0.035)); #475959=CARTESIAN_POINT('',(38.87,2.0691125,0.035)); #475960=CARTESIAN_POINT('',(38.87,2.0691125,0.035)); #475961=CARTESIAN_POINT('',(38.87,2.0691125,0.07)); #475962=CARTESIAN_POINT('',(38.87,2.0691125,0.07)); #475963=CARTESIAN_POINT('',(38.87,2.0691125,0.035)); #475964=CARTESIAN_POINT('Origin',(38.863084,2.007725,0.035)); #475965=CARTESIAN_POINT('',(38.863084,2.007725,0.035)); #475966=CARTESIAN_POINT('',(38.863084,2.007725,0.035)); #475967=CARTESIAN_POINT('',(38.863084,2.007725,0.07)); #475968=CARTESIAN_POINT('',(38.863084,2.007725,0.07)); #475969=CARTESIAN_POINT('',(38.863084,2.007725,0.035)); #475970=CARTESIAN_POINT('Origin',(38.849338,1.9475,0.035)); #475971=CARTESIAN_POINT('',(38.849338,1.9475,0.035)); #475972=CARTESIAN_POINT('',(38.849338,1.9475,0.035)); #475973=CARTESIAN_POINT('',(38.849338,1.9475,0.07)); #475974=CARTESIAN_POINT('',(38.849338,1.9475,0.07)); #475975=CARTESIAN_POINT('',(38.849338,1.9475,0.035)); #475976=CARTESIAN_POINT('Origin',(38.828934,1.8891938,0.035)); #475977=CARTESIAN_POINT('',(38.828934,1.8891938,0.035)); #475978=CARTESIAN_POINT('',(38.828934,1.8891938,0.035)); #475979=CARTESIAN_POINT('',(38.828934,1.8891938,0.07)); #475980=CARTESIAN_POINT('',(38.828934,1.8891938,0.07)); #475981=CARTESIAN_POINT('',(38.828934,1.8891938,0.035)); #475982=CARTESIAN_POINT('Origin',(38.802131,1.8335344,0.035)); #475983=CARTESIAN_POINT('',(38.802131,1.8335344,0.035)); #475984=CARTESIAN_POINT('',(38.802131,1.8335344,0.035)); #475985=CARTESIAN_POINT('',(38.802131,1.8335344,0.07)); #475986=CARTESIAN_POINT('',(38.802131,1.8335344,0.07)); #475987=CARTESIAN_POINT('',(38.802131,1.8335344,0.035)); #475988=CARTESIAN_POINT('Origin',(38.769266,1.7812281,0.035)); #475989=CARTESIAN_POINT('',(38.769266,1.7812281,0.035)); #475990=CARTESIAN_POINT('',(38.769266,1.7812281,0.035)); #475991=CARTESIAN_POINT('',(38.769266,1.7812281,0.07)); #475992=CARTESIAN_POINT('',(38.769266,1.7812281,0.07)); #475993=CARTESIAN_POINT('',(38.769266,1.7812281,0.035)); #475994=CARTESIAN_POINT('Origin',(38.73075,1.7329313,0.035)); #475995=CARTESIAN_POINT('',(38.73075,1.7329313,0.035)); #475996=CARTESIAN_POINT('',(38.73075,1.7329313,0.035)); #475997=CARTESIAN_POINT('',(38.73075,1.7329313,0.07)); #475998=CARTESIAN_POINT('',(38.73075,1.7329313,0.07)); #475999=CARTESIAN_POINT('',(38.73075,1.7329313,0.035)); #476000=CARTESIAN_POINT('Origin',(38.687069,1.68925,0.035)); #476001=CARTESIAN_POINT('',(38.687069,1.68925,0.035)); #476002=CARTESIAN_POINT('',(38.687069,1.68925,0.035)); #476003=CARTESIAN_POINT('',(38.687069,1.68925,0.07)); #476004=CARTESIAN_POINT('',(38.687069,1.68925,0.07)); #476005=CARTESIAN_POINT('',(38.687069,1.68925,0.035)); #476006=CARTESIAN_POINT('Origin',(38.638772,1.6507344,0.035)); #476007=CARTESIAN_POINT('',(38.638772,1.6507344,0.035)); #476008=CARTESIAN_POINT('',(38.638772,1.6507344,0.035)); #476009=CARTESIAN_POINT('',(38.638772,1.6507344,0.07)); #476010=CARTESIAN_POINT('',(38.638772,1.6507344,0.07)); #476011=CARTESIAN_POINT('',(38.638772,1.6507344,0.035)); #476012=CARTESIAN_POINT('Origin',(38.586466,1.6178687,0.035)); #476013=CARTESIAN_POINT('',(38.586466,1.6178687,0.035)); #476014=CARTESIAN_POINT('',(38.586466,1.6178687,0.035)); #476015=CARTESIAN_POINT('',(38.586466,1.6178687,0.07)); #476016=CARTESIAN_POINT('',(38.586466,1.6178687,0.07)); #476017=CARTESIAN_POINT('',(38.586466,1.6178687,0.035)); #476018=CARTESIAN_POINT('Origin',(38.530806,1.5910656,0.035)); #476019=CARTESIAN_POINT('',(38.530806,1.5910656,0.035)); #476020=CARTESIAN_POINT('',(38.530806,1.5910656,0.035)); #476021=CARTESIAN_POINT('',(38.530806,1.5910656,0.07)); #476022=CARTESIAN_POINT('',(38.530806,1.5910656,0.07)); #476023=CARTESIAN_POINT('',(38.530806,1.5910656,0.035)); #476024=CARTESIAN_POINT('Origin',(38.4725,1.5706625,0.035)); #476025=CARTESIAN_POINT('',(38.4725,1.5706625,0.035)); #476026=CARTESIAN_POINT('',(38.4725,1.5706625,0.035)); #476027=CARTESIAN_POINT('',(38.4725,1.5706625,0.07)); #476028=CARTESIAN_POINT('',(38.4725,1.5706625,0.07)); #476029=CARTESIAN_POINT('',(38.4725,1.5706625,0.035)); #476030=CARTESIAN_POINT('Origin',(38.412275,1.5569156,0.035)); #476031=CARTESIAN_POINT('',(38.412275,1.5569156,0.035)); #476032=CARTESIAN_POINT('',(38.412275,1.5569156,0.07)); #476033=CARTESIAN_POINT('Origin',(38.3200000450332,2.5,0.07)); #476034=CARTESIAN_POINT('Origin',(38.3200000450332,2.5,0.035)); #476035=CARTESIAN_POINT('Origin',(29.4500000454174,34.565,-200.)); #476036=CARTESIAN_POINT('',(28.8500000151391,34.565,0.0700000000000145)); #476037=CARTESIAN_POINT('',(29.4500000454174,34.565,0.0700000000000145)); #476038=CARTESIAN_POINT('',(29.3000000471749,34.565,0.0699999999999967)); #476039=CARTESIAN_POINT('',(28.8500000151391,34.565,0.0349999999999895)); #476040=CARTESIAN_POINT('',(28.8500000151391,34.565,-200.)); #476041=CARTESIAN_POINT('',(29.4500000454174,34.565,0.0349999999999895)); #476042=CARTESIAN_POINT('',(29.3000000471749,34.565,0.0350000000000072)); #476043=CARTESIAN_POINT('',(29.4500000454174,34.565,-200.)); #476044=CARTESIAN_POINT('Origin',(29.45,34.,-200.)); #476045=CARTESIAN_POINT('',(29.4499999848609,33.435,0.0700000000000145)); #476046=CARTESIAN_POINT('Origin',(29.45,34.,0.0700000000000145)); #476047=CARTESIAN_POINT('',(29.4499999848609,33.435,0.0349999999999895)); #476048=CARTESIAN_POINT('Origin',(29.45,34.,0.0349999999999895)); #476049=CARTESIAN_POINT('',(29.4499999848609,33.435,-200.)); #476050=CARTESIAN_POINT('Origin',(28.8499999545827,33.435,-200.)); #476051=CARTESIAN_POINT('',(28.8499999545827,33.435,0.0700000000000145)); #476052=CARTESIAN_POINT('',(29.0000000017575,33.435,0.0699999999999967)); #476053=CARTESIAN_POINT('',(28.8499999545827,33.435,0.0349999999999895)); #476054=CARTESIAN_POINT('',(29.0000000017575,33.435,0.0350000000000072)); #476055=CARTESIAN_POINT('',(28.8499999545827,33.435,-200.)); #476056=CARTESIAN_POINT('Origin',(28.85,34.,-200.)); #476057=CARTESIAN_POINT('Origin',(28.85,34.,0.0700000000000145)); #476058=CARTESIAN_POINT('Origin',(28.85,34.,0.0349999999999895)); #476059=CARTESIAN_POINT('Origin',(29.489294,33.1,0.035)); #476060=CARTESIAN_POINT('',(29.489294,33.1,0.035)); #476061=CARTESIAN_POINT('',(29.567584,33.10685,0.035)); #476062=CARTESIAN_POINT('',(29.489294,33.1,0.035)); #476063=CARTESIAN_POINT('',(29.567584,33.10685,0.07)); #476064=CARTESIAN_POINT('',(29.567584,33.10685,0.035)); #476065=CARTESIAN_POINT('',(29.489294,33.1,0.07)); #476066=CARTESIAN_POINT('',(29.489294,33.1,0.07)); #476067=CARTESIAN_POINT('',(29.489294,33.1,0.035)); #476068=CARTESIAN_POINT('Origin',(28.810706,33.1,0.035)); #476069=CARTESIAN_POINT('',(28.810706,33.1,0.035)); #476070=CARTESIAN_POINT('',(28.810706,33.1,0.035)); #476071=CARTESIAN_POINT('',(28.810706,33.1,0.07)); #476072=CARTESIAN_POINT('',(28.810706,33.1,0.07)); #476073=CARTESIAN_POINT('',(28.810706,33.1,0.035)); #476074=CARTESIAN_POINT('Origin',(28.732416,33.10685,0.035)); #476075=CARTESIAN_POINT('',(28.732416,33.10685,0.035)); #476076=CARTESIAN_POINT('',(28.732416,33.10685,0.035)); #476077=CARTESIAN_POINT('',(28.732416,33.10685,0.07)); #476078=CARTESIAN_POINT('',(28.732416,33.10685,0.07)); #476079=CARTESIAN_POINT('',(28.732416,33.10685,0.035)); #476080=CARTESIAN_POINT('Origin',(28.655019,33.120497,0.035)); #476081=CARTESIAN_POINT('',(28.655019,33.120497,0.035)); #476082=CARTESIAN_POINT('',(28.655019,33.120497,0.035)); #476083=CARTESIAN_POINT('',(28.655019,33.120497,0.07)); #476084=CARTESIAN_POINT('',(28.655019,33.120497,0.07)); #476085=CARTESIAN_POINT('',(28.655019,33.120497,0.035)); #476086=CARTESIAN_POINT('Origin',(28.579106,33.140838,0.035)); #476087=CARTESIAN_POINT('',(28.579106,33.140838,0.035)); #476088=CARTESIAN_POINT('',(28.579106,33.140838,0.035)); #476089=CARTESIAN_POINT('',(28.579106,33.140838,0.07)); #476090=CARTESIAN_POINT('',(28.579106,33.140838,0.07)); #476091=CARTESIAN_POINT('',(28.579106,33.140838,0.035)); #476092=CARTESIAN_POINT('Origin',(28.505256,33.167716,0.035)); #476093=CARTESIAN_POINT('',(28.505256,33.167716,0.035)); #476094=CARTESIAN_POINT('',(28.505256,33.167716,0.035)); #476095=CARTESIAN_POINT('',(28.505256,33.167716,0.07)); #476096=CARTESIAN_POINT('',(28.505256,33.167716,0.07)); #476097=CARTESIAN_POINT('',(28.505256,33.167716,0.035)); #476098=CARTESIAN_POINT('Origin',(28.434031,33.200931,0.035)); #476099=CARTESIAN_POINT('',(28.434031,33.200931,0.035)); #476100=CARTESIAN_POINT('',(28.434031,33.200931,0.035)); #476101=CARTESIAN_POINT('',(28.434031,33.200931,0.07)); #476102=CARTESIAN_POINT('',(28.434031,33.200931,0.07)); #476103=CARTESIAN_POINT('',(28.434031,33.200931,0.035)); #476104=CARTESIAN_POINT('Origin',(28.365969,33.240225,0.035)); #476105=CARTESIAN_POINT('',(28.365969,33.240225,0.035)); #476106=CARTESIAN_POINT('',(28.365969,33.240225,0.035)); #476107=CARTESIAN_POINT('',(28.365969,33.240225,0.07)); #476108=CARTESIAN_POINT('',(28.365969,33.240225,0.07)); #476109=CARTESIAN_POINT('',(28.365969,33.240225,0.035)); #476110=CARTESIAN_POINT('Origin',(28.301594,33.285303,0.035)); #476111=CARTESIAN_POINT('',(28.301594,33.285303,0.035)); #476112=CARTESIAN_POINT('',(28.301594,33.285303,0.035)); #476113=CARTESIAN_POINT('',(28.301594,33.285303,0.07)); #476114=CARTESIAN_POINT('',(28.301594,33.285303,0.07)); #476115=CARTESIAN_POINT('',(28.301594,33.285303,0.035)); #476116=CARTESIAN_POINT('Origin',(28.241391,33.335819,0.035)); #476117=CARTESIAN_POINT('',(28.241391,33.335819,0.035)); #476118=CARTESIAN_POINT('',(28.241391,33.335819,0.035)); #476119=CARTESIAN_POINT('',(28.241391,33.335819,0.07)); #476120=CARTESIAN_POINT('',(28.241391,33.335819,0.07)); #476121=CARTESIAN_POINT('',(28.241391,33.335819,0.035)); #476122=CARTESIAN_POINT('Origin',(28.185819,33.391391,0.035)); #476123=CARTESIAN_POINT('',(28.185819,33.391391,0.035)); #476124=CARTESIAN_POINT('',(28.185819,33.391391,0.035)); #476125=CARTESIAN_POINT('',(28.185819,33.391391,0.07)); #476126=CARTESIAN_POINT('',(28.185819,33.391391,0.07)); #476127=CARTESIAN_POINT('',(28.185819,33.391391,0.035)); #476128=CARTESIAN_POINT('Origin',(28.135303,33.451594,0.035)); #476129=CARTESIAN_POINT('',(28.135303,33.451594,0.035)); #476130=CARTESIAN_POINT('',(28.135303,33.451594,0.035)); #476131=CARTESIAN_POINT('',(28.135303,33.451594,0.07)); #476132=CARTESIAN_POINT('',(28.135303,33.451594,0.07)); #476133=CARTESIAN_POINT('',(28.135303,33.451594,0.035)); #476134=CARTESIAN_POINT('Origin',(28.090225,33.515969,0.035)); #476135=CARTESIAN_POINT('',(28.090225,33.515969,0.035)); #476136=CARTESIAN_POINT('',(28.090225,33.515969,0.035)); #476137=CARTESIAN_POINT('',(28.090225,33.515969,0.07)); #476138=CARTESIAN_POINT('',(28.090225,33.515969,0.07)); #476139=CARTESIAN_POINT('',(28.090225,33.515969,0.035)); #476140=CARTESIAN_POINT('Origin',(28.050931,33.584031,0.035)); #476141=CARTESIAN_POINT('',(28.050931,33.584031,0.035)); #476142=CARTESIAN_POINT('',(28.050931,33.584031,0.035)); #476143=CARTESIAN_POINT('',(28.050931,33.584031,0.07)); #476144=CARTESIAN_POINT('',(28.050931,33.584031,0.07)); #476145=CARTESIAN_POINT('',(28.050931,33.584031,0.035)); #476146=CARTESIAN_POINT('Origin',(28.017716,33.655256,0.035)); #476147=CARTESIAN_POINT('',(28.017716,33.655256,0.035)); #476148=CARTESIAN_POINT('',(28.017716,33.655256,0.035)); #476149=CARTESIAN_POINT('',(28.017716,33.655256,0.07)); #476150=CARTESIAN_POINT('',(28.017716,33.655256,0.07)); #476151=CARTESIAN_POINT('',(28.017716,33.655256,0.035)); #476152=CARTESIAN_POINT('Origin',(27.990838,33.729106,0.035)); #476153=CARTESIAN_POINT('',(27.990838,33.729106,0.035)); #476154=CARTESIAN_POINT('',(27.990838,33.729106,0.035)); #476155=CARTESIAN_POINT('',(27.990838,33.729106,0.07)); #476156=CARTESIAN_POINT('',(27.990838,33.729106,0.07)); #476157=CARTESIAN_POINT('',(27.990838,33.729106,0.035)); #476158=CARTESIAN_POINT('Origin',(27.970497,33.805019,0.035)); #476159=CARTESIAN_POINT('',(27.970497,33.805019,0.035)); #476160=CARTESIAN_POINT('',(27.970497,33.805019,0.035)); #476161=CARTESIAN_POINT('',(27.970497,33.805019,0.07)); #476162=CARTESIAN_POINT('',(27.970497,33.805019,0.07)); #476163=CARTESIAN_POINT('',(27.970497,33.805019,0.035)); #476164=CARTESIAN_POINT('Origin',(27.95685,33.882416,0.035)); #476165=CARTESIAN_POINT('',(27.95685,33.882416,0.035)); #476166=CARTESIAN_POINT('',(27.95685,33.882416,0.035)); #476167=CARTESIAN_POINT('',(27.95685,33.882416,0.07)); #476168=CARTESIAN_POINT('',(27.95685,33.882416,0.07)); #476169=CARTESIAN_POINT('',(27.95685,33.882416,0.035)); #476170=CARTESIAN_POINT('Origin',(27.95,33.960706,0.035)); #476171=CARTESIAN_POINT('',(27.95,33.960706,0.035)); #476172=CARTESIAN_POINT('',(27.95,33.960706,0.035)); #476173=CARTESIAN_POINT('',(27.95,33.960706,0.07)); #476174=CARTESIAN_POINT('',(27.95,33.960706,0.07)); #476175=CARTESIAN_POINT('',(27.95,33.960706,0.035)); #476176=CARTESIAN_POINT('Origin',(27.95,34.039294,0.035)); #476177=CARTESIAN_POINT('',(27.95,34.039294,0.035)); #476178=CARTESIAN_POINT('',(27.95,34.039294,0.035)); #476179=CARTESIAN_POINT('',(27.95,34.039294,0.07)); #476180=CARTESIAN_POINT('',(27.95,34.039294,0.07)); #476181=CARTESIAN_POINT('',(27.95,34.039294,0.035)); #476182=CARTESIAN_POINT('Origin',(27.95685,34.117584,0.035)); #476183=CARTESIAN_POINT('',(27.95685,34.117584,0.035)); #476184=CARTESIAN_POINT('',(27.95685,34.117584,0.035)); #476185=CARTESIAN_POINT('',(27.95685,34.117584,0.07)); #476186=CARTESIAN_POINT('',(27.95685,34.117584,0.07)); #476187=CARTESIAN_POINT('',(27.95685,34.117584,0.035)); #476188=CARTESIAN_POINT('Origin',(27.970497,34.194981,0.035)); #476189=CARTESIAN_POINT('',(27.970497,34.194981,0.035)); #476190=CARTESIAN_POINT('',(27.970497,34.194981,0.035)); #476191=CARTESIAN_POINT('',(27.970497,34.194981,0.07)); #476192=CARTESIAN_POINT('',(27.970497,34.194981,0.07)); #476193=CARTESIAN_POINT('',(27.970497,34.194981,0.035)); #476194=CARTESIAN_POINT('Origin',(27.990838,34.270894,0.035)); #476195=CARTESIAN_POINT('',(27.990838,34.270894,0.035)); #476196=CARTESIAN_POINT('',(27.990838,34.270894,0.035)); #476197=CARTESIAN_POINT('',(27.990838,34.270894,0.07)); #476198=CARTESIAN_POINT('',(27.990838,34.270894,0.07)); #476199=CARTESIAN_POINT('',(27.990838,34.270894,0.035)); #476200=CARTESIAN_POINT('Origin',(28.017716,34.344744,0.035)); #476201=CARTESIAN_POINT('',(28.017716,34.344744,0.035)); #476202=CARTESIAN_POINT('',(28.017716,34.344744,0.035)); #476203=CARTESIAN_POINT('',(28.017716,34.344744,0.07)); #476204=CARTESIAN_POINT('',(28.017716,34.344744,0.07)); #476205=CARTESIAN_POINT('',(28.017716,34.344744,0.035)); #476206=CARTESIAN_POINT('Origin',(28.050931,34.415969,0.035)); #476207=CARTESIAN_POINT('',(28.050931,34.415969,0.035)); #476208=CARTESIAN_POINT('',(28.050931,34.415969,0.035)); #476209=CARTESIAN_POINT('',(28.050931,34.415969,0.07)); #476210=CARTESIAN_POINT('',(28.050931,34.415969,0.07)); #476211=CARTESIAN_POINT('',(28.050931,34.415969,0.035)); #476212=CARTESIAN_POINT('Origin',(28.090225,34.484031,0.035)); #476213=CARTESIAN_POINT('',(28.090225,34.484031,0.035)); #476214=CARTESIAN_POINT('',(28.090225,34.484031,0.035)); #476215=CARTESIAN_POINT('',(28.090225,34.484031,0.07)); #476216=CARTESIAN_POINT('',(28.090225,34.484031,0.07)); #476217=CARTESIAN_POINT('',(28.090225,34.484031,0.035)); #476218=CARTESIAN_POINT('Origin',(28.135303,34.548406,0.035)); #476219=CARTESIAN_POINT('',(28.135303,34.548406,0.035)); #476220=CARTESIAN_POINT('',(28.135303,34.548406,0.035)); #476221=CARTESIAN_POINT('',(28.135303,34.548406,0.07)); #476222=CARTESIAN_POINT('',(28.135303,34.548406,0.07)); #476223=CARTESIAN_POINT('',(28.135303,34.548406,0.035)); #476224=CARTESIAN_POINT('Origin',(28.185819,34.608609,0.035)); #476225=CARTESIAN_POINT('',(28.185819,34.608609,0.035)); #476226=CARTESIAN_POINT('',(28.185819,34.608609,0.035)); #476227=CARTESIAN_POINT('',(28.185819,34.608609,0.07)); #476228=CARTESIAN_POINT('',(28.185819,34.608609,0.07)); #476229=CARTESIAN_POINT('',(28.185819,34.608609,0.035)); #476230=CARTESIAN_POINT('Origin',(28.241391,34.664181,0.035)); #476231=CARTESIAN_POINT('',(28.241391,34.664181,0.035)); #476232=CARTESIAN_POINT('',(28.241391,34.664181,0.035)); #476233=CARTESIAN_POINT('',(28.241391,34.664181,0.07)); #476234=CARTESIAN_POINT('',(28.241391,34.664181,0.07)); #476235=CARTESIAN_POINT('',(28.241391,34.664181,0.035)); #476236=CARTESIAN_POINT('Origin',(28.301594,34.714697,0.035)); #476237=CARTESIAN_POINT('',(28.301594,34.714697,0.035)); #476238=CARTESIAN_POINT('',(28.301594,34.714697,0.035)); #476239=CARTESIAN_POINT('',(28.301594,34.714697,0.07)); #476240=CARTESIAN_POINT('',(28.301594,34.714697,0.07)); #476241=CARTESIAN_POINT('',(28.301594,34.714697,0.035)); #476242=CARTESIAN_POINT('Origin',(28.365969,34.759775,0.035)); #476243=CARTESIAN_POINT('',(28.365969,34.759775,0.035)); #476244=CARTESIAN_POINT('',(28.365969,34.759775,0.035)); #476245=CARTESIAN_POINT('',(28.365969,34.759775,0.07)); #476246=CARTESIAN_POINT('',(28.365969,34.759775,0.07)); #476247=CARTESIAN_POINT('',(28.365969,34.759775,0.035)); #476248=CARTESIAN_POINT('Origin',(28.434031,34.799069,0.035)); #476249=CARTESIAN_POINT('',(28.434031,34.799069,0.035)); #476250=CARTESIAN_POINT('',(28.434031,34.799069,0.035)); #476251=CARTESIAN_POINT('',(28.434031,34.799069,0.07)); #476252=CARTESIAN_POINT('',(28.434031,34.799069,0.07)); #476253=CARTESIAN_POINT('',(28.434031,34.799069,0.035)); #476254=CARTESIAN_POINT('Origin',(28.505256,34.832284,0.035)); #476255=CARTESIAN_POINT('',(28.505256,34.832284,0.035)); #476256=CARTESIAN_POINT('',(28.505256,34.832284,0.035)); #476257=CARTESIAN_POINT('',(28.505256,34.832284,0.07)); #476258=CARTESIAN_POINT('',(28.505256,34.832284,0.07)); #476259=CARTESIAN_POINT('',(28.505256,34.832284,0.035)); #476260=CARTESIAN_POINT('Origin',(28.579106,34.859163,0.035)); #476261=CARTESIAN_POINT('',(28.579106,34.859163,0.035)); #476262=CARTESIAN_POINT('',(28.579106,34.859163,0.035)); #476263=CARTESIAN_POINT('',(28.579106,34.859163,0.07)); #476264=CARTESIAN_POINT('',(28.579106,34.859163,0.07)); #476265=CARTESIAN_POINT('',(28.579106,34.859163,0.035)); #476266=CARTESIAN_POINT('Origin',(28.655019,34.879503,0.035)); #476267=CARTESIAN_POINT('',(28.655019,34.879503,0.035)); #476268=CARTESIAN_POINT('',(28.655019,34.879503,0.035)); #476269=CARTESIAN_POINT('',(28.655019,34.879503,0.07)); #476270=CARTESIAN_POINT('',(28.655019,34.879503,0.07)); #476271=CARTESIAN_POINT('',(28.655019,34.879503,0.035)); #476272=CARTESIAN_POINT('Origin',(28.732416,34.89315,0.035)); #476273=CARTESIAN_POINT('',(28.732416,34.89315,0.035)); #476274=CARTESIAN_POINT('',(28.732416,34.89315,0.035)); #476275=CARTESIAN_POINT('',(28.732416,34.89315,0.07)); #476276=CARTESIAN_POINT('',(28.732416,34.89315,0.07)); #476277=CARTESIAN_POINT('',(28.732416,34.89315,0.035)); #476278=CARTESIAN_POINT('Origin',(28.810706,34.9,0.035)); #476279=CARTESIAN_POINT('',(28.810706,34.9,0.035)); #476280=CARTESIAN_POINT('',(28.810706,34.9,0.035)); #476281=CARTESIAN_POINT('',(28.810706,34.9,0.07)); #476282=CARTESIAN_POINT('',(28.810706,34.9,0.07)); #476283=CARTESIAN_POINT('',(28.810706,34.9,0.035)); #476284=CARTESIAN_POINT('Origin',(29.489294,34.9,0.035)); #476285=CARTESIAN_POINT('',(29.489294,34.9,0.035)); #476286=CARTESIAN_POINT('',(29.489294,34.9,0.035)); #476287=CARTESIAN_POINT('',(29.489294,34.9,0.07)); #476288=CARTESIAN_POINT('',(29.489294,34.9,0.07)); #476289=CARTESIAN_POINT('',(29.489294,34.9,0.035)); #476290=CARTESIAN_POINT('Origin',(29.567584,34.89315,0.035)); #476291=CARTESIAN_POINT('',(29.567584,34.89315,0.035)); #476292=CARTESIAN_POINT('',(29.567584,34.89315,0.035)); #476293=CARTESIAN_POINT('',(29.567584,34.89315,0.07)); #476294=CARTESIAN_POINT('',(29.567584,34.89315,0.07)); #476295=CARTESIAN_POINT('',(29.567584,34.89315,0.035)); #476296=CARTESIAN_POINT('Origin',(29.644981,34.879503,0.035)); #476297=CARTESIAN_POINT('',(29.644981,34.879503,0.035)); #476298=CARTESIAN_POINT('',(29.644981,34.879503,0.035)); #476299=CARTESIAN_POINT('',(29.644981,34.879503,0.07)); #476300=CARTESIAN_POINT('',(29.644981,34.879503,0.07)); #476301=CARTESIAN_POINT('',(29.644981,34.879503,0.035)); #476302=CARTESIAN_POINT('Origin',(29.720894,34.859163,0.035)); #476303=CARTESIAN_POINT('',(29.720894,34.859163,0.035)); #476304=CARTESIAN_POINT('',(29.720894,34.859163,0.035)); #476305=CARTESIAN_POINT('',(29.720894,34.859163,0.07)); #476306=CARTESIAN_POINT('',(29.720894,34.859163,0.07)); #476307=CARTESIAN_POINT('',(29.720894,34.859163,0.035)); #476308=CARTESIAN_POINT('Origin',(29.794744,34.832284,0.035)); #476309=CARTESIAN_POINT('',(29.794744,34.832284,0.035)); #476310=CARTESIAN_POINT('',(29.794744,34.832284,0.035)); #476311=CARTESIAN_POINT('',(29.794744,34.832284,0.07)); #476312=CARTESIAN_POINT('',(29.794744,34.832284,0.07)); #476313=CARTESIAN_POINT('',(29.794744,34.832284,0.035)); #476314=CARTESIAN_POINT('Origin',(29.865969,34.799069,0.035)); #476315=CARTESIAN_POINT('',(29.865969,34.799069,0.035)); #476316=CARTESIAN_POINT('',(29.865969,34.799069,0.035)); #476317=CARTESIAN_POINT('',(29.865969,34.799069,0.07)); #476318=CARTESIAN_POINT('',(29.865969,34.799069,0.07)); #476319=CARTESIAN_POINT('',(29.865969,34.799069,0.035)); #476320=CARTESIAN_POINT('Origin',(29.934031,34.759775,0.035)); #476321=CARTESIAN_POINT('',(29.934031,34.759775,0.035)); #476322=CARTESIAN_POINT('',(29.934031,34.759775,0.035)); #476323=CARTESIAN_POINT('',(29.934031,34.759775,0.07)); #476324=CARTESIAN_POINT('',(29.934031,34.759775,0.07)); #476325=CARTESIAN_POINT('',(29.934031,34.759775,0.035)); #476326=CARTESIAN_POINT('Origin',(29.998406,34.714697,0.035)); #476327=CARTESIAN_POINT('',(29.998406,34.714697,0.035)); #476328=CARTESIAN_POINT('',(29.998406,34.714697,0.035)); #476329=CARTESIAN_POINT('',(29.998406,34.714697,0.07)); #476330=CARTESIAN_POINT('',(29.998406,34.714697,0.07)); #476331=CARTESIAN_POINT('',(29.998406,34.714697,0.035)); #476332=CARTESIAN_POINT('Origin',(30.058609,34.664181,0.035)); #476333=CARTESIAN_POINT('',(30.058609,34.664181,0.035)); #476334=CARTESIAN_POINT('',(30.058609,34.664181,0.035)); #476335=CARTESIAN_POINT('',(30.058609,34.664181,0.07)); #476336=CARTESIAN_POINT('',(30.058609,34.664181,0.07)); #476337=CARTESIAN_POINT('',(30.058609,34.664181,0.035)); #476338=CARTESIAN_POINT('Origin',(30.114181,34.608609,0.035)); #476339=CARTESIAN_POINT('',(30.114181,34.608609,0.035)); #476340=CARTESIAN_POINT('',(30.114181,34.608609,0.035)); #476341=CARTESIAN_POINT('',(30.114181,34.608609,0.07)); #476342=CARTESIAN_POINT('',(30.114181,34.608609,0.07)); #476343=CARTESIAN_POINT('',(30.114181,34.608609,0.035)); #476344=CARTESIAN_POINT('Origin',(30.164697,34.548406,0.035)); #476345=CARTESIAN_POINT('',(30.164697,34.548406,0.035)); #476346=CARTESIAN_POINT('',(30.164697,34.548406,0.035)); #476347=CARTESIAN_POINT('',(30.164697,34.548406,0.07)); #476348=CARTESIAN_POINT('',(30.164697,34.548406,0.07)); #476349=CARTESIAN_POINT('',(30.164697,34.548406,0.035)); #476350=CARTESIAN_POINT('Origin',(30.209775,34.484031,0.035)); #476351=CARTESIAN_POINT('',(30.209775,34.484031,0.035)); #476352=CARTESIAN_POINT('',(30.209775,34.484031,0.035)); #476353=CARTESIAN_POINT('',(30.209775,34.484031,0.07)); #476354=CARTESIAN_POINT('',(30.209775,34.484031,0.07)); #476355=CARTESIAN_POINT('',(30.209775,34.484031,0.035)); #476356=CARTESIAN_POINT('Origin',(30.249069,34.415969,0.035)); #476357=CARTESIAN_POINT('',(30.249069,34.415969,0.035)); #476358=CARTESIAN_POINT('',(30.249069,34.415969,0.035)); #476359=CARTESIAN_POINT('',(30.249069,34.415969,0.07)); #476360=CARTESIAN_POINT('',(30.249069,34.415969,0.07)); #476361=CARTESIAN_POINT('',(30.249069,34.415969,0.035)); #476362=CARTESIAN_POINT('Origin',(30.282284,34.344744,0.035)); #476363=CARTESIAN_POINT('',(30.282284,34.344744,0.035)); #476364=CARTESIAN_POINT('',(30.282284,34.344744,0.035)); #476365=CARTESIAN_POINT('',(30.282284,34.344744,0.07)); #476366=CARTESIAN_POINT('',(30.282284,34.344744,0.07)); #476367=CARTESIAN_POINT('',(30.282284,34.344744,0.035)); #476368=CARTESIAN_POINT('Origin',(30.309163,34.270894,0.035)); #476369=CARTESIAN_POINT('',(30.309163,34.270894,0.035)); #476370=CARTESIAN_POINT('',(30.309163,34.270894,0.035)); #476371=CARTESIAN_POINT('',(30.309163,34.270894,0.07)); #476372=CARTESIAN_POINT('',(30.309163,34.270894,0.07)); #476373=CARTESIAN_POINT('',(30.309163,34.270894,0.035)); #476374=CARTESIAN_POINT('Origin',(30.329503,34.194981,0.035)); #476375=CARTESIAN_POINT('',(30.329503,34.194981,0.035)); #476376=CARTESIAN_POINT('',(30.329503,34.194981,0.035)); #476377=CARTESIAN_POINT('',(30.329503,34.194981,0.07)); #476378=CARTESIAN_POINT('',(30.329503,34.194981,0.07)); #476379=CARTESIAN_POINT('',(30.329503,34.194981,0.035)); #476380=CARTESIAN_POINT('Origin',(30.34315,34.117584,0.035)); #476381=CARTESIAN_POINT('',(30.34315,34.117584,0.035)); #476382=CARTESIAN_POINT('',(30.34315,34.117584,0.035)); #476383=CARTESIAN_POINT('',(30.34315,34.117584,0.07)); #476384=CARTESIAN_POINT('',(30.34315,34.117584,0.07)); #476385=CARTESIAN_POINT('',(30.34315,34.117584,0.035)); #476386=CARTESIAN_POINT('Origin',(30.35,34.039294,0.035)); #476387=CARTESIAN_POINT('',(30.35,34.039294,0.035)); #476388=CARTESIAN_POINT('',(30.35,34.039294,0.035)); #476389=CARTESIAN_POINT('',(30.35,34.039294,0.07)); #476390=CARTESIAN_POINT('',(30.35,34.039294,0.07)); #476391=CARTESIAN_POINT('',(30.35,34.039294,0.035)); #476392=CARTESIAN_POINT('Origin',(30.35,33.960706,0.035)); #476393=CARTESIAN_POINT('',(30.35,33.960706,0.035)); #476394=CARTESIAN_POINT('',(30.35,33.960706,0.035)); #476395=CARTESIAN_POINT('',(30.35,33.960706,0.07)); #476396=CARTESIAN_POINT('',(30.35,33.960706,0.07)); #476397=CARTESIAN_POINT('',(30.35,33.960706,0.035)); #476398=CARTESIAN_POINT('Origin',(30.34315,33.882416,0.035)); #476399=CARTESIAN_POINT('',(30.34315,33.882416,0.035)); #476400=CARTESIAN_POINT('',(30.34315,33.882416,0.035)); #476401=CARTESIAN_POINT('',(30.34315,33.882416,0.07)); #476402=CARTESIAN_POINT('',(30.34315,33.882416,0.07)); #476403=CARTESIAN_POINT('',(30.34315,33.882416,0.035)); #476404=CARTESIAN_POINT('Origin',(30.329503,33.805019,0.035)); #476405=CARTESIAN_POINT('',(30.329503,33.805019,0.035)); #476406=CARTESIAN_POINT('',(30.329503,33.805019,0.035)); #476407=CARTESIAN_POINT('',(30.329503,33.805019,0.07)); #476408=CARTESIAN_POINT('',(30.329503,33.805019,0.07)); #476409=CARTESIAN_POINT('',(30.329503,33.805019,0.035)); #476410=CARTESIAN_POINT('Origin',(30.309163,33.729106,0.035)); #476411=CARTESIAN_POINT('',(30.309163,33.729106,0.035)); #476412=CARTESIAN_POINT('',(30.309163,33.729106,0.035)); #476413=CARTESIAN_POINT('',(30.309163,33.729106,0.07)); #476414=CARTESIAN_POINT('',(30.309163,33.729106,0.07)); #476415=CARTESIAN_POINT('',(30.309163,33.729106,0.035)); #476416=CARTESIAN_POINT('Origin',(30.282284,33.655256,0.035)); #476417=CARTESIAN_POINT('',(30.282284,33.655256,0.035)); #476418=CARTESIAN_POINT('',(30.282284,33.655256,0.035)); #476419=CARTESIAN_POINT('',(30.282284,33.655256,0.07)); #476420=CARTESIAN_POINT('',(30.282284,33.655256,0.07)); #476421=CARTESIAN_POINT('',(30.282284,33.655256,0.035)); #476422=CARTESIAN_POINT('Origin',(30.249069,33.584031,0.035)); #476423=CARTESIAN_POINT('',(30.249069,33.584031,0.035)); #476424=CARTESIAN_POINT('',(30.249069,33.584031,0.035)); #476425=CARTESIAN_POINT('',(30.249069,33.584031,0.07)); #476426=CARTESIAN_POINT('',(30.249069,33.584031,0.07)); #476427=CARTESIAN_POINT('',(30.249069,33.584031,0.035)); #476428=CARTESIAN_POINT('Origin',(30.209775,33.515969,0.035)); #476429=CARTESIAN_POINT('',(30.209775,33.515969,0.035)); #476430=CARTESIAN_POINT('',(30.209775,33.515969,0.035)); #476431=CARTESIAN_POINT('',(30.209775,33.515969,0.07)); #476432=CARTESIAN_POINT('',(30.209775,33.515969,0.07)); #476433=CARTESIAN_POINT('',(30.209775,33.515969,0.035)); #476434=CARTESIAN_POINT('Origin',(30.164697,33.451594,0.035)); #476435=CARTESIAN_POINT('',(30.164697,33.451594,0.035)); #476436=CARTESIAN_POINT('',(30.164697,33.451594,0.035)); #476437=CARTESIAN_POINT('',(30.164697,33.451594,0.07)); #476438=CARTESIAN_POINT('',(30.164697,33.451594,0.07)); #476439=CARTESIAN_POINT('',(30.164697,33.451594,0.035)); #476440=CARTESIAN_POINT('Origin',(30.114181,33.391391,0.035)); #476441=CARTESIAN_POINT('',(30.114181,33.391391,0.035)); #476442=CARTESIAN_POINT('',(30.114181,33.391391,0.035)); #476443=CARTESIAN_POINT('',(30.114181,33.391391,0.07)); #476444=CARTESIAN_POINT('',(30.114181,33.391391,0.07)); #476445=CARTESIAN_POINT('',(30.114181,33.391391,0.035)); #476446=CARTESIAN_POINT('Origin',(30.058609,33.335819,0.035)); #476447=CARTESIAN_POINT('',(30.058609,33.335819,0.035)); #476448=CARTESIAN_POINT('',(30.058609,33.335819,0.035)); #476449=CARTESIAN_POINT('',(30.058609,33.335819,0.07)); #476450=CARTESIAN_POINT('',(30.058609,33.335819,0.07)); #476451=CARTESIAN_POINT('',(30.058609,33.335819,0.035)); #476452=CARTESIAN_POINT('Origin',(29.998406,33.285303,0.035)); #476453=CARTESIAN_POINT('',(29.998406,33.285303,0.035)); #476454=CARTESIAN_POINT('',(29.998406,33.285303,0.035)); #476455=CARTESIAN_POINT('',(29.998406,33.285303,0.07)); #476456=CARTESIAN_POINT('',(29.998406,33.285303,0.07)); #476457=CARTESIAN_POINT('',(29.998406,33.285303,0.035)); #476458=CARTESIAN_POINT('Origin',(29.934031,33.240225,0.035)); #476459=CARTESIAN_POINT('',(29.934031,33.240225,0.035)); #476460=CARTESIAN_POINT('',(29.934031,33.240225,0.035)); #476461=CARTESIAN_POINT('',(29.934031,33.240225,0.07)); #476462=CARTESIAN_POINT('',(29.934031,33.240225,0.07)); #476463=CARTESIAN_POINT('',(29.934031,33.240225,0.035)); #476464=CARTESIAN_POINT('Origin',(29.865969,33.200931,0.035)); #476465=CARTESIAN_POINT('',(29.865969,33.200931,0.035)); #476466=CARTESIAN_POINT('',(29.865969,33.200931,0.035)); #476467=CARTESIAN_POINT('',(29.865969,33.200931,0.07)); #476468=CARTESIAN_POINT('',(29.865969,33.200931,0.07)); #476469=CARTESIAN_POINT('',(29.865969,33.200931,0.035)); #476470=CARTESIAN_POINT('Origin',(29.794744,33.167716,0.035)); #476471=CARTESIAN_POINT('',(29.794744,33.167716,0.035)); #476472=CARTESIAN_POINT('',(29.794744,33.167716,0.035)); #476473=CARTESIAN_POINT('',(29.794744,33.167716,0.07)); #476474=CARTESIAN_POINT('',(29.794744,33.167716,0.07)); #476475=CARTESIAN_POINT('',(29.794744,33.167716,0.035)); #476476=CARTESIAN_POINT('Origin',(29.720894,33.140838,0.035)); #476477=CARTESIAN_POINT('',(29.720894,33.140838,0.035)); #476478=CARTESIAN_POINT('',(29.720894,33.140838,0.035)); #476479=CARTESIAN_POINT('',(29.720894,33.140838,0.07)); #476480=CARTESIAN_POINT('',(29.720894,33.140838,0.07)); #476481=CARTESIAN_POINT('',(29.720894,33.140838,0.035)); #476482=CARTESIAN_POINT('Origin',(29.644981,33.120497,0.035)); #476483=CARTESIAN_POINT('',(29.644981,33.120497,0.035)); #476484=CARTESIAN_POINT('',(29.644981,33.120497,0.035)); #476485=CARTESIAN_POINT('',(29.644981,33.120497,0.07)); #476486=CARTESIAN_POINT('',(29.644981,33.120497,0.07)); #476487=CARTESIAN_POINT('',(29.644981,33.120497,0.035)); #476488=CARTESIAN_POINT('Origin',(29.567584,33.10685,0.035)); #476489=CARTESIAN_POINT('',(29.567584,33.10685,0.035)); #476490=CARTESIAN_POINT('',(29.567584,33.10685,0.07)); #476491=CARTESIAN_POINT('Origin',(29.1500000489324,34.000000041654,0.07)); #476492=CARTESIAN_POINT('Origin',(29.1500000489324,34.000000041654,0.035)); #476493=CARTESIAN_POINT('Origin',(29.995,6.27999997467882,-200.)); #476494=CARTESIAN_POINT('',(29.995,7.07999999155961,0.0700000000000145)); #476495=CARTESIAN_POINT('',(29.995,6.27999997467882,0.0700000000000145)); #476496=CARTESIAN_POINT('',(29.995,6.47999999235904,0.0699999999999967)); #476497=CARTESIAN_POINT('',(29.995,7.07999999155961,0.0349999999999895)); #476498=CARTESIAN_POINT('',(29.995,7.07999999155961,-200.)); #476499=CARTESIAN_POINT('',(29.995,6.27999997467882,0.0349999999999895)); #476500=CARTESIAN_POINT('',(29.995,6.47999999235904,0.0350000000000072)); #476501=CARTESIAN_POINT('',(29.995,6.27999997467882,-200.)); #476502=CARTESIAN_POINT('Origin',(29.68,6.28,-200.)); #476503=CARTESIAN_POINT('',(29.365,6.28000000844039,0.0700000000000145)); #476504=CARTESIAN_POINT('Origin',(29.68,6.28,0.0700000000000145)); #476505=CARTESIAN_POINT('',(29.365,6.28000000844039,0.0349999999999895)); #476506=CARTESIAN_POINT('Origin',(29.68,6.28,0.0349999999999895)); #476507=CARTESIAN_POINT('',(29.365,6.28000000844039,-200.)); #476508=CARTESIAN_POINT('Origin',(29.365,7.08000002532118,-200.)); #476509=CARTESIAN_POINT('',(29.365,7.08000002532118,0.0700000000000145)); #476510=CARTESIAN_POINT('',(29.365,6.88000001768022,0.0699999999999967)); #476511=CARTESIAN_POINT('',(29.365,7.08000002532118,0.0349999999999895)); #476512=CARTESIAN_POINT('',(29.365,6.88000001768022,0.0350000000000072)); #476513=CARTESIAN_POINT('',(29.365,7.08000002532118,-200.)); #476514=CARTESIAN_POINT('Origin',(29.68,7.08,-200.)); #476515=CARTESIAN_POINT('Origin',(29.68,7.08,0.0700000000000145)); #476516=CARTESIAN_POINT('Origin',(29.68,7.08,0.0349999999999895)); #476517=CARTESIAN_POINT('Origin',(29.710888,5.73,0.035)); #476518=CARTESIAN_POINT('',(29.710888,5.73,0.035)); #476519=CARTESIAN_POINT('',(29.772275,5.7369156,0.035)); #476520=CARTESIAN_POINT('',(29.710888,5.73,0.035)); #476521=CARTESIAN_POINT('',(29.772275,5.7369156,0.07)); #476522=CARTESIAN_POINT('',(29.772275,5.7369156,0.035)); #476523=CARTESIAN_POINT('',(29.710888,5.73,0.07)); #476524=CARTESIAN_POINT('',(29.710888,5.73,0.07)); #476525=CARTESIAN_POINT('',(29.710888,5.73,0.035)); #476526=CARTESIAN_POINT('Origin',(29.649113,5.73,0.035)); #476527=CARTESIAN_POINT('',(29.649113,5.73,0.035)); #476528=CARTESIAN_POINT('',(29.649113,5.73,0.035)); #476529=CARTESIAN_POINT('',(29.649113,5.73,0.07)); #476530=CARTESIAN_POINT('',(29.649113,5.73,0.07)); #476531=CARTESIAN_POINT('',(29.649113,5.73,0.035)); #476532=CARTESIAN_POINT('Origin',(29.587725,5.7369156,0.035)); #476533=CARTESIAN_POINT('',(29.587725,5.7369156,0.035)); #476534=CARTESIAN_POINT('',(29.587725,5.7369156,0.035)); #476535=CARTESIAN_POINT('',(29.587725,5.7369156,0.07)); #476536=CARTESIAN_POINT('',(29.587725,5.7369156,0.07)); #476537=CARTESIAN_POINT('',(29.587725,5.7369156,0.035)); #476538=CARTESIAN_POINT('Origin',(29.5275,5.7506625,0.035)); #476539=CARTESIAN_POINT('',(29.5275,5.7506625,0.035)); #476540=CARTESIAN_POINT('',(29.5275,5.7506625,0.035)); #476541=CARTESIAN_POINT('',(29.5275,5.7506625,0.07)); #476542=CARTESIAN_POINT('',(29.5275,5.7506625,0.07)); #476543=CARTESIAN_POINT('',(29.5275,5.7506625,0.035)); #476544=CARTESIAN_POINT('Origin',(29.469194,5.7710656,0.035)); #476545=CARTESIAN_POINT('',(29.469194,5.7710656,0.035)); #476546=CARTESIAN_POINT('',(29.469194,5.7710656,0.035)); #476547=CARTESIAN_POINT('',(29.469194,5.7710656,0.07)); #476548=CARTESIAN_POINT('',(29.469194,5.7710656,0.07)); #476549=CARTESIAN_POINT('',(29.469194,5.7710656,0.035)); #476550=CARTESIAN_POINT('Origin',(29.413534,5.7978688,0.035)); #476551=CARTESIAN_POINT('',(29.413534,5.7978688,0.035)); #476552=CARTESIAN_POINT('',(29.413534,5.7978688,0.035)); #476553=CARTESIAN_POINT('',(29.413534,5.7978688,0.07)); #476554=CARTESIAN_POINT('',(29.413534,5.7978688,0.07)); #476555=CARTESIAN_POINT('',(29.413534,5.7978688,0.035)); #476556=CARTESIAN_POINT('Origin',(29.361228,5.8307344,0.035)); #476557=CARTESIAN_POINT('',(29.361228,5.8307344,0.035)); #476558=CARTESIAN_POINT('',(29.361228,5.8307344,0.035)); #476559=CARTESIAN_POINT('',(29.361228,5.8307344,0.07)); #476560=CARTESIAN_POINT('',(29.361228,5.8307344,0.07)); #476561=CARTESIAN_POINT('',(29.361228,5.8307344,0.035)); #476562=CARTESIAN_POINT('Origin',(29.312931,5.86925,0.035)); #476563=CARTESIAN_POINT('',(29.312931,5.86925,0.035)); #476564=CARTESIAN_POINT('',(29.312931,5.86925,0.035)); #476565=CARTESIAN_POINT('',(29.312931,5.86925,0.07)); #476566=CARTESIAN_POINT('',(29.312931,5.86925,0.07)); #476567=CARTESIAN_POINT('',(29.312931,5.86925,0.035)); #476568=CARTESIAN_POINT('Origin',(29.26925,5.9129313,0.035)); #476569=CARTESIAN_POINT('',(29.26925,5.9129313,0.035)); #476570=CARTESIAN_POINT('',(29.26925,5.9129313,0.035)); #476571=CARTESIAN_POINT('',(29.26925,5.9129313,0.07)); #476572=CARTESIAN_POINT('',(29.26925,5.9129313,0.07)); #476573=CARTESIAN_POINT('',(29.26925,5.9129313,0.035)); #476574=CARTESIAN_POINT('Origin',(29.230734,5.9612281,0.035)); #476575=CARTESIAN_POINT('',(29.230734,5.9612281,0.035)); #476576=CARTESIAN_POINT('',(29.230734,5.9612281,0.035)); #476577=CARTESIAN_POINT('',(29.230734,5.9612281,0.07)); #476578=CARTESIAN_POINT('',(29.230734,5.9612281,0.07)); #476579=CARTESIAN_POINT('',(29.230734,5.9612281,0.035)); #476580=CARTESIAN_POINT('Origin',(29.197869,6.0135344,0.035)); #476581=CARTESIAN_POINT('',(29.197869,6.0135344,0.035)); #476582=CARTESIAN_POINT('',(29.197869,6.0135344,0.035)); #476583=CARTESIAN_POINT('',(29.197869,6.0135344,0.07)); #476584=CARTESIAN_POINT('',(29.197869,6.0135344,0.07)); #476585=CARTESIAN_POINT('',(29.197869,6.0135344,0.035)); #476586=CARTESIAN_POINT('Origin',(29.171066,6.0691938,0.035)); #476587=CARTESIAN_POINT('',(29.171066,6.0691938,0.035)); #476588=CARTESIAN_POINT('',(29.171066,6.0691938,0.035)); #476589=CARTESIAN_POINT('',(29.171066,6.0691938,0.07)); #476590=CARTESIAN_POINT('',(29.171066,6.0691938,0.07)); #476591=CARTESIAN_POINT('',(29.171066,6.0691938,0.035)); #476592=CARTESIAN_POINT('Origin',(29.150663,6.1275,0.035)); #476593=CARTESIAN_POINT('',(29.150663,6.1275,0.035)); #476594=CARTESIAN_POINT('',(29.150663,6.1275,0.035)); #476595=CARTESIAN_POINT('',(29.150663,6.1275,0.07)); #476596=CARTESIAN_POINT('',(29.150663,6.1275,0.07)); #476597=CARTESIAN_POINT('',(29.150663,6.1275,0.035)); #476598=CARTESIAN_POINT('Origin',(29.136916,6.187725,0.035)); #476599=CARTESIAN_POINT('',(29.136916,6.187725,0.035)); #476600=CARTESIAN_POINT('',(29.136916,6.187725,0.035)); #476601=CARTESIAN_POINT('',(29.136916,6.187725,0.07)); #476602=CARTESIAN_POINT('',(29.136916,6.187725,0.07)); #476603=CARTESIAN_POINT('',(29.136916,6.187725,0.035)); #476604=CARTESIAN_POINT('Origin',(29.13,6.2491125,0.035)); #476605=CARTESIAN_POINT('',(29.13,6.2491125,0.035)); #476606=CARTESIAN_POINT('',(29.13,6.2491125,0.035)); #476607=CARTESIAN_POINT('',(29.13,6.2491125,0.07)); #476608=CARTESIAN_POINT('',(29.13,6.2491125,0.07)); #476609=CARTESIAN_POINT('',(29.13,6.2491125,0.035)); #476610=CARTESIAN_POINT('Origin',(29.13,7.1108875,0.035)); #476611=CARTESIAN_POINT('',(29.13,7.1108875,0.035)); #476612=CARTESIAN_POINT('',(29.13,7.1108875,0.035)); #476613=CARTESIAN_POINT('',(29.13,7.1108875,0.07)); #476614=CARTESIAN_POINT('',(29.13,7.1108875,0.07)); #476615=CARTESIAN_POINT('',(29.13,7.1108875,0.035)); #476616=CARTESIAN_POINT('Origin',(29.136916,7.172275,0.035)); #476617=CARTESIAN_POINT('',(29.136916,7.172275,0.035)); #476618=CARTESIAN_POINT('',(29.136916,7.172275,0.035)); #476619=CARTESIAN_POINT('',(29.136916,7.172275,0.07)); #476620=CARTESIAN_POINT('',(29.136916,7.172275,0.07)); #476621=CARTESIAN_POINT('',(29.136916,7.172275,0.035)); #476622=CARTESIAN_POINT('Origin',(29.150663,7.2325,0.035)); #476623=CARTESIAN_POINT('',(29.150663,7.2325,0.035)); #476624=CARTESIAN_POINT('',(29.150663,7.2325,0.035)); #476625=CARTESIAN_POINT('',(29.150663,7.2325,0.07)); #476626=CARTESIAN_POINT('',(29.150663,7.2325,0.07)); #476627=CARTESIAN_POINT('',(29.150663,7.2325,0.035)); #476628=CARTESIAN_POINT('Origin',(29.171066,7.2908063,0.035)); #476629=CARTESIAN_POINT('',(29.171066,7.2908063,0.035)); #476630=CARTESIAN_POINT('',(29.171066,7.2908063,0.035)); #476631=CARTESIAN_POINT('',(29.171066,7.2908063,0.07)); #476632=CARTESIAN_POINT('',(29.171066,7.2908063,0.07)); #476633=CARTESIAN_POINT('',(29.171066,7.2908063,0.035)); #476634=CARTESIAN_POINT('Origin',(29.197869,7.3464656,0.035)); #476635=CARTESIAN_POINT('',(29.197869,7.3464656,0.035)); #476636=CARTESIAN_POINT('',(29.197869,7.3464656,0.035)); #476637=CARTESIAN_POINT('',(29.197869,7.3464656,0.07)); #476638=CARTESIAN_POINT('',(29.197869,7.3464656,0.07)); #476639=CARTESIAN_POINT('',(29.197869,7.3464656,0.035)); #476640=CARTESIAN_POINT('Origin',(29.230734,7.3987719,0.035)); #476641=CARTESIAN_POINT('',(29.230734,7.3987719,0.035)); #476642=CARTESIAN_POINT('',(29.230734,7.3987719,0.035)); #476643=CARTESIAN_POINT('',(29.230734,7.3987719,0.07)); #476644=CARTESIAN_POINT('',(29.230734,7.3987719,0.07)); #476645=CARTESIAN_POINT('',(29.230734,7.3987719,0.035)); #476646=CARTESIAN_POINT('Origin',(29.26925,7.4470688,0.035)); #476647=CARTESIAN_POINT('',(29.26925,7.4470688,0.035)); #476648=CARTESIAN_POINT('',(29.26925,7.4470688,0.035)); #476649=CARTESIAN_POINT('',(29.26925,7.4470688,0.07)); #476650=CARTESIAN_POINT('',(29.26925,7.4470688,0.07)); #476651=CARTESIAN_POINT('',(29.26925,7.4470688,0.035)); #476652=CARTESIAN_POINT('Origin',(29.312931,7.49075,0.035)); #476653=CARTESIAN_POINT('',(29.312931,7.49075,0.035)); #476654=CARTESIAN_POINT('',(29.312931,7.49075,0.035)); #476655=CARTESIAN_POINT('',(29.312931,7.49075,0.07)); #476656=CARTESIAN_POINT('',(29.312931,7.49075,0.07)); #476657=CARTESIAN_POINT('',(29.312931,7.49075,0.035)); #476658=CARTESIAN_POINT('Origin',(29.361228,7.5292656,0.035)); #476659=CARTESIAN_POINT('',(29.361228,7.5292656,0.035)); #476660=CARTESIAN_POINT('',(29.361228,7.5292656,0.035)); #476661=CARTESIAN_POINT('',(29.361228,7.5292656,0.07)); #476662=CARTESIAN_POINT('',(29.361228,7.5292656,0.07)); #476663=CARTESIAN_POINT('',(29.361228,7.5292656,0.035)); #476664=CARTESIAN_POINT('Origin',(29.413534,7.5621313,0.035)); #476665=CARTESIAN_POINT('',(29.413534,7.5621313,0.035)); #476666=CARTESIAN_POINT('',(29.413534,7.5621313,0.035)); #476667=CARTESIAN_POINT('',(29.413534,7.5621313,0.07)); #476668=CARTESIAN_POINT('',(29.413534,7.5621313,0.07)); #476669=CARTESIAN_POINT('',(29.413534,7.5621313,0.035)); #476670=CARTESIAN_POINT('Origin',(29.469194,7.5889344,0.035)); #476671=CARTESIAN_POINT('',(29.469194,7.5889344,0.035)); #476672=CARTESIAN_POINT('',(29.469194,7.5889344,0.035)); #476673=CARTESIAN_POINT('',(29.469194,7.5889344,0.07)); #476674=CARTESIAN_POINT('',(29.469194,7.5889344,0.07)); #476675=CARTESIAN_POINT('',(29.469194,7.5889344,0.035)); #476676=CARTESIAN_POINT('Origin',(29.5275,7.6093375,0.035)); #476677=CARTESIAN_POINT('',(29.5275,7.6093375,0.035)); #476678=CARTESIAN_POINT('',(29.5275,7.6093375,0.035)); #476679=CARTESIAN_POINT('',(29.5275,7.6093375,0.07)); #476680=CARTESIAN_POINT('',(29.5275,7.6093375,0.07)); #476681=CARTESIAN_POINT('',(29.5275,7.6093375,0.035)); #476682=CARTESIAN_POINT('Origin',(29.587725,7.6230844,0.035)); #476683=CARTESIAN_POINT('',(29.587725,7.6230844,0.035)); #476684=CARTESIAN_POINT('',(29.587725,7.6230844,0.035)); #476685=CARTESIAN_POINT('',(29.587725,7.6230844,0.07)); #476686=CARTESIAN_POINT('',(29.587725,7.6230844,0.07)); #476687=CARTESIAN_POINT('',(29.587725,7.6230844,0.035)); #476688=CARTESIAN_POINT('Origin',(29.649113,7.63,0.035)); #476689=CARTESIAN_POINT('',(29.649113,7.63,0.035)); #476690=CARTESIAN_POINT('',(29.649113,7.63,0.035)); #476691=CARTESIAN_POINT('',(29.649113,7.63,0.07)); #476692=CARTESIAN_POINT('',(29.649113,7.63,0.07)); #476693=CARTESIAN_POINT('',(29.649113,7.63,0.035)); #476694=CARTESIAN_POINT('Origin',(29.710888,7.63,0.035)); #476695=CARTESIAN_POINT('',(29.710888,7.63,0.035)); #476696=CARTESIAN_POINT('',(29.710888,7.63,0.035)); #476697=CARTESIAN_POINT('',(29.710888,7.63,0.07)); #476698=CARTESIAN_POINT('',(29.710888,7.63,0.07)); #476699=CARTESIAN_POINT('',(29.710888,7.63,0.035)); #476700=CARTESIAN_POINT('Origin',(29.772275,7.6230844,0.035)); #476701=CARTESIAN_POINT('',(29.772275,7.6230844,0.035)); #476702=CARTESIAN_POINT('',(29.772275,7.6230844,0.035)); #476703=CARTESIAN_POINT('',(29.772275,7.6230844,0.07)); #476704=CARTESIAN_POINT('',(29.772275,7.6230844,0.07)); #476705=CARTESIAN_POINT('',(29.772275,7.6230844,0.035)); #476706=CARTESIAN_POINT('Origin',(29.8325,7.6093375,0.035)); #476707=CARTESIAN_POINT('',(29.8325,7.6093375,0.035)); #476708=CARTESIAN_POINT('',(29.8325,7.6093375,0.035)); #476709=CARTESIAN_POINT('',(29.8325,7.6093375,0.07)); #476710=CARTESIAN_POINT('',(29.8325,7.6093375,0.07)); #476711=CARTESIAN_POINT('',(29.8325,7.6093375,0.035)); #476712=CARTESIAN_POINT('Origin',(29.890806,7.5889344,0.035)); #476713=CARTESIAN_POINT('',(29.890806,7.5889344,0.035)); #476714=CARTESIAN_POINT('',(29.890806,7.5889344,0.035)); #476715=CARTESIAN_POINT('',(29.890806,7.5889344,0.07)); #476716=CARTESIAN_POINT('',(29.890806,7.5889344,0.07)); #476717=CARTESIAN_POINT('',(29.890806,7.5889344,0.035)); #476718=CARTESIAN_POINT('Origin',(29.946466,7.5621313,0.035)); #476719=CARTESIAN_POINT('',(29.946466,7.5621313,0.035)); #476720=CARTESIAN_POINT('',(29.946466,7.5621313,0.035)); #476721=CARTESIAN_POINT('',(29.946466,7.5621313,0.07)); #476722=CARTESIAN_POINT('',(29.946466,7.5621313,0.07)); #476723=CARTESIAN_POINT('',(29.946466,7.5621313,0.035)); #476724=CARTESIAN_POINT('Origin',(29.998772,7.5292656,0.035)); #476725=CARTESIAN_POINT('',(29.998772,7.5292656,0.035)); #476726=CARTESIAN_POINT('',(29.998772,7.5292656,0.035)); #476727=CARTESIAN_POINT('',(29.998772,7.5292656,0.07)); #476728=CARTESIAN_POINT('',(29.998772,7.5292656,0.07)); #476729=CARTESIAN_POINT('',(29.998772,7.5292656,0.035)); #476730=CARTESIAN_POINT('Origin',(30.047069,7.49075,0.035)); #476731=CARTESIAN_POINT('',(30.047069,7.49075,0.035)); #476732=CARTESIAN_POINT('',(30.047069,7.49075,0.035)); #476733=CARTESIAN_POINT('',(30.047069,7.49075,0.07)); #476734=CARTESIAN_POINT('',(30.047069,7.49075,0.07)); #476735=CARTESIAN_POINT('',(30.047069,7.49075,0.035)); #476736=CARTESIAN_POINT('Origin',(30.09075,7.4470688,0.035)); #476737=CARTESIAN_POINT('',(30.09075,7.4470688,0.035)); #476738=CARTESIAN_POINT('',(30.09075,7.4470688,0.035)); #476739=CARTESIAN_POINT('',(30.09075,7.4470688,0.07)); #476740=CARTESIAN_POINT('',(30.09075,7.4470688,0.07)); #476741=CARTESIAN_POINT('',(30.09075,7.4470688,0.035)); #476742=CARTESIAN_POINT('Origin',(30.129266,7.3987719,0.035)); #476743=CARTESIAN_POINT('',(30.129266,7.3987719,0.035)); #476744=CARTESIAN_POINT('',(30.129266,7.3987719,0.035)); #476745=CARTESIAN_POINT('',(30.129266,7.3987719,0.07)); #476746=CARTESIAN_POINT('',(30.129266,7.3987719,0.07)); #476747=CARTESIAN_POINT('',(30.129266,7.3987719,0.035)); #476748=CARTESIAN_POINT('Origin',(30.162131,7.3464656,0.035)); #476749=CARTESIAN_POINT('',(30.162131,7.3464656,0.035)); #476750=CARTESIAN_POINT('',(30.162131,7.3464656,0.035)); #476751=CARTESIAN_POINT('',(30.162131,7.3464656,0.07)); #476752=CARTESIAN_POINT('',(30.162131,7.3464656,0.07)); #476753=CARTESIAN_POINT('',(30.162131,7.3464656,0.035)); #476754=CARTESIAN_POINT('Origin',(30.188934,7.2908063,0.035)); #476755=CARTESIAN_POINT('',(30.188934,7.2908063,0.035)); #476756=CARTESIAN_POINT('',(30.188934,7.2908063,0.035)); #476757=CARTESIAN_POINT('',(30.188934,7.2908063,0.07)); #476758=CARTESIAN_POINT('',(30.188934,7.2908063,0.07)); #476759=CARTESIAN_POINT('',(30.188934,7.2908063,0.035)); #476760=CARTESIAN_POINT('Origin',(30.209338,7.2325,0.035)); #476761=CARTESIAN_POINT('',(30.209338,7.2325,0.035)); #476762=CARTESIAN_POINT('',(30.209338,7.2325,0.035)); #476763=CARTESIAN_POINT('',(30.209338,7.2325,0.07)); #476764=CARTESIAN_POINT('',(30.209338,7.2325,0.07)); #476765=CARTESIAN_POINT('',(30.209338,7.2325,0.035)); #476766=CARTESIAN_POINT('Origin',(30.223084,7.172275,0.035)); #476767=CARTESIAN_POINT('',(30.223084,7.172275,0.035)); #476768=CARTESIAN_POINT('',(30.223084,7.172275,0.035)); #476769=CARTESIAN_POINT('',(30.223084,7.172275,0.07)); #476770=CARTESIAN_POINT('',(30.223084,7.172275,0.07)); #476771=CARTESIAN_POINT('',(30.223084,7.172275,0.035)); #476772=CARTESIAN_POINT('Origin',(30.23,7.1108875,0.035)); #476773=CARTESIAN_POINT('',(30.23,7.1108875,0.035)); #476774=CARTESIAN_POINT('',(30.23,7.1108875,0.035)); #476775=CARTESIAN_POINT('',(30.23,7.1108875,0.07)); #476776=CARTESIAN_POINT('',(30.23,7.1108875,0.07)); #476777=CARTESIAN_POINT('',(30.23,7.1108875,0.035)); #476778=CARTESIAN_POINT('Origin',(30.23,6.2491125,0.035)); #476779=CARTESIAN_POINT('',(30.23,6.2491125,0.035)); #476780=CARTESIAN_POINT('',(30.23,6.2491125,0.035)); #476781=CARTESIAN_POINT('',(30.23,6.2491125,0.07)); #476782=CARTESIAN_POINT('',(30.23,6.2491125,0.07)); #476783=CARTESIAN_POINT('',(30.23,6.2491125,0.035)); #476784=CARTESIAN_POINT('Origin',(30.223084,6.187725,0.035)); #476785=CARTESIAN_POINT('',(30.223084,6.187725,0.035)); #476786=CARTESIAN_POINT('',(30.223084,6.187725,0.035)); #476787=CARTESIAN_POINT('',(30.223084,6.187725,0.07)); #476788=CARTESIAN_POINT('',(30.223084,6.187725,0.07)); #476789=CARTESIAN_POINT('',(30.223084,6.187725,0.035)); #476790=CARTESIAN_POINT('Origin',(30.209338,6.1275,0.035)); #476791=CARTESIAN_POINT('',(30.209338,6.1275,0.035)); #476792=CARTESIAN_POINT('',(30.209338,6.1275,0.035)); #476793=CARTESIAN_POINT('',(30.209338,6.1275,0.07)); #476794=CARTESIAN_POINT('',(30.209338,6.1275,0.07)); #476795=CARTESIAN_POINT('',(30.209338,6.1275,0.035)); #476796=CARTESIAN_POINT('Origin',(30.188934,6.0691938,0.035)); #476797=CARTESIAN_POINT('',(30.188934,6.0691938,0.035)); #476798=CARTESIAN_POINT('',(30.188934,6.0691938,0.035)); #476799=CARTESIAN_POINT('',(30.188934,6.0691938,0.07)); #476800=CARTESIAN_POINT('',(30.188934,6.0691938,0.07)); #476801=CARTESIAN_POINT('',(30.188934,6.0691938,0.035)); #476802=CARTESIAN_POINT('Origin',(30.162131,6.0135344,0.035)); #476803=CARTESIAN_POINT('',(30.162131,6.0135344,0.035)); #476804=CARTESIAN_POINT('',(30.162131,6.0135344,0.035)); #476805=CARTESIAN_POINT('',(30.162131,6.0135344,0.07)); #476806=CARTESIAN_POINT('',(30.162131,6.0135344,0.07)); #476807=CARTESIAN_POINT('',(30.162131,6.0135344,0.035)); #476808=CARTESIAN_POINT('Origin',(30.129266,5.9612281,0.035)); #476809=CARTESIAN_POINT('',(30.129266,5.9612281,0.035)); #476810=CARTESIAN_POINT('',(30.129266,5.9612281,0.035)); #476811=CARTESIAN_POINT('',(30.129266,5.9612281,0.07)); #476812=CARTESIAN_POINT('',(30.129266,5.9612281,0.07)); #476813=CARTESIAN_POINT('',(30.129266,5.9612281,0.035)); #476814=CARTESIAN_POINT('Origin',(30.09075,5.9129313,0.035)); #476815=CARTESIAN_POINT('',(30.09075,5.9129313,0.035)); #476816=CARTESIAN_POINT('',(30.09075,5.9129313,0.035)); #476817=CARTESIAN_POINT('',(30.09075,5.9129313,0.07)); #476818=CARTESIAN_POINT('',(30.09075,5.9129313,0.07)); #476819=CARTESIAN_POINT('',(30.09075,5.9129313,0.035)); #476820=CARTESIAN_POINT('Origin',(30.047069,5.86925,0.035)); #476821=CARTESIAN_POINT('',(30.047069,5.86925,0.035)); #476822=CARTESIAN_POINT('',(30.047069,5.86925,0.035)); #476823=CARTESIAN_POINT('',(30.047069,5.86925,0.07)); #476824=CARTESIAN_POINT('',(30.047069,5.86925,0.07)); #476825=CARTESIAN_POINT('',(30.047069,5.86925,0.035)); #476826=CARTESIAN_POINT('Origin',(29.998772,5.8307344,0.035)); #476827=CARTESIAN_POINT('',(29.998772,5.8307344,0.035)); #476828=CARTESIAN_POINT('',(29.998772,5.8307344,0.035)); #476829=CARTESIAN_POINT('',(29.998772,5.8307344,0.07)); #476830=CARTESIAN_POINT('',(29.998772,5.8307344,0.07)); #476831=CARTESIAN_POINT('',(29.998772,5.8307344,0.035)); #476832=CARTESIAN_POINT('Origin',(29.946466,5.7978688,0.035)); #476833=CARTESIAN_POINT('',(29.946466,5.7978688,0.035)); #476834=CARTESIAN_POINT('',(29.946466,5.7978688,0.035)); #476835=CARTESIAN_POINT('',(29.946466,5.7978688,0.07)); #476836=CARTESIAN_POINT('',(29.946466,5.7978688,0.07)); #476837=CARTESIAN_POINT('',(29.946466,5.7978688,0.035)); #476838=CARTESIAN_POINT('Origin',(29.890806,5.7710656,0.035)); #476839=CARTESIAN_POINT('',(29.890806,5.7710656,0.035)); #476840=CARTESIAN_POINT('',(29.890806,5.7710656,0.035)); #476841=CARTESIAN_POINT('',(29.890806,5.7710656,0.07)); #476842=CARTESIAN_POINT('',(29.890806,5.7710656,0.07)); #476843=CARTESIAN_POINT('',(29.890806,5.7710656,0.035)); #476844=CARTESIAN_POINT('Origin',(29.8325,5.7506625,0.035)); #476845=CARTESIAN_POINT('',(29.8325,5.7506625,0.035)); #476846=CARTESIAN_POINT('',(29.8325,5.7506625,0.035)); #476847=CARTESIAN_POINT('',(29.8325,5.7506625,0.07)); #476848=CARTESIAN_POINT('',(29.8325,5.7506625,0.07)); #476849=CARTESIAN_POINT('',(29.8325,5.7506625,0.035)); #476850=CARTESIAN_POINT('Origin',(29.772275,5.7369156,0.035)); #476851=CARTESIAN_POINT('',(29.772275,5.7369156,0.035)); #476852=CARTESIAN_POINT('',(29.772275,5.7369156,0.07)); #476853=CARTESIAN_POINT('Origin',(29.680000045263,6.68000001003927,0.07)); #476854=CARTESIAN_POINT('Origin',(29.680000045263,6.68000001003927,0.035)); #476855=CARTESIAN_POINT('Origin',(43.75,34.,-200.)); #476856=CARTESIAN_POINT('',(43.235,34.,0.0349999999999895)); #476857=CARTESIAN_POINT('Origin',(43.75,34.,0.0349999999999895)); #476858=CARTESIAN_POINT('',(43.235,34.,0.0700000000000145)); #476859=CARTESIAN_POINT('',(43.235,34.,-200.)); #476860=CARTESIAN_POINT('Origin',(43.75,34.,0.0700000000000145)); #476861=CARTESIAN_POINT('Origin',(44.575,33.175,0.035)); #476862=CARTESIAN_POINT('',(44.575,33.175,0.035)); #476863=CARTESIAN_POINT('',(44.575,34.825,0.035)); #476864=CARTESIAN_POINT('',(44.575,33.175,0.035)); #476865=CARTESIAN_POINT('',(44.575,34.825,0.07)); #476866=CARTESIAN_POINT('',(44.575,34.825,0.035)); #476867=CARTESIAN_POINT('',(44.575,33.175,0.07)); #476868=CARTESIAN_POINT('',(44.575,33.175,0.07)); #476869=CARTESIAN_POINT('',(44.575,33.175,0.035)); #476870=CARTESIAN_POINT('Origin',(42.925,33.175,0.035)); #476871=CARTESIAN_POINT('',(42.925,33.175,0.035)); #476872=CARTESIAN_POINT('',(42.925,33.175,0.035)); #476873=CARTESIAN_POINT('',(42.925,33.175,0.07)); #476874=CARTESIAN_POINT('',(42.925,33.175,0.07)); #476875=CARTESIAN_POINT('',(42.925,33.175,0.035)); #476876=CARTESIAN_POINT('Origin',(42.925,34.825,0.035)); #476877=CARTESIAN_POINT('',(42.925,34.825,0.035)); #476878=CARTESIAN_POINT('',(42.925,34.825,0.035)); #476879=CARTESIAN_POINT('',(42.925,34.825,0.07)); #476880=CARTESIAN_POINT('',(42.925,34.825,0.07)); #476881=CARTESIAN_POINT('',(42.925,34.825,0.035)); #476882=CARTESIAN_POINT('Origin',(44.575,34.825,0.035)); #476883=CARTESIAN_POINT('',(44.575,34.825,0.035)); #476884=CARTESIAN_POINT('',(44.575,34.825,0.07)); #476885=CARTESIAN_POINT('Origin',(43.75,34.,0.07)); #476886=CARTESIAN_POINT('Origin',(43.75,34.,0.035)); #476887=CARTESIAN_POINT('Origin',(29.995,2.09999997467882,-200.)); #476888=CARTESIAN_POINT('',(29.995,2.89999999155961,0.0700000000000145)); #476889=CARTESIAN_POINT('',(29.995,2.09999997467882,0.0700000000000145)); #476890=CARTESIAN_POINT('',(29.995,2.29999998733941,0.0699999999999967)); #476891=CARTESIAN_POINT('',(29.995,2.89999999155961,0.0349999999999895)); #476892=CARTESIAN_POINT('',(29.995,2.89999999155961,-200.)); #476893=CARTESIAN_POINT('',(29.995,2.09999997467882,0.0349999999999895)); #476894=CARTESIAN_POINT('',(29.995,2.29999998733941,0.0350000000000072)); #476895=CARTESIAN_POINT('',(29.995,2.09999997467882,-200.)); #476896=CARTESIAN_POINT('Origin',(29.68,2.1,-200.)); #476897=CARTESIAN_POINT('',(29.365,2.10000000844039,0.0700000000000145)); #476898=CARTESIAN_POINT('Origin',(29.68,2.1,0.0700000000000145)); #476899=CARTESIAN_POINT('',(29.365,2.10000000844039,0.0349999999999895)); #476900=CARTESIAN_POINT('Origin',(29.68,2.1,0.0349999999999895)); #476901=CARTESIAN_POINT('',(29.365,2.10000000844039,-200.)); #476902=CARTESIAN_POINT('Origin',(29.365,2.90000002532118,-200.)); #476903=CARTESIAN_POINT('',(29.365,2.90000002532118,0.0700000000000145)); #476904=CARTESIAN_POINT('',(29.365,2.70000001266059,0.0699999999999967)); #476905=CARTESIAN_POINT('',(29.365,2.90000002532118,0.0349999999999895)); #476906=CARTESIAN_POINT('',(29.365,2.70000001266059,0.0350000000000072)); #476907=CARTESIAN_POINT('',(29.365,2.90000002532118,-200.)); #476908=CARTESIAN_POINT('Origin',(29.68,2.9,-200.)); #476909=CARTESIAN_POINT('Origin',(29.68,2.9,0.0700000000000145)); #476910=CARTESIAN_POINT('Origin',(29.68,2.9,0.0349999999999895)); #476911=CARTESIAN_POINT('Origin',(29.710888,1.55,0.035)); #476912=CARTESIAN_POINT('',(29.710888,1.55,0.035)); #476913=CARTESIAN_POINT('',(29.772275,1.5569156,0.035)); #476914=CARTESIAN_POINT('',(29.710888,1.55,0.035)); #476915=CARTESIAN_POINT('',(29.772275,1.5569156,0.07)); #476916=CARTESIAN_POINT('',(29.772275,1.5569156,0.035)); #476917=CARTESIAN_POINT('',(29.710888,1.55,0.07)); #476918=CARTESIAN_POINT('',(29.710888,1.55,0.07)); #476919=CARTESIAN_POINT('',(29.710888,1.55,0.035)); #476920=CARTESIAN_POINT('Origin',(29.649113,1.55,0.035)); #476921=CARTESIAN_POINT('',(29.649113,1.55,0.035)); #476922=CARTESIAN_POINT('',(29.649113,1.55,0.035)); #476923=CARTESIAN_POINT('',(29.649113,1.55,0.07)); #476924=CARTESIAN_POINT('',(29.649113,1.55,0.07)); #476925=CARTESIAN_POINT('',(29.649113,1.55,0.035)); #476926=CARTESIAN_POINT('Origin',(29.587725,1.5569156,0.035)); #476927=CARTESIAN_POINT('',(29.587725,1.5569156,0.035)); #476928=CARTESIAN_POINT('',(29.587725,1.5569156,0.035)); #476929=CARTESIAN_POINT('',(29.587725,1.5569156,0.07)); #476930=CARTESIAN_POINT('',(29.587725,1.5569156,0.07)); #476931=CARTESIAN_POINT('',(29.587725,1.5569156,0.035)); #476932=CARTESIAN_POINT('Origin',(29.5275,1.5706625,0.035)); #476933=CARTESIAN_POINT('',(29.5275,1.5706625,0.035)); #476934=CARTESIAN_POINT('',(29.5275,1.5706625,0.035)); #476935=CARTESIAN_POINT('',(29.5275,1.5706625,0.07)); #476936=CARTESIAN_POINT('',(29.5275,1.5706625,0.07)); #476937=CARTESIAN_POINT('',(29.5275,1.5706625,0.035)); #476938=CARTESIAN_POINT('Origin',(29.469194,1.5910656,0.035)); #476939=CARTESIAN_POINT('',(29.469194,1.5910656,0.035)); #476940=CARTESIAN_POINT('',(29.469194,1.5910656,0.035)); #476941=CARTESIAN_POINT('',(29.469194,1.5910656,0.07)); #476942=CARTESIAN_POINT('',(29.469194,1.5910656,0.07)); #476943=CARTESIAN_POINT('',(29.469194,1.5910656,0.035)); #476944=CARTESIAN_POINT('Origin',(29.413534,1.6178687,0.035)); #476945=CARTESIAN_POINT('',(29.413534,1.6178687,0.035)); #476946=CARTESIAN_POINT('',(29.413534,1.6178687,0.035)); #476947=CARTESIAN_POINT('',(29.413534,1.6178687,0.07)); #476948=CARTESIAN_POINT('',(29.413534,1.6178687,0.07)); #476949=CARTESIAN_POINT('',(29.413534,1.6178687,0.035)); #476950=CARTESIAN_POINT('Origin',(29.361228,1.6507344,0.035)); #476951=CARTESIAN_POINT('',(29.361228,1.6507344,0.035)); #476952=CARTESIAN_POINT('',(29.361228,1.6507344,0.035)); #476953=CARTESIAN_POINT('',(29.361228,1.6507344,0.07)); #476954=CARTESIAN_POINT('',(29.361228,1.6507344,0.07)); #476955=CARTESIAN_POINT('',(29.361228,1.6507344,0.035)); #476956=CARTESIAN_POINT('Origin',(29.312931,1.68925,0.035)); #476957=CARTESIAN_POINT('',(29.312931,1.68925,0.035)); #476958=CARTESIAN_POINT('',(29.312931,1.68925,0.035)); #476959=CARTESIAN_POINT('',(29.312931,1.68925,0.07)); #476960=CARTESIAN_POINT('',(29.312931,1.68925,0.07)); #476961=CARTESIAN_POINT('',(29.312931,1.68925,0.035)); #476962=CARTESIAN_POINT('Origin',(29.26925,1.7329313,0.035)); #476963=CARTESIAN_POINT('',(29.26925,1.7329313,0.035)); #476964=CARTESIAN_POINT('',(29.26925,1.7329313,0.035)); #476965=CARTESIAN_POINT('',(29.26925,1.7329313,0.07)); #476966=CARTESIAN_POINT('',(29.26925,1.7329313,0.07)); #476967=CARTESIAN_POINT('',(29.26925,1.7329313,0.035)); #476968=CARTESIAN_POINT('Origin',(29.230734,1.7812281,0.035)); #476969=CARTESIAN_POINT('',(29.230734,1.7812281,0.035)); #476970=CARTESIAN_POINT('',(29.230734,1.7812281,0.035)); #476971=CARTESIAN_POINT('',(29.230734,1.7812281,0.07)); #476972=CARTESIAN_POINT('',(29.230734,1.7812281,0.07)); #476973=CARTESIAN_POINT('',(29.230734,1.7812281,0.035)); #476974=CARTESIAN_POINT('Origin',(29.197869,1.8335344,0.035)); #476975=CARTESIAN_POINT('',(29.197869,1.8335344,0.035)); #476976=CARTESIAN_POINT('',(29.197869,1.8335344,0.035)); #476977=CARTESIAN_POINT('',(29.197869,1.8335344,0.07)); #476978=CARTESIAN_POINT('',(29.197869,1.8335344,0.07)); #476979=CARTESIAN_POINT('',(29.197869,1.8335344,0.035)); #476980=CARTESIAN_POINT('Origin',(29.171066,1.8891938,0.035)); #476981=CARTESIAN_POINT('',(29.171066,1.8891938,0.035)); #476982=CARTESIAN_POINT('',(29.171066,1.8891938,0.035)); #476983=CARTESIAN_POINT('',(29.171066,1.8891938,0.07)); #476984=CARTESIAN_POINT('',(29.171066,1.8891938,0.07)); #476985=CARTESIAN_POINT('',(29.171066,1.8891938,0.035)); #476986=CARTESIAN_POINT('Origin',(29.150663,1.9475,0.035)); #476987=CARTESIAN_POINT('',(29.150663,1.9475,0.035)); #476988=CARTESIAN_POINT('',(29.150663,1.9475,0.035)); #476989=CARTESIAN_POINT('',(29.150663,1.9475,0.07)); #476990=CARTESIAN_POINT('',(29.150663,1.9475,0.07)); #476991=CARTESIAN_POINT('',(29.150663,1.9475,0.035)); #476992=CARTESIAN_POINT('Origin',(29.136916,2.007725,0.035)); #476993=CARTESIAN_POINT('',(29.136916,2.007725,0.035)); #476994=CARTESIAN_POINT('',(29.136916,2.007725,0.035)); #476995=CARTESIAN_POINT('',(29.136916,2.007725,0.07)); #476996=CARTESIAN_POINT('',(29.136916,2.007725,0.07)); #476997=CARTESIAN_POINT('',(29.136916,2.007725,0.035)); #476998=CARTESIAN_POINT('Origin',(29.13,2.0691125,0.035)); #476999=CARTESIAN_POINT('',(29.13,2.0691125,0.035)); #477000=CARTESIAN_POINT('',(29.13,2.0691125,0.035)); #477001=CARTESIAN_POINT('',(29.13,2.0691125,0.07)); #477002=CARTESIAN_POINT('',(29.13,2.0691125,0.07)); #477003=CARTESIAN_POINT('',(29.13,2.0691125,0.035)); #477004=CARTESIAN_POINT('Origin',(29.13,2.9308875,0.035)); #477005=CARTESIAN_POINT('',(29.13,2.9308875,0.035)); #477006=CARTESIAN_POINT('',(29.13,2.9308875,0.035)); #477007=CARTESIAN_POINT('',(29.13,2.9308875,0.07)); #477008=CARTESIAN_POINT('',(29.13,2.9308875,0.07)); #477009=CARTESIAN_POINT('',(29.13,2.9308875,0.035)); #477010=CARTESIAN_POINT('Origin',(29.136916,2.992275,0.035)); #477011=CARTESIAN_POINT('',(29.136916,2.992275,0.035)); #477012=CARTESIAN_POINT('',(29.136916,2.992275,0.035)); #477013=CARTESIAN_POINT('',(29.136916,2.992275,0.07)); #477014=CARTESIAN_POINT('',(29.136916,2.992275,0.07)); #477015=CARTESIAN_POINT('',(29.136916,2.992275,0.035)); #477016=CARTESIAN_POINT('Origin',(29.150663,3.0525,0.035)); #477017=CARTESIAN_POINT('',(29.150663,3.0525,0.035)); #477018=CARTESIAN_POINT('',(29.150663,3.0525,0.035)); #477019=CARTESIAN_POINT('',(29.150663,3.0525,0.07)); #477020=CARTESIAN_POINT('',(29.150663,3.0525,0.07)); #477021=CARTESIAN_POINT('',(29.150663,3.0525,0.035)); #477022=CARTESIAN_POINT('Origin',(29.171066,3.1108062,0.035)); #477023=CARTESIAN_POINT('',(29.171066,3.1108062,0.035)); #477024=CARTESIAN_POINT('',(29.171066,3.1108062,0.035)); #477025=CARTESIAN_POINT('',(29.171066,3.1108062,0.07)); #477026=CARTESIAN_POINT('',(29.171066,3.1108062,0.07)); #477027=CARTESIAN_POINT('',(29.171066,3.1108062,0.035)); #477028=CARTESIAN_POINT('Origin',(29.197869,3.1664656,0.035)); #477029=CARTESIAN_POINT('',(29.197869,3.1664656,0.035)); #477030=CARTESIAN_POINT('',(29.197869,3.1664656,0.035)); #477031=CARTESIAN_POINT('',(29.197869,3.1664656,0.07)); #477032=CARTESIAN_POINT('',(29.197869,3.1664656,0.07)); #477033=CARTESIAN_POINT('',(29.197869,3.1664656,0.035)); #477034=CARTESIAN_POINT('Origin',(29.230734,3.2187719,0.035)); #477035=CARTESIAN_POINT('',(29.230734,3.2187719,0.035)); #477036=CARTESIAN_POINT('',(29.230734,3.2187719,0.035)); #477037=CARTESIAN_POINT('',(29.230734,3.2187719,0.07)); #477038=CARTESIAN_POINT('',(29.230734,3.2187719,0.07)); #477039=CARTESIAN_POINT('',(29.230734,3.2187719,0.035)); #477040=CARTESIAN_POINT('Origin',(29.26925,3.2670687,0.035)); #477041=CARTESIAN_POINT('',(29.26925,3.2670687,0.035)); #477042=CARTESIAN_POINT('',(29.26925,3.2670687,0.035)); #477043=CARTESIAN_POINT('',(29.26925,3.2670687,0.07)); #477044=CARTESIAN_POINT('',(29.26925,3.2670687,0.07)); #477045=CARTESIAN_POINT('',(29.26925,3.2670687,0.035)); #477046=CARTESIAN_POINT('Origin',(29.312931,3.31075,0.035)); #477047=CARTESIAN_POINT('',(29.312931,3.31075,0.035)); #477048=CARTESIAN_POINT('',(29.312931,3.31075,0.035)); #477049=CARTESIAN_POINT('',(29.312931,3.31075,0.07)); #477050=CARTESIAN_POINT('',(29.312931,3.31075,0.07)); #477051=CARTESIAN_POINT('',(29.312931,3.31075,0.035)); #477052=CARTESIAN_POINT('Origin',(29.361228,3.3492656,0.035)); #477053=CARTESIAN_POINT('',(29.361228,3.3492656,0.035)); #477054=CARTESIAN_POINT('',(29.361228,3.3492656,0.035)); #477055=CARTESIAN_POINT('',(29.361228,3.3492656,0.07)); #477056=CARTESIAN_POINT('',(29.361228,3.3492656,0.07)); #477057=CARTESIAN_POINT('',(29.361228,3.3492656,0.035)); #477058=CARTESIAN_POINT('Origin',(29.413534,3.3821313,0.035)); #477059=CARTESIAN_POINT('',(29.413534,3.3821313,0.035)); #477060=CARTESIAN_POINT('',(29.413534,3.3821313,0.035)); #477061=CARTESIAN_POINT('',(29.413534,3.3821313,0.07)); #477062=CARTESIAN_POINT('',(29.413534,3.3821313,0.07)); #477063=CARTESIAN_POINT('',(29.413534,3.3821313,0.035)); #477064=CARTESIAN_POINT('Origin',(29.469194,3.4089344,0.035)); #477065=CARTESIAN_POINT('',(29.469194,3.4089344,0.035)); #477066=CARTESIAN_POINT('',(29.469194,3.4089344,0.035)); #477067=CARTESIAN_POINT('',(29.469194,3.4089344,0.07)); #477068=CARTESIAN_POINT('',(29.469194,3.4089344,0.07)); #477069=CARTESIAN_POINT('',(29.469194,3.4089344,0.035)); #477070=CARTESIAN_POINT('Origin',(29.5275,3.4293375,0.035)); #477071=CARTESIAN_POINT('',(29.5275,3.4293375,0.035)); #477072=CARTESIAN_POINT('',(29.5275,3.4293375,0.035)); #477073=CARTESIAN_POINT('',(29.5275,3.4293375,0.07)); #477074=CARTESIAN_POINT('',(29.5275,3.4293375,0.07)); #477075=CARTESIAN_POINT('',(29.5275,3.4293375,0.035)); #477076=CARTESIAN_POINT('Origin',(29.587725,3.4430844,0.035)); #477077=CARTESIAN_POINT('',(29.587725,3.4430844,0.035)); #477078=CARTESIAN_POINT('',(29.587725,3.4430844,0.035)); #477079=CARTESIAN_POINT('',(29.587725,3.4430844,0.07)); #477080=CARTESIAN_POINT('',(29.587725,3.4430844,0.07)); #477081=CARTESIAN_POINT('',(29.587725,3.4430844,0.035)); #477082=CARTESIAN_POINT('Origin',(29.649113,3.45,0.035)); #477083=CARTESIAN_POINT('',(29.649113,3.45,0.035)); #477084=CARTESIAN_POINT('',(29.649113,3.45,0.035)); #477085=CARTESIAN_POINT('',(29.649113,3.45,0.07)); #477086=CARTESIAN_POINT('',(29.649113,3.45,0.07)); #477087=CARTESIAN_POINT('',(29.649113,3.45,0.035)); #477088=CARTESIAN_POINT('Origin',(29.710888,3.45,0.035)); #477089=CARTESIAN_POINT('',(29.710888,3.45,0.035)); #477090=CARTESIAN_POINT('',(29.710888,3.45,0.035)); #477091=CARTESIAN_POINT('',(29.710888,3.45,0.07)); #477092=CARTESIAN_POINT('',(29.710888,3.45,0.07)); #477093=CARTESIAN_POINT('',(29.710888,3.45,0.035)); #477094=CARTESIAN_POINT('Origin',(29.772275,3.4430844,0.035)); #477095=CARTESIAN_POINT('',(29.772275,3.4430844,0.035)); #477096=CARTESIAN_POINT('',(29.772275,3.4430844,0.035)); #477097=CARTESIAN_POINT('',(29.772275,3.4430844,0.07)); #477098=CARTESIAN_POINT('',(29.772275,3.4430844,0.07)); #477099=CARTESIAN_POINT('',(29.772275,3.4430844,0.035)); #477100=CARTESIAN_POINT('Origin',(29.8325,3.4293375,0.035)); #477101=CARTESIAN_POINT('',(29.8325,3.4293375,0.035)); #477102=CARTESIAN_POINT('',(29.8325,3.4293375,0.035)); #477103=CARTESIAN_POINT('',(29.8325,3.4293375,0.07)); #477104=CARTESIAN_POINT('',(29.8325,3.4293375,0.07)); #477105=CARTESIAN_POINT('',(29.8325,3.4293375,0.035)); #477106=CARTESIAN_POINT('Origin',(29.890806,3.4089344,0.035)); #477107=CARTESIAN_POINT('',(29.890806,3.4089344,0.035)); #477108=CARTESIAN_POINT('',(29.890806,3.4089344,0.035)); #477109=CARTESIAN_POINT('',(29.890806,3.4089344,0.07)); #477110=CARTESIAN_POINT('',(29.890806,3.4089344,0.07)); #477111=CARTESIAN_POINT('',(29.890806,3.4089344,0.035)); #477112=CARTESIAN_POINT('Origin',(29.946466,3.3821313,0.035)); #477113=CARTESIAN_POINT('',(29.946466,3.3821313,0.035)); #477114=CARTESIAN_POINT('',(29.946466,3.3821313,0.035)); #477115=CARTESIAN_POINT('',(29.946466,3.3821313,0.07)); #477116=CARTESIAN_POINT('',(29.946466,3.3821313,0.07)); #477117=CARTESIAN_POINT('',(29.946466,3.3821313,0.035)); #477118=CARTESIAN_POINT('Origin',(29.998772,3.3492656,0.035)); #477119=CARTESIAN_POINT('',(29.998772,3.3492656,0.035)); #477120=CARTESIAN_POINT('',(29.998772,3.3492656,0.035)); #477121=CARTESIAN_POINT('',(29.998772,3.3492656,0.07)); #477122=CARTESIAN_POINT('',(29.998772,3.3492656,0.07)); #477123=CARTESIAN_POINT('',(29.998772,3.3492656,0.035)); #477124=CARTESIAN_POINT('Origin',(30.047069,3.31075,0.035)); #477125=CARTESIAN_POINT('',(30.047069,3.31075,0.035)); #477126=CARTESIAN_POINT('',(30.047069,3.31075,0.035)); #477127=CARTESIAN_POINT('',(30.047069,3.31075,0.07)); #477128=CARTESIAN_POINT('',(30.047069,3.31075,0.07)); #477129=CARTESIAN_POINT('',(30.047069,3.31075,0.035)); #477130=CARTESIAN_POINT('Origin',(30.09075,3.2670687,0.035)); #477131=CARTESIAN_POINT('',(30.09075,3.2670687,0.035)); #477132=CARTESIAN_POINT('',(30.09075,3.2670687,0.035)); #477133=CARTESIAN_POINT('',(30.09075,3.2670687,0.07)); #477134=CARTESIAN_POINT('',(30.09075,3.2670687,0.07)); #477135=CARTESIAN_POINT('',(30.09075,3.2670687,0.035)); #477136=CARTESIAN_POINT('Origin',(30.129266,3.2187719,0.035)); #477137=CARTESIAN_POINT('',(30.129266,3.2187719,0.035)); #477138=CARTESIAN_POINT('',(30.129266,3.2187719,0.035)); #477139=CARTESIAN_POINT('',(30.129266,3.2187719,0.07)); #477140=CARTESIAN_POINT('',(30.129266,3.2187719,0.07)); #477141=CARTESIAN_POINT('',(30.129266,3.2187719,0.035)); #477142=CARTESIAN_POINT('Origin',(30.162131,3.1664656,0.035)); #477143=CARTESIAN_POINT('',(30.162131,3.1664656,0.035)); #477144=CARTESIAN_POINT('',(30.162131,3.1664656,0.035)); #477145=CARTESIAN_POINT('',(30.162131,3.1664656,0.07)); #477146=CARTESIAN_POINT('',(30.162131,3.1664656,0.07)); #477147=CARTESIAN_POINT('',(30.162131,3.1664656,0.035)); #477148=CARTESIAN_POINT('Origin',(30.188934,3.1108062,0.035)); #477149=CARTESIAN_POINT('',(30.188934,3.1108062,0.035)); #477150=CARTESIAN_POINT('',(30.188934,3.1108062,0.035)); #477151=CARTESIAN_POINT('',(30.188934,3.1108062,0.07)); #477152=CARTESIAN_POINT('',(30.188934,3.1108062,0.07)); #477153=CARTESIAN_POINT('',(30.188934,3.1108062,0.035)); #477154=CARTESIAN_POINT('Origin',(30.209338,3.0525,0.035)); #477155=CARTESIAN_POINT('',(30.209338,3.0525,0.035)); #477156=CARTESIAN_POINT('',(30.209338,3.0525,0.035)); #477157=CARTESIAN_POINT('',(30.209338,3.0525,0.07)); #477158=CARTESIAN_POINT('',(30.209338,3.0525,0.07)); #477159=CARTESIAN_POINT('',(30.209338,3.0525,0.035)); #477160=CARTESIAN_POINT('Origin',(30.223084,2.992275,0.035)); #477161=CARTESIAN_POINT('',(30.223084,2.992275,0.035)); #477162=CARTESIAN_POINT('',(30.223084,2.992275,0.035)); #477163=CARTESIAN_POINT('',(30.223084,2.992275,0.07)); #477164=CARTESIAN_POINT('',(30.223084,2.992275,0.07)); #477165=CARTESIAN_POINT('',(30.223084,2.992275,0.035)); #477166=CARTESIAN_POINT('Origin',(30.23,2.9308875,0.035)); #477167=CARTESIAN_POINT('',(30.23,2.9308875,0.035)); #477168=CARTESIAN_POINT('',(30.23,2.9308875,0.035)); #477169=CARTESIAN_POINT('',(30.23,2.9308875,0.07)); #477170=CARTESIAN_POINT('',(30.23,2.9308875,0.07)); #477171=CARTESIAN_POINT('',(30.23,2.9308875,0.035)); #477172=CARTESIAN_POINT('Origin',(30.23,2.0691125,0.035)); #477173=CARTESIAN_POINT('',(30.23,2.0691125,0.035)); #477174=CARTESIAN_POINT('',(30.23,2.0691125,0.035)); #477175=CARTESIAN_POINT('',(30.23,2.0691125,0.07)); #477176=CARTESIAN_POINT('',(30.23,2.0691125,0.07)); #477177=CARTESIAN_POINT('',(30.23,2.0691125,0.035)); #477178=CARTESIAN_POINT('Origin',(30.223084,2.007725,0.035)); #477179=CARTESIAN_POINT('',(30.223084,2.007725,0.035)); #477180=CARTESIAN_POINT('',(30.223084,2.007725,0.035)); #477181=CARTESIAN_POINT('',(30.223084,2.007725,0.07)); #477182=CARTESIAN_POINT('',(30.223084,2.007725,0.07)); #477183=CARTESIAN_POINT('',(30.223084,2.007725,0.035)); #477184=CARTESIAN_POINT('Origin',(30.209338,1.9475,0.035)); #477185=CARTESIAN_POINT('',(30.209338,1.9475,0.035)); #477186=CARTESIAN_POINT('',(30.209338,1.9475,0.035)); #477187=CARTESIAN_POINT('',(30.209338,1.9475,0.07)); #477188=CARTESIAN_POINT('',(30.209338,1.9475,0.07)); #477189=CARTESIAN_POINT('',(30.209338,1.9475,0.035)); #477190=CARTESIAN_POINT('Origin',(30.188934,1.8891938,0.035)); #477191=CARTESIAN_POINT('',(30.188934,1.8891938,0.035)); #477192=CARTESIAN_POINT('',(30.188934,1.8891938,0.035)); #477193=CARTESIAN_POINT('',(30.188934,1.8891938,0.07)); #477194=CARTESIAN_POINT('',(30.188934,1.8891938,0.07)); #477195=CARTESIAN_POINT('',(30.188934,1.8891938,0.035)); #477196=CARTESIAN_POINT('Origin',(30.162131,1.8335344,0.035)); #477197=CARTESIAN_POINT('',(30.162131,1.8335344,0.035)); #477198=CARTESIAN_POINT('',(30.162131,1.8335344,0.035)); #477199=CARTESIAN_POINT('',(30.162131,1.8335344,0.07)); #477200=CARTESIAN_POINT('',(30.162131,1.8335344,0.07)); #477201=CARTESIAN_POINT('',(30.162131,1.8335344,0.035)); #477202=CARTESIAN_POINT('Origin',(30.129266,1.7812281,0.035)); #477203=CARTESIAN_POINT('',(30.129266,1.7812281,0.035)); #477204=CARTESIAN_POINT('',(30.129266,1.7812281,0.035)); #477205=CARTESIAN_POINT('',(30.129266,1.7812281,0.07)); #477206=CARTESIAN_POINT('',(30.129266,1.7812281,0.07)); #477207=CARTESIAN_POINT('',(30.129266,1.7812281,0.035)); #477208=CARTESIAN_POINT('Origin',(30.09075,1.7329313,0.035)); #477209=CARTESIAN_POINT('',(30.09075,1.7329313,0.035)); #477210=CARTESIAN_POINT('',(30.09075,1.7329313,0.035)); #477211=CARTESIAN_POINT('',(30.09075,1.7329313,0.07)); #477212=CARTESIAN_POINT('',(30.09075,1.7329313,0.07)); #477213=CARTESIAN_POINT('',(30.09075,1.7329313,0.035)); #477214=CARTESIAN_POINT('Origin',(30.047069,1.68925,0.035)); #477215=CARTESIAN_POINT('',(30.047069,1.68925,0.035)); #477216=CARTESIAN_POINT('',(30.047069,1.68925,0.035)); #477217=CARTESIAN_POINT('',(30.047069,1.68925,0.07)); #477218=CARTESIAN_POINT('',(30.047069,1.68925,0.07)); #477219=CARTESIAN_POINT('',(30.047069,1.68925,0.035)); #477220=CARTESIAN_POINT('Origin',(29.998772,1.6507344,0.035)); #477221=CARTESIAN_POINT('',(29.998772,1.6507344,0.035)); #477222=CARTESIAN_POINT('',(29.998772,1.6507344,0.035)); #477223=CARTESIAN_POINT('',(29.998772,1.6507344,0.07)); #477224=CARTESIAN_POINT('',(29.998772,1.6507344,0.07)); #477225=CARTESIAN_POINT('',(29.998772,1.6507344,0.035)); #477226=CARTESIAN_POINT('Origin',(29.946466,1.6178687,0.035)); #477227=CARTESIAN_POINT('',(29.946466,1.6178687,0.035)); #477228=CARTESIAN_POINT('',(29.946466,1.6178687,0.035)); #477229=CARTESIAN_POINT('',(29.946466,1.6178687,0.07)); #477230=CARTESIAN_POINT('',(29.946466,1.6178687,0.07)); #477231=CARTESIAN_POINT('',(29.946466,1.6178687,0.035)); #477232=CARTESIAN_POINT('Origin',(29.890806,1.5910656,0.035)); #477233=CARTESIAN_POINT('',(29.890806,1.5910656,0.035)); #477234=CARTESIAN_POINT('',(29.890806,1.5910656,0.035)); #477235=CARTESIAN_POINT('',(29.890806,1.5910656,0.07)); #477236=CARTESIAN_POINT('',(29.890806,1.5910656,0.07)); #477237=CARTESIAN_POINT('',(29.890806,1.5910656,0.035)); #477238=CARTESIAN_POINT('Origin',(29.8325,1.5706625,0.035)); #477239=CARTESIAN_POINT('',(29.8325,1.5706625,0.035)); #477240=CARTESIAN_POINT('',(29.8325,1.5706625,0.035)); #477241=CARTESIAN_POINT('',(29.8325,1.5706625,0.07)); #477242=CARTESIAN_POINT('',(29.8325,1.5706625,0.07)); #477243=CARTESIAN_POINT('',(29.8325,1.5706625,0.035)); #477244=CARTESIAN_POINT('Origin',(29.772275,1.5569156,0.035)); #477245=CARTESIAN_POINT('',(29.772275,1.5569156,0.035)); #477246=CARTESIAN_POINT('',(29.772275,1.5569156,0.07)); #477247=CARTESIAN_POINT('Origin',(29.680000045263,2.5,0.07)); #477248=CARTESIAN_POINT('Origin',(29.680000045263,2.5,0.035)); #477249=CARTESIAN_POINT('Origin',(39.1500000454174,34.565,-200.)); #477250=CARTESIAN_POINT('',(38.5500000151391,34.565,0.0700000000000145)); #477251=CARTESIAN_POINT('',(39.1500000454174,34.565,0.0700000000000145)); #477252=CARTESIAN_POINT('',(39.0000000471749,34.565,0.0699999999999967)); #477253=CARTESIAN_POINT('',(38.5500000151391,34.565,0.0349999999999895)); #477254=CARTESIAN_POINT('',(38.5500000151391,34.565,-200.)); #477255=CARTESIAN_POINT('',(39.1500000454174,34.565,0.0349999999999895)); #477256=CARTESIAN_POINT('',(39.0000000471749,34.565,0.0350000000000072)); #477257=CARTESIAN_POINT('',(39.1500000454174,34.565,-200.)); #477258=CARTESIAN_POINT('Origin',(39.15,34.,-200.)); #477259=CARTESIAN_POINT('',(39.1499999848609,33.435,0.0700000000000145)); #477260=CARTESIAN_POINT('Origin',(39.15,34.,0.0700000000000145)); #477261=CARTESIAN_POINT('',(39.1499999848609,33.435,0.0349999999999895)); #477262=CARTESIAN_POINT('Origin',(39.15,34.,0.0349999999999895)); #477263=CARTESIAN_POINT('',(39.1499999848609,33.435,-200.)); #477264=CARTESIAN_POINT('Origin',(38.5499999545827,33.435,-200.)); #477265=CARTESIAN_POINT('',(38.5499999545827,33.435,0.0700000000000145)); #477266=CARTESIAN_POINT('',(38.7000000017575,33.435,0.0699999999999967)); #477267=CARTESIAN_POINT('',(38.5499999545827,33.435,0.0349999999999895)); #477268=CARTESIAN_POINT('',(38.7000000017575,33.435,0.0350000000000072)); #477269=CARTESIAN_POINT('',(38.5499999545827,33.435,-200.)); #477270=CARTESIAN_POINT('Origin',(38.55,34.,-200.)); #477271=CARTESIAN_POINT('Origin',(38.55,34.,0.0700000000000145)); #477272=CARTESIAN_POINT('Origin',(38.55,34.,0.0349999999999895)); #477273=CARTESIAN_POINT('Origin',(39.189294,33.1,0.035)); #477274=CARTESIAN_POINT('',(39.189294,33.1,0.035)); #477275=CARTESIAN_POINT('',(39.267584,33.10685,0.035)); #477276=CARTESIAN_POINT('',(39.189294,33.1,0.035)); #477277=CARTESIAN_POINT('',(39.267584,33.10685,0.07)); #477278=CARTESIAN_POINT('',(39.267584,33.10685,0.035)); #477279=CARTESIAN_POINT('',(39.189294,33.1,0.07)); #477280=CARTESIAN_POINT('',(39.189294,33.1,0.07)); #477281=CARTESIAN_POINT('',(39.189294,33.1,0.035)); #477282=CARTESIAN_POINT('Origin',(38.510706,33.1,0.035)); #477283=CARTESIAN_POINT('',(38.510706,33.1,0.035)); #477284=CARTESIAN_POINT('',(38.510706,33.1,0.035)); #477285=CARTESIAN_POINT('',(38.510706,33.1,0.07)); #477286=CARTESIAN_POINT('',(38.510706,33.1,0.07)); #477287=CARTESIAN_POINT('',(38.510706,33.1,0.035)); #477288=CARTESIAN_POINT('Origin',(38.432416,33.10685,0.035)); #477289=CARTESIAN_POINT('',(38.432416,33.10685,0.035)); #477290=CARTESIAN_POINT('',(38.432416,33.10685,0.035)); #477291=CARTESIAN_POINT('',(38.432416,33.10685,0.07)); #477292=CARTESIAN_POINT('',(38.432416,33.10685,0.07)); #477293=CARTESIAN_POINT('',(38.432416,33.10685,0.035)); #477294=CARTESIAN_POINT('Origin',(38.355019,33.120497,0.035)); #477295=CARTESIAN_POINT('',(38.355019,33.120497,0.035)); #477296=CARTESIAN_POINT('',(38.355019,33.120497,0.035)); #477297=CARTESIAN_POINT('',(38.355019,33.120497,0.07)); #477298=CARTESIAN_POINT('',(38.355019,33.120497,0.07)); #477299=CARTESIAN_POINT('',(38.355019,33.120497,0.035)); #477300=CARTESIAN_POINT('Origin',(38.279106,33.140838,0.035)); #477301=CARTESIAN_POINT('',(38.279106,33.140838,0.035)); #477302=CARTESIAN_POINT('',(38.279106,33.140838,0.035)); #477303=CARTESIAN_POINT('',(38.279106,33.140838,0.07)); #477304=CARTESIAN_POINT('',(38.279106,33.140838,0.07)); #477305=CARTESIAN_POINT('',(38.279106,33.140838,0.035)); #477306=CARTESIAN_POINT('Origin',(38.205256,33.167716,0.035)); #477307=CARTESIAN_POINT('',(38.205256,33.167716,0.035)); #477308=CARTESIAN_POINT('',(38.205256,33.167716,0.035)); #477309=CARTESIAN_POINT('',(38.205256,33.167716,0.07)); #477310=CARTESIAN_POINT('',(38.205256,33.167716,0.07)); #477311=CARTESIAN_POINT('',(38.205256,33.167716,0.035)); #477312=CARTESIAN_POINT('Origin',(38.134031,33.200931,0.035)); #477313=CARTESIAN_POINT('',(38.134031,33.200931,0.035)); #477314=CARTESIAN_POINT('',(38.134031,33.200931,0.035)); #477315=CARTESIAN_POINT('',(38.134031,33.200931,0.07)); #477316=CARTESIAN_POINT('',(38.134031,33.200931,0.07)); #477317=CARTESIAN_POINT('',(38.134031,33.200931,0.035)); #477318=CARTESIAN_POINT('Origin',(38.065969,33.240225,0.035)); #477319=CARTESIAN_POINT('',(38.065969,33.240225,0.035)); #477320=CARTESIAN_POINT('',(38.065969,33.240225,0.035)); #477321=CARTESIAN_POINT('',(38.065969,33.240225,0.07)); #477322=CARTESIAN_POINT('',(38.065969,33.240225,0.07)); #477323=CARTESIAN_POINT('',(38.065969,33.240225,0.035)); #477324=CARTESIAN_POINT('Origin',(38.001594,33.285303,0.035)); #477325=CARTESIAN_POINT('',(38.001594,33.285303,0.035)); #477326=CARTESIAN_POINT('',(38.001594,33.285303,0.035)); #477327=CARTESIAN_POINT('',(38.001594,33.285303,0.07)); #477328=CARTESIAN_POINT('',(38.001594,33.285303,0.07)); #477329=CARTESIAN_POINT('',(38.001594,33.285303,0.035)); #477330=CARTESIAN_POINT('Origin',(37.941391,33.335819,0.035)); #477331=CARTESIAN_POINT('',(37.941391,33.335819,0.035)); #477332=CARTESIAN_POINT('',(37.941391,33.335819,0.035)); #477333=CARTESIAN_POINT('',(37.941391,33.335819,0.07)); #477334=CARTESIAN_POINT('',(37.941391,33.335819,0.07)); #477335=CARTESIAN_POINT('',(37.941391,33.335819,0.035)); #477336=CARTESIAN_POINT('Origin',(37.885819,33.391391,0.035)); #477337=CARTESIAN_POINT('',(37.885819,33.391391,0.035)); #477338=CARTESIAN_POINT('',(37.885819,33.391391,0.035)); #477339=CARTESIAN_POINT('',(37.885819,33.391391,0.07)); #477340=CARTESIAN_POINT('',(37.885819,33.391391,0.07)); #477341=CARTESIAN_POINT('',(37.885819,33.391391,0.035)); #477342=CARTESIAN_POINT('Origin',(37.835303,33.451594,0.035)); #477343=CARTESIAN_POINT('',(37.835303,33.451594,0.035)); #477344=CARTESIAN_POINT('',(37.835303,33.451594,0.035)); #477345=CARTESIAN_POINT('',(37.835303,33.451594,0.07)); #477346=CARTESIAN_POINT('',(37.835303,33.451594,0.07)); #477347=CARTESIAN_POINT('',(37.835303,33.451594,0.035)); #477348=CARTESIAN_POINT('Origin',(37.790225,33.515969,0.035)); #477349=CARTESIAN_POINT('',(37.790225,33.515969,0.035)); #477350=CARTESIAN_POINT('',(37.790225,33.515969,0.035)); #477351=CARTESIAN_POINT('',(37.790225,33.515969,0.07)); #477352=CARTESIAN_POINT('',(37.790225,33.515969,0.07)); #477353=CARTESIAN_POINT('',(37.790225,33.515969,0.035)); #477354=CARTESIAN_POINT('Origin',(37.750931,33.584031,0.035)); #477355=CARTESIAN_POINT('',(37.750931,33.584031,0.035)); #477356=CARTESIAN_POINT('',(37.750931,33.584031,0.035)); #477357=CARTESIAN_POINT('',(37.750931,33.584031,0.07)); #477358=CARTESIAN_POINT('',(37.750931,33.584031,0.07)); #477359=CARTESIAN_POINT('',(37.750931,33.584031,0.035)); #477360=CARTESIAN_POINT('Origin',(37.717716,33.655256,0.035)); #477361=CARTESIAN_POINT('',(37.717716,33.655256,0.035)); #477362=CARTESIAN_POINT('',(37.717716,33.655256,0.035)); #477363=CARTESIAN_POINT('',(37.717716,33.655256,0.07)); #477364=CARTESIAN_POINT('',(37.717716,33.655256,0.07)); #477365=CARTESIAN_POINT('',(37.717716,33.655256,0.035)); #477366=CARTESIAN_POINT('Origin',(37.690838,33.729106,0.035)); #477367=CARTESIAN_POINT('',(37.690838,33.729106,0.035)); #477368=CARTESIAN_POINT('',(37.690838,33.729106,0.035)); #477369=CARTESIAN_POINT('',(37.690838,33.729106,0.07)); #477370=CARTESIAN_POINT('',(37.690838,33.729106,0.07)); #477371=CARTESIAN_POINT('',(37.690838,33.729106,0.035)); #477372=CARTESIAN_POINT('Origin',(37.670497,33.805019,0.035)); #477373=CARTESIAN_POINT('',(37.670497,33.805019,0.035)); #477374=CARTESIAN_POINT('',(37.670497,33.805019,0.035)); #477375=CARTESIAN_POINT('',(37.670497,33.805019,0.07)); #477376=CARTESIAN_POINT('',(37.670497,33.805019,0.07)); #477377=CARTESIAN_POINT('',(37.670497,33.805019,0.035)); #477378=CARTESIAN_POINT('Origin',(37.65685,33.882416,0.035)); #477379=CARTESIAN_POINT('',(37.65685,33.882416,0.035)); #477380=CARTESIAN_POINT('',(37.65685,33.882416,0.035)); #477381=CARTESIAN_POINT('',(37.65685,33.882416,0.07)); #477382=CARTESIAN_POINT('',(37.65685,33.882416,0.07)); #477383=CARTESIAN_POINT('',(37.65685,33.882416,0.035)); #477384=CARTESIAN_POINT('Origin',(37.65,33.960706,0.035)); #477385=CARTESIAN_POINT('',(37.65,33.960706,0.035)); #477386=CARTESIAN_POINT('',(37.65,33.960706,0.035)); #477387=CARTESIAN_POINT('',(37.65,33.960706,0.07)); #477388=CARTESIAN_POINT('',(37.65,33.960706,0.07)); #477389=CARTESIAN_POINT('',(37.65,33.960706,0.035)); #477390=CARTESIAN_POINT('Origin',(37.65,34.039294,0.035)); #477391=CARTESIAN_POINT('',(37.65,34.039294,0.035)); #477392=CARTESIAN_POINT('',(37.65,34.039294,0.035)); #477393=CARTESIAN_POINT('',(37.65,34.039294,0.07)); #477394=CARTESIAN_POINT('',(37.65,34.039294,0.07)); #477395=CARTESIAN_POINT('',(37.65,34.039294,0.035)); #477396=CARTESIAN_POINT('Origin',(37.65685,34.117584,0.035)); #477397=CARTESIAN_POINT('',(37.65685,34.117584,0.035)); #477398=CARTESIAN_POINT('',(37.65685,34.117584,0.035)); #477399=CARTESIAN_POINT('',(37.65685,34.117584,0.07)); #477400=CARTESIAN_POINT('',(37.65685,34.117584,0.07)); #477401=CARTESIAN_POINT('',(37.65685,34.117584,0.035)); #477402=CARTESIAN_POINT('Origin',(37.670497,34.194981,0.035)); #477403=CARTESIAN_POINT('',(37.670497,34.194981,0.035)); #477404=CARTESIAN_POINT('',(37.670497,34.194981,0.035)); #477405=CARTESIAN_POINT('',(37.670497,34.194981,0.07)); #477406=CARTESIAN_POINT('',(37.670497,34.194981,0.07)); #477407=CARTESIAN_POINT('',(37.670497,34.194981,0.035)); #477408=CARTESIAN_POINT('Origin',(37.690838,34.270894,0.035)); #477409=CARTESIAN_POINT('',(37.690838,34.270894,0.035)); #477410=CARTESIAN_POINT('',(37.690838,34.270894,0.035)); #477411=CARTESIAN_POINT('',(37.690838,34.270894,0.07)); #477412=CARTESIAN_POINT('',(37.690838,34.270894,0.07)); #477413=CARTESIAN_POINT('',(37.690838,34.270894,0.035)); #477414=CARTESIAN_POINT('Origin',(37.717716,34.344744,0.035)); #477415=CARTESIAN_POINT('',(37.717716,34.344744,0.035)); #477416=CARTESIAN_POINT('',(37.717716,34.344744,0.035)); #477417=CARTESIAN_POINT('',(37.717716,34.344744,0.07)); #477418=CARTESIAN_POINT('',(37.717716,34.344744,0.07)); #477419=CARTESIAN_POINT('',(37.717716,34.344744,0.035)); #477420=CARTESIAN_POINT('Origin',(37.750931,34.415969,0.035)); #477421=CARTESIAN_POINT('',(37.750931,34.415969,0.035)); #477422=CARTESIAN_POINT('',(37.750931,34.415969,0.035)); #477423=CARTESIAN_POINT('',(37.750931,34.415969,0.07)); #477424=CARTESIAN_POINT('',(37.750931,34.415969,0.07)); #477425=CARTESIAN_POINT('',(37.750931,34.415969,0.035)); #477426=CARTESIAN_POINT('Origin',(37.790225,34.484031,0.035)); #477427=CARTESIAN_POINT('',(37.790225,34.484031,0.035)); #477428=CARTESIAN_POINT('',(37.790225,34.484031,0.035)); #477429=CARTESIAN_POINT('',(37.790225,34.484031,0.07)); #477430=CARTESIAN_POINT('',(37.790225,34.484031,0.07)); #477431=CARTESIAN_POINT('',(37.790225,34.484031,0.035)); #477432=CARTESIAN_POINT('Origin',(37.835303,34.548406,0.035)); #477433=CARTESIAN_POINT('',(37.835303,34.548406,0.035)); #477434=CARTESIAN_POINT('',(37.835303,34.548406,0.035)); #477435=CARTESIAN_POINT('',(37.835303,34.548406,0.07)); #477436=CARTESIAN_POINT('',(37.835303,34.548406,0.07)); #477437=CARTESIAN_POINT('',(37.835303,34.548406,0.035)); #477438=CARTESIAN_POINT('Origin',(37.885819,34.608609,0.035)); #477439=CARTESIAN_POINT('',(37.885819,34.608609,0.035)); #477440=CARTESIAN_POINT('',(37.885819,34.608609,0.035)); #477441=CARTESIAN_POINT('',(37.885819,34.608609,0.07)); #477442=CARTESIAN_POINT('',(37.885819,34.608609,0.07)); #477443=CARTESIAN_POINT('',(37.885819,34.608609,0.035)); #477444=CARTESIAN_POINT('Origin',(37.941391,34.664181,0.035)); #477445=CARTESIAN_POINT('',(37.941391,34.664181,0.035)); #477446=CARTESIAN_POINT('',(37.941391,34.664181,0.035)); #477447=CARTESIAN_POINT('',(37.941391,34.664181,0.07)); #477448=CARTESIAN_POINT('',(37.941391,34.664181,0.07)); #477449=CARTESIAN_POINT('',(37.941391,34.664181,0.035)); #477450=CARTESIAN_POINT('Origin',(38.001594,34.714697,0.035)); #477451=CARTESIAN_POINT('',(38.001594,34.714697,0.035)); #477452=CARTESIAN_POINT('',(38.001594,34.714697,0.035)); #477453=CARTESIAN_POINT('',(38.001594,34.714697,0.07)); #477454=CARTESIAN_POINT('',(38.001594,34.714697,0.07)); #477455=CARTESIAN_POINT('',(38.001594,34.714697,0.035)); #477456=CARTESIAN_POINT('Origin',(38.065969,34.759775,0.035)); #477457=CARTESIAN_POINT('',(38.065969,34.759775,0.035)); #477458=CARTESIAN_POINT('',(38.065969,34.759775,0.035)); #477459=CARTESIAN_POINT('',(38.065969,34.759775,0.07)); #477460=CARTESIAN_POINT('',(38.065969,34.759775,0.07)); #477461=CARTESIAN_POINT('',(38.065969,34.759775,0.035)); #477462=CARTESIAN_POINT('Origin',(38.134031,34.799069,0.035)); #477463=CARTESIAN_POINT('',(38.134031,34.799069,0.035)); #477464=CARTESIAN_POINT('',(38.134031,34.799069,0.035)); #477465=CARTESIAN_POINT('',(38.134031,34.799069,0.07)); #477466=CARTESIAN_POINT('',(38.134031,34.799069,0.07)); #477467=CARTESIAN_POINT('',(38.134031,34.799069,0.035)); #477468=CARTESIAN_POINT('Origin',(38.205256,34.832284,0.035)); #477469=CARTESIAN_POINT('',(38.205256,34.832284,0.035)); #477470=CARTESIAN_POINT('',(38.205256,34.832284,0.035)); #477471=CARTESIAN_POINT('',(38.205256,34.832284,0.07)); #477472=CARTESIAN_POINT('',(38.205256,34.832284,0.07)); #477473=CARTESIAN_POINT('',(38.205256,34.832284,0.035)); #477474=CARTESIAN_POINT('Origin',(38.279106,34.859163,0.035)); #477475=CARTESIAN_POINT('',(38.279106,34.859163,0.035)); #477476=CARTESIAN_POINT('',(38.279106,34.859163,0.035)); #477477=CARTESIAN_POINT('',(38.279106,34.859163,0.07)); #477478=CARTESIAN_POINT('',(38.279106,34.859163,0.07)); #477479=CARTESIAN_POINT('',(38.279106,34.859163,0.035)); #477480=CARTESIAN_POINT('Origin',(38.355019,34.879503,0.035)); #477481=CARTESIAN_POINT('',(38.355019,34.879503,0.035)); #477482=CARTESIAN_POINT('',(38.355019,34.879503,0.035)); #477483=CARTESIAN_POINT('',(38.355019,34.879503,0.07)); #477484=CARTESIAN_POINT('',(38.355019,34.879503,0.07)); #477485=CARTESIAN_POINT('',(38.355019,34.879503,0.035)); #477486=CARTESIAN_POINT('Origin',(38.432416,34.89315,0.035)); #477487=CARTESIAN_POINT('',(38.432416,34.89315,0.035)); #477488=CARTESIAN_POINT('',(38.432416,34.89315,0.035)); #477489=CARTESIAN_POINT('',(38.432416,34.89315,0.07)); #477490=CARTESIAN_POINT('',(38.432416,34.89315,0.07)); #477491=CARTESIAN_POINT('',(38.432416,34.89315,0.035)); #477492=CARTESIAN_POINT('Origin',(38.510706,34.9,0.035)); #477493=CARTESIAN_POINT('',(38.510706,34.9,0.035)); #477494=CARTESIAN_POINT('',(38.510706,34.9,0.035)); #477495=CARTESIAN_POINT('',(38.510706,34.9,0.07)); #477496=CARTESIAN_POINT('',(38.510706,34.9,0.07)); #477497=CARTESIAN_POINT('',(38.510706,34.9,0.035)); #477498=CARTESIAN_POINT('Origin',(39.189294,34.9,0.035)); #477499=CARTESIAN_POINT('',(39.189294,34.9,0.035)); #477500=CARTESIAN_POINT('',(39.189294,34.9,0.035)); #477501=CARTESIAN_POINT('',(39.189294,34.9,0.07)); #477502=CARTESIAN_POINT('',(39.189294,34.9,0.07)); #477503=CARTESIAN_POINT('',(39.189294,34.9,0.035)); #477504=CARTESIAN_POINT('Origin',(39.267584,34.89315,0.035)); #477505=CARTESIAN_POINT('',(39.267584,34.89315,0.035)); #477506=CARTESIAN_POINT('',(39.267584,34.89315,0.035)); #477507=CARTESIAN_POINT('',(39.267584,34.89315,0.07)); #477508=CARTESIAN_POINT('',(39.267584,34.89315,0.07)); #477509=CARTESIAN_POINT('',(39.267584,34.89315,0.035)); #477510=CARTESIAN_POINT('Origin',(39.344981,34.879503,0.035)); #477511=CARTESIAN_POINT('',(39.344981,34.879503,0.035)); #477512=CARTESIAN_POINT('',(39.344981,34.879503,0.035)); #477513=CARTESIAN_POINT('',(39.344981,34.879503,0.07)); #477514=CARTESIAN_POINT('',(39.344981,34.879503,0.07)); #477515=CARTESIAN_POINT('',(39.344981,34.879503,0.035)); #477516=CARTESIAN_POINT('Origin',(39.420894,34.859163,0.035)); #477517=CARTESIAN_POINT('',(39.420894,34.859163,0.035)); #477518=CARTESIAN_POINT('',(39.420894,34.859163,0.035)); #477519=CARTESIAN_POINT('',(39.420894,34.859163,0.07)); #477520=CARTESIAN_POINT('',(39.420894,34.859163,0.07)); #477521=CARTESIAN_POINT('',(39.420894,34.859163,0.035)); #477522=CARTESIAN_POINT('Origin',(39.494744,34.832284,0.035)); #477523=CARTESIAN_POINT('',(39.494744,34.832284,0.035)); #477524=CARTESIAN_POINT('',(39.494744,34.832284,0.035)); #477525=CARTESIAN_POINT('',(39.494744,34.832284,0.07)); #477526=CARTESIAN_POINT('',(39.494744,34.832284,0.07)); #477527=CARTESIAN_POINT('',(39.494744,34.832284,0.035)); #477528=CARTESIAN_POINT('Origin',(39.565969,34.799069,0.035)); #477529=CARTESIAN_POINT('',(39.565969,34.799069,0.035)); #477530=CARTESIAN_POINT('',(39.565969,34.799069,0.035)); #477531=CARTESIAN_POINT('',(39.565969,34.799069,0.07)); #477532=CARTESIAN_POINT('',(39.565969,34.799069,0.07)); #477533=CARTESIAN_POINT('',(39.565969,34.799069,0.035)); #477534=CARTESIAN_POINT('Origin',(39.634031,34.759775,0.035)); #477535=CARTESIAN_POINT('',(39.634031,34.759775,0.035)); #477536=CARTESIAN_POINT('',(39.634031,34.759775,0.035)); #477537=CARTESIAN_POINT('',(39.634031,34.759775,0.07)); #477538=CARTESIAN_POINT('',(39.634031,34.759775,0.07)); #477539=CARTESIAN_POINT('',(39.634031,34.759775,0.035)); #477540=CARTESIAN_POINT('Origin',(39.698406,34.714697,0.035)); #477541=CARTESIAN_POINT('',(39.698406,34.714697,0.035)); #477542=CARTESIAN_POINT('',(39.698406,34.714697,0.035)); #477543=CARTESIAN_POINT('',(39.698406,34.714697,0.07)); #477544=CARTESIAN_POINT('',(39.698406,34.714697,0.07)); #477545=CARTESIAN_POINT('',(39.698406,34.714697,0.035)); #477546=CARTESIAN_POINT('Origin',(39.758609,34.664181,0.035)); #477547=CARTESIAN_POINT('',(39.758609,34.664181,0.035)); #477548=CARTESIAN_POINT('',(39.758609,34.664181,0.035)); #477549=CARTESIAN_POINT('',(39.758609,34.664181,0.07)); #477550=CARTESIAN_POINT('',(39.758609,34.664181,0.07)); #477551=CARTESIAN_POINT('',(39.758609,34.664181,0.035)); #477552=CARTESIAN_POINT('Origin',(39.814181,34.608609,0.035)); #477553=CARTESIAN_POINT('',(39.814181,34.608609,0.035)); #477554=CARTESIAN_POINT('',(39.814181,34.608609,0.035)); #477555=CARTESIAN_POINT('',(39.814181,34.608609,0.07)); #477556=CARTESIAN_POINT('',(39.814181,34.608609,0.07)); #477557=CARTESIAN_POINT('',(39.814181,34.608609,0.035)); #477558=CARTESIAN_POINT('Origin',(39.864697,34.548406,0.035)); #477559=CARTESIAN_POINT('',(39.864697,34.548406,0.035)); #477560=CARTESIAN_POINT('',(39.864697,34.548406,0.035)); #477561=CARTESIAN_POINT('',(39.864697,34.548406,0.07)); #477562=CARTESIAN_POINT('',(39.864697,34.548406,0.07)); #477563=CARTESIAN_POINT('',(39.864697,34.548406,0.035)); #477564=CARTESIAN_POINT('Origin',(39.909775,34.484031,0.035)); #477565=CARTESIAN_POINT('',(39.909775,34.484031,0.035)); #477566=CARTESIAN_POINT('',(39.909775,34.484031,0.035)); #477567=CARTESIAN_POINT('',(39.909775,34.484031,0.07)); #477568=CARTESIAN_POINT('',(39.909775,34.484031,0.07)); #477569=CARTESIAN_POINT('',(39.909775,34.484031,0.035)); #477570=CARTESIAN_POINT('Origin',(39.949069,34.415969,0.035)); #477571=CARTESIAN_POINT('',(39.949069,34.415969,0.035)); #477572=CARTESIAN_POINT('',(39.949069,34.415969,0.035)); #477573=CARTESIAN_POINT('',(39.949069,34.415969,0.07)); #477574=CARTESIAN_POINT('',(39.949069,34.415969,0.07)); #477575=CARTESIAN_POINT('',(39.949069,34.415969,0.035)); #477576=CARTESIAN_POINT('Origin',(39.982284,34.344744,0.035)); #477577=CARTESIAN_POINT('',(39.982284,34.344744,0.035)); #477578=CARTESIAN_POINT('',(39.982284,34.344744,0.035)); #477579=CARTESIAN_POINT('',(39.982284,34.344744,0.07)); #477580=CARTESIAN_POINT('',(39.982284,34.344744,0.07)); #477581=CARTESIAN_POINT('',(39.982284,34.344744,0.035)); #477582=CARTESIAN_POINT('Origin',(40.009163,34.270894,0.035)); #477583=CARTESIAN_POINT('',(40.009163,34.270894,0.035)); #477584=CARTESIAN_POINT('',(40.009163,34.270894,0.035)); #477585=CARTESIAN_POINT('',(40.009163,34.270894,0.07)); #477586=CARTESIAN_POINT('',(40.009163,34.270894,0.07)); #477587=CARTESIAN_POINT('',(40.009163,34.270894,0.035)); #477588=CARTESIAN_POINT('Origin',(40.029503,34.194981,0.035)); #477589=CARTESIAN_POINT('',(40.029503,34.194981,0.035)); #477590=CARTESIAN_POINT('',(40.029503,34.194981,0.035)); #477591=CARTESIAN_POINT('',(40.029503,34.194981,0.07)); #477592=CARTESIAN_POINT('',(40.029503,34.194981,0.07)); #477593=CARTESIAN_POINT('',(40.029503,34.194981,0.035)); #477594=CARTESIAN_POINT('Origin',(40.04315,34.117584,0.035)); #477595=CARTESIAN_POINT('',(40.04315,34.117584,0.035)); #477596=CARTESIAN_POINT('',(40.04315,34.117584,0.035)); #477597=CARTESIAN_POINT('',(40.04315,34.117584,0.07)); #477598=CARTESIAN_POINT('',(40.04315,34.117584,0.07)); #477599=CARTESIAN_POINT('',(40.04315,34.117584,0.035)); #477600=CARTESIAN_POINT('Origin',(40.05,34.039294,0.035)); #477601=CARTESIAN_POINT('',(40.05,34.039294,0.035)); #477602=CARTESIAN_POINT('',(40.05,34.039294,0.035)); #477603=CARTESIAN_POINT('',(40.05,34.039294,0.07)); #477604=CARTESIAN_POINT('',(40.05,34.039294,0.07)); #477605=CARTESIAN_POINT('',(40.05,34.039294,0.035)); #477606=CARTESIAN_POINT('Origin',(40.05,33.960706,0.035)); #477607=CARTESIAN_POINT('',(40.05,33.960706,0.035)); #477608=CARTESIAN_POINT('',(40.05,33.960706,0.035)); #477609=CARTESIAN_POINT('',(40.05,33.960706,0.07)); #477610=CARTESIAN_POINT('',(40.05,33.960706,0.07)); #477611=CARTESIAN_POINT('',(40.05,33.960706,0.035)); #477612=CARTESIAN_POINT('Origin',(40.04315,33.882416,0.035)); #477613=CARTESIAN_POINT('',(40.04315,33.882416,0.035)); #477614=CARTESIAN_POINT('',(40.04315,33.882416,0.035)); #477615=CARTESIAN_POINT('',(40.04315,33.882416,0.07)); #477616=CARTESIAN_POINT('',(40.04315,33.882416,0.07)); #477617=CARTESIAN_POINT('',(40.04315,33.882416,0.035)); #477618=CARTESIAN_POINT('Origin',(40.029503,33.805019,0.035)); #477619=CARTESIAN_POINT('',(40.029503,33.805019,0.035)); #477620=CARTESIAN_POINT('',(40.029503,33.805019,0.035)); #477621=CARTESIAN_POINT('',(40.029503,33.805019,0.07)); #477622=CARTESIAN_POINT('',(40.029503,33.805019,0.07)); #477623=CARTESIAN_POINT('',(40.029503,33.805019,0.035)); #477624=CARTESIAN_POINT('Origin',(40.009163,33.729106,0.035)); #477625=CARTESIAN_POINT('',(40.009163,33.729106,0.035)); #477626=CARTESIAN_POINT('',(40.009163,33.729106,0.035)); #477627=CARTESIAN_POINT('',(40.009163,33.729106,0.07)); #477628=CARTESIAN_POINT('',(40.009163,33.729106,0.07)); #477629=CARTESIAN_POINT('',(40.009163,33.729106,0.035)); #477630=CARTESIAN_POINT('Origin',(39.982284,33.655256,0.035)); #477631=CARTESIAN_POINT('',(39.982284,33.655256,0.035)); #477632=CARTESIAN_POINT('',(39.982284,33.655256,0.035)); #477633=CARTESIAN_POINT('',(39.982284,33.655256,0.07)); #477634=CARTESIAN_POINT('',(39.982284,33.655256,0.07)); #477635=CARTESIAN_POINT('',(39.982284,33.655256,0.035)); #477636=CARTESIAN_POINT('Origin',(39.949069,33.584031,0.035)); #477637=CARTESIAN_POINT('',(39.949069,33.584031,0.035)); #477638=CARTESIAN_POINT('',(39.949069,33.584031,0.035)); #477639=CARTESIAN_POINT('',(39.949069,33.584031,0.07)); #477640=CARTESIAN_POINT('',(39.949069,33.584031,0.07)); #477641=CARTESIAN_POINT('',(39.949069,33.584031,0.035)); #477642=CARTESIAN_POINT('Origin',(39.909775,33.515969,0.035)); #477643=CARTESIAN_POINT('',(39.909775,33.515969,0.035)); #477644=CARTESIAN_POINT('',(39.909775,33.515969,0.035)); #477645=CARTESIAN_POINT('',(39.909775,33.515969,0.07)); #477646=CARTESIAN_POINT('',(39.909775,33.515969,0.07)); #477647=CARTESIAN_POINT('',(39.909775,33.515969,0.035)); #477648=CARTESIAN_POINT('Origin',(39.864697,33.451594,0.035)); #477649=CARTESIAN_POINT('',(39.864697,33.451594,0.035)); #477650=CARTESIAN_POINT('',(39.864697,33.451594,0.035)); #477651=CARTESIAN_POINT('',(39.864697,33.451594,0.07)); #477652=CARTESIAN_POINT('',(39.864697,33.451594,0.07)); #477653=CARTESIAN_POINT('',(39.864697,33.451594,0.035)); #477654=CARTESIAN_POINT('Origin',(39.814181,33.391391,0.035)); #477655=CARTESIAN_POINT('',(39.814181,33.391391,0.035)); #477656=CARTESIAN_POINT('',(39.814181,33.391391,0.035)); #477657=CARTESIAN_POINT('',(39.814181,33.391391,0.07)); #477658=CARTESIAN_POINT('',(39.814181,33.391391,0.07)); #477659=CARTESIAN_POINT('',(39.814181,33.391391,0.035)); #477660=CARTESIAN_POINT('Origin',(39.758609,33.335819,0.035)); #477661=CARTESIAN_POINT('',(39.758609,33.335819,0.035)); #477662=CARTESIAN_POINT('',(39.758609,33.335819,0.035)); #477663=CARTESIAN_POINT('',(39.758609,33.335819,0.07)); #477664=CARTESIAN_POINT('',(39.758609,33.335819,0.07)); #477665=CARTESIAN_POINT('',(39.758609,33.335819,0.035)); #477666=CARTESIAN_POINT('Origin',(39.698406,33.285303,0.035)); #477667=CARTESIAN_POINT('',(39.698406,33.285303,0.035)); #477668=CARTESIAN_POINT('',(39.698406,33.285303,0.035)); #477669=CARTESIAN_POINT('',(39.698406,33.285303,0.07)); #477670=CARTESIAN_POINT('',(39.698406,33.285303,0.07)); #477671=CARTESIAN_POINT('',(39.698406,33.285303,0.035)); #477672=CARTESIAN_POINT('Origin',(39.634031,33.240225,0.035)); #477673=CARTESIAN_POINT('',(39.634031,33.240225,0.035)); #477674=CARTESIAN_POINT('',(39.634031,33.240225,0.035)); #477675=CARTESIAN_POINT('',(39.634031,33.240225,0.07)); #477676=CARTESIAN_POINT('',(39.634031,33.240225,0.07)); #477677=CARTESIAN_POINT('',(39.634031,33.240225,0.035)); #477678=CARTESIAN_POINT('Origin',(39.565969,33.200931,0.035)); #477679=CARTESIAN_POINT('',(39.565969,33.200931,0.035)); #477680=CARTESIAN_POINT('',(39.565969,33.200931,0.035)); #477681=CARTESIAN_POINT('',(39.565969,33.200931,0.07)); #477682=CARTESIAN_POINT('',(39.565969,33.200931,0.07)); #477683=CARTESIAN_POINT('',(39.565969,33.200931,0.035)); #477684=CARTESIAN_POINT('Origin',(39.494744,33.167716,0.035)); #477685=CARTESIAN_POINT('',(39.494744,33.167716,0.035)); #477686=CARTESIAN_POINT('',(39.494744,33.167716,0.035)); #477687=CARTESIAN_POINT('',(39.494744,33.167716,0.07)); #477688=CARTESIAN_POINT('',(39.494744,33.167716,0.07)); #477689=CARTESIAN_POINT('',(39.494744,33.167716,0.035)); #477690=CARTESIAN_POINT('Origin',(39.420894,33.140838,0.035)); #477691=CARTESIAN_POINT('',(39.420894,33.140838,0.035)); #477692=CARTESIAN_POINT('',(39.420894,33.140838,0.035)); #477693=CARTESIAN_POINT('',(39.420894,33.140838,0.07)); #477694=CARTESIAN_POINT('',(39.420894,33.140838,0.07)); #477695=CARTESIAN_POINT('',(39.420894,33.140838,0.035)); #477696=CARTESIAN_POINT('Origin',(39.344981,33.120497,0.035)); #477697=CARTESIAN_POINT('',(39.344981,33.120497,0.035)); #477698=CARTESIAN_POINT('',(39.344981,33.120497,0.035)); #477699=CARTESIAN_POINT('',(39.344981,33.120497,0.07)); #477700=CARTESIAN_POINT('',(39.344981,33.120497,0.07)); #477701=CARTESIAN_POINT('',(39.344981,33.120497,0.035)); #477702=CARTESIAN_POINT('Origin',(39.267584,33.10685,0.035)); #477703=CARTESIAN_POINT('',(39.267584,33.10685,0.035)); #477704=CARTESIAN_POINT('',(39.267584,33.10685,0.07)); #477705=CARTESIAN_POINT('Origin',(38.8500000489324,34.0000000416541,0.07)); #477706=CARTESIAN_POINT('Origin',(38.8500000489324,34.0000000416541,0.035)); #477707=CARTESIAN_POINT('Origin',(12.25,34.,-200.)); #477708=CARTESIAN_POINT('',(11.785,34.,0.0349999999999895)); #477709=CARTESIAN_POINT('Origin',(12.25,34.,0.0349999999999895)); #477710=CARTESIAN_POINT('',(11.785,34.,0.0700000000000145)); #477711=CARTESIAN_POINT('',(11.785,34.,-200.)); #477712=CARTESIAN_POINT('Origin',(12.25,34.,0.0700000000000145)); #477713=CARTESIAN_POINT('Origin',(12.286844,33.25,0.035)); #477714=CARTESIAN_POINT('',(12.286844,33.25,0.035)); #477715=CARTESIAN_POINT('',(12.360181,33.257222,0.035)); #477716=CARTESIAN_POINT('',(12.286844,33.25,0.035)); #477717=CARTESIAN_POINT('',(12.360181,33.257222,0.07)); #477718=CARTESIAN_POINT('',(12.360181,33.257222,0.035)); #477719=CARTESIAN_POINT('',(12.286844,33.25,0.07)); #477720=CARTESIAN_POINT('',(12.286844,33.25,0.07)); #477721=CARTESIAN_POINT('',(12.286844,33.25,0.035)); #477722=CARTESIAN_POINT('Origin',(12.2500001874156,34.0000005308286,0.035)); #477723=CARTESIAN_POINT('',(12.139819,34.742778,0.035)); #477724=CARTESIAN_POINT('Origin',(12.2500001874156,34.0000005308286,0.035)); #477725=CARTESIAN_POINT('',(12.139819,34.742778,0.07)); #477726=CARTESIAN_POINT('Origin',(12.2500001874156,34.0000005308286,0.07)); #477727=CARTESIAN_POINT('',(12.139819,34.742778,0.035)); #477728=CARTESIAN_POINT('Origin',(12.25,34.,0.035)); #477729=CARTESIAN_POINT('Origin',(12.25,34.,0.035)); #477730=CARTESIAN_POINT('Origin',(12.25,34.,0.07)); #477731=CARTESIAN_POINT('Origin',(12.2483877860344,33.9986960162912,0.07)); #477732=CARTESIAN_POINT('Origin',(12.2483877860344,33.9986960162912,0.035)); #477733=CARTESIAN_POINT('Origin',(24.25,34.,-200.)); #477734=CARTESIAN_POINT('',(23.735,34.,0.0349999999999895)); #477735=CARTESIAN_POINT('Origin',(24.25,34.,0.0349999999999895)); #477736=CARTESIAN_POINT('',(23.735,34.,0.0700000000000145)); #477737=CARTESIAN_POINT('',(23.735,34.,-200.)); #477738=CARTESIAN_POINT('Origin',(24.25,34.,0.0700000000000145)); #477739=CARTESIAN_POINT('Origin',(24.290528,33.175,0.035)); #477740=CARTESIAN_POINT('',(24.290528,33.175,0.035)); #477741=CARTESIAN_POINT('',(24.3712,33.182944,0.035)); #477742=CARTESIAN_POINT('',(24.290528,33.175,0.035)); #477743=CARTESIAN_POINT('',(24.3712,33.182944,0.07)); #477744=CARTESIAN_POINT('',(24.3712,33.182944,0.035)); #477745=CARTESIAN_POINT('',(24.290528,33.175,0.07)); #477746=CARTESIAN_POINT('',(24.290528,33.175,0.07)); #477747=CARTESIAN_POINT('',(24.290528,33.175,0.035)); #477748=CARTESIAN_POINT('Origin',(24.24999984599,34.0000007242556,0.035)); #477749=CARTESIAN_POINT('',(24.1288,34.817056,0.035)); #477750=CARTESIAN_POINT('Origin',(24.24999984599,34.0000007242556,0.035)); #477751=CARTESIAN_POINT('',(24.1288,34.817056,0.07)); #477752=CARTESIAN_POINT('Origin',(24.24999984599,34.0000007242556,0.07)); #477753=CARTESIAN_POINT('',(24.1288,34.817056,0.035)); #477754=CARTESIAN_POINT('Origin',(24.25,34.,0.035)); #477755=CARTESIAN_POINT('Origin',(24.25,34.,0.035)); #477756=CARTESIAN_POINT('Origin',(24.25,34.,0.07)); #477757=CARTESIAN_POINT('Origin',(24.24822648407,33.9985656780324,0.07)); #477758=CARTESIAN_POINT('Origin',(24.24822648407,33.9985656780324,0.035)); #477759=CARTESIAN_POINT('Origin',(38.635,6.27999997467882,-200.)); #477760=CARTESIAN_POINT('',(38.635,7.07999999155961,0.0700000000000145)); #477761=CARTESIAN_POINT('',(38.635,6.27999997467882,0.0700000000000145)); #477762=CARTESIAN_POINT('',(38.635,6.47999999235904,0.0699999999999967)); #477763=CARTESIAN_POINT('',(38.635,7.07999999155961,0.0349999999999895)); #477764=CARTESIAN_POINT('',(38.635,7.07999999155961,-200.)); #477765=CARTESIAN_POINT('',(38.635,6.27999997467882,0.0349999999999895)); #477766=CARTESIAN_POINT('',(38.635,6.47999999235904,0.0350000000000072)); #477767=CARTESIAN_POINT('',(38.635,6.27999997467882,-200.)); #477768=CARTESIAN_POINT('Origin',(38.32,6.28,-200.)); #477769=CARTESIAN_POINT('',(38.005,6.28000000844039,0.0700000000000145)); #477770=CARTESIAN_POINT('Origin',(38.32,6.28,0.0700000000000145)); #477771=CARTESIAN_POINT('',(38.005,6.28000000844039,0.0349999999999895)); #477772=CARTESIAN_POINT('Origin',(38.32,6.28,0.0349999999999895)); #477773=CARTESIAN_POINT('',(38.005,6.28000000844039,-200.)); #477774=CARTESIAN_POINT('Origin',(38.005,7.08000002532118,-200.)); #477775=CARTESIAN_POINT('',(38.005,7.08000002532118,0.0700000000000145)); #477776=CARTESIAN_POINT('',(38.005,6.88000001768022,0.0699999999999967)); #477777=CARTESIAN_POINT('',(38.005,7.08000002532118,0.0349999999999895)); #477778=CARTESIAN_POINT('',(38.005,6.88000001768022,0.0350000000000072)); #477779=CARTESIAN_POINT('',(38.005,7.08000002532118,-200.)); #477780=CARTESIAN_POINT('Origin',(38.32,7.08,-200.)); #477781=CARTESIAN_POINT('Origin',(38.32,7.08,0.0700000000000145)); #477782=CARTESIAN_POINT('Origin',(38.32,7.08,0.0349999999999895)); #477783=CARTESIAN_POINT('Origin',(38.350887,5.73,0.035)); #477784=CARTESIAN_POINT('',(38.350887,5.73,0.035)); #477785=CARTESIAN_POINT('',(38.412275,5.7369156,0.035)); #477786=CARTESIAN_POINT('',(38.350887,5.73,0.035)); #477787=CARTESIAN_POINT('',(38.412275,5.7369156,0.07)); #477788=CARTESIAN_POINT('',(38.412275,5.7369156,0.035)); #477789=CARTESIAN_POINT('',(38.350887,5.73,0.07)); #477790=CARTESIAN_POINT('',(38.350887,5.73,0.07)); #477791=CARTESIAN_POINT('',(38.350887,5.73,0.035)); #477792=CARTESIAN_POINT('Origin',(38.289113,5.73,0.035)); #477793=CARTESIAN_POINT('',(38.289113,5.73,0.035)); #477794=CARTESIAN_POINT('',(38.289113,5.73,0.035)); #477795=CARTESIAN_POINT('',(38.289113,5.73,0.07)); #477796=CARTESIAN_POINT('',(38.289113,5.73,0.07)); #477797=CARTESIAN_POINT('',(38.289113,5.73,0.035)); #477798=CARTESIAN_POINT('Origin',(38.227725,5.7369156,0.035)); #477799=CARTESIAN_POINT('',(38.227725,5.7369156,0.035)); #477800=CARTESIAN_POINT('',(38.227725,5.7369156,0.035)); #477801=CARTESIAN_POINT('',(38.227725,5.7369156,0.07)); #477802=CARTESIAN_POINT('',(38.227725,5.7369156,0.07)); #477803=CARTESIAN_POINT('',(38.227725,5.7369156,0.035)); #477804=CARTESIAN_POINT('Origin',(38.1675,5.7506625,0.035)); #477805=CARTESIAN_POINT('',(38.1675,5.7506625,0.035)); #477806=CARTESIAN_POINT('',(38.1675,5.7506625,0.035)); #477807=CARTESIAN_POINT('',(38.1675,5.7506625,0.07)); #477808=CARTESIAN_POINT('',(38.1675,5.7506625,0.07)); #477809=CARTESIAN_POINT('',(38.1675,5.7506625,0.035)); #477810=CARTESIAN_POINT('Origin',(38.109194,5.7710656,0.035)); #477811=CARTESIAN_POINT('',(38.109194,5.7710656,0.035)); #477812=CARTESIAN_POINT('',(38.109194,5.7710656,0.035)); #477813=CARTESIAN_POINT('',(38.109194,5.7710656,0.07)); #477814=CARTESIAN_POINT('',(38.109194,5.7710656,0.07)); #477815=CARTESIAN_POINT('',(38.109194,5.7710656,0.035)); #477816=CARTESIAN_POINT('Origin',(38.053534,5.7978688,0.035)); #477817=CARTESIAN_POINT('',(38.053534,5.7978688,0.035)); #477818=CARTESIAN_POINT('',(38.053534,5.7978688,0.035)); #477819=CARTESIAN_POINT('',(38.053534,5.7978688,0.07)); #477820=CARTESIAN_POINT('',(38.053534,5.7978688,0.07)); #477821=CARTESIAN_POINT('',(38.053534,5.7978688,0.035)); #477822=CARTESIAN_POINT('Origin',(38.001228,5.8307344,0.035)); #477823=CARTESIAN_POINT('',(38.001228,5.8307344,0.035)); #477824=CARTESIAN_POINT('',(38.001228,5.8307344,0.035)); #477825=CARTESIAN_POINT('',(38.001228,5.8307344,0.07)); #477826=CARTESIAN_POINT('',(38.001228,5.8307344,0.07)); #477827=CARTESIAN_POINT('',(38.001228,5.8307344,0.035)); #477828=CARTESIAN_POINT('Origin',(37.952931,5.86925,0.035)); #477829=CARTESIAN_POINT('',(37.952931,5.86925,0.035)); #477830=CARTESIAN_POINT('',(37.952931,5.86925,0.035)); #477831=CARTESIAN_POINT('',(37.952931,5.86925,0.07)); #477832=CARTESIAN_POINT('',(37.952931,5.86925,0.07)); #477833=CARTESIAN_POINT('',(37.952931,5.86925,0.035)); #477834=CARTESIAN_POINT('Origin',(37.90925,5.9129313,0.035)); #477835=CARTESIAN_POINT('',(37.90925,5.9129313,0.035)); #477836=CARTESIAN_POINT('',(37.90925,5.9129313,0.035)); #477837=CARTESIAN_POINT('',(37.90925,5.9129313,0.07)); #477838=CARTESIAN_POINT('',(37.90925,5.9129313,0.07)); #477839=CARTESIAN_POINT('',(37.90925,5.9129313,0.035)); #477840=CARTESIAN_POINT('Origin',(37.870734,5.9612281,0.035)); #477841=CARTESIAN_POINT('',(37.870734,5.9612281,0.035)); #477842=CARTESIAN_POINT('',(37.870734,5.9612281,0.035)); #477843=CARTESIAN_POINT('',(37.870734,5.9612281,0.07)); #477844=CARTESIAN_POINT('',(37.870734,5.9612281,0.07)); #477845=CARTESIAN_POINT('',(37.870734,5.9612281,0.035)); #477846=CARTESIAN_POINT('Origin',(37.837869,6.0135344,0.035)); #477847=CARTESIAN_POINT('',(37.837869,6.0135344,0.035)); #477848=CARTESIAN_POINT('',(37.837869,6.0135344,0.035)); #477849=CARTESIAN_POINT('',(37.837869,6.0135344,0.07)); #477850=CARTESIAN_POINT('',(37.837869,6.0135344,0.07)); #477851=CARTESIAN_POINT('',(37.837869,6.0135344,0.035)); #477852=CARTESIAN_POINT('Origin',(37.811066,6.0691938,0.035)); #477853=CARTESIAN_POINT('',(37.811066,6.0691938,0.035)); #477854=CARTESIAN_POINT('',(37.811066,6.0691938,0.035)); #477855=CARTESIAN_POINT('',(37.811066,6.0691938,0.07)); #477856=CARTESIAN_POINT('',(37.811066,6.0691938,0.07)); #477857=CARTESIAN_POINT('',(37.811066,6.0691938,0.035)); #477858=CARTESIAN_POINT('Origin',(37.790663,6.1275,0.035)); #477859=CARTESIAN_POINT('',(37.790663,6.1275,0.035)); #477860=CARTESIAN_POINT('',(37.790663,6.1275,0.035)); #477861=CARTESIAN_POINT('',(37.790663,6.1275,0.07)); #477862=CARTESIAN_POINT('',(37.790663,6.1275,0.07)); #477863=CARTESIAN_POINT('',(37.790663,6.1275,0.035)); #477864=CARTESIAN_POINT('Origin',(37.776916,6.187725,0.035)); #477865=CARTESIAN_POINT('',(37.776916,6.187725,0.035)); #477866=CARTESIAN_POINT('',(37.776916,6.187725,0.035)); #477867=CARTESIAN_POINT('',(37.776916,6.187725,0.07)); #477868=CARTESIAN_POINT('',(37.776916,6.187725,0.07)); #477869=CARTESIAN_POINT('',(37.776916,6.187725,0.035)); #477870=CARTESIAN_POINT('Origin',(37.77,6.2491125,0.035)); #477871=CARTESIAN_POINT('',(37.77,6.2491125,0.035)); #477872=CARTESIAN_POINT('',(37.77,6.2491125,0.035)); #477873=CARTESIAN_POINT('',(37.77,6.2491125,0.07)); #477874=CARTESIAN_POINT('',(37.77,6.2491125,0.07)); #477875=CARTESIAN_POINT('',(37.77,6.2491125,0.035)); #477876=CARTESIAN_POINT('Origin',(37.77,7.1108875,0.035)); #477877=CARTESIAN_POINT('',(37.77,7.1108875,0.035)); #477878=CARTESIAN_POINT('',(37.77,7.1108875,0.035)); #477879=CARTESIAN_POINT('',(37.77,7.1108875,0.07)); #477880=CARTESIAN_POINT('',(37.77,7.1108875,0.07)); #477881=CARTESIAN_POINT('',(37.77,7.1108875,0.035)); #477882=CARTESIAN_POINT('Origin',(37.776916,7.172275,0.035)); #477883=CARTESIAN_POINT('',(37.776916,7.172275,0.035)); #477884=CARTESIAN_POINT('',(37.776916,7.172275,0.035)); #477885=CARTESIAN_POINT('',(37.776916,7.172275,0.07)); #477886=CARTESIAN_POINT('',(37.776916,7.172275,0.07)); #477887=CARTESIAN_POINT('',(37.776916,7.172275,0.035)); #477888=CARTESIAN_POINT('Origin',(37.790663,7.2325,0.035)); #477889=CARTESIAN_POINT('',(37.790663,7.2325,0.035)); #477890=CARTESIAN_POINT('',(37.790663,7.2325,0.035)); #477891=CARTESIAN_POINT('',(37.790663,7.2325,0.07)); #477892=CARTESIAN_POINT('',(37.790663,7.2325,0.07)); #477893=CARTESIAN_POINT('',(37.790663,7.2325,0.035)); #477894=CARTESIAN_POINT('Origin',(37.811066,7.2908063,0.035)); #477895=CARTESIAN_POINT('',(37.811066,7.2908063,0.035)); #477896=CARTESIAN_POINT('',(37.811066,7.2908063,0.035)); #477897=CARTESIAN_POINT('',(37.811066,7.2908063,0.07)); #477898=CARTESIAN_POINT('',(37.811066,7.2908063,0.07)); #477899=CARTESIAN_POINT('',(37.811066,7.2908063,0.035)); #477900=CARTESIAN_POINT('Origin',(37.837869,7.3464656,0.035)); #477901=CARTESIAN_POINT('',(37.837869,7.3464656,0.035)); #477902=CARTESIAN_POINT('',(37.837869,7.3464656,0.035)); #477903=CARTESIAN_POINT('',(37.837869,7.3464656,0.07)); #477904=CARTESIAN_POINT('',(37.837869,7.3464656,0.07)); #477905=CARTESIAN_POINT('',(37.837869,7.3464656,0.035)); #477906=CARTESIAN_POINT('Origin',(37.870734,7.3987719,0.035)); #477907=CARTESIAN_POINT('',(37.870734,7.3987719,0.035)); #477908=CARTESIAN_POINT('',(37.870734,7.3987719,0.035)); #477909=CARTESIAN_POINT('',(37.870734,7.3987719,0.07)); #477910=CARTESIAN_POINT('',(37.870734,7.3987719,0.07)); #477911=CARTESIAN_POINT('',(37.870734,7.3987719,0.035)); #477912=CARTESIAN_POINT('Origin',(37.90925,7.4470688,0.035)); #477913=CARTESIAN_POINT('',(37.90925,7.4470688,0.035)); #477914=CARTESIAN_POINT('',(37.90925,7.4470688,0.035)); #477915=CARTESIAN_POINT('',(37.90925,7.4470688,0.07)); #477916=CARTESIAN_POINT('',(37.90925,7.4470688,0.07)); #477917=CARTESIAN_POINT('',(37.90925,7.4470688,0.035)); #477918=CARTESIAN_POINT('Origin',(37.952931,7.49075,0.035)); #477919=CARTESIAN_POINT('',(37.952931,7.49075,0.035)); #477920=CARTESIAN_POINT('',(37.952931,7.49075,0.035)); #477921=CARTESIAN_POINT('',(37.952931,7.49075,0.07)); #477922=CARTESIAN_POINT('',(37.952931,7.49075,0.07)); #477923=CARTESIAN_POINT('',(37.952931,7.49075,0.035)); #477924=CARTESIAN_POINT('Origin',(38.001228,7.5292656,0.035)); #477925=CARTESIAN_POINT('',(38.001228,7.5292656,0.035)); #477926=CARTESIAN_POINT('',(38.001228,7.5292656,0.035)); #477927=CARTESIAN_POINT('',(38.001228,7.5292656,0.07)); #477928=CARTESIAN_POINT('',(38.001228,7.5292656,0.07)); #477929=CARTESIAN_POINT('',(38.001228,7.5292656,0.035)); #477930=CARTESIAN_POINT('Origin',(38.053534,7.5621313,0.035)); #477931=CARTESIAN_POINT('',(38.053534,7.5621313,0.035)); #477932=CARTESIAN_POINT('',(38.053534,7.5621313,0.035)); #477933=CARTESIAN_POINT('',(38.053534,7.5621313,0.07)); #477934=CARTESIAN_POINT('',(38.053534,7.5621313,0.07)); #477935=CARTESIAN_POINT('',(38.053534,7.5621313,0.035)); #477936=CARTESIAN_POINT('Origin',(38.109194,7.5889344,0.035)); #477937=CARTESIAN_POINT('',(38.109194,7.5889344,0.035)); #477938=CARTESIAN_POINT('',(38.109194,7.5889344,0.035)); #477939=CARTESIAN_POINT('',(38.109194,7.5889344,0.07)); #477940=CARTESIAN_POINT('',(38.109194,7.5889344,0.07)); #477941=CARTESIAN_POINT('',(38.109194,7.5889344,0.035)); #477942=CARTESIAN_POINT('Origin',(38.1675,7.6093375,0.035)); #477943=CARTESIAN_POINT('',(38.1675,7.6093375,0.035)); #477944=CARTESIAN_POINT('',(38.1675,7.6093375,0.035)); #477945=CARTESIAN_POINT('',(38.1675,7.6093375,0.07)); #477946=CARTESIAN_POINT('',(38.1675,7.6093375,0.07)); #477947=CARTESIAN_POINT('',(38.1675,7.6093375,0.035)); #477948=CARTESIAN_POINT('Origin',(38.227725,7.6230844,0.035)); #477949=CARTESIAN_POINT('',(38.227725,7.6230844,0.035)); #477950=CARTESIAN_POINT('',(38.227725,7.6230844,0.035)); #477951=CARTESIAN_POINT('',(38.227725,7.6230844,0.07)); #477952=CARTESIAN_POINT('',(38.227725,7.6230844,0.07)); #477953=CARTESIAN_POINT('',(38.227725,7.6230844,0.035)); #477954=CARTESIAN_POINT('Origin',(38.289113,7.63,0.035)); #477955=CARTESIAN_POINT('',(38.289113,7.63,0.035)); #477956=CARTESIAN_POINT('',(38.289113,7.63,0.035)); #477957=CARTESIAN_POINT('',(38.289113,7.63,0.07)); #477958=CARTESIAN_POINT('',(38.289113,7.63,0.07)); #477959=CARTESIAN_POINT('',(38.289113,7.63,0.035)); #477960=CARTESIAN_POINT('Origin',(38.350887,7.63,0.035)); #477961=CARTESIAN_POINT('',(38.350887,7.63,0.035)); #477962=CARTESIAN_POINT('',(38.350887,7.63,0.035)); #477963=CARTESIAN_POINT('',(38.350887,7.63,0.07)); #477964=CARTESIAN_POINT('',(38.350887,7.63,0.07)); #477965=CARTESIAN_POINT('',(38.350887,7.63,0.035)); #477966=CARTESIAN_POINT('Origin',(38.412275,7.6230844,0.035)); #477967=CARTESIAN_POINT('',(38.412275,7.6230844,0.035)); #477968=CARTESIAN_POINT('',(38.412275,7.6230844,0.035)); #477969=CARTESIAN_POINT('',(38.412275,7.6230844,0.07)); #477970=CARTESIAN_POINT('',(38.412275,7.6230844,0.07)); #477971=CARTESIAN_POINT('',(38.412275,7.6230844,0.035)); #477972=CARTESIAN_POINT('Origin',(38.4725,7.6093375,0.035)); #477973=CARTESIAN_POINT('',(38.4725,7.6093375,0.035)); #477974=CARTESIAN_POINT('',(38.4725,7.6093375,0.035)); #477975=CARTESIAN_POINT('',(38.4725,7.6093375,0.07)); #477976=CARTESIAN_POINT('',(38.4725,7.6093375,0.07)); #477977=CARTESIAN_POINT('',(38.4725,7.6093375,0.035)); #477978=CARTESIAN_POINT('Origin',(38.530806,7.5889344,0.035)); #477979=CARTESIAN_POINT('',(38.530806,7.5889344,0.035)); #477980=CARTESIAN_POINT('',(38.530806,7.5889344,0.035)); #477981=CARTESIAN_POINT('',(38.530806,7.5889344,0.07)); #477982=CARTESIAN_POINT('',(38.530806,7.5889344,0.07)); #477983=CARTESIAN_POINT('',(38.530806,7.5889344,0.035)); #477984=CARTESIAN_POINT('Origin',(38.586466,7.5621313,0.035)); #477985=CARTESIAN_POINT('',(38.586466,7.5621313,0.035)); #477986=CARTESIAN_POINT('',(38.586466,7.5621313,0.035)); #477987=CARTESIAN_POINT('',(38.586466,7.5621313,0.07)); #477988=CARTESIAN_POINT('',(38.586466,7.5621313,0.07)); #477989=CARTESIAN_POINT('',(38.586466,7.5621313,0.035)); #477990=CARTESIAN_POINT('Origin',(38.638772,7.5292656,0.035)); #477991=CARTESIAN_POINT('',(38.638772,7.5292656,0.035)); #477992=CARTESIAN_POINT('',(38.638772,7.5292656,0.035)); #477993=CARTESIAN_POINT('',(38.638772,7.5292656,0.07)); #477994=CARTESIAN_POINT('',(38.638772,7.5292656,0.07)); #477995=CARTESIAN_POINT('',(38.638772,7.5292656,0.035)); #477996=CARTESIAN_POINT('Origin',(38.687069,7.49075,0.035)); #477997=CARTESIAN_POINT('',(38.687069,7.49075,0.035)); #477998=CARTESIAN_POINT('',(38.687069,7.49075,0.035)); #477999=CARTESIAN_POINT('',(38.687069,7.49075,0.07)); #478000=CARTESIAN_POINT('',(38.687069,7.49075,0.07)); #478001=CARTESIAN_POINT('',(38.687069,7.49075,0.035)); #478002=CARTESIAN_POINT('Origin',(38.73075,7.4470688,0.035)); #478003=CARTESIAN_POINT('',(38.73075,7.4470688,0.035)); #478004=CARTESIAN_POINT('',(38.73075,7.4470688,0.035)); #478005=CARTESIAN_POINT('',(38.73075,7.4470688,0.07)); #478006=CARTESIAN_POINT('',(38.73075,7.4470688,0.07)); #478007=CARTESIAN_POINT('',(38.73075,7.4470688,0.035)); #478008=CARTESIAN_POINT('Origin',(38.769266,7.3987719,0.035)); #478009=CARTESIAN_POINT('',(38.769266,7.3987719,0.035)); #478010=CARTESIAN_POINT('',(38.769266,7.3987719,0.035)); #478011=CARTESIAN_POINT('',(38.769266,7.3987719,0.07)); #478012=CARTESIAN_POINT('',(38.769266,7.3987719,0.07)); #478013=CARTESIAN_POINT('',(38.769266,7.3987719,0.035)); #478014=CARTESIAN_POINT('Origin',(38.802131,7.3464656,0.035)); #478015=CARTESIAN_POINT('',(38.802131,7.3464656,0.035)); #478016=CARTESIAN_POINT('',(38.802131,7.3464656,0.035)); #478017=CARTESIAN_POINT('',(38.802131,7.3464656,0.07)); #478018=CARTESIAN_POINT('',(38.802131,7.3464656,0.07)); #478019=CARTESIAN_POINT('',(38.802131,7.3464656,0.035)); #478020=CARTESIAN_POINT('Origin',(38.828934,7.2908063,0.035)); #478021=CARTESIAN_POINT('',(38.828934,7.2908063,0.035)); #478022=CARTESIAN_POINT('',(38.828934,7.2908063,0.035)); #478023=CARTESIAN_POINT('',(38.828934,7.2908063,0.07)); #478024=CARTESIAN_POINT('',(38.828934,7.2908063,0.07)); #478025=CARTESIAN_POINT('',(38.828934,7.2908063,0.035)); #478026=CARTESIAN_POINT('Origin',(38.849338,7.2325,0.035)); #478027=CARTESIAN_POINT('',(38.849338,7.2325,0.035)); #478028=CARTESIAN_POINT('',(38.849338,7.2325,0.035)); #478029=CARTESIAN_POINT('',(38.849338,7.2325,0.07)); #478030=CARTESIAN_POINT('',(38.849338,7.2325,0.07)); #478031=CARTESIAN_POINT('',(38.849338,7.2325,0.035)); #478032=CARTESIAN_POINT('Origin',(38.863084,7.172275,0.035)); #478033=CARTESIAN_POINT('',(38.863084,7.172275,0.035)); #478034=CARTESIAN_POINT('',(38.863084,7.172275,0.035)); #478035=CARTESIAN_POINT('',(38.863084,7.172275,0.07)); #478036=CARTESIAN_POINT('',(38.863084,7.172275,0.07)); #478037=CARTESIAN_POINT('',(38.863084,7.172275,0.035)); #478038=CARTESIAN_POINT('Origin',(38.87,7.1108875,0.035)); #478039=CARTESIAN_POINT('',(38.87,7.1108875,0.035)); #478040=CARTESIAN_POINT('',(38.87,7.1108875,0.035)); #478041=CARTESIAN_POINT('',(38.87,7.1108875,0.07)); #478042=CARTESIAN_POINT('',(38.87,7.1108875,0.07)); #478043=CARTESIAN_POINT('',(38.87,7.1108875,0.035)); #478044=CARTESIAN_POINT('Origin',(38.87,6.2491125,0.035)); #478045=CARTESIAN_POINT('',(38.87,6.2491125,0.035)); #478046=CARTESIAN_POINT('',(38.87,6.2491125,0.035)); #478047=CARTESIAN_POINT('',(38.87,6.2491125,0.07)); #478048=CARTESIAN_POINT('',(38.87,6.2491125,0.07)); #478049=CARTESIAN_POINT('',(38.87,6.2491125,0.035)); #478050=CARTESIAN_POINT('Origin',(38.863084,6.187725,0.035)); #478051=CARTESIAN_POINT('',(38.863084,6.187725,0.035)); #478052=CARTESIAN_POINT('',(38.863084,6.187725,0.035)); #478053=CARTESIAN_POINT('',(38.863084,6.187725,0.07)); #478054=CARTESIAN_POINT('',(38.863084,6.187725,0.07)); #478055=CARTESIAN_POINT('',(38.863084,6.187725,0.035)); #478056=CARTESIAN_POINT('Origin',(38.849338,6.1275,0.035)); #478057=CARTESIAN_POINT('',(38.849338,6.1275,0.035)); #478058=CARTESIAN_POINT('',(38.849338,6.1275,0.035)); #478059=CARTESIAN_POINT('',(38.849338,6.1275,0.07)); #478060=CARTESIAN_POINT('',(38.849338,6.1275,0.07)); #478061=CARTESIAN_POINT('',(38.849338,6.1275,0.035)); #478062=CARTESIAN_POINT('Origin',(38.828934,6.0691938,0.035)); #478063=CARTESIAN_POINT('',(38.828934,6.0691938,0.035)); #478064=CARTESIAN_POINT('',(38.828934,6.0691938,0.035)); #478065=CARTESIAN_POINT('',(38.828934,6.0691938,0.07)); #478066=CARTESIAN_POINT('',(38.828934,6.0691938,0.07)); #478067=CARTESIAN_POINT('',(38.828934,6.0691938,0.035)); #478068=CARTESIAN_POINT('Origin',(38.802131,6.0135344,0.035)); #478069=CARTESIAN_POINT('',(38.802131,6.0135344,0.035)); #478070=CARTESIAN_POINT('',(38.802131,6.0135344,0.035)); #478071=CARTESIAN_POINT('',(38.802131,6.0135344,0.07)); #478072=CARTESIAN_POINT('',(38.802131,6.0135344,0.07)); #478073=CARTESIAN_POINT('',(38.802131,6.0135344,0.035)); #478074=CARTESIAN_POINT('Origin',(38.769266,5.9612281,0.035)); #478075=CARTESIAN_POINT('',(38.769266,5.9612281,0.035)); #478076=CARTESIAN_POINT('',(38.769266,5.9612281,0.035)); #478077=CARTESIAN_POINT('',(38.769266,5.9612281,0.07)); #478078=CARTESIAN_POINT('',(38.769266,5.9612281,0.07)); #478079=CARTESIAN_POINT('',(38.769266,5.9612281,0.035)); #478080=CARTESIAN_POINT('Origin',(38.73075,5.9129313,0.035)); #478081=CARTESIAN_POINT('',(38.73075,5.9129313,0.035)); #478082=CARTESIAN_POINT('',(38.73075,5.9129313,0.035)); #478083=CARTESIAN_POINT('',(38.73075,5.9129313,0.07)); #478084=CARTESIAN_POINT('',(38.73075,5.9129313,0.07)); #478085=CARTESIAN_POINT('',(38.73075,5.9129313,0.035)); #478086=CARTESIAN_POINT('Origin',(38.687069,5.86925,0.035)); #478087=CARTESIAN_POINT('',(38.687069,5.86925,0.035)); #478088=CARTESIAN_POINT('',(38.687069,5.86925,0.035)); #478089=CARTESIAN_POINT('',(38.687069,5.86925,0.07)); #478090=CARTESIAN_POINT('',(38.687069,5.86925,0.07)); #478091=CARTESIAN_POINT('',(38.687069,5.86925,0.035)); #478092=CARTESIAN_POINT('Origin',(38.638772,5.8307344,0.035)); #478093=CARTESIAN_POINT('',(38.638772,5.8307344,0.035)); #478094=CARTESIAN_POINT('',(38.638772,5.8307344,0.035)); #478095=CARTESIAN_POINT('',(38.638772,5.8307344,0.07)); #478096=CARTESIAN_POINT('',(38.638772,5.8307344,0.07)); #478097=CARTESIAN_POINT('',(38.638772,5.8307344,0.035)); #478098=CARTESIAN_POINT('Origin',(38.586466,5.7978688,0.035)); #478099=CARTESIAN_POINT('',(38.586466,5.7978688,0.035)); #478100=CARTESIAN_POINT('',(38.586466,5.7978688,0.035)); #478101=CARTESIAN_POINT('',(38.586466,5.7978688,0.07)); #478102=CARTESIAN_POINT('',(38.586466,5.7978688,0.07)); #478103=CARTESIAN_POINT('',(38.586466,5.7978688,0.035)); #478104=CARTESIAN_POINT('Origin',(38.530806,5.7710656,0.035)); #478105=CARTESIAN_POINT('',(38.530806,5.7710656,0.035)); #478106=CARTESIAN_POINT('',(38.530806,5.7710656,0.035)); #478107=CARTESIAN_POINT('',(38.530806,5.7710656,0.07)); #478108=CARTESIAN_POINT('',(38.530806,5.7710656,0.07)); #478109=CARTESIAN_POINT('',(38.530806,5.7710656,0.035)); #478110=CARTESIAN_POINT('Origin',(38.4725,5.7506625,0.035)); #478111=CARTESIAN_POINT('',(38.4725,5.7506625,0.035)); #478112=CARTESIAN_POINT('',(38.4725,5.7506625,0.035)); #478113=CARTESIAN_POINT('',(38.4725,5.7506625,0.07)); #478114=CARTESIAN_POINT('',(38.4725,5.7506625,0.07)); #478115=CARTESIAN_POINT('',(38.4725,5.7506625,0.035)); #478116=CARTESIAN_POINT('Origin',(38.412275,5.7369156,0.035)); #478117=CARTESIAN_POINT('',(38.412275,5.7369156,0.035)); #478118=CARTESIAN_POINT('',(38.412275,5.7369156,0.07)); #478119=CARTESIAN_POINT('Origin',(38.3200000450332,6.68000001003927,0.07)); #478120=CARTESIAN_POINT('Origin',(38.3200000450332,6.68000001003927,0.035)); #478121=CARTESIAN_POINT('Origin',(31.5,25.25,-200.)); #478122=CARTESIAN_POINT('',(31.035,25.25,0.0349999999999895)); #478123=CARTESIAN_POINT('Origin',(31.5,25.25,0.0349999999999895)); #478124=CARTESIAN_POINT('',(31.035,25.25,0.0700000000000145)); #478125=CARTESIAN_POINT('',(31.035,25.25,-200.)); #478126=CARTESIAN_POINT('Origin',(31.5,25.25,0.0700000000000145)); #478127=CARTESIAN_POINT('Origin',(31.536844,24.5,0.035)); #478128=CARTESIAN_POINT('',(31.536844,24.5,0.035)); #478129=CARTESIAN_POINT('',(31.610181,24.507222,0.035)); #478130=CARTESIAN_POINT('',(31.536844,24.5,0.035)); #478131=CARTESIAN_POINT('',(31.610181,24.507222,0.07)); #478132=CARTESIAN_POINT('',(31.610181,24.507222,0.035)); #478133=CARTESIAN_POINT('',(31.536844,24.5,0.07)); #478134=CARTESIAN_POINT('',(31.536844,24.5,0.07)); #478135=CARTESIAN_POINT('',(31.536844,24.5,0.035)); #478136=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,0.035)); #478137=CARTESIAN_POINT('',(31.389819,25.992778,0.035)); #478138=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,0.035)); #478139=CARTESIAN_POINT('',(31.389819,25.992778,0.07)); #478140=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,0.07)); #478141=CARTESIAN_POINT('',(31.389819,25.992778,0.035)); #478142=CARTESIAN_POINT('Origin',(31.5,25.25,0.035)); #478143=CARTESIAN_POINT('Origin',(31.5,25.25,0.035)); #478144=CARTESIAN_POINT('Origin',(31.5,25.25,0.07)); #478145=CARTESIAN_POINT('Origin',(31.4983877860344,25.2486960162912,0.07)); #478146=CARTESIAN_POINT('Origin',(31.4983877860344,25.2486960162912,0.035)); #478147=CARTESIAN_POINT('Origin',(34.,25.25,-200.)); #478148=CARTESIAN_POINT('',(33.535,25.25,0.0349999999999895)); #478149=CARTESIAN_POINT('Origin',(34.,25.25,0.0349999999999895)); #478150=CARTESIAN_POINT('',(33.535,25.25,0.0700000000000145)); #478151=CARTESIAN_POINT('',(33.535,25.25,-200.)); #478152=CARTESIAN_POINT('Origin',(34.,25.25,0.0700000000000145)); #478153=CARTESIAN_POINT('Origin',(34.036844,24.5,0.035)); #478154=CARTESIAN_POINT('',(34.036844,24.5,0.035)); #478155=CARTESIAN_POINT('',(34.110181,24.507222,0.035)); #478156=CARTESIAN_POINT('',(34.036844,24.5,0.035)); #478157=CARTESIAN_POINT('',(34.110181,24.507222,0.07)); #478158=CARTESIAN_POINT('',(34.110181,24.507222,0.035)); #478159=CARTESIAN_POINT('',(34.036844,24.5,0.07)); #478160=CARTESIAN_POINT('',(34.036844,24.5,0.07)); #478161=CARTESIAN_POINT('',(34.036844,24.5,0.035)); #478162=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,0.035)); #478163=CARTESIAN_POINT('',(33.889819,25.992778,0.035)); #478164=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,0.035)); #478165=CARTESIAN_POINT('',(33.889819,25.992778,0.07)); #478166=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,0.07)); #478167=CARTESIAN_POINT('',(33.889819,25.992778,0.035)); #478168=CARTESIAN_POINT('Origin',(34.,25.25,0.035)); #478169=CARTESIAN_POINT('Origin',(34.,25.25,0.035)); #478170=CARTESIAN_POINT('Origin',(34.,25.25,0.07)); #478171=CARTESIAN_POINT('Origin',(33.9983877860344,25.2486960162912,0.07)); #478172=CARTESIAN_POINT('Origin',(33.9983877860344,25.2486960162912,0.035)); #478173=CARTESIAN_POINT('Origin',(36.5,25.25,-200.)); #478174=CARTESIAN_POINT('',(36.035,25.25,0.0349999999999895)); #478175=CARTESIAN_POINT('Origin',(36.5,25.25,0.0349999999999895)); #478176=CARTESIAN_POINT('',(36.035,25.25,0.0700000000000145)); #478177=CARTESIAN_POINT('',(36.035,25.25,-200.)); #478178=CARTESIAN_POINT('Origin',(36.5,25.25,0.0700000000000145)); #478179=CARTESIAN_POINT('Origin',(36.536844,24.5,0.035)); #478180=CARTESIAN_POINT('',(36.536844,24.5,0.035)); #478181=CARTESIAN_POINT('',(36.610181,24.507222,0.035)); #478182=CARTESIAN_POINT('',(36.536844,24.5,0.035)); #478183=CARTESIAN_POINT('',(36.610181,24.507222,0.07)); #478184=CARTESIAN_POINT('',(36.610181,24.507222,0.035)); #478185=CARTESIAN_POINT('',(36.536844,24.5,0.07)); #478186=CARTESIAN_POINT('',(36.536844,24.5,0.07)); #478187=CARTESIAN_POINT('',(36.536844,24.5,0.035)); #478188=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,0.035)); #478189=CARTESIAN_POINT('',(36.389819,25.992778,0.035)); #478190=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,0.035)); #478191=CARTESIAN_POINT('',(36.389819,25.992778,0.07)); #478192=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,0.07)); #478193=CARTESIAN_POINT('',(36.389819,25.992778,0.035)); #478194=CARTESIAN_POINT('Origin',(36.5,25.25,0.035)); #478195=CARTESIAN_POINT('Origin',(36.5,25.25,0.035)); #478196=CARTESIAN_POINT('Origin',(36.5,25.25,0.07)); #478197=CARTESIAN_POINT('Origin',(36.4983877860344,25.2486960162912,0.07)); #478198=CARTESIAN_POINT('Origin',(36.4983877860344,25.2486960162912,0.035)); #478199=CARTESIAN_POINT('Origin',(30.19,45.,-200.)); #478200=CARTESIAN_POINT('',(29.625,45.,0.0349999999999895)); #478201=CARTESIAN_POINT('Origin',(30.19,45.,0.0349999999999895)); #478202=CARTESIAN_POINT('',(29.625,45.,0.0700000000000145)); #478203=CARTESIAN_POINT('',(29.625,45.,-200.)); #478204=CARTESIAN_POINT('Origin',(30.19,45.,0.0700000000000145)); #478205=CARTESIAN_POINT('Origin',(31.09,44.1,0.035)); #478206=CARTESIAN_POINT('',(31.09,44.1,0.035)); #478207=CARTESIAN_POINT('',(31.09,45.9,0.035)); #478208=CARTESIAN_POINT('',(31.09,44.1,0.035)); #478209=CARTESIAN_POINT('',(31.09,45.9,0.07)); #478210=CARTESIAN_POINT('',(31.09,45.9,0.035)); #478211=CARTESIAN_POINT('',(31.09,44.1,0.07)); #478212=CARTESIAN_POINT('',(31.09,44.1,0.07)); #478213=CARTESIAN_POINT('',(31.09,44.1,0.035)); #478214=CARTESIAN_POINT('Origin',(29.29,44.1,0.035)); #478215=CARTESIAN_POINT('',(29.29,44.1,0.035)); #478216=CARTESIAN_POINT('',(29.29,44.1,0.035)); #478217=CARTESIAN_POINT('',(29.29,44.1,0.07)); #478218=CARTESIAN_POINT('',(29.29,44.1,0.07)); #478219=CARTESIAN_POINT('',(29.29,44.1,0.035)); #478220=CARTESIAN_POINT('Origin',(29.29,45.9,0.035)); #478221=CARTESIAN_POINT('',(29.29,45.9,0.035)); #478222=CARTESIAN_POINT('',(29.29,45.9,0.035)); #478223=CARTESIAN_POINT('',(29.29,45.9,0.07)); #478224=CARTESIAN_POINT('',(29.29,45.9,0.07)); #478225=CARTESIAN_POINT('',(29.29,45.9,0.035)); #478226=CARTESIAN_POINT('Origin',(31.09,45.9,0.035)); #478227=CARTESIAN_POINT('',(31.09,45.9,0.035)); #478228=CARTESIAN_POINT('',(31.09,45.9,0.07)); #478229=CARTESIAN_POINT('Origin',(30.19,45.,0.07)); #478230=CARTESIAN_POINT('Origin',(30.19,45.,0.035)); #478231=CARTESIAN_POINT('Origin',(52.25,34.,-200.)); #478232=CARTESIAN_POINT('',(51.785,34.,0.0349999999999895)); #478233=CARTESIAN_POINT('Origin',(52.25,34.,0.0349999999999895)); #478234=CARTESIAN_POINT('',(51.785,34.,0.0700000000000145)); #478235=CARTESIAN_POINT('',(51.785,34.,-200.)); #478236=CARTESIAN_POINT('Origin',(52.25,34.,0.0700000000000145)); #478237=CARTESIAN_POINT('Origin',(52.286844,33.25,0.035)); #478238=CARTESIAN_POINT('',(52.286844,33.25,0.035)); #478239=CARTESIAN_POINT('',(52.360181,33.257222,0.035)); #478240=CARTESIAN_POINT('',(52.286844,33.25,0.035)); #478241=CARTESIAN_POINT('',(52.360181,33.257222,0.07)); #478242=CARTESIAN_POINT('',(52.360181,33.257222,0.035)); #478243=CARTESIAN_POINT('',(52.286844,33.25,0.07)); #478244=CARTESIAN_POINT('',(52.286844,33.25,0.07)); #478245=CARTESIAN_POINT('',(52.286844,33.25,0.035)); #478246=CARTESIAN_POINT('Origin',(52.2500001874156,34.0000005308286,0.035)); #478247=CARTESIAN_POINT('',(52.139819,34.742778,0.035)); #478248=CARTESIAN_POINT('Origin',(52.2500001874156,34.0000005308286,0.035)); #478249=CARTESIAN_POINT('',(52.139819,34.742778,0.07)); #478250=CARTESIAN_POINT('Origin',(52.2500001874156,34.0000005308286,0.07)); #478251=CARTESIAN_POINT('',(52.139819,34.742778,0.035)); #478252=CARTESIAN_POINT('Origin',(52.25,34.,0.035)); #478253=CARTESIAN_POINT('Origin',(52.25,34.,0.035)); #478254=CARTESIAN_POINT('Origin',(52.25,34.,0.07)); #478255=CARTESIAN_POINT('Origin',(52.2483877860344,33.9986960162912,0.07)); #478256=CARTESIAN_POINT('Origin',(52.2483877860344,33.9986960162912,0.035)); #478257=CARTESIAN_POINT('Origin',(30.9125,18.1125,0.035)); #478258=CARTESIAN_POINT('',(30.9125,18.1125,0.035)); #478259=CARTESIAN_POINT('',(30.9125,18.3875,0.035)); #478260=CARTESIAN_POINT('',(30.9125,18.1125,0.035)); #478261=CARTESIAN_POINT('',(30.9125,18.3875,0.07)); #478262=CARTESIAN_POINT('',(30.9125,18.3875,0.035)); #478263=CARTESIAN_POINT('',(30.9125,18.1125,0.07)); #478264=CARTESIAN_POINT('',(30.9125,18.1125,0.07)); #478265=CARTESIAN_POINT('',(30.9125,18.1125,0.035)); #478266=CARTESIAN_POINT('Origin',(30.2875,18.1125,0.035)); #478267=CARTESIAN_POINT('',(30.2875,18.1125,0.035)); #478268=CARTESIAN_POINT('',(30.2875,18.1125,0.035)); #478269=CARTESIAN_POINT('',(30.2875,18.1125,0.07)); #478270=CARTESIAN_POINT('',(30.2875,18.1125,0.07)); #478271=CARTESIAN_POINT('',(30.2875,18.1125,0.035)); #478272=CARTESIAN_POINT('Origin',(30.2875,18.3875,0.035)); #478273=CARTESIAN_POINT('',(30.2875,18.3875,0.035)); #478274=CARTESIAN_POINT('',(30.2875,18.3875,0.035)); #478275=CARTESIAN_POINT('',(30.2875,18.3875,0.07)); #478276=CARTESIAN_POINT('',(30.2875,18.3875,0.07)); #478277=CARTESIAN_POINT('',(30.2875,18.3875,0.035)); #478278=CARTESIAN_POINT('Origin',(30.9125,18.3875,0.035)); #478279=CARTESIAN_POINT('',(30.9125,18.3875,0.035)); #478280=CARTESIAN_POINT('',(30.9125,18.3875,0.07)); #478281=CARTESIAN_POINT('Origin',(30.6,18.25,0.07)); #478282=CARTESIAN_POINT('Origin',(30.6,18.25,0.035)); #478283=CARTESIAN_POINT('Origin',(37.7125,14.6125,0.035)); #478284=CARTESIAN_POINT('',(37.7125,14.6125,0.035)); #478285=CARTESIAN_POINT('',(37.7125,14.8875,0.035)); #478286=CARTESIAN_POINT('',(37.7125,14.6125,0.035)); #478287=CARTESIAN_POINT('',(37.7125,14.8875,0.07)); #478288=CARTESIAN_POINT('',(37.7125,14.8875,0.035)); #478289=CARTESIAN_POINT('',(37.7125,14.6125,0.07)); #478290=CARTESIAN_POINT('',(37.7125,14.6125,0.07)); #478291=CARTESIAN_POINT('',(37.7125,14.6125,0.035)); #478292=CARTESIAN_POINT('Origin',(37.0875,14.6125,0.035)); #478293=CARTESIAN_POINT('',(37.0875,14.6125,0.035)); #478294=CARTESIAN_POINT('',(37.0875,14.6125,0.035)); #478295=CARTESIAN_POINT('',(37.0875,14.6125,0.07)); #478296=CARTESIAN_POINT('',(37.0875,14.6125,0.07)); #478297=CARTESIAN_POINT('',(37.0875,14.6125,0.035)); #478298=CARTESIAN_POINT('Origin',(37.0875,14.8875,0.035)); #478299=CARTESIAN_POINT('',(37.0875,14.8875,0.035)); #478300=CARTESIAN_POINT('',(37.0875,14.8875,0.035)); #478301=CARTESIAN_POINT('',(37.0875,14.8875,0.07)); #478302=CARTESIAN_POINT('',(37.0875,14.8875,0.07)); #478303=CARTESIAN_POINT('',(37.0875,14.8875,0.035)); #478304=CARTESIAN_POINT('Origin',(37.7125,14.8875,0.035)); #478305=CARTESIAN_POINT('',(37.7125,14.8875,0.035)); #478306=CARTESIAN_POINT('',(37.7125,14.8875,0.07)); #478307=CARTESIAN_POINT('Origin',(37.4,14.75,0.07)); #478308=CARTESIAN_POINT('Origin',(37.4,14.75,0.035)); #478309=CARTESIAN_POINT('Origin',(37.7125,16.6125,0.035)); #478310=CARTESIAN_POINT('',(37.7125,16.6125,0.035)); #478311=CARTESIAN_POINT('',(37.7125,16.8875,0.035)); #478312=CARTESIAN_POINT('',(37.7125,16.6125,0.035)); #478313=CARTESIAN_POINT('',(37.7125,16.8875,0.07)); #478314=CARTESIAN_POINT('',(37.7125,16.8875,0.035)); #478315=CARTESIAN_POINT('',(37.7125,16.6125,0.07)); #478316=CARTESIAN_POINT('',(37.7125,16.6125,0.07)); #478317=CARTESIAN_POINT('',(37.7125,16.6125,0.035)); #478318=CARTESIAN_POINT('Origin',(37.0875,16.6125,0.035)); #478319=CARTESIAN_POINT('',(37.0875,16.6125,0.035)); #478320=CARTESIAN_POINT('',(37.0875,16.6125,0.035)); #478321=CARTESIAN_POINT('',(37.0875,16.6125,0.07)); #478322=CARTESIAN_POINT('',(37.0875,16.6125,0.07)); #478323=CARTESIAN_POINT('',(37.0875,16.6125,0.035)); #478324=CARTESIAN_POINT('Origin',(37.0875,16.8875,0.035)); #478325=CARTESIAN_POINT('',(37.0875,16.8875,0.035)); #478326=CARTESIAN_POINT('',(37.0875,16.8875,0.035)); #478327=CARTESIAN_POINT('',(37.0875,16.8875,0.07)); #478328=CARTESIAN_POINT('',(37.0875,16.8875,0.07)); #478329=CARTESIAN_POINT('',(37.0875,16.8875,0.035)); #478330=CARTESIAN_POINT('Origin',(37.7125,16.8875,0.035)); #478331=CARTESIAN_POINT('',(37.7125,16.8875,0.035)); #478332=CARTESIAN_POINT('',(37.7125,16.8875,0.07)); #478333=CARTESIAN_POINT('Origin',(37.4,16.75,0.07)); #478334=CARTESIAN_POINT('Origin',(37.4,16.75,0.035)); #478335=CARTESIAN_POINT('Origin',(35.3875,13.2875,0.035)); #478336=CARTESIAN_POINT('',(35.3875,13.2875,0.035)); #478337=CARTESIAN_POINT('',(35.3875,13.9125,0.035)); #478338=CARTESIAN_POINT('',(35.3875,13.2875,0.035)); #478339=CARTESIAN_POINT('',(35.3875,13.9125,0.07)); #478340=CARTESIAN_POINT('',(35.3875,13.9125,0.035)); #478341=CARTESIAN_POINT('',(35.3875,13.2875,0.07)); #478342=CARTESIAN_POINT('',(35.3875,13.2875,0.07)); #478343=CARTESIAN_POINT('',(35.3875,13.2875,0.035)); #478344=CARTESIAN_POINT('Origin',(35.1125,13.2875,0.035)); #478345=CARTESIAN_POINT('',(35.1125,13.2875,0.035)); #478346=CARTESIAN_POINT('',(35.1125,13.2875,0.035)); #478347=CARTESIAN_POINT('',(35.1125,13.2875,0.07)); #478348=CARTESIAN_POINT('',(35.1125,13.2875,0.07)); #478349=CARTESIAN_POINT('',(35.1125,13.2875,0.035)); #478350=CARTESIAN_POINT('Origin',(35.1125,13.9125,0.035)); #478351=CARTESIAN_POINT('',(35.1125,13.9125,0.035)); #478352=CARTESIAN_POINT('',(35.1125,13.9125,0.035)); #478353=CARTESIAN_POINT('',(35.1125,13.9125,0.07)); #478354=CARTESIAN_POINT('',(35.1125,13.9125,0.07)); #478355=CARTESIAN_POINT('',(35.1125,13.9125,0.035)); #478356=CARTESIAN_POINT('Origin',(35.3875,13.9125,0.035)); #478357=CARTESIAN_POINT('',(35.3875,13.9125,0.035)); #478358=CARTESIAN_POINT('',(35.3875,13.9125,0.07)); #478359=CARTESIAN_POINT('Origin',(35.25,13.6,0.07)); #478360=CARTESIAN_POINT('Origin',(35.25,13.6,0.035)); #478361=CARTESIAN_POINT('Origin',(37.7125,15.1125,0.035)); #478362=CARTESIAN_POINT('',(37.7125,15.1125,0.035)); #478363=CARTESIAN_POINT('',(37.7125,15.3875,0.035)); #478364=CARTESIAN_POINT('',(37.7125,15.1125,0.035)); #478365=CARTESIAN_POINT('',(37.7125,15.3875,0.07)); #478366=CARTESIAN_POINT('',(37.7125,15.3875,0.035)); #478367=CARTESIAN_POINT('',(37.7125,15.1125,0.07)); #478368=CARTESIAN_POINT('',(37.7125,15.1125,0.07)); #478369=CARTESIAN_POINT('',(37.7125,15.1125,0.035)); #478370=CARTESIAN_POINT('Origin',(37.0875,15.1125,0.035)); #478371=CARTESIAN_POINT('',(37.0875,15.1125,0.035)); #478372=CARTESIAN_POINT('',(37.0875,15.1125,0.035)); #478373=CARTESIAN_POINT('',(37.0875,15.1125,0.07)); #478374=CARTESIAN_POINT('',(37.0875,15.1125,0.07)); #478375=CARTESIAN_POINT('',(37.0875,15.1125,0.035)); #478376=CARTESIAN_POINT('Origin',(37.0875,15.3875,0.035)); #478377=CARTESIAN_POINT('',(37.0875,15.3875,0.035)); #478378=CARTESIAN_POINT('',(37.0875,15.3875,0.035)); #478379=CARTESIAN_POINT('',(37.0875,15.3875,0.07)); #478380=CARTESIAN_POINT('',(37.0875,15.3875,0.07)); #478381=CARTESIAN_POINT('',(37.0875,15.3875,0.035)); #478382=CARTESIAN_POINT('Origin',(37.7125,15.3875,0.035)); #478383=CARTESIAN_POINT('',(37.7125,15.3875,0.035)); #478384=CARTESIAN_POINT('',(37.7125,15.3875,0.07)); #478385=CARTESIAN_POINT('Origin',(37.4,15.25,0.07)); #478386=CARTESIAN_POINT('Origin',(37.4,15.25,0.035)); #478387=CARTESIAN_POINT('Origin',(36.8875,13.2875,0.035)); #478388=CARTESIAN_POINT('',(36.8875,13.2875,0.035)); #478389=CARTESIAN_POINT('',(36.8875,13.9125,0.035)); #478390=CARTESIAN_POINT('',(36.8875,13.2875,0.035)); #478391=CARTESIAN_POINT('',(36.8875,13.9125,0.07)); #478392=CARTESIAN_POINT('',(36.8875,13.9125,0.035)); #478393=CARTESIAN_POINT('',(36.8875,13.2875,0.07)); #478394=CARTESIAN_POINT('',(36.8875,13.2875,0.07)); #478395=CARTESIAN_POINT('',(36.8875,13.2875,0.035)); #478396=CARTESIAN_POINT('Origin',(36.6125,13.2875,0.035)); #478397=CARTESIAN_POINT('',(36.6125,13.2875,0.035)); #478398=CARTESIAN_POINT('',(36.6125,13.2875,0.035)); #478399=CARTESIAN_POINT('',(36.6125,13.2875,0.07)); #478400=CARTESIAN_POINT('',(36.6125,13.2875,0.07)); #478401=CARTESIAN_POINT('',(36.6125,13.2875,0.035)); #478402=CARTESIAN_POINT('Origin',(36.6125,13.9125,0.035)); #478403=CARTESIAN_POINT('',(36.6125,13.9125,0.035)); #478404=CARTESIAN_POINT('',(36.6125,13.9125,0.035)); #478405=CARTESIAN_POINT('',(36.6125,13.9125,0.07)); #478406=CARTESIAN_POINT('',(36.6125,13.9125,0.07)); #478407=CARTESIAN_POINT('',(36.6125,13.9125,0.035)); #478408=CARTESIAN_POINT('Origin',(36.8875,13.9125,0.035)); #478409=CARTESIAN_POINT('',(36.8875,13.9125,0.035)); #478410=CARTESIAN_POINT('',(36.8875,13.9125,0.07)); #478411=CARTESIAN_POINT('Origin',(36.75,13.6,0.07)); #478412=CARTESIAN_POINT('Origin',(36.75,13.6,0.035)); #478413=CARTESIAN_POINT('Origin',(37.7125,17.1125,0.035)); #478414=CARTESIAN_POINT('',(37.7125,17.1125,0.035)); #478415=CARTESIAN_POINT('',(37.7125,17.3875,0.035)); #478416=CARTESIAN_POINT('',(37.7125,17.1125,0.035)); #478417=CARTESIAN_POINT('',(37.7125,17.3875,0.07)); #478418=CARTESIAN_POINT('',(37.7125,17.3875,0.035)); #478419=CARTESIAN_POINT('',(37.7125,17.1125,0.07)); #478420=CARTESIAN_POINT('',(37.7125,17.1125,0.07)); #478421=CARTESIAN_POINT('',(37.7125,17.1125,0.035)); #478422=CARTESIAN_POINT('Origin',(37.0875,17.1125,0.035)); #478423=CARTESIAN_POINT('',(37.0875,17.1125,0.035)); #478424=CARTESIAN_POINT('',(37.0875,17.1125,0.035)); #478425=CARTESIAN_POINT('',(37.0875,17.1125,0.07)); #478426=CARTESIAN_POINT('',(37.0875,17.1125,0.07)); #478427=CARTESIAN_POINT('',(37.0875,17.1125,0.035)); #478428=CARTESIAN_POINT('Origin',(37.0875,17.3875,0.035)); #478429=CARTESIAN_POINT('',(37.0875,17.3875,0.035)); #478430=CARTESIAN_POINT('',(37.0875,17.3875,0.035)); #478431=CARTESIAN_POINT('',(37.0875,17.3875,0.07)); #478432=CARTESIAN_POINT('',(37.0875,17.3875,0.07)); #478433=CARTESIAN_POINT('',(37.0875,17.3875,0.035)); #478434=CARTESIAN_POINT('Origin',(37.7125,17.3875,0.035)); #478435=CARTESIAN_POINT('',(37.7125,17.3875,0.035)); #478436=CARTESIAN_POINT('',(37.7125,17.3875,0.07)); #478437=CARTESIAN_POINT('Origin',(37.4,17.25,0.07)); #478438=CARTESIAN_POINT('Origin',(37.4,17.25,0.035)); #478439=CARTESIAN_POINT('Origin',(37.7125,14.1125,0.035)); #478440=CARTESIAN_POINT('',(37.7125,14.1125,0.035)); #478441=CARTESIAN_POINT('',(37.7125,14.3875,0.035)); #478442=CARTESIAN_POINT('',(37.7125,14.1125,0.035)); #478443=CARTESIAN_POINT('',(37.7125,14.3875,0.07)); #478444=CARTESIAN_POINT('',(37.7125,14.3875,0.035)); #478445=CARTESIAN_POINT('',(37.7125,14.1125,0.07)); #478446=CARTESIAN_POINT('',(37.7125,14.1125,0.07)); #478447=CARTESIAN_POINT('',(37.7125,14.1125,0.035)); #478448=CARTESIAN_POINT('Origin',(37.0875,14.1125,0.035)); #478449=CARTESIAN_POINT('',(37.0875,14.1125,0.035)); #478450=CARTESIAN_POINT('',(37.0875,14.1125,0.035)); #478451=CARTESIAN_POINT('',(37.0875,14.1125,0.07)); #478452=CARTESIAN_POINT('',(37.0875,14.1125,0.07)); #478453=CARTESIAN_POINT('',(37.0875,14.1125,0.035)); #478454=CARTESIAN_POINT('Origin',(37.0875,14.3875,0.035)); #478455=CARTESIAN_POINT('',(37.0875,14.3875,0.035)); #478456=CARTESIAN_POINT('',(37.0875,14.3875,0.035)); #478457=CARTESIAN_POINT('',(37.0875,14.3875,0.07)); #478458=CARTESIAN_POINT('',(37.0875,14.3875,0.07)); #478459=CARTESIAN_POINT('',(37.0875,14.3875,0.035)); #478460=CARTESIAN_POINT('Origin',(37.7125,14.3875,0.035)); #478461=CARTESIAN_POINT('',(37.7125,14.3875,0.035)); #478462=CARTESIAN_POINT('',(37.7125,14.3875,0.07)); #478463=CARTESIAN_POINT('Origin',(37.4,14.25,0.07)); #478464=CARTESIAN_POINT('Origin',(37.4,14.25,0.035)); #478465=CARTESIAN_POINT('Origin',(30.9125,16.6125,0.035)); #478466=CARTESIAN_POINT('',(30.9125,16.6125,0.035)); #478467=CARTESIAN_POINT('',(30.9125,16.8875,0.035)); #478468=CARTESIAN_POINT('',(30.9125,16.6125,0.035)); #478469=CARTESIAN_POINT('',(30.9125,16.8875,0.07)); #478470=CARTESIAN_POINT('',(30.9125,16.8875,0.035)); #478471=CARTESIAN_POINT('',(30.9125,16.6125,0.07)); #478472=CARTESIAN_POINT('',(30.9125,16.6125,0.07)); #478473=CARTESIAN_POINT('',(30.9125,16.6125,0.035)); #478474=CARTESIAN_POINT('Origin',(30.2875,16.6125,0.035)); #478475=CARTESIAN_POINT('',(30.2875,16.6125,0.035)); #478476=CARTESIAN_POINT('',(30.2875,16.6125,0.035)); #478477=CARTESIAN_POINT('',(30.2875,16.6125,0.07)); #478478=CARTESIAN_POINT('',(30.2875,16.6125,0.07)); #478479=CARTESIAN_POINT('',(30.2875,16.6125,0.035)); #478480=CARTESIAN_POINT('Origin',(30.2875,16.8875,0.035)); #478481=CARTESIAN_POINT('',(30.2875,16.8875,0.035)); #478482=CARTESIAN_POINT('',(30.2875,16.8875,0.035)); #478483=CARTESIAN_POINT('',(30.2875,16.8875,0.07)); #478484=CARTESIAN_POINT('',(30.2875,16.8875,0.07)); #478485=CARTESIAN_POINT('',(30.2875,16.8875,0.035)); #478486=CARTESIAN_POINT('Origin',(30.9125,16.8875,0.035)); #478487=CARTESIAN_POINT('',(30.9125,16.8875,0.035)); #478488=CARTESIAN_POINT('',(30.9125,16.8875,0.07)); #478489=CARTESIAN_POINT('Origin',(30.6,16.75,0.07)); #478490=CARTESIAN_POINT('Origin',(30.6,16.75,0.035)); #478491=CARTESIAN_POINT('Origin',(34.8875,13.2875,0.035)); #478492=CARTESIAN_POINT('',(34.8875,13.2875,0.035)); #478493=CARTESIAN_POINT('',(34.8875,13.9125,0.035)); #478494=CARTESIAN_POINT('',(34.8875,13.2875,0.035)); #478495=CARTESIAN_POINT('',(34.8875,13.9125,0.07)); #478496=CARTESIAN_POINT('',(34.8875,13.9125,0.035)); #478497=CARTESIAN_POINT('',(34.8875,13.2875,0.07)); #478498=CARTESIAN_POINT('',(34.8875,13.2875,0.07)); #478499=CARTESIAN_POINT('',(34.8875,13.2875,0.035)); #478500=CARTESIAN_POINT('Origin',(34.6125,13.2875,0.035)); #478501=CARTESIAN_POINT('',(34.6125,13.2875,0.035)); #478502=CARTESIAN_POINT('',(34.6125,13.2875,0.035)); #478503=CARTESIAN_POINT('',(34.6125,13.2875,0.07)); #478504=CARTESIAN_POINT('',(34.6125,13.2875,0.07)); #478505=CARTESIAN_POINT('',(34.6125,13.2875,0.035)); #478506=CARTESIAN_POINT('Origin',(34.6125,13.9125,0.035)); #478507=CARTESIAN_POINT('',(34.6125,13.9125,0.035)); #478508=CARTESIAN_POINT('',(34.6125,13.9125,0.035)); #478509=CARTESIAN_POINT('',(34.6125,13.9125,0.07)); #478510=CARTESIAN_POINT('',(34.6125,13.9125,0.07)); #478511=CARTESIAN_POINT('',(34.6125,13.9125,0.035)); #478512=CARTESIAN_POINT('Origin',(34.8875,13.9125,0.035)); #478513=CARTESIAN_POINT('',(34.8875,13.9125,0.035)); #478514=CARTESIAN_POINT('',(34.8875,13.9125,0.07)); #478515=CARTESIAN_POINT('Origin',(34.75,13.6,0.07)); #478516=CARTESIAN_POINT('Origin',(34.75,13.6,0.035)); #478517=CARTESIAN_POINT('Origin',(12.6571,50.0555,0.035)); #478518=CARTESIAN_POINT('',(12.6571,50.0555,0.035)); #478519=CARTESIAN_POINT('',(12.6571,50.9445,0.035)); #478520=CARTESIAN_POINT('',(12.6571,50.0555,0.035)); #478521=CARTESIAN_POINT('',(12.6571,50.9445,0.07)); #478522=CARTESIAN_POINT('',(12.6571,50.9445,0.035)); #478523=CARTESIAN_POINT('',(12.6571,50.0555,0.07)); #478524=CARTESIAN_POINT('',(12.6571,50.0555,0.07)); #478525=CARTESIAN_POINT('',(12.6571,50.0555,0.035)); #478526=CARTESIAN_POINT('Origin',(11.8189,50.0555,0.035)); #478527=CARTESIAN_POINT('',(11.8189,50.0555,0.035)); #478528=CARTESIAN_POINT('',(11.8189,50.0555,0.035)); #478529=CARTESIAN_POINT('',(11.8189,50.0555,0.07)); #478530=CARTESIAN_POINT('',(11.8189,50.0555,0.07)); #478531=CARTESIAN_POINT('',(11.8189,50.0555,0.035)); #478532=CARTESIAN_POINT('Origin',(11.8189,50.9445,0.035)); #478533=CARTESIAN_POINT('',(11.8189,50.9445,0.035)); #478534=CARTESIAN_POINT('',(11.8189,50.9445,0.035)); #478535=CARTESIAN_POINT('',(11.8189,50.9445,0.07)); #478536=CARTESIAN_POINT('',(11.8189,50.9445,0.07)); #478537=CARTESIAN_POINT('',(11.8189,50.9445,0.035)); #478538=CARTESIAN_POINT('Origin',(12.6571,50.9445,0.035)); #478539=CARTESIAN_POINT('',(12.6571,50.9445,0.035)); #478540=CARTESIAN_POINT('',(12.6571,50.9445,0.07)); #478541=CARTESIAN_POINT('Origin',(12.238,50.5,0.07)); #478542=CARTESIAN_POINT('Origin',(12.238,50.5,0.035)); #478543=CARTESIAN_POINT('Origin',(30.9125,19.1125,0.035)); #478544=CARTESIAN_POINT('',(30.9125,19.1125,0.035)); #478545=CARTESIAN_POINT('',(30.9125,19.3875,0.035)); #478546=CARTESIAN_POINT('',(30.9125,19.1125,0.035)); #478547=CARTESIAN_POINT('',(30.9125,19.3875,0.07)); #478548=CARTESIAN_POINT('',(30.9125,19.3875,0.035)); #478549=CARTESIAN_POINT('',(30.9125,19.1125,0.07)); #478550=CARTESIAN_POINT('',(30.9125,19.1125,0.07)); #478551=CARTESIAN_POINT('',(30.9125,19.1125,0.035)); #478552=CARTESIAN_POINT('Origin',(30.2875,19.1125,0.035)); #478553=CARTESIAN_POINT('',(30.2875,19.1125,0.035)); #478554=CARTESIAN_POINT('',(30.2875,19.1125,0.035)); #478555=CARTESIAN_POINT('',(30.2875,19.1125,0.07)); #478556=CARTESIAN_POINT('',(30.2875,19.1125,0.07)); #478557=CARTESIAN_POINT('',(30.2875,19.1125,0.035)); #478558=CARTESIAN_POINT('Origin',(30.2875,19.3875,0.035)); #478559=CARTESIAN_POINT('',(30.2875,19.3875,0.035)); #478560=CARTESIAN_POINT('',(30.2875,19.3875,0.035)); #478561=CARTESIAN_POINT('',(30.2875,19.3875,0.07)); #478562=CARTESIAN_POINT('',(30.2875,19.3875,0.07)); #478563=CARTESIAN_POINT('',(30.2875,19.3875,0.035)); #478564=CARTESIAN_POINT('Origin',(30.9125,19.3875,0.035)); #478565=CARTESIAN_POINT('',(30.9125,19.3875,0.035)); #478566=CARTESIAN_POINT('',(30.9125,19.3875,0.07)); #478567=CARTESIAN_POINT('Origin',(30.6,19.25,0.07)); #478568=CARTESIAN_POINT('Origin',(30.6,19.25,0.035)); #478569=CARTESIAN_POINT('Origin',(16.3622,24.6665,0.035)); #478570=CARTESIAN_POINT('',(16.3622,24.6665,0.035)); #478571=CARTESIAN_POINT('',(16.3622,27.3335,0.035)); #478572=CARTESIAN_POINT('',(16.3622,24.6665,0.035)); #478573=CARTESIAN_POINT('',(16.3622,27.3335,0.07)); #478574=CARTESIAN_POINT('',(16.3622,27.3335,0.035)); #478575=CARTESIAN_POINT('',(16.3622,24.6665,0.07)); #478576=CARTESIAN_POINT('',(16.3622,24.6665,0.07)); #478577=CARTESIAN_POINT('',(16.3622,24.6665,0.035)); #478578=CARTESIAN_POINT('Origin',(14.6858,24.6665,0.035)); #478579=CARTESIAN_POINT('',(14.6858,24.6665,0.035)); #478580=CARTESIAN_POINT('',(14.6858,24.6665,0.035)); #478581=CARTESIAN_POINT('',(14.6858,24.6665,0.07)); #478582=CARTESIAN_POINT('',(14.6858,24.6665,0.07)); #478583=CARTESIAN_POINT('',(14.6858,24.6665,0.035)); #478584=CARTESIAN_POINT('Origin',(14.6858,27.3335,0.035)); #478585=CARTESIAN_POINT('',(14.6858,27.3335,0.035)); #478586=CARTESIAN_POINT('',(14.6858,27.3335,0.035)); #478587=CARTESIAN_POINT('',(14.6858,27.3335,0.07)); #478588=CARTESIAN_POINT('',(14.6858,27.3335,0.07)); #478589=CARTESIAN_POINT('',(14.6858,27.3335,0.035)); #478590=CARTESIAN_POINT('Origin',(16.3622,27.3335,0.035)); #478591=CARTESIAN_POINT('',(16.3622,27.3335,0.035)); #478592=CARTESIAN_POINT('',(16.3622,27.3335,0.07)); #478593=CARTESIAN_POINT('Origin',(15.524,26.,0.07)); #478594=CARTESIAN_POINT('Origin',(15.524,26.,0.035)); #478595=CARTESIAN_POINT('Origin',(16.,20.25,-200.)); #478596=CARTESIAN_POINT('',(15.86,20.25,0.0349999999999895)); #478597=CARTESIAN_POINT('Origin',(16.,20.25,0.0349999999999895)); #478598=CARTESIAN_POINT('',(15.86,20.25,0.0700000000000145)); #478599=CARTESIAN_POINT('',(15.86,20.25,-200.)); #478600=CARTESIAN_POINT('Origin',(16.,20.25,0.0700000000000145)); #478601=CARTESIAN_POINT('Origin',(15.25,20.25,-200.)); #478602=CARTESIAN_POINT('',(15.11,20.25,0.0349999999999895)); #478603=CARTESIAN_POINT('Origin',(15.25,20.25,0.0349999999999895)); #478604=CARTESIAN_POINT('',(15.11,20.25,0.0700000000000145)); #478605=CARTESIAN_POINT('',(15.11,20.25,-200.)); #478606=CARTESIAN_POINT('Origin',(15.25,20.25,0.0700000000000145)); #478607=CARTESIAN_POINT('Origin',(15.25,21.75,-200.)); #478608=CARTESIAN_POINT('',(15.11,21.75,0.0349999999999895)); #478609=CARTESIAN_POINT('Origin',(15.25,21.75,0.0349999999999895)); #478610=CARTESIAN_POINT('',(15.11,21.75,0.0700000000000145)); #478611=CARTESIAN_POINT('',(15.11,21.75,-200.)); #478612=CARTESIAN_POINT('Origin',(15.25,21.75,0.0700000000000145)); #478613=CARTESIAN_POINT('Origin',(16.,21.75,-200.)); #478614=CARTESIAN_POINT('',(15.86,21.75,0.0349999999999895)); #478615=CARTESIAN_POINT('Origin',(16.,21.75,0.0349999999999895)); #478616=CARTESIAN_POINT('',(15.86,21.75,0.0700000000000145)); #478617=CARTESIAN_POINT('',(15.86,21.75,-200.)); #478618=CARTESIAN_POINT('Origin',(16.,21.75,0.0700000000000145)); #478619=CARTESIAN_POINT('Origin',(16.,21.,-200.)); #478620=CARTESIAN_POINT('',(15.86,21.,0.0349999999999895)); #478621=CARTESIAN_POINT('Origin',(16.,21.,0.0349999999999895)); #478622=CARTESIAN_POINT('',(15.86,21.,0.0700000000000145)); #478623=CARTESIAN_POINT('',(15.86,21.,-200.)); #478624=CARTESIAN_POINT('Origin',(16.,21.,0.0700000000000145)); #478625=CARTESIAN_POINT('Origin',(15.25,21.,-200.)); #478626=CARTESIAN_POINT('',(15.11,21.,0.0349999999999895)); #478627=CARTESIAN_POINT('Origin',(15.25,21.,0.0349999999999895)); #478628=CARTESIAN_POINT('',(15.11,21.,0.0700000000000145)); #478629=CARTESIAN_POINT('',(15.11,21.,-200.)); #478630=CARTESIAN_POINT('Origin',(15.25,21.,0.0700000000000145)); #478631=CARTESIAN_POINT('Origin',(16.3622,19.6665,0.035)); #478632=CARTESIAN_POINT('',(16.3622,19.6665,0.035)); #478633=CARTESIAN_POINT('',(16.3622,22.3335,0.035)); #478634=CARTESIAN_POINT('',(16.3622,19.6665,0.035)); #478635=CARTESIAN_POINT('',(16.3622,22.3335,0.07)); #478636=CARTESIAN_POINT('',(16.3622,22.3335,0.035)); #478637=CARTESIAN_POINT('',(16.3622,19.6665,0.07)); #478638=CARTESIAN_POINT('',(16.3622,19.6665,0.07)); #478639=CARTESIAN_POINT('',(16.3622,19.6665,0.035)); #478640=CARTESIAN_POINT('Origin',(14.6858,19.6665,0.035)); #478641=CARTESIAN_POINT('',(14.6858,19.6665,0.035)); #478642=CARTESIAN_POINT('',(14.6858,19.6665,0.035)); #478643=CARTESIAN_POINT('',(14.6858,19.6665,0.07)); #478644=CARTESIAN_POINT('',(14.6858,19.6665,0.07)); #478645=CARTESIAN_POINT('',(14.6858,19.6665,0.035)); #478646=CARTESIAN_POINT('Origin',(14.6858,22.3335,0.035)); #478647=CARTESIAN_POINT('',(14.6858,22.3335,0.035)); #478648=CARTESIAN_POINT('',(14.6858,22.3335,0.035)); #478649=CARTESIAN_POINT('',(14.6858,22.3335,0.07)); #478650=CARTESIAN_POINT('',(14.6858,22.3335,0.07)); #478651=CARTESIAN_POINT('',(14.6858,22.3335,0.035)); #478652=CARTESIAN_POINT('Origin',(16.3622,22.3335,0.035)); #478653=CARTESIAN_POINT('',(16.3622,22.3335,0.035)); #478654=CARTESIAN_POINT('',(16.3622,22.3335,0.07)); #478655=CARTESIAN_POINT('Origin',(15.524,21.,0.07)); #478656=CARTESIAN_POINT('Origin',(15.524,21.,0.035)); #478657=CARTESIAN_POINT('Origin',(14.3142,46.1745,0.035)); #478658=CARTESIAN_POINT('',(14.3142,46.1745,0.035)); #478659=CARTESIAN_POINT('',(14.3142,47.8255,0.035)); #478660=CARTESIAN_POINT('',(14.3142,46.1745,0.035)); #478661=CARTESIAN_POINT('',(14.3142,47.8255,0.07)); #478662=CARTESIAN_POINT('',(14.3142,47.8255,0.035)); #478663=CARTESIAN_POINT('',(14.3142,46.1745,0.07)); #478664=CARTESIAN_POINT('',(14.3142,46.1745,0.07)); #478665=CARTESIAN_POINT('',(14.3142,46.1745,0.035)); #478666=CARTESIAN_POINT('Origin',(12.6378,46.1745,0.035)); #478667=CARTESIAN_POINT('',(12.6378,46.1745,0.035)); #478668=CARTESIAN_POINT('',(12.6378,46.1745,0.035)); #478669=CARTESIAN_POINT('',(12.6378,46.1745,0.07)); #478670=CARTESIAN_POINT('',(12.6378,46.1745,0.07)); #478671=CARTESIAN_POINT('',(12.6378,46.1745,0.035)); #478672=CARTESIAN_POINT('Origin',(12.6378,47.8255,0.035)); #478673=CARTESIAN_POINT('',(12.6378,47.8255,0.035)); #478674=CARTESIAN_POINT('',(12.6378,47.8255,0.035)); #478675=CARTESIAN_POINT('',(12.6378,47.8255,0.07)); #478676=CARTESIAN_POINT('',(12.6378,47.8255,0.07)); #478677=CARTESIAN_POINT('',(12.6378,47.8255,0.035)); #478678=CARTESIAN_POINT('Origin',(14.3142,47.8255,0.035)); #478679=CARTESIAN_POINT('',(14.3142,47.8255,0.035)); #478680=CARTESIAN_POINT('',(14.3142,47.8255,0.07)); #478681=CARTESIAN_POINT('Origin',(13.476,47.,0.07)); #478682=CARTESIAN_POINT('Origin',(13.476,47.,0.035)); #478683=CARTESIAN_POINT('Origin',(22.6571,25.5555,0.035)); #478684=CARTESIAN_POINT('',(22.6571,25.5555,0.035)); #478685=CARTESIAN_POINT('',(22.6571,26.4445,0.035)); #478686=CARTESIAN_POINT('',(22.6571,25.5555,0.035)); #478687=CARTESIAN_POINT('',(22.6571,26.4445,0.07)); #478688=CARTESIAN_POINT('',(22.6571,26.4445,0.035)); #478689=CARTESIAN_POINT('',(22.6571,25.5555,0.07)); #478690=CARTESIAN_POINT('',(22.6571,25.5555,0.07)); #478691=CARTESIAN_POINT('',(22.6571,25.5555,0.035)); #478692=CARTESIAN_POINT('Origin',(21.8189,25.5555,0.035)); #478693=CARTESIAN_POINT('',(21.8189,25.5555,0.035)); #478694=CARTESIAN_POINT('',(21.8189,25.5555,0.035)); #478695=CARTESIAN_POINT('',(21.8189,25.5555,0.07)); #478696=CARTESIAN_POINT('',(21.8189,25.5555,0.07)); #478697=CARTESIAN_POINT('',(21.8189,25.5555,0.035)); #478698=CARTESIAN_POINT('Origin',(21.8189,26.4445,0.035)); #478699=CARTESIAN_POINT('',(21.8189,26.4445,0.035)); #478700=CARTESIAN_POINT('',(21.8189,26.4445,0.035)); #478701=CARTESIAN_POINT('',(21.8189,26.4445,0.07)); #478702=CARTESIAN_POINT('',(21.8189,26.4445,0.07)); #478703=CARTESIAN_POINT('',(21.8189,26.4445,0.035)); #478704=CARTESIAN_POINT('Origin',(22.6571,26.4445,0.035)); #478705=CARTESIAN_POINT('',(22.6571,26.4445,0.035)); #478706=CARTESIAN_POINT('',(22.6571,26.4445,0.07)); #478707=CARTESIAN_POINT('Origin',(22.238,26.,0.07)); #478708=CARTESIAN_POINT('Origin',(22.238,26.,0.035)); #478709=CARTESIAN_POINT('Origin',(26.1811,14.5555,0.035)); #478710=CARTESIAN_POINT('',(26.1811,14.5555,0.035)); #478711=CARTESIAN_POINT('',(26.1811,15.4445,0.035)); #478712=CARTESIAN_POINT('',(26.1811,14.5555,0.035)); #478713=CARTESIAN_POINT('',(26.1811,15.4445,0.07)); #478714=CARTESIAN_POINT('',(26.1811,15.4445,0.035)); #478715=CARTESIAN_POINT('',(26.1811,14.5555,0.07)); #478716=CARTESIAN_POINT('',(26.1811,14.5555,0.07)); #478717=CARTESIAN_POINT('',(26.1811,14.5555,0.035)); #478718=CARTESIAN_POINT('Origin',(25.3429,14.5555,0.035)); #478719=CARTESIAN_POINT('',(25.3429,14.5555,0.035)); #478720=CARTESIAN_POINT('',(25.3429,14.5555,0.035)); #478721=CARTESIAN_POINT('',(25.3429,14.5555,0.07)); #478722=CARTESIAN_POINT('',(25.3429,14.5555,0.07)); #478723=CARTESIAN_POINT('',(25.3429,14.5555,0.035)); #478724=CARTESIAN_POINT('Origin',(25.3429,15.4445,0.035)); #478725=CARTESIAN_POINT('',(25.3429,15.4445,0.035)); #478726=CARTESIAN_POINT('',(25.3429,15.4445,0.035)); #478727=CARTESIAN_POINT('',(25.3429,15.4445,0.07)); #478728=CARTESIAN_POINT('',(25.3429,15.4445,0.07)); #478729=CARTESIAN_POINT('',(25.3429,15.4445,0.035)); #478730=CARTESIAN_POINT('Origin',(26.1811,15.4445,0.035)); #478731=CARTESIAN_POINT('',(26.1811,15.4445,0.035)); #478732=CARTESIAN_POINT('',(26.1811,15.4445,0.07)); #478733=CARTESIAN_POINT('Origin',(25.762,15.,0.07)); #478734=CARTESIAN_POINT('Origin',(25.762,15.,0.035)); #478735=CARTESIAN_POINT('Origin',(35.8875,13.2875,0.035)); #478736=CARTESIAN_POINT('',(35.8875,13.2875,0.035)); #478737=CARTESIAN_POINT('',(35.8875,13.9125,0.035)); #478738=CARTESIAN_POINT('',(35.8875,13.2875,0.035)); #478739=CARTESIAN_POINT('',(35.8875,13.9125,0.07)); #478740=CARTESIAN_POINT('',(35.8875,13.9125,0.035)); #478741=CARTESIAN_POINT('',(35.8875,13.2875,0.07)); #478742=CARTESIAN_POINT('',(35.8875,13.2875,0.07)); #478743=CARTESIAN_POINT('',(35.8875,13.2875,0.035)); #478744=CARTESIAN_POINT('Origin',(35.6125,13.2875,0.035)); #478745=CARTESIAN_POINT('',(35.6125,13.2875,0.035)); #478746=CARTESIAN_POINT('',(35.6125,13.2875,0.035)); #478747=CARTESIAN_POINT('',(35.6125,13.2875,0.07)); #478748=CARTESIAN_POINT('',(35.6125,13.2875,0.07)); #478749=CARTESIAN_POINT('',(35.6125,13.2875,0.035)); #478750=CARTESIAN_POINT('Origin',(35.6125,13.9125,0.035)); #478751=CARTESIAN_POINT('',(35.6125,13.9125,0.035)); #478752=CARTESIAN_POINT('',(35.6125,13.9125,0.035)); #478753=CARTESIAN_POINT('',(35.6125,13.9125,0.07)); #478754=CARTESIAN_POINT('',(35.6125,13.9125,0.07)); #478755=CARTESIAN_POINT('',(35.6125,13.9125,0.035)); #478756=CARTESIAN_POINT('Origin',(35.8875,13.9125,0.035)); #478757=CARTESIAN_POINT('',(35.8875,13.9125,0.035)); #478758=CARTESIAN_POINT('',(35.8875,13.9125,0.07)); #478759=CARTESIAN_POINT('Origin',(35.75,13.6,0.07)); #478760=CARTESIAN_POINT('Origin',(35.75,13.6,0.035)); #478761=CARTESIAN_POINT('Origin',(26.1811,20.5555,0.035)); #478762=CARTESIAN_POINT('',(26.1811,20.5555,0.035)); #478763=CARTESIAN_POINT('',(26.1811,21.4445,0.035)); #478764=CARTESIAN_POINT('',(26.1811,20.5555,0.035)); #478765=CARTESIAN_POINT('',(26.1811,21.4445,0.07)); #478766=CARTESIAN_POINT('',(26.1811,21.4445,0.035)); #478767=CARTESIAN_POINT('',(26.1811,20.5555,0.07)); #478768=CARTESIAN_POINT('',(26.1811,20.5555,0.07)); #478769=CARTESIAN_POINT('',(26.1811,20.5555,0.035)); #478770=CARTESIAN_POINT('Origin',(25.3429,20.5555,0.035)); #478771=CARTESIAN_POINT('',(25.3429,20.5555,0.035)); #478772=CARTESIAN_POINT('',(25.3429,20.5555,0.035)); #478773=CARTESIAN_POINT('',(25.3429,20.5555,0.07)); #478774=CARTESIAN_POINT('',(25.3429,20.5555,0.07)); #478775=CARTESIAN_POINT('',(25.3429,20.5555,0.035)); #478776=CARTESIAN_POINT('Origin',(25.3429,21.4445,0.035)); #478777=CARTESIAN_POINT('',(25.3429,21.4445,0.035)); #478778=CARTESIAN_POINT('',(25.3429,21.4445,0.035)); #478779=CARTESIAN_POINT('',(25.3429,21.4445,0.07)); #478780=CARTESIAN_POINT('',(25.3429,21.4445,0.07)); #478781=CARTESIAN_POINT('',(25.3429,21.4445,0.035)); #478782=CARTESIAN_POINT('Origin',(26.1811,21.4445,0.035)); #478783=CARTESIAN_POINT('',(26.1811,21.4445,0.035)); #478784=CARTESIAN_POINT('',(26.1811,21.4445,0.07)); #478785=CARTESIAN_POINT('Origin',(25.762,21.,0.07)); #478786=CARTESIAN_POINT('Origin',(25.762,21.,0.035)); #478787=CARTESIAN_POINT('Origin',(30.9125,15.6125,0.035)); #478788=CARTESIAN_POINT('',(30.9125,15.6125,0.035)); #478789=CARTESIAN_POINT('',(30.9125,15.8875,0.035)); #478790=CARTESIAN_POINT('',(30.9125,15.6125,0.035)); #478791=CARTESIAN_POINT('',(30.9125,15.8875,0.07)); #478792=CARTESIAN_POINT('',(30.9125,15.8875,0.035)); #478793=CARTESIAN_POINT('',(30.9125,15.6125,0.07)); #478794=CARTESIAN_POINT('',(30.9125,15.6125,0.07)); #478795=CARTESIAN_POINT('',(30.9125,15.6125,0.035)); #478796=CARTESIAN_POINT('Origin',(30.2875,15.6125,0.035)); #478797=CARTESIAN_POINT('',(30.2875,15.6125,0.035)); #478798=CARTESIAN_POINT('',(30.2875,15.6125,0.035)); #478799=CARTESIAN_POINT('',(30.2875,15.6125,0.07)); #478800=CARTESIAN_POINT('',(30.2875,15.6125,0.07)); #478801=CARTESIAN_POINT('',(30.2875,15.6125,0.035)); #478802=CARTESIAN_POINT('Origin',(30.2875,15.8875,0.035)); #478803=CARTESIAN_POINT('',(30.2875,15.8875,0.035)); #478804=CARTESIAN_POINT('',(30.2875,15.8875,0.035)); #478805=CARTESIAN_POINT('',(30.2875,15.8875,0.07)); #478806=CARTESIAN_POINT('',(30.2875,15.8875,0.07)); #478807=CARTESIAN_POINT('',(30.2875,15.8875,0.035)); #478808=CARTESIAN_POINT('Origin',(30.9125,15.8875,0.035)); #478809=CARTESIAN_POINT('',(30.9125,15.8875,0.035)); #478810=CARTESIAN_POINT('',(30.9125,15.8875,0.07)); #478811=CARTESIAN_POINT('Origin',(30.6,15.75,0.07)); #478812=CARTESIAN_POINT('Origin',(30.6,15.75,0.035)); #478813=CARTESIAN_POINT('Origin',(39.1811,37.5555,0.035)); #478814=CARTESIAN_POINT('',(39.1811,37.5555,0.035)); #478815=CARTESIAN_POINT('',(39.1811,38.4445,0.035)); #478816=CARTESIAN_POINT('',(39.1811,37.5555,0.035)); #478817=CARTESIAN_POINT('',(39.1811,38.4445,0.07)); #478818=CARTESIAN_POINT('',(39.1811,38.4445,0.035)); #478819=CARTESIAN_POINT('',(39.1811,37.5555,0.07)); #478820=CARTESIAN_POINT('',(39.1811,37.5555,0.07)); #478821=CARTESIAN_POINT('',(39.1811,37.5555,0.035)); #478822=CARTESIAN_POINT('Origin',(38.3429,37.5555,0.035)); #478823=CARTESIAN_POINT('',(38.3429,37.5555,0.035)); #478824=CARTESIAN_POINT('',(38.3429,37.5555,0.035)); #478825=CARTESIAN_POINT('',(38.3429,37.5555,0.07)); #478826=CARTESIAN_POINT('',(38.3429,37.5555,0.07)); #478827=CARTESIAN_POINT('',(38.3429,37.5555,0.035)); #478828=CARTESIAN_POINT('Origin',(38.3429,38.4445,0.035)); #478829=CARTESIAN_POINT('',(38.3429,38.4445,0.035)); #478830=CARTESIAN_POINT('',(38.3429,38.4445,0.035)); #478831=CARTESIAN_POINT('',(38.3429,38.4445,0.07)); #478832=CARTESIAN_POINT('',(38.3429,38.4445,0.07)); #478833=CARTESIAN_POINT('',(38.3429,38.4445,0.035)); #478834=CARTESIAN_POINT('Origin',(39.1811,38.4445,0.035)); #478835=CARTESIAN_POINT('',(39.1811,38.4445,0.035)); #478836=CARTESIAN_POINT('',(39.1811,38.4445,0.07)); #478837=CARTESIAN_POINT('Origin',(38.762,38.,0.07)); #478838=CARTESIAN_POINT('Origin',(38.762,38.,0.035)); #478839=CARTESIAN_POINT('Origin',(34.8875,20.0875,0.035)); #478840=CARTESIAN_POINT('',(34.8875,20.0875,0.035)); #478841=CARTESIAN_POINT('',(34.8875,20.7125,0.035)); #478842=CARTESIAN_POINT('',(34.8875,20.0875,0.035)); #478843=CARTESIAN_POINT('',(34.8875,20.7125,0.07)); #478844=CARTESIAN_POINT('',(34.8875,20.7125,0.035)); #478845=CARTESIAN_POINT('',(34.8875,20.0875,0.07)); #478846=CARTESIAN_POINT('',(34.8875,20.0875,0.07)); #478847=CARTESIAN_POINT('',(34.8875,20.0875,0.035)); #478848=CARTESIAN_POINT('Origin',(34.6125,20.0875,0.035)); #478849=CARTESIAN_POINT('',(34.6125,20.0875,0.035)); #478850=CARTESIAN_POINT('',(34.6125,20.0875,0.035)); #478851=CARTESIAN_POINT('',(34.6125,20.0875,0.07)); #478852=CARTESIAN_POINT('',(34.6125,20.0875,0.07)); #478853=CARTESIAN_POINT('',(34.6125,20.0875,0.035)); #478854=CARTESIAN_POINT('Origin',(34.6125,20.7125,0.035)); #478855=CARTESIAN_POINT('',(34.6125,20.7125,0.035)); #478856=CARTESIAN_POINT('',(34.6125,20.7125,0.035)); #478857=CARTESIAN_POINT('',(34.6125,20.7125,0.07)); #478858=CARTESIAN_POINT('',(34.6125,20.7125,0.07)); #478859=CARTESIAN_POINT('',(34.6125,20.7125,0.035)); #478860=CARTESIAN_POINT('Origin',(34.8875,20.7125,0.035)); #478861=CARTESIAN_POINT('',(34.8875,20.7125,0.035)); #478862=CARTESIAN_POINT('',(34.8875,20.7125,0.07)); #478863=CARTESIAN_POINT('Origin',(34.75,20.4,0.07)); #478864=CARTESIAN_POINT('Origin',(34.75,20.4,0.035)); #478865=CARTESIAN_POINT('Origin',(36.9967,30.4031,0.035)); #478866=CARTESIAN_POINT('',(36.9967,30.4031,0.035)); #478867=CARTESIAN_POINT('',(36.9967,31.5969,0.035)); #478868=CARTESIAN_POINT('',(36.9967,30.4031,0.035)); #478869=CARTESIAN_POINT('',(36.9967,31.5969,0.07)); #478870=CARTESIAN_POINT('',(36.9967,31.5969,0.035)); #478871=CARTESIAN_POINT('',(36.9967,30.4031,0.07)); #478872=CARTESIAN_POINT('',(36.9967,30.4031,0.07)); #478873=CARTESIAN_POINT('',(36.9967,30.4031,0.035)); #478874=CARTESIAN_POINT('Origin',(35.8029,30.4031,0.035)); #478875=CARTESIAN_POINT('',(35.8029,30.4031,0.035)); #478876=CARTESIAN_POINT('',(35.8029,30.4031,0.035)); #478877=CARTESIAN_POINT('',(35.8029,30.4031,0.07)); #478878=CARTESIAN_POINT('',(35.8029,30.4031,0.07)); #478879=CARTESIAN_POINT('',(35.8029,30.4031,0.035)); #478880=CARTESIAN_POINT('Origin',(35.8029,31.5969,0.035)); #478881=CARTESIAN_POINT('',(35.8029,31.5969,0.035)); #478882=CARTESIAN_POINT('',(35.8029,31.5969,0.035)); #478883=CARTESIAN_POINT('',(35.8029,31.5969,0.07)); #478884=CARTESIAN_POINT('',(35.8029,31.5969,0.07)); #478885=CARTESIAN_POINT('',(35.8029,31.5969,0.035)); #478886=CARTESIAN_POINT('Origin',(36.9967,31.5969,0.035)); #478887=CARTESIAN_POINT('',(36.9967,31.5969,0.035)); #478888=CARTESIAN_POINT('',(36.9967,31.5969,0.07)); #478889=CARTESIAN_POINT('Origin',(36.3998,31.,0.07)); #478890=CARTESIAN_POINT('Origin',(36.3998,31.,0.035)); #478891=CARTESIAN_POINT('Origin',(29.6571,37.5555,0.035)); #478892=CARTESIAN_POINT('',(29.6571,37.5555,0.035)); #478893=CARTESIAN_POINT('',(29.6571,38.4445,0.035)); #478894=CARTESIAN_POINT('',(29.6571,37.5555,0.035)); #478895=CARTESIAN_POINT('',(29.6571,38.4445,0.07)); #478896=CARTESIAN_POINT('',(29.6571,38.4445,0.035)); #478897=CARTESIAN_POINT('',(29.6571,37.5555,0.07)); #478898=CARTESIAN_POINT('',(29.6571,37.5555,0.07)); #478899=CARTESIAN_POINT('',(29.6571,37.5555,0.035)); #478900=CARTESIAN_POINT('Origin',(28.8189,37.5555,0.035)); #478901=CARTESIAN_POINT('',(28.8189,37.5555,0.035)); #478902=CARTESIAN_POINT('',(28.8189,37.5555,0.035)); #478903=CARTESIAN_POINT('',(28.8189,37.5555,0.07)); #478904=CARTESIAN_POINT('',(28.8189,37.5555,0.07)); #478905=CARTESIAN_POINT('',(28.8189,37.5555,0.035)); #478906=CARTESIAN_POINT('Origin',(28.8189,38.4445,0.035)); #478907=CARTESIAN_POINT('',(28.8189,38.4445,0.035)); #478908=CARTESIAN_POINT('',(28.8189,38.4445,0.035)); #478909=CARTESIAN_POINT('',(28.8189,38.4445,0.07)); #478910=CARTESIAN_POINT('',(28.8189,38.4445,0.07)); #478911=CARTESIAN_POINT('',(28.8189,38.4445,0.035)); #478912=CARTESIAN_POINT('Origin',(29.6571,38.4445,0.035)); #478913=CARTESIAN_POINT('',(29.6571,38.4445,0.035)); #478914=CARTESIAN_POINT('',(29.6571,38.4445,0.07)); #478915=CARTESIAN_POINT('Origin',(29.238,38.,0.07)); #478916=CARTESIAN_POINT('Origin',(29.238,38.,0.035)); #478917=CARTESIAN_POINT('Origin',(32.3875,20.0875,0.035)); #478918=CARTESIAN_POINT('',(32.3875,20.0875,0.035)); #478919=CARTESIAN_POINT('',(32.3875,20.7125,0.035)); #478920=CARTESIAN_POINT('',(32.3875,20.0875,0.035)); #478921=CARTESIAN_POINT('',(32.3875,20.7125,0.07)); #478922=CARTESIAN_POINT('',(32.3875,20.7125,0.035)); #478923=CARTESIAN_POINT('',(32.3875,20.0875,0.07)); #478924=CARTESIAN_POINT('',(32.3875,20.0875,0.07)); #478925=CARTESIAN_POINT('',(32.3875,20.0875,0.035)); #478926=CARTESIAN_POINT('Origin',(32.1125,20.0875,0.035)); #478927=CARTESIAN_POINT('',(32.1125,20.0875,0.035)); #478928=CARTESIAN_POINT('',(32.1125,20.0875,0.035)); #478929=CARTESIAN_POINT('',(32.1125,20.0875,0.07)); #478930=CARTESIAN_POINT('',(32.1125,20.0875,0.07)); #478931=CARTESIAN_POINT('',(32.1125,20.0875,0.035)); #478932=CARTESIAN_POINT('Origin',(32.1125,20.7125,0.035)); #478933=CARTESIAN_POINT('',(32.1125,20.7125,0.035)); #478934=CARTESIAN_POINT('',(32.1125,20.7125,0.035)); #478935=CARTESIAN_POINT('',(32.1125,20.7125,0.07)); #478936=CARTESIAN_POINT('',(32.1125,20.7125,0.07)); #478937=CARTESIAN_POINT('',(32.1125,20.7125,0.035)); #478938=CARTESIAN_POINT('Origin',(32.3875,20.7125,0.035)); #478939=CARTESIAN_POINT('',(32.3875,20.7125,0.035)); #478940=CARTESIAN_POINT('',(32.3875,20.7125,0.07)); #478941=CARTESIAN_POINT('Origin',(32.25,20.4,0.07)); #478942=CARTESIAN_POINT('Origin',(32.25,20.4,0.035)); #478943=CARTESIAN_POINT('Origin',(32.1971,30.4031,0.035)); #478944=CARTESIAN_POINT('',(32.1971,30.4031,0.035)); #478945=CARTESIAN_POINT('',(32.1971,31.5969,0.035)); #478946=CARTESIAN_POINT('',(32.1971,30.4031,0.035)); #478947=CARTESIAN_POINT('',(32.1971,31.5969,0.07)); #478948=CARTESIAN_POINT('',(32.1971,31.5969,0.035)); #478949=CARTESIAN_POINT('',(32.1971,30.4031,0.07)); #478950=CARTESIAN_POINT('',(32.1971,30.4031,0.07)); #478951=CARTESIAN_POINT('',(32.1971,30.4031,0.035)); #478952=CARTESIAN_POINT('Origin',(31.0033,30.4031,0.035)); #478953=CARTESIAN_POINT('',(31.0033,30.4031,0.035)); #478954=CARTESIAN_POINT('',(31.0033,30.4031,0.035)); #478955=CARTESIAN_POINT('',(31.0033,30.4031,0.07)); #478956=CARTESIAN_POINT('',(31.0033,30.4031,0.07)); #478957=CARTESIAN_POINT('',(31.0033,30.4031,0.035)); #478958=CARTESIAN_POINT('Origin',(31.0033,31.5969,0.035)); #478959=CARTESIAN_POINT('',(31.0033,31.5969,0.035)); #478960=CARTESIAN_POINT('',(31.0033,31.5969,0.035)); #478961=CARTESIAN_POINT('',(31.0033,31.5969,0.07)); #478962=CARTESIAN_POINT('',(31.0033,31.5969,0.07)); #478963=CARTESIAN_POINT('',(31.0033,31.5969,0.035)); #478964=CARTESIAN_POINT('Origin',(32.1971,31.5969,0.035)); #478965=CARTESIAN_POINT('',(32.1971,31.5969,0.035)); #478966=CARTESIAN_POINT('',(32.1971,31.5969,0.07)); #478967=CARTESIAN_POINT('Origin',(31.6002,31.,0.07)); #478968=CARTESIAN_POINT('Origin',(31.6002,31.,0.035)); #478969=CARTESIAN_POINT('Origin',(33.3875,13.2875,0.035)); #478970=CARTESIAN_POINT('',(33.3875,13.2875,0.035)); #478971=CARTESIAN_POINT('',(33.3875,13.9125,0.035)); #478972=CARTESIAN_POINT('',(33.3875,13.2875,0.035)); #478973=CARTESIAN_POINT('',(33.3875,13.9125,0.07)); #478974=CARTESIAN_POINT('',(33.3875,13.9125,0.035)); #478975=CARTESIAN_POINT('',(33.3875,13.2875,0.07)); #478976=CARTESIAN_POINT('',(33.3875,13.2875,0.07)); #478977=CARTESIAN_POINT('',(33.3875,13.2875,0.035)); #478978=CARTESIAN_POINT('Origin',(33.1125,13.2875,0.035)); #478979=CARTESIAN_POINT('',(33.1125,13.2875,0.035)); #478980=CARTESIAN_POINT('',(33.1125,13.2875,0.035)); #478981=CARTESIAN_POINT('',(33.1125,13.2875,0.07)); #478982=CARTESIAN_POINT('',(33.1125,13.2875,0.07)); #478983=CARTESIAN_POINT('',(33.1125,13.2875,0.035)); #478984=CARTESIAN_POINT('Origin',(33.1125,13.9125,0.035)); #478985=CARTESIAN_POINT('',(33.1125,13.9125,0.035)); #478986=CARTESIAN_POINT('',(33.1125,13.9125,0.035)); #478987=CARTESIAN_POINT('',(33.1125,13.9125,0.07)); #478988=CARTESIAN_POINT('',(33.1125,13.9125,0.07)); #478989=CARTESIAN_POINT('',(33.1125,13.9125,0.035)); #478990=CARTESIAN_POINT('Origin',(33.3875,13.9125,0.035)); #478991=CARTESIAN_POINT('',(33.3875,13.9125,0.035)); #478992=CARTESIAN_POINT('',(33.3875,13.9125,0.07)); #478993=CARTESIAN_POINT('Origin',(33.25,13.6,0.07)); #478994=CARTESIAN_POINT('Origin',(33.25,13.6,0.035)); #478995=CARTESIAN_POINT('Origin',(31.8875,13.2875,0.035)); #478996=CARTESIAN_POINT('',(31.8875,13.2875,0.035)); #478997=CARTESIAN_POINT('',(31.8875,13.9125,0.035)); #478998=CARTESIAN_POINT('',(31.8875,13.2875,0.035)); #478999=CARTESIAN_POINT('',(31.8875,13.9125,0.07)); #479000=CARTESIAN_POINT('',(31.8875,13.9125,0.035)); #479001=CARTESIAN_POINT('',(31.8875,13.2875,0.07)); #479002=CARTESIAN_POINT('',(31.8875,13.2875,0.07)); #479003=CARTESIAN_POINT('',(31.8875,13.2875,0.035)); #479004=CARTESIAN_POINT('Origin',(31.6125,13.2875,0.035)); #479005=CARTESIAN_POINT('',(31.6125,13.2875,0.035)); #479006=CARTESIAN_POINT('',(31.6125,13.2875,0.035)); #479007=CARTESIAN_POINT('',(31.6125,13.2875,0.07)); #479008=CARTESIAN_POINT('',(31.6125,13.2875,0.07)); #479009=CARTESIAN_POINT('',(31.6125,13.2875,0.035)); #479010=CARTESIAN_POINT('Origin',(31.6125,13.9125,0.035)); #479011=CARTESIAN_POINT('',(31.6125,13.9125,0.035)); #479012=CARTESIAN_POINT('',(31.6125,13.9125,0.035)); #479013=CARTESIAN_POINT('',(31.6125,13.9125,0.07)); #479014=CARTESIAN_POINT('',(31.6125,13.9125,0.07)); #479015=CARTESIAN_POINT('',(31.6125,13.9125,0.035)); #479016=CARTESIAN_POINT('Origin',(31.8875,13.9125,0.035)); #479017=CARTESIAN_POINT('',(31.8875,13.9125,0.035)); #479018=CARTESIAN_POINT('',(31.8875,13.9125,0.07)); #479019=CARTESIAN_POINT('Origin',(31.75,13.6,0.07)); #479020=CARTESIAN_POINT('Origin',(31.75,13.6,0.035)); #479021=CARTESIAN_POINT('Origin',(33.6571,59.8055,0.035)); #479022=CARTESIAN_POINT('',(33.6571,59.8055,0.035)); #479023=CARTESIAN_POINT('',(33.6571,60.6945,0.035)); #479024=CARTESIAN_POINT('',(33.6571,59.8055,0.035)); #479025=CARTESIAN_POINT('',(33.6571,60.6945,0.07)); #479026=CARTESIAN_POINT('',(33.6571,60.6945,0.035)); #479027=CARTESIAN_POINT('',(33.6571,59.8055,0.07)); #479028=CARTESIAN_POINT('',(33.6571,59.8055,0.07)); #479029=CARTESIAN_POINT('',(33.6571,59.8055,0.035)); #479030=CARTESIAN_POINT('Origin',(32.8189,59.8055,0.035)); #479031=CARTESIAN_POINT('',(32.8189,59.8055,0.035)); #479032=CARTESIAN_POINT('',(32.8189,59.8055,0.035)); #479033=CARTESIAN_POINT('',(32.8189,59.8055,0.07)); #479034=CARTESIAN_POINT('',(32.8189,59.8055,0.07)); #479035=CARTESIAN_POINT('',(32.8189,59.8055,0.035)); #479036=CARTESIAN_POINT('Origin',(32.8189,60.6945,0.035)); #479037=CARTESIAN_POINT('',(32.8189,60.6945,0.035)); #479038=CARTESIAN_POINT('',(32.8189,60.6945,0.035)); #479039=CARTESIAN_POINT('',(32.8189,60.6945,0.07)); #479040=CARTESIAN_POINT('',(32.8189,60.6945,0.07)); #479041=CARTESIAN_POINT('',(32.8189,60.6945,0.035)); #479042=CARTESIAN_POINT('Origin',(33.6571,60.6945,0.035)); #479043=CARTESIAN_POINT('',(33.6571,60.6945,0.035)); #479044=CARTESIAN_POINT('',(33.6571,60.6945,0.07)); #479045=CARTESIAN_POINT('Origin',(33.238,60.25,0.07)); #479046=CARTESIAN_POINT('Origin',(33.238,60.25,0.035)); #479047=CARTESIAN_POINT('Origin',(34.3875,13.2875,0.035)); #479048=CARTESIAN_POINT('',(34.3875,13.2875,0.035)); #479049=CARTESIAN_POINT('',(34.3875,13.9125,0.035)); #479050=CARTESIAN_POINT('',(34.3875,13.2875,0.035)); #479051=CARTESIAN_POINT('',(34.3875,13.9125,0.07)); #479052=CARTESIAN_POINT('',(34.3875,13.9125,0.035)); #479053=CARTESIAN_POINT('',(34.3875,13.2875,0.07)); #479054=CARTESIAN_POINT('',(34.3875,13.2875,0.07)); #479055=CARTESIAN_POINT('',(34.3875,13.2875,0.035)); #479056=CARTESIAN_POINT('Origin',(34.1125,13.2875,0.035)); #479057=CARTESIAN_POINT('',(34.1125,13.2875,0.035)); #479058=CARTESIAN_POINT('',(34.1125,13.2875,0.035)); #479059=CARTESIAN_POINT('',(34.1125,13.2875,0.07)); #479060=CARTESIAN_POINT('',(34.1125,13.2875,0.07)); #479061=CARTESIAN_POINT('',(34.1125,13.2875,0.035)); #479062=CARTESIAN_POINT('Origin',(34.1125,13.9125,0.035)); #479063=CARTESIAN_POINT('',(34.1125,13.9125,0.035)); #479064=CARTESIAN_POINT('',(34.1125,13.9125,0.035)); #479065=CARTESIAN_POINT('',(34.1125,13.9125,0.07)); #479066=CARTESIAN_POINT('',(34.1125,13.9125,0.07)); #479067=CARTESIAN_POINT('',(34.1125,13.9125,0.035)); #479068=CARTESIAN_POINT('Origin',(34.3875,13.9125,0.035)); #479069=CARTESIAN_POINT('',(34.3875,13.9125,0.035)); #479070=CARTESIAN_POINT('',(34.3875,13.9125,0.07)); #479071=CARTESIAN_POINT('Origin',(34.25,13.6,0.07)); #479072=CARTESIAN_POINT('Origin',(34.25,13.6,0.035)); #479073=CARTESIAN_POINT('Origin',(32.8875,13.2875,0.035)); #479074=CARTESIAN_POINT('',(32.8875,13.2875,0.035)); #479075=CARTESIAN_POINT('',(32.8875,13.9125,0.035)); #479076=CARTESIAN_POINT('',(32.8875,13.2875,0.035)); #479077=CARTESIAN_POINT('',(32.8875,13.9125,0.07)); #479078=CARTESIAN_POINT('',(32.8875,13.9125,0.035)); #479079=CARTESIAN_POINT('',(32.8875,13.2875,0.07)); #479080=CARTESIAN_POINT('',(32.8875,13.2875,0.07)); #479081=CARTESIAN_POINT('',(32.8875,13.2875,0.035)); #479082=CARTESIAN_POINT('Origin',(32.6125,13.2875,0.035)); #479083=CARTESIAN_POINT('',(32.6125,13.2875,0.035)); #479084=CARTESIAN_POINT('',(32.6125,13.2875,0.035)); #479085=CARTESIAN_POINT('',(32.6125,13.2875,0.07)); #479086=CARTESIAN_POINT('',(32.6125,13.2875,0.07)); #479087=CARTESIAN_POINT('',(32.6125,13.2875,0.035)); #479088=CARTESIAN_POINT('Origin',(32.6125,13.9125,0.035)); #479089=CARTESIAN_POINT('',(32.6125,13.9125,0.035)); #479090=CARTESIAN_POINT('',(32.6125,13.9125,0.035)); #479091=CARTESIAN_POINT('',(32.6125,13.9125,0.07)); #479092=CARTESIAN_POINT('',(32.6125,13.9125,0.07)); #479093=CARTESIAN_POINT('',(32.6125,13.9125,0.035)); #479094=CARTESIAN_POINT('Origin',(32.8875,13.9125,0.035)); #479095=CARTESIAN_POINT('',(32.8875,13.9125,0.035)); #479096=CARTESIAN_POINT('',(32.8875,13.9125,0.07)); #479097=CARTESIAN_POINT('Origin',(32.75,13.6,0.07)); #479098=CARTESIAN_POINT('Origin',(32.75,13.6,0.035)); #479099=CARTESIAN_POINT('Origin',(32.3875,13.2875,0.035)); #479100=CARTESIAN_POINT('',(32.3875,13.2875,0.035)); #479101=CARTESIAN_POINT('',(32.3875,13.9125,0.035)); #479102=CARTESIAN_POINT('',(32.3875,13.2875,0.035)); #479103=CARTESIAN_POINT('',(32.3875,13.9125,0.07)); #479104=CARTESIAN_POINT('',(32.3875,13.9125,0.035)); #479105=CARTESIAN_POINT('',(32.3875,13.2875,0.07)); #479106=CARTESIAN_POINT('',(32.3875,13.2875,0.07)); #479107=CARTESIAN_POINT('',(32.3875,13.2875,0.035)); #479108=CARTESIAN_POINT('Origin',(32.1125,13.2875,0.035)); #479109=CARTESIAN_POINT('',(32.1125,13.2875,0.035)); #479110=CARTESIAN_POINT('',(32.1125,13.2875,0.035)); #479111=CARTESIAN_POINT('',(32.1125,13.2875,0.07)); #479112=CARTESIAN_POINT('',(32.1125,13.2875,0.07)); #479113=CARTESIAN_POINT('',(32.1125,13.2875,0.035)); #479114=CARTESIAN_POINT('Origin',(32.1125,13.9125,0.035)); #479115=CARTESIAN_POINT('',(32.1125,13.9125,0.035)); #479116=CARTESIAN_POINT('',(32.1125,13.9125,0.035)); #479117=CARTESIAN_POINT('',(32.1125,13.9125,0.07)); #479118=CARTESIAN_POINT('',(32.1125,13.9125,0.07)); #479119=CARTESIAN_POINT('',(32.1125,13.9125,0.035)); #479120=CARTESIAN_POINT('Origin',(32.3875,13.9125,0.035)); #479121=CARTESIAN_POINT('',(32.3875,13.9125,0.035)); #479122=CARTESIAN_POINT('',(32.3875,13.9125,0.07)); #479123=CARTESIAN_POINT('Origin',(32.25,13.6,0.07)); #479124=CARTESIAN_POINT('Origin',(32.25,13.6,0.035)); #479125=CARTESIAN_POINT('Origin',(22.1811,20.5555,0.035)); #479126=CARTESIAN_POINT('',(22.1811,20.5555,0.035)); #479127=CARTESIAN_POINT('',(22.1811,21.4445,0.035)); #479128=CARTESIAN_POINT('',(22.1811,20.5555,0.035)); #479129=CARTESIAN_POINT('',(22.1811,21.4445,0.07)); #479130=CARTESIAN_POINT('',(22.1811,21.4445,0.035)); #479131=CARTESIAN_POINT('',(22.1811,20.5555,0.07)); #479132=CARTESIAN_POINT('',(22.1811,20.5555,0.07)); #479133=CARTESIAN_POINT('',(22.1811,20.5555,0.035)); #479134=CARTESIAN_POINT('Origin',(21.3429,20.5555,0.035)); #479135=CARTESIAN_POINT('',(21.3429,20.5555,0.035)); #479136=CARTESIAN_POINT('',(21.3429,20.5555,0.035)); #479137=CARTESIAN_POINT('',(21.3429,20.5555,0.07)); #479138=CARTESIAN_POINT('',(21.3429,20.5555,0.07)); #479139=CARTESIAN_POINT('',(21.3429,20.5555,0.035)); #479140=CARTESIAN_POINT('Origin',(21.3429,21.4445,0.035)); #479141=CARTESIAN_POINT('',(21.3429,21.4445,0.035)); #479142=CARTESIAN_POINT('',(21.3429,21.4445,0.035)); #479143=CARTESIAN_POINT('',(21.3429,21.4445,0.07)); #479144=CARTESIAN_POINT('',(21.3429,21.4445,0.07)); #479145=CARTESIAN_POINT('',(21.3429,21.4445,0.035)); #479146=CARTESIAN_POINT('Origin',(22.1811,21.4445,0.035)); #479147=CARTESIAN_POINT('',(22.1811,21.4445,0.035)); #479148=CARTESIAN_POINT('',(22.1811,21.4445,0.07)); #479149=CARTESIAN_POINT('Origin',(21.762,21.,0.07)); #479150=CARTESIAN_POINT('Origin',(21.762,21.,0.035)); #479151=CARTESIAN_POINT('Origin',(30.9125,15.1125,0.035)); #479152=CARTESIAN_POINT('',(30.9125,15.1125,0.035)); #479153=CARTESIAN_POINT('',(30.9125,15.3875,0.035)); #479154=CARTESIAN_POINT('',(30.9125,15.1125,0.035)); #479155=CARTESIAN_POINT('',(30.9125,15.3875,0.07)); #479156=CARTESIAN_POINT('',(30.9125,15.3875,0.035)); #479157=CARTESIAN_POINT('',(30.9125,15.1125,0.07)); #479158=CARTESIAN_POINT('',(30.9125,15.1125,0.07)); #479159=CARTESIAN_POINT('',(30.9125,15.1125,0.035)); #479160=CARTESIAN_POINT('Origin',(30.2875,15.1125,0.035)); #479161=CARTESIAN_POINT('',(30.2875,15.1125,0.035)); #479162=CARTESIAN_POINT('',(30.2875,15.1125,0.035)); #479163=CARTESIAN_POINT('',(30.2875,15.1125,0.07)); #479164=CARTESIAN_POINT('',(30.2875,15.1125,0.07)); #479165=CARTESIAN_POINT('',(30.2875,15.1125,0.035)); #479166=CARTESIAN_POINT('Origin',(30.2875,15.3875,0.035)); #479167=CARTESIAN_POINT('',(30.2875,15.3875,0.035)); #479168=CARTESIAN_POINT('',(30.2875,15.3875,0.035)); #479169=CARTESIAN_POINT('',(30.2875,15.3875,0.07)); #479170=CARTESIAN_POINT('',(30.2875,15.3875,0.07)); #479171=CARTESIAN_POINT('',(30.2875,15.3875,0.035)); #479172=CARTESIAN_POINT('Origin',(30.9125,15.3875,0.035)); #479173=CARTESIAN_POINT('',(30.9125,15.3875,0.035)); #479174=CARTESIAN_POINT('',(30.9125,15.3875,0.07)); #479175=CARTESIAN_POINT('Origin',(30.6,15.25,0.07)); #479176=CARTESIAN_POINT('Origin',(30.6,15.25,0.035)); #479177=CARTESIAN_POINT('Origin',(37.6571,59.8055,0.035)); #479178=CARTESIAN_POINT('',(37.6571,59.8055,0.035)); #479179=CARTESIAN_POINT('',(37.6571,60.6945,0.035)); #479180=CARTESIAN_POINT('',(37.6571,59.8055,0.035)); #479181=CARTESIAN_POINT('',(37.6571,60.6945,0.07)); #479182=CARTESIAN_POINT('',(37.6571,60.6945,0.035)); #479183=CARTESIAN_POINT('',(37.6571,59.8055,0.07)); #479184=CARTESIAN_POINT('',(37.6571,59.8055,0.07)); #479185=CARTESIAN_POINT('',(37.6571,59.8055,0.035)); #479186=CARTESIAN_POINT('Origin',(36.8189,59.8055,0.035)); #479187=CARTESIAN_POINT('',(36.8189,59.8055,0.035)); #479188=CARTESIAN_POINT('',(36.8189,59.8055,0.035)); #479189=CARTESIAN_POINT('',(36.8189,59.8055,0.07)); #479190=CARTESIAN_POINT('',(36.8189,59.8055,0.07)); #479191=CARTESIAN_POINT('',(36.8189,59.8055,0.035)); #479192=CARTESIAN_POINT('Origin',(36.8189,60.6945,0.035)); #479193=CARTESIAN_POINT('',(36.8189,60.6945,0.035)); #479194=CARTESIAN_POINT('',(36.8189,60.6945,0.035)); #479195=CARTESIAN_POINT('',(36.8189,60.6945,0.07)); #479196=CARTESIAN_POINT('',(36.8189,60.6945,0.07)); #479197=CARTESIAN_POINT('',(36.8189,60.6945,0.035)); #479198=CARTESIAN_POINT('Origin',(37.6571,60.6945,0.035)); #479199=CARTESIAN_POINT('',(37.6571,60.6945,0.035)); #479200=CARTESIAN_POINT('',(37.6571,60.6945,0.07)); #479201=CARTESIAN_POINT('Origin',(37.238,60.25,0.07)); #479202=CARTESIAN_POINT('Origin',(37.238,60.25,0.035)); #479203=CARTESIAN_POINT('Origin',(37.7125,15.6125,0.035)); #479204=CARTESIAN_POINT('',(37.7125,15.6125,0.035)); #479205=CARTESIAN_POINT('',(37.7125,15.8875,0.035)); #479206=CARTESIAN_POINT('',(37.7125,15.6125,0.035)); #479207=CARTESIAN_POINT('',(37.7125,15.8875,0.07)); #479208=CARTESIAN_POINT('',(37.7125,15.8875,0.035)); #479209=CARTESIAN_POINT('',(37.7125,15.6125,0.07)); #479210=CARTESIAN_POINT('',(37.7125,15.6125,0.07)); #479211=CARTESIAN_POINT('',(37.7125,15.6125,0.035)); #479212=CARTESIAN_POINT('Origin',(37.0875,15.6125,0.035)); #479213=CARTESIAN_POINT('',(37.0875,15.6125,0.035)); #479214=CARTESIAN_POINT('',(37.0875,15.6125,0.035)); #479215=CARTESIAN_POINT('',(37.0875,15.6125,0.07)); #479216=CARTESIAN_POINT('',(37.0875,15.6125,0.07)); #479217=CARTESIAN_POINT('',(37.0875,15.6125,0.035)); #479218=CARTESIAN_POINT('Origin',(37.0875,15.8875,0.035)); #479219=CARTESIAN_POINT('',(37.0875,15.8875,0.035)); #479220=CARTESIAN_POINT('',(37.0875,15.8875,0.035)); #479221=CARTESIAN_POINT('',(37.0875,15.8875,0.07)); #479222=CARTESIAN_POINT('',(37.0875,15.8875,0.07)); #479223=CARTESIAN_POINT('',(37.0875,15.8875,0.035)); #479224=CARTESIAN_POINT('Origin',(37.7125,15.8875,0.035)); #479225=CARTESIAN_POINT('',(37.7125,15.8875,0.035)); #479226=CARTESIAN_POINT('',(37.7125,15.8875,0.07)); #479227=CARTESIAN_POINT('Origin',(37.4,15.75,0.07)); #479228=CARTESIAN_POINT('Origin',(37.4,15.75,0.035)); #479229=CARTESIAN_POINT('Origin',(38.9311,56.5555,0.035)); #479230=CARTESIAN_POINT('',(38.9311,56.5555,0.035)); #479231=CARTESIAN_POINT('',(38.9311,57.4445,0.035)); #479232=CARTESIAN_POINT('',(38.9311,56.5555,0.035)); #479233=CARTESIAN_POINT('',(38.9311,57.4445,0.07)); #479234=CARTESIAN_POINT('',(38.9311,57.4445,0.035)); #479235=CARTESIAN_POINT('',(38.9311,56.5555,0.07)); #479236=CARTESIAN_POINT('',(38.9311,56.5555,0.07)); #479237=CARTESIAN_POINT('',(38.9311,56.5555,0.035)); #479238=CARTESIAN_POINT('Origin',(38.0929,56.5555,0.035)); #479239=CARTESIAN_POINT('',(38.0929,56.5555,0.035)); #479240=CARTESIAN_POINT('',(38.0929,56.5555,0.035)); #479241=CARTESIAN_POINT('',(38.0929,56.5555,0.07)); #479242=CARTESIAN_POINT('',(38.0929,56.5555,0.07)); #479243=CARTESIAN_POINT('',(38.0929,56.5555,0.035)); #479244=CARTESIAN_POINT('Origin',(38.0929,57.4445,0.035)); #479245=CARTESIAN_POINT('',(38.0929,57.4445,0.035)); #479246=CARTESIAN_POINT('',(38.0929,57.4445,0.035)); #479247=CARTESIAN_POINT('',(38.0929,57.4445,0.07)); #479248=CARTESIAN_POINT('',(38.0929,57.4445,0.07)); #479249=CARTESIAN_POINT('',(38.0929,57.4445,0.035)); #479250=CARTESIAN_POINT('Origin',(38.9311,57.4445,0.035)); #479251=CARTESIAN_POINT('',(38.9311,57.4445,0.035)); #479252=CARTESIAN_POINT('',(38.9311,57.4445,0.07)); #479253=CARTESIAN_POINT('Origin',(38.512,57.,0.07)); #479254=CARTESIAN_POINT('Origin',(38.512,57.,0.035)); #479255=CARTESIAN_POINT('Origin',(20.6571,20.5555,0.035)); #479256=CARTESIAN_POINT('',(20.6571,20.5555,0.035)); #479257=CARTESIAN_POINT('',(20.6571,21.4445,0.035)); #479258=CARTESIAN_POINT('',(20.6571,20.5555,0.035)); #479259=CARTESIAN_POINT('',(20.6571,21.4445,0.07)); #479260=CARTESIAN_POINT('',(20.6571,21.4445,0.035)); #479261=CARTESIAN_POINT('',(20.6571,20.5555,0.07)); #479262=CARTESIAN_POINT('',(20.6571,20.5555,0.07)); #479263=CARTESIAN_POINT('',(20.6571,20.5555,0.035)); #479264=CARTESIAN_POINT('Origin',(19.8189,20.5555,0.035)); #479265=CARTESIAN_POINT('',(19.8189,20.5555,0.035)); #479266=CARTESIAN_POINT('',(19.8189,20.5555,0.035)); #479267=CARTESIAN_POINT('',(19.8189,20.5555,0.07)); #479268=CARTESIAN_POINT('',(19.8189,20.5555,0.07)); #479269=CARTESIAN_POINT('',(19.8189,20.5555,0.035)); #479270=CARTESIAN_POINT('Origin',(19.8189,21.4445,0.035)); #479271=CARTESIAN_POINT('',(19.8189,21.4445,0.035)); #479272=CARTESIAN_POINT('',(19.8189,21.4445,0.035)); #479273=CARTESIAN_POINT('',(19.8189,21.4445,0.07)); #479274=CARTESIAN_POINT('',(19.8189,21.4445,0.07)); #479275=CARTESIAN_POINT('',(19.8189,21.4445,0.035)); #479276=CARTESIAN_POINT('Origin',(20.6571,21.4445,0.035)); #479277=CARTESIAN_POINT('',(20.6571,21.4445,0.035)); #479278=CARTESIAN_POINT('',(20.6571,21.4445,0.07)); #479279=CARTESIAN_POINT('Origin',(20.238,21.,0.07)); #479280=CARTESIAN_POINT('Origin',(20.238,21.,0.035)); #479281=CARTESIAN_POINT('Origin',(25.4445,29.3429,0.035)); #479282=CARTESIAN_POINT('',(25.4445,29.3429,0.035)); #479283=CARTESIAN_POINT('',(25.4445,30.1811,0.035)); #479284=CARTESIAN_POINT('',(25.4445,29.3429,0.035)); #479285=CARTESIAN_POINT('',(25.4445,30.1811,0.07)); #479286=CARTESIAN_POINT('',(25.4445,30.1811,0.035)); #479287=CARTESIAN_POINT('',(25.4445,29.3429,0.07)); #479288=CARTESIAN_POINT('',(25.4445,29.3429,0.07)); #479289=CARTESIAN_POINT('',(25.4445,29.3429,0.035)); #479290=CARTESIAN_POINT('Origin',(24.5555,29.3429,0.035)); #479291=CARTESIAN_POINT('',(24.5555,29.3429,0.035)); #479292=CARTESIAN_POINT('',(24.5555,29.3429,0.035)); #479293=CARTESIAN_POINT('',(24.5555,29.3429,0.07)); #479294=CARTESIAN_POINT('',(24.5555,29.3429,0.07)); #479295=CARTESIAN_POINT('',(24.5555,29.3429,0.035)); #479296=CARTESIAN_POINT('Origin',(24.5555,30.1811,0.035)); #479297=CARTESIAN_POINT('',(24.5555,30.1811,0.035)); #479298=CARTESIAN_POINT('',(24.5555,30.1811,0.035)); #479299=CARTESIAN_POINT('',(24.5555,30.1811,0.07)); #479300=CARTESIAN_POINT('',(24.5555,30.1811,0.07)); #479301=CARTESIAN_POINT('',(24.5555,30.1811,0.035)); #479302=CARTESIAN_POINT('Origin',(25.4445,30.1811,0.035)); #479303=CARTESIAN_POINT('',(25.4445,30.1811,0.035)); #479304=CARTESIAN_POINT('',(25.4445,30.1811,0.07)); #479305=CARTESIAN_POINT('Origin',(25.,29.762,0.07)); #479306=CARTESIAN_POINT('Origin',(25.,29.762,0.035)); #479307=CARTESIAN_POINT('Origin',(47.3622,11.4245,0.035)); #479308=CARTESIAN_POINT('',(47.3622,11.4245,0.035)); #479309=CARTESIAN_POINT('',(47.3622,13.0755,0.035)); #479310=CARTESIAN_POINT('',(47.3622,11.4245,0.035)); #479311=CARTESIAN_POINT('',(47.3622,13.0755,0.07)); #479312=CARTESIAN_POINT('',(47.3622,13.0755,0.035)); #479313=CARTESIAN_POINT('',(47.3622,11.4245,0.07)); #479314=CARTESIAN_POINT('',(47.3622,11.4245,0.07)); #479315=CARTESIAN_POINT('',(47.3622,11.4245,0.035)); #479316=CARTESIAN_POINT('Origin',(45.6858,11.4245,0.035)); #479317=CARTESIAN_POINT('',(45.6858,11.4245,0.035)); #479318=CARTESIAN_POINT('',(45.6858,11.4245,0.035)); #479319=CARTESIAN_POINT('',(45.6858,11.4245,0.07)); #479320=CARTESIAN_POINT('',(45.6858,11.4245,0.07)); #479321=CARTESIAN_POINT('',(45.6858,11.4245,0.035)); #479322=CARTESIAN_POINT('Origin',(45.6858,13.0755,0.035)); #479323=CARTESIAN_POINT('',(45.6858,13.0755,0.035)); #479324=CARTESIAN_POINT('',(45.6858,13.0755,0.035)); #479325=CARTESIAN_POINT('',(45.6858,13.0755,0.07)); #479326=CARTESIAN_POINT('',(45.6858,13.0755,0.07)); #479327=CARTESIAN_POINT('',(45.6858,13.0755,0.035)); #479328=CARTESIAN_POINT('Origin',(47.3622,13.0755,0.035)); #479329=CARTESIAN_POINT('',(47.3622,13.0755,0.035)); #479330=CARTESIAN_POINT('',(47.3622,13.0755,0.07)); #479331=CARTESIAN_POINT('Origin',(46.524,12.25,0.07)); #479332=CARTESIAN_POINT('Origin',(46.524,12.25,0.035)); #479333=CARTESIAN_POINT('Origin',(12.75,21.,-200.)); #479334=CARTESIAN_POINT('',(12.61,21.,0.0349999999999895)); #479335=CARTESIAN_POINT('Origin',(12.75,21.,0.0349999999999895)); #479336=CARTESIAN_POINT('',(12.61,21.,0.0700000000000145)); #479337=CARTESIAN_POINT('',(12.61,21.,-200.)); #479338=CARTESIAN_POINT('Origin',(12.75,21.,0.0700000000000145)); #479339=CARTESIAN_POINT('Origin',(12.,21.,-200.)); #479340=CARTESIAN_POINT('',(11.86,21.,0.0349999999999895)); #479341=CARTESIAN_POINT('Origin',(12.,21.,0.0349999999999895)); #479342=CARTESIAN_POINT('',(11.86,21.,0.0700000000000145)); #479343=CARTESIAN_POINT('',(11.86,21.,-200.)); #479344=CARTESIAN_POINT('Origin',(12.,21.,0.0700000000000145)); #479345=CARTESIAN_POINT('Origin',(12.,20.25,-200.)); #479346=CARTESIAN_POINT('',(11.86,20.25,0.0349999999999895)); #479347=CARTESIAN_POINT('Origin',(12.,20.25,0.0349999999999895)); #479348=CARTESIAN_POINT('',(11.86,20.25,0.0700000000000145)); #479349=CARTESIAN_POINT('',(11.86,20.25,-200.)); #479350=CARTESIAN_POINT('Origin',(12.,20.25,0.0700000000000145)); #479351=CARTESIAN_POINT('Origin',(12.75,21.75,-200.)); #479352=CARTESIAN_POINT('',(12.61,21.75,0.0349999999999895)); #479353=CARTESIAN_POINT('Origin',(12.75,21.75,0.0349999999999895)); #479354=CARTESIAN_POINT('',(12.61,21.75,0.0700000000000145)); #479355=CARTESIAN_POINT('',(12.61,21.75,-200.)); #479356=CARTESIAN_POINT('Origin',(12.75,21.75,0.0700000000000145)); #479357=CARTESIAN_POINT('Origin',(12.75,20.25,-200.)); #479358=CARTESIAN_POINT('',(12.61,20.25,0.0349999999999895)); #479359=CARTESIAN_POINT('Origin',(12.75,20.25,0.0349999999999895)); #479360=CARTESIAN_POINT('',(12.61,20.25,0.0700000000000145)); #479361=CARTESIAN_POINT('',(12.61,20.25,-200.)); #479362=CARTESIAN_POINT('Origin',(12.75,20.25,0.0700000000000145)); #479363=CARTESIAN_POINT('Origin',(12.,21.75,-200.)); #479364=CARTESIAN_POINT('',(11.86,21.75,0.0349999999999895)); #479365=CARTESIAN_POINT('Origin',(12.,21.75,0.0349999999999895)); #479366=CARTESIAN_POINT('',(11.86,21.75,0.0700000000000145)); #479367=CARTESIAN_POINT('',(11.86,21.75,-200.)); #479368=CARTESIAN_POINT('Origin',(12.,21.75,0.0700000000000145)); #479369=CARTESIAN_POINT('Origin',(13.3142,19.6665,0.035)); #479370=CARTESIAN_POINT('',(13.3142,19.6665,0.035)); #479371=CARTESIAN_POINT('',(13.3142,22.3335,0.035)); #479372=CARTESIAN_POINT('',(13.3142,19.6665,0.035)); #479373=CARTESIAN_POINT('',(13.3142,22.3335,0.07)); #479374=CARTESIAN_POINT('',(13.3142,22.3335,0.035)); #479375=CARTESIAN_POINT('',(13.3142,19.6665,0.07)); #479376=CARTESIAN_POINT('',(13.3142,19.6665,0.07)); #479377=CARTESIAN_POINT('',(13.3142,19.6665,0.035)); #479378=CARTESIAN_POINT('Origin',(11.6378,19.6665,0.035)); #479379=CARTESIAN_POINT('',(11.6378,19.6665,0.035)); #479380=CARTESIAN_POINT('',(11.6378,19.6665,0.035)); #479381=CARTESIAN_POINT('',(11.6378,19.6665,0.07)); #479382=CARTESIAN_POINT('',(11.6378,19.6665,0.07)); #479383=CARTESIAN_POINT('',(11.6378,19.6665,0.035)); #479384=CARTESIAN_POINT('Origin',(11.6378,22.3335,0.035)); #479385=CARTESIAN_POINT('',(11.6378,22.3335,0.035)); #479386=CARTESIAN_POINT('',(11.6378,22.3335,0.035)); #479387=CARTESIAN_POINT('',(11.6378,22.3335,0.07)); #479388=CARTESIAN_POINT('',(11.6378,22.3335,0.07)); #479389=CARTESIAN_POINT('',(11.6378,22.3335,0.035)); #479390=CARTESIAN_POINT('Origin',(13.3142,22.3335,0.035)); #479391=CARTESIAN_POINT('',(13.3142,22.3335,0.035)); #479392=CARTESIAN_POINT('',(13.3142,22.3335,0.07)); #479393=CARTESIAN_POINT('Origin',(12.476,21.,0.07)); #479394=CARTESIAN_POINT('Origin',(12.476,21.,0.035)); #479395=CARTESIAN_POINT('Origin',(40.1971,30.4031,0.035)); #479396=CARTESIAN_POINT('',(40.1971,30.4031,0.035)); #479397=CARTESIAN_POINT('',(40.1971,31.5969,0.035)); #479398=CARTESIAN_POINT('',(40.1971,30.4031,0.035)); #479399=CARTESIAN_POINT('',(40.1971,31.5969,0.07)); #479400=CARTESIAN_POINT('',(40.1971,31.5969,0.035)); #479401=CARTESIAN_POINT('',(40.1971,30.4031,0.07)); #479402=CARTESIAN_POINT('',(40.1971,30.4031,0.07)); #479403=CARTESIAN_POINT('',(40.1971,30.4031,0.035)); #479404=CARTESIAN_POINT('Origin',(39.0033,30.4031,0.035)); #479405=CARTESIAN_POINT('',(39.0033,30.4031,0.035)); #479406=CARTESIAN_POINT('',(39.0033,30.4031,0.035)); #479407=CARTESIAN_POINT('',(39.0033,30.4031,0.07)); #479408=CARTESIAN_POINT('',(39.0033,30.4031,0.07)); #479409=CARTESIAN_POINT('',(39.0033,30.4031,0.035)); #479410=CARTESIAN_POINT('Origin',(39.0033,31.5969,0.035)); #479411=CARTESIAN_POINT('',(39.0033,31.5969,0.035)); #479412=CARTESIAN_POINT('',(39.0033,31.5969,0.035)); #479413=CARTESIAN_POINT('',(39.0033,31.5969,0.07)); #479414=CARTESIAN_POINT('',(39.0033,31.5969,0.07)); #479415=CARTESIAN_POINT('',(39.0033,31.5969,0.035)); #479416=CARTESIAN_POINT('Origin',(40.1971,31.5969,0.035)); #479417=CARTESIAN_POINT('',(40.1971,31.5969,0.035)); #479418=CARTESIAN_POINT('',(40.1971,31.5969,0.07)); #479419=CARTESIAN_POINT('Origin',(39.6002,31.,0.07)); #479420=CARTESIAN_POINT('Origin',(39.6002,31.,0.035)); #479421=CARTESIAN_POINT('Origin',(30.9125,17.1125,0.035)); #479422=CARTESIAN_POINT('',(30.9125,17.1125,0.035)); #479423=CARTESIAN_POINT('',(30.9125,17.3875,0.035)); #479424=CARTESIAN_POINT('',(30.9125,17.1125,0.035)); #479425=CARTESIAN_POINT('',(30.9125,17.3875,0.07)); #479426=CARTESIAN_POINT('',(30.9125,17.3875,0.035)); #479427=CARTESIAN_POINT('',(30.9125,17.1125,0.07)); #479428=CARTESIAN_POINT('',(30.9125,17.1125,0.07)); #479429=CARTESIAN_POINT('',(30.9125,17.1125,0.035)); #479430=CARTESIAN_POINT('Origin',(30.2875,17.1125,0.035)); #479431=CARTESIAN_POINT('',(30.2875,17.1125,0.035)); #479432=CARTESIAN_POINT('',(30.2875,17.1125,0.035)); #479433=CARTESIAN_POINT('',(30.2875,17.1125,0.07)); #479434=CARTESIAN_POINT('',(30.2875,17.1125,0.07)); #479435=CARTESIAN_POINT('',(30.2875,17.1125,0.035)); #479436=CARTESIAN_POINT('Origin',(30.2875,17.3875,0.035)); #479437=CARTESIAN_POINT('',(30.2875,17.3875,0.035)); #479438=CARTESIAN_POINT('',(30.2875,17.3875,0.035)); #479439=CARTESIAN_POINT('',(30.2875,17.3875,0.07)); #479440=CARTESIAN_POINT('',(30.2875,17.3875,0.07)); #479441=CARTESIAN_POINT('',(30.2875,17.3875,0.035)); #479442=CARTESIAN_POINT('Origin',(30.9125,17.3875,0.035)); #479443=CARTESIAN_POINT('',(30.9125,17.3875,0.035)); #479444=CARTESIAN_POINT('',(30.9125,17.3875,0.07)); #479445=CARTESIAN_POINT('Origin',(30.6,17.25,0.07)); #479446=CARTESIAN_POINT('Origin',(30.6,17.25,0.035)); #479447=CARTESIAN_POINT('Origin',(44.6571,25.5555,0.035)); #479448=CARTESIAN_POINT('',(44.6571,25.5555,0.035)); #479449=CARTESIAN_POINT('',(44.6571,26.4445,0.035)); #479450=CARTESIAN_POINT('',(44.6571,25.5555,0.035)); #479451=CARTESIAN_POINT('',(44.6571,26.4445,0.07)); #479452=CARTESIAN_POINT('',(44.6571,26.4445,0.035)); #479453=CARTESIAN_POINT('',(44.6571,25.5555,0.07)); #479454=CARTESIAN_POINT('',(44.6571,25.5555,0.07)); #479455=CARTESIAN_POINT('',(44.6571,25.5555,0.035)); #479456=CARTESIAN_POINT('Origin',(43.8189,25.5555,0.035)); #479457=CARTESIAN_POINT('',(43.8189,25.5555,0.035)); #479458=CARTESIAN_POINT('',(43.8189,25.5555,0.035)); #479459=CARTESIAN_POINT('',(43.8189,25.5555,0.07)); #479460=CARTESIAN_POINT('',(43.8189,25.5555,0.07)); #479461=CARTESIAN_POINT('',(43.8189,25.5555,0.035)); #479462=CARTESIAN_POINT('Origin',(43.8189,26.4445,0.035)); #479463=CARTESIAN_POINT('',(43.8189,26.4445,0.035)); #479464=CARTESIAN_POINT('',(43.8189,26.4445,0.035)); #479465=CARTESIAN_POINT('',(43.8189,26.4445,0.07)); #479466=CARTESIAN_POINT('',(43.8189,26.4445,0.07)); #479467=CARTESIAN_POINT('',(43.8189,26.4445,0.035)); #479468=CARTESIAN_POINT('Origin',(44.6571,26.4445,0.035)); #479469=CARTESIAN_POINT('',(44.6571,26.4445,0.035)); #479470=CARTESIAN_POINT('',(44.6571,26.4445,0.07)); #479471=CARTESIAN_POINT('Origin',(44.238,26.,0.07)); #479472=CARTESIAN_POINT('Origin',(44.238,26.,0.035)); #479473=CARTESIAN_POINT('Origin',(24.6571,17.5555,0.035)); #479474=CARTESIAN_POINT('',(24.6571,17.5555,0.035)); #479475=CARTESIAN_POINT('',(24.6571,18.4445,0.035)); #479476=CARTESIAN_POINT('',(24.6571,17.5555,0.035)); #479477=CARTESIAN_POINT('',(24.6571,18.4445,0.07)); #479478=CARTESIAN_POINT('',(24.6571,18.4445,0.035)); #479479=CARTESIAN_POINT('',(24.6571,17.5555,0.07)); #479480=CARTESIAN_POINT('',(24.6571,17.5555,0.07)); #479481=CARTESIAN_POINT('',(24.6571,17.5555,0.035)); #479482=CARTESIAN_POINT('Origin',(23.8189,17.5555,0.035)); #479483=CARTESIAN_POINT('',(23.8189,17.5555,0.035)); #479484=CARTESIAN_POINT('',(23.8189,17.5555,0.035)); #479485=CARTESIAN_POINT('',(23.8189,17.5555,0.07)); #479486=CARTESIAN_POINT('',(23.8189,17.5555,0.07)); #479487=CARTESIAN_POINT('',(23.8189,17.5555,0.035)); #479488=CARTESIAN_POINT('Origin',(23.8189,18.4445,0.035)); #479489=CARTESIAN_POINT('',(23.8189,18.4445,0.035)); #479490=CARTESIAN_POINT('',(23.8189,18.4445,0.035)); #479491=CARTESIAN_POINT('',(23.8189,18.4445,0.07)); #479492=CARTESIAN_POINT('',(23.8189,18.4445,0.07)); #479493=CARTESIAN_POINT('',(23.8189,18.4445,0.035)); #479494=CARTESIAN_POINT('Origin',(24.6571,18.4445,0.035)); #479495=CARTESIAN_POINT('',(24.6571,18.4445,0.035)); #479496=CARTESIAN_POINT('',(24.6571,18.4445,0.07)); #479497=CARTESIAN_POINT('Origin',(24.238,18.,0.07)); #479498=CARTESIAN_POINT('Origin',(24.238,18.,0.035)); #479499=CARTESIAN_POINT('Origin',(21.4445,29.3429,0.035)); #479500=CARTESIAN_POINT('',(21.4445,29.3429,0.035)); #479501=CARTESIAN_POINT('',(21.4445,30.1811,0.035)); #479502=CARTESIAN_POINT('',(21.4445,29.3429,0.035)); #479503=CARTESIAN_POINT('',(21.4445,30.1811,0.07)); #479504=CARTESIAN_POINT('',(21.4445,30.1811,0.035)); #479505=CARTESIAN_POINT('',(21.4445,29.3429,0.07)); #479506=CARTESIAN_POINT('',(21.4445,29.3429,0.07)); #479507=CARTESIAN_POINT('',(21.4445,29.3429,0.035)); #479508=CARTESIAN_POINT('Origin',(20.5555,29.3429,0.035)); #479509=CARTESIAN_POINT('',(20.5555,29.3429,0.035)); #479510=CARTESIAN_POINT('',(20.5555,29.3429,0.035)); #479511=CARTESIAN_POINT('',(20.5555,29.3429,0.07)); #479512=CARTESIAN_POINT('',(20.5555,29.3429,0.07)); #479513=CARTESIAN_POINT('',(20.5555,29.3429,0.035)); #479514=CARTESIAN_POINT('Origin',(20.5555,30.1811,0.035)); #479515=CARTESIAN_POINT('',(20.5555,30.1811,0.035)); #479516=CARTESIAN_POINT('',(20.5555,30.1811,0.035)); #479517=CARTESIAN_POINT('',(20.5555,30.1811,0.07)); #479518=CARTESIAN_POINT('',(20.5555,30.1811,0.07)); #479519=CARTESIAN_POINT('',(20.5555,30.1811,0.035)); #479520=CARTESIAN_POINT('Origin',(21.4445,30.1811,0.035)); #479521=CARTESIAN_POINT('',(21.4445,30.1811,0.035)); #479522=CARTESIAN_POINT('',(21.4445,30.1811,0.07)); #479523=CARTESIAN_POINT('Origin',(21.,29.762,0.07)); #479524=CARTESIAN_POINT('Origin',(21.,29.762,0.035)); #479525=CARTESIAN_POINT('Origin',(20.6571,14.5555,0.035)); #479526=CARTESIAN_POINT('',(20.6571,14.5555,0.035)); #479527=CARTESIAN_POINT('',(20.6571,15.4445,0.035)); #479528=CARTESIAN_POINT('',(20.6571,14.5555,0.035)); #479529=CARTESIAN_POINT('',(20.6571,15.4445,0.07)); #479530=CARTESIAN_POINT('',(20.6571,15.4445,0.035)); #479531=CARTESIAN_POINT('',(20.6571,14.5555,0.07)); #479532=CARTESIAN_POINT('',(20.6571,14.5555,0.07)); #479533=CARTESIAN_POINT('',(20.6571,14.5555,0.035)); #479534=CARTESIAN_POINT('Origin',(19.8189,14.5555,0.035)); #479535=CARTESIAN_POINT('',(19.8189,14.5555,0.035)); #479536=CARTESIAN_POINT('',(19.8189,14.5555,0.035)); #479537=CARTESIAN_POINT('',(19.8189,14.5555,0.07)); #479538=CARTESIAN_POINT('',(19.8189,14.5555,0.07)); #479539=CARTESIAN_POINT('',(19.8189,14.5555,0.035)); #479540=CARTESIAN_POINT('Origin',(19.8189,15.4445,0.035)); #479541=CARTESIAN_POINT('',(19.8189,15.4445,0.035)); #479542=CARTESIAN_POINT('',(19.8189,15.4445,0.035)); #479543=CARTESIAN_POINT('',(19.8189,15.4445,0.07)); #479544=CARTESIAN_POINT('',(19.8189,15.4445,0.07)); #479545=CARTESIAN_POINT('',(19.8189,15.4445,0.035)); #479546=CARTESIAN_POINT('Origin',(20.6571,15.4445,0.035)); #479547=CARTESIAN_POINT('',(20.6571,15.4445,0.035)); #479548=CARTESIAN_POINT('',(20.6571,15.4445,0.07)); #479549=CARTESIAN_POINT('Origin',(20.238,15.,0.07)); #479550=CARTESIAN_POINT('Origin',(20.238,15.,0.035)); #479551=CARTESIAN_POINT('Origin',(55.25,21.75,-200.)); #479552=CARTESIAN_POINT('',(55.11,21.75,0.0349999999999895)); #479553=CARTESIAN_POINT('Origin',(55.25,21.75,0.0349999999999895)); #479554=CARTESIAN_POINT('',(55.11,21.75,0.0700000000000145)); #479555=CARTESIAN_POINT('',(55.11,21.75,-200.)); #479556=CARTESIAN_POINT('Origin',(55.25,21.75,0.0700000000000145)); #479557=CARTESIAN_POINT('Origin',(55.25,21.,-200.)); #479558=CARTESIAN_POINT('',(55.11,21.,0.0349999999999895)); #479559=CARTESIAN_POINT('Origin',(55.25,21.,0.0349999999999895)); #479560=CARTESIAN_POINT('',(55.11,21.,0.0700000000000145)); #479561=CARTESIAN_POINT('',(55.11,21.,-200.)); #479562=CARTESIAN_POINT('Origin',(55.25,21.,0.0700000000000145)); #479563=CARTESIAN_POINT('Origin',(56.,20.25,-200.)); #479564=CARTESIAN_POINT('',(55.86,20.25,0.0349999999999895)); #479565=CARTESIAN_POINT('Origin',(56.,20.25,0.0349999999999895)); #479566=CARTESIAN_POINT('',(55.86,20.25,0.0700000000000145)); #479567=CARTESIAN_POINT('',(55.86,20.25,-200.)); #479568=CARTESIAN_POINT('Origin',(56.,20.25,0.0700000000000145)); #479569=CARTESIAN_POINT('Origin',(56.,21.75,-200.)); #479570=CARTESIAN_POINT('',(55.86,21.75,0.0349999999999895)); #479571=CARTESIAN_POINT('Origin',(56.,21.75,0.0349999999999895)); #479572=CARTESIAN_POINT('',(55.86,21.75,0.0700000000000145)); #479573=CARTESIAN_POINT('',(55.86,21.75,-200.)); #479574=CARTESIAN_POINT('Origin',(56.,21.75,0.0700000000000145)); #479575=CARTESIAN_POINT('Origin',(56.,21.,-200.)); #479576=CARTESIAN_POINT('',(55.86,21.,0.0349999999999895)); #479577=CARTESIAN_POINT('Origin',(56.,21.,0.0349999999999895)); #479578=CARTESIAN_POINT('',(55.86,21.,0.0700000000000145)); #479579=CARTESIAN_POINT('',(55.86,21.,-200.)); #479580=CARTESIAN_POINT('Origin',(56.,21.,0.0700000000000145)); #479581=CARTESIAN_POINT('Origin',(55.25,20.25,-200.)); #479582=CARTESIAN_POINT('',(55.11,20.25,0.0349999999999895)); #479583=CARTESIAN_POINT('Origin',(55.25,20.25,0.0349999999999895)); #479584=CARTESIAN_POINT('',(55.11,20.25,0.0700000000000145)); #479585=CARTESIAN_POINT('',(55.11,20.25,-200.)); #479586=CARTESIAN_POINT('Origin',(55.25,20.25,0.0700000000000145)); #479587=CARTESIAN_POINT('Origin',(56.3622,19.6665,0.035)); #479588=CARTESIAN_POINT('',(56.3622,19.6665,0.035)); #479589=CARTESIAN_POINT('',(56.3622,22.3335,0.035)); #479590=CARTESIAN_POINT('',(56.3622,19.6665,0.035)); #479591=CARTESIAN_POINT('',(56.3622,22.3335,0.07)); #479592=CARTESIAN_POINT('',(56.3622,22.3335,0.035)); #479593=CARTESIAN_POINT('',(56.3622,19.6665,0.07)); #479594=CARTESIAN_POINT('',(56.3622,19.6665,0.07)); #479595=CARTESIAN_POINT('',(56.3622,19.6665,0.035)); #479596=CARTESIAN_POINT('Origin',(54.6858,19.6665,0.035)); #479597=CARTESIAN_POINT('',(54.6858,19.6665,0.035)); #479598=CARTESIAN_POINT('',(54.6858,19.6665,0.035)); #479599=CARTESIAN_POINT('',(54.6858,19.6665,0.07)); #479600=CARTESIAN_POINT('',(54.6858,19.6665,0.07)); #479601=CARTESIAN_POINT('',(54.6858,19.6665,0.035)); #479602=CARTESIAN_POINT('Origin',(54.6858,22.3335,0.035)); #479603=CARTESIAN_POINT('',(54.6858,22.3335,0.035)); #479604=CARTESIAN_POINT('',(54.6858,22.3335,0.035)); #479605=CARTESIAN_POINT('',(54.6858,22.3335,0.07)); #479606=CARTESIAN_POINT('',(54.6858,22.3335,0.07)); #479607=CARTESIAN_POINT('',(54.6858,22.3335,0.035)); #479608=CARTESIAN_POINT('Origin',(56.3622,22.3335,0.035)); #479609=CARTESIAN_POINT('',(56.3622,22.3335,0.035)); #479610=CARTESIAN_POINT('',(56.3622,22.3335,0.07)); #479611=CARTESIAN_POINT('Origin',(55.524,21.,0.07)); #479612=CARTESIAN_POINT('Origin',(55.524,21.,0.035)); #479613=CARTESIAN_POINT('Origin',(24.6571,14.5555,0.035)); #479614=CARTESIAN_POINT('',(24.6571,14.5555,0.035)); #479615=CARTESIAN_POINT('',(24.6571,15.4445,0.035)); #479616=CARTESIAN_POINT('',(24.6571,14.5555,0.035)); #479617=CARTESIAN_POINT('',(24.6571,15.4445,0.07)); #479618=CARTESIAN_POINT('',(24.6571,15.4445,0.035)); #479619=CARTESIAN_POINT('',(24.6571,14.5555,0.07)); #479620=CARTESIAN_POINT('',(24.6571,14.5555,0.07)); #479621=CARTESIAN_POINT('',(24.6571,14.5555,0.035)); #479622=CARTESIAN_POINT('Origin',(23.8189,14.5555,0.035)); #479623=CARTESIAN_POINT('',(23.8189,14.5555,0.035)); #479624=CARTESIAN_POINT('',(23.8189,14.5555,0.035)); #479625=CARTESIAN_POINT('',(23.8189,14.5555,0.07)); #479626=CARTESIAN_POINT('',(23.8189,14.5555,0.07)); #479627=CARTESIAN_POINT('',(23.8189,14.5555,0.035)); #479628=CARTESIAN_POINT('Origin',(23.8189,15.4445,0.035)); #479629=CARTESIAN_POINT('',(23.8189,15.4445,0.035)); #479630=CARTESIAN_POINT('',(23.8189,15.4445,0.035)); #479631=CARTESIAN_POINT('',(23.8189,15.4445,0.07)); #479632=CARTESIAN_POINT('',(23.8189,15.4445,0.07)); #479633=CARTESIAN_POINT('',(23.8189,15.4445,0.035)); #479634=CARTESIAN_POINT('Origin',(24.6571,15.4445,0.035)); #479635=CARTESIAN_POINT('',(24.6571,15.4445,0.035)); #479636=CARTESIAN_POINT('',(24.6571,15.4445,0.07)); #479637=CARTESIAN_POINT('Origin',(24.238,15.,0.07)); #479638=CARTESIAN_POINT('Origin',(24.238,15.,0.035)); #479639=CARTESIAN_POINT('Origin',(29.9071,56.5555,0.035)); #479640=CARTESIAN_POINT('',(29.9071,56.5555,0.035)); #479641=CARTESIAN_POINT('',(29.9071,57.4445,0.035)); #479642=CARTESIAN_POINT('',(29.9071,56.5555,0.035)); #479643=CARTESIAN_POINT('',(29.9071,57.4445,0.07)); #479644=CARTESIAN_POINT('',(29.9071,57.4445,0.035)); #479645=CARTESIAN_POINT('',(29.9071,56.5555,0.07)); #479646=CARTESIAN_POINT('',(29.9071,56.5555,0.07)); #479647=CARTESIAN_POINT('',(29.9071,56.5555,0.035)); #479648=CARTESIAN_POINT('Origin',(29.0689,56.5555,0.035)); #479649=CARTESIAN_POINT('',(29.0689,56.5555,0.035)); #479650=CARTESIAN_POINT('',(29.0689,56.5555,0.035)); #479651=CARTESIAN_POINT('',(29.0689,56.5555,0.07)); #479652=CARTESIAN_POINT('',(29.0689,56.5555,0.07)); #479653=CARTESIAN_POINT('',(29.0689,56.5555,0.035)); #479654=CARTESIAN_POINT('Origin',(29.0689,57.4445,0.035)); #479655=CARTESIAN_POINT('',(29.0689,57.4445,0.035)); #479656=CARTESIAN_POINT('',(29.0689,57.4445,0.035)); #479657=CARTESIAN_POINT('',(29.0689,57.4445,0.07)); #479658=CARTESIAN_POINT('',(29.0689,57.4445,0.07)); #479659=CARTESIAN_POINT('',(29.0689,57.4445,0.035)); #479660=CARTESIAN_POINT('Origin',(29.9071,57.4445,0.035)); #479661=CARTESIAN_POINT('',(29.9071,57.4445,0.035)); #479662=CARTESIAN_POINT('',(29.9071,57.4445,0.07)); #479663=CARTESIAN_POINT('Origin',(29.488,57.,0.07)); #479664=CARTESIAN_POINT('Origin',(29.488,57.,0.035)); #479665=CARTESIAN_POINT('Origin',(32.5,18.5,-200.)); #479666=CARTESIAN_POINT('',(32.36,18.5,0.0349999999999895)); #479667=CARTESIAN_POINT('Origin',(32.5,18.5,0.0349999999999895)); #479668=CARTESIAN_POINT('',(32.36,18.5,0.0700000000000145)); #479669=CARTESIAN_POINT('',(32.36,18.5,-200.)); #479670=CARTESIAN_POINT('Origin',(32.5,18.5,0.0700000000000145)); #479671=CARTESIAN_POINT('Origin',(33.25,18.5,-200.)); #479672=CARTESIAN_POINT('',(33.11,18.5,0.0349999999999895)); #479673=CARTESIAN_POINT('Origin',(33.25,18.5,0.0349999999999895)); #479674=CARTESIAN_POINT('',(33.11,18.5,0.0700000000000145)); #479675=CARTESIAN_POINT('',(33.11,18.5,-200.)); #479676=CARTESIAN_POINT('Origin',(33.25,18.5,0.0700000000000145)); #479677=CARTESIAN_POINT('Origin',(34.75,18.5,-200.)); #479678=CARTESIAN_POINT('',(34.61,18.5,0.0349999999999895)); #479679=CARTESIAN_POINT('Origin',(34.75,18.5,0.0349999999999895)); #479680=CARTESIAN_POINT('',(34.61,18.5,0.0700000000000145)); #479681=CARTESIAN_POINT('',(34.61,18.5,-200.)); #479682=CARTESIAN_POINT('Origin',(34.75,18.5,0.0700000000000145)); #479683=CARTESIAN_POINT('Origin',(34.,18.5,-200.)); #479684=CARTESIAN_POINT('',(33.86,18.5,0.0349999999999895)); #479685=CARTESIAN_POINT('Origin',(34.,18.5,0.0349999999999895)); #479686=CARTESIAN_POINT('',(33.86,18.5,0.0700000000000145)); #479687=CARTESIAN_POINT('',(33.86,18.5,-200.)); #479688=CARTESIAN_POINT('Origin',(34.,18.5,0.0700000000000145)); #479689=CARTESIAN_POINT('Origin',(34.75,16.25,-200.)); #479690=CARTESIAN_POINT('',(34.61,16.25,0.0349999999999895)); #479691=CARTESIAN_POINT('Origin',(34.75,16.25,0.0349999999999895)); #479692=CARTESIAN_POINT('',(34.61,16.25,0.0700000000000145)); #479693=CARTESIAN_POINT('',(34.61,16.25,-200.)); #479694=CARTESIAN_POINT('Origin',(34.75,16.25,0.0700000000000145)); #479695=CARTESIAN_POINT('Origin',(31.75,18.5,-200.)); #479696=CARTESIAN_POINT('',(31.61,18.5,0.0349999999999895)); #479697=CARTESIAN_POINT('Origin',(31.75,18.5,0.0349999999999895)); #479698=CARTESIAN_POINT('',(31.61,18.5,0.0700000000000145)); #479699=CARTESIAN_POINT('',(31.61,18.5,-200.)); #479700=CARTESIAN_POINT('Origin',(31.75,18.5,0.0700000000000145)); #479701=CARTESIAN_POINT('Origin',(33.25,16.25,-200.)); #479702=CARTESIAN_POINT('',(33.11,16.25,0.0349999999999895)); #479703=CARTESIAN_POINT('Origin',(33.25,16.25,0.0349999999999895)); #479704=CARTESIAN_POINT('',(33.11,16.25,0.0700000000000145)); #479705=CARTESIAN_POINT('',(33.11,16.25,-200.)); #479706=CARTESIAN_POINT('Origin',(33.25,16.25,0.0700000000000145)); #479707=CARTESIAN_POINT('Origin',(31.75,19.25,-200.)); #479708=CARTESIAN_POINT('',(31.61,19.25,0.0349999999999895)); #479709=CARTESIAN_POINT('Origin',(31.75,19.25,0.0349999999999895)); #479710=CARTESIAN_POINT('',(31.61,19.25,0.0700000000000145)); #479711=CARTESIAN_POINT('',(31.61,19.25,-200.)); #479712=CARTESIAN_POINT('Origin',(31.75,19.25,0.0700000000000145)); #479713=CARTESIAN_POINT('Origin',(35.5,17.75,-200.)); #479714=CARTESIAN_POINT('',(35.36,17.75,0.0349999999999895)); #479715=CARTESIAN_POINT('Origin',(35.5,17.75,0.0349999999999895)); #479716=CARTESIAN_POINT('',(35.36,17.75,0.0700000000000145)); #479717=CARTESIAN_POINT('',(35.36,17.75,-200.)); #479718=CARTESIAN_POINT('Origin',(35.5,17.75,0.0700000000000145)); #479719=CARTESIAN_POINT('Origin',(35.5,18.5,-200.)); #479720=CARTESIAN_POINT('',(35.36,18.5,0.0349999999999895)); #479721=CARTESIAN_POINT('Origin',(35.5,18.5,0.0349999999999895)); #479722=CARTESIAN_POINT('',(35.36,18.5,0.0700000000000145)); #479723=CARTESIAN_POINT('',(35.36,18.5,-200.)); #479724=CARTESIAN_POINT('Origin',(35.5,18.5,0.0700000000000145)); #479725=CARTESIAN_POINT('Origin',(34.75,14.75,-200.)); #479726=CARTESIAN_POINT('',(34.61,14.75,0.0349999999999895)); #479727=CARTESIAN_POINT('Origin',(34.75,14.75,0.0349999999999895)); #479728=CARTESIAN_POINT('',(34.61,14.75,0.0700000000000145)); #479729=CARTESIAN_POINT('',(34.61,14.75,-200.)); #479730=CARTESIAN_POINT('Origin',(34.75,14.75,0.0700000000000145)); #479731=CARTESIAN_POINT('Origin',(34.75,17.,-200.)); #479732=CARTESIAN_POINT('',(34.61,17.,0.0349999999999895)); #479733=CARTESIAN_POINT('Origin',(34.75,17.,0.0349999999999895)); #479734=CARTESIAN_POINT('',(34.61,17.,0.0700000000000145)); #479735=CARTESIAN_POINT('',(34.61,17.,-200.)); #479736=CARTESIAN_POINT('Origin',(34.75,17.,0.0700000000000145)); #479737=CARTESIAN_POINT('Origin',(35.5,14.75,-200.)); #479738=CARTESIAN_POINT('',(35.36,14.75,0.0349999999999895)); #479739=CARTESIAN_POINT('Origin',(35.5,14.75,0.0349999999999895)); #479740=CARTESIAN_POINT('',(35.36,14.75,0.0700000000000145)); #479741=CARTESIAN_POINT('',(35.36,14.75,-200.)); #479742=CARTESIAN_POINT('Origin',(35.5,14.75,0.0700000000000145)); #479743=CARTESIAN_POINT('Origin',(36.25,15.5,-200.)); #479744=CARTESIAN_POINT('',(36.11,15.5,0.0349999999999895)); #479745=CARTESIAN_POINT('Origin',(36.25,15.5,0.0349999999999895)); #479746=CARTESIAN_POINT('',(36.11,15.5,0.0700000000000145)); #479747=CARTESIAN_POINT('',(36.11,15.5,-200.)); #479748=CARTESIAN_POINT('Origin',(36.25,15.5,0.0700000000000145)); #479749=CARTESIAN_POINT('Origin',(34.,17.75,-200.)); #479750=CARTESIAN_POINT('',(33.86,17.75,0.0349999999999895)); #479751=CARTESIAN_POINT('Origin',(34.,17.75,0.0349999999999895)); #479752=CARTESIAN_POINT('',(33.86,17.75,0.0700000000000145)); #479753=CARTESIAN_POINT('',(33.86,17.75,-200.)); #479754=CARTESIAN_POINT('Origin',(34.,17.75,0.0700000000000145)); #479755=CARTESIAN_POINT('Origin',(36.25,14.75,-200.)); #479756=CARTESIAN_POINT('',(36.11,14.75,0.0349999999999895)); #479757=CARTESIAN_POINT('Origin',(36.25,14.75,0.0349999999999895)); #479758=CARTESIAN_POINT('',(36.11,14.75,0.0700000000000145)); #479759=CARTESIAN_POINT('',(36.11,14.75,-200.)); #479760=CARTESIAN_POINT('Origin',(36.25,14.75,0.0700000000000145)); #479761=CARTESIAN_POINT('Origin',(36.25,17.75,-200.)); #479762=CARTESIAN_POINT('',(36.11,17.75,0.0349999999999895)); #479763=CARTESIAN_POINT('Origin',(36.25,17.75,0.0349999999999895)); #479764=CARTESIAN_POINT('',(36.11,17.75,0.0700000000000145)); #479765=CARTESIAN_POINT('',(36.11,17.75,-200.)); #479766=CARTESIAN_POINT('Origin',(36.25,17.75,0.0700000000000145)); #479767=CARTESIAN_POINT('Origin',(36.25,18.5,-200.)); #479768=CARTESIAN_POINT('',(36.11,18.5,0.0349999999999895)); #479769=CARTESIAN_POINT('Origin',(36.25,18.5,0.0349999999999895)); #479770=CARTESIAN_POINT('',(36.11,18.5,0.0700000000000145)); #479771=CARTESIAN_POINT('',(36.11,18.5,-200.)); #479772=CARTESIAN_POINT('Origin',(36.25,18.5,0.0700000000000145)); #479773=CARTESIAN_POINT('Origin',(36.25,17.,-200.)); #479774=CARTESIAN_POINT('',(36.11,17.,0.0349999999999895)); #479775=CARTESIAN_POINT('Origin',(36.25,17.,0.0349999999999895)); #479776=CARTESIAN_POINT('',(36.11,17.,0.0700000000000145)); #479777=CARTESIAN_POINT('',(36.11,17.,-200.)); #479778=CARTESIAN_POINT('Origin',(36.25,17.,0.0700000000000145)); #479779=CARTESIAN_POINT('Origin',(34.,17.,-200.)); #479780=CARTESIAN_POINT('',(33.86,17.,0.0349999999999895)); #479781=CARTESIAN_POINT('Origin',(34.,17.,0.0349999999999895)); #479782=CARTESIAN_POINT('',(33.86,17.,0.0700000000000145)); #479783=CARTESIAN_POINT('',(33.86,17.,-200.)); #479784=CARTESIAN_POINT('Origin',(34.,17.,0.0700000000000145)); #479785=CARTESIAN_POINT('Origin',(36.25,16.25,-200.)); #479786=CARTESIAN_POINT('',(36.11,16.25,0.0349999999999895)); #479787=CARTESIAN_POINT('Origin',(36.25,16.25,0.0349999999999895)); #479788=CARTESIAN_POINT('',(36.11,16.25,0.0700000000000145)); #479789=CARTESIAN_POINT('',(36.11,16.25,-200.)); #479790=CARTESIAN_POINT('Origin',(36.25,16.25,0.0700000000000145)); #479791=CARTESIAN_POINT('Origin',(31.75,14.75,-200.)); #479792=CARTESIAN_POINT('',(31.61,14.75,0.0349999999999895)); #479793=CARTESIAN_POINT('Origin',(31.75,14.75,0.0349999999999895)); #479794=CARTESIAN_POINT('',(31.61,14.75,0.0700000000000145)); #479795=CARTESIAN_POINT('',(31.61,14.75,-200.)); #479796=CARTESIAN_POINT('Origin',(31.75,14.75,0.0700000000000145)); #479797=CARTESIAN_POINT('Origin',(33.25,17.,-200.)); #479798=CARTESIAN_POINT('',(33.11,17.,0.0349999999999895)); #479799=CARTESIAN_POINT('Origin',(33.25,17.,0.0349999999999895)); #479800=CARTESIAN_POINT('',(33.11,17.,0.0700000000000145)); #479801=CARTESIAN_POINT('',(33.11,17.,-200.)); #479802=CARTESIAN_POINT('Origin',(33.25,17.,0.0700000000000145)); #479803=CARTESIAN_POINT('Origin',(32.5,14.75,-200.)); #479804=CARTESIAN_POINT('',(32.36,14.75,0.0349999999999895)); #479805=CARTESIAN_POINT('Origin',(32.5,14.75,0.0349999999999895)); #479806=CARTESIAN_POINT('',(32.36,14.75,0.0700000000000145)); #479807=CARTESIAN_POINT('',(32.36,14.75,-200.)); #479808=CARTESIAN_POINT('Origin',(32.5,14.75,0.0700000000000145)); #479809=CARTESIAN_POINT('Origin',(34.,14.75,-200.)); #479810=CARTESIAN_POINT('',(33.86,14.75,0.0349999999999895)); #479811=CARTESIAN_POINT('Origin',(34.,14.75,0.0349999999999895)); #479812=CARTESIAN_POINT('',(33.86,14.75,0.0700000000000145)); #479813=CARTESIAN_POINT('',(33.86,14.75,-200.)); #479814=CARTESIAN_POINT('Origin',(34.,14.75,0.0700000000000145)); #479815=CARTESIAN_POINT('Origin',(34.,16.25,-200.)); #479816=CARTESIAN_POINT('',(33.86,16.25,0.0349999999999895)); #479817=CARTESIAN_POINT('Origin',(34.,16.25,0.0349999999999895)); #479818=CARTESIAN_POINT('',(33.86,16.25,0.0700000000000145)); #479819=CARTESIAN_POINT('',(33.86,16.25,-200.)); #479820=CARTESIAN_POINT('Origin',(34.,16.25,0.0700000000000145)); #479821=CARTESIAN_POINT('Origin',(33.25,14.75,-200.)); #479822=CARTESIAN_POINT('',(33.11,14.75,0.0349999999999895)); #479823=CARTESIAN_POINT('Origin',(33.25,14.75,0.0349999999999895)); #479824=CARTESIAN_POINT('',(33.11,14.75,0.0700000000000145)); #479825=CARTESIAN_POINT('',(33.11,14.75,-200.)); #479826=CARTESIAN_POINT('Origin',(33.25,14.75,0.0700000000000145)); #479827=CARTESIAN_POINT('Origin',(31.75,16.25,-200.)); #479828=CARTESIAN_POINT('',(31.61,16.25,0.0349999999999895)); #479829=CARTESIAN_POINT('Origin',(31.75,16.25,0.0349999999999895)); #479830=CARTESIAN_POINT('',(31.61,16.25,0.0700000000000145)); #479831=CARTESIAN_POINT('',(31.61,16.25,-200.)); #479832=CARTESIAN_POINT('Origin',(31.75,16.25,0.0700000000000145)); #479833=CARTESIAN_POINT('Origin',(34.75,17.75,-200.)); #479834=CARTESIAN_POINT('',(34.61,17.75,0.0349999999999895)); #479835=CARTESIAN_POINT('Origin',(34.75,17.75,0.0349999999999895)); #479836=CARTESIAN_POINT('',(34.61,17.75,0.0700000000000145)); #479837=CARTESIAN_POINT('',(34.61,17.75,-200.)); #479838=CARTESIAN_POINT('Origin',(34.75,17.75,0.0700000000000145)); #479839=CARTESIAN_POINT('Origin',(31.75,15.5,-200.)); #479840=CARTESIAN_POINT('',(31.61,15.5,0.0349999999999895)); #479841=CARTESIAN_POINT('Origin',(31.75,15.5,0.0349999999999895)); #479842=CARTESIAN_POINT('',(31.61,15.5,0.0700000000000145)); #479843=CARTESIAN_POINT('',(31.61,15.5,-200.)); #479844=CARTESIAN_POINT('Origin',(31.75,15.5,0.0700000000000145)); #479845=CARTESIAN_POINT('Origin',(31.75,17.,-200.)); #479846=CARTESIAN_POINT('',(31.61,17.,0.0349999999999895)); #479847=CARTESIAN_POINT('Origin',(31.75,17.,0.0349999999999895)); #479848=CARTESIAN_POINT('',(31.61,17.,0.0700000000000145)); #479849=CARTESIAN_POINT('',(31.61,17.,-200.)); #479850=CARTESIAN_POINT('Origin',(31.75,17.,0.0700000000000145)); #479851=CARTESIAN_POINT('Origin',(33.25,17.75,-200.)); #479852=CARTESIAN_POINT('',(33.11,17.75,0.0349999999999895)); #479853=CARTESIAN_POINT('Origin',(33.25,17.75,0.0349999999999895)); #479854=CARTESIAN_POINT('',(33.11,17.75,0.0700000000000145)); #479855=CARTESIAN_POINT('',(33.11,17.75,-200.)); #479856=CARTESIAN_POINT('Origin',(33.25,17.75,0.0700000000000145)); #479857=CARTESIAN_POINT('Origin',(31.75,17.75,-200.)); #479858=CARTESIAN_POINT('',(31.61,17.75,0.0349999999999895)); #479859=CARTESIAN_POINT('Origin',(31.75,17.75,0.0349999999999895)); #479860=CARTESIAN_POINT('',(31.61,17.75,0.0700000000000145)); #479861=CARTESIAN_POINT('',(31.61,17.75,-200.)); #479862=CARTESIAN_POINT('Origin',(31.75,17.75,0.0700000000000145)); #479863=CARTESIAN_POINT('Origin',(35.5,15.5,-200.)); #479864=CARTESIAN_POINT('',(35.36,15.5,0.0349999999999895)); #479865=CARTESIAN_POINT('Origin',(35.5,15.5,0.0349999999999895)); #479866=CARTESIAN_POINT('',(35.36,15.5,0.0700000000000145)); #479867=CARTESIAN_POINT('',(35.36,15.5,-200.)); #479868=CARTESIAN_POINT('Origin',(35.5,15.5,0.0700000000000145)); #479869=CARTESIAN_POINT('Origin',(34.75,15.5,-200.)); #479870=CARTESIAN_POINT('',(34.61,15.5,0.0349999999999895)); #479871=CARTESIAN_POINT('Origin',(34.75,15.5,0.0349999999999895)); #479872=CARTESIAN_POINT('',(34.61,15.5,0.0700000000000145)); #479873=CARTESIAN_POINT('',(34.61,15.5,-200.)); #479874=CARTESIAN_POINT('Origin',(34.75,15.5,0.0700000000000145)); #479875=CARTESIAN_POINT('Origin',(33.25,15.5,-200.)); #479876=CARTESIAN_POINT('',(33.11,15.5,0.0349999999999895)); #479877=CARTESIAN_POINT('Origin',(33.25,15.5,0.0349999999999895)); #479878=CARTESIAN_POINT('',(33.11,15.5,0.0700000000000145)); #479879=CARTESIAN_POINT('',(33.11,15.5,-200.)); #479880=CARTESIAN_POINT('Origin',(33.25,15.5,0.0700000000000145)); #479881=CARTESIAN_POINT('Origin',(34.,15.5,-200.)); #479882=CARTESIAN_POINT('',(33.86,15.5,0.0349999999999895)); #479883=CARTESIAN_POINT('Origin',(34.,15.5,0.0349999999999895)); #479884=CARTESIAN_POINT('',(33.86,15.5,0.0700000000000145)); #479885=CARTESIAN_POINT('',(33.86,15.5,-200.)); #479886=CARTESIAN_POINT('Origin',(34.,15.5,0.0700000000000145)); #479887=CARTESIAN_POINT('Origin',(32.5,17.,-200.)); #479888=CARTESIAN_POINT('',(32.36,17.,0.0349999999999895)); #479889=CARTESIAN_POINT('Origin',(32.5,17.,0.0349999999999895)); #479890=CARTESIAN_POINT('',(32.36,17.,0.0700000000000145)); #479891=CARTESIAN_POINT('',(32.36,17.,-200.)); #479892=CARTESIAN_POINT('Origin',(32.5,17.,0.0700000000000145)); #479893=CARTESIAN_POINT('Origin',(32.5,17.75,-200.)); #479894=CARTESIAN_POINT('',(32.36,17.75,0.0349999999999895)); #479895=CARTESIAN_POINT('Origin',(32.5,17.75,0.0349999999999895)); #479896=CARTESIAN_POINT('',(32.36,17.75,0.0700000000000145)); #479897=CARTESIAN_POINT('',(32.36,17.75,-200.)); #479898=CARTESIAN_POINT('Origin',(32.5,17.75,0.0700000000000145)); #479899=CARTESIAN_POINT('Origin',(32.5,16.25,-200.)); #479900=CARTESIAN_POINT('',(32.36,16.25,0.0349999999999895)); #479901=CARTESIAN_POINT('Origin',(32.5,16.25,0.0349999999999895)); #479902=CARTESIAN_POINT('',(32.36,16.25,0.0700000000000145)); #479903=CARTESIAN_POINT('',(32.36,16.25,-200.)); #479904=CARTESIAN_POINT('Origin',(32.5,16.25,0.0700000000000145)); #479905=CARTESIAN_POINT('Origin',(32.5,15.5,-200.)); #479906=CARTESIAN_POINT('',(32.36,15.5,0.0349999999999895)); #479907=CARTESIAN_POINT('Origin',(32.5,15.5,0.0349999999999895)); #479908=CARTESIAN_POINT('',(32.36,15.5,0.0700000000000145)); #479909=CARTESIAN_POINT('',(32.36,15.5,-200.)); #479910=CARTESIAN_POINT('Origin',(32.5,15.5,0.0700000000000145)); #479911=CARTESIAN_POINT('Origin',(33.25,19.25,-200.)); #479912=CARTESIAN_POINT('',(33.11,19.25,0.0349999999999895)); #479913=CARTESIAN_POINT('Origin',(33.25,19.25,0.0349999999999895)); #479914=CARTESIAN_POINT('',(33.11,19.25,0.0700000000000145)); #479915=CARTESIAN_POINT('',(33.11,19.25,-200.)); #479916=CARTESIAN_POINT('Origin',(33.25,19.25,0.0700000000000145)); #479917=CARTESIAN_POINT('Origin',(32.5,19.25,-200.)); #479918=CARTESIAN_POINT('',(32.36,19.25,0.0349999999999895)); #479919=CARTESIAN_POINT('Origin',(32.5,19.25,0.0349999999999895)); #479920=CARTESIAN_POINT('',(32.36,19.25,0.0700000000000145)); #479921=CARTESIAN_POINT('',(32.36,19.25,-200.)); #479922=CARTESIAN_POINT('Origin',(32.5,19.25,0.0700000000000145)); #479923=CARTESIAN_POINT('Origin',(35.5,16.25,-200.)); #479924=CARTESIAN_POINT('',(35.36,16.25,0.0349999999999895)); #479925=CARTESIAN_POINT('Origin',(35.5,16.25,0.0349999999999895)); #479926=CARTESIAN_POINT('',(35.36,16.25,0.0700000000000145)); #479927=CARTESIAN_POINT('',(35.36,16.25,-200.)); #479928=CARTESIAN_POINT('Origin',(35.5,16.25,0.0700000000000145)); #479929=CARTESIAN_POINT('Origin',(35.5,17.,-200.)); #479930=CARTESIAN_POINT('',(35.36,17.,0.0349999999999895)); #479931=CARTESIAN_POINT('Origin',(35.5,17.,0.0349999999999895)); #479932=CARTESIAN_POINT('',(35.36,17.,0.0700000000000145)); #479933=CARTESIAN_POINT('',(35.36,17.,-200.)); #479934=CARTESIAN_POINT('Origin',(35.5,17.,0.0700000000000145)); #479935=CARTESIAN_POINT('Origin',(34.75,19.25,-200.)); #479936=CARTESIAN_POINT('',(34.61,19.25,0.0349999999999895)); #479937=CARTESIAN_POINT('Origin',(34.75,19.25,0.0349999999999895)); #479938=CARTESIAN_POINT('',(34.61,19.25,0.0700000000000145)); #479939=CARTESIAN_POINT('',(34.61,19.25,-200.)); #479940=CARTESIAN_POINT('Origin',(34.75,19.25,0.0700000000000145)); #479941=CARTESIAN_POINT('Origin',(34.,19.25,-200.)); #479942=CARTESIAN_POINT('',(33.86,19.25,0.0349999999999895)); #479943=CARTESIAN_POINT('Origin',(34.,19.25,0.0349999999999895)); #479944=CARTESIAN_POINT('',(33.86,19.25,0.0700000000000145)); #479945=CARTESIAN_POINT('',(33.86,19.25,-200.)); #479946=CARTESIAN_POINT('Origin',(34.,19.25,0.0700000000000145)); #479947=CARTESIAN_POINT('Origin',(35.5,19.25,-200.)); #479948=CARTESIAN_POINT('',(35.36,19.25,0.0349999999999895)); #479949=CARTESIAN_POINT('Origin',(35.5,19.25,0.0349999999999895)); #479950=CARTESIAN_POINT('',(35.36,19.25,0.0700000000000145)); #479951=CARTESIAN_POINT('',(35.36,19.25,-200.)); #479952=CARTESIAN_POINT('Origin',(35.5,19.25,0.0700000000000145)); #479953=CARTESIAN_POINT('Origin',(36.25,19.25,-200.)); #479954=CARTESIAN_POINT('',(36.11,19.25,0.0349999999999895)); #479955=CARTESIAN_POINT('Origin',(36.25,19.25,0.0349999999999895)); #479956=CARTESIAN_POINT('',(36.11,19.25,0.0700000000000145)); #479957=CARTESIAN_POINT('',(36.11,19.25,-200.)); #479958=CARTESIAN_POINT('Origin',(36.25,19.25,0.0700000000000145)); #479959=CARTESIAN_POINT('Origin',(36.7,14.3,0.035)); #479960=CARTESIAN_POINT('',(36.7,14.3,0.035)); #479961=CARTESIAN_POINT('',(36.7,19.7,0.035)); #479962=CARTESIAN_POINT('',(36.7,14.3,0.035)); #479963=CARTESIAN_POINT('',(36.7,19.7,0.07)); #479964=CARTESIAN_POINT('',(36.7,19.7,0.035)); #479965=CARTESIAN_POINT('',(36.7,14.3,0.07)); #479966=CARTESIAN_POINT('',(36.7,14.3,0.07)); #479967=CARTESIAN_POINT('',(36.7,14.3,0.035)); #479968=CARTESIAN_POINT('Origin',(31.3,14.3,0.035)); #479969=CARTESIAN_POINT('',(31.3,14.3,0.035)); #479970=CARTESIAN_POINT('',(31.3,14.3,0.035)); #479971=CARTESIAN_POINT('',(31.3,14.3,0.07)); #479972=CARTESIAN_POINT('',(31.3,14.3,0.07)); #479973=CARTESIAN_POINT('',(31.3,14.3,0.035)); #479974=CARTESIAN_POINT('Origin',(31.3,19.7,0.035)); #479975=CARTESIAN_POINT('',(31.3,19.7,0.035)); #479976=CARTESIAN_POINT('',(31.3,19.7,0.035)); #479977=CARTESIAN_POINT('',(31.3,19.7,0.07)); #479978=CARTESIAN_POINT('',(31.3,19.7,0.07)); #479979=CARTESIAN_POINT('',(31.3,19.7,0.035)); #479980=CARTESIAN_POINT('Origin',(36.7,19.7,0.035)); #479981=CARTESIAN_POINT('',(36.7,19.7,0.035)); #479982=CARTESIAN_POINT('',(36.7,19.7,0.07)); #479983=CARTESIAN_POINT('Origin',(34.,17.,0.07)); #479984=CARTESIAN_POINT('Origin',(34.,17.,0.035)); #479985=CARTESIAN_POINT('Origin',(56.3622,24.6665,0.035)); #479986=CARTESIAN_POINT('',(56.3622,24.6665,0.035)); #479987=CARTESIAN_POINT('',(56.3622,27.3335,0.035)); #479988=CARTESIAN_POINT('',(56.3622,24.6665,0.035)); #479989=CARTESIAN_POINT('',(56.3622,27.3335,0.07)); #479990=CARTESIAN_POINT('',(56.3622,27.3335,0.035)); #479991=CARTESIAN_POINT('',(56.3622,24.6665,0.07)); #479992=CARTESIAN_POINT('',(56.3622,24.6665,0.07)); #479993=CARTESIAN_POINT('',(56.3622,24.6665,0.035)); #479994=CARTESIAN_POINT('Origin',(54.6858,24.6665,0.035)); #479995=CARTESIAN_POINT('',(54.6858,24.6665,0.035)); #479996=CARTESIAN_POINT('',(54.6858,24.6665,0.035)); #479997=CARTESIAN_POINT('',(54.6858,24.6665,0.07)); #479998=CARTESIAN_POINT('',(54.6858,24.6665,0.07)); #479999=CARTESIAN_POINT('',(54.6858,24.6665,0.035)); #480000=CARTESIAN_POINT('Origin',(54.6858,27.3335,0.035)); #480001=CARTESIAN_POINT('',(54.6858,27.3335,0.035)); #480002=CARTESIAN_POINT('',(54.6858,27.3335,0.035)); #480003=CARTESIAN_POINT('',(54.6858,27.3335,0.07)); #480004=CARTESIAN_POINT('',(54.6858,27.3335,0.07)); #480005=CARTESIAN_POINT('',(54.6858,27.3335,0.035)); #480006=CARTESIAN_POINT('Origin',(56.3622,27.3335,0.035)); #480007=CARTESIAN_POINT('',(56.3622,27.3335,0.035)); #480008=CARTESIAN_POINT('',(56.3622,27.3335,0.07)); #480009=CARTESIAN_POINT('Origin',(55.524,26.,0.07)); #480010=CARTESIAN_POINT('Origin',(55.524,26.,0.035)); #480011=CARTESIAN_POINT('Origin',(24.6571,20.5555,0.035)); #480012=CARTESIAN_POINT('',(24.6571,20.5555,0.035)); #480013=CARTESIAN_POINT('',(24.6571,21.4445,0.035)); #480014=CARTESIAN_POINT('',(24.6571,20.5555,0.035)); #480015=CARTESIAN_POINT('',(24.6571,21.4445,0.07)); #480016=CARTESIAN_POINT('',(24.6571,21.4445,0.035)); #480017=CARTESIAN_POINT('',(24.6571,20.5555,0.07)); #480018=CARTESIAN_POINT('',(24.6571,20.5555,0.07)); #480019=CARTESIAN_POINT('',(24.6571,20.5555,0.035)); #480020=CARTESIAN_POINT('Origin',(23.8189,20.5555,0.035)); #480021=CARTESIAN_POINT('',(23.8189,20.5555,0.035)); #480022=CARTESIAN_POINT('',(23.8189,20.5555,0.035)); #480023=CARTESIAN_POINT('',(23.8189,20.5555,0.07)); #480024=CARTESIAN_POINT('',(23.8189,20.5555,0.07)); #480025=CARTESIAN_POINT('',(23.8189,20.5555,0.035)); #480026=CARTESIAN_POINT('Origin',(23.8189,21.4445,0.035)); #480027=CARTESIAN_POINT('',(23.8189,21.4445,0.035)); #480028=CARTESIAN_POINT('',(23.8189,21.4445,0.035)); #480029=CARTESIAN_POINT('',(23.8189,21.4445,0.07)); #480030=CARTESIAN_POINT('',(23.8189,21.4445,0.07)); #480031=CARTESIAN_POINT('',(23.8189,21.4445,0.035)); #480032=CARTESIAN_POINT('Origin',(24.6571,21.4445,0.035)); #480033=CARTESIAN_POINT('',(24.6571,21.4445,0.035)); #480034=CARTESIAN_POINT('',(24.6571,21.4445,0.07)); #480035=CARTESIAN_POINT('Origin',(24.238,21.,0.07)); #480036=CARTESIAN_POINT('Origin',(24.238,21.,0.035)); #480037=CARTESIAN_POINT('Origin',(28.9967,30.4031,0.035)); #480038=CARTESIAN_POINT('',(28.9967,30.4031,0.035)); #480039=CARTESIAN_POINT('',(28.9967,31.5969,0.035)); #480040=CARTESIAN_POINT('',(28.9967,30.4031,0.035)); #480041=CARTESIAN_POINT('',(28.9967,31.5969,0.07)); #480042=CARTESIAN_POINT('',(28.9967,31.5969,0.035)); #480043=CARTESIAN_POINT('',(28.9967,30.4031,0.07)); #480044=CARTESIAN_POINT('',(28.9967,30.4031,0.07)); #480045=CARTESIAN_POINT('',(28.9967,30.4031,0.035)); #480046=CARTESIAN_POINT('Origin',(27.8029,30.4031,0.035)); #480047=CARTESIAN_POINT('',(27.8029,30.4031,0.035)); #480048=CARTESIAN_POINT('',(27.8029,30.4031,0.035)); #480049=CARTESIAN_POINT('',(27.8029,30.4031,0.07)); #480050=CARTESIAN_POINT('',(27.8029,30.4031,0.07)); #480051=CARTESIAN_POINT('',(27.8029,30.4031,0.035)); #480052=CARTESIAN_POINT('Origin',(27.8029,31.5969,0.035)); #480053=CARTESIAN_POINT('',(27.8029,31.5969,0.035)); #480054=CARTESIAN_POINT('',(27.8029,31.5969,0.035)); #480055=CARTESIAN_POINT('',(27.8029,31.5969,0.07)); #480056=CARTESIAN_POINT('',(27.8029,31.5969,0.07)); #480057=CARTESIAN_POINT('',(27.8029,31.5969,0.035)); #480058=CARTESIAN_POINT('Origin',(28.9967,31.5969,0.035)); #480059=CARTESIAN_POINT('',(28.9967,31.5969,0.035)); #480060=CARTESIAN_POINT('',(28.9967,31.5969,0.07)); #480061=CARTESIAN_POINT('Origin',(28.3998,31.,0.07)); #480062=CARTESIAN_POINT('Origin',(28.3998,31.,0.035)); #480063=CARTESIAN_POINT('Origin',(37.7125,19.1125,0.035)); #480064=CARTESIAN_POINT('',(37.7125,19.1125,0.035)); #480065=CARTESIAN_POINT('',(37.7125,19.3875,0.035)); #480066=CARTESIAN_POINT('',(37.7125,19.1125,0.035)); #480067=CARTESIAN_POINT('',(37.7125,19.3875,0.07)); #480068=CARTESIAN_POINT('',(37.7125,19.3875,0.035)); #480069=CARTESIAN_POINT('',(37.7125,19.1125,0.07)); #480070=CARTESIAN_POINT('',(37.7125,19.1125,0.07)); #480071=CARTESIAN_POINT('',(37.7125,19.1125,0.035)); #480072=CARTESIAN_POINT('Origin',(37.0875,19.1125,0.035)); #480073=CARTESIAN_POINT('',(37.0875,19.1125,0.035)); #480074=CARTESIAN_POINT('',(37.0875,19.1125,0.035)); #480075=CARTESIAN_POINT('',(37.0875,19.1125,0.07)); #480076=CARTESIAN_POINT('',(37.0875,19.1125,0.07)); #480077=CARTESIAN_POINT('',(37.0875,19.1125,0.035)); #480078=CARTESIAN_POINT('Origin',(37.0875,19.3875,0.035)); #480079=CARTESIAN_POINT('',(37.0875,19.3875,0.035)); #480080=CARTESIAN_POINT('',(37.0875,19.3875,0.035)); #480081=CARTESIAN_POINT('',(37.0875,19.3875,0.07)); #480082=CARTESIAN_POINT('',(37.0875,19.3875,0.07)); #480083=CARTESIAN_POINT('',(37.0875,19.3875,0.035)); #480084=CARTESIAN_POINT('Origin',(37.7125,19.3875,0.035)); #480085=CARTESIAN_POINT('',(37.7125,19.3875,0.035)); #480086=CARTESIAN_POINT('',(37.7125,19.3875,0.07)); #480087=CARTESIAN_POINT('Origin',(37.4,19.25,0.07)); #480088=CARTESIAN_POINT('Origin',(37.4,19.25,0.035)); #480089=CARTESIAN_POINT('Origin',(13.3142,24.6665,0.035)); #480090=CARTESIAN_POINT('',(13.3142,24.6665,0.035)); #480091=CARTESIAN_POINT('',(13.3142,27.3335,0.035)); #480092=CARTESIAN_POINT('',(13.3142,24.6665,0.035)); #480093=CARTESIAN_POINT('',(13.3142,27.3335,0.07)); #480094=CARTESIAN_POINT('',(13.3142,27.3335,0.035)); #480095=CARTESIAN_POINT('',(13.3142,24.6665,0.07)); #480096=CARTESIAN_POINT('',(13.3142,24.6665,0.07)); #480097=CARTESIAN_POINT('',(13.3142,24.6665,0.035)); #480098=CARTESIAN_POINT('Origin',(11.6378,24.6665,0.035)); #480099=CARTESIAN_POINT('',(11.6378,24.6665,0.035)); #480100=CARTESIAN_POINT('',(11.6378,24.6665,0.035)); #480101=CARTESIAN_POINT('',(11.6378,24.6665,0.07)); #480102=CARTESIAN_POINT('',(11.6378,24.6665,0.07)); #480103=CARTESIAN_POINT('',(11.6378,24.6665,0.035)); #480104=CARTESIAN_POINT('Origin',(11.6378,27.3335,0.035)); #480105=CARTESIAN_POINT('',(11.6378,27.3335,0.035)); #480106=CARTESIAN_POINT('',(11.6378,27.3335,0.035)); #480107=CARTESIAN_POINT('',(11.6378,27.3335,0.07)); #480108=CARTESIAN_POINT('',(11.6378,27.3335,0.07)); #480109=CARTESIAN_POINT('',(11.6378,27.3335,0.035)); #480110=CARTESIAN_POINT('Origin',(13.3142,27.3335,0.035)); #480111=CARTESIAN_POINT('',(13.3142,27.3335,0.035)); #480112=CARTESIAN_POINT('',(13.3142,27.3335,0.07)); #480113=CARTESIAN_POINT('Origin',(12.476,26.,0.07)); #480114=CARTESIAN_POINT('Origin',(12.476,26.,0.035)); #480115=CARTESIAN_POINT('Origin',(20.6571,17.5555,0.035)); #480116=CARTESIAN_POINT('',(20.6571,17.5555,0.035)); #480117=CARTESIAN_POINT('',(20.6571,18.4445,0.035)); #480118=CARTESIAN_POINT('',(20.6571,17.5555,0.035)); #480119=CARTESIAN_POINT('',(20.6571,18.4445,0.07)); #480120=CARTESIAN_POINT('',(20.6571,18.4445,0.035)); #480121=CARTESIAN_POINT('',(20.6571,17.5555,0.07)); #480122=CARTESIAN_POINT('',(20.6571,17.5555,0.07)); #480123=CARTESIAN_POINT('',(20.6571,17.5555,0.035)); #480124=CARTESIAN_POINT('Origin',(19.8189,17.5555,0.035)); #480125=CARTESIAN_POINT('',(19.8189,17.5555,0.035)); #480126=CARTESIAN_POINT('',(19.8189,17.5555,0.035)); #480127=CARTESIAN_POINT('',(19.8189,17.5555,0.07)); #480128=CARTESIAN_POINT('',(19.8189,17.5555,0.07)); #480129=CARTESIAN_POINT('',(19.8189,17.5555,0.035)); #480130=CARTESIAN_POINT('Origin',(19.8189,18.4445,0.035)); #480131=CARTESIAN_POINT('',(19.8189,18.4445,0.035)); #480132=CARTESIAN_POINT('',(19.8189,18.4445,0.035)); #480133=CARTESIAN_POINT('',(19.8189,18.4445,0.07)); #480134=CARTESIAN_POINT('',(19.8189,18.4445,0.07)); #480135=CARTESIAN_POINT('',(19.8189,18.4445,0.035)); #480136=CARTESIAN_POINT('Origin',(20.6571,18.4445,0.035)); #480137=CARTESIAN_POINT('',(20.6571,18.4445,0.035)); #480138=CARTESIAN_POINT('',(20.6571,18.4445,0.07)); #480139=CARTESIAN_POINT('Origin',(20.238,18.,0.07)); #480140=CARTESIAN_POINT('Origin',(20.238,18.,0.035)); #480141=CARTESIAN_POINT('Origin',(48.4445,39.8189,0.035)); #480142=CARTESIAN_POINT('',(48.4445,39.8189,0.035)); #480143=CARTESIAN_POINT('',(48.4445,40.6571,0.035)); #480144=CARTESIAN_POINT('',(48.4445,39.8189,0.035)); #480145=CARTESIAN_POINT('',(48.4445,40.6571,0.07)); #480146=CARTESIAN_POINT('',(48.4445,40.6571,0.035)); #480147=CARTESIAN_POINT('',(48.4445,39.8189,0.07)); #480148=CARTESIAN_POINT('',(48.4445,39.8189,0.07)); #480149=CARTESIAN_POINT('',(48.4445,39.8189,0.035)); #480150=CARTESIAN_POINT('Origin',(47.5555,39.8189,0.035)); #480151=CARTESIAN_POINT('',(47.5555,39.8189,0.035)); #480152=CARTESIAN_POINT('',(47.5555,39.8189,0.035)); #480153=CARTESIAN_POINT('',(47.5555,39.8189,0.07)); #480154=CARTESIAN_POINT('',(47.5555,39.8189,0.07)); #480155=CARTESIAN_POINT('',(47.5555,39.8189,0.035)); #480156=CARTESIAN_POINT('Origin',(47.5555,40.6571,0.035)); #480157=CARTESIAN_POINT('',(47.5555,40.6571,0.035)); #480158=CARTESIAN_POINT('',(47.5555,40.6571,0.035)); #480159=CARTESIAN_POINT('',(47.5555,40.6571,0.07)); #480160=CARTESIAN_POINT('',(47.5555,40.6571,0.07)); #480161=CARTESIAN_POINT('',(47.5555,40.6571,0.035)); #480162=CARTESIAN_POINT('Origin',(48.4445,40.6571,0.035)); #480163=CARTESIAN_POINT('',(48.4445,40.6571,0.035)); #480164=CARTESIAN_POINT('',(48.4445,40.6571,0.07)); #480165=CARTESIAN_POINT('Origin',(48.,40.238,0.07)); #480166=CARTESIAN_POINT('Origin',(48.,40.238,0.035)); #480167=CARTESIAN_POINT('Origin',(35.3875,20.0875,0.035)); #480168=CARTESIAN_POINT('',(35.3875,20.0875,0.035)); #480169=CARTESIAN_POINT('',(35.3875,20.7125,0.035)); #480170=CARTESIAN_POINT('',(35.3875,20.0875,0.035)); #480171=CARTESIAN_POINT('',(35.3875,20.7125,0.07)); #480172=CARTESIAN_POINT('',(35.3875,20.7125,0.035)); #480173=CARTESIAN_POINT('',(35.3875,20.0875,0.07)); #480174=CARTESIAN_POINT('',(35.3875,20.0875,0.07)); #480175=CARTESIAN_POINT('',(35.3875,20.0875,0.035)); #480176=CARTESIAN_POINT('Origin',(35.1125,20.0875,0.035)); #480177=CARTESIAN_POINT('',(35.1125,20.0875,0.035)); #480178=CARTESIAN_POINT('',(35.1125,20.0875,0.035)); #480179=CARTESIAN_POINT('',(35.1125,20.0875,0.07)); #480180=CARTESIAN_POINT('',(35.1125,20.0875,0.07)); #480181=CARTESIAN_POINT('',(35.1125,20.0875,0.035)); #480182=CARTESIAN_POINT('Origin',(35.1125,20.7125,0.035)); #480183=CARTESIAN_POINT('',(35.1125,20.7125,0.035)); #480184=CARTESIAN_POINT('',(35.1125,20.7125,0.035)); #480185=CARTESIAN_POINT('',(35.1125,20.7125,0.07)); #480186=CARTESIAN_POINT('',(35.1125,20.7125,0.07)); #480187=CARTESIAN_POINT('',(35.1125,20.7125,0.035)); #480188=CARTESIAN_POINT('Origin',(35.3875,20.7125,0.035)); #480189=CARTESIAN_POINT('',(35.3875,20.7125,0.035)); #480190=CARTESIAN_POINT('',(35.3875,20.7125,0.07)); #480191=CARTESIAN_POINT('Origin',(35.25,20.4,0.07)); #480192=CARTESIAN_POINT('Origin',(35.25,20.4,0.035)); #480193=CARTESIAN_POINT('Origin',(20.4445,39.8189,0.035)); #480194=CARTESIAN_POINT('',(20.4445,39.8189,0.035)); #480195=CARTESIAN_POINT('',(20.4445,40.6571,0.035)); #480196=CARTESIAN_POINT('',(20.4445,39.8189,0.035)); #480197=CARTESIAN_POINT('',(20.4445,40.6571,0.07)); #480198=CARTESIAN_POINT('',(20.4445,40.6571,0.035)); #480199=CARTESIAN_POINT('',(20.4445,39.8189,0.07)); #480200=CARTESIAN_POINT('',(20.4445,39.8189,0.07)); #480201=CARTESIAN_POINT('',(20.4445,39.8189,0.035)); #480202=CARTESIAN_POINT('Origin',(19.5555,39.8189,0.035)); #480203=CARTESIAN_POINT('',(19.5555,39.8189,0.035)); #480204=CARTESIAN_POINT('',(19.5555,39.8189,0.035)); #480205=CARTESIAN_POINT('',(19.5555,39.8189,0.07)); #480206=CARTESIAN_POINT('',(19.5555,39.8189,0.07)); #480207=CARTESIAN_POINT('',(19.5555,39.8189,0.035)); #480208=CARTESIAN_POINT('Origin',(19.5555,40.6571,0.035)); #480209=CARTESIAN_POINT('',(19.5555,40.6571,0.035)); #480210=CARTESIAN_POINT('',(19.5555,40.6571,0.035)); #480211=CARTESIAN_POINT('',(19.5555,40.6571,0.07)); #480212=CARTESIAN_POINT('',(19.5555,40.6571,0.07)); #480213=CARTESIAN_POINT('',(19.5555,40.6571,0.035)); #480214=CARTESIAN_POINT('Origin',(20.4445,40.6571,0.035)); #480215=CARTESIAN_POINT('',(20.4445,40.6571,0.035)); #480216=CARTESIAN_POINT('',(20.4445,40.6571,0.07)); #480217=CARTESIAN_POINT('Origin',(20.,40.238,0.07)); #480218=CARTESIAN_POINT('Origin',(20.,40.238,0.035)); #480219=CARTESIAN_POINT('Origin',(31.8875,20.0875,0.035)); #480220=CARTESIAN_POINT('',(31.8875,20.0875,0.035)); #480221=CARTESIAN_POINT('',(31.8875,20.7125,0.035)); #480222=CARTESIAN_POINT('',(31.8875,20.0875,0.035)); #480223=CARTESIAN_POINT('',(31.8875,20.7125,0.07)); #480224=CARTESIAN_POINT('',(31.8875,20.7125,0.035)); #480225=CARTESIAN_POINT('',(31.8875,20.0875,0.07)); #480226=CARTESIAN_POINT('',(31.8875,20.0875,0.07)); #480227=CARTESIAN_POINT('',(31.8875,20.0875,0.035)); #480228=CARTESIAN_POINT('Origin',(31.6125,20.0875,0.035)); #480229=CARTESIAN_POINT('',(31.6125,20.0875,0.035)); #480230=CARTESIAN_POINT('',(31.6125,20.0875,0.035)); #480231=CARTESIAN_POINT('',(31.6125,20.0875,0.07)); #480232=CARTESIAN_POINT('',(31.6125,20.0875,0.07)); #480233=CARTESIAN_POINT('',(31.6125,20.0875,0.035)); #480234=CARTESIAN_POINT('Origin',(31.6125,20.7125,0.035)); #480235=CARTESIAN_POINT('',(31.6125,20.7125,0.035)); #480236=CARTESIAN_POINT('',(31.6125,20.7125,0.035)); #480237=CARTESIAN_POINT('',(31.6125,20.7125,0.07)); #480238=CARTESIAN_POINT('',(31.6125,20.7125,0.07)); #480239=CARTESIAN_POINT('',(31.6125,20.7125,0.035)); #480240=CARTESIAN_POINT('Origin',(31.8875,20.7125,0.035)); #480241=CARTESIAN_POINT('',(31.8875,20.7125,0.035)); #480242=CARTESIAN_POINT('',(31.8875,20.7125,0.07)); #480243=CARTESIAN_POINT('Origin',(31.75,20.4,0.07)); #480244=CARTESIAN_POINT('Origin',(31.75,20.4,0.035)); #480245=CARTESIAN_POINT('Origin',(22.1811,17.5555,0.035)); #480246=CARTESIAN_POINT('',(22.1811,17.5555,0.035)); #480247=CARTESIAN_POINT('',(22.1811,18.4445,0.035)); #480248=CARTESIAN_POINT('',(22.1811,17.5555,0.035)); #480249=CARTESIAN_POINT('',(22.1811,18.4445,0.07)); #480250=CARTESIAN_POINT('',(22.1811,18.4445,0.035)); #480251=CARTESIAN_POINT('',(22.1811,17.5555,0.07)); #480252=CARTESIAN_POINT('',(22.1811,17.5555,0.07)); #480253=CARTESIAN_POINT('',(22.1811,17.5555,0.035)); #480254=CARTESIAN_POINT('Origin',(21.3429,17.5555,0.035)); #480255=CARTESIAN_POINT('',(21.3429,17.5555,0.035)); #480256=CARTESIAN_POINT('',(21.3429,17.5555,0.035)); #480257=CARTESIAN_POINT('',(21.3429,17.5555,0.07)); #480258=CARTESIAN_POINT('',(21.3429,17.5555,0.07)); #480259=CARTESIAN_POINT('',(21.3429,17.5555,0.035)); #480260=CARTESIAN_POINT('Origin',(21.3429,18.4445,0.035)); #480261=CARTESIAN_POINT('',(21.3429,18.4445,0.035)); #480262=CARTESIAN_POINT('',(21.3429,18.4445,0.035)); #480263=CARTESIAN_POINT('',(21.3429,18.4445,0.07)); #480264=CARTESIAN_POINT('',(21.3429,18.4445,0.07)); #480265=CARTESIAN_POINT('',(21.3429,18.4445,0.035)); #480266=CARTESIAN_POINT('Origin',(22.1811,18.4445,0.035)); #480267=CARTESIAN_POINT('',(22.1811,18.4445,0.035)); #480268=CARTESIAN_POINT('',(22.1811,18.4445,0.07)); #480269=CARTESIAN_POINT('Origin',(21.762,18.,0.07)); #480270=CARTESIAN_POINT('Origin',(21.762,18.,0.035)); #480271=CARTESIAN_POINT('Origin',(30.9125,14.1125,0.035)); #480272=CARTESIAN_POINT('',(30.9125,14.1125,0.035)); #480273=CARTESIAN_POINT('',(30.9125,14.3875,0.035)); #480274=CARTESIAN_POINT('',(30.9125,14.1125,0.035)); #480275=CARTESIAN_POINT('',(30.9125,14.3875,0.07)); #480276=CARTESIAN_POINT('',(30.9125,14.3875,0.035)); #480277=CARTESIAN_POINT('',(30.9125,14.1125,0.07)); #480278=CARTESIAN_POINT('',(30.9125,14.1125,0.07)); #480279=CARTESIAN_POINT('',(30.9125,14.1125,0.035)); #480280=CARTESIAN_POINT('Origin',(30.2875,14.1125,0.035)); #480281=CARTESIAN_POINT('',(30.2875,14.1125,0.035)); #480282=CARTESIAN_POINT('',(30.2875,14.1125,0.035)); #480283=CARTESIAN_POINT('',(30.2875,14.1125,0.07)); #480284=CARTESIAN_POINT('',(30.2875,14.1125,0.07)); #480285=CARTESIAN_POINT('',(30.2875,14.1125,0.035)); #480286=CARTESIAN_POINT('Origin',(30.2875,14.3875,0.035)); #480287=CARTESIAN_POINT('',(30.2875,14.3875,0.035)); #480288=CARTESIAN_POINT('',(30.2875,14.3875,0.035)); #480289=CARTESIAN_POINT('',(30.2875,14.3875,0.07)); #480290=CARTESIAN_POINT('',(30.2875,14.3875,0.07)); #480291=CARTESIAN_POINT('',(30.2875,14.3875,0.035)); #480292=CARTESIAN_POINT('Origin',(30.9125,14.3875,0.035)); #480293=CARTESIAN_POINT('',(30.9125,14.3875,0.035)); #480294=CARTESIAN_POINT('',(30.9125,14.3875,0.07)); #480295=CARTESIAN_POINT('Origin',(30.6,14.25,0.07)); #480296=CARTESIAN_POINT('Origin',(30.6,14.25,0.035)); #480297=CARTESIAN_POINT('Origin',(22.1811,14.5555,0.035)); #480298=CARTESIAN_POINT('',(22.1811,14.5555,0.035)); #480299=CARTESIAN_POINT('',(22.1811,15.4445,0.035)); #480300=CARTESIAN_POINT('',(22.1811,14.5555,0.035)); #480301=CARTESIAN_POINT('',(22.1811,15.4445,0.07)); #480302=CARTESIAN_POINT('',(22.1811,15.4445,0.035)); #480303=CARTESIAN_POINT('',(22.1811,14.5555,0.07)); #480304=CARTESIAN_POINT('',(22.1811,14.5555,0.07)); #480305=CARTESIAN_POINT('',(22.1811,14.5555,0.035)); #480306=CARTESIAN_POINT('Origin',(21.3429,14.5555,0.035)); #480307=CARTESIAN_POINT('',(21.3429,14.5555,0.035)); #480308=CARTESIAN_POINT('',(21.3429,14.5555,0.035)); #480309=CARTESIAN_POINT('',(21.3429,14.5555,0.07)); #480310=CARTESIAN_POINT('',(21.3429,14.5555,0.07)); #480311=CARTESIAN_POINT('',(21.3429,14.5555,0.035)); #480312=CARTESIAN_POINT('Origin',(21.3429,15.4445,0.035)); #480313=CARTESIAN_POINT('',(21.3429,15.4445,0.035)); #480314=CARTESIAN_POINT('',(21.3429,15.4445,0.035)); #480315=CARTESIAN_POINT('',(21.3429,15.4445,0.07)); #480316=CARTESIAN_POINT('',(21.3429,15.4445,0.07)); #480317=CARTESIAN_POINT('',(21.3429,15.4445,0.035)); #480318=CARTESIAN_POINT('Origin',(22.1811,15.4445,0.035)); #480319=CARTESIAN_POINT('',(22.1811,15.4445,0.035)); #480320=CARTESIAN_POINT('',(22.1811,15.4445,0.07)); #480321=CARTESIAN_POINT('Origin',(21.762,15.,0.07)); #480322=CARTESIAN_POINT('Origin',(21.762,15.,0.035)); #480323=CARTESIAN_POINT('Origin',(36.3875,13.2875,0.035)); #480324=CARTESIAN_POINT('',(36.3875,13.2875,0.035)); #480325=CARTESIAN_POINT('',(36.3875,13.9125,0.035)); #480326=CARTESIAN_POINT('',(36.3875,13.2875,0.035)); #480327=CARTESIAN_POINT('',(36.3875,13.9125,0.07)); #480328=CARTESIAN_POINT('',(36.3875,13.9125,0.035)); #480329=CARTESIAN_POINT('',(36.3875,13.2875,0.07)); #480330=CARTESIAN_POINT('',(36.3875,13.2875,0.07)); #480331=CARTESIAN_POINT('',(36.3875,13.2875,0.035)); #480332=CARTESIAN_POINT('Origin',(36.1125,13.2875,0.035)); #480333=CARTESIAN_POINT('',(36.1125,13.2875,0.035)); #480334=CARTESIAN_POINT('',(36.1125,13.2875,0.035)); #480335=CARTESIAN_POINT('',(36.1125,13.2875,0.07)); #480336=CARTESIAN_POINT('',(36.1125,13.2875,0.07)); #480337=CARTESIAN_POINT('',(36.1125,13.2875,0.035)); #480338=CARTESIAN_POINT('Origin',(36.1125,13.9125,0.035)); #480339=CARTESIAN_POINT('',(36.1125,13.9125,0.035)); #480340=CARTESIAN_POINT('',(36.1125,13.9125,0.035)); #480341=CARTESIAN_POINT('',(36.1125,13.9125,0.07)); #480342=CARTESIAN_POINT('',(36.1125,13.9125,0.07)); #480343=CARTESIAN_POINT('',(36.1125,13.9125,0.035)); #480344=CARTESIAN_POINT('Origin',(36.3875,13.9125,0.035)); #480345=CARTESIAN_POINT('',(36.3875,13.9125,0.035)); #480346=CARTESIAN_POINT('',(36.3875,13.9125,0.07)); #480347=CARTESIAN_POINT('Origin',(36.25,13.6,0.07)); #480348=CARTESIAN_POINT('Origin',(36.25,13.6,0.035)); #480349=CARTESIAN_POINT('Origin',(44.4445,39.8189,0.035)); #480350=CARTESIAN_POINT('',(44.4445,39.8189,0.035)); #480351=CARTESIAN_POINT('',(44.4445,40.6571,0.035)); #480352=CARTESIAN_POINT('',(44.4445,39.8189,0.035)); #480353=CARTESIAN_POINT('',(44.4445,40.6571,0.07)); #480354=CARTESIAN_POINT('',(44.4445,40.6571,0.035)); #480355=CARTESIAN_POINT('',(44.4445,39.8189,0.07)); #480356=CARTESIAN_POINT('',(44.4445,39.8189,0.07)); #480357=CARTESIAN_POINT('',(44.4445,39.8189,0.035)); #480358=CARTESIAN_POINT('Origin',(43.5555,39.8189,0.035)); #480359=CARTESIAN_POINT('',(43.5555,39.8189,0.035)); #480360=CARTESIAN_POINT('',(43.5555,39.8189,0.035)); #480361=CARTESIAN_POINT('',(43.5555,39.8189,0.07)); #480362=CARTESIAN_POINT('',(43.5555,39.8189,0.07)); #480363=CARTESIAN_POINT('',(43.5555,39.8189,0.035)); #480364=CARTESIAN_POINT('Origin',(43.5555,40.6571,0.035)); #480365=CARTESIAN_POINT('',(43.5555,40.6571,0.035)); #480366=CARTESIAN_POINT('',(43.5555,40.6571,0.035)); #480367=CARTESIAN_POINT('',(43.5555,40.6571,0.07)); #480368=CARTESIAN_POINT('',(43.5555,40.6571,0.07)); #480369=CARTESIAN_POINT('',(43.5555,40.6571,0.035)); #480370=CARTESIAN_POINT('Origin',(44.4445,40.6571,0.035)); #480371=CARTESIAN_POINT('',(44.4445,40.6571,0.035)); #480372=CARTESIAN_POINT('',(44.4445,40.6571,0.07)); #480373=CARTESIAN_POINT('Origin',(44.,40.238,0.07)); #480374=CARTESIAN_POINT('Origin',(44.,40.238,0.035)); #480375=CARTESIAN_POINT('Origin',(33.8875,20.0875,0.035)); #480376=CARTESIAN_POINT('',(33.8875,20.0875,0.035)); #480377=CARTESIAN_POINT('',(33.8875,20.7125,0.035)); #480378=CARTESIAN_POINT('',(33.8875,20.0875,0.035)); #480379=CARTESIAN_POINT('',(33.8875,20.7125,0.07)); #480380=CARTESIAN_POINT('',(33.8875,20.7125,0.035)); #480381=CARTESIAN_POINT('',(33.8875,20.0875,0.07)); #480382=CARTESIAN_POINT('',(33.8875,20.0875,0.07)); #480383=CARTESIAN_POINT('',(33.8875,20.0875,0.035)); #480384=CARTESIAN_POINT('Origin',(33.6125,20.0875,0.035)); #480385=CARTESIAN_POINT('',(33.6125,20.0875,0.035)); #480386=CARTESIAN_POINT('',(33.6125,20.0875,0.035)); #480387=CARTESIAN_POINT('',(33.6125,20.0875,0.07)); #480388=CARTESIAN_POINT('',(33.6125,20.0875,0.07)); #480389=CARTESIAN_POINT('',(33.6125,20.0875,0.035)); #480390=CARTESIAN_POINT('Origin',(33.6125,20.7125,0.035)); #480391=CARTESIAN_POINT('',(33.6125,20.7125,0.035)); #480392=CARTESIAN_POINT('',(33.6125,20.7125,0.035)); #480393=CARTESIAN_POINT('',(33.6125,20.7125,0.07)); #480394=CARTESIAN_POINT('',(33.6125,20.7125,0.07)); #480395=CARTESIAN_POINT('',(33.6125,20.7125,0.035)); #480396=CARTESIAN_POINT('Origin',(33.8875,20.7125,0.035)); #480397=CARTESIAN_POINT('',(33.8875,20.7125,0.035)); #480398=CARTESIAN_POINT('',(33.8875,20.7125,0.07)); #480399=CARTESIAN_POINT('Origin',(33.75,20.4,0.07)); #480400=CARTESIAN_POINT('Origin',(33.75,20.4,0.035)); #480401=CARTESIAN_POINT('Origin',(24.4445,39.8189,0.035)); #480402=CARTESIAN_POINT('',(24.4445,39.8189,0.035)); #480403=CARTESIAN_POINT('',(24.4445,40.6571,0.035)); #480404=CARTESIAN_POINT('',(24.4445,39.8189,0.035)); #480405=CARTESIAN_POINT('',(24.4445,40.6571,0.07)); #480406=CARTESIAN_POINT('',(24.4445,40.6571,0.035)); #480407=CARTESIAN_POINT('',(24.4445,39.8189,0.07)); #480408=CARTESIAN_POINT('',(24.4445,39.8189,0.07)); #480409=CARTESIAN_POINT('',(24.4445,39.8189,0.035)); #480410=CARTESIAN_POINT('Origin',(23.5555,39.8189,0.035)); #480411=CARTESIAN_POINT('',(23.5555,39.8189,0.035)); #480412=CARTESIAN_POINT('',(23.5555,39.8189,0.035)); #480413=CARTESIAN_POINT('',(23.5555,39.8189,0.07)); #480414=CARTESIAN_POINT('',(23.5555,39.8189,0.07)); #480415=CARTESIAN_POINT('',(23.5555,39.8189,0.035)); #480416=CARTESIAN_POINT('Origin',(23.5555,40.6571,0.035)); #480417=CARTESIAN_POINT('',(23.5555,40.6571,0.035)); #480418=CARTESIAN_POINT('',(23.5555,40.6571,0.035)); #480419=CARTESIAN_POINT('',(23.5555,40.6571,0.07)); #480420=CARTESIAN_POINT('',(23.5555,40.6571,0.07)); #480421=CARTESIAN_POINT('',(23.5555,40.6571,0.035)); #480422=CARTESIAN_POINT('Origin',(24.4445,40.6571,0.035)); #480423=CARTESIAN_POINT('',(24.4445,40.6571,0.035)); #480424=CARTESIAN_POINT('',(24.4445,40.6571,0.07)); #480425=CARTESIAN_POINT('Origin',(24.,40.238,0.07)); #480426=CARTESIAN_POINT('Origin',(24.,40.238,0.035)); #480427=CARTESIAN_POINT('Origin',(33.3875,20.0875,0.035)); #480428=CARTESIAN_POINT('',(33.3875,20.0875,0.035)); #480429=CARTESIAN_POINT('',(33.3875,20.7125,0.035)); #480430=CARTESIAN_POINT('',(33.3875,20.0875,0.035)); #480431=CARTESIAN_POINT('',(33.3875,20.7125,0.07)); #480432=CARTESIAN_POINT('',(33.3875,20.7125,0.035)); #480433=CARTESIAN_POINT('',(33.3875,20.0875,0.07)); #480434=CARTESIAN_POINT('',(33.3875,20.0875,0.07)); #480435=CARTESIAN_POINT('',(33.3875,20.0875,0.035)); #480436=CARTESIAN_POINT('Origin',(33.1125,20.0875,0.035)); #480437=CARTESIAN_POINT('',(33.1125,20.0875,0.035)); #480438=CARTESIAN_POINT('',(33.1125,20.0875,0.035)); #480439=CARTESIAN_POINT('',(33.1125,20.0875,0.07)); #480440=CARTESIAN_POINT('',(33.1125,20.0875,0.07)); #480441=CARTESIAN_POINT('',(33.1125,20.0875,0.035)); #480442=CARTESIAN_POINT('Origin',(33.1125,20.7125,0.035)); #480443=CARTESIAN_POINT('',(33.1125,20.7125,0.035)); #480444=CARTESIAN_POINT('',(33.1125,20.7125,0.035)); #480445=CARTESIAN_POINT('',(33.1125,20.7125,0.07)); #480446=CARTESIAN_POINT('',(33.1125,20.7125,0.07)); #480447=CARTESIAN_POINT('',(33.1125,20.7125,0.035)); #480448=CARTESIAN_POINT('Origin',(33.3875,20.7125,0.035)); #480449=CARTESIAN_POINT('',(33.3875,20.7125,0.035)); #480450=CARTESIAN_POINT('',(33.3875,20.7125,0.07)); #480451=CARTESIAN_POINT('Origin',(33.25,20.4,0.07)); #480452=CARTESIAN_POINT('Origin',(33.25,20.4,0.035)); #480453=CARTESIAN_POINT('Origin',(38.512,53.,-200.)); #480454=CARTESIAN_POINT('',(38.372,53.,0.0349999999999895)); #480455=CARTESIAN_POINT('Origin',(38.512,53.,0.0349999999999895)); #480456=CARTESIAN_POINT('',(38.372,53.,0.0700000000000145)); #480457=CARTESIAN_POINT('',(38.372,53.,-200.)); #480458=CARTESIAN_POINT('Origin',(38.512,53.,0.0700000000000145)); #480459=CARTESIAN_POINT('Origin',(38.9311,52.5555,0.035)); #480460=CARTESIAN_POINT('',(38.9311,52.5555,0.035)); #480461=CARTESIAN_POINT('',(38.9311,53.4445,0.035)); #480462=CARTESIAN_POINT('',(38.9311,52.5555,0.035)); #480463=CARTESIAN_POINT('',(38.9311,53.4445,0.07)); #480464=CARTESIAN_POINT('',(38.9311,53.4445,0.035)); #480465=CARTESIAN_POINT('',(38.9311,52.5555,0.07)); #480466=CARTESIAN_POINT('',(38.9311,52.5555,0.07)); #480467=CARTESIAN_POINT('',(38.9311,52.5555,0.035)); #480468=CARTESIAN_POINT('Origin',(38.0929,52.5555,0.035)); #480469=CARTESIAN_POINT('',(38.0929,52.5555,0.035)); #480470=CARTESIAN_POINT('',(38.0929,52.5555,0.035)); #480471=CARTESIAN_POINT('',(38.0929,52.5555,0.07)); #480472=CARTESIAN_POINT('',(38.0929,52.5555,0.07)); #480473=CARTESIAN_POINT('',(38.0929,52.5555,0.035)); #480474=CARTESIAN_POINT('Origin',(38.0929,53.4445,0.035)); #480475=CARTESIAN_POINT('',(38.0929,53.4445,0.035)); #480476=CARTESIAN_POINT('',(38.0929,53.4445,0.035)); #480477=CARTESIAN_POINT('',(38.0929,53.4445,0.07)); #480478=CARTESIAN_POINT('',(38.0929,53.4445,0.07)); #480479=CARTESIAN_POINT('',(38.0929,53.4445,0.035)); #480480=CARTESIAN_POINT('Origin',(38.9311,53.4445,0.035)); #480481=CARTESIAN_POINT('',(38.9311,53.4445,0.035)); #480482=CARTESIAN_POINT('',(38.9311,53.4445,0.07)); #480483=CARTESIAN_POINT('Origin',(38.512,53.,0.07)); #480484=CARTESIAN_POINT('Origin',(38.512,53.,0.035)); #480485=CARTESIAN_POINT('Origin',(34.3875,20.0875,0.035)); #480486=CARTESIAN_POINT('',(34.3875,20.0875,0.035)); #480487=CARTESIAN_POINT('',(34.3875,20.7125,0.035)); #480488=CARTESIAN_POINT('',(34.3875,20.0875,0.035)); #480489=CARTESIAN_POINT('',(34.3875,20.7125,0.07)); #480490=CARTESIAN_POINT('',(34.3875,20.7125,0.035)); #480491=CARTESIAN_POINT('',(34.3875,20.0875,0.07)); #480492=CARTESIAN_POINT('',(34.3875,20.0875,0.07)); #480493=CARTESIAN_POINT('',(34.3875,20.0875,0.035)); #480494=CARTESIAN_POINT('Origin',(34.1125,20.0875,0.035)); #480495=CARTESIAN_POINT('',(34.1125,20.0875,0.035)); #480496=CARTESIAN_POINT('',(34.1125,20.0875,0.035)); #480497=CARTESIAN_POINT('',(34.1125,20.0875,0.07)); #480498=CARTESIAN_POINT('',(34.1125,20.0875,0.07)); #480499=CARTESIAN_POINT('',(34.1125,20.0875,0.035)); #480500=CARTESIAN_POINT('Origin',(34.1125,20.7125,0.035)); #480501=CARTESIAN_POINT('',(34.1125,20.7125,0.035)); #480502=CARTESIAN_POINT('',(34.1125,20.7125,0.035)); #480503=CARTESIAN_POINT('',(34.1125,20.7125,0.07)); #480504=CARTESIAN_POINT('',(34.1125,20.7125,0.07)); #480505=CARTESIAN_POINT('',(34.1125,20.7125,0.035)); #480506=CARTESIAN_POINT('Origin',(34.3875,20.7125,0.035)); #480507=CARTESIAN_POINT('',(34.3875,20.7125,0.035)); #480508=CARTESIAN_POINT('',(34.3875,20.7125,0.07)); #480509=CARTESIAN_POINT('Origin',(34.25,20.4,0.07)); #480510=CARTESIAN_POINT('Origin',(34.25,20.4,0.035)); #480511=CARTESIAN_POINT('Origin',(37.6571,37.5555,0.035)); #480512=CARTESIAN_POINT('',(37.6571,37.5555,0.035)); #480513=CARTESIAN_POINT('',(37.6571,38.4445,0.035)); #480514=CARTESIAN_POINT('',(37.6571,37.5555,0.035)); #480515=CARTESIAN_POINT('',(37.6571,38.4445,0.07)); #480516=CARTESIAN_POINT('',(37.6571,38.4445,0.035)); #480517=CARTESIAN_POINT('',(37.6571,37.5555,0.07)); #480518=CARTESIAN_POINT('',(37.6571,37.5555,0.07)); #480519=CARTESIAN_POINT('',(37.6571,37.5555,0.035)); #480520=CARTESIAN_POINT('Origin',(36.8189,37.5555,0.035)); #480521=CARTESIAN_POINT('',(36.8189,37.5555,0.035)); #480522=CARTESIAN_POINT('',(36.8189,37.5555,0.035)); #480523=CARTESIAN_POINT('',(36.8189,37.5555,0.07)); #480524=CARTESIAN_POINT('',(36.8189,37.5555,0.07)); #480525=CARTESIAN_POINT('',(36.8189,37.5555,0.035)); #480526=CARTESIAN_POINT('Origin',(36.8189,38.4445,0.035)); #480527=CARTESIAN_POINT('',(36.8189,38.4445,0.035)); #480528=CARTESIAN_POINT('',(36.8189,38.4445,0.035)); #480529=CARTESIAN_POINT('',(36.8189,38.4445,0.07)); #480530=CARTESIAN_POINT('',(36.8189,38.4445,0.07)); #480531=CARTESIAN_POINT('',(36.8189,38.4445,0.035)); #480532=CARTESIAN_POINT('Origin',(37.6571,38.4445,0.035)); #480533=CARTESIAN_POINT('',(37.6571,38.4445,0.035)); #480534=CARTESIAN_POINT('',(37.6571,38.4445,0.07)); #480535=CARTESIAN_POINT('Origin',(37.238,38.,0.07)); #480536=CARTESIAN_POINT('Origin',(37.238,38.,0.035)); #480537=CARTESIAN_POINT('Origin',(29.488,53.,-200.)); #480538=CARTESIAN_POINT('',(29.348,53.,0.0349999999999895)); #480539=CARTESIAN_POINT('Origin',(29.488,53.,0.0349999999999895)); #480540=CARTESIAN_POINT('',(29.348,53.,0.0700000000000145)); #480541=CARTESIAN_POINT('',(29.348,53.,-200.)); #480542=CARTESIAN_POINT('Origin',(29.488,53.,0.0700000000000145)); #480543=CARTESIAN_POINT('Origin',(29.9071,52.5555,0.035)); #480544=CARTESIAN_POINT('',(29.9071,52.5555,0.035)); #480545=CARTESIAN_POINT('',(29.9071,53.4445,0.035)); #480546=CARTESIAN_POINT('',(29.9071,52.5555,0.035)); #480547=CARTESIAN_POINT('',(29.9071,53.4445,0.07)); #480548=CARTESIAN_POINT('',(29.9071,53.4445,0.035)); #480549=CARTESIAN_POINT('',(29.9071,52.5555,0.07)); #480550=CARTESIAN_POINT('',(29.9071,52.5555,0.07)); #480551=CARTESIAN_POINT('',(29.9071,52.5555,0.035)); #480552=CARTESIAN_POINT('Origin',(29.0689,52.5555,0.035)); #480553=CARTESIAN_POINT('',(29.0689,52.5555,0.035)); #480554=CARTESIAN_POINT('',(29.0689,52.5555,0.035)); #480555=CARTESIAN_POINT('',(29.0689,52.5555,0.07)); #480556=CARTESIAN_POINT('',(29.0689,52.5555,0.07)); #480557=CARTESIAN_POINT('',(29.0689,52.5555,0.035)); #480558=CARTESIAN_POINT('Origin',(29.0689,53.4445,0.035)); #480559=CARTESIAN_POINT('',(29.0689,53.4445,0.035)); #480560=CARTESIAN_POINT('',(29.0689,53.4445,0.035)); #480561=CARTESIAN_POINT('',(29.0689,53.4445,0.07)); #480562=CARTESIAN_POINT('',(29.0689,53.4445,0.07)); #480563=CARTESIAN_POINT('',(29.0689,53.4445,0.035)); #480564=CARTESIAN_POINT('Origin',(29.9071,53.4445,0.035)); #480565=CARTESIAN_POINT('',(29.9071,53.4445,0.035)); #480566=CARTESIAN_POINT('',(29.9071,53.4445,0.07)); #480567=CARTESIAN_POINT('Origin',(29.488,53.,0.07)); #480568=CARTESIAN_POINT('Origin',(29.488,53.,0.035)); #480569=CARTESIAN_POINT('Origin',(32.8875,20.0875,0.035)); #480570=CARTESIAN_POINT('',(32.8875,20.0875,0.035)); #480571=CARTESIAN_POINT('',(32.8875,20.7125,0.035)); #480572=CARTESIAN_POINT('',(32.8875,20.0875,0.035)); #480573=CARTESIAN_POINT('',(32.8875,20.7125,0.07)); #480574=CARTESIAN_POINT('',(32.8875,20.7125,0.035)); #480575=CARTESIAN_POINT('',(32.8875,20.0875,0.07)); #480576=CARTESIAN_POINT('',(32.8875,20.0875,0.07)); #480577=CARTESIAN_POINT('',(32.8875,20.0875,0.035)); #480578=CARTESIAN_POINT('Origin',(32.6125,20.0875,0.035)); #480579=CARTESIAN_POINT('',(32.6125,20.0875,0.035)); #480580=CARTESIAN_POINT('',(32.6125,20.0875,0.035)); #480581=CARTESIAN_POINT('',(32.6125,20.0875,0.07)); #480582=CARTESIAN_POINT('',(32.6125,20.0875,0.07)); #480583=CARTESIAN_POINT('',(32.6125,20.0875,0.035)); #480584=CARTESIAN_POINT('Origin',(32.6125,20.7125,0.035)); #480585=CARTESIAN_POINT('',(32.6125,20.7125,0.035)); #480586=CARTESIAN_POINT('',(32.6125,20.7125,0.035)); #480587=CARTESIAN_POINT('',(32.6125,20.7125,0.07)); #480588=CARTESIAN_POINT('',(32.6125,20.7125,0.07)); #480589=CARTESIAN_POINT('',(32.6125,20.7125,0.035)); #480590=CARTESIAN_POINT('Origin',(32.8875,20.7125,0.035)); #480591=CARTESIAN_POINT('',(32.8875,20.7125,0.035)); #480592=CARTESIAN_POINT('',(32.8875,20.7125,0.07)); #480593=CARTESIAN_POINT('Origin',(32.75,20.4,0.07)); #480594=CARTESIAN_POINT('Origin',(32.75,20.4,0.035)); #480595=CARTESIAN_POINT('Origin',(31.1811,37.5555,0.035)); #480596=CARTESIAN_POINT('',(31.1811,37.5555,0.035)); #480597=CARTESIAN_POINT('',(31.1811,38.4445,0.035)); #480598=CARTESIAN_POINT('',(31.1811,37.5555,0.035)); #480599=CARTESIAN_POINT('',(31.1811,38.4445,0.07)); #480600=CARTESIAN_POINT('',(31.1811,38.4445,0.035)); #480601=CARTESIAN_POINT('',(31.1811,37.5555,0.07)); #480602=CARTESIAN_POINT('',(31.1811,37.5555,0.07)); #480603=CARTESIAN_POINT('',(31.1811,37.5555,0.035)); #480604=CARTESIAN_POINT('Origin',(30.3429,37.5555,0.035)); #480605=CARTESIAN_POINT('',(30.3429,37.5555,0.035)); #480606=CARTESIAN_POINT('',(30.3429,37.5555,0.035)); #480607=CARTESIAN_POINT('',(30.3429,37.5555,0.07)); #480608=CARTESIAN_POINT('',(30.3429,37.5555,0.07)); #480609=CARTESIAN_POINT('',(30.3429,37.5555,0.035)); #480610=CARTESIAN_POINT('Origin',(30.3429,38.4445,0.035)); #480611=CARTESIAN_POINT('',(30.3429,38.4445,0.035)); #480612=CARTESIAN_POINT('',(30.3429,38.4445,0.035)); #480613=CARTESIAN_POINT('',(30.3429,38.4445,0.07)); #480614=CARTESIAN_POINT('',(30.3429,38.4445,0.07)); #480615=CARTESIAN_POINT('',(30.3429,38.4445,0.035)); #480616=CARTESIAN_POINT('Origin',(31.1811,38.4445,0.035)); #480617=CARTESIAN_POINT('',(31.1811,38.4445,0.035)); #480618=CARTESIAN_POINT('',(31.1811,38.4445,0.07)); #480619=CARTESIAN_POINT('Origin',(30.762,38.,0.07)); #480620=CARTESIAN_POINT('Origin',(30.762,38.,0.035)); #480621=CARTESIAN_POINT('Origin',(37.7125,18.1125,0.035)); #480622=CARTESIAN_POINT('',(37.7125,18.1125,0.035)); #480623=CARTESIAN_POINT('',(37.7125,18.3875,0.035)); #480624=CARTESIAN_POINT('',(37.7125,18.1125,0.035)); #480625=CARTESIAN_POINT('',(37.7125,18.3875,0.07)); #480626=CARTESIAN_POINT('',(37.7125,18.3875,0.035)); #480627=CARTESIAN_POINT('',(37.7125,18.1125,0.07)); #480628=CARTESIAN_POINT('',(37.7125,18.1125,0.07)); #480629=CARTESIAN_POINT('',(37.7125,18.1125,0.035)); #480630=CARTESIAN_POINT('Origin',(37.0875,18.1125,0.035)); #480631=CARTESIAN_POINT('',(37.0875,18.1125,0.035)); #480632=CARTESIAN_POINT('',(37.0875,18.1125,0.035)); #480633=CARTESIAN_POINT('',(37.0875,18.1125,0.07)); #480634=CARTESIAN_POINT('',(37.0875,18.1125,0.07)); #480635=CARTESIAN_POINT('',(37.0875,18.1125,0.035)); #480636=CARTESIAN_POINT('Origin',(37.0875,18.3875,0.035)); #480637=CARTESIAN_POINT('',(37.0875,18.3875,0.035)); #480638=CARTESIAN_POINT('',(37.0875,18.3875,0.035)); #480639=CARTESIAN_POINT('',(37.0875,18.3875,0.07)); #480640=CARTESIAN_POINT('',(37.0875,18.3875,0.07)); #480641=CARTESIAN_POINT('',(37.0875,18.3875,0.035)); #480642=CARTESIAN_POINT('Origin',(37.7125,18.3875,0.035)); #480643=CARTESIAN_POINT('',(37.7125,18.3875,0.035)); #480644=CARTESIAN_POINT('',(37.7125,18.3875,0.07)); #480645=CARTESIAN_POINT('Origin',(37.4,18.25,0.07)); #480646=CARTESIAN_POINT('Origin',(37.4,18.25,0.035)); #480647=CARTESIAN_POINT('Origin',(43.9,15.825,0.035)); #480648=CARTESIAN_POINT('',(43.9,15.825,0.035)); #480649=CARTESIAN_POINT('',(43.9,16.225,0.035)); #480650=CARTESIAN_POINT('',(43.9,15.825,0.035)); #480651=CARTESIAN_POINT('',(43.9,16.225,0.07)); #480652=CARTESIAN_POINT('',(43.9,16.225,0.035)); #480653=CARTESIAN_POINT('',(43.9,15.825,0.07)); #480654=CARTESIAN_POINT('',(43.9,15.825,0.07)); #480655=CARTESIAN_POINT('',(43.9,15.825,0.035)); #480656=CARTESIAN_POINT('Origin',(43.1,15.825,0.035)); #480657=CARTESIAN_POINT('',(43.1,15.825,0.035)); #480658=CARTESIAN_POINT('',(43.1,15.825,0.035)); #480659=CARTESIAN_POINT('',(43.1,15.825,0.07)); #480660=CARTESIAN_POINT('',(43.1,15.825,0.07)); #480661=CARTESIAN_POINT('',(43.1,15.825,0.035)); #480662=CARTESIAN_POINT('Origin',(43.1,16.225,0.035)); #480663=CARTESIAN_POINT('',(43.1,16.225,0.035)); #480664=CARTESIAN_POINT('',(43.1,16.225,0.035)); #480665=CARTESIAN_POINT('',(43.1,16.225,0.07)); #480666=CARTESIAN_POINT('',(43.1,16.225,0.07)); #480667=CARTESIAN_POINT('',(43.1,16.225,0.035)); #480668=CARTESIAN_POINT('Origin',(43.9,16.225,0.035)); #480669=CARTESIAN_POINT('',(43.9,16.225,0.035)); #480670=CARTESIAN_POINT('',(43.9,16.225,0.07)); #480671=CARTESIAN_POINT('Origin',(43.5,16.025,0.07)); #480672=CARTESIAN_POINT('Origin',(43.5,16.025,0.035)); #480673=CARTESIAN_POINT('Origin',(20.4445,41.3429,0.035)); #480674=CARTESIAN_POINT('',(20.4445,41.3429,0.035)); #480675=CARTESIAN_POINT('',(20.4445,42.1811,0.035)); #480676=CARTESIAN_POINT('',(20.4445,41.3429,0.035)); #480677=CARTESIAN_POINT('',(20.4445,42.1811,0.07)); #480678=CARTESIAN_POINT('',(20.4445,42.1811,0.035)); #480679=CARTESIAN_POINT('',(20.4445,41.3429,0.07)); #480680=CARTESIAN_POINT('',(20.4445,41.3429,0.07)); #480681=CARTESIAN_POINT('',(20.4445,41.3429,0.035)); #480682=CARTESIAN_POINT('Origin',(19.5555,41.3429,0.035)); #480683=CARTESIAN_POINT('',(19.5555,41.3429,0.035)); #480684=CARTESIAN_POINT('',(19.5555,41.3429,0.035)); #480685=CARTESIAN_POINT('',(19.5555,41.3429,0.07)); #480686=CARTESIAN_POINT('',(19.5555,41.3429,0.07)); #480687=CARTESIAN_POINT('',(19.5555,41.3429,0.035)); #480688=CARTESIAN_POINT('Origin',(19.5555,42.1811,0.035)); #480689=CARTESIAN_POINT('',(19.5555,42.1811,0.035)); #480690=CARTESIAN_POINT('',(19.5555,42.1811,0.035)); #480691=CARTESIAN_POINT('',(19.5555,42.1811,0.07)); #480692=CARTESIAN_POINT('',(19.5555,42.1811,0.07)); #480693=CARTESIAN_POINT('',(19.5555,42.1811,0.035)); #480694=CARTESIAN_POINT('Origin',(20.4445,42.1811,0.035)); #480695=CARTESIAN_POINT('',(20.4445,42.1811,0.035)); #480696=CARTESIAN_POINT('',(20.4445,42.1811,0.07)); #480697=CARTESIAN_POINT('Origin',(20.,41.762,0.07)); #480698=CARTESIAN_POINT('Origin',(20.,41.762,0.035)); #480699=CARTESIAN_POINT('Origin',(24.4445,41.3429,0.035)); #480700=CARTESIAN_POINT('',(24.4445,41.3429,0.035)); #480701=CARTESIAN_POINT('',(24.4445,42.1811,0.035)); #480702=CARTESIAN_POINT('',(24.4445,41.3429,0.035)); #480703=CARTESIAN_POINT('',(24.4445,42.1811,0.07)); #480704=CARTESIAN_POINT('',(24.4445,42.1811,0.035)); #480705=CARTESIAN_POINT('',(24.4445,41.3429,0.07)); #480706=CARTESIAN_POINT('',(24.4445,41.3429,0.07)); #480707=CARTESIAN_POINT('',(24.4445,41.3429,0.035)); #480708=CARTESIAN_POINT('Origin',(23.5555,41.3429,0.035)); #480709=CARTESIAN_POINT('',(23.5555,41.3429,0.035)); #480710=CARTESIAN_POINT('',(23.5555,41.3429,0.035)); #480711=CARTESIAN_POINT('',(23.5555,41.3429,0.07)); #480712=CARTESIAN_POINT('',(23.5555,41.3429,0.07)); #480713=CARTESIAN_POINT('',(23.5555,41.3429,0.035)); #480714=CARTESIAN_POINT('Origin',(23.5555,42.1811,0.035)); #480715=CARTESIAN_POINT('',(23.5555,42.1811,0.035)); #480716=CARTESIAN_POINT('',(23.5555,42.1811,0.035)); #480717=CARTESIAN_POINT('',(23.5555,42.1811,0.07)); #480718=CARTESIAN_POINT('',(23.5555,42.1811,0.07)); #480719=CARTESIAN_POINT('',(23.5555,42.1811,0.035)); #480720=CARTESIAN_POINT('Origin',(24.4445,42.1811,0.035)); #480721=CARTESIAN_POINT('',(24.4445,42.1811,0.035)); #480722=CARTESIAN_POINT('',(24.4445,42.1811,0.07)); #480723=CARTESIAN_POINT('Origin',(24.,41.762,0.07)); #480724=CARTESIAN_POINT('Origin',(24.,41.762,0.035)); #480725=CARTESIAN_POINT('Origin',(48.4445,41.3429,0.035)); #480726=CARTESIAN_POINT('',(48.4445,41.3429,0.035)); #480727=CARTESIAN_POINT('',(48.4445,42.1811,0.035)); #480728=CARTESIAN_POINT('',(48.4445,41.3429,0.035)); #480729=CARTESIAN_POINT('',(48.4445,42.1811,0.07)); #480730=CARTESIAN_POINT('',(48.4445,42.1811,0.035)); #480731=CARTESIAN_POINT('',(48.4445,41.3429,0.07)); #480732=CARTESIAN_POINT('',(48.4445,41.3429,0.07)); #480733=CARTESIAN_POINT('',(48.4445,41.3429,0.035)); #480734=CARTESIAN_POINT('Origin',(47.5555,41.3429,0.035)); #480735=CARTESIAN_POINT('',(47.5555,41.3429,0.035)); #480736=CARTESIAN_POINT('',(47.5555,41.3429,0.035)); #480737=CARTESIAN_POINT('',(47.5555,41.3429,0.07)); #480738=CARTESIAN_POINT('',(47.5555,41.3429,0.07)); #480739=CARTESIAN_POINT('',(47.5555,41.3429,0.035)); #480740=CARTESIAN_POINT('Origin',(47.5555,42.1811,0.035)); #480741=CARTESIAN_POINT('',(47.5555,42.1811,0.035)); #480742=CARTESIAN_POINT('',(47.5555,42.1811,0.035)); #480743=CARTESIAN_POINT('',(47.5555,42.1811,0.07)); #480744=CARTESIAN_POINT('',(47.5555,42.1811,0.07)); #480745=CARTESIAN_POINT('',(47.5555,42.1811,0.035)); #480746=CARTESIAN_POINT('Origin',(48.4445,42.1811,0.035)); #480747=CARTESIAN_POINT('',(48.4445,42.1811,0.035)); #480748=CARTESIAN_POINT('',(48.4445,42.1811,0.07)); #480749=CARTESIAN_POINT('Origin',(48.,41.762,0.07)); #480750=CARTESIAN_POINT('Origin',(48.,41.762,0.035)); #480751=CARTESIAN_POINT('Origin',(24.1811,25.5555,0.035)); #480752=CARTESIAN_POINT('',(24.1811,25.5555,0.035)); #480753=CARTESIAN_POINT('',(24.1811,26.4445,0.035)); #480754=CARTESIAN_POINT('',(24.1811,25.5555,0.035)); #480755=CARTESIAN_POINT('',(24.1811,26.4445,0.07)); #480756=CARTESIAN_POINT('',(24.1811,26.4445,0.035)); #480757=CARTESIAN_POINT('',(24.1811,25.5555,0.07)); #480758=CARTESIAN_POINT('',(24.1811,25.5555,0.07)); #480759=CARTESIAN_POINT('',(24.1811,25.5555,0.035)); #480760=CARTESIAN_POINT('Origin',(23.3429,25.5555,0.035)); #480761=CARTESIAN_POINT('',(23.3429,25.5555,0.035)); #480762=CARTESIAN_POINT('',(23.3429,25.5555,0.035)); #480763=CARTESIAN_POINT('',(23.3429,25.5555,0.07)); #480764=CARTESIAN_POINT('',(23.3429,25.5555,0.07)); #480765=CARTESIAN_POINT('',(23.3429,25.5555,0.035)); #480766=CARTESIAN_POINT('Origin',(23.3429,26.4445,0.035)); #480767=CARTESIAN_POINT('',(23.3429,26.4445,0.035)); #480768=CARTESIAN_POINT('',(23.3429,26.4445,0.035)); #480769=CARTESIAN_POINT('',(23.3429,26.4445,0.07)); #480770=CARTESIAN_POINT('',(23.3429,26.4445,0.07)); #480771=CARTESIAN_POINT('',(23.3429,26.4445,0.035)); #480772=CARTESIAN_POINT('Origin',(24.1811,26.4445,0.035)); #480773=CARTESIAN_POINT('',(24.1811,26.4445,0.035)); #480774=CARTESIAN_POINT('',(24.1811,26.4445,0.07)); #480775=CARTESIAN_POINT('Origin',(23.762,26.,0.07)); #480776=CARTESIAN_POINT('Origin',(23.762,26.,0.035)); #480777=CARTESIAN_POINT('Origin',(30.9125,18.6125,0.035)); #480778=CARTESIAN_POINT('',(30.9125,18.6125,0.035)); #480779=CARTESIAN_POINT('',(30.9125,18.8875,0.035)); #480780=CARTESIAN_POINT('',(30.9125,18.6125,0.035)); #480781=CARTESIAN_POINT('',(30.9125,18.8875,0.07)); #480782=CARTESIAN_POINT('',(30.9125,18.8875,0.035)); #480783=CARTESIAN_POINT('',(30.9125,18.6125,0.07)); #480784=CARTESIAN_POINT('',(30.9125,18.6125,0.07)); #480785=CARTESIAN_POINT('',(30.9125,18.6125,0.035)); #480786=CARTESIAN_POINT('Origin',(30.2875,18.6125,0.035)); #480787=CARTESIAN_POINT('',(30.2875,18.6125,0.035)); #480788=CARTESIAN_POINT('',(30.2875,18.6125,0.035)); #480789=CARTESIAN_POINT('',(30.2875,18.6125,0.07)); #480790=CARTESIAN_POINT('',(30.2875,18.6125,0.07)); #480791=CARTESIAN_POINT('',(30.2875,18.6125,0.035)); #480792=CARTESIAN_POINT('Origin',(30.2875,18.8875,0.035)); #480793=CARTESIAN_POINT('',(30.2875,18.8875,0.035)); #480794=CARTESIAN_POINT('',(30.2875,18.8875,0.035)); #480795=CARTESIAN_POINT('',(30.2875,18.8875,0.07)); #480796=CARTESIAN_POINT('',(30.2875,18.8875,0.07)); #480797=CARTESIAN_POINT('',(30.2875,18.8875,0.035)); #480798=CARTESIAN_POINT('Origin',(30.9125,18.8875,0.035)); #480799=CARTESIAN_POINT('',(30.9125,18.8875,0.035)); #480800=CARTESIAN_POINT('',(30.9125,18.8875,0.07)); #480801=CARTESIAN_POINT('Origin',(30.6,18.75,0.07)); #480802=CARTESIAN_POINT('Origin',(30.6,18.75,0.035)); #480803=CARTESIAN_POINT('Origin',(18.1811,50.0555,0.035)); #480804=CARTESIAN_POINT('',(18.1811,50.0555,0.035)); #480805=CARTESIAN_POINT('',(18.1811,50.9445,0.035)); #480806=CARTESIAN_POINT('',(18.1811,50.0555,0.035)); #480807=CARTESIAN_POINT('',(18.1811,50.9445,0.07)); #480808=CARTESIAN_POINT('',(18.1811,50.9445,0.035)); #480809=CARTESIAN_POINT('',(18.1811,50.0555,0.07)); #480810=CARTESIAN_POINT('',(18.1811,50.0555,0.07)); #480811=CARTESIAN_POINT('',(18.1811,50.0555,0.035)); #480812=CARTESIAN_POINT('Origin',(17.3429,50.0555,0.035)); #480813=CARTESIAN_POINT('',(17.3429,50.0555,0.035)); #480814=CARTESIAN_POINT('',(17.3429,50.0555,0.035)); #480815=CARTESIAN_POINT('',(17.3429,50.0555,0.07)); #480816=CARTESIAN_POINT('',(17.3429,50.0555,0.07)); #480817=CARTESIAN_POINT('',(17.3429,50.0555,0.035)); #480818=CARTESIAN_POINT('Origin',(17.3429,50.9445,0.035)); #480819=CARTESIAN_POINT('',(17.3429,50.9445,0.035)); #480820=CARTESIAN_POINT('',(17.3429,50.9445,0.035)); #480821=CARTESIAN_POINT('',(17.3429,50.9445,0.07)); #480822=CARTESIAN_POINT('',(17.3429,50.9445,0.07)); #480823=CARTESIAN_POINT('',(17.3429,50.9445,0.035)); #480824=CARTESIAN_POINT('Origin',(18.1811,50.9445,0.035)); #480825=CARTESIAN_POINT('',(18.1811,50.9445,0.035)); #480826=CARTESIAN_POINT('',(18.1811,50.9445,0.07)); #480827=CARTESIAN_POINT('Origin',(17.762,50.5,0.07)); #480828=CARTESIAN_POINT('Origin',(17.762,50.5,0.035)); #480829=CARTESIAN_POINT('Origin',(31.3875,20.0875,0.035)); #480830=CARTESIAN_POINT('',(31.3875,20.0875,0.035)); #480831=CARTESIAN_POINT('',(31.3875,20.7125,0.035)); #480832=CARTESIAN_POINT('',(31.3875,20.0875,0.035)); #480833=CARTESIAN_POINT('',(31.3875,20.7125,0.07)); #480834=CARTESIAN_POINT('',(31.3875,20.7125,0.035)); #480835=CARTESIAN_POINT('',(31.3875,20.0875,0.07)); #480836=CARTESIAN_POINT('',(31.3875,20.0875,0.07)); #480837=CARTESIAN_POINT('',(31.3875,20.0875,0.035)); #480838=CARTESIAN_POINT('Origin',(31.1125,20.0875,0.035)); #480839=CARTESIAN_POINT('',(31.1125,20.0875,0.035)); #480840=CARTESIAN_POINT('',(31.1125,20.0875,0.035)); #480841=CARTESIAN_POINT('',(31.1125,20.0875,0.07)); #480842=CARTESIAN_POINT('',(31.1125,20.0875,0.07)); #480843=CARTESIAN_POINT('',(31.1125,20.0875,0.035)); #480844=CARTESIAN_POINT('Origin',(31.1125,20.7125,0.035)); #480845=CARTESIAN_POINT('',(31.1125,20.7125,0.035)); #480846=CARTESIAN_POINT('',(31.1125,20.7125,0.035)); #480847=CARTESIAN_POINT('',(31.1125,20.7125,0.07)); #480848=CARTESIAN_POINT('',(31.1125,20.7125,0.07)); #480849=CARTESIAN_POINT('',(31.1125,20.7125,0.035)); #480850=CARTESIAN_POINT('Origin',(31.3875,20.7125,0.035)); #480851=CARTESIAN_POINT('',(31.3875,20.7125,0.035)); #480852=CARTESIAN_POINT('',(31.3875,20.7125,0.07)); #480853=CARTESIAN_POINT('Origin',(31.25,20.4,0.07)); #480854=CARTESIAN_POINT('Origin',(31.25,20.4,0.035)); #480855=CARTESIAN_POINT('Origin',(16.625,47.75,-200.)); #480856=CARTESIAN_POINT('',(16.7428972009846,47.8255,0.0349999999999895)); #480857=CARTESIAN_POINT('',(16.7428972009846,47.8255,0.0700000000000145)); #480858=CARTESIAN_POINT('',(16.7428972009846,47.8255,-200.)); #480859=CARTESIAN_POINT('',(16.5071027990154,47.8255,0.0349999999999895)); #480860=CARTESIAN_POINT('Origin',(16.625,47.75,0.0349999999999895)); #480861=CARTESIAN_POINT('',(16.5071027990154,47.8255,0.0700000000000145)); #480862=CARTESIAN_POINT('',(16.5071027990154,47.8255,-200.)); #480863=CARTESIAN_POINT('Origin',(16.625,47.75,0.0700000000000145)); #480864=CARTESIAN_POINT('Origin',(15.75,47.75,-200.)); #480865=CARTESIAN_POINT('',(15.8678972009846,47.8255,0.0349999999999895)); #480866=CARTESIAN_POINT('',(15.8678972009846,47.8255,0.0700000000000145)); #480867=CARTESIAN_POINT('',(15.8678972009846,47.8255,-200.)); #480868=CARTESIAN_POINT('',(15.6858,47.6255879427065,0.0349999999999895)); #480869=CARTESIAN_POINT('Origin',(15.75,47.75,0.0349999999999895)); #480870=CARTESIAN_POINT('',(15.6858,47.6255879427065,0.0700000000000145)); #480871=CARTESIAN_POINT('',(15.6858,47.6255879427065,-200.)); #480872=CARTESIAN_POINT('Origin',(15.75,47.75,0.0700000000000145)); #480873=CARTESIAN_POINT('Origin',(16.625,47.,-200.)); #480874=CARTESIAN_POINT('',(16.485,47.,0.0349999999999895)); #480875=CARTESIAN_POINT('Origin',(16.625,47.,0.0349999999999895)); #480876=CARTESIAN_POINT('',(16.485,47.,0.0700000000000145)); #480877=CARTESIAN_POINT('',(16.485,47.,-200.)); #480878=CARTESIAN_POINT('Origin',(16.625,47.,0.0700000000000145)); #480879=CARTESIAN_POINT('Origin',(16.625,46.25,-200.)); #480880=CARTESIAN_POINT('',(16.5071027990154,46.1745,0.0349999999999895)); #480881=CARTESIAN_POINT('',(16.5071027990154,46.1745,0.0700000000000145)); #480882=CARTESIAN_POINT('',(16.5071027990154,46.1745,-200.)); #480883=CARTESIAN_POINT('',(16.7428972009846,46.1745,0.0349999999999895)); #480884=CARTESIAN_POINT('Origin',(16.625,46.25,0.0349999999999895)); #480885=CARTESIAN_POINT('',(16.7428972009846,46.1745,0.0700000000000145)); #480886=CARTESIAN_POINT('',(16.7428972009846,46.1745,-200.)); #480887=CARTESIAN_POINT('Origin',(16.625,46.25,0.0700000000000145)); #480888=CARTESIAN_POINT('Origin',(17.5,47.75,-200.)); #480889=CARTESIAN_POINT('',(17.3622,47.7252783495696,0.0349999999999895)); #480890=CARTESIAN_POINT('',(17.3622,47.7252783495696,0.0700000000000145)); #480891=CARTESIAN_POINT('',(17.3622,47.7252783495696,-200.)); #480892=CARTESIAN_POINT('',(17.3622,47.7747216504304,0.0349999999999895)); #480893=CARTESIAN_POINT('Origin',(17.5,47.75,0.0349999999999895)); #480894=CARTESIAN_POINT('',(17.3622,47.7747216504304,0.0700000000000145)); #480895=CARTESIAN_POINT('',(17.3622,47.7747216504304,-200.)); #480896=CARTESIAN_POINT('Origin',(17.5,47.75,0.0700000000000145)); #480897=CARTESIAN_POINT('Origin',(17.5,46.25,-200.)); #480898=CARTESIAN_POINT('',(17.3622,46.2252783495696,0.0349999999999895)); #480899=CARTESIAN_POINT('',(17.3622,46.2252783495696,0.0700000000000145)); #480900=CARTESIAN_POINT('',(17.3622,46.2252783495696,-200.)); #480901=CARTESIAN_POINT('',(17.3622,46.2747216504304,0.0349999999999895)); #480902=CARTESIAN_POINT('Origin',(17.5,46.25,0.0349999999999895)); #480903=CARTESIAN_POINT('',(17.3622,46.2747216504304,0.0700000000000145)); #480904=CARTESIAN_POINT('',(17.3622,46.2747216504304,-200.)); #480905=CARTESIAN_POINT('Origin',(17.5,46.25,0.0700000000000145)); #480906=CARTESIAN_POINT('Origin',(17.5,47.,-200.)); #480907=CARTESIAN_POINT('',(17.3622,46.9752783495696,0.0349999999999895)); #480908=CARTESIAN_POINT('',(17.3622,46.9752783495696,0.0700000000000145)); #480909=CARTESIAN_POINT('',(17.3622,46.9752783495696,-200.)); #480910=CARTESIAN_POINT('',(17.3622,47.0247216504304,0.0349999999999895)); #480911=CARTESIAN_POINT('Origin',(17.5,47.,0.0349999999999895)); #480912=CARTESIAN_POINT('',(17.3622,47.0247216504304,0.0700000000000145)); #480913=CARTESIAN_POINT('',(17.3622,47.0247216504304,-200.)); #480914=CARTESIAN_POINT('Origin',(17.5,47.,0.0700000000000145)); #480915=CARTESIAN_POINT('Origin',(15.75,46.25,-200.)); #480916=CARTESIAN_POINT('',(15.6858,46.3744120572935,0.0349999999999895)); #480917=CARTESIAN_POINT('',(15.6858,46.3744120572935,0.0700000000000145)); #480918=CARTESIAN_POINT('',(15.6858,46.3744120572935,-200.)); #480919=CARTESIAN_POINT('',(15.8678972009846,46.1745,0.0349999999999895)); #480920=CARTESIAN_POINT('Origin',(15.75,46.25,0.0349999999999895)); #480921=CARTESIAN_POINT('',(15.8678972009846,46.1745,0.0700000000000145)); #480922=CARTESIAN_POINT('',(15.8678972009846,46.1745,-200.)); #480923=CARTESIAN_POINT('Origin',(15.75,46.25,0.0700000000000145)); #480924=CARTESIAN_POINT('Origin',(15.75,47.,-200.)); #480925=CARTESIAN_POINT('',(15.6858,46.8755879427065,0.0700000000000145)); #480926=CARTESIAN_POINT('',(15.6858,46.8755879427065,0.0349999999999895)); #480927=CARTESIAN_POINT('',(15.6858,46.8755879427065,-200.)); #480928=CARTESIAN_POINT('',(15.6858,47.1244120572935,0.0700000000000145)); #480929=CARTESIAN_POINT('Origin',(15.75,47.,0.0700000000000145)); #480930=CARTESIAN_POINT('',(15.6858,47.1244120572935,0.0349999999999895)); #480931=CARTESIAN_POINT('',(15.6858,47.1244120572935,-200.)); #480932=CARTESIAN_POINT('Origin',(15.75,47.,0.0349999999999895)); #480933=CARTESIAN_POINT('Origin',(17.3622,47.8255,0.035)); #480934=CARTESIAN_POINT('',(17.3622,47.8255,0.07)); #480935=CARTESIAN_POINT('',(17.3622,47.8255,0.07)); #480936=CARTESIAN_POINT('',(17.3622,47.8255,0.035)); #480937=CARTESIAN_POINT('',(17.3622,47.8255,0.035)); #480938=CARTESIAN_POINT('',(17.3622,47.8255,0.035)); #480939=CARTESIAN_POINT('Origin',(17.3622,47.8255,0.035)); #480940=CARTESIAN_POINT('',(17.3622,47.8255,0.035)); #480941=CARTESIAN_POINT('',(17.3622,47.8255,0.07)); #480942=CARTESIAN_POINT('Origin',(17.3622,46.1745,0.035)); #480943=CARTESIAN_POINT('',(17.3622,46.1745,0.07)); #480944=CARTESIAN_POINT('',(17.3622,46.1745,0.07)); #480945=CARTESIAN_POINT('',(17.3622,46.1745,0.035)); #480946=CARTESIAN_POINT('',(17.3622,46.1745,0.035)); #480947=CARTESIAN_POINT('',(17.3622,46.1745,0.035)); #480948=CARTESIAN_POINT('Origin',(17.3622,46.1745,0.035)); #480949=CARTESIAN_POINT('',(17.3622,46.1745,0.035)); #480950=CARTESIAN_POINT('',(17.3622,46.1745,0.07)); #480951=CARTESIAN_POINT('Origin',(17.3622,46.1745,0.035)); #480952=CARTESIAN_POINT('',(17.3622,46.1745,0.07)); #480953=CARTESIAN_POINT('',(17.3622,46.1745,0.035)); #480954=CARTESIAN_POINT('Origin',(17.3622,46.1745,0.035)); #480955=CARTESIAN_POINT('',(17.3622,46.1745,0.035)); #480956=CARTESIAN_POINT('',(17.3622,46.1745,0.07)); #480957=CARTESIAN_POINT('Origin',(15.6858,46.1745,0.035)); #480958=CARTESIAN_POINT('',(15.6858,46.1745,0.07)); #480959=CARTESIAN_POINT('',(15.6858,46.1745,0.035)); #480960=CARTESIAN_POINT('Origin',(15.6858,46.1745,0.035)); #480961=CARTESIAN_POINT('',(15.6858,46.1745,0.035)); #480962=CARTESIAN_POINT('',(15.6858,46.1745,0.07)); #480963=CARTESIAN_POINT('Origin',(15.6858,47.8255,0.035)); #480964=CARTESIAN_POINT('',(15.6858,47.8255,0.07)); #480965=CARTESIAN_POINT('',(15.6858,47.8255,0.035)); #480966=CARTESIAN_POINT('Origin',(15.6858,47.8255,0.035)); #480967=CARTESIAN_POINT('',(15.6858,47.8255,0.035)); #480968=CARTESIAN_POINT('',(15.6858,47.8255,0.07)); #480969=CARTESIAN_POINT('Origin',(16.524,47.,0.035)); #480970=CARTESIAN_POINT('Origin',(16.524,47.,0.07)); #480971=CARTESIAN_POINT('Origin',(30.762,60.25,-200.)); #480972=CARTESIAN_POINT('',(30.622,60.25,0.0349999999999895)); #480973=CARTESIAN_POINT('Origin',(30.762,60.25,0.0349999999999895)); #480974=CARTESIAN_POINT('',(30.622,60.25,0.0700000000000145)); #480975=CARTESIAN_POINT('',(30.622,60.25,-200.)); #480976=CARTESIAN_POINT('Origin',(30.762,60.25,0.0700000000000145)); #480977=CARTESIAN_POINT('Origin',(31.1811,59.8055,0.035)); #480978=CARTESIAN_POINT('',(31.1811,59.8055,0.035)); #480979=CARTESIAN_POINT('',(31.1811,60.6945,0.035)); #480980=CARTESIAN_POINT('',(31.1811,59.8055,0.035)); #480981=CARTESIAN_POINT('',(31.1811,60.6945,0.07)); #480982=CARTESIAN_POINT('',(31.1811,60.6945,0.035)); #480983=CARTESIAN_POINT('',(31.1811,59.8055,0.07)); #480984=CARTESIAN_POINT('',(31.1811,59.8055,0.07)); #480985=CARTESIAN_POINT('',(31.1811,59.8055,0.035)); #480986=CARTESIAN_POINT('Origin',(30.3429,59.8055,0.035)); #480987=CARTESIAN_POINT('',(30.3429,59.8055,0.035)); #480988=CARTESIAN_POINT('',(30.3429,59.8055,0.035)); #480989=CARTESIAN_POINT('',(30.3429,59.8055,0.07)); #480990=CARTESIAN_POINT('',(30.3429,59.8055,0.07)); #480991=CARTESIAN_POINT('',(30.3429,59.8055,0.035)); #480992=CARTESIAN_POINT('Origin',(30.3429,60.6945,0.035)); #480993=CARTESIAN_POINT('',(30.3429,60.6945,0.035)); #480994=CARTESIAN_POINT('',(30.3429,60.6945,0.035)); #480995=CARTESIAN_POINT('',(30.3429,60.6945,0.07)); #480996=CARTESIAN_POINT('',(30.3429,60.6945,0.07)); #480997=CARTESIAN_POINT('',(30.3429,60.6945,0.035)); #480998=CARTESIAN_POINT('Origin',(31.1811,60.6945,0.035)); #480999=CARTESIAN_POINT('',(31.1811,60.6945,0.035)); #481000=CARTESIAN_POINT('',(31.1811,60.6945,0.07)); #481001=CARTESIAN_POINT('Origin',(30.762,60.25,0.07)); #481002=CARTESIAN_POINT('Origin',(30.762,60.25,0.035)); #481003=CARTESIAN_POINT('Origin',(29.6571,59.8055,0.035)); #481004=CARTESIAN_POINT('',(29.6571,59.8055,0.035)); #481005=CARTESIAN_POINT('',(29.6571,60.6945,0.035)); #481006=CARTESIAN_POINT('',(29.6571,59.8055,0.035)); #481007=CARTESIAN_POINT('',(29.6571,60.6945,0.07)); #481008=CARTESIAN_POINT('',(29.6571,60.6945,0.035)); #481009=CARTESIAN_POINT('',(29.6571,59.8055,0.07)); #481010=CARTESIAN_POINT('',(29.6571,59.8055,0.07)); #481011=CARTESIAN_POINT('',(29.6571,59.8055,0.035)); #481012=CARTESIAN_POINT('Origin',(28.8189,59.8055,0.035)); #481013=CARTESIAN_POINT('',(28.8189,59.8055,0.035)); #481014=CARTESIAN_POINT('',(28.8189,59.8055,0.035)); #481015=CARTESIAN_POINT('',(28.8189,59.8055,0.07)); #481016=CARTESIAN_POINT('',(28.8189,59.8055,0.07)); #481017=CARTESIAN_POINT('',(28.8189,59.8055,0.035)); #481018=CARTESIAN_POINT('Origin',(28.8189,60.6945,0.035)); #481019=CARTESIAN_POINT('',(28.8189,60.6945,0.035)); #481020=CARTESIAN_POINT('',(28.8189,60.6945,0.035)); #481021=CARTESIAN_POINT('',(28.8189,60.6945,0.07)); #481022=CARTESIAN_POINT('',(28.8189,60.6945,0.07)); #481023=CARTESIAN_POINT('',(28.8189,60.6945,0.035)); #481024=CARTESIAN_POINT('Origin',(29.6571,60.6945,0.035)); #481025=CARTESIAN_POINT('',(29.6571,60.6945,0.035)); #481026=CARTESIAN_POINT('',(29.6571,60.6945,0.07)); #481027=CARTESIAN_POINT('Origin',(29.238,60.25,0.07)); #481028=CARTESIAN_POINT('Origin',(29.238,60.25,0.035)); #481029=CARTESIAN_POINT('Origin',(37.7125,16.1125,0.035)); #481030=CARTESIAN_POINT('',(37.7125,16.1125,0.035)); #481031=CARTESIAN_POINT('',(37.7125,16.3875,0.035)); #481032=CARTESIAN_POINT('',(37.7125,16.1125,0.035)); #481033=CARTESIAN_POINT('',(37.7125,16.3875,0.07)); #481034=CARTESIAN_POINT('',(37.7125,16.3875,0.035)); #481035=CARTESIAN_POINT('',(37.7125,16.1125,0.07)); #481036=CARTESIAN_POINT('',(37.7125,16.1125,0.07)); #481037=CARTESIAN_POINT('',(37.7125,16.1125,0.035)); #481038=CARTESIAN_POINT('Origin',(37.0875,16.1125,0.035)); #481039=CARTESIAN_POINT('',(37.0875,16.1125,0.035)); #481040=CARTESIAN_POINT('',(37.0875,16.1125,0.035)); #481041=CARTESIAN_POINT('',(37.0875,16.1125,0.07)); #481042=CARTESIAN_POINT('',(37.0875,16.1125,0.07)); #481043=CARTESIAN_POINT('',(37.0875,16.1125,0.035)); #481044=CARTESIAN_POINT('Origin',(37.0875,16.3875,0.035)); #481045=CARTESIAN_POINT('',(37.0875,16.3875,0.035)); #481046=CARTESIAN_POINT('',(37.0875,16.3875,0.035)); #481047=CARTESIAN_POINT('',(37.0875,16.3875,0.07)); #481048=CARTESIAN_POINT('',(37.0875,16.3875,0.07)); #481049=CARTESIAN_POINT('',(37.0875,16.3875,0.035)); #481050=CARTESIAN_POINT('Origin',(37.7125,16.3875,0.035)); #481051=CARTESIAN_POINT('',(37.7125,16.3875,0.035)); #481052=CARTESIAN_POINT('',(37.7125,16.3875,0.07)); #481053=CARTESIAN_POINT('Origin',(37.4,16.25,0.07)); #481054=CARTESIAN_POINT('Origin',(37.4,16.25,0.035)); #481055=CARTESIAN_POINT('Origin',(54.6571,50.0555,0.035)); #481056=CARTESIAN_POINT('',(54.6571,50.0555,0.035)); #481057=CARTESIAN_POINT('',(54.6571,50.9445,0.035)); #481058=CARTESIAN_POINT('',(54.6571,50.0555,0.035)); #481059=CARTESIAN_POINT('',(54.6571,50.9445,0.07)); #481060=CARTESIAN_POINT('',(54.6571,50.9445,0.035)); #481061=CARTESIAN_POINT('',(54.6571,50.0555,0.07)); #481062=CARTESIAN_POINT('',(54.6571,50.0555,0.07)); #481063=CARTESIAN_POINT('',(54.6571,50.0555,0.035)); #481064=CARTESIAN_POINT('Origin',(53.8189,50.0555,0.035)); #481065=CARTESIAN_POINT('',(53.8189,50.0555,0.035)); #481066=CARTESIAN_POINT('',(53.8189,50.0555,0.035)); #481067=CARTESIAN_POINT('',(53.8189,50.0555,0.07)); #481068=CARTESIAN_POINT('',(53.8189,50.0555,0.07)); #481069=CARTESIAN_POINT('',(53.8189,50.0555,0.035)); #481070=CARTESIAN_POINT('Origin',(53.8189,50.9445,0.035)); #481071=CARTESIAN_POINT('',(53.8189,50.9445,0.035)); #481072=CARTESIAN_POINT('',(53.8189,50.9445,0.035)); #481073=CARTESIAN_POINT('',(53.8189,50.9445,0.07)); #481074=CARTESIAN_POINT('',(53.8189,50.9445,0.07)); #481075=CARTESIAN_POINT('',(53.8189,50.9445,0.035)); #481076=CARTESIAN_POINT('Origin',(54.6571,50.9445,0.035)); #481077=CARTESIAN_POINT('',(54.6571,50.9445,0.035)); #481078=CARTESIAN_POINT('',(54.6571,50.9445,0.07)); #481079=CARTESIAN_POINT('Origin',(54.238,50.5,0.07)); #481080=CARTESIAN_POINT('Origin',(54.238,50.5,0.035)); #481081=CARTESIAN_POINT('Origin',(36.3875,20.0875,0.035)); #481082=CARTESIAN_POINT('',(36.3875,20.0875,0.035)); #481083=CARTESIAN_POINT('',(36.3875,20.7125,0.035)); #481084=CARTESIAN_POINT('',(36.3875,20.0875,0.035)); #481085=CARTESIAN_POINT('',(36.3875,20.7125,0.07)); #481086=CARTESIAN_POINT('',(36.3875,20.7125,0.035)); #481087=CARTESIAN_POINT('',(36.3875,20.0875,0.07)); #481088=CARTESIAN_POINT('',(36.3875,20.0875,0.07)); #481089=CARTESIAN_POINT('',(36.3875,20.0875,0.035)); #481090=CARTESIAN_POINT('Origin',(36.1125,20.0875,0.035)); #481091=CARTESIAN_POINT('',(36.1125,20.0875,0.035)); #481092=CARTESIAN_POINT('',(36.1125,20.0875,0.035)); #481093=CARTESIAN_POINT('',(36.1125,20.0875,0.07)); #481094=CARTESIAN_POINT('',(36.1125,20.0875,0.07)); #481095=CARTESIAN_POINT('',(36.1125,20.0875,0.035)); #481096=CARTESIAN_POINT('Origin',(36.1125,20.7125,0.035)); #481097=CARTESIAN_POINT('',(36.1125,20.7125,0.035)); #481098=CARTESIAN_POINT('',(36.1125,20.7125,0.035)); #481099=CARTESIAN_POINT('',(36.1125,20.7125,0.07)); #481100=CARTESIAN_POINT('',(36.1125,20.7125,0.07)); #481101=CARTESIAN_POINT('',(36.1125,20.7125,0.035)); #481102=CARTESIAN_POINT('Origin',(36.3875,20.7125,0.035)); #481103=CARTESIAN_POINT('',(36.3875,20.7125,0.035)); #481104=CARTESIAN_POINT('',(36.3875,20.7125,0.07)); #481105=CARTESIAN_POINT('Origin',(36.25,20.4,0.07)); #481106=CARTESIAN_POINT('Origin',(36.25,20.4,0.035)); #481107=CARTESIAN_POINT('Origin',(52.1811,50.0555,0.035)); #481108=CARTESIAN_POINT('',(52.1811,50.0555,0.035)); #481109=CARTESIAN_POINT('',(52.1811,50.9445,0.035)); #481110=CARTESIAN_POINT('',(52.1811,50.0555,0.035)); #481111=CARTESIAN_POINT('',(52.1811,50.9445,0.07)); #481112=CARTESIAN_POINT('',(52.1811,50.9445,0.035)); #481113=CARTESIAN_POINT('',(52.1811,50.0555,0.07)); #481114=CARTESIAN_POINT('',(52.1811,50.0555,0.07)); #481115=CARTESIAN_POINT('',(52.1811,50.0555,0.035)); #481116=CARTESIAN_POINT('Origin',(51.3429,50.0555,0.035)); #481117=CARTESIAN_POINT('',(51.3429,50.0555,0.035)); #481118=CARTESIAN_POINT('',(51.3429,50.0555,0.035)); #481119=CARTESIAN_POINT('',(51.3429,50.0555,0.07)); #481120=CARTESIAN_POINT('',(51.3429,50.0555,0.07)); #481121=CARTESIAN_POINT('',(51.3429,50.0555,0.035)); #481122=CARTESIAN_POINT('Origin',(51.3429,50.9445,0.035)); #481123=CARTESIAN_POINT('',(51.3429,50.9445,0.035)); #481124=CARTESIAN_POINT('',(51.3429,50.9445,0.035)); #481125=CARTESIAN_POINT('',(51.3429,50.9445,0.07)); #481126=CARTESIAN_POINT('',(51.3429,50.9445,0.07)); #481127=CARTESIAN_POINT('',(51.3429,50.9445,0.035)); #481128=CARTESIAN_POINT('Origin',(52.1811,50.9445,0.035)); #481129=CARTESIAN_POINT('',(52.1811,50.9445,0.035)); #481130=CARTESIAN_POINT('',(52.1811,50.9445,0.07)); #481131=CARTESIAN_POINT('Origin',(51.762,50.5,0.07)); #481132=CARTESIAN_POINT('Origin',(51.762,50.5,0.035)); #481133=CARTESIAN_POINT('Origin',(34.762,60.25,-200.)); #481134=CARTESIAN_POINT('',(34.622,60.25,0.0349999999999895)); #481135=CARTESIAN_POINT('Origin',(34.762,60.25,0.0349999999999895)); #481136=CARTESIAN_POINT('',(34.622,60.25,0.0700000000000145)); #481137=CARTESIAN_POINT('',(34.622,60.25,-200.)); #481138=CARTESIAN_POINT('Origin',(34.762,60.25,0.0700000000000145)); #481139=CARTESIAN_POINT('Origin',(35.1811,59.8055,0.035)); #481140=CARTESIAN_POINT('',(35.1811,59.8055,0.035)); #481141=CARTESIAN_POINT('',(35.1811,60.6945,0.035)); #481142=CARTESIAN_POINT('',(35.1811,59.8055,0.035)); #481143=CARTESIAN_POINT('',(35.1811,60.6945,0.07)); #481144=CARTESIAN_POINT('',(35.1811,60.6945,0.035)); #481145=CARTESIAN_POINT('',(35.1811,59.8055,0.07)); #481146=CARTESIAN_POINT('',(35.1811,59.8055,0.07)); #481147=CARTESIAN_POINT('',(35.1811,59.8055,0.035)); #481148=CARTESIAN_POINT('Origin',(34.3429,59.8055,0.035)); #481149=CARTESIAN_POINT('',(34.3429,59.8055,0.035)); #481150=CARTESIAN_POINT('',(34.3429,59.8055,0.035)); #481151=CARTESIAN_POINT('',(34.3429,59.8055,0.07)); #481152=CARTESIAN_POINT('',(34.3429,59.8055,0.07)); #481153=CARTESIAN_POINT('',(34.3429,59.8055,0.035)); #481154=CARTESIAN_POINT('Origin',(34.3429,60.6945,0.035)); #481155=CARTESIAN_POINT('',(34.3429,60.6945,0.035)); #481156=CARTESIAN_POINT('',(34.3429,60.6945,0.035)); #481157=CARTESIAN_POINT('',(34.3429,60.6945,0.07)); #481158=CARTESIAN_POINT('',(34.3429,60.6945,0.07)); #481159=CARTESIAN_POINT('',(34.3429,60.6945,0.035)); #481160=CARTESIAN_POINT('Origin',(35.1811,60.6945,0.035)); #481161=CARTESIAN_POINT('',(35.1811,60.6945,0.035)); #481162=CARTESIAN_POINT('',(35.1811,60.6945,0.07)); #481163=CARTESIAN_POINT('Origin',(34.762,60.25,0.07)); #481164=CARTESIAN_POINT('Origin',(34.762,60.25,0.035)); #481165=CARTESIAN_POINT('Origin',(38.762,60.25,-200.)); #481166=CARTESIAN_POINT('',(38.622,60.25,0.0349999999999895)); #481167=CARTESIAN_POINT('Origin',(38.762,60.25,0.0349999999999895)); #481168=CARTESIAN_POINT('',(38.622,60.25,0.0700000000000145)); #481169=CARTESIAN_POINT('',(38.622,60.25,-200.)); #481170=CARTESIAN_POINT('Origin',(38.762,60.25,0.0700000000000145)); #481171=CARTESIAN_POINT('Origin',(39.1811,59.8055,0.035)); #481172=CARTESIAN_POINT('',(39.1811,59.8055,0.035)); #481173=CARTESIAN_POINT('',(39.1811,60.6945,0.035)); #481174=CARTESIAN_POINT('',(39.1811,59.8055,0.035)); #481175=CARTESIAN_POINT('',(39.1811,60.6945,0.07)); #481176=CARTESIAN_POINT('',(39.1811,60.6945,0.035)); #481177=CARTESIAN_POINT('',(39.1811,59.8055,0.07)); #481178=CARTESIAN_POINT('',(39.1811,59.8055,0.07)); #481179=CARTESIAN_POINT('',(39.1811,59.8055,0.035)); #481180=CARTESIAN_POINT('Origin',(38.3429,59.8055,0.035)); #481181=CARTESIAN_POINT('',(38.3429,59.8055,0.035)); #481182=CARTESIAN_POINT('',(38.3429,59.8055,0.035)); #481183=CARTESIAN_POINT('',(38.3429,59.8055,0.07)); #481184=CARTESIAN_POINT('',(38.3429,59.8055,0.07)); #481185=CARTESIAN_POINT('',(38.3429,59.8055,0.035)); #481186=CARTESIAN_POINT('Origin',(38.3429,60.6945,0.035)); #481187=CARTESIAN_POINT('',(38.3429,60.6945,0.035)); #481188=CARTESIAN_POINT('',(38.3429,60.6945,0.035)); #481189=CARTESIAN_POINT('',(38.3429,60.6945,0.07)); #481190=CARTESIAN_POINT('',(38.3429,60.6945,0.07)); #481191=CARTESIAN_POINT('',(38.3429,60.6945,0.035)); #481192=CARTESIAN_POINT('Origin',(39.1811,60.6945,0.035)); #481193=CARTESIAN_POINT('',(39.1811,60.6945,0.035)); #481194=CARTESIAN_POINT('',(39.1811,60.6945,0.07)); #481195=CARTESIAN_POINT('Origin',(38.762,60.25,0.07)); #481196=CARTESIAN_POINT('Origin',(38.762,60.25,0.035)); #481197=CARTESIAN_POINT('Origin',(33.8875,13.2875,0.035)); #481198=CARTESIAN_POINT('',(33.8875,13.2875,0.035)); #481199=CARTESIAN_POINT('',(33.8875,13.9125,0.035)); #481200=CARTESIAN_POINT('',(33.8875,13.2875,0.035)); #481201=CARTESIAN_POINT('',(33.8875,13.9125,0.07)); #481202=CARTESIAN_POINT('',(33.8875,13.9125,0.035)); #481203=CARTESIAN_POINT('',(33.8875,13.2875,0.07)); #481204=CARTESIAN_POINT('',(33.8875,13.2875,0.07)); #481205=CARTESIAN_POINT('',(33.8875,13.2875,0.035)); #481206=CARTESIAN_POINT('Origin',(33.6125,13.2875,0.035)); #481207=CARTESIAN_POINT('',(33.6125,13.2875,0.035)); #481208=CARTESIAN_POINT('',(33.6125,13.2875,0.035)); #481209=CARTESIAN_POINT('',(33.6125,13.2875,0.07)); #481210=CARTESIAN_POINT('',(33.6125,13.2875,0.07)); #481211=CARTESIAN_POINT('',(33.6125,13.2875,0.035)); #481212=CARTESIAN_POINT('Origin',(33.6125,13.9125,0.035)); #481213=CARTESIAN_POINT('',(33.6125,13.9125,0.035)); #481214=CARTESIAN_POINT('',(33.6125,13.9125,0.035)); #481215=CARTESIAN_POINT('',(33.6125,13.9125,0.07)); #481216=CARTESIAN_POINT('',(33.6125,13.9125,0.07)); #481217=CARTESIAN_POINT('',(33.6125,13.9125,0.035)); #481218=CARTESIAN_POINT('Origin',(33.8875,13.9125,0.035)); #481219=CARTESIAN_POINT('',(33.8875,13.9125,0.035)); #481220=CARTESIAN_POINT('',(33.8875,13.9125,0.07)); #481221=CARTESIAN_POINT('Origin',(33.75,13.6,0.07)); #481222=CARTESIAN_POINT('Origin',(33.75,13.6,0.035)); #481223=CARTESIAN_POINT('Origin',(56.1811,50.0555,0.035)); #481224=CARTESIAN_POINT('',(56.1811,50.0555,0.035)); #481225=CARTESIAN_POINT('',(56.1811,50.9445,0.035)); #481226=CARTESIAN_POINT('',(56.1811,50.0555,0.035)); #481227=CARTESIAN_POINT('',(56.1811,50.9445,0.07)); #481228=CARTESIAN_POINT('',(56.1811,50.9445,0.035)); #481229=CARTESIAN_POINT('',(56.1811,50.0555,0.07)); #481230=CARTESIAN_POINT('',(56.1811,50.0555,0.07)); #481231=CARTESIAN_POINT('',(56.1811,50.0555,0.035)); #481232=CARTESIAN_POINT('Origin',(55.3429,50.0555,0.035)); #481233=CARTESIAN_POINT('',(55.3429,50.0555,0.035)); #481234=CARTESIAN_POINT('',(55.3429,50.0555,0.035)); #481235=CARTESIAN_POINT('',(55.3429,50.0555,0.07)); #481236=CARTESIAN_POINT('',(55.3429,50.0555,0.07)); #481237=CARTESIAN_POINT('',(55.3429,50.0555,0.035)); #481238=CARTESIAN_POINT('Origin',(55.3429,50.9445,0.035)); #481239=CARTESIAN_POINT('',(55.3429,50.9445,0.035)); #481240=CARTESIAN_POINT('',(55.3429,50.9445,0.035)); #481241=CARTESIAN_POINT('',(55.3429,50.9445,0.07)); #481242=CARTESIAN_POINT('',(55.3429,50.9445,0.07)); #481243=CARTESIAN_POINT('',(55.3429,50.9445,0.035)); #481244=CARTESIAN_POINT('Origin',(56.1811,50.9445,0.035)); #481245=CARTESIAN_POINT('',(56.1811,50.9445,0.035)); #481246=CARTESIAN_POINT('',(56.1811,50.9445,0.07)); #481247=CARTESIAN_POINT('Origin',(55.762,50.5,0.07)); #481248=CARTESIAN_POINT('Origin',(55.762,50.5,0.035)); #481249=CARTESIAN_POINT('Origin',(46.9,15.825,0.035)); #481250=CARTESIAN_POINT('',(46.9,15.825,0.035)); #481251=CARTESIAN_POINT('',(46.9,16.225,0.035)); #481252=CARTESIAN_POINT('',(46.9,15.825,0.035)); #481253=CARTESIAN_POINT('',(46.9,16.225,0.07)); #481254=CARTESIAN_POINT('',(46.9,16.225,0.035)); #481255=CARTESIAN_POINT('',(46.9,15.825,0.07)); #481256=CARTESIAN_POINT('',(46.9,15.825,0.07)); #481257=CARTESIAN_POINT('',(46.9,15.825,0.035)); #481258=CARTESIAN_POINT('Origin',(46.1,15.825,0.035)); #481259=CARTESIAN_POINT('',(46.1,15.825,0.035)); #481260=CARTESIAN_POINT('',(46.1,15.825,0.035)); #481261=CARTESIAN_POINT('',(46.1,15.825,0.07)); #481262=CARTESIAN_POINT('',(46.1,15.825,0.07)); #481263=CARTESIAN_POINT('',(46.1,15.825,0.035)); #481264=CARTESIAN_POINT('Origin',(46.1,16.225,0.035)); #481265=CARTESIAN_POINT('',(46.1,16.225,0.035)); #481266=CARTESIAN_POINT('',(46.1,16.225,0.035)); #481267=CARTESIAN_POINT('',(46.1,16.225,0.07)); #481268=CARTESIAN_POINT('',(46.1,16.225,0.07)); #481269=CARTESIAN_POINT('',(46.1,16.225,0.035)); #481270=CARTESIAN_POINT('Origin',(46.9,16.225,0.035)); #481271=CARTESIAN_POINT('',(46.9,16.225,0.035)); #481272=CARTESIAN_POINT('',(46.9,16.225,0.07)); #481273=CARTESIAN_POINT('Origin',(46.5,16.025,0.07)); #481274=CARTESIAN_POINT('Origin',(46.5,16.025,0.035)); #481275=CARTESIAN_POINT('Origin',(37.7125,19.6125,0.035)); #481276=CARTESIAN_POINT('',(37.7125,19.6125,0.035)); #481277=CARTESIAN_POINT('',(37.7125,19.8875,0.035)); #481278=CARTESIAN_POINT('',(37.7125,19.6125,0.035)); #481279=CARTESIAN_POINT('',(37.7125,19.8875,0.07)); #481280=CARTESIAN_POINT('',(37.7125,19.8875,0.035)); #481281=CARTESIAN_POINT('',(37.7125,19.6125,0.07)); #481282=CARTESIAN_POINT('',(37.7125,19.6125,0.07)); #481283=CARTESIAN_POINT('',(37.7125,19.6125,0.035)); #481284=CARTESIAN_POINT('Origin',(37.0875,19.6125,0.035)); #481285=CARTESIAN_POINT('',(37.0875,19.6125,0.035)); #481286=CARTESIAN_POINT('',(37.0875,19.6125,0.035)); #481287=CARTESIAN_POINT('',(37.0875,19.6125,0.07)); #481288=CARTESIAN_POINT('',(37.0875,19.6125,0.07)); #481289=CARTESIAN_POINT('',(37.0875,19.6125,0.035)); #481290=CARTESIAN_POINT('Origin',(37.0875,19.8875,0.035)); #481291=CARTESIAN_POINT('',(37.0875,19.8875,0.035)); #481292=CARTESIAN_POINT('',(37.0875,19.8875,0.035)); #481293=CARTESIAN_POINT('',(37.0875,19.8875,0.07)); #481294=CARTESIAN_POINT('',(37.0875,19.8875,0.07)); #481295=CARTESIAN_POINT('',(37.0875,19.8875,0.035)); #481296=CARTESIAN_POINT('Origin',(37.7125,19.8875,0.035)); #481297=CARTESIAN_POINT('',(37.7125,19.8875,0.035)); #481298=CARTESIAN_POINT('',(37.7125,19.8875,0.07)); #481299=CARTESIAN_POINT('Origin',(37.4,19.75,0.07)); #481300=CARTESIAN_POINT('Origin',(37.4,19.75,0.035)); #481301=CARTESIAN_POINT('Origin',(46.9,16.475,0.035)); #481302=CARTESIAN_POINT('',(46.9,16.475,0.035)); #481303=CARTESIAN_POINT('',(46.9,16.875,0.035)); #481304=CARTESIAN_POINT('',(46.9,16.475,0.035)); #481305=CARTESIAN_POINT('',(46.9,16.875,0.07)); #481306=CARTESIAN_POINT('',(46.9,16.875,0.035)); #481307=CARTESIAN_POINT('',(46.9,16.475,0.07)); #481308=CARTESIAN_POINT('',(46.9,16.475,0.07)); #481309=CARTESIAN_POINT('',(46.9,16.475,0.035)); #481310=CARTESIAN_POINT('Origin',(46.1,16.475,0.035)); #481311=CARTESIAN_POINT('',(46.1,16.475,0.035)); #481312=CARTESIAN_POINT('',(46.1,16.475,0.035)); #481313=CARTESIAN_POINT('',(46.1,16.475,0.07)); #481314=CARTESIAN_POINT('',(46.1,16.475,0.07)); #481315=CARTESIAN_POINT('',(46.1,16.475,0.035)); #481316=CARTESIAN_POINT('Origin',(46.1,16.875,0.035)); #481317=CARTESIAN_POINT('',(46.1,16.875,0.035)); #481318=CARTESIAN_POINT('',(46.1,16.875,0.035)); #481319=CARTESIAN_POINT('',(46.1,16.875,0.07)); #481320=CARTESIAN_POINT('',(46.1,16.875,0.07)); #481321=CARTESIAN_POINT('',(46.1,16.875,0.035)); #481322=CARTESIAN_POINT('Origin',(46.9,16.875,0.035)); #481323=CARTESIAN_POINT('',(46.9,16.875,0.035)); #481324=CARTESIAN_POINT('',(46.9,16.875,0.07)); #481325=CARTESIAN_POINT('Origin',(46.5,16.675,0.07)); #481326=CARTESIAN_POINT('Origin',(46.5,16.675,0.035)); #481327=CARTESIAN_POINT('Origin',(53.3142,24.6665,0.035)); #481328=CARTESIAN_POINT('',(53.3142,24.6665,0.035)); #481329=CARTESIAN_POINT('',(53.3142,27.3335,0.035)); #481330=CARTESIAN_POINT('',(53.3142,24.6665,0.035)); #481331=CARTESIAN_POINT('',(53.3142,27.3335,0.07)); #481332=CARTESIAN_POINT('',(53.3142,27.3335,0.035)); #481333=CARTESIAN_POINT('',(53.3142,24.6665,0.07)); #481334=CARTESIAN_POINT('',(53.3142,24.6665,0.07)); #481335=CARTESIAN_POINT('',(53.3142,24.6665,0.035)); #481336=CARTESIAN_POINT('Origin',(51.6378,24.6665,0.035)); #481337=CARTESIAN_POINT('',(51.6378,24.6665,0.035)); #481338=CARTESIAN_POINT('',(51.6378,24.6665,0.035)); #481339=CARTESIAN_POINT('',(51.6378,24.6665,0.07)); #481340=CARTESIAN_POINT('',(51.6378,24.6665,0.07)); #481341=CARTESIAN_POINT('',(51.6378,24.6665,0.035)); #481342=CARTESIAN_POINT('Origin',(51.6378,27.3335,0.035)); #481343=CARTESIAN_POINT('',(51.6378,27.3335,0.035)); #481344=CARTESIAN_POINT('',(51.6378,27.3335,0.035)); #481345=CARTESIAN_POINT('',(51.6378,27.3335,0.07)); #481346=CARTESIAN_POINT('',(51.6378,27.3335,0.07)); #481347=CARTESIAN_POINT('',(51.6378,27.3335,0.035)); #481348=CARTESIAN_POINT('Origin',(53.3142,27.3335,0.035)); #481349=CARTESIAN_POINT('',(53.3142,27.3335,0.035)); #481350=CARTESIAN_POINT('',(53.3142,27.3335,0.07)); #481351=CARTESIAN_POINT('Origin',(52.476,26.,0.07)); #481352=CARTESIAN_POINT('Origin',(52.476,26.,0.035)); #481353=CARTESIAN_POINT('Origin',(45.625,15.825,0.035)); #481354=CARTESIAN_POINT('',(45.625,15.825,0.035)); #481355=CARTESIAN_POINT('',(45.625,18.175,0.035)); #481356=CARTESIAN_POINT('',(45.625,15.825,0.035)); #481357=CARTESIAN_POINT('',(45.625,18.175,0.07)); #481358=CARTESIAN_POINT('',(45.625,18.175,0.035)); #481359=CARTESIAN_POINT('',(45.625,15.825,0.07)); #481360=CARTESIAN_POINT('',(45.625,15.825,0.07)); #481361=CARTESIAN_POINT('',(45.625,15.825,0.035)); #481362=CARTESIAN_POINT('Origin',(44.375,15.825,0.035)); #481363=CARTESIAN_POINT('',(44.375,15.825,0.035)); #481364=CARTESIAN_POINT('',(44.375,15.825,0.035)); #481365=CARTESIAN_POINT('',(44.375,15.825,0.07)); #481366=CARTESIAN_POINT('',(44.375,15.825,0.07)); #481367=CARTESIAN_POINT('',(44.375,15.825,0.035)); #481368=CARTESIAN_POINT('Origin',(44.375,18.175,0.035)); #481369=CARTESIAN_POINT('',(44.375,18.175,0.035)); #481370=CARTESIAN_POINT('',(44.375,18.175,0.035)); #481371=CARTESIAN_POINT('',(44.375,18.175,0.07)); #481372=CARTESIAN_POINT('',(44.375,18.175,0.07)); #481373=CARTESIAN_POINT('',(44.375,18.175,0.035)); #481374=CARTESIAN_POINT('Origin',(45.625,18.175,0.035)); #481375=CARTESIAN_POINT('',(45.625,18.175,0.035)); #481376=CARTESIAN_POINT('',(45.625,18.175,0.07)); #481377=CARTESIAN_POINT('Origin',(45.,17.,0.07)); #481378=CARTESIAN_POINT('Origin',(45.,17.,0.035)); #481379=CARTESIAN_POINT('Origin',(36.8875,20.0875,0.035)); #481380=CARTESIAN_POINT('',(36.8875,20.0875,0.035)); #481381=CARTESIAN_POINT('',(36.8875,20.7125,0.035)); #481382=CARTESIAN_POINT('',(36.8875,20.0875,0.035)); #481383=CARTESIAN_POINT('',(36.8875,20.7125,0.07)); #481384=CARTESIAN_POINT('',(36.8875,20.7125,0.035)); #481385=CARTESIAN_POINT('',(36.8875,20.0875,0.07)); #481386=CARTESIAN_POINT('',(36.8875,20.0875,0.07)); #481387=CARTESIAN_POINT('',(36.8875,20.0875,0.035)); #481388=CARTESIAN_POINT('Origin',(36.6125,20.0875,0.035)); #481389=CARTESIAN_POINT('',(36.6125,20.0875,0.035)); #481390=CARTESIAN_POINT('',(36.6125,20.0875,0.035)); #481391=CARTESIAN_POINT('',(36.6125,20.0875,0.07)); #481392=CARTESIAN_POINT('',(36.6125,20.0875,0.07)); #481393=CARTESIAN_POINT('',(36.6125,20.0875,0.035)); #481394=CARTESIAN_POINT('Origin',(36.6125,20.7125,0.035)); #481395=CARTESIAN_POINT('',(36.6125,20.7125,0.035)); #481396=CARTESIAN_POINT('',(36.6125,20.7125,0.035)); #481397=CARTESIAN_POINT('',(36.6125,20.7125,0.07)); #481398=CARTESIAN_POINT('',(36.6125,20.7125,0.07)); #481399=CARTESIAN_POINT('',(36.6125,20.7125,0.035)); #481400=CARTESIAN_POINT('Origin',(36.8875,20.7125,0.035)); #481401=CARTESIAN_POINT('',(36.8875,20.7125,0.035)); #481402=CARTESIAN_POINT('',(36.8875,20.7125,0.07)); #481403=CARTESIAN_POINT('Origin',(36.75,20.4,0.07)); #481404=CARTESIAN_POINT('Origin',(36.75,20.4,0.035)); #481405=CARTESIAN_POINT('Origin',(46.9,17.125,0.035)); #481406=CARTESIAN_POINT('',(46.9,17.125,0.035)); #481407=CARTESIAN_POINT('',(46.9,17.525,0.035)); #481408=CARTESIAN_POINT('',(46.9,17.125,0.035)); #481409=CARTESIAN_POINT('',(46.9,17.525,0.07)); #481410=CARTESIAN_POINT('',(46.9,17.525,0.035)); #481411=CARTESIAN_POINT('',(46.9,17.125,0.07)); #481412=CARTESIAN_POINT('',(46.9,17.125,0.07)); #481413=CARTESIAN_POINT('',(46.9,17.125,0.035)); #481414=CARTESIAN_POINT('Origin',(46.1,17.125,0.035)); #481415=CARTESIAN_POINT('',(46.1,17.125,0.035)); #481416=CARTESIAN_POINT('',(46.1,17.125,0.035)); #481417=CARTESIAN_POINT('',(46.1,17.125,0.07)); #481418=CARTESIAN_POINT('',(46.1,17.125,0.07)); #481419=CARTESIAN_POINT('',(46.1,17.125,0.035)); #481420=CARTESIAN_POINT('Origin',(46.1,17.525,0.035)); #481421=CARTESIAN_POINT('',(46.1,17.525,0.035)); #481422=CARTESIAN_POINT('',(46.1,17.525,0.035)); #481423=CARTESIAN_POINT('',(46.1,17.525,0.07)); #481424=CARTESIAN_POINT('',(46.1,17.525,0.07)); #481425=CARTESIAN_POINT('',(46.1,17.525,0.035)); #481426=CARTESIAN_POINT('Origin',(46.9,17.525,0.035)); #481427=CARTESIAN_POINT('',(46.9,17.525,0.035)); #481428=CARTESIAN_POINT('',(46.9,17.525,0.07)); #481429=CARTESIAN_POINT('Origin',(46.5,17.325,0.07)); #481430=CARTESIAN_POINT('Origin',(46.5,17.325,0.035)); #481431=CARTESIAN_POINT('Origin',(55.3622,46.1745,0.035)); #481432=CARTESIAN_POINT('',(55.3622,46.1745,0.035)); #481433=CARTESIAN_POINT('',(55.3622,47.8255,0.035)); #481434=CARTESIAN_POINT('',(55.3622,46.1745,0.035)); #481435=CARTESIAN_POINT('',(55.3622,47.8255,0.07)); #481436=CARTESIAN_POINT('',(55.3622,47.8255,0.035)); #481437=CARTESIAN_POINT('',(55.3622,46.1745,0.07)); #481438=CARTESIAN_POINT('',(55.3622,46.1745,0.07)); #481439=CARTESIAN_POINT('',(55.3622,46.1745,0.035)); #481440=CARTESIAN_POINT('Origin',(53.6858,46.1745,0.035)); #481441=CARTESIAN_POINT('',(53.6858,46.1745,0.035)); #481442=CARTESIAN_POINT('',(53.6858,46.1745,0.035)); #481443=CARTESIAN_POINT('',(53.6858,46.1745,0.07)); #481444=CARTESIAN_POINT('',(53.6858,46.1745,0.07)); #481445=CARTESIAN_POINT('',(53.6858,46.1745,0.035)); #481446=CARTESIAN_POINT('Origin',(53.6858,47.8255,0.035)); #481447=CARTESIAN_POINT('',(53.6858,47.8255,0.035)); #481448=CARTESIAN_POINT('',(53.6858,47.8255,0.035)); #481449=CARTESIAN_POINT('',(53.6858,47.8255,0.07)); #481450=CARTESIAN_POINT('',(53.6858,47.8255,0.07)); #481451=CARTESIAN_POINT('',(53.6858,47.8255,0.035)); #481452=CARTESIAN_POINT('Origin',(55.3622,47.8255,0.035)); #481453=CARTESIAN_POINT('',(55.3622,47.8255,0.035)); #481454=CARTESIAN_POINT('',(55.3622,47.8255,0.07)); #481455=CARTESIAN_POINT('Origin',(54.524,47.,0.07)); #481456=CARTESIAN_POINT('Origin',(54.524,47.,0.035)); #481457=CARTESIAN_POINT('Origin',(37.7125,18.6125,0.035)); #481458=CARTESIAN_POINT('',(37.7125,18.6125,0.035)); #481459=CARTESIAN_POINT('',(37.7125,18.8875,0.035)); #481460=CARTESIAN_POINT('',(37.7125,18.6125,0.035)); #481461=CARTESIAN_POINT('',(37.7125,18.8875,0.07)); #481462=CARTESIAN_POINT('',(37.7125,18.8875,0.035)); #481463=CARTESIAN_POINT('',(37.7125,18.6125,0.07)); #481464=CARTESIAN_POINT('',(37.7125,18.6125,0.07)); #481465=CARTESIAN_POINT('',(37.7125,18.6125,0.035)); #481466=CARTESIAN_POINT('Origin',(37.0875,18.6125,0.035)); #481467=CARTESIAN_POINT('',(37.0875,18.6125,0.035)); #481468=CARTESIAN_POINT('',(37.0875,18.6125,0.035)); #481469=CARTESIAN_POINT('',(37.0875,18.6125,0.07)); #481470=CARTESIAN_POINT('',(37.0875,18.6125,0.07)); #481471=CARTESIAN_POINT('',(37.0875,18.6125,0.035)); #481472=CARTESIAN_POINT('Origin',(37.0875,18.8875,0.035)); #481473=CARTESIAN_POINT('',(37.0875,18.8875,0.035)); #481474=CARTESIAN_POINT('',(37.0875,18.8875,0.035)); #481475=CARTESIAN_POINT('',(37.0875,18.8875,0.07)); #481476=CARTESIAN_POINT('',(37.0875,18.8875,0.07)); #481477=CARTESIAN_POINT('',(37.0875,18.8875,0.035)); #481478=CARTESIAN_POINT('Origin',(37.7125,18.8875,0.035)); #481479=CARTESIAN_POINT('',(37.7125,18.8875,0.035)); #481480=CARTESIAN_POINT('',(37.7125,18.8875,0.07)); #481481=CARTESIAN_POINT('Origin',(37.4,18.75,0.07)); #481482=CARTESIAN_POINT('Origin',(37.4,18.75,0.035)); #481483=CARTESIAN_POINT('Origin',(52.75,20.25,-200.)); #481484=CARTESIAN_POINT('',(52.61,20.25,0.0349999999999895)); #481485=CARTESIAN_POINT('Origin',(52.75,20.25,0.0349999999999895)); #481486=CARTESIAN_POINT('',(52.61,20.25,0.0700000000000145)); #481487=CARTESIAN_POINT('',(52.61,20.25,-200.)); #481488=CARTESIAN_POINT('Origin',(52.75,20.25,0.0700000000000145)); #481489=CARTESIAN_POINT('Origin',(52.,21.75,-200.)); #481490=CARTESIAN_POINT('',(51.86,21.75,0.0349999999999895)); #481491=CARTESIAN_POINT('Origin',(52.,21.75,0.0349999999999895)); #481492=CARTESIAN_POINT('',(51.86,21.75,0.0700000000000145)); #481493=CARTESIAN_POINT('',(51.86,21.75,-200.)); #481494=CARTESIAN_POINT('Origin',(52.,21.75,0.0700000000000145)); #481495=CARTESIAN_POINT('Origin',(52.,20.25,-200.)); #481496=CARTESIAN_POINT('',(51.86,20.25,0.0349999999999895)); #481497=CARTESIAN_POINT('Origin',(52.,20.25,0.0349999999999895)); #481498=CARTESIAN_POINT('',(51.86,20.25,0.0700000000000145)); #481499=CARTESIAN_POINT('',(51.86,20.25,-200.)); #481500=CARTESIAN_POINT('Origin',(52.,20.25,0.0700000000000145)); #481501=CARTESIAN_POINT('Origin',(52.75,21.,-200.)); #481502=CARTESIAN_POINT('',(52.61,21.,0.0349999999999895)); #481503=CARTESIAN_POINT('Origin',(52.75,21.,0.0349999999999895)); #481504=CARTESIAN_POINT('',(52.61,21.,0.0700000000000145)); #481505=CARTESIAN_POINT('',(52.61,21.,-200.)); #481506=CARTESIAN_POINT('Origin',(52.75,21.,0.0700000000000145)); #481507=CARTESIAN_POINT('Origin',(52.,21.,-200.)); #481508=CARTESIAN_POINT('',(51.86,21.,0.0349999999999895)); #481509=CARTESIAN_POINT('Origin',(52.,21.,0.0349999999999895)); #481510=CARTESIAN_POINT('',(51.86,21.,0.0700000000000145)); #481511=CARTESIAN_POINT('',(51.86,21.,-200.)); #481512=CARTESIAN_POINT('Origin',(52.,21.,0.0700000000000145)); #481513=CARTESIAN_POINT('Origin',(52.75,21.75,-200.)); #481514=CARTESIAN_POINT('',(52.61,21.75,0.0349999999999895)); #481515=CARTESIAN_POINT('Origin',(52.75,21.75,0.0349999999999895)); #481516=CARTESIAN_POINT('',(52.61,21.75,0.0700000000000145)); #481517=CARTESIAN_POINT('',(52.61,21.75,-200.)); #481518=CARTESIAN_POINT('Origin',(52.75,21.75,0.0700000000000145)); #481519=CARTESIAN_POINT('Origin',(53.3142,19.6665,0.035)); #481520=CARTESIAN_POINT('',(53.3142,19.6665,0.035)); #481521=CARTESIAN_POINT('',(53.3142,22.3335,0.035)); #481522=CARTESIAN_POINT('',(53.3142,19.6665,0.035)); #481523=CARTESIAN_POINT('',(53.3142,22.3335,0.07)); #481524=CARTESIAN_POINT('',(53.3142,22.3335,0.035)); #481525=CARTESIAN_POINT('',(53.3142,19.6665,0.07)); #481526=CARTESIAN_POINT('',(53.3142,19.6665,0.07)); #481527=CARTESIAN_POINT('',(53.3142,19.6665,0.035)); #481528=CARTESIAN_POINT('Origin',(51.6378,19.6665,0.035)); #481529=CARTESIAN_POINT('',(51.6378,19.6665,0.035)); #481530=CARTESIAN_POINT('',(51.6378,19.6665,0.035)); #481531=CARTESIAN_POINT('',(51.6378,19.6665,0.07)); #481532=CARTESIAN_POINT('',(51.6378,19.6665,0.07)); #481533=CARTESIAN_POINT('',(51.6378,19.6665,0.035)); #481534=CARTESIAN_POINT('Origin',(51.6378,22.3335,0.035)); #481535=CARTESIAN_POINT('',(51.6378,22.3335,0.035)); #481536=CARTESIAN_POINT('',(51.6378,22.3335,0.035)); #481537=CARTESIAN_POINT('',(51.6378,22.3335,0.07)); #481538=CARTESIAN_POINT('',(51.6378,22.3335,0.07)); #481539=CARTESIAN_POINT('',(51.6378,22.3335,0.035)); #481540=CARTESIAN_POINT('Origin',(53.3142,22.3335,0.035)); #481541=CARTESIAN_POINT('',(53.3142,22.3335,0.035)); #481542=CARTESIAN_POINT('',(53.3142,22.3335,0.07)); #481543=CARTESIAN_POINT('Origin',(52.476,21.,0.07)); #481544=CARTESIAN_POINT('Origin',(52.476,21.,0.035)); #481545=CARTESIAN_POINT('Origin',(46.9,17.775,0.035)); #481546=CARTESIAN_POINT('',(46.9,17.775,0.035)); #481547=CARTESIAN_POINT('',(46.9,18.175,0.035)); #481548=CARTESIAN_POINT('',(46.9,17.775,0.035)); #481549=CARTESIAN_POINT('',(46.9,18.175,0.07)); #481550=CARTESIAN_POINT('',(46.9,18.175,0.035)); #481551=CARTESIAN_POINT('',(46.9,17.775,0.07)); #481552=CARTESIAN_POINT('',(46.9,17.775,0.07)); #481553=CARTESIAN_POINT('',(46.9,17.775,0.035)); #481554=CARTESIAN_POINT('Origin',(46.1,17.775,0.035)); #481555=CARTESIAN_POINT('',(46.1,17.775,0.035)); #481556=CARTESIAN_POINT('',(46.1,17.775,0.035)); #481557=CARTESIAN_POINT('',(46.1,17.775,0.07)); #481558=CARTESIAN_POINT('',(46.1,17.775,0.07)); #481559=CARTESIAN_POINT('',(46.1,17.775,0.035)); #481560=CARTESIAN_POINT('Origin',(46.1,18.175,0.035)); #481561=CARTESIAN_POINT('',(46.1,18.175,0.035)); #481562=CARTESIAN_POINT('',(46.1,18.175,0.035)); #481563=CARTESIAN_POINT('',(46.1,18.175,0.07)); #481564=CARTESIAN_POINT('',(46.1,18.175,0.07)); #481565=CARTESIAN_POINT('',(46.1,18.175,0.035)); #481566=CARTESIAN_POINT('Origin',(46.9,18.175,0.035)); #481567=CARTESIAN_POINT('',(46.9,18.175,0.035)); #481568=CARTESIAN_POINT('',(46.9,18.175,0.07)); #481569=CARTESIAN_POINT('Origin',(46.5,17.975,0.07)); #481570=CARTESIAN_POINT('Origin',(46.5,17.975,0.035)); #481571=CARTESIAN_POINT('Origin',(50.6571,50.0555,0.035)); #481572=CARTESIAN_POINT('',(50.6571,50.0555,0.035)); #481573=CARTESIAN_POINT('',(50.6571,50.9445,0.035)); #481574=CARTESIAN_POINT('',(50.6571,50.0555,0.035)); #481575=CARTESIAN_POINT('',(50.6571,50.9445,0.07)); #481576=CARTESIAN_POINT('',(50.6571,50.9445,0.035)); #481577=CARTESIAN_POINT('',(50.6571,50.0555,0.07)); #481578=CARTESIAN_POINT('',(50.6571,50.0555,0.07)); #481579=CARTESIAN_POINT('',(50.6571,50.0555,0.035)); #481580=CARTESIAN_POINT('Origin',(49.8189,50.0555,0.035)); #481581=CARTESIAN_POINT('',(49.8189,50.0555,0.035)); #481582=CARTESIAN_POINT('',(49.8189,50.0555,0.035)); #481583=CARTESIAN_POINT('',(49.8189,50.0555,0.07)); #481584=CARTESIAN_POINT('',(49.8189,50.0555,0.07)); #481585=CARTESIAN_POINT('',(49.8189,50.0555,0.035)); #481586=CARTESIAN_POINT('Origin',(49.8189,50.9445,0.035)); #481587=CARTESIAN_POINT('',(49.8189,50.9445,0.035)); #481588=CARTESIAN_POINT('',(49.8189,50.9445,0.035)); #481589=CARTESIAN_POINT('',(49.8189,50.9445,0.07)); #481590=CARTESIAN_POINT('',(49.8189,50.9445,0.07)); #481591=CARTESIAN_POINT('',(49.8189,50.9445,0.035)); #481592=CARTESIAN_POINT('Origin',(50.6571,50.9445,0.035)); #481593=CARTESIAN_POINT('',(50.6571,50.9445,0.035)); #481594=CARTESIAN_POINT('',(50.6571,50.9445,0.07)); #481595=CARTESIAN_POINT('Origin',(50.238,50.5,0.07)); #481596=CARTESIAN_POINT('Origin',(50.238,50.5,0.035)); #481597=CARTESIAN_POINT('Origin',(35.8875,20.0875,0.035)); #481598=CARTESIAN_POINT('',(35.8875,20.0875,0.035)); #481599=CARTESIAN_POINT('',(35.8875,20.7125,0.035)); #481600=CARTESIAN_POINT('',(35.8875,20.0875,0.035)); #481601=CARTESIAN_POINT('',(35.8875,20.7125,0.07)); #481602=CARTESIAN_POINT('',(35.8875,20.7125,0.035)); #481603=CARTESIAN_POINT('',(35.8875,20.0875,0.07)); #481604=CARTESIAN_POINT('',(35.8875,20.0875,0.07)); #481605=CARTESIAN_POINT('',(35.8875,20.0875,0.035)); #481606=CARTESIAN_POINT('Origin',(35.6125,20.0875,0.035)); #481607=CARTESIAN_POINT('',(35.6125,20.0875,0.035)); #481608=CARTESIAN_POINT('',(35.6125,20.0875,0.035)); #481609=CARTESIAN_POINT('',(35.6125,20.0875,0.07)); #481610=CARTESIAN_POINT('',(35.6125,20.0875,0.07)); #481611=CARTESIAN_POINT('',(35.6125,20.0875,0.035)); #481612=CARTESIAN_POINT('Origin',(35.6125,20.7125,0.035)); #481613=CARTESIAN_POINT('',(35.6125,20.7125,0.035)); #481614=CARTESIAN_POINT('',(35.6125,20.7125,0.035)); #481615=CARTESIAN_POINT('',(35.6125,20.7125,0.07)); #481616=CARTESIAN_POINT('',(35.6125,20.7125,0.07)); #481617=CARTESIAN_POINT('',(35.6125,20.7125,0.035)); #481618=CARTESIAN_POINT('Origin',(35.8875,20.7125,0.035)); #481619=CARTESIAN_POINT('',(35.8875,20.7125,0.035)); #481620=CARTESIAN_POINT('',(35.8875,20.7125,0.07)); #481621=CARTESIAN_POINT('Origin',(35.75,20.4,0.07)); #481622=CARTESIAN_POINT('Origin',(35.75,20.4,0.035)); #481623=CARTESIAN_POINT('Origin',(52.125,47.75,-200.)); #481624=CARTESIAN_POINT('',(52.2428972009846,47.8255,0.0349999999999895)); #481625=CARTESIAN_POINT('',(52.2428972009846,47.8255,0.0700000000000145)); #481626=CARTESIAN_POINT('',(52.2428972009846,47.8255,-200.)); #481627=CARTESIAN_POINT('',(52.0071027990154,47.8255,0.0349999999999895)); #481628=CARTESIAN_POINT('Origin',(52.125,47.75,0.0349999999999895)); #481629=CARTESIAN_POINT('',(52.0071027990154,47.8255,0.0700000000000145)); #481630=CARTESIAN_POINT('',(52.0071027990154,47.8255,-200.)); #481631=CARTESIAN_POINT('Origin',(52.125,47.75,0.0700000000000145)); #481632=CARTESIAN_POINT('Origin',(52.125,47.,-200.)); #481633=CARTESIAN_POINT('',(51.985,47.,0.0349999999999895)); #481634=CARTESIAN_POINT('Origin',(52.125,47.,0.0349999999999895)); #481635=CARTESIAN_POINT('',(51.985,47.,0.0700000000000145)); #481636=CARTESIAN_POINT('',(51.985,47.,-200.)); #481637=CARTESIAN_POINT('Origin',(52.125,47.,0.0700000000000145)); #481638=CARTESIAN_POINT('Origin',(51.25,46.25,-200.)); #481639=CARTESIAN_POINT('',(51.1321027990154,46.1745,0.0349999999999895)); #481640=CARTESIAN_POINT('',(51.1321027990154,46.1745,0.0700000000000145)); #481641=CARTESIAN_POINT('',(51.1321027990154,46.1745,-200.)); #481642=CARTESIAN_POINT('',(51.3678972009846,46.1745,0.0349999999999895)); #481643=CARTESIAN_POINT('Origin',(51.25,46.25,0.0349999999999895)); #481644=CARTESIAN_POINT('',(51.3678972009846,46.1745,0.0700000000000145)); #481645=CARTESIAN_POINT('',(51.3678972009846,46.1745,-200.)); #481646=CARTESIAN_POINT('Origin',(51.25,46.25,0.0700000000000145)); #481647=CARTESIAN_POINT('Origin',(52.125,46.25,-200.)); #481648=CARTESIAN_POINT('',(52.0071027990154,46.1745,0.0349999999999895)); #481649=CARTESIAN_POINT('',(52.0071027990154,46.1745,0.0700000000000145)); #481650=CARTESIAN_POINT('',(52.0071027990154,46.1745,-200.)); #481651=CARTESIAN_POINT('',(52.2428972009846,46.1745,0.0349999999999895)); #481652=CARTESIAN_POINT('Origin',(52.125,46.25,0.0349999999999895)); #481653=CARTESIAN_POINT('',(52.2428972009846,46.1745,0.0700000000000145)); #481654=CARTESIAN_POINT('',(52.2428972009846,46.1745,-200.)); #481655=CARTESIAN_POINT('Origin',(52.125,46.25,0.0700000000000145)); #481656=CARTESIAN_POINT('Origin',(51.25,47.75,-200.)); #481657=CARTESIAN_POINT('',(51.3678972009846,47.8255,0.0349999999999895)); #481658=CARTESIAN_POINT('',(51.3678972009846,47.8255,0.0700000000000145)); #481659=CARTESIAN_POINT('',(51.3678972009846,47.8255,-200.)); #481660=CARTESIAN_POINT('',(51.1321027990154,47.8255,0.0349999999999895)); #481661=CARTESIAN_POINT('Origin',(51.25,47.75,0.0349999999999895)); #481662=CARTESIAN_POINT('',(51.1321027990154,47.8255,0.0700000000000145)); #481663=CARTESIAN_POINT('',(51.1321027990154,47.8255,-200.)); #481664=CARTESIAN_POINT('Origin',(51.25,47.75,0.0700000000000145)); #481665=CARTESIAN_POINT('Origin',(51.25,47.,-200.)); #481666=CARTESIAN_POINT('',(51.11,47.,0.0349999999999895)); #481667=CARTESIAN_POINT('Origin',(51.25,47.,0.0349999999999895)); #481668=CARTESIAN_POINT('',(51.11,47.,0.0700000000000145)); #481669=CARTESIAN_POINT('',(51.11,47.,-200.)); #481670=CARTESIAN_POINT('Origin',(51.25,47.,0.0700000000000145)); #481671=CARTESIAN_POINT('Origin',(50.6378,46.1745,0.035)); #481672=CARTESIAN_POINT('',(52.3142,46.1745,0.035)); #481673=CARTESIAN_POINT('',(50.6378,46.1745,0.035)); #481674=CARTESIAN_POINT('',(52.3142,46.1745,0.07)); #481675=CARTESIAN_POINT('',(52.3142,46.1745,0.035)); #481676=CARTESIAN_POINT('',(50.6378,46.1745,0.07)); #481677=CARTESIAN_POINT('Origin',(50.6378,46.1745,0.035)); #481678=CARTESIAN_POINT('',(50.6378,46.1745,0.07)); #481679=CARTESIAN_POINT('',(50.6378,46.1745,0.07)); #481680=CARTESIAN_POINT('',(50.6378,46.1745,0.035)); #481681=CARTESIAN_POINT('',(50.6378,46.1745,0.035)); #481682=CARTESIAN_POINT('',(50.6378,46.1745,0.035)); #481683=CARTESIAN_POINT('Origin',(50.6378,46.1745,0.035)); #481684=CARTESIAN_POINT('',(50.6378,46.1745,0.035)); #481685=CARTESIAN_POINT('',(50.6378,46.1745,0.07)); #481686=CARTESIAN_POINT('Origin',(52.3142,47.8255,0.035)); #481687=CARTESIAN_POINT('',(50.6378,47.8255,0.035)); #481688=CARTESIAN_POINT('',(52.3142,47.8255,0.035)); #481689=CARTESIAN_POINT('',(50.6378,47.8255,0.07)); #481690=CARTESIAN_POINT('',(50.6378,47.8255,0.035)); #481691=CARTESIAN_POINT('',(52.3142,47.8255,0.07)); #481692=CARTESIAN_POINT('Origin',(52.3142,47.8255,0.035)); #481693=CARTESIAN_POINT('',(52.3142,47.8255,0.07)); #481694=CARTESIAN_POINT('',(52.3142,47.8255,0.07)); #481695=CARTESIAN_POINT('',(52.3142,47.8255,0.035)); #481696=CARTESIAN_POINT('',(52.3142,47.8255,0.035)); #481697=CARTESIAN_POINT('',(52.3142,47.8255,0.035)); #481698=CARTESIAN_POINT('Origin',(52.3142,47.8255,0.035)); #481699=CARTESIAN_POINT('',(52.3142,47.8255,0.035)); #481700=CARTESIAN_POINT('',(52.3142,47.8255,0.07)); #481701=CARTESIAN_POINT('Origin',(51.476,47.,0.035)); #481702=CARTESIAN_POINT('',(52.3142,46.1745,0.035)); #481703=CARTESIAN_POINT('',(50.6378,47.8255,0.035)); #481704=CARTESIAN_POINT('Origin',(52.3142,46.1745,0.035)); #481705=CARTESIAN_POINT('',(52.3142,46.1745,0.07)); #481706=CARTESIAN_POINT('Origin',(50.6378,47.8255,0.035)); #481707=CARTESIAN_POINT('',(50.6378,47.8255,0.07)); #481708=CARTESIAN_POINT('Origin',(51.476,47.,0.07)); #481709=CARTESIAN_POINT('Origin',(14.1811,50.0555,0.035)); #481710=CARTESIAN_POINT('',(14.1811,50.0555,0.035)); #481711=CARTESIAN_POINT('',(14.1811,50.9445,0.035)); #481712=CARTESIAN_POINT('',(14.1811,50.0555,0.035)); #481713=CARTESIAN_POINT('',(14.1811,50.9445,0.07)); #481714=CARTESIAN_POINT('',(14.1811,50.9445,0.035)); #481715=CARTESIAN_POINT('',(14.1811,50.0555,0.07)); #481716=CARTESIAN_POINT('',(14.1811,50.0555,0.07)); #481717=CARTESIAN_POINT('',(14.1811,50.0555,0.035)); #481718=CARTESIAN_POINT('Origin',(13.3429,50.0555,0.035)); #481719=CARTESIAN_POINT('',(13.3429,50.0555,0.035)); #481720=CARTESIAN_POINT('',(13.3429,50.0555,0.035)); #481721=CARTESIAN_POINT('',(13.3429,50.0555,0.07)); #481722=CARTESIAN_POINT('',(13.3429,50.0555,0.07)); #481723=CARTESIAN_POINT('',(13.3429,50.0555,0.035)); #481724=CARTESIAN_POINT('Origin',(13.3429,50.9445,0.035)); #481725=CARTESIAN_POINT('',(13.3429,50.9445,0.035)); #481726=CARTESIAN_POINT('',(13.3429,50.9445,0.035)); #481727=CARTESIAN_POINT('',(13.3429,50.9445,0.07)); #481728=CARTESIAN_POINT('',(13.3429,50.9445,0.07)); #481729=CARTESIAN_POINT('',(13.3429,50.9445,0.035)); #481730=CARTESIAN_POINT('Origin',(14.1811,50.9445,0.035)); #481731=CARTESIAN_POINT('',(14.1811,50.9445,0.035)); #481732=CARTESIAN_POINT('',(14.1811,50.9445,0.07)); #481733=CARTESIAN_POINT('Origin',(13.762,50.5,0.07)); #481734=CARTESIAN_POINT('Origin',(13.762,50.5,0.035)); #481735=CARTESIAN_POINT('Origin',(30.9125,19.6125,0.035)); #481736=CARTESIAN_POINT('',(30.9125,19.6125,0.035)); #481737=CARTESIAN_POINT('',(30.9125,19.8875,0.035)); #481738=CARTESIAN_POINT('',(30.9125,19.6125,0.035)); #481739=CARTESIAN_POINT('',(30.9125,19.8875,0.07)); #481740=CARTESIAN_POINT('',(30.9125,19.8875,0.035)); #481741=CARTESIAN_POINT('',(30.9125,19.6125,0.07)); #481742=CARTESIAN_POINT('',(30.9125,19.6125,0.07)); #481743=CARTESIAN_POINT('',(30.9125,19.6125,0.035)); #481744=CARTESIAN_POINT('Origin',(30.2875,19.6125,0.035)); #481745=CARTESIAN_POINT('',(30.2875,19.6125,0.035)); #481746=CARTESIAN_POINT('',(30.2875,19.6125,0.035)); #481747=CARTESIAN_POINT('',(30.2875,19.6125,0.07)); #481748=CARTESIAN_POINT('',(30.2875,19.6125,0.07)); #481749=CARTESIAN_POINT('',(30.2875,19.6125,0.035)); #481750=CARTESIAN_POINT('Origin',(30.2875,19.8875,0.035)); #481751=CARTESIAN_POINT('',(30.2875,19.8875,0.035)); #481752=CARTESIAN_POINT('',(30.2875,19.8875,0.035)); #481753=CARTESIAN_POINT('',(30.2875,19.8875,0.07)); #481754=CARTESIAN_POINT('',(30.2875,19.8875,0.07)); #481755=CARTESIAN_POINT('',(30.2875,19.8875,0.035)); #481756=CARTESIAN_POINT('Origin',(30.9125,19.8875,0.035)); #481757=CARTESIAN_POINT('',(30.9125,19.8875,0.035)); #481758=CARTESIAN_POINT('',(30.9125,19.8875,0.07)); #481759=CARTESIAN_POINT('Origin',(30.6,19.75,0.07)); #481760=CARTESIAN_POINT('Origin',(30.6,19.75,0.035)); #481761=CARTESIAN_POINT('Origin',(16.6571,50.0555,0.035)); #481762=CARTESIAN_POINT('',(16.6571,50.0555,0.035)); #481763=CARTESIAN_POINT('',(16.6571,50.9445,0.035)); #481764=CARTESIAN_POINT('',(16.6571,50.0555,0.035)); #481765=CARTESIAN_POINT('',(16.6571,50.9445,0.07)); #481766=CARTESIAN_POINT('',(16.6571,50.9445,0.035)); #481767=CARTESIAN_POINT('',(16.6571,50.0555,0.07)); #481768=CARTESIAN_POINT('',(16.6571,50.0555,0.07)); #481769=CARTESIAN_POINT('',(16.6571,50.0555,0.035)); #481770=CARTESIAN_POINT('Origin',(15.8189,50.0555,0.035)); #481771=CARTESIAN_POINT('',(15.8189,50.0555,0.035)); #481772=CARTESIAN_POINT('',(15.8189,50.0555,0.035)); #481773=CARTESIAN_POINT('',(15.8189,50.0555,0.07)); #481774=CARTESIAN_POINT('',(15.8189,50.0555,0.07)); #481775=CARTESIAN_POINT('',(15.8189,50.0555,0.035)); #481776=CARTESIAN_POINT('Origin',(15.8189,50.9445,0.035)); #481777=CARTESIAN_POINT('',(15.8189,50.9445,0.035)); #481778=CARTESIAN_POINT('',(15.8189,50.9445,0.035)); #481779=CARTESIAN_POINT('',(15.8189,50.9445,0.07)); #481780=CARTESIAN_POINT('',(15.8189,50.9445,0.07)); #481781=CARTESIAN_POINT('',(15.8189,50.9445,0.035)); #481782=CARTESIAN_POINT('Origin',(16.6571,50.9445,0.035)); #481783=CARTESIAN_POINT('',(16.6571,50.9445,0.035)); #481784=CARTESIAN_POINT('',(16.6571,50.9445,0.07)); #481785=CARTESIAN_POINT('Origin',(16.238,50.5,0.07)); #481786=CARTESIAN_POINT('Origin',(16.238,50.5,0.035)); #481787=CARTESIAN_POINT('Origin',(31.4311,56.5555,0.035)); #481788=CARTESIAN_POINT('',(31.4311,56.5555,0.035)); #481789=CARTESIAN_POINT('',(31.4311,57.4445,0.035)); #481790=CARTESIAN_POINT('',(31.4311,56.5555,0.035)); #481791=CARTESIAN_POINT('',(31.4311,57.4445,0.07)); #481792=CARTESIAN_POINT('',(31.4311,57.4445,0.035)); #481793=CARTESIAN_POINT('',(31.4311,56.5555,0.07)); #481794=CARTESIAN_POINT('',(31.4311,56.5555,0.07)); #481795=CARTESIAN_POINT('',(31.4311,56.5555,0.035)); #481796=CARTESIAN_POINT('Origin',(30.5929,56.5555,0.035)); #481797=CARTESIAN_POINT('',(30.5929,56.5555,0.035)); #481798=CARTESIAN_POINT('',(30.5929,56.5555,0.035)); #481799=CARTESIAN_POINT('',(30.5929,56.5555,0.07)); #481800=CARTESIAN_POINT('',(30.5929,56.5555,0.07)); #481801=CARTESIAN_POINT('',(30.5929,56.5555,0.035)); #481802=CARTESIAN_POINT('Origin',(30.5929,57.4445,0.035)); #481803=CARTESIAN_POINT('',(30.5929,57.4445,0.035)); #481804=CARTESIAN_POINT('',(30.5929,57.4445,0.035)); #481805=CARTESIAN_POINT('',(30.5929,57.4445,0.07)); #481806=CARTESIAN_POINT('',(30.5929,57.4445,0.07)); #481807=CARTESIAN_POINT('',(30.5929,57.4445,0.035)); #481808=CARTESIAN_POINT('Origin',(31.4311,57.4445,0.035)); #481809=CARTESIAN_POINT('',(31.4311,57.4445,0.035)); #481810=CARTESIAN_POINT('',(31.4311,57.4445,0.07)); #481811=CARTESIAN_POINT('Origin',(31.012,57.,0.07)); #481812=CARTESIAN_POINT('Origin',(31.012,57.,0.035)); #481813=CARTESIAN_POINT('Origin',(31.4311,52.5555,0.035)); #481814=CARTESIAN_POINT('',(31.4311,52.5555,0.035)); #481815=CARTESIAN_POINT('',(31.4311,53.4445,0.035)); #481816=CARTESIAN_POINT('',(31.4311,52.5555,0.035)); #481817=CARTESIAN_POINT('',(31.4311,53.4445,0.07)); #481818=CARTESIAN_POINT('',(31.4311,53.4445,0.035)); #481819=CARTESIAN_POINT('',(31.4311,52.5555,0.07)); #481820=CARTESIAN_POINT('',(31.4311,52.5555,0.07)); #481821=CARTESIAN_POINT('',(31.4311,52.5555,0.035)); #481822=CARTESIAN_POINT('Origin',(30.5929,52.5555,0.035)); #481823=CARTESIAN_POINT('',(30.5929,52.5555,0.035)); #481824=CARTESIAN_POINT('',(30.5929,52.5555,0.035)); #481825=CARTESIAN_POINT('',(30.5929,52.5555,0.07)); #481826=CARTESIAN_POINT('',(30.5929,52.5555,0.07)); #481827=CARTESIAN_POINT('',(30.5929,52.5555,0.035)); #481828=CARTESIAN_POINT('Origin',(30.5929,53.4445,0.035)); #481829=CARTESIAN_POINT('',(30.5929,53.4445,0.035)); #481830=CARTESIAN_POINT('',(30.5929,53.4445,0.035)); #481831=CARTESIAN_POINT('',(30.5929,53.4445,0.07)); #481832=CARTESIAN_POINT('',(30.5929,53.4445,0.07)); #481833=CARTESIAN_POINT('',(30.5929,53.4445,0.035)); #481834=CARTESIAN_POINT('Origin',(31.4311,53.4445,0.035)); #481835=CARTESIAN_POINT('',(31.4311,53.4445,0.035)); #481836=CARTESIAN_POINT('',(31.4311,53.4445,0.07)); #481837=CARTESIAN_POINT('Origin',(31.012,53.,0.07)); #481838=CARTESIAN_POINT('Origin',(31.012,53.,0.035)); #481839=CARTESIAN_POINT('Origin',(37.4071,56.5555,0.035)); #481840=CARTESIAN_POINT('',(37.4071,56.5555,0.035)); #481841=CARTESIAN_POINT('',(37.4071,57.4445,0.035)); #481842=CARTESIAN_POINT('',(37.4071,56.5555,0.035)); #481843=CARTESIAN_POINT('',(37.4071,57.4445,0.07)); #481844=CARTESIAN_POINT('',(37.4071,57.4445,0.035)); #481845=CARTESIAN_POINT('',(37.4071,56.5555,0.07)); #481846=CARTESIAN_POINT('',(37.4071,56.5555,0.07)); #481847=CARTESIAN_POINT('',(37.4071,56.5555,0.035)); #481848=CARTESIAN_POINT('Origin',(36.5689,56.5555,0.035)); #481849=CARTESIAN_POINT('',(36.5689,56.5555,0.035)); #481850=CARTESIAN_POINT('',(36.5689,56.5555,0.035)); #481851=CARTESIAN_POINT('',(36.5689,56.5555,0.07)); #481852=CARTESIAN_POINT('',(36.5689,56.5555,0.07)); #481853=CARTESIAN_POINT('',(36.5689,56.5555,0.035)); #481854=CARTESIAN_POINT('Origin',(36.5689,57.4445,0.035)); #481855=CARTESIAN_POINT('',(36.5689,57.4445,0.035)); #481856=CARTESIAN_POINT('',(36.5689,57.4445,0.035)); #481857=CARTESIAN_POINT('',(36.5689,57.4445,0.07)); #481858=CARTESIAN_POINT('',(36.5689,57.4445,0.07)); #481859=CARTESIAN_POINT('',(36.5689,57.4445,0.035)); #481860=CARTESIAN_POINT('Origin',(37.4071,57.4445,0.035)); #481861=CARTESIAN_POINT('',(37.4071,57.4445,0.035)); #481862=CARTESIAN_POINT('',(37.4071,57.4445,0.07)); #481863=CARTESIAN_POINT('Origin',(36.988,57.,0.07)); #481864=CARTESIAN_POINT('Origin',(36.988,57.,0.035)); #481865=CARTESIAN_POINT('Origin',(37.4071,52.5555,0.035)); #481866=CARTESIAN_POINT('',(37.4071,52.5555,0.035)); #481867=CARTESIAN_POINT('',(37.4071,53.4445,0.035)); #481868=CARTESIAN_POINT('',(37.4071,52.5555,0.035)); #481869=CARTESIAN_POINT('',(37.4071,53.4445,0.07)); #481870=CARTESIAN_POINT('',(37.4071,53.4445,0.035)); #481871=CARTESIAN_POINT('',(37.4071,52.5555,0.07)); #481872=CARTESIAN_POINT('',(37.4071,52.5555,0.07)); #481873=CARTESIAN_POINT('',(37.4071,52.5555,0.035)); #481874=CARTESIAN_POINT('Origin',(36.5689,52.5555,0.035)); #481875=CARTESIAN_POINT('',(36.5689,52.5555,0.035)); #481876=CARTESIAN_POINT('',(36.5689,52.5555,0.035)); #481877=CARTESIAN_POINT('',(36.5689,52.5555,0.07)); #481878=CARTESIAN_POINT('',(36.5689,52.5555,0.07)); #481879=CARTESIAN_POINT('',(36.5689,52.5555,0.035)); #481880=CARTESIAN_POINT('Origin',(36.5689,53.4445,0.035)); #481881=CARTESIAN_POINT('',(36.5689,53.4445,0.035)); #481882=CARTESIAN_POINT('',(36.5689,53.4445,0.035)); #481883=CARTESIAN_POINT('',(36.5689,53.4445,0.07)); #481884=CARTESIAN_POINT('',(36.5689,53.4445,0.07)); #481885=CARTESIAN_POINT('',(36.5689,53.4445,0.035)); #481886=CARTESIAN_POINT('Origin',(37.4071,53.4445,0.035)); #481887=CARTESIAN_POINT('',(37.4071,53.4445,0.035)); #481888=CARTESIAN_POINT('',(37.4071,53.4445,0.07)); #481889=CARTESIAN_POINT('Origin',(36.988,53.,0.07)); #481890=CARTESIAN_POINT('Origin',(36.988,53.,0.035)); #481891=CARTESIAN_POINT('Origin',(44.4445,41.3429,0.035)); #481892=CARTESIAN_POINT('',(44.4445,41.3429,0.035)); #481893=CARTESIAN_POINT('',(44.4445,42.1811,0.035)); #481894=CARTESIAN_POINT('',(44.4445,41.3429,0.035)); #481895=CARTESIAN_POINT('',(44.4445,42.1811,0.07)); #481896=CARTESIAN_POINT('',(44.4445,42.1811,0.035)); #481897=CARTESIAN_POINT('',(44.4445,41.3429,0.07)); #481898=CARTESIAN_POINT('',(44.4445,41.3429,0.07)); #481899=CARTESIAN_POINT('',(44.4445,41.3429,0.035)); #481900=CARTESIAN_POINT('Origin',(43.5555,41.3429,0.035)); #481901=CARTESIAN_POINT('',(43.5555,41.3429,0.035)); #481902=CARTESIAN_POINT('',(43.5555,41.3429,0.035)); #481903=CARTESIAN_POINT('',(43.5555,41.3429,0.07)); #481904=CARTESIAN_POINT('',(43.5555,41.3429,0.07)); #481905=CARTESIAN_POINT('',(43.5555,41.3429,0.035)); #481906=CARTESIAN_POINT('Origin',(43.5555,42.1811,0.035)); #481907=CARTESIAN_POINT('',(43.5555,42.1811,0.035)); #481908=CARTESIAN_POINT('',(43.5555,42.1811,0.035)); #481909=CARTESIAN_POINT('',(43.5555,42.1811,0.07)); #481910=CARTESIAN_POINT('',(43.5555,42.1811,0.07)); #481911=CARTESIAN_POINT('',(43.5555,42.1811,0.035)); #481912=CARTESIAN_POINT('Origin',(44.4445,42.1811,0.035)); #481913=CARTESIAN_POINT('',(44.4445,42.1811,0.035)); #481914=CARTESIAN_POINT('',(44.4445,42.1811,0.07)); #481915=CARTESIAN_POINT('Origin',(44.,41.762,0.07)); #481916=CARTESIAN_POINT('Origin',(44.,41.762,0.035)); #481917=CARTESIAN_POINT('Origin',(46.1811,25.5555,0.035)); #481918=CARTESIAN_POINT('',(46.1811,25.5555,0.035)); #481919=CARTESIAN_POINT('',(46.1811,26.4445,0.035)); #481920=CARTESIAN_POINT('',(46.1811,25.5555,0.035)); #481921=CARTESIAN_POINT('',(46.1811,26.4445,0.07)); #481922=CARTESIAN_POINT('',(46.1811,26.4445,0.035)); #481923=CARTESIAN_POINT('',(46.1811,25.5555,0.07)); #481924=CARTESIAN_POINT('',(46.1811,25.5555,0.07)); #481925=CARTESIAN_POINT('',(46.1811,25.5555,0.035)); #481926=CARTESIAN_POINT('Origin',(45.3429,25.5555,0.035)); #481927=CARTESIAN_POINT('',(45.3429,25.5555,0.035)); #481928=CARTESIAN_POINT('',(45.3429,25.5555,0.035)); #481929=CARTESIAN_POINT('',(45.3429,25.5555,0.07)); #481930=CARTESIAN_POINT('',(45.3429,25.5555,0.07)); #481931=CARTESIAN_POINT('',(45.3429,25.5555,0.035)); #481932=CARTESIAN_POINT('Origin',(45.3429,26.4445,0.035)); #481933=CARTESIAN_POINT('',(45.3429,26.4445,0.035)); #481934=CARTESIAN_POINT('',(45.3429,26.4445,0.035)); #481935=CARTESIAN_POINT('',(45.3429,26.4445,0.07)); #481936=CARTESIAN_POINT('',(45.3429,26.4445,0.07)); #481937=CARTESIAN_POINT('',(45.3429,26.4445,0.035)); #481938=CARTESIAN_POINT('Origin',(46.1811,26.4445,0.035)); #481939=CARTESIAN_POINT('',(46.1811,26.4445,0.035)); #481940=CARTESIAN_POINT('',(46.1811,26.4445,0.07)); #481941=CARTESIAN_POINT('Origin',(45.762,26.,0.07)); #481942=CARTESIAN_POINT('Origin',(45.762,26.,0.035)); #481943=CARTESIAN_POINT('Origin',(31.3875,13.2875,0.035)); #481944=CARTESIAN_POINT('',(31.3875,13.2875,0.035)); #481945=CARTESIAN_POINT('',(31.3875,13.9125,0.035)); #481946=CARTESIAN_POINT('',(31.3875,13.2875,0.035)); #481947=CARTESIAN_POINT('',(31.3875,13.9125,0.07)); #481948=CARTESIAN_POINT('',(31.3875,13.9125,0.035)); #481949=CARTESIAN_POINT('',(31.3875,13.2875,0.07)); #481950=CARTESIAN_POINT('',(31.3875,13.2875,0.07)); #481951=CARTESIAN_POINT('',(31.3875,13.2875,0.035)); #481952=CARTESIAN_POINT('Origin',(31.1125,13.2875,0.035)); #481953=CARTESIAN_POINT('',(31.1125,13.2875,0.035)); #481954=CARTESIAN_POINT('',(31.1125,13.2875,0.035)); #481955=CARTESIAN_POINT('',(31.1125,13.2875,0.07)); #481956=CARTESIAN_POINT('',(31.1125,13.2875,0.07)); #481957=CARTESIAN_POINT('',(31.1125,13.2875,0.035)); #481958=CARTESIAN_POINT('Origin',(31.1125,13.9125,0.035)); #481959=CARTESIAN_POINT('',(31.1125,13.9125,0.035)); #481960=CARTESIAN_POINT('',(31.1125,13.9125,0.035)); #481961=CARTESIAN_POINT('',(31.1125,13.9125,0.07)); #481962=CARTESIAN_POINT('',(31.1125,13.9125,0.07)); #481963=CARTESIAN_POINT('',(31.1125,13.9125,0.035)); #481964=CARTESIAN_POINT('Origin',(31.3875,13.9125,0.035)); #481965=CARTESIAN_POINT('',(31.3875,13.9125,0.035)); #481966=CARTESIAN_POINT('',(31.3875,13.9125,0.07)); #481967=CARTESIAN_POINT('Origin',(31.25,13.6,0.07)); #481968=CARTESIAN_POINT('Origin',(31.25,13.6,0.035)); #481969=CARTESIAN_POINT('Origin',(43.9,17.775,0.035)); #481970=CARTESIAN_POINT('',(43.9,17.775,0.035)); #481971=CARTESIAN_POINT('',(43.9,18.175,0.035)); #481972=CARTESIAN_POINT('',(43.9,17.775,0.035)); #481973=CARTESIAN_POINT('',(43.9,18.175,0.07)); #481974=CARTESIAN_POINT('',(43.9,18.175,0.035)); #481975=CARTESIAN_POINT('',(43.9,17.775,0.07)); #481976=CARTESIAN_POINT('',(43.9,17.775,0.07)); #481977=CARTESIAN_POINT('',(43.9,17.775,0.035)); #481978=CARTESIAN_POINT('Origin',(43.1,17.775,0.035)); #481979=CARTESIAN_POINT('',(43.1,17.775,0.035)); #481980=CARTESIAN_POINT('',(43.1,17.775,0.035)); #481981=CARTESIAN_POINT('',(43.1,17.775,0.07)); #481982=CARTESIAN_POINT('',(43.1,17.775,0.07)); #481983=CARTESIAN_POINT('',(43.1,17.775,0.035)); #481984=CARTESIAN_POINT('Origin',(43.1,18.175,0.035)); #481985=CARTESIAN_POINT('',(43.1,18.175,0.035)); #481986=CARTESIAN_POINT('',(43.1,18.175,0.035)); #481987=CARTESIAN_POINT('',(43.1,18.175,0.07)); #481988=CARTESIAN_POINT('',(43.1,18.175,0.07)); #481989=CARTESIAN_POINT('',(43.1,18.175,0.035)); #481990=CARTESIAN_POINT('Origin',(43.9,18.175,0.035)); #481991=CARTESIAN_POINT('',(43.9,18.175,0.035)); #481992=CARTESIAN_POINT('',(43.9,18.175,0.07)); #481993=CARTESIAN_POINT('Origin',(43.5,17.975,0.07)); #481994=CARTESIAN_POINT('Origin',(43.5,17.975,0.035)); #481995=CARTESIAN_POINT('Origin',(43.9,17.125,0.035)); #481996=CARTESIAN_POINT('',(43.9,17.125,0.035)); #481997=CARTESIAN_POINT('',(43.9,17.525,0.035)); #481998=CARTESIAN_POINT('',(43.9,17.125,0.035)); #481999=CARTESIAN_POINT('',(43.9,17.525,0.07)); #482000=CARTESIAN_POINT('',(43.9,17.525,0.035)); #482001=CARTESIAN_POINT('',(43.9,17.125,0.07)); #482002=CARTESIAN_POINT('',(43.9,17.125,0.07)); #482003=CARTESIAN_POINT('',(43.9,17.125,0.035)); #482004=CARTESIAN_POINT('Origin',(43.1,17.125,0.035)); #482005=CARTESIAN_POINT('',(43.1,17.125,0.035)); #482006=CARTESIAN_POINT('',(43.1,17.125,0.035)); #482007=CARTESIAN_POINT('',(43.1,17.125,0.07)); #482008=CARTESIAN_POINT('',(43.1,17.125,0.07)); #482009=CARTESIAN_POINT('',(43.1,17.125,0.035)); #482010=CARTESIAN_POINT('Origin',(43.1,17.525,0.035)); #482011=CARTESIAN_POINT('',(43.1,17.525,0.035)); #482012=CARTESIAN_POINT('',(43.1,17.525,0.035)); #482013=CARTESIAN_POINT('',(43.1,17.525,0.07)); #482014=CARTESIAN_POINT('',(43.1,17.525,0.07)); #482015=CARTESIAN_POINT('',(43.1,17.525,0.035)); #482016=CARTESIAN_POINT('Origin',(43.9,17.525,0.035)); #482017=CARTESIAN_POINT('',(43.9,17.525,0.035)); #482018=CARTESIAN_POINT('',(43.9,17.525,0.07)); #482019=CARTESIAN_POINT('Origin',(43.5,17.325,0.07)); #482020=CARTESIAN_POINT('Origin',(43.5,17.325,0.035)); #482021=CARTESIAN_POINT('Origin',(44.3142,11.4245,0.035)); #482022=CARTESIAN_POINT('',(44.3142,11.4245,0.035)); #482023=CARTESIAN_POINT('',(44.3142,13.0755,0.035)); #482024=CARTESIAN_POINT('',(44.3142,11.4245,0.035)); #482025=CARTESIAN_POINT('',(44.3142,13.0755,0.07)); #482026=CARTESIAN_POINT('',(44.3142,13.0755,0.035)); #482027=CARTESIAN_POINT('',(44.3142,11.4245,0.07)); #482028=CARTESIAN_POINT('',(44.3142,11.4245,0.07)); #482029=CARTESIAN_POINT('',(44.3142,11.4245,0.035)); #482030=CARTESIAN_POINT('Origin',(42.6378,11.4245,0.035)); #482031=CARTESIAN_POINT('',(42.6378,11.4245,0.035)); #482032=CARTESIAN_POINT('',(42.6378,11.4245,0.035)); #482033=CARTESIAN_POINT('',(42.6378,11.4245,0.07)); #482034=CARTESIAN_POINT('',(42.6378,11.4245,0.07)); #482035=CARTESIAN_POINT('',(42.6378,11.4245,0.035)); #482036=CARTESIAN_POINT('Origin',(42.6378,13.0755,0.035)); #482037=CARTESIAN_POINT('',(42.6378,13.0755,0.035)); #482038=CARTESIAN_POINT('',(42.6378,13.0755,0.035)); #482039=CARTESIAN_POINT('',(42.6378,13.0755,0.07)); #482040=CARTESIAN_POINT('',(42.6378,13.0755,0.07)); #482041=CARTESIAN_POINT('',(42.6378,13.0755,0.035)); #482042=CARTESIAN_POINT('Origin',(44.3142,13.0755,0.035)); #482043=CARTESIAN_POINT('',(44.3142,13.0755,0.035)); #482044=CARTESIAN_POINT('',(44.3142,13.0755,0.07)); #482045=CARTESIAN_POINT('Origin',(43.476,12.25,0.07)); #482046=CARTESIAN_POINT('Origin',(43.476,12.25,0.035)); #482047=CARTESIAN_POINT('Origin',(37.7125,17.6125,0.035)); #482048=CARTESIAN_POINT('',(37.7125,17.6125,0.035)); #482049=CARTESIAN_POINT('',(37.7125,17.8875,0.035)); #482050=CARTESIAN_POINT('',(37.7125,17.6125,0.035)); #482051=CARTESIAN_POINT('',(37.7125,17.8875,0.07)); #482052=CARTESIAN_POINT('',(37.7125,17.8875,0.035)); #482053=CARTESIAN_POINT('',(37.7125,17.6125,0.07)); #482054=CARTESIAN_POINT('',(37.7125,17.6125,0.07)); #482055=CARTESIAN_POINT('',(37.7125,17.6125,0.035)); #482056=CARTESIAN_POINT('Origin',(37.0875,17.6125,0.035)); #482057=CARTESIAN_POINT('',(37.0875,17.6125,0.035)); #482058=CARTESIAN_POINT('',(37.0875,17.6125,0.035)); #482059=CARTESIAN_POINT('',(37.0875,17.6125,0.07)); #482060=CARTESIAN_POINT('',(37.0875,17.6125,0.07)); #482061=CARTESIAN_POINT('',(37.0875,17.6125,0.035)); #482062=CARTESIAN_POINT('Origin',(37.0875,17.8875,0.035)); #482063=CARTESIAN_POINT('',(37.0875,17.8875,0.035)); #482064=CARTESIAN_POINT('',(37.0875,17.8875,0.035)); #482065=CARTESIAN_POINT('',(37.0875,17.8875,0.07)); #482066=CARTESIAN_POINT('',(37.0875,17.8875,0.07)); #482067=CARTESIAN_POINT('',(37.0875,17.8875,0.035)); #482068=CARTESIAN_POINT('Origin',(37.7125,17.8875,0.035)); #482069=CARTESIAN_POINT('',(37.7125,17.8875,0.035)); #482070=CARTESIAN_POINT('',(37.7125,17.8875,0.07)); #482071=CARTESIAN_POINT('Origin',(37.4,17.75,0.07)); #482072=CARTESIAN_POINT('Origin',(37.4,17.75,0.035)); #482073=CARTESIAN_POINT('Origin',(43.9,16.475,0.035)); #482074=CARTESIAN_POINT('',(43.9,16.475,0.035)); #482075=CARTESIAN_POINT('',(43.9,16.875,0.035)); #482076=CARTESIAN_POINT('',(43.9,16.475,0.035)); #482077=CARTESIAN_POINT('',(43.9,16.875,0.07)); #482078=CARTESIAN_POINT('',(43.9,16.875,0.035)); #482079=CARTESIAN_POINT('',(43.9,16.475,0.07)); #482080=CARTESIAN_POINT('',(43.9,16.475,0.07)); #482081=CARTESIAN_POINT('',(43.9,16.475,0.035)); #482082=CARTESIAN_POINT('Origin',(43.1,16.475,0.035)); #482083=CARTESIAN_POINT('',(43.1,16.475,0.035)); #482084=CARTESIAN_POINT('',(43.1,16.475,0.035)); #482085=CARTESIAN_POINT('',(43.1,16.475,0.07)); #482086=CARTESIAN_POINT('',(43.1,16.475,0.07)); #482087=CARTESIAN_POINT('',(43.1,16.475,0.035)); #482088=CARTESIAN_POINT('Origin',(43.1,16.875,0.035)); #482089=CARTESIAN_POINT('',(43.1,16.875,0.035)); #482090=CARTESIAN_POINT('',(43.1,16.875,0.035)); #482091=CARTESIAN_POINT('',(43.1,16.875,0.07)); #482092=CARTESIAN_POINT('',(43.1,16.875,0.07)); #482093=CARTESIAN_POINT('',(43.1,16.875,0.035)); #482094=CARTESIAN_POINT('Origin',(43.9,16.875,0.035)); #482095=CARTESIAN_POINT('',(43.9,16.875,0.035)); #482096=CARTESIAN_POINT('',(43.9,16.875,0.07)); #482097=CARTESIAN_POINT('Origin',(43.5,16.675,0.07)); #482098=CARTESIAN_POINT('Origin',(43.5,16.675,0.035)); #482099=CARTESIAN_POINT('Origin',(21.4445,27.8189,0.035)); #482100=CARTESIAN_POINT('',(21.4445,27.8189,0.035)); #482101=CARTESIAN_POINT('',(21.4445,28.6571,0.035)); #482102=CARTESIAN_POINT('',(21.4445,27.8189,0.035)); #482103=CARTESIAN_POINT('',(21.4445,28.6571,0.07)); #482104=CARTESIAN_POINT('',(21.4445,28.6571,0.035)); #482105=CARTESIAN_POINT('',(21.4445,27.8189,0.07)); #482106=CARTESIAN_POINT('',(21.4445,27.8189,0.07)); #482107=CARTESIAN_POINT('',(21.4445,27.8189,0.035)); #482108=CARTESIAN_POINT('Origin',(20.5555,27.8189,0.035)); #482109=CARTESIAN_POINT('',(20.5555,27.8189,0.035)); #482110=CARTESIAN_POINT('',(20.5555,27.8189,0.035)); #482111=CARTESIAN_POINT('',(20.5555,27.8189,0.07)); #482112=CARTESIAN_POINT('',(20.5555,27.8189,0.07)); #482113=CARTESIAN_POINT('',(20.5555,27.8189,0.035)); #482114=CARTESIAN_POINT('Origin',(20.5555,28.6571,0.035)); #482115=CARTESIAN_POINT('',(20.5555,28.6571,0.035)); #482116=CARTESIAN_POINT('',(20.5555,28.6571,0.035)); #482117=CARTESIAN_POINT('',(20.5555,28.6571,0.07)); #482118=CARTESIAN_POINT('',(20.5555,28.6571,0.07)); #482119=CARTESIAN_POINT('',(20.5555,28.6571,0.035)); #482120=CARTESIAN_POINT('Origin',(21.4445,28.6571,0.035)); #482121=CARTESIAN_POINT('',(21.4445,28.6571,0.035)); #482122=CARTESIAN_POINT('',(21.4445,28.6571,0.07)); #482123=CARTESIAN_POINT('Origin',(21.,28.238,0.07)); #482124=CARTESIAN_POINT('Origin',(21.,28.238,0.035)); #482125=CARTESIAN_POINT('Origin',(30.9125,17.6125,0.035)); #482126=CARTESIAN_POINT('',(30.9125,17.6125,0.035)); #482127=CARTESIAN_POINT('',(30.9125,17.8875,0.035)); #482128=CARTESIAN_POINT('',(30.9125,17.6125,0.035)); #482129=CARTESIAN_POINT('',(30.9125,17.8875,0.07)); #482130=CARTESIAN_POINT('',(30.9125,17.8875,0.035)); #482131=CARTESIAN_POINT('',(30.9125,17.6125,0.07)); #482132=CARTESIAN_POINT('',(30.9125,17.6125,0.07)); #482133=CARTESIAN_POINT('',(30.9125,17.6125,0.035)); #482134=CARTESIAN_POINT('Origin',(30.2875,17.6125,0.035)); #482135=CARTESIAN_POINT('',(30.2875,17.6125,0.035)); #482136=CARTESIAN_POINT('',(30.2875,17.6125,0.035)); #482137=CARTESIAN_POINT('',(30.2875,17.6125,0.07)); #482138=CARTESIAN_POINT('',(30.2875,17.6125,0.07)); #482139=CARTESIAN_POINT('',(30.2875,17.6125,0.035)); #482140=CARTESIAN_POINT('Origin',(30.2875,17.8875,0.035)); #482141=CARTESIAN_POINT('',(30.2875,17.8875,0.035)); #482142=CARTESIAN_POINT('',(30.2875,17.8875,0.035)); #482143=CARTESIAN_POINT('',(30.2875,17.8875,0.07)); #482144=CARTESIAN_POINT('',(30.2875,17.8875,0.07)); #482145=CARTESIAN_POINT('',(30.2875,17.8875,0.035)); #482146=CARTESIAN_POINT('Origin',(30.9125,17.8875,0.035)); #482147=CARTESIAN_POINT('',(30.9125,17.8875,0.035)); #482148=CARTESIAN_POINT('',(30.9125,17.8875,0.07)); #482149=CARTESIAN_POINT('Origin',(30.6,17.75,0.07)); #482150=CARTESIAN_POINT('Origin',(30.6,17.75,0.035)); #482151=CARTESIAN_POINT('Origin',(25.4445,27.8189,0.035)); #482152=CARTESIAN_POINT('',(25.4445,27.8189,0.035)); #482153=CARTESIAN_POINT('',(25.4445,28.6571,0.035)); #482154=CARTESIAN_POINT('',(25.4445,27.8189,0.035)); #482155=CARTESIAN_POINT('',(25.4445,28.6571,0.07)); #482156=CARTESIAN_POINT('',(25.4445,28.6571,0.035)); #482157=CARTESIAN_POINT('',(25.4445,27.8189,0.07)); #482158=CARTESIAN_POINT('',(25.4445,27.8189,0.07)); #482159=CARTESIAN_POINT('',(25.4445,27.8189,0.035)); #482160=CARTESIAN_POINT('Origin',(24.5555,27.8189,0.035)); #482161=CARTESIAN_POINT('',(24.5555,27.8189,0.035)); #482162=CARTESIAN_POINT('',(24.5555,27.8189,0.035)); #482163=CARTESIAN_POINT('',(24.5555,27.8189,0.07)); #482164=CARTESIAN_POINT('',(24.5555,27.8189,0.07)); #482165=CARTESIAN_POINT('',(24.5555,27.8189,0.035)); #482166=CARTESIAN_POINT('Origin',(24.5555,28.6571,0.035)); #482167=CARTESIAN_POINT('',(24.5555,28.6571,0.035)); #482168=CARTESIAN_POINT('',(24.5555,28.6571,0.035)); #482169=CARTESIAN_POINT('',(24.5555,28.6571,0.07)); #482170=CARTESIAN_POINT('',(24.5555,28.6571,0.07)); #482171=CARTESIAN_POINT('',(24.5555,28.6571,0.035)); #482172=CARTESIAN_POINT('Origin',(25.4445,28.6571,0.035)); #482173=CARTESIAN_POINT('',(25.4445,28.6571,0.035)); #482174=CARTESIAN_POINT('',(25.4445,28.6571,0.07)); #482175=CARTESIAN_POINT('Origin',(25.,28.238,0.07)); #482176=CARTESIAN_POINT('Origin',(25.,28.238,0.035)); #482177=CARTESIAN_POINT('Origin',(30.9125,16.1125,0.035)); #482178=CARTESIAN_POINT('',(30.9125,16.1125,0.035)); #482179=CARTESIAN_POINT('',(30.9125,16.3875,0.035)); #482180=CARTESIAN_POINT('',(30.9125,16.1125,0.035)); #482181=CARTESIAN_POINT('',(30.9125,16.3875,0.07)); #482182=CARTESIAN_POINT('',(30.9125,16.3875,0.035)); #482183=CARTESIAN_POINT('',(30.9125,16.1125,0.07)); #482184=CARTESIAN_POINT('',(30.9125,16.1125,0.07)); #482185=CARTESIAN_POINT('',(30.9125,16.1125,0.035)); #482186=CARTESIAN_POINT('Origin',(30.2875,16.1125,0.035)); #482187=CARTESIAN_POINT('',(30.2875,16.1125,0.035)); #482188=CARTESIAN_POINT('',(30.2875,16.1125,0.035)); #482189=CARTESIAN_POINT('',(30.2875,16.1125,0.07)); #482190=CARTESIAN_POINT('',(30.2875,16.1125,0.07)); #482191=CARTESIAN_POINT('',(30.2875,16.1125,0.035)); #482192=CARTESIAN_POINT('Origin',(30.2875,16.3875,0.035)); #482193=CARTESIAN_POINT('',(30.2875,16.3875,0.035)); #482194=CARTESIAN_POINT('',(30.2875,16.3875,0.035)); #482195=CARTESIAN_POINT('',(30.2875,16.3875,0.07)); #482196=CARTESIAN_POINT('',(30.2875,16.3875,0.07)); #482197=CARTESIAN_POINT('',(30.2875,16.3875,0.035)); #482198=CARTESIAN_POINT('Origin',(30.9125,16.3875,0.035)); #482199=CARTESIAN_POINT('',(30.9125,16.3875,0.035)); #482200=CARTESIAN_POINT('',(30.9125,16.3875,0.07)); #482201=CARTESIAN_POINT('Origin',(30.6,16.25,0.07)); #482202=CARTESIAN_POINT('Origin',(30.6,16.25,0.035)); #482203=CARTESIAN_POINT('Origin',(26.1811,17.5555,0.035)); #482204=CARTESIAN_POINT('',(26.1811,17.5555,0.035)); #482205=CARTESIAN_POINT('',(26.1811,18.4445,0.035)); #482206=CARTESIAN_POINT('',(26.1811,17.5555,0.035)); #482207=CARTESIAN_POINT('',(26.1811,18.4445,0.07)); #482208=CARTESIAN_POINT('',(26.1811,18.4445,0.035)); #482209=CARTESIAN_POINT('',(26.1811,17.5555,0.07)); #482210=CARTESIAN_POINT('',(26.1811,17.5555,0.07)); #482211=CARTESIAN_POINT('',(26.1811,17.5555,0.035)); #482212=CARTESIAN_POINT('Origin',(25.3429,17.5555,0.035)); #482213=CARTESIAN_POINT('',(25.3429,17.5555,0.035)); #482214=CARTESIAN_POINT('',(25.3429,17.5555,0.035)); #482215=CARTESIAN_POINT('',(25.3429,17.5555,0.07)); #482216=CARTESIAN_POINT('',(25.3429,17.5555,0.07)); #482217=CARTESIAN_POINT('',(25.3429,17.5555,0.035)); #482218=CARTESIAN_POINT('Origin',(25.3429,18.4445,0.035)); #482219=CARTESIAN_POINT('',(25.3429,18.4445,0.035)); #482220=CARTESIAN_POINT('',(25.3429,18.4445,0.035)); #482221=CARTESIAN_POINT('',(25.3429,18.4445,0.07)); #482222=CARTESIAN_POINT('',(25.3429,18.4445,0.07)); #482223=CARTESIAN_POINT('',(25.3429,18.4445,0.035)); #482224=CARTESIAN_POINT('Origin',(26.1811,18.4445,0.035)); #482225=CARTESIAN_POINT('',(26.1811,18.4445,0.035)); #482226=CARTESIAN_POINT('',(26.1811,18.4445,0.07)); #482227=CARTESIAN_POINT('Origin',(25.762,18.,0.07)); #482228=CARTESIAN_POINT('Origin',(25.762,18.,0.035)); #482229=CARTESIAN_POINT('Origin',(30.9125,14.6125,0.035)); #482230=CARTESIAN_POINT('',(30.9125,14.6125,0.035)); #482231=CARTESIAN_POINT('',(30.9125,14.8875,0.035)); #482232=CARTESIAN_POINT('',(30.9125,14.6125,0.035)); #482233=CARTESIAN_POINT('',(30.9125,14.8875,0.07)); #482234=CARTESIAN_POINT('',(30.9125,14.8875,0.035)); #482235=CARTESIAN_POINT('',(30.9125,14.6125,0.07)); #482236=CARTESIAN_POINT('',(30.9125,14.6125,0.07)); #482237=CARTESIAN_POINT('',(30.9125,14.6125,0.035)); #482238=CARTESIAN_POINT('Origin',(30.2875,14.6125,0.035)); #482239=CARTESIAN_POINT('',(30.2875,14.6125,0.035)); #482240=CARTESIAN_POINT('',(30.2875,14.6125,0.035)); #482241=CARTESIAN_POINT('',(30.2875,14.6125,0.07)); #482242=CARTESIAN_POINT('',(30.2875,14.6125,0.07)); #482243=CARTESIAN_POINT('',(30.2875,14.6125,0.035)); #482244=CARTESIAN_POINT('Origin',(30.2875,14.8875,0.035)); #482245=CARTESIAN_POINT('',(30.2875,14.8875,0.035)); #482246=CARTESIAN_POINT('',(30.2875,14.8875,0.035)); #482247=CARTESIAN_POINT('',(30.2875,14.8875,0.07)); #482248=CARTESIAN_POINT('',(30.2875,14.8875,0.07)); #482249=CARTESIAN_POINT('',(30.2875,14.8875,0.035)); #482250=CARTESIAN_POINT('Origin',(30.9125,14.8875,0.035)); #482251=CARTESIAN_POINT('',(30.9125,14.8875,0.035)); #482252=CARTESIAN_POINT('',(30.9125,14.8875,0.07)); #482253=CARTESIAN_POINT('Origin',(30.6,14.75,0.07)); #482254=CARTESIAN_POINT('Origin',(30.6,14.75,0.035)); #482255=CARTESIAN_POINT('',(0.,0.,0.)); #482256=CARTESIAN_POINT('Origin',(32.73,45.,-200.)); #482257=CARTESIAN_POINT('',(32.165,45.,0.)); #482258=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #482259=CARTESIAN_POINT('',(32.165,45.,0.0349999999999895)); #482260=CARTESIAN_POINT('',(32.165,45.,-200.)); #482261=CARTESIAN_POINT('Origin',(32.73,45.,0.0349999999999895)); #482262=CARTESIAN_POINT('Origin',(32.769294,44.1,0.)); #482263=CARTESIAN_POINT('',(32.769294,44.1,0.)); #482264=CARTESIAN_POINT('',(32.847584,44.10685,0.)); #482265=CARTESIAN_POINT('',(32.769294,44.1,0.)); #482266=CARTESIAN_POINT('',(32.847584,44.10685,0.035)); #482267=CARTESIAN_POINT('',(32.847584,44.10685,0.)); #482268=CARTESIAN_POINT('',(32.769294,44.1,0.035)); #482269=CARTESIAN_POINT('',(32.769294,44.1,0.035)); #482270=CARTESIAN_POINT('',(32.769294,44.1,0.)); #482271=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.)); #482272=CARTESIAN_POINT('',(32.612416,45.89315,0.)); #482273=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.)); #482274=CARTESIAN_POINT('',(32.612416,45.89315,0.035)); #482275=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.035)); #482276=CARTESIAN_POINT('',(32.612416,45.89315,0.)); #482277=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #482278=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #482279=CARTESIAN_POINT('Origin',(32.73,45.,0.035)); #482280=CARTESIAN_POINT('Origin',(32.7282590237178,44.9986240251875,0.035)); #482281=CARTESIAN_POINT('Origin',(32.7282590237178,44.9986240251875,0.)); #482282=CARTESIAN_POINT('Origin',(21.2675,21.215,0.)); #482283=CARTESIAN_POINT('',(21.2675,21.215,0.)); #482284=CARTESIAN_POINT('',(21.2675,22.485,0.)); #482285=CARTESIAN_POINT('',(21.2675,21.215,0.)); #482286=CARTESIAN_POINT('',(21.2675,22.485,0.035)); #482287=CARTESIAN_POINT('',(21.2675,22.485,0.)); #482288=CARTESIAN_POINT('',(21.2675,21.215,0.035)); #482289=CARTESIAN_POINT('',(21.2675,21.215,0.035)); #482290=CARTESIAN_POINT('',(21.2675,21.215,0.)); #482291=CARTESIAN_POINT('Origin',(20.6325,21.215,0.)); #482292=CARTESIAN_POINT('',(20.6325,21.215,0.)); #482293=CARTESIAN_POINT('',(20.6325,21.215,0.)); #482294=CARTESIAN_POINT('',(20.6325,21.215,0.035)); #482295=CARTESIAN_POINT('',(20.6325,21.215,0.035)); #482296=CARTESIAN_POINT('',(20.6325,21.215,0.)); #482297=CARTESIAN_POINT('Origin',(20.6325,22.485,0.)); #482298=CARTESIAN_POINT('',(20.6325,22.485,0.)); #482299=CARTESIAN_POINT('',(20.6325,22.485,0.)); #482300=CARTESIAN_POINT('',(20.6325,22.485,0.035)); #482301=CARTESIAN_POINT('',(20.6325,22.485,0.035)); #482302=CARTESIAN_POINT('',(20.6325,22.485,0.)); #482303=CARTESIAN_POINT('Origin',(21.2675,22.485,0.)); #482304=CARTESIAN_POINT('',(21.2675,22.485,0.)); #482305=CARTESIAN_POINT('',(21.2675,22.485,0.035)); #482306=CARTESIAN_POINT('Origin',(20.95,21.85,0.035)); #482307=CARTESIAN_POINT('Origin',(20.95,21.85,0.)); #482308=CARTESIAN_POINT('Origin',(32.9,6.67,0.)); #482309=CARTESIAN_POINT('',(32.9,6.67,0.)); #482310=CARTESIAN_POINT('',(32.9,7.82,0.)); #482311=CARTESIAN_POINT('',(32.9,6.67,0.)); #482312=CARTESIAN_POINT('',(32.9,7.82,0.035)); #482313=CARTESIAN_POINT('',(32.9,7.82,0.)); #482314=CARTESIAN_POINT('',(32.9,6.67,0.035)); #482315=CARTESIAN_POINT('',(32.9,6.67,0.035)); #482316=CARTESIAN_POINT('',(32.9,6.67,0.)); #482317=CARTESIAN_POINT('Origin',(32.6,6.67,0.)); #482318=CARTESIAN_POINT('',(32.6,6.67,0.)); #482319=CARTESIAN_POINT('',(32.6,6.67,0.)); #482320=CARTESIAN_POINT('',(32.6,6.67,0.035)); #482321=CARTESIAN_POINT('',(32.6,6.67,0.035)); #482322=CARTESIAN_POINT('',(32.6,6.67,0.)); #482323=CARTESIAN_POINT('Origin',(32.6,7.82,0.)); #482324=CARTESIAN_POINT('',(32.6,7.82,0.)); #482325=CARTESIAN_POINT('',(32.6,7.82,0.)); #482326=CARTESIAN_POINT('',(32.6,7.82,0.035)); #482327=CARTESIAN_POINT('',(32.6,7.82,0.035)); #482328=CARTESIAN_POINT('',(32.6,7.82,0.)); #482329=CARTESIAN_POINT('Origin',(32.9,7.82,0.)); #482330=CARTESIAN_POINT('',(32.9,7.82,0.)); #482331=CARTESIAN_POINT('',(32.9,7.82,0.035)); #482332=CARTESIAN_POINT('Origin',(32.75,7.245,0.035)); #482333=CARTESIAN_POINT('Origin',(32.75,7.245,0.)); #482334=CARTESIAN_POINT('Origin',(36.4,7.1,-200.)); #482335=CARTESIAN_POINT('',(36.285,7.1,0.)); #482336=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #482337=CARTESIAN_POINT('',(36.285,7.1,0.0349999999999895)); #482338=CARTESIAN_POINT('',(36.285,7.1,-200.)); #482339=CARTESIAN_POINT('Origin',(36.4,7.1,0.0349999999999895)); #482340=CARTESIAN_POINT('Origin',(36.4,7.6,-200.)); #482341=CARTESIAN_POINT('',(36.285,7.6,0.)); #482342=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #482343=CARTESIAN_POINT('',(36.285,7.6,0.0349999999999895)); #482344=CARTESIAN_POINT('',(36.285,7.6,-200.)); #482345=CARTESIAN_POINT('Origin',(36.4,7.6,0.0349999999999895)); #482346=CARTESIAN_POINT('Origin',(36.705,6.665,0.)); #482347=CARTESIAN_POINT('',(36.705,6.665,0.)); #482348=CARTESIAN_POINT('',(36.705,7.825,0.)); #482349=CARTESIAN_POINT('',(36.705,6.665,0.)); #482350=CARTESIAN_POINT('',(36.705,7.825,0.035)); #482351=CARTESIAN_POINT('',(36.705,7.825,0.)); #482352=CARTESIAN_POINT('',(36.705,6.665,0.035)); #482353=CARTESIAN_POINT('',(36.705,6.665,0.035)); #482354=CARTESIAN_POINT('',(36.705,6.665,0.)); #482355=CARTESIAN_POINT('Origin',(36.095,6.665,0.)); #482356=CARTESIAN_POINT('',(36.095,6.665,0.)); #482357=CARTESIAN_POINT('',(36.095,6.665,0.)); #482358=CARTESIAN_POINT('',(36.095,6.665,0.035)); #482359=CARTESIAN_POINT('',(36.095,6.665,0.035)); #482360=CARTESIAN_POINT('',(36.095,6.665,0.)); #482361=CARTESIAN_POINT('Origin',(36.095,7.825,0.)); #482362=CARTESIAN_POINT('',(36.095,7.825,0.)); #482363=CARTESIAN_POINT('',(36.095,7.825,0.)); #482364=CARTESIAN_POINT('',(36.095,7.825,0.035)); #482365=CARTESIAN_POINT('',(36.095,7.825,0.035)); #482366=CARTESIAN_POINT('',(36.095,7.825,0.)); #482367=CARTESIAN_POINT('Origin',(36.705,7.825,0.)); #482368=CARTESIAN_POINT('',(36.705,7.825,0.)); #482369=CARTESIAN_POINT('',(36.705,7.825,0.035)); #482370=CARTESIAN_POINT('Origin',(36.4,7.245,0.035)); #482371=CARTESIAN_POINT('Origin',(36.4,7.245,0.)); #482372=CARTESIAN_POINT('Origin',(46.3998,17.5585,0.)); #482373=CARTESIAN_POINT('',(46.3998,17.5585,0.)); #482374=CARTESIAN_POINT('',(46.3998,19.2095,0.)); #482375=CARTESIAN_POINT('',(46.3998,17.5585,0.)); #482376=CARTESIAN_POINT('',(46.3998,19.2095,0.035)); #482377=CARTESIAN_POINT('',(46.3998,19.2095,0.)); #482378=CARTESIAN_POINT('',(46.3998,17.5585,0.035)); #482379=CARTESIAN_POINT('',(46.3998,17.5585,0.035)); #482380=CARTESIAN_POINT('',(46.3998,17.5585,0.)); #482381=CARTESIAN_POINT('Origin',(45.7902,17.5585,0.)); #482382=CARTESIAN_POINT('',(45.7902,17.5585,0.)); #482383=CARTESIAN_POINT('',(45.7902,17.5585,0.)); #482384=CARTESIAN_POINT('',(45.7902,17.5585,0.035)); #482385=CARTESIAN_POINT('',(45.7902,17.5585,0.035)); #482386=CARTESIAN_POINT('',(45.7902,17.5585,0.)); #482387=CARTESIAN_POINT('Origin',(45.7902,19.2095,0.)); #482388=CARTESIAN_POINT('',(45.7902,19.2095,0.)); #482389=CARTESIAN_POINT('',(45.7902,19.2095,0.)); #482390=CARTESIAN_POINT('',(45.7902,19.2095,0.035)); #482391=CARTESIAN_POINT('',(45.7902,19.2095,0.035)); #482392=CARTESIAN_POINT('',(45.7902,19.2095,0.)); #482393=CARTESIAN_POINT('Origin',(46.3998,19.2095,0.)); #482394=CARTESIAN_POINT('',(46.3998,19.2095,0.)); #482395=CARTESIAN_POINT('',(46.3998,19.2095,0.035)); #482396=CARTESIAN_POINT('Origin',(46.095,18.384,0.035)); #482397=CARTESIAN_POINT('Origin',(46.095,18.384,0.)); #482398=CARTESIAN_POINT('Origin',(31.6,7.6,-200.)); #482399=CARTESIAN_POINT('',(31.485,7.6,0.)); #482400=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #482401=CARTESIAN_POINT('',(31.485,7.6,0.0349999999999895)); #482402=CARTESIAN_POINT('',(31.485,7.6,-200.)); #482403=CARTESIAN_POINT('Origin',(31.6,7.6,0.0349999999999895)); #482404=CARTESIAN_POINT('Origin',(31.6,7.1,-200.)); #482405=CARTESIAN_POINT('',(31.485,7.1,0.)); #482406=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #482407=CARTESIAN_POINT('',(31.485,7.1,0.0349999999999895)); #482408=CARTESIAN_POINT('',(31.485,7.1,-200.)); #482409=CARTESIAN_POINT('Origin',(31.6,7.1,0.0349999999999895)); #482410=CARTESIAN_POINT('Origin',(31.905,6.665,0.)); #482411=CARTESIAN_POINT('',(31.905,6.665,0.)); #482412=CARTESIAN_POINT('',(31.905,7.825,0.)); #482413=CARTESIAN_POINT('',(31.905,6.665,0.)); #482414=CARTESIAN_POINT('',(31.905,7.825,0.035)); #482415=CARTESIAN_POINT('',(31.905,7.825,0.)); #482416=CARTESIAN_POINT('',(31.905,6.665,0.035)); #482417=CARTESIAN_POINT('',(31.905,6.665,0.035)); #482418=CARTESIAN_POINT('',(31.905,6.665,0.)); #482419=CARTESIAN_POINT('Origin',(31.295,6.665,0.)); #482420=CARTESIAN_POINT('',(31.295,6.665,0.)); #482421=CARTESIAN_POINT('',(31.295,6.665,0.)); #482422=CARTESIAN_POINT('',(31.295,6.665,0.035)); #482423=CARTESIAN_POINT('',(31.295,6.665,0.035)); #482424=CARTESIAN_POINT('',(31.295,6.665,0.)); #482425=CARTESIAN_POINT('Origin',(31.295,7.825,0.)); #482426=CARTESIAN_POINT('',(31.295,7.825,0.)); #482427=CARTESIAN_POINT('',(31.295,7.825,0.)); #482428=CARTESIAN_POINT('',(31.295,7.825,0.035)); #482429=CARTESIAN_POINT('',(31.295,7.825,0.035)); #482430=CARTESIAN_POINT('',(31.295,7.825,0.)); #482431=CARTESIAN_POINT('Origin',(31.905,7.825,0.)); #482432=CARTESIAN_POINT('',(31.905,7.825,0.)); #482433=CARTESIAN_POINT('',(31.905,7.825,0.035)); #482434=CARTESIAN_POINT('Origin',(31.6,7.245,0.035)); #482435=CARTESIAN_POINT('Origin',(31.6,7.245,0.)); #482436=CARTESIAN_POINT('Origin',(35.9,6.67,0.)); #482437=CARTESIAN_POINT('',(35.9,6.67,0.)); #482438=CARTESIAN_POINT('',(35.9,7.82,0.)); #482439=CARTESIAN_POINT('',(35.9,6.67,0.)); #482440=CARTESIAN_POINT('',(35.9,7.82,0.035)); #482441=CARTESIAN_POINT('',(35.9,7.82,0.)); #482442=CARTESIAN_POINT('',(35.9,6.67,0.035)); #482443=CARTESIAN_POINT('',(35.9,6.67,0.035)); #482444=CARTESIAN_POINT('',(35.9,6.67,0.)); #482445=CARTESIAN_POINT('Origin',(35.6,6.67,0.)); #482446=CARTESIAN_POINT('',(35.6,6.67,0.)); #482447=CARTESIAN_POINT('',(35.6,6.67,0.)); #482448=CARTESIAN_POINT('',(35.6,6.67,0.035)); #482449=CARTESIAN_POINT('',(35.6,6.67,0.035)); #482450=CARTESIAN_POINT('',(35.6,6.67,0.)); #482451=CARTESIAN_POINT('Origin',(35.6,7.82,0.)); #482452=CARTESIAN_POINT('',(35.6,7.82,0.)); #482453=CARTESIAN_POINT('',(35.6,7.82,0.)); #482454=CARTESIAN_POINT('',(35.6,7.82,0.035)); #482455=CARTESIAN_POINT('',(35.6,7.82,0.035)); #482456=CARTESIAN_POINT('',(35.6,7.82,0.)); #482457=CARTESIAN_POINT('Origin',(35.9,7.82,0.)); #482458=CARTESIAN_POINT('',(35.9,7.82,0.)); #482459=CARTESIAN_POINT('',(35.9,7.82,0.035)); #482460=CARTESIAN_POINT('Origin',(35.75,7.245,0.035)); #482461=CARTESIAN_POINT('Origin',(35.75,7.245,0.)); #482462=CARTESIAN_POINT('Origin',(37.2,7.1,-200.)); #482463=CARTESIAN_POINT('',(37.085,7.1,0.)); #482464=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #482465=CARTESIAN_POINT('',(37.085,7.1,0.0349999999999895)); #482466=CARTESIAN_POINT('',(37.085,7.1,-200.)); #482467=CARTESIAN_POINT('Origin',(37.2,7.1,0.0349999999999895)); #482468=CARTESIAN_POINT('Origin',(37.2,7.6,-200.)); #482469=CARTESIAN_POINT('',(37.085,7.6,0.)); #482470=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #482471=CARTESIAN_POINT('',(37.085,7.6,0.0349999999999895)); #482472=CARTESIAN_POINT('',(37.085,7.6,-200.)); #482473=CARTESIAN_POINT('Origin',(37.2,7.6,0.0349999999999895)); #482474=CARTESIAN_POINT('Origin',(37.505,6.665,0.)); #482475=CARTESIAN_POINT('',(37.505,6.665,0.)); #482476=CARTESIAN_POINT('',(37.505,7.825,0.)); #482477=CARTESIAN_POINT('',(37.505,6.665,0.)); #482478=CARTESIAN_POINT('',(37.505,7.825,0.035)); #482479=CARTESIAN_POINT('',(37.505,7.825,0.)); #482480=CARTESIAN_POINT('',(37.505,6.665,0.035)); #482481=CARTESIAN_POINT('',(37.505,6.665,0.035)); #482482=CARTESIAN_POINT('',(37.505,6.665,0.)); #482483=CARTESIAN_POINT('Origin',(36.895,6.665,0.)); #482484=CARTESIAN_POINT('',(36.895,6.665,0.)); #482485=CARTESIAN_POINT('',(36.895,6.665,0.)); #482486=CARTESIAN_POINT('',(36.895,6.665,0.035)); #482487=CARTESIAN_POINT('',(36.895,6.665,0.035)); #482488=CARTESIAN_POINT('',(36.895,6.665,0.)); #482489=CARTESIAN_POINT('Origin',(36.895,7.825,0.)); #482490=CARTESIAN_POINT('',(36.895,7.825,0.)); #482491=CARTESIAN_POINT('',(36.895,7.825,0.)); #482492=CARTESIAN_POINT('',(36.895,7.825,0.035)); #482493=CARTESIAN_POINT('',(36.895,7.825,0.035)); #482494=CARTESIAN_POINT('',(36.895,7.825,0.)); #482495=CARTESIAN_POINT('Origin',(37.505,7.825,0.)); #482496=CARTESIAN_POINT('',(37.505,7.825,0.)); #482497=CARTESIAN_POINT('',(37.505,7.825,0.035)); #482498=CARTESIAN_POINT('Origin',(37.2,7.245,0.035)); #482499=CARTESIAN_POINT('Origin',(37.2,7.245,0.)); #482500=CARTESIAN_POINT('Origin',(50.2098,17.5585,0.)); #482501=CARTESIAN_POINT('',(50.2098,17.5585,0.)); #482502=CARTESIAN_POINT('',(50.2098,19.2095,0.)); #482503=CARTESIAN_POINT('',(50.2098,17.5585,0.)); #482504=CARTESIAN_POINT('',(50.2098,19.2095,0.035)); #482505=CARTESIAN_POINT('',(50.2098,19.2095,0.)); #482506=CARTESIAN_POINT('',(50.2098,17.5585,0.035)); #482507=CARTESIAN_POINT('',(50.2098,17.5585,0.035)); #482508=CARTESIAN_POINT('',(50.2098,17.5585,0.)); #482509=CARTESIAN_POINT('Origin',(49.6002,17.5585,0.)); #482510=CARTESIAN_POINT('',(49.6002,17.5585,0.)); #482511=CARTESIAN_POINT('',(49.6002,17.5585,0.)); #482512=CARTESIAN_POINT('',(49.6002,17.5585,0.035)); #482513=CARTESIAN_POINT('',(49.6002,17.5585,0.035)); #482514=CARTESIAN_POINT('',(49.6002,17.5585,0.)); #482515=CARTESIAN_POINT('Origin',(49.6002,19.2095,0.)); #482516=CARTESIAN_POINT('',(49.6002,19.2095,0.)); #482517=CARTESIAN_POINT('',(49.6002,19.2095,0.)); #482518=CARTESIAN_POINT('',(49.6002,19.2095,0.035)); #482519=CARTESIAN_POINT('',(49.6002,19.2095,0.035)); #482520=CARTESIAN_POINT('',(49.6002,19.2095,0.)); #482521=CARTESIAN_POINT('Origin',(50.2098,19.2095,0.)); #482522=CARTESIAN_POINT('',(50.2098,19.2095,0.)); #482523=CARTESIAN_POINT('',(50.2098,19.2095,0.035)); #482524=CARTESIAN_POINT('Origin',(49.905,18.384,0.035)); #482525=CARTESIAN_POINT('Origin',(49.905,18.384,0.)); #482526=CARTESIAN_POINT('Origin',(30.8,7.6,-200.)); #482527=CARTESIAN_POINT('',(30.685,7.6,0.)); #482528=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #482529=CARTESIAN_POINT('',(30.685,7.6,0.0349999999999895)); #482530=CARTESIAN_POINT('',(30.685,7.6,-200.)); #482531=CARTESIAN_POINT('Origin',(30.8,7.6,0.0349999999999895)); #482532=CARTESIAN_POINT('Origin',(30.8,7.1,-200.)); #482533=CARTESIAN_POINT('',(30.685,7.1,0.)); #482534=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #482535=CARTESIAN_POINT('',(30.685,7.1,0.0349999999999895)); #482536=CARTESIAN_POINT('',(30.685,7.1,-200.)); #482537=CARTESIAN_POINT('Origin',(30.8,7.1,0.0349999999999895)); #482538=CARTESIAN_POINT('Origin',(31.105,6.665,0.)); #482539=CARTESIAN_POINT('',(31.105,6.665,0.)); #482540=CARTESIAN_POINT('',(31.105,7.825,0.)); #482541=CARTESIAN_POINT('',(31.105,6.665,0.)); #482542=CARTESIAN_POINT('',(31.105,7.825,0.035)); #482543=CARTESIAN_POINT('',(31.105,7.825,0.)); #482544=CARTESIAN_POINT('',(31.105,6.665,0.035)); #482545=CARTESIAN_POINT('',(31.105,6.665,0.035)); #482546=CARTESIAN_POINT('',(31.105,6.665,0.)); #482547=CARTESIAN_POINT('Origin',(30.495,6.665,0.)); #482548=CARTESIAN_POINT('',(30.495,6.665,0.)); #482549=CARTESIAN_POINT('',(30.495,6.665,0.)); #482550=CARTESIAN_POINT('',(30.495,6.665,0.035)); #482551=CARTESIAN_POINT('',(30.495,6.665,0.035)); #482552=CARTESIAN_POINT('',(30.495,6.665,0.)); #482553=CARTESIAN_POINT('Origin',(30.495,7.825,0.)); #482554=CARTESIAN_POINT('',(30.495,7.825,0.)); #482555=CARTESIAN_POINT('',(30.495,7.825,0.)); #482556=CARTESIAN_POINT('',(30.495,7.825,0.035)); #482557=CARTESIAN_POINT('',(30.495,7.825,0.035)); #482558=CARTESIAN_POINT('',(30.495,7.825,0.)); #482559=CARTESIAN_POINT('Origin',(31.105,7.825,0.)); #482560=CARTESIAN_POINT('',(31.105,7.825,0.)); #482561=CARTESIAN_POINT('',(31.105,7.825,0.035)); #482562=CARTESIAN_POINT('Origin',(30.8,7.245,0.035)); #482563=CARTESIAN_POINT('Origin',(30.8,7.245,0.)); #482564=CARTESIAN_POINT('Origin',(48.9398,17.5585,0.)); #482565=CARTESIAN_POINT('',(48.9398,17.5585,0.)); #482566=CARTESIAN_POINT('',(48.9398,19.2095,0.)); #482567=CARTESIAN_POINT('',(48.9398,17.5585,0.)); #482568=CARTESIAN_POINT('',(48.9398,19.2095,0.035)); #482569=CARTESIAN_POINT('',(48.9398,19.2095,0.)); #482570=CARTESIAN_POINT('',(48.9398,17.5585,0.035)); #482571=CARTESIAN_POINT('',(48.9398,17.5585,0.035)); #482572=CARTESIAN_POINT('',(48.9398,17.5585,0.)); #482573=CARTESIAN_POINT('Origin',(48.3302,17.5585,0.)); #482574=CARTESIAN_POINT('',(48.3302,17.5585,0.)); #482575=CARTESIAN_POINT('',(48.3302,17.5585,0.)); #482576=CARTESIAN_POINT('',(48.3302,17.5585,0.035)); #482577=CARTESIAN_POINT('',(48.3302,17.5585,0.035)); #482578=CARTESIAN_POINT('',(48.3302,17.5585,0.)); #482579=CARTESIAN_POINT('Origin',(48.3302,19.2095,0.)); #482580=CARTESIAN_POINT('',(48.3302,19.2095,0.)); #482581=CARTESIAN_POINT('',(48.3302,19.2095,0.)); #482582=CARTESIAN_POINT('',(48.3302,19.2095,0.035)); #482583=CARTESIAN_POINT('',(48.3302,19.2095,0.035)); #482584=CARTESIAN_POINT('',(48.3302,19.2095,0.)); #482585=CARTESIAN_POINT('Origin',(48.9398,19.2095,0.)); #482586=CARTESIAN_POINT('',(48.9398,19.2095,0.)); #482587=CARTESIAN_POINT('',(48.9398,19.2095,0.035)); #482588=CARTESIAN_POINT('Origin',(48.635,18.384,0.035)); #482589=CARTESIAN_POINT('Origin',(48.635,18.384,0.)); #482590=CARTESIAN_POINT('Origin',(19.6571,19.5555,0.)); #482591=CARTESIAN_POINT('',(19.6571,19.5555,0.)); #482592=CARTESIAN_POINT('',(19.6571,20.4445,0.)); #482593=CARTESIAN_POINT('',(19.6571,19.5555,0.)); #482594=CARTESIAN_POINT('',(19.6571,20.4445,0.035)); #482595=CARTESIAN_POINT('',(19.6571,20.4445,0.)); #482596=CARTESIAN_POINT('',(19.6571,19.5555,0.035)); #482597=CARTESIAN_POINT('',(19.6571,19.5555,0.035)); #482598=CARTESIAN_POINT('',(19.6571,19.5555,0.)); #482599=CARTESIAN_POINT('Origin',(18.8189,19.5555,0.)); #482600=CARTESIAN_POINT('',(18.8189,19.5555,0.)); #482601=CARTESIAN_POINT('',(18.8189,19.5555,0.)); #482602=CARTESIAN_POINT('',(18.8189,19.5555,0.035)); #482603=CARTESIAN_POINT('',(18.8189,19.5555,0.035)); #482604=CARTESIAN_POINT('',(18.8189,19.5555,0.)); #482605=CARTESIAN_POINT('Origin',(18.8189,20.4445,0.)); #482606=CARTESIAN_POINT('',(18.8189,20.4445,0.)); #482607=CARTESIAN_POINT('',(18.8189,20.4445,0.)); #482608=CARTESIAN_POINT('',(18.8189,20.4445,0.035)); #482609=CARTESIAN_POINT('',(18.8189,20.4445,0.035)); #482610=CARTESIAN_POINT('',(18.8189,20.4445,0.)); #482611=CARTESIAN_POINT('Origin',(19.111,20.4445,0.)); #482612=CARTESIAN_POINT('',(19.111,20.4445,0.)); #482613=CARTESIAN_POINT('',(19.111,20.4445,0.)); #482614=CARTESIAN_POINT('',(19.111,20.4445,0.035)); #482615=CARTESIAN_POINT('',(19.111,20.4445,0.035)); #482616=CARTESIAN_POINT('',(19.111,20.4445,0.)); #482617=CARTESIAN_POINT('Origin',(19.111,20.646894,0.)); #482618=CARTESIAN_POINT('',(19.111,20.646894,0.)); #482619=CARTESIAN_POINT('',(19.111,20.646894,0.)); #482620=CARTESIAN_POINT('',(19.111,20.646894,0.035)); #482621=CARTESIAN_POINT('',(19.111,20.646894,0.035)); #482622=CARTESIAN_POINT('',(19.111,20.646894,0.)); #482623=CARTESIAN_POINT('Origin',(18.910197,20.847697,0.)); #482624=CARTESIAN_POINT('',(18.910197,20.847697,0.)); #482625=CARTESIAN_POINT('',(18.910197,20.847697,0.)); #482626=CARTESIAN_POINT('',(18.910197,20.847697,0.035)); #482627=CARTESIAN_POINT('',(18.910197,20.847697,0.035)); #482628=CARTESIAN_POINT('',(18.910197,20.847697,0.)); #482629=CARTESIAN_POINT('Origin',(19.0000003087252,20.9374992502996,0.)); #482630=CARTESIAN_POINT('',(18.873,20.9375,0.)); #482631=CARTESIAN_POINT('Origin',(19.0000003087252,20.9374992502996,0.)); #482632=CARTESIAN_POINT('',(18.873,20.9375,0.035)); #482633=CARTESIAN_POINT('Origin',(19.0000003087252,20.9374992502996,0.035)); #482634=CARTESIAN_POINT('',(18.873,20.9375,0.)); #482635=CARTESIAN_POINT('Origin',(18.873,21.215,0.)); #482636=CARTESIAN_POINT('',(18.873,21.215,0.)); #482637=CARTESIAN_POINT('',(18.873,21.215,0.)); #482638=CARTESIAN_POINT('',(18.873,21.215,0.035)); #482639=CARTESIAN_POINT('',(18.873,21.215,0.035)); #482640=CARTESIAN_POINT('',(18.873,21.215,0.)); #482641=CARTESIAN_POINT('Origin',(18.7325,21.215,0.)); #482642=CARTESIAN_POINT('',(18.7325,21.215,0.)); #482643=CARTESIAN_POINT('',(18.7325,21.215,0.)); #482644=CARTESIAN_POINT('',(18.7325,21.215,0.035)); #482645=CARTESIAN_POINT('',(18.7325,21.215,0.035)); #482646=CARTESIAN_POINT('',(18.7325,21.215,0.)); #482647=CARTESIAN_POINT('Origin',(18.7325,22.485,0.)); #482648=CARTESIAN_POINT('',(18.7325,22.485,0.)); #482649=CARTESIAN_POINT('',(18.7325,22.485,0.)); #482650=CARTESIAN_POINT('',(18.7325,22.485,0.035)); #482651=CARTESIAN_POINT('',(18.7325,22.485,0.035)); #482652=CARTESIAN_POINT('',(18.7325,22.485,0.)); #482653=CARTESIAN_POINT('Origin',(19.009403,22.485,0.)); #482654=CARTESIAN_POINT('',(19.009403,22.485,0.)); #482655=CARTESIAN_POINT('',(19.009403,22.485,0.)); #482656=CARTESIAN_POINT('',(19.009403,22.485,0.035)); #482657=CARTESIAN_POINT('',(19.009403,22.485,0.035)); #482658=CARTESIAN_POINT('',(19.009403,22.485,0.)); #482659=CARTESIAN_POINT('Origin',(19.428659,22.868688,0.)); #482660=CARTESIAN_POINT('',(19.428659,22.868688,0.)); #482661=CARTESIAN_POINT('',(19.428659,22.868688,0.)); #482662=CARTESIAN_POINT('',(19.428659,22.868688,0.035)); #482663=CARTESIAN_POINT('',(19.428659,22.868688,0.035)); #482664=CARTESIAN_POINT('',(19.428659,22.868688,0.)); #482665=CARTESIAN_POINT('Origin',(19.5144001699548,22.7750001565622,0.)); #482666=CARTESIAN_POINT('',(19.5144,22.902,0.)); #482667=CARTESIAN_POINT('Origin',(19.5144001699548,22.7750001565622,0.)); #482668=CARTESIAN_POINT('',(19.5144,22.902,0.035)); #482669=CARTESIAN_POINT('Origin',(19.5144001699548,22.7750001565622,0.035)); #482670=CARTESIAN_POINT('',(19.5144,22.902,0.)); #482671=CARTESIAN_POINT('Origin',(20.7135,22.902,0.)); #482672=CARTESIAN_POINT('',(20.7135,22.902,0.)); #482673=CARTESIAN_POINT('',(20.7135,22.902,0.)); #482674=CARTESIAN_POINT('',(20.7135,22.902,0.035)); #482675=CARTESIAN_POINT('',(20.7135,22.902,0.035)); #482676=CARTESIAN_POINT('',(20.7135,22.902,0.)); #482677=CARTESIAN_POINT('Origin',(20.912288,23.091844,0.)); #482678=CARTESIAN_POINT('',(20.912288,23.091844,0.)); #482679=CARTESIAN_POINT('',(20.912288,23.091844,0.)); #482680=CARTESIAN_POINT('',(20.912288,23.091844,0.035)); #482681=CARTESIAN_POINT('',(20.912288,23.091844,0.035)); #482682=CARTESIAN_POINT('',(20.912288,23.091844,0.)); #482683=CARTESIAN_POINT('Origin',(21.0000011324188,23.0000012240666,0.)); #482684=CARTESIAN_POINT('',(21.,23.127,0.)); #482685=CARTESIAN_POINT('Origin',(21.0000011324188,23.0000012240666,0.)); #482686=CARTESIAN_POINT('',(21.,23.127,0.035)); #482687=CARTESIAN_POINT('Origin',(21.0000011324188,23.0000012240666,0.035)); #482688=CARTESIAN_POINT('',(21.,23.127,0.)); #482689=CARTESIAN_POINT('Origin',(25.8189,23.127,0.)); #482690=CARTESIAN_POINT('',(25.8189,23.127,0.)); #482691=CARTESIAN_POINT('',(25.8189,23.127,0.)); #482692=CARTESIAN_POINT('',(25.8189,23.127,0.035)); #482693=CARTESIAN_POINT('',(25.8189,23.127,0.035)); #482694=CARTESIAN_POINT('',(25.8189,23.127,0.)); #482695=CARTESIAN_POINT('Origin',(25.8189,23.4445,0.)); #482696=CARTESIAN_POINT('',(25.8189,23.4445,0.)); #482697=CARTESIAN_POINT('',(25.8189,23.4445,0.)); #482698=CARTESIAN_POINT('',(25.8189,23.4445,0.035)); #482699=CARTESIAN_POINT('',(25.8189,23.4445,0.035)); #482700=CARTESIAN_POINT('',(25.8189,23.4445,0.)); #482701=CARTESIAN_POINT('Origin',(26.6571,23.4445,0.)); #482702=CARTESIAN_POINT('',(26.6571,23.4445,0.)); #482703=CARTESIAN_POINT('',(26.6571,23.4445,0.)); #482704=CARTESIAN_POINT('',(26.6571,23.4445,0.035)); #482705=CARTESIAN_POINT('',(26.6571,23.4445,0.035)); #482706=CARTESIAN_POINT('',(26.6571,23.4445,0.)); #482707=CARTESIAN_POINT('Origin',(26.6571,22.748663,0.)); #482708=CARTESIAN_POINT('',(26.6571,22.748663,0.)); #482709=CARTESIAN_POINT('',(26.6571,22.748663,0.)); #482710=CARTESIAN_POINT('',(26.6571,22.748663,0.035)); #482711=CARTESIAN_POINT('',(26.6571,22.748663,0.035)); #482712=CARTESIAN_POINT('',(26.6571,22.748663,0.)); #482713=CARTESIAN_POINT('Origin',(27.015828,22.377,0.)); #482714=CARTESIAN_POINT('',(27.015828,22.377,0.)); #482715=CARTESIAN_POINT('',(27.015828,22.377,0.)); #482716=CARTESIAN_POINT('',(27.015828,22.377,0.035)); #482717=CARTESIAN_POINT('',(27.015828,22.377,0.035)); #482718=CARTESIAN_POINT('',(27.015828,22.377,0.)); #482719=CARTESIAN_POINT('Origin',(30.285338,22.377,0.)); #482720=CARTESIAN_POINT('',(30.285338,22.377,0.)); #482721=CARTESIAN_POINT('',(30.285338,22.377,0.)); #482722=CARTESIAN_POINT('',(30.285338,22.377,0.035)); #482723=CARTESIAN_POINT('',(30.285338,22.377,0.035)); #482724=CARTESIAN_POINT('',(30.285338,22.377,0.)); #482725=CARTESIAN_POINT('Origin',(31.721913,23.839013,0.)); #482726=CARTESIAN_POINT('',(31.721913,23.839013,0.)); #482727=CARTESIAN_POINT('',(31.721913,23.839013,0.)); #482728=CARTESIAN_POINT('',(31.721913,23.839013,0.035)); #482729=CARTESIAN_POINT('',(31.721913,23.839013,0.035)); #482730=CARTESIAN_POINT('',(31.721913,23.839013,0.)); #482731=CARTESIAN_POINT('Origin',(31.8124981509034,23.7500002141553,0.)); #482732=CARTESIAN_POINT('',(31.8125,23.877,0.)); #482733=CARTESIAN_POINT('Origin',(31.8124981509034,23.7500002141553,0.)); #482734=CARTESIAN_POINT('',(31.8125,23.877,0.035)); #482735=CARTESIAN_POINT('Origin',(31.8124981509034,23.7500002141553,0.035)); #482736=CARTESIAN_POINT('',(31.8125,23.877,0.)); #482737=CARTESIAN_POINT('Origin',(36.1875,23.877,0.)); #482738=CARTESIAN_POINT('',(36.1875,23.877,0.)); #482739=CARTESIAN_POINT('',(36.1875,23.877,0.)); #482740=CARTESIAN_POINT('',(36.1875,23.877,0.035)); #482741=CARTESIAN_POINT('',(36.1875,23.877,0.035)); #482742=CARTESIAN_POINT('',(36.1875,23.877,0.)); #482743=CARTESIAN_POINT('Origin',(36.1875007497004,23.7499996912748,0.)); #482744=CARTESIAN_POINT('',(36.277303,23.839803,0.)); #482745=CARTESIAN_POINT('Origin',(36.1875007497004,23.7499996912748,0.)); #482746=CARTESIAN_POINT('',(36.277303,23.839803,0.035)); #482747=CARTESIAN_POINT('Origin',(36.1875007497004,23.7499996912748,0.035)); #482748=CARTESIAN_POINT('',(36.277303,23.839803,0.)); #482749=CARTESIAN_POINT('Origin',(37.740106,22.377,0.)); #482750=CARTESIAN_POINT('',(37.740106,22.377,0.)); #482751=CARTESIAN_POINT('',(37.740106,22.377,0.)); #482752=CARTESIAN_POINT('',(37.740106,22.377,0.035)); #482753=CARTESIAN_POINT('',(37.740106,22.377,0.035)); #482754=CARTESIAN_POINT('',(37.740106,22.377,0.)); #482755=CARTESIAN_POINT('Origin',(49.134894,22.377,0.)); #482756=CARTESIAN_POINT('',(49.134894,22.377,0.)); #482757=CARTESIAN_POINT('',(49.134894,22.377,0.)); #482758=CARTESIAN_POINT('',(49.134894,22.377,0.035)); #482759=CARTESIAN_POINT('',(49.134894,22.377,0.035)); #482760=CARTESIAN_POINT('',(49.134894,22.377,0.)); #482761=CARTESIAN_POINT('Origin',(49.6002,22.842306,0.)); #482762=CARTESIAN_POINT('',(49.6002,22.842306,0.)); #482763=CARTESIAN_POINT('',(49.6002,22.842306,0.)); #482764=CARTESIAN_POINT('',(49.6002,22.842306,0.035)); #482765=CARTESIAN_POINT('',(49.6002,22.842306,0.035)); #482766=CARTESIAN_POINT('',(49.6002,22.842306,0.)); #482767=CARTESIAN_POINT('Origin',(49.6002,24.4415,0.)); #482768=CARTESIAN_POINT('',(49.6002,24.4415,0.)); #482769=CARTESIAN_POINT('',(49.6002,24.4415,0.)); #482770=CARTESIAN_POINT('',(49.6002,24.4415,0.035)); #482771=CARTESIAN_POINT('',(49.6002,24.4415,0.035)); #482772=CARTESIAN_POINT('',(49.6002,24.4415,0.)); #482773=CARTESIAN_POINT('Origin',(50.2098,24.4415,0.)); #482774=CARTESIAN_POINT('',(50.2098,24.4415,0.)); #482775=CARTESIAN_POINT('',(50.2098,24.4415,0.)); #482776=CARTESIAN_POINT('',(50.2098,24.4415,0.035)); #482777=CARTESIAN_POINT('',(50.2098,24.4415,0.035)); #482778=CARTESIAN_POINT('',(50.2098,24.4415,0.)); #482779=CARTESIAN_POINT('Origin',(50.2098,22.7905,0.)); #482780=CARTESIAN_POINT('',(50.2098,22.7905,0.)); #482781=CARTESIAN_POINT('',(50.2098,22.7905,0.)); #482782=CARTESIAN_POINT('',(50.2098,22.7905,0.035)); #482783=CARTESIAN_POINT('',(50.2098,22.7905,0.035)); #482784=CARTESIAN_POINT('',(50.2098,22.7905,0.)); #482785=CARTESIAN_POINT('Origin',(49.907606,22.7905,0.)); #482786=CARTESIAN_POINT('',(49.907606,22.7905,0.)); #482787=CARTESIAN_POINT('',(49.907606,22.7905,0.)); #482788=CARTESIAN_POINT('',(49.907606,22.7905,0.035)); #482789=CARTESIAN_POINT('',(49.907606,22.7905,0.035)); #482790=CARTESIAN_POINT('',(49.907606,22.7905,0.)); #482791=CARTESIAN_POINT('Origin',(49.277303,22.160197,0.)); #482792=CARTESIAN_POINT('',(49.277303,22.160197,0.)); #482793=CARTESIAN_POINT('',(49.277303,22.160197,0.)); #482794=CARTESIAN_POINT('',(49.277303,22.160197,0.035)); #482795=CARTESIAN_POINT('',(49.277303,22.160197,0.035)); #482796=CARTESIAN_POINT('',(49.277303,22.160197,0.)); #482797=CARTESIAN_POINT('Origin',(49.1875007497004,22.2500003087252,0.)); #482798=CARTESIAN_POINT('',(49.1875,22.123,0.)); #482799=CARTESIAN_POINT('Origin',(49.1875007497004,22.2500003087252,0.)); #482800=CARTESIAN_POINT('',(49.1875,22.123,0.035)); #482801=CARTESIAN_POINT('Origin',(49.1875007497004,22.2500003087252,0.035)); #482802=CARTESIAN_POINT('',(49.1875,22.123,0.)); #482803=CARTESIAN_POINT('Origin',(37.6875,22.123,0.)); #482804=CARTESIAN_POINT('',(37.6875,22.123,0.)); #482805=CARTESIAN_POINT('',(37.6875,22.123,0.)); #482806=CARTESIAN_POINT('',(37.6875,22.123,0.035)); #482807=CARTESIAN_POINT('',(37.6875,22.123,0.035)); #482808=CARTESIAN_POINT('',(37.6875,22.123,0.)); #482809=CARTESIAN_POINT('Origin',(37.6874992502996,22.2500003087252,0.)); #482810=CARTESIAN_POINT('',(37.597697,22.160197,0.)); #482811=CARTESIAN_POINT('Origin',(37.6874992502996,22.2500003087252,0.)); #482812=CARTESIAN_POINT('',(37.597697,22.160197,0.035)); #482813=CARTESIAN_POINT('Origin',(37.6874992502996,22.2500003087252,0.035)); #482814=CARTESIAN_POINT('',(37.597697,22.160197,0.)); #482815=CARTESIAN_POINT('Origin',(36.134894,23.623,0.)); #482816=CARTESIAN_POINT('',(36.134894,23.623,0.)); #482817=CARTESIAN_POINT('',(36.134894,23.623,0.)); #482818=CARTESIAN_POINT('',(36.134894,23.623,0.035)); #482819=CARTESIAN_POINT('',(36.134894,23.623,0.035)); #482820=CARTESIAN_POINT('',(36.134894,23.623,0.)); #482821=CARTESIAN_POINT('Origin',(31.865763,23.623,0.)); #482822=CARTESIAN_POINT('',(31.865763,23.623,0.)); #482823=CARTESIAN_POINT('',(31.865763,23.623,0.)); #482824=CARTESIAN_POINT('',(31.865763,23.623,0.035)); #482825=CARTESIAN_POINT('',(31.865763,23.623,0.035)); #482826=CARTESIAN_POINT('',(31.865763,23.623,0.)); #482827=CARTESIAN_POINT('Origin',(30.429188,22.160988,0.)); #482828=CARTESIAN_POINT('',(30.429188,22.160988,0.)); #482829=CARTESIAN_POINT('',(30.429188,22.160988,0.)); #482830=CARTESIAN_POINT('',(30.429188,22.160988,0.035)); #482831=CARTESIAN_POINT('',(30.429188,22.160988,0.035)); #482832=CARTESIAN_POINT('',(30.429188,22.160988,0.)); #482833=CARTESIAN_POINT('Origin',(30.3386011568032,22.2500014781382,0.)); #482834=CARTESIAN_POINT('',(30.3386,22.123,0.)); #482835=CARTESIAN_POINT('Origin',(30.3386011568032,22.2500014781382,0.)); #482836=CARTESIAN_POINT('',(30.3386,22.123,0.035)); #482837=CARTESIAN_POINT('Origin',(30.3386011568032,22.2500014781382,0.035)); #482838=CARTESIAN_POINT('',(30.3386,22.123,0.)); #482839=CARTESIAN_POINT('Origin',(26.9619,22.123,0.)); #482840=CARTESIAN_POINT('',(26.9619,22.123,0.)); #482841=CARTESIAN_POINT('',(26.9619,22.123,0.)); #482842=CARTESIAN_POINT('',(26.9619,22.123,0.035)); #482843=CARTESIAN_POINT('',(26.9619,22.123,0.035)); #482844=CARTESIAN_POINT('',(26.9619,22.123,0.)); #482845=CARTESIAN_POINT('Origin',(26.9618991267799,22.2500002486828,0.)); #482846=CARTESIAN_POINT('',(26.870522,22.1618,0.)); #482847=CARTESIAN_POINT('Origin',(26.9618991267799,22.2500002486828,0.)); #482848=CARTESIAN_POINT('',(26.870522,22.1618,0.035)); #482849=CARTESIAN_POINT('Origin',(26.9618991267799,22.2500002486828,0.035)); #482850=CARTESIAN_POINT('',(26.870522,22.1618,0.)); #482851=CARTESIAN_POINT('Origin',(26.490522,22.5555,0.)); #482852=CARTESIAN_POINT('',(26.490522,22.5555,0.)); #482853=CARTESIAN_POINT('',(26.490522,22.5555,0.)); #482854=CARTESIAN_POINT('',(26.490522,22.5555,0.035)); #482855=CARTESIAN_POINT('',(26.490522,22.5555,0.035)); #482856=CARTESIAN_POINT('',(26.490522,22.5555,0.)); #482857=CARTESIAN_POINT('Origin',(25.8189,22.5555,0.)); #482858=CARTESIAN_POINT('',(25.8189,22.5555,0.)); #482859=CARTESIAN_POINT('',(25.8189,22.5555,0.)); #482860=CARTESIAN_POINT('',(25.8189,22.5555,0.035)); #482861=CARTESIAN_POINT('',(25.8189,22.5555,0.035)); #482862=CARTESIAN_POINT('',(25.8189,22.5555,0.)); #482863=CARTESIAN_POINT('Origin',(25.8189,22.873,0.)); #482864=CARTESIAN_POINT('',(25.8189,22.873,0.)); #482865=CARTESIAN_POINT('',(25.8189,22.873,0.)); #482866=CARTESIAN_POINT('',(25.8189,22.873,0.035)); #482867=CARTESIAN_POINT('',(25.8189,22.873,0.035)); #482868=CARTESIAN_POINT('',(25.8189,22.873,0.)); #482869=CARTESIAN_POINT('Origin',(21.0509,22.873,0.)); #482870=CARTESIAN_POINT('',(21.0509,22.873,0.)); #482871=CARTESIAN_POINT('',(21.0509,22.873,0.)); #482872=CARTESIAN_POINT('',(21.0509,22.873,0.035)); #482873=CARTESIAN_POINT('',(21.0509,22.873,0.035)); #482874=CARTESIAN_POINT('',(21.0509,22.873,0.)); #482875=CARTESIAN_POINT('Origin',(20.852113,22.683156,0.)); #482876=CARTESIAN_POINT('',(20.852113,22.683156,0.)); #482877=CARTESIAN_POINT('',(20.852113,22.683156,0.)); #482878=CARTESIAN_POINT('',(20.852113,22.683156,0.035)); #482879=CARTESIAN_POINT('',(20.852113,22.683156,0.035)); #482880=CARTESIAN_POINT('',(20.852113,22.683156,0.)); #482881=CARTESIAN_POINT('Origin',(20.7643993675812,22.775000023434,0.)); #482882=CARTESIAN_POINT('',(20.7644,22.648,0.)); #482883=CARTESIAN_POINT('Origin',(20.7643993675812,22.775000023434,0.)); #482884=CARTESIAN_POINT('',(20.7644,22.648,0.035)); #482885=CARTESIAN_POINT('Origin',(20.7643993675812,22.775000023434,0.035)); #482886=CARTESIAN_POINT('',(20.7644,22.648,0.)); #482887=CARTESIAN_POINT('Origin',(19.563741,22.648,0.)); #482888=CARTESIAN_POINT('',(19.563741,22.648,0.)); #482889=CARTESIAN_POINT('',(19.563741,22.648,0.)); #482890=CARTESIAN_POINT('',(19.563741,22.648,0.035)); #482891=CARTESIAN_POINT('',(19.563741,22.648,0.035)); #482892=CARTESIAN_POINT('',(19.563741,22.648,0.)); #482893=CARTESIAN_POINT('Origin',(19.3675,22.468409,0.)); #482894=CARTESIAN_POINT('',(19.3675,22.468409,0.)); #482895=CARTESIAN_POINT('',(19.3675,22.468409,0.)); #482896=CARTESIAN_POINT('',(19.3675,22.468409,0.035)); #482897=CARTESIAN_POINT('',(19.3675,22.468409,0.035)); #482898=CARTESIAN_POINT('',(19.3675,22.468409,0.)); #482899=CARTESIAN_POINT('Origin',(19.3675,21.215,0.)); #482900=CARTESIAN_POINT('',(19.3675,21.215,0.)); #482901=CARTESIAN_POINT('',(19.3675,21.215,0.)); #482902=CARTESIAN_POINT('',(19.3675,21.215,0.035)); #482903=CARTESIAN_POINT('',(19.3675,21.215,0.035)); #482904=CARTESIAN_POINT('',(19.3675,21.215,0.)); #482905=CARTESIAN_POINT('Origin',(19.127,21.215,0.)); #482906=CARTESIAN_POINT('',(19.127,21.215,0.)); #482907=CARTESIAN_POINT('',(19.127,21.215,0.)); #482908=CARTESIAN_POINT('',(19.127,21.215,0.035)); #482909=CARTESIAN_POINT('',(19.127,21.215,0.035)); #482910=CARTESIAN_POINT('',(19.127,21.215,0.)); #482911=CARTESIAN_POINT('Origin',(19.127,20.990106,0.)); #482912=CARTESIAN_POINT('',(19.127,20.990106,0.)); #482913=CARTESIAN_POINT('',(19.127,20.990106,0.)); #482914=CARTESIAN_POINT('',(19.127,20.990106,0.035)); #482915=CARTESIAN_POINT('',(19.127,20.990106,0.035)); #482916=CARTESIAN_POINT('',(19.127,20.990106,0.)); #482917=CARTESIAN_POINT('Origin',(19.327803,20.789303,0.)); #482918=CARTESIAN_POINT('',(19.327803,20.789303,0.)); #482919=CARTESIAN_POINT('',(19.327803,20.789303,0.)); #482920=CARTESIAN_POINT('',(19.327803,20.789303,0.035)); #482921=CARTESIAN_POINT('',(19.327803,20.789303,0.035)); #482922=CARTESIAN_POINT('',(19.327803,20.789303,0.)); #482923=CARTESIAN_POINT('Origin',(19.2379996912748,20.6995007497004,0.)); #482924=CARTESIAN_POINT('',(19.365,20.6995,0.)); #482925=CARTESIAN_POINT('Origin',(19.2379996912748,20.6995007497004,0.)); #482926=CARTESIAN_POINT('',(19.365,20.6995,0.035)); #482927=CARTESIAN_POINT('Origin',(19.2379996912748,20.6995007497004,0.035)); #482928=CARTESIAN_POINT('',(19.365,20.6995,0.)); #482929=CARTESIAN_POINT('Origin',(19.365,20.4445,0.)); #482930=CARTESIAN_POINT('',(19.365,20.4445,0.)); #482931=CARTESIAN_POINT('',(19.365,20.4445,0.)); #482932=CARTESIAN_POINT('',(19.365,20.4445,0.035)); #482933=CARTESIAN_POINT('',(19.365,20.4445,0.035)); #482934=CARTESIAN_POINT('',(19.365,20.4445,0.)); #482935=CARTESIAN_POINT('Origin',(19.6571,20.4445,0.)); #482936=CARTESIAN_POINT('',(19.6571,20.4445,0.)); #482937=CARTESIAN_POINT('',(19.6571,20.4445,0.035)); #482938=CARTESIAN_POINT('Origin',(33.5325226201012,22.5881805545709,0.035)); #482939=CARTESIAN_POINT('Origin',(33.5325226201012,22.5881805545709,0.)); #482940=CARTESIAN_POINT('Origin',(35.75,11.25,-200.)); #482941=CARTESIAN_POINT('',(35.61,11.25,0.)); #482942=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #482943=CARTESIAN_POINT('',(35.61,11.25,0.0349999999999895)); #482944=CARTESIAN_POINT('',(35.61,11.25,-200.)); #482945=CARTESIAN_POINT('Origin',(35.75,11.25,0.0349999999999895)); #482946=CARTESIAN_POINT('Origin',(30.5,11.25,-200.)); #482947=CARTESIAN_POINT('',(30.36,11.25,0.)); #482948=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #482949=CARTESIAN_POINT('',(30.36,11.25,0.0349999999999895)); #482950=CARTESIAN_POINT('',(30.36,11.25,-200.)); #482951=CARTESIAN_POINT('Origin',(30.5,11.25,0.0349999999999895)); #482952=CARTESIAN_POINT('Origin',(30.521644,10.975,0.)); #482953=CARTESIAN_POINT('',(30.521644,10.975,0.)); #482954=CARTESIAN_POINT('',(30.564397,10.981772,0.)); #482955=CARTESIAN_POINT('',(30.521644,10.975,0.)); #482956=CARTESIAN_POINT('',(30.564397,10.981772,0.035)); #482957=CARTESIAN_POINT('',(30.564397,10.981772,0.)); #482958=CARTESIAN_POINT('',(30.521644,10.975,0.035)); #482959=CARTESIAN_POINT('',(30.521644,10.975,0.035)); #482960=CARTESIAN_POINT('',(30.521644,10.975,0.)); #482961=CARTESIAN_POINT('Origin',(30.5000003953475,11.2500004229918,0.)); #482962=CARTESIAN_POINT('',(30.245147,11.144438,0.)); #482963=CARTESIAN_POINT('Origin',(30.5000003953475,11.2500004229918,0.)); #482964=CARTESIAN_POINT('',(30.245147,11.144438,0.035)); #482965=CARTESIAN_POINT('Origin',(30.5000003953475,11.2500004229918,0.035)); #482966=CARTESIAN_POINT('',(30.245147,11.144438,0.)); #482967=CARTESIAN_POINT('Origin',(30.4996182367391,11.2498222285952,0.)); #482968=CARTESIAN_POINT('',(30.743928,11.377,0.)); #482969=CARTESIAN_POINT('Origin',(30.4996182367391,11.2498222285952,0.)); #482970=CARTESIAN_POINT('',(30.743928,11.377,0.035)); #482971=CARTESIAN_POINT('Origin',(30.4996182367391,11.2498222285952,0.035)); #482972=CARTESIAN_POINT('',(30.743928,11.377,0.)); #482973=CARTESIAN_POINT('Origin',(35.506072,11.377,0.)); #482974=CARTESIAN_POINT('',(35.506072,11.377,0.)); #482975=CARTESIAN_POINT('',(35.506072,11.377,0.)); #482976=CARTESIAN_POINT('',(35.506072,11.377,0.035)); #482977=CARTESIAN_POINT('',(35.506072,11.377,0.035)); #482978=CARTESIAN_POINT('',(35.506072,11.377,0.)); #482979=CARTESIAN_POINT('Origin',(35.7504640048651,11.2499800398209,0.)); #482980=CARTESIAN_POINT('',(36.004853,11.355563,0.)); #482981=CARTESIAN_POINT('Origin',(35.7504640048651,11.2499800398209,0.)); #482982=CARTESIAN_POINT('',(36.004853,11.355563,0.035)); #482983=CARTESIAN_POINT('Origin',(35.7504640048651,11.2499800398209,0.035)); #482984=CARTESIAN_POINT('',(36.004853,11.355563,0.)); #482985=CARTESIAN_POINT('Origin',(35.7503817414141,11.2501782714048,0.)); #482986=CARTESIAN_POINT('',(35.506072,11.123,0.)); #482987=CARTESIAN_POINT('Origin',(35.7503817414141,11.2501782714048,0.)); #482988=CARTESIAN_POINT('',(35.506072,11.123,0.035)); #482989=CARTESIAN_POINT('Origin',(35.7503817414141,11.2501782714048,0.035)); #482990=CARTESIAN_POINT('',(35.506072,11.123,0.)); #482991=CARTESIAN_POINT('Origin',(30.743928,11.123,0.)); #482992=CARTESIAN_POINT('',(30.743928,11.123,0.)); #482993=CARTESIAN_POINT('',(30.743928,11.123,0.)); #482994=CARTESIAN_POINT('',(30.743928,11.123,0.035)); #482995=CARTESIAN_POINT('',(30.743928,11.123,0.035)); #482996=CARTESIAN_POINT('',(30.743928,11.123,0.)); #482997=CARTESIAN_POINT('Origin',(30.4992008746984,11.2493753757046,0.)); #482998=CARTESIAN_POINT('Origin',(30.4992008746984,11.2493753757046,0.)); #482999=CARTESIAN_POINT('Origin',(30.4992008746984,11.2493753757046,0.035)); #483000=CARTESIAN_POINT('Origin',(33.1248953560968,11.2495711648527,0.035)); #483001=CARTESIAN_POINT('Origin',(33.1248953560968,11.2495711648527,0.)); #483002=CARTESIAN_POINT('Origin',(35.25,6.25,-200.)); #483003=CARTESIAN_POINT('',(35.11,6.25,0.)); #483004=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #483005=CARTESIAN_POINT('',(35.11,6.25,0.0349999999999895)); #483006=CARTESIAN_POINT('',(35.11,6.25,-200.)); #483007=CARTESIAN_POINT('Origin',(35.25,6.25,0.0349999999999895)); #483008=CARTESIAN_POINT('Origin',(35.271644,5.975,0.)); #483009=CARTESIAN_POINT('',(35.271644,5.975,0.)); #483010=CARTESIAN_POINT('',(35.314397,5.9817719,0.)); #483011=CARTESIAN_POINT('',(35.271644,5.975,0.)); #483012=CARTESIAN_POINT('',(35.314397,5.9817719,0.035)); #483013=CARTESIAN_POINT('',(35.314397,5.9817719,0.)); #483014=CARTESIAN_POINT('',(35.271644,5.975,0.035)); #483015=CARTESIAN_POINT('',(35.271644,5.975,0.035)); #483016=CARTESIAN_POINT('',(35.271644,5.975,0.)); #483017=CARTESIAN_POINT('Origin',(35.2501184813052,6.24958730150078,0.)); #483018=CARTESIAN_POINT('',(35.123,6.4939281,0.)); #483019=CARTESIAN_POINT('Origin',(35.2501184813052,6.24958730150078,0.)); #483020=CARTESIAN_POINT('',(35.123,6.4939281,0.035)); #483021=CARTESIAN_POINT('Origin',(35.2501184813052,6.24958730150078,0.035)); #483022=CARTESIAN_POINT('',(35.123,6.4939281,0.)); #483023=CARTESIAN_POINT('Origin',(35.123,6.67,0.)); #483024=CARTESIAN_POINT('',(35.123,6.67,0.)); #483025=CARTESIAN_POINT('',(35.123,6.67,0.)); #483026=CARTESIAN_POINT('',(35.123,6.67,0.035)); #483027=CARTESIAN_POINT('',(35.123,6.67,0.035)); #483028=CARTESIAN_POINT('',(35.123,6.67,0.)); #483029=CARTESIAN_POINT('Origin',(35.1,6.67,0.)); #483030=CARTESIAN_POINT('',(35.1,6.67,0.)); #483031=CARTESIAN_POINT('',(35.1,6.67,0.)); #483032=CARTESIAN_POINT('',(35.1,6.67,0.035)); #483033=CARTESIAN_POINT('',(35.1,6.67,0.035)); #483034=CARTESIAN_POINT('',(35.1,6.67,0.)); #483035=CARTESIAN_POINT('Origin',(35.1,7.82,0.)); #483036=CARTESIAN_POINT('',(35.1,7.82,0.)); #483037=CARTESIAN_POINT('',(35.1,7.82,0.)); #483038=CARTESIAN_POINT('',(35.1,7.82,0.035)); #483039=CARTESIAN_POINT('',(35.1,7.82,0.035)); #483040=CARTESIAN_POINT('',(35.1,7.82,0.)); #483041=CARTESIAN_POINT('Origin',(35.4,7.82,0.)); #483042=CARTESIAN_POINT('',(35.4,7.82,0.)); #483043=CARTESIAN_POINT('',(35.4,7.82,0.)); #483044=CARTESIAN_POINT('',(35.4,7.82,0.035)); #483045=CARTESIAN_POINT('',(35.4,7.82,0.035)); #483046=CARTESIAN_POINT('',(35.4,7.82,0.)); #483047=CARTESIAN_POINT('Origin',(35.4,6.67,0.)); #483048=CARTESIAN_POINT('',(35.4,6.67,0.)); #483049=CARTESIAN_POINT('',(35.4,6.67,0.)); #483050=CARTESIAN_POINT('',(35.4,6.67,0.035)); #483051=CARTESIAN_POINT('',(35.4,6.67,0.035)); #483052=CARTESIAN_POINT('',(35.4,6.67,0.)); #483053=CARTESIAN_POINT('Origin',(35.377,6.67,0.)); #483054=CARTESIAN_POINT('',(35.377,6.67,0.)); #483055=CARTESIAN_POINT('',(35.377,6.67,0.)); #483056=CARTESIAN_POINT('',(35.377,6.67,0.035)); #483057=CARTESIAN_POINT('',(35.377,6.67,0.035)); #483058=CARTESIAN_POINT('',(35.377,6.67,0.)); #483059=CARTESIAN_POINT('Origin',(35.377,6.4939281,0.)); #483060=CARTESIAN_POINT('',(35.377,6.4939281,0.)); #483061=CARTESIAN_POINT('',(35.377,6.4939281,0.)); #483062=CARTESIAN_POINT('',(35.377,6.4939281,0.035)); #483063=CARTESIAN_POINT('',(35.377,6.4939281,0.035)); #483064=CARTESIAN_POINT('',(35.377,6.4939281,0.)); #483065=CARTESIAN_POINT('Origin',(35.2499453379034,6.24955431053405,0.)); #483066=CARTESIAN_POINT('Origin',(35.2499453379034,6.24955431053405,0.)); #483067=CARTESIAN_POINT('Origin',(35.2499453379034,6.24955431053405,0.035)); #483068=CARTESIAN_POINT('Origin',(35.2505819126077,6.88369655967055,0.035)); #483069=CARTESIAN_POINT('Origin',(35.2505819126077,6.88369655967055,0.)); #483070=CARTESIAN_POINT('Origin',(32.75,8.25,-200.)); #483071=CARTESIAN_POINT('',(32.61,8.25,0.)); #483072=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #483073=CARTESIAN_POINT('',(32.61,8.25,0.0349999999999895)); #483074=CARTESIAN_POINT('',(32.61,8.25,-200.)); #483075=CARTESIAN_POINT('Origin',(32.75,8.25,0.0349999999999895)); #483076=CARTESIAN_POINT('Origin',(32.4,6.67,0.)); #483077=CARTESIAN_POINT('',(32.4,6.67,0.)); #483078=CARTESIAN_POINT('',(32.4,7.82,0.)); #483079=CARTESIAN_POINT('',(32.4,6.67,0.)); #483080=CARTESIAN_POINT('',(32.4,7.82,0.035)); #483081=CARTESIAN_POINT('',(32.4,7.82,0.)); #483082=CARTESIAN_POINT('',(32.4,6.67,0.035)); #483083=CARTESIAN_POINT('',(32.4,6.67,0.035)); #483084=CARTESIAN_POINT('',(32.4,6.67,0.)); #483085=CARTESIAN_POINT('Origin',(32.1,6.67,0.)); #483086=CARTESIAN_POINT('',(32.1,6.67,0.)); #483087=CARTESIAN_POINT('',(32.1,6.67,0.)); #483088=CARTESIAN_POINT('',(32.1,6.67,0.035)); #483089=CARTESIAN_POINT('',(32.1,6.67,0.035)); #483090=CARTESIAN_POINT('',(32.1,6.67,0.)); #483091=CARTESIAN_POINT('Origin',(32.1,7.82,0.)); #483092=CARTESIAN_POINT('',(32.1,7.82,0.)); #483093=CARTESIAN_POINT('',(32.1,7.82,0.)); #483094=CARTESIAN_POINT('',(32.1,7.82,0.035)); #483095=CARTESIAN_POINT('',(32.1,7.82,0.035)); #483096=CARTESIAN_POINT('',(32.1,7.82,0.)); #483097=CARTESIAN_POINT('Origin',(32.123,7.82,0.)); #483098=CARTESIAN_POINT('',(32.123,7.82,0.)); #483099=CARTESIAN_POINT('',(32.123,7.82,0.)); #483100=CARTESIAN_POINT('',(32.123,7.82,0.035)); #483101=CARTESIAN_POINT('',(32.123,7.82,0.035)); #483102=CARTESIAN_POINT('',(32.123,7.82,0.)); #483103=CARTESIAN_POINT('Origin',(32.123,8.,0.)); #483104=CARTESIAN_POINT('',(32.123,8.,0.)); #483105=CARTESIAN_POINT('',(32.123,8.,0.)); #483106=CARTESIAN_POINT('',(32.123,8.,0.035)); #483107=CARTESIAN_POINT('',(32.123,8.,0.035)); #483108=CARTESIAN_POINT('',(32.123,8.,0.)); #483109=CARTESIAN_POINT('Origin',(32.2500004294359,8.00000079970043,0.)); #483110=CARTESIAN_POINT('',(32.160197,8.0898031,0.)); #483111=CARTESIAN_POINT('Origin',(32.2500004294359,8.00000079970043,0.)); #483112=CARTESIAN_POINT('',(32.160197,8.0898031,0.035)); #483113=CARTESIAN_POINT('Origin',(32.2500004294359,8.00000079970043,0.035)); #483114=CARTESIAN_POINT('',(32.160197,8.0898031,0.)); #483115=CARTESIAN_POINT('Origin',(32.410197,8.3398031,0.)); #483116=CARTESIAN_POINT('',(32.410197,8.3398031,0.)); #483117=CARTESIAN_POINT('',(32.410197,8.3398031,0.)); #483118=CARTESIAN_POINT('',(32.410197,8.3398031,0.035)); #483119=CARTESIAN_POINT('',(32.410197,8.3398031,0.035)); #483120=CARTESIAN_POINT('',(32.410197,8.3398031,0.)); #483121=CARTESIAN_POINT('Origin',(32.5001664322704,8.25006425559049,0.)); #483122=CARTESIAN_POINT('',(32.506072,8.377,0.)); #483123=CARTESIAN_POINT('Origin',(32.5001664322704,8.25006425559049,0.)); #483124=CARTESIAN_POINT('',(32.506072,8.377,0.035)); #483125=CARTESIAN_POINT('Origin',(32.5001664322704,8.25006425559049,0.035)); #483126=CARTESIAN_POINT('',(32.506072,8.377,0.)); #483127=CARTESIAN_POINT('Origin',(32.7504825679081,8.2500168948761,0.)); #483128=CARTESIAN_POINT('',(32.9852,8.3941313,0.)); #483129=CARTESIAN_POINT('Origin',(32.7504825679081,8.2500168948761,0.)); #483130=CARTESIAN_POINT('',(32.9852,8.3941313,0.035)); #483131=CARTESIAN_POINT('Origin',(32.7504825679081,8.2500168948761,0.035)); #483132=CARTESIAN_POINT('',(32.9852,8.3941313,0.)); #483133=CARTESIAN_POINT('Origin',(32.7503207559903,8.25020865128404,0.)); #483134=CARTESIAN_POINT('',(32.5235,8.0938938,0.)); #483135=CARTESIAN_POINT('Origin',(32.7503207559903,8.25020865128404,0.)); #483136=CARTESIAN_POINT('',(32.5235,8.0938938,0.035)); #483137=CARTESIAN_POINT('Origin',(32.7503207559903,8.25020865128404,0.035)); #483138=CARTESIAN_POINT('',(32.5235,8.0938938,0.)); #483139=CARTESIAN_POINT('Origin',(32.377,7.9473938,0.)); #483140=CARTESIAN_POINT('',(32.377,7.9473938,0.)); #483141=CARTESIAN_POINT('',(32.377,7.9473938,0.)); #483142=CARTESIAN_POINT('',(32.377,7.9473938,0.035)); #483143=CARTESIAN_POINT('',(32.377,7.9473938,0.035)); #483144=CARTESIAN_POINT('',(32.377,7.9473938,0.)); #483145=CARTESIAN_POINT('Origin',(32.377,7.82,0.)); #483146=CARTESIAN_POINT('',(32.377,7.82,0.)); #483147=CARTESIAN_POINT('',(32.377,7.82,0.)); #483148=CARTESIAN_POINT('',(32.377,7.82,0.035)); #483149=CARTESIAN_POINT('',(32.377,7.82,0.035)); #483150=CARTESIAN_POINT('',(32.377,7.82,0.)); #483151=CARTESIAN_POINT('Origin',(32.4,7.82,0.)); #483152=CARTESIAN_POINT('',(32.4,7.82,0.)); #483153=CARTESIAN_POINT('',(32.4,7.82,0.035)); #483154=CARTESIAN_POINT('Origin',(32.4139649460764,7.67361842442317,0.035)); #483155=CARTESIAN_POINT('Origin',(32.4139649460764,7.67361842442317,0.)); #483156=CARTESIAN_POINT('Origin',(34.,55.,-200.)); #483157=CARTESIAN_POINT('',(33.86,55.,0.)); #483158=CARTESIAN_POINT('Origin',(34.,55.,0.)); #483159=CARTESIAN_POINT('',(33.86,55.,0.0349999999999895)); #483160=CARTESIAN_POINT('',(33.86,55.,-200.)); #483161=CARTESIAN_POINT('Origin',(34.,55.,0.0349999999999895)); #483162=CARTESIAN_POINT('Origin',(42.5,14.25,-200.)); #483163=CARTESIAN_POINT('',(42.36,14.25,0.)); #483164=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #483165=CARTESIAN_POINT('',(42.36,14.25,0.0349999999999895)); #483166=CARTESIAN_POINT('',(42.36,14.25,-200.)); #483167=CARTESIAN_POINT('Origin',(42.5,14.25,0.0349999999999895)); #483168=CARTESIAN_POINT('Origin',(42.521644,13.975,0.)); #483169=CARTESIAN_POINT('',(42.521644,13.975,0.)); #483170=CARTESIAN_POINT('',(42.564397,13.981772,0.)); #483171=CARTESIAN_POINT('',(42.521644,13.975,0.)); #483172=CARTESIAN_POINT('',(42.564397,13.981772,0.035)); #483173=CARTESIAN_POINT('',(42.564397,13.981772,0.)); #483174=CARTESIAN_POINT('',(42.521644,13.975,0.035)); #483175=CARTESIAN_POINT('',(42.521644,13.975,0.035)); #483176=CARTESIAN_POINT('',(42.521644,13.975,0.)); #483177=CARTESIAN_POINT('Origin',(42.5000003953475,14.2500004229918,0.)); #483178=CARTESIAN_POINT('',(42.245147,14.144438,0.)); #483179=CARTESIAN_POINT('Origin',(42.5000003953475,14.2500004229918,0.)); #483180=CARTESIAN_POINT('',(42.245147,14.144438,0.035)); #483181=CARTESIAN_POINT('Origin',(42.5000003953475,14.2500004229918,0.035)); #483182=CARTESIAN_POINT('',(42.245147,14.144438,0.)); #483183=CARTESIAN_POINT('Origin',(42.4996182367391,14.2498222285952,0.)); #483184=CARTESIAN_POINT('',(42.743928,14.377,0.)); #483185=CARTESIAN_POINT('Origin',(42.4996182367391,14.2498222285952,0.)); #483186=CARTESIAN_POINT('',(42.743928,14.377,0.035)); #483187=CARTESIAN_POINT('Origin',(42.4996182367391,14.2498222285952,0.035)); #483188=CARTESIAN_POINT('',(42.743928,14.377,0.)); #483189=CARTESIAN_POINT('Origin',(54.477725,14.377,0.)); #483190=CARTESIAN_POINT('',(54.477725,14.377,0.)); #483191=CARTESIAN_POINT('',(54.477725,14.377,0.)); #483192=CARTESIAN_POINT('',(54.477725,14.377,0.035)); #483193=CARTESIAN_POINT('',(54.477725,14.377,0.035)); #483194=CARTESIAN_POINT('',(54.477725,14.377,0.)); #483195=CARTESIAN_POINT('Origin',(59.748,19.647275,0.)); #483196=CARTESIAN_POINT('',(59.748,19.647275,0.)); #483197=CARTESIAN_POINT('',(59.748,19.647275,0.)); #483198=CARTESIAN_POINT('',(59.748,19.647275,0.035)); #483199=CARTESIAN_POINT('',(59.748,19.647275,0.035)); #483200=CARTESIAN_POINT('',(59.748,19.647275,0.)); #483201=CARTESIAN_POINT('Origin',(59.748,38.102725,0.)); #483202=CARTESIAN_POINT('',(59.748,38.102725,0.)); #483203=CARTESIAN_POINT('',(59.748,38.102725,0.)); #483204=CARTESIAN_POINT('',(59.748,38.102725,0.035)); #483205=CARTESIAN_POINT('',(59.748,38.102725,0.035)); #483206=CARTESIAN_POINT('',(59.748,38.102725,0.)); #483207=CARTESIAN_POINT('Origin',(57.602725,40.248,0.)); #483208=CARTESIAN_POINT('',(57.602725,40.248,0.)); #483209=CARTESIAN_POINT('',(57.602725,40.248,0.)); #483210=CARTESIAN_POINT('',(57.602725,40.248,0.035)); #483211=CARTESIAN_POINT('',(57.602725,40.248,0.035)); #483212=CARTESIAN_POINT('',(57.602725,40.248,0.)); #483213=CARTESIAN_POINT('Origin',(48.4375,40.248,0.)); #483214=CARTESIAN_POINT('',(48.4375,40.248,0.)); #483215=CARTESIAN_POINT('',(48.4375,40.248,0.)); #483216=CARTESIAN_POINT('',(48.4375,40.248,0.035)); #483217=CARTESIAN_POINT('',(48.4375,40.248,0.035)); #483218=CARTESIAN_POINT('',(48.4375,40.248,0.)); #483219=CARTESIAN_POINT('Origin',(48.4374992502996,40.3750003087252,0.)); #483220=CARTESIAN_POINT('',(48.347697,40.285197,0.)); #483221=CARTESIAN_POINT('Origin',(48.4374992502996,40.3750003087252,0.)); #483222=CARTESIAN_POINT('',(48.347697,40.285197,0.035)); #483223=CARTESIAN_POINT('Origin',(48.4374992502996,40.3750003087252,0.035)); #483224=CARTESIAN_POINT('',(48.347697,40.285197,0.)); #483225=CARTESIAN_POINT('Origin',(46.884894,41.748,0.)); #483226=CARTESIAN_POINT('',(46.884894,41.748,0.)); #483227=CARTESIAN_POINT('',(46.884894,41.748,0.)); #483228=CARTESIAN_POINT('',(46.884894,41.748,0.035)); #483229=CARTESIAN_POINT('',(46.884894,41.748,0.035)); #483230=CARTESIAN_POINT('',(46.884894,41.748,0.)); #483231=CARTESIAN_POINT('Origin',(43.4375,41.748,0.)); #483232=CARTESIAN_POINT('',(43.4375,41.748,0.)); #483233=CARTESIAN_POINT('',(43.4375,41.748,0.)); #483234=CARTESIAN_POINT('',(43.4375,41.748,0.035)); #483235=CARTESIAN_POINT('',(43.4375,41.748,0.035)); #483236=CARTESIAN_POINT('',(43.4375,41.748,0.)); #483237=CARTESIAN_POINT('Origin',(43.4374992502996,41.8750003087252,0.)); #483238=CARTESIAN_POINT('',(43.347697,41.785197,0.)); #483239=CARTESIAN_POINT('Origin',(43.4374992502996,41.8750003087252,0.)); #483240=CARTESIAN_POINT('',(43.347697,41.785197,0.035)); #483241=CARTESIAN_POINT('Origin',(43.4374992502996,41.8750003087252,0.035)); #483242=CARTESIAN_POINT('',(43.347697,41.785197,0.)); #483243=CARTESIAN_POINT('Origin',(42.285197,42.847697,0.)); #483244=CARTESIAN_POINT('',(42.285197,42.847697,0.)); #483245=CARTESIAN_POINT('',(42.285197,42.847697,0.)); #483246=CARTESIAN_POINT('',(42.285197,42.847697,0.035)); #483247=CARTESIAN_POINT('',(42.285197,42.847697,0.035)); #483248=CARTESIAN_POINT('',(42.285197,42.847697,0.)); #483249=CARTESIAN_POINT('Origin',(42.3750003087252,42.9374992502996,0.)); #483250=CARTESIAN_POINT('',(42.248,42.9375,0.)); #483251=CARTESIAN_POINT('Origin',(42.3750003087252,42.9374992502996,0.)); #483252=CARTESIAN_POINT('',(42.248,42.9375,0.035)); #483253=CARTESIAN_POINT('Origin',(42.3750003087252,42.9374992502996,0.035)); #483254=CARTESIAN_POINT('',(42.248,42.9375,0.)); #483255=CARTESIAN_POINT('Origin',(42.248,49.602731,0.)); #483256=CARTESIAN_POINT('',(42.248,49.602731,0.)); #483257=CARTESIAN_POINT('',(42.248,49.602731,0.)); #483258=CARTESIAN_POINT('',(42.248,49.602731,0.035)); #483259=CARTESIAN_POINT('',(42.248,49.602731,0.035)); #483260=CARTESIAN_POINT('',(42.248,49.602731,0.)); #483261=CARTESIAN_POINT('Origin',(41.352731,50.498,0.)); #483262=CARTESIAN_POINT('',(41.352731,50.498,0.)); #483263=CARTESIAN_POINT('',(41.352731,50.498,0.)); #483264=CARTESIAN_POINT('',(41.352731,50.498,0.035)); #483265=CARTESIAN_POINT('',(41.352731,50.498,0.035)); #483266=CARTESIAN_POINT('',(41.352731,50.498,0.)); #483267=CARTESIAN_POINT('Origin',(36.5,50.498,0.)); #483268=CARTESIAN_POINT('',(36.5,50.498,0.)); #483269=CARTESIAN_POINT('',(36.5,50.498,0.)); #483270=CARTESIAN_POINT('',(36.5,50.498,0.035)); #483271=CARTESIAN_POINT('',(36.5,50.498,0.035)); #483272=CARTESIAN_POINT('',(36.5,50.498,0.)); #483273=CARTESIAN_POINT('Origin',(36.4999992502996,50.6250003087252,0.)); #483274=CARTESIAN_POINT('',(36.410197,50.535197,0.)); #483275=CARTESIAN_POINT('Origin',(36.4999992502996,50.6250003087252,0.)); #483276=CARTESIAN_POINT('',(36.410197,50.535197,0.035)); #483277=CARTESIAN_POINT('Origin',(36.4999992502996,50.6250003087252,0.035)); #483278=CARTESIAN_POINT('',(36.410197,50.535197,0.)); #483279=CARTESIAN_POINT('Origin',(33.910197,53.035197,0.)); #483280=CARTESIAN_POINT('',(33.910197,53.035197,0.)); #483281=CARTESIAN_POINT('',(33.910197,53.035197,0.)); #483282=CARTESIAN_POINT('',(33.910197,53.035197,0.035)); #483283=CARTESIAN_POINT('',(33.910197,53.035197,0.035)); #483284=CARTESIAN_POINT('',(33.910197,53.035197,0.)); #483285=CARTESIAN_POINT('Origin',(34.0000003087252,53.1249992502996,0.)); #483286=CARTESIAN_POINT('',(33.873,53.125,0.)); #483287=CARTESIAN_POINT('Origin',(34.0000003087252,53.1249992502996,0.)); #483288=CARTESIAN_POINT('',(33.873,53.125,0.035)); #483289=CARTESIAN_POINT('Origin',(34.0000003087252,53.1249992502996,0.035)); #483290=CARTESIAN_POINT('',(33.873,53.125,0.)); #483291=CARTESIAN_POINT('Origin',(33.873,54.756072,0.)); #483292=CARTESIAN_POINT('',(33.873,54.756072,0.)); #483293=CARTESIAN_POINT('',(33.873,54.756072,0.)); #483294=CARTESIAN_POINT('',(33.873,54.756072,0.035)); #483295=CARTESIAN_POINT('',(33.873,54.756072,0.035)); #483296=CARTESIAN_POINT('',(33.873,54.756072,0.)); #483297=CARTESIAN_POINT('Origin',(34.0000204601791,55.0004637716938,0.)); #483298=CARTESIAN_POINT('',(33.894438,55.254853,0.)); #483299=CARTESIAN_POINT('Origin',(34.0000204601791,55.0004637716938,0.)); #483300=CARTESIAN_POINT('',(33.894438,55.254853,0.035)); #483301=CARTESIAN_POINT('Origin',(34.0000204601791,55.0004637716938,0.035)); #483302=CARTESIAN_POINT('',(33.894438,55.254853,0.)); #483303=CARTESIAN_POINT('Origin',(33.9998222285952,55.0003817632609,0.)); #483304=CARTESIAN_POINT('',(34.127,54.756072,0.)); #483305=CARTESIAN_POINT('Origin',(33.9998222285952,55.0003817632609,0.)); #483306=CARTESIAN_POINT('',(34.127,54.756072,0.035)); #483307=CARTESIAN_POINT('Origin',(33.9998222285952,55.0003817632609,0.035)); #483308=CARTESIAN_POINT('',(34.127,54.756072,0.)); #483309=CARTESIAN_POINT('Origin',(34.127,53.177606,0.)); #483310=CARTESIAN_POINT('',(34.127,53.177606,0.)); #483311=CARTESIAN_POINT('',(34.127,53.177606,0.)); #483312=CARTESIAN_POINT('',(34.127,53.177606,0.035)); #483313=CARTESIAN_POINT('',(34.127,53.177606,0.035)); #483314=CARTESIAN_POINT('',(34.127,53.177606,0.)); #483315=CARTESIAN_POINT('Origin',(36.552606,50.752,0.)); #483316=CARTESIAN_POINT('',(36.552606,50.752,0.)); #483317=CARTESIAN_POINT('',(36.552606,50.752,0.)); #483318=CARTESIAN_POINT('',(36.552606,50.752,0.035)); #483319=CARTESIAN_POINT('',(36.552606,50.752,0.035)); #483320=CARTESIAN_POINT('',(36.552606,50.752,0.)); #483321=CARTESIAN_POINT('Origin',(41.405338,50.752,0.)); #483322=CARTESIAN_POINT('',(41.405338,50.752,0.)); #483323=CARTESIAN_POINT('',(41.405338,50.752,0.)); #483324=CARTESIAN_POINT('',(41.405338,50.752,0.035)); #483325=CARTESIAN_POINT('',(41.405338,50.752,0.035)); #483326=CARTESIAN_POINT('',(41.405338,50.752,0.)); #483327=CARTESIAN_POINT('Origin',(41.4053387497004,50.6249996912748,0.)); #483328=CARTESIAN_POINT('',(41.495141,50.714803,0.)); #483329=CARTESIAN_POINT('Origin',(41.4053387497004,50.6249996912748,0.)); #483330=CARTESIAN_POINT('',(41.495141,50.714803,0.035)); #483331=CARTESIAN_POINT('Origin',(41.4053387497004,50.6249996912748,0.035)); #483332=CARTESIAN_POINT('',(41.495141,50.714803,0.)); #483333=CARTESIAN_POINT('Origin',(42.464803,49.745141,0.)); #483334=CARTESIAN_POINT('',(42.464803,49.745141,0.)); #483335=CARTESIAN_POINT('',(42.464803,49.745141,0.)); #483336=CARTESIAN_POINT('',(42.464803,49.745141,0.035)); #483337=CARTESIAN_POINT('',(42.464803,49.745141,0.035)); #483338=CARTESIAN_POINT('',(42.464803,49.745141,0.)); #483339=CARTESIAN_POINT('Origin',(42.3749996912748,49.6553387497004,0.)); #483340=CARTESIAN_POINT('',(42.502,49.655338,0.)); #483341=CARTESIAN_POINT('Origin',(42.3749996912748,49.6553387497004,0.)); #483342=CARTESIAN_POINT('',(42.502,49.655338,0.035)); #483343=CARTESIAN_POINT('Origin',(42.3749996912748,49.6553387497004,0.035)); #483344=CARTESIAN_POINT('',(42.502,49.655338,0.)); #483345=CARTESIAN_POINT('Origin',(42.502,42.990106,0.)); #483346=CARTESIAN_POINT('',(42.502,42.990106,0.)); #483347=CARTESIAN_POINT('',(42.502,42.990106,0.)); #483348=CARTESIAN_POINT('',(42.502,42.990106,0.035)); #483349=CARTESIAN_POINT('',(42.502,42.990106,0.035)); #483350=CARTESIAN_POINT('',(42.502,42.990106,0.)); #483351=CARTESIAN_POINT('Origin',(43.490106,42.002,0.)); #483352=CARTESIAN_POINT('',(43.490106,42.002,0.)); #483353=CARTESIAN_POINT('',(43.490106,42.002,0.)); #483354=CARTESIAN_POINT('',(43.490106,42.002,0.035)); #483355=CARTESIAN_POINT('',(43.490106,42.002,0.035)); #483356=CARTESIAN_POINT('',(43.490106,42.002,0.)); #483357=CARTESIAN_POINT('Origin',(46.9375,42.002,0.)); #483358=CARTESIAN_POINT('',(46.9375,42.002,0.)); #483359=CARTESIAN_POINT('',(46.9375,42.002,0.)); #483360=CARTESIAN_POINT('',(46.9375,42.002,0.035)); #483361=CARTESIAN_POINT('',(46.9375,42.002,0.035)); #483362=CARTESIAN_POINT('',(46.9375,42.002,0.)); #483363=CARTESIAN_POINT('Origin',(46.9375007497004,41.8749996912748,0.)); #483364=CARTESIAN_POINT('',(47.027303,41.964803,0.)); #483365=CARTESIAN_POINT('Origin',(46.9375007497004,41.8749996912748,0.)); #483366=CARTESIAN_POINT('',(47.027303,41.964803,0.035)); #483367=CARTESIAN_POINT('Origin',(46.9375007497004,41.8749996912748,0.035)); #483368=CARTESIAN_POINT('',(47.027303,41.964803,0.)); #483369=CARTESIAN_POINT('Origin',(48.490106,40.502,0.)); #483370=CARTESIAN_POINT('',(48.490106,40.502,0.)); #483371=CARTESIAN_POINT('',(48.490106,40.502,0.)); #483372=CARTESIAN_POINT('',(48.490106,40.502,0.035)); #483373=CARTESIAN_POINT('',(48.490106,40.502,0.035)); #483374=CARTESIAN_POINT('',(48.490106,40.502,0.)); #483375=CARTESIAN_POINT('Origin',(57.655331,40.502,0.)); #483376=CARTESIAN_POINT('',(57.655331,40.502,0.)); #483377=CARTESIAN_POINT('',(57.655331,40.502,0.)); #483378=CARTESIAN_POINT('',(57.655331,40.502,0.035)); #483379=CARTESIAN_POINT('',(57.655331,40.502,0.035)); #483380=CARTESIAN_POINT('',(57.655331,40.502,0.)); #483381=CARTESIAN_POINT('Origin',(57.6553317497004,40.3749996912748,0.)); #483382=CARTESIAN_POINT('',(57.745134,40.464803,0.)); #483383=CARTESIAN_POINT('Origin',(57.6553317497004,40.3749996912748,0.)); #483384=CARTESIAN_POINT('',(57.745134,40.464803,0.035)); #483385=CARTESIAN_POINT('Origin',(57.6553317497004,40.3749996912748,0.035)); #483386=CARTESIAN_POINT('',(57.745134,40.464803,0.)); #483387=CARTESIAN_POINT('Origin',(59.964803,38.245134,0.)); #483388=CARTESIAN_POINT('',(59.964803,38.245134,0.)); #483389=CARTESIAN_POINT('',(59.964803,38.245134,0.)); #483390=CARTESIAN_POINT('',(59.964803,38.245134,0.035)); #483391=CARTESIAN_POINT('',(59.964803,38.245134,0.035)); #483392=CARTESIAN_POINT('',(59.964803,38.245134,0.)); #483393=CARTESIAN_POINT('Origin',(59.8749996912748,38.1553317497004,0.)); #483394=CARTESIAN_POINT('',(60.002,38.155331,0.)); #483395=CARTESIAN_POINT('Origin',(59.8749996912748,38.1553317497004,0.)); #483396=CARTESIAN_POINT('',(60.002,38.155331,0.035)); #483397=CARTESIAN_POINT('Origin',(59.8749996912748,38.1553317497004,0.035)); #483398=CARTESIAN_POINT('',(60.002,38.155331,0.)); #483399=CARTESIAN_POINT('Origin',(60.002,19.594669,0.)); #483400=CARTESIAN_POINT('',(60.002,19.594669,0.)); #483401=CARTESIAN_POINT('',(60.002,19.594669,0.)); #483402=CARTESIAN_POINT('',(60.002,19.594669,0.035)); #483403=CARTESIAN_POINT('',(60.002,19.594669,0.035)); #483404=CARTESIAN_POINT('',(60.002,19.594669,0.)); #483405=CARTESIAN_POINT('Origin',(59.8749996912748,19.5946682502996,0.)); #483406=CARTESIAN_POINT('',(59.964803,19.504866,0.)); #483407=CARTESIAN_POINT('Origin',(59.8749996912748,19.5946682502996,0.)); #483408=CARTESIAN_POINT('',(59.964803,19.504866,0.035)); #483409=CARTESIAN_POINT('Origin',(59.8749996912748,19.5946682502996,0.035)); #483410=CARTESIAN_POINT('',(59.964803,19.504866,0.)); #483411=CARTESIAN_POINT('Origin',(54.620134,14.160197,0.)); #483412=CARTESIAN_POINT('',(54.620134,14.160197,0.)); #483413=CARTESIAN_POINT('',(54.620134,14.160197,0.)); #483414=CARTESIAN_POINT('',(54.620134,14.160197,0.035)); #483415=CARTESIAN_POINT('',(54.620134,14.160197,0.035)); #483416=CARTESIAN_POINT('',(54.620134,14.160197,0.)); #483417=CARTESIAN_POINT('Origin',(54.5303317497004,14.2500003087252,0.)); #483418=CARTESIAN_POINT('',(54.530331,14.123,0.)); #483419=CARTESIAN_POINT('Origin',(54.5303317497004,14.2500003087252,0.)); #483420=CARTESIAN_POINT('',(54.530331,14.123,0.035)); #483421=CARTESIAN_POINT('Origin',(54.5303317497004,14.2500003087252,0.035)); #483422=CARTESIAN_POINT('',(54.530331,14.123,0.)); #483423=CARTESIAN_POINT('Origin',(42.743928,14.123,0.)); #483424=CARTESIAN_POINT('',(42.743928,14.123,0.)); #483425=CARTESIAN_POINT('',(42.743928,14.123,0.)); #483426=CARTESIAN_POINT('',(42.743928,14.123,0.035)); #483427=CARTESIAN_POINT('',(42.743928,14.123,0.035)); #483428=CARTESIAN_POINT('',(42.743928,14.123,0.)); #483429=CARTESIAN_POINT('Origin',(42.4992008746985,14.2493753757046,0.)); #483430=CARTESIAN_POINT('Origin',(42.4992008746985,14.2493753757046,0.)); #483431=CARTESIAN_POINT('Origin',(42.4992008746985,14.2493753757046,0.035)); #483432=CARTESIAN_POINT('Origin',(50.4275280783043,33.432839379361,0.035)); #483433=CARTESIAN_POINT('Origin',(50.4275280783043,33.432839379361,0.)); #483434=CARTESIAN_POINT('Origin',(41.25,13.0029,-200.)); #483435=CARTESIAN_POINT('',(41.11,13.0029,0.)); #483436=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #483437=CARTESIAN_POINT('',(41.11,13.0029,0.0349999999999895)); #483438=CARTESIAN_POINT('',(41.11,13.0029,-200.)); #483439=CARTESIAN_POINT('Origin',(41.25,13.0029,0.0349999999999895)); #483440=CARTESIAN_POINT('Origin',(34.9375,12.25,-200.)); #483441=CARTESIAN_POINT('',(34.7975,12.25,0.)); #483442=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #483443=CARTESIAN_POINT('',(34.7975,12.25,0.0349999999999895)); #483444=CARTESIAN_POINT('',(34.7975,12.25,-200.)); #483445=CARTESIAN_POINT('Origin',(34.9375,12.25,0.0349999999999895)); #483446=CARTESIAN_POINT('Origin',(34.959144,11.975,0.)); #483447=CARTESIAN_POINT('',(34.959144,11.975,0.)); #483448=CARTESIAN_POINT('',(35.001897,11.981772,0.)); #483449=CARTESIAN_POINT('',(34.959144,11.975,0.)); #483450=CARTESIAN_POINT('',(35.001897,11.981772,0.035)); #483451=CARTESIAN_POINT('',(35.001897,11.981772,0.)); #483452=CARTESIAN_POINT('',(34.959144,11.975,0.035)); #483453=CARTESIAN_POINT('',(34.959144,11.975,0.035)); #483454=CARTESIAN_POINT('',(34.959144,11.975,0.)); #483455=CARTESIAN_POINT('Origin',(34.9375003953475,12.2500004229918,0.)); #483456=CARTESIAN_POINT('',(34.682647,12.144438,0.)); #483457=CARTESIAN_POINT('Origin',(34.9375003953475,12.2500004229918,0.)); #483458=CARTESIAN_POINT('',(34.682647,12.144438,0.035)); #483459=CARTESIAN_POINT('Origin',(34.9375003953475,12.2500004229918,0.035)); #483460=CARTESIAN_POINT('',(34.682647,12.144438,0.)); #483461=CARTESIAN_POINT('Origin',(34.9371182367391,12.2498222285952,0.)); #483462=CARTESIAN_POINT('',(35.181428,12.377,0.)); #483463=CARTESIAN_POINT('Origin',(34.9371182367391,12.2498222285952,0.)); #483464=CARTESIAN_POINT('',(35.181428,12.377,0.035)); #483465=CARTESIAN_POINT('Origin',(34.9371182367391,12.2498222285952,0.035)); #483466=CARTESIAN_POINT('',(35.181428,12.377,0.)); #483467=CARTESIAN_POINT('Origin',(40.444494,12.377,0.)); #483468=CARTESIAN_POINT('',(40.444494,12.377,0.)); #483469=CARTESIAN_POINT('',(40.444494,12.377,0.)); #483470=CARTESIAN_POINT('',(40.444494,12.377,0.035)); #483471=CARTESIAN_POINT('',(40.444494,12.377,0.035)); #483472=CARTESIAN_POINT('',(40.444494,12.377,0.)); #483473=CARTESIAN_POINT('Origin',(40.987713,12.920219,0.)); #483474=CARTESIAN_POINT('',(40.987713,12.920219,0.)); #483475=CARTESIAN_POINT('',(40.987713,12.920219,0.)); #483476=CARTESIAN_POINT('',(40.987713,12.920219,0.035)); #483477=CARTESIAN_POINT('',(40.987713,12.920219,0.035)); #483478=CARTESIAN_POINT('',(40.987713,12.920219,0.)); #483479=CARTESIAN_POINT('Origin',(41.250342029785,13.0032130782902,0.)); #483480=CARTESIAN_POINT('',(41.355563,13.257753,0.)); #483481=CARTESIAN_POINT('Origin',(41.250342029785,13.0032130782902,0.)); #483482=CARTESIAN_POINT('',(41.355563,13.257753,0.035)); #483483=CARTESIAN_POINT('Origin',(41.250342029785,13.0032130782902,0.035)); #483484=CARTESIAN_POINT('',(41.355563,13.257753,0.)); #483485=CARTESIAN_POINT('Origin',(41.2501441326569,13.0032951698111,0.)); #483486=CARTESIAN_POINT('',(41.167319,12.740613,0.)); #483487=CARTESIAN_POINT('Origin',(41.2501441326569,13.0032951698111,0.)); #483488=CARTESIAN_POINT('',(41.167319,12.740613,0.035)); #483489=CARTESIAN_POINT('Origin',(41.2501441326569,13.0032951698111,0.035)); #483490=CARTESIAN_POINT('',(41.167319,12.740613,0.)); #483491=CARTESIAN_POINT('Origin',(40.586903,12.160197,0.)); #483492=CARTESIAN_POINT('',(40.586903,12.160197,0.)); #483493=CARTESIAN_POINT('',(40.586903,12.160197,0.)); #483494=CARTESIAN_POINT('',(40.586903,12.160197,0.035)); #483495=CARTESIAN_POINT('',(40.586903,12.160197,0.035)); #483496=CARTESIAN_POINT('',(40.586903,12.160197,0.)); #483497=CARTESIAN_POINT('Origin',(40.4971007497004,12.2500003087252,0.)); #483498=CARTESIAN_POINT('',(40.4971,12.123,0.)); #483499=CARTESIAN_POINT('Origin',(40.4971007497004,12.2500003087252,0.)); #483500=CARTESIAN_POINT('',(40.4971,12.123,0.035)); #483501=CARTESIAN_POINT('Origin',(40.4971007497004,12.2500003087252,0.035)); #483502=CARTESIAN_POINT('',(40.4971,12.123,0.)); #483503=CARTESIAN_POINT('Origin',(35.181428,12.123,0.)); #483504=CARTESIAN_POINT('',(35.181428,12.123,0.)); #483505=CARTESIAN_POINT('',(35.181428,12.123,0.)); #483506=CARTESIAN_POINT('',(35.181428,12.123,0.035)); #483507=CARTESIAN_POINT('',(35.181428,12.123,0.035)); #483508=CARTESIAN_POINT('',(35.181428,12.123,0.)); #483509=CARTESIAN_POINT('Origin',(34.9367008746984,12.2493753757046,0.)); #483510=CARTESIAN_POINT('Origin',(34.9367008746984,12.2493753757046,0.)); #483511=CARTESIAN_POINT('Origin',(34.9367008746984,12.2493753757046,0.035)); #483512=CARTESIAN_POINT('Origin',(38.204809602901,12.3549250117441,0.035)); #483513=CARTESIAN_POINT('Origin',(38.204809602901,12.3549250117441,0.)); #483514=CARTESIAN_POINT('Origin',(34.25,8.25,-200.)); #483515=CARTESIAN_POINT('',(34.11,8.25,0.)); #483516=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #483517=CARTESIAN_POINT('',(34.11,8.25,0.0349999999999895)); #483518=CARTESIAN_POINT('',(34.11,8.25,-200.)); #483519=CARTESIAN_POINT('Origin',(34.25,8.25,0.0349999999999895)); #483520=CARTESIAN_POINT('Origin',(33.9,6.67,0.)); #483521=CARTESIAN_POINT('',(33.9,6.67,0.)); #483522=CARTESIAN_POINT('',(33.9,7.82,0.)); #483523=CARTESIAN_POINT('',(33.9,6.67,0.)); #483524=CARTESIAN_POINT('',(33.9,7.82,0.035)); #483525=CARTESIAN_POINT('',(33.9,7.82,0.)); #483526=CARTESIAN_POINT('',(33.9,6.67,0.035)); #483527=CARTESIAN_POINT('',(33.9,6.67,0.035)); #483528=CARTESIAN_POINT('',(33.9,6.67,0.)); #483529=CARTESIAN_POINT('Origin',(33.6,6.67,0.)); #483530=CARTESIAN_POINT('',(33.6,6.67,0.)); #483531=CARTESIAN_POINT('',(33.6,6.67,0.)); #483532=CARTESIAN_POINT('',(33.6,6.67,0.035)); #483533=CARTESIAN_POINT('',(33.6,6.67,0.035)); #483534=CARTESIAN_POINT('',(33.6,6.67,0.)); #483535=CARTESIAN_POINT('Origin',(33.6,7.82,0.)); #483536=CARTESIAN_POINT('',(33.6,7.82,0.)); #483537=CARTESIAN_POINT('',(33.6,7.82,0.)); #483538=CARTESIAN_POINT('',(33.6,7.82,0.035)); #483539=CARTESIAN_POINT('',(33.6,7.82,0.035)); #483540=CARTESIAN_POINT('',(33.6,7.82,0.)); #483541=CARTESIAN_POINT('Origin',(33.623,7.82,0.)); #483542=CARTESIAN_POINT('',(33.623,7.82,0.)); #483543=CARTESIAN_POINT('',(33.623,7.82,0.)); #483544=CARTESIAN_POINT('',(33.623,7.82,0.035)); #483545=CARTESIAN_POINT('',(33.623,7.82,0.035)); #483546=CARTESIAN_POINT('',(33.623,7.82,0.)); #483547=CARTESIAN_POINT('Origin',(33.623,8.,0.)); #483548=CARTESIAN_POINT('',(33.623,8.,0.)); #483549=CARTESIAN_POINT('',(33.623,8.,0.)); #483550=CARTESIAN_POINT('',(33.623,8.,0.035)); #483551=CARTESIAN_POINT('',(33.623,8.,0.035)); #483552=CARTESIAN_POINT('',(33.623,8.,0.)); #483553=CARTESIAN_POINT('Origin',(33.7500004294359,8.00000079970043,0.)); #483554=CARTESIAN_POINT('',(33.660197,8.0898031,0.)); #483555=CARTESIAN_POINT('Origin',(33.7500004294359,8.00000079970043,0.)); #483556=CARTESIAN_POINT('',(33.660197,8.0898031,0.035)); #483557=CARTESIAN_POINT('Origin',(33.7500004294359,8.00000079970043,0.035)); #483558=CARTESIAN_POINT('',(33.660197,8.0898031,0.)); #483559=CARTESIAN_POINT('Origin',(33.910197,8.3398031,0.)); #483560=CARTESIAN_POINT('',(33.910197,8.3398031,0.)); #483561=CARTESIAN_POINT('',(33.910197,8.3398031,0.)); #483562=CARTESIAN_POINT('',(33.910197,8.3398031,0.035)); #483563=CARTESIAN_POINT('',(33.910197,8.3398031,0.035)); #483564=CARTESIAN_POINT('',(33.910197,8.3398031,0.)); #483565=CARTESIAN_POINT('Origin',(34.0001664322704,8.25006425559049,0.)); #483566=CARTESIAN_POINT('',(34.006072,8.377,0.)); #483567=CARTESIAN_POINT('Origin',(34.0001664322704,8.25006425559049,0.)); #483568=CARTESIAN_POINT('',(34.006072,8.377,0.035)); #483569=CARTESIAN_POINT('Origin',(34.0001664322704,8.25006425559049,0.035)); #483570=CARTESIAN_POINT('',(34.006072,8.377,0.)); #483571=CARTESIAN_POINT('Origin',(34.25,8.25000006472629,0.)); #483572=CARTESIAN_POINT('',(34.493928,8.377,0.)); #483573=CARTESIAN_POINT('Origin',(34.25,8.25000006472629,0.)); #483574=CARTESIAN_POINT('',(34.493928,8.377,0.035)); #483575=CARTESIAN_POINT('Origin',(34.25,8.25000006472629,0.035)); #483576=CARTESIAN_POINT('',(34.493928,8.377,0.)); #483577=CARTESIAN_POINT('Origin',(34.4998335677296,8.25006425559049,0.)); #483578=CARTESIAN_POINT('',(34.589803,8.3398031,0.)); #483579=CARTESIAN_POINT('Origin',(34.4998335677296,8.25006425559049,0.)); #483580=CARTESIAN_POINT('',(34.589803,8.3398031,0.035)); #483581=CARTESIAN_POINT('Origin',(34.4998335677296,8.25006425559049,0.035)); #483582=CARTESIAN_POINT('',(34.589803,8.3398031,0.)); #483583=CARTESIAN_POINT('Origin',(34.839803,8.0898031,0.)); #483584=CARTESIAN_POINT('',(34.839803,8.0898031,0.)); #483585=CARTESIAN_POINT('',(34.839803,8.0898031,0.)); #483586=CARTESIAN_POINT('',(34.839803,8.0898031,0.035)); #483587=CARTESIAN_POINT('',(34.839803,8.0898031,0.035)); #483588=CARTESIAN_POINT('',(34.839803,8.0898031,0.)); #483589=CARTESIAN_POINT('Origin',(34.7499995705641,8.00000079970044,0.)); #483590=CARTESIAN_POINT('',(34.877,8.,0.)); #483591=CARTESIAN_POINT('Origin',(34.7499995705641,8.00000079970044,0.)); #483592=CARTESIAN_POINT('',(34.877,8.,0.035)); #483593=CARTESIAN_POINT('Origin',(34.7499995705641,8.00000079970044,0.035)); #483594=CARTESIAN_POINT('',(34.877,8.,0.)); #483595=CARTESIAN_POINT('Origin',(34.877,7.82,0.)); #483596=CARTESIAN_POINT('',(34.877,7.82,0.)); #483597=CARTESIAN_POINT('',(34.877,7.82,0.)); #483598=CARTESIAN_POINT('',(34.877,7.82,0.035)); #483599=CARTESIAN_POINT('',(34.877,7.82,0.035)); #483600=CARTESIAN_POINT('',(34.877,7.82,0.)); #483601=CARTESIAN_POINT('Origin',(34.9,7.82,0.)); #483602=CARTESIAN_POINT('',(34.9,7.82,0.)); #483603=CARTESIAN_POINT('',(34.9,7.82,0.)); #483604=CARTESIAN_POINT('',(34.9,7.82,0.035)); #483605=CARTESIAN_POINT('',(34.9,7.82,0.035)); #483606=CARTESIAN_POINT('',(34.9,7.82,0.)); #483607=CARTESIAN_POINT('Origin',(34.9,6.67,0.)); #483608=CARTESIAN_POINT('',(34.9,6.67,0.)); #483609=CARTESIAN_POINT('',(34.9,6.67,0.)); #483610=CARTESIAN_POINT('',(34.9,6.67,0.035)); #483611=CARTESIAN_POINT('',(34.9,6.67,0.035)); #483612=CARTESIAN_POINT('',(34.9,6.67,0.)); #483613=CARTESIAN_POINT('Origin',(34.6,6.67,0.)); #483614=CARTESIAN_POINT('',(34.6,6.67,0.)); #483615=CARTESIAN_POINT('',(34.6,6.67,0.)); #483616=CARTESIAN_POINT('',(34.6,6.67,0.035)); #483617=CARTESIAN_POINT('',(34.6,6.67,0.035)); #483618=CARTESIAN_POINT('',(34.6,6.67,0.)); #483619=CARTESIAN_POINT('Origin',(34.6,7.82,0.)); #483620=CARTESIAN_POINT('',(34.6,7.82,0.)); #483621=CARTESIAN_POINT('',(34.6,7.82,0.)); #483622=CARTESIAN_POINT('',(34.6,7.82,0.035)); #483623=CARTESIAN_POINT('',(34.6,7.82,0.035)); #483624=CARTESIAN_POINT('',(34.6,7.82,0.)); #483625=CARTESIAN_POINT('Origin',(34.623,7.82,0.)); #483626=CARTESIAN_POINT('',(34.623,7.82,0.)); #483627=CARTESIAN_POINT('',(34.623,7.82,0.)); #483628=CARTESIAN_POINT('',(34.623,7.82,0.035)); #483629=CARTESIAN_POINT('',(34.623,7.82,0.035)); #483630=CARTESIAN_POINT('',(34.623,7.82,0.)); #483631=CARTESIAN_POINT('Origin',(34.623,7.9473938,0.)); #483632=CARTESIAN_POINT('',(34.623,7.9473938,0.)); #483633=CARTESIAN_POINT('',(34.623,7.9473938,0.)); #483634=CARTESIAN_POINT('',(34.623,7.9473938,0.035)); #483635=CARTESIAN_POINT('',(34.623,7.9473938,0.035)); #483636=CARTESIAN_POINT('',(34.623,7.9473938,0.)); #483637=CARTESIAN_POINT('Origin',(34.4765,8.0938938,0.)); #483638=CARTESIAN_POINT('',(34.4765,8.0938938,0.)); #483639=CARTESIAN_POINT('',(34.4765,8.0938938,0.)); #483640=CARTESIAN_POINT('',(34.4765,8.0938938,0.035)); #483641=CARTESIAN_POINT('',(34.4765,8.0938938,0.035)); #483642=CARTESIAN_POINT('',(34.4765,8.0938938,0.)); #483643=CARTESIAN_POINT('Origin',(34.25,8.25000004916581,0.)); #483644=CARTESIAN_POINT('',(34.0235,8.0938938,0.)); #483645=CARTESIAN_POINT('Origin',(34.25,8.25000004916581,0.)); #483646=CARTESIAN_POINT('',(34.0235,8.0938938,0.035)); #483647=CARTESIAN_POINT('Origin',(34.25,8.25000004916581,0.035)); #483648=CARTESIAN_POINT('',(34.0235,8.0938938,0.)); #483649=CARTESIAN_POINT('Origin',(33.877,7.9473938,0.)); #483650=CARTESIAN_POINT('',(33.877,7.9473938,0.)); #483651=CARTESIAN_POINT('',(33.877,7.9473938,0.)); #483652=CARTESIAN_POINT('',(33.877,7.9473938,0.035)); #483653=CARTESIAN_POINT('',(33.877,7.9473938,0.035)); #483654=CARTESIAN_POINT('',(33.877,7.9473938,0.)); #483655=CARTESIAN_POINT('Origin',(33.877,7.82,0.)); #483656=CARTESIAN_POINT('',(33.877,7.82,0.)); #483657=CARTESIAN_POINT('',(33.877,7.82,0.)); #483658=CARTESIAN_POINT('',(33.877,7.82,0.035)); #483659=CARTESIAN_POINT('',(33.877,7.82,0.035)); #483660=CARTESIAN_POINT('',(33.877,7.82,0.)); #483661=CARTESIAN_POINT('Origin',(33.9,7.82,0.)); #483662=CARTESIAN_POINT('',(33.9,7.82,0.)); #483663=CARTESIAN_POINT('',(33.9,7.82,0.035)); #483664=CARTESIAN_POINT('Origin',(34.25,7.55532305312374,0.035)); #483665=CARTESIAN_POINT('Origin',(34.25,7.55532305312374,0.)); #483666=CARTESIAN_POINT('Origin',(33.75,6.2426,-200.)); #483667=CARTESIAN_POINT('',(33.61,6.2426,0.)); #483668=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #483669=CARTESIAN_POINT('',(33.61,6.2426,0.0349999999999895)); #483670=CARTESIAN_POINT('',(33.61,6.2426,-200.)); #483671=CARTESIAN_POINT('Origin',(33.75,6.2426,0.0349999999999895)); #483672=CARTESIAN_POINT('Origin',(33.771644,5.9676,0.)); #483673=CARTESIAN_POINT('',(33.771644,5.9676,0.)); #483674=CARTESIAN_POINT('',(33.814397,5.9743719,0.)); #483675=CARTESIAN_POINT('',(33.771644,5.9676,0.)); #483676=CARTESIAN_POINT('',(33.814397,5.9743719,0.035)); #483677=CARTESIAN_POINT('',(33.814397,5.9743719,0.)); #483678=CARTESIAN_POINT('',(33.771644,5.9676,0.035)); #483679=CARTESIAN_POINT('',(33.771644,5.9676,0.035)); #483680=CARTESIAN_POINT('',(33.771644,5.9676,0.)); #483681=CARTESIAN_POINT('Origin',(33.7506678158121,6.24222986003389,0.)); #483682=CARTESIAN_POINT('',(33.505978,6.1157844,0.)); #483683=CARTESIAN_POINT('Origin',(33.7506678158121,6.24222986003389,0.)); #483684=CARTESIAN_POINT('',(33.505978,6.1157844,0.035)); #483685=CARTESIAN_POINT('Origin',(33.7506678158121,6.24222986003389,0.035)); #483686=CARTESIAN_POINT('',(33.505978,6.1157844,0.)); #483687=CARTESIAN_POINT('Origin',(33.5071836689095,6.24269075278657,0.)); #483688=CARTESIAN_POINT('',(33.417597,6.1527969,0.)); #483689=CARTESIAN_POINT('Origin',(33.5071836689095,6.24269075278657,0.)); #483690=CARTESIAN_POINT('',(33.417597,6.1527969,0.035)); #483691=CARTESIAN_POINT('Origin',(33.5071836689095,6.24269075278657,0.035)); #483692=CARTESIAN_POINT('',(33.417597,6.1527969,0.)); #483693=CARTESIAN_POINT('Origin',(33.160197,6.4101969,0.)); #483694=CARTESIAN_POINT('',(33.160197,6.4101969,0.)); #483695=CARTESIAN_POINT('',(33.160197,6.4101969,0.)); #483696=CARTESIAN_POINT('',(33.160197,6.4101969,0.035)); #483697=CARTESIAN_POINT('',(33.160197,6.4101969,0.035)); #483698=CARTESIAN_POINT('',(33.160197,6.4101969,0.)); #483699=CARTESIAN_POINT('Origin',(33.2500004294359,6.49999920029957,0.)); #483700=CARTESIAN_POINT('',(33.123,6.5,0.)); #483701=CARTESIAN_POINT('Origin',(33.2500004294359,6.49999920029957,0.)); #483702=CARTESIAN_POINT('',(33.123,6.5,0.035)); #483703=CARTESIAN_POINT('Origin',(33.2500004294359,6.49999920029957,0.035)); #483704=CARTESIAN_POINT('',(33.123,6.5,0.)); #483705=CARTESIAN_POINT('Origin',(33.123,6.67,0.)); #483706=CARTESIAN_POINT('',(33.123,6.67,0.)); #483707=CARTESIAN_POINT('',(33.123,6.67,0.)); #483708=CARTESIAN_POINT('',(33.123,6.67,0.035)); #483709=CARTESIAN_POINT('',(33.123,6.67,0.035)); #483710=CARTESIAN_POINT('',(33.123,6.67,0.)); #483711=CARTESIAN_POINT('Origin',(33.1,6.67,0.)); #483712=CARTESIAN_POINT('',(33.1,6.67,0.)); #483713=CARTESIAN_POINT('',(33.1,6.67,0.)); #483714=CARTESIAN_POINT('',(33.1,6.67,0.035)); #483715=CARTESIAN_POINT('',(33.1,6.67,0.035)); #483716=CARTESIAN_POINT('',(33.1,6.67,0.)); #483717=CARTESIAN_POINT('Origin',(33.1,7.82,0.)); #483718=CARTESIAN_POINT('',(33.1,7.82,0.)); #483719=CARTESIAN_POINT('',(33.1,7.82,0.)); #483720=CARTESIAN_POINT('',(33.1,7.82,0.035)); #483721=CARTESIAN_POINT('',(33.1,7.82,0.035)); #483722=CARTESIAN_POINT('',(33.1,7.82,0.)); #483723=CARTESIAN_POINT('Origin',(33.4,7.82,0.)); #483724=CARTESIAN_POINT('',(33.4,7.82,0.)); #483725=CARTESIAN_POINT('',(33.4,7.82,0.)); #483726=CARTESIAN_POINT('',(33.4,7.82,0.035)); #483727=CARTESIAN_POINT('',(33.4,7.82,0.035)); #483728=CARTESIAN_POINT('',(33.4,7.82,0.)); #483729=CARTESIAN_POINT('Origin',(33.4,6.67,0.)); #483730=CARTESIAN_POINT('',(33.4,6.67,0.)); #483731=CARTESIAN_POINT('',(33.4,6.67,0.)); #483732=CARTESIAN_POINT('',(33.4,6.67,0.035)); #483733=CARTESIAN_POINT('',(33.4,6.67,0.035)); #483734=CARTESIAN_POINT('',(33.4,6.67,0.)); #483735=CARTESIAN_POINT('Origin',(33.377,6.67,0.)); #483736=CARTESIAN_POINT('',(33.377,6.67,0.)); #483737=CARTESIAN_POINT('',(33.377,6.67,0.)); #483738=CARTESIAN_POINT('',(33.377,6.67,0.035)); #483739=CARTESIAN_POINT('',(33.377,6.67,0.035)); #483740=CARTESIAN_POINT('',(33.377,6.67,0.)); #483741=CARTESIAN_POINT('Origin',(33.377,6.5526063,0.)); #483742=CARTESIAN_POINT('',(33.377,6.5526063,0.)); #483743=CARTESIAN_POINT('',(33.377,6.5526063,0.)); #483744=CARTESIAN_POINT('',(33.377,6.5526063,0.035)); #483745=CARTESIAN_POINT('',(33.377,6.5526063,0.035)); #483746=CARTESIAN_POINT('',(33.377,6.5526063,0.)); #483747=CARTESIAN_POINT('Origin',(33.526612,6.4029938,0.)); #483748=CARTESIAN_POINT('',(33.526612,6.4029938,0.)); #483749=CARTESIAN_POINT('',(33.526612,6.4029938,0.)); #483750=CARTESIAN_POINT('',(33.526612,6.4029938,0.035)); #483751=CARTESIAN_POINT('',(33.526612,6.4029938,0.035)); #483752=CARTESIAN_POINT('',(33.526612,6.4029938,0.)); #483753=CARTESIAN_POINT('Origin',(33.75,6.24259969130057,0.)); #483754=CARTESIAN_POINT('',(33.973388,6.4029938,0.)); #483755=CARTESIAN_POINT('Origin',(33.75,6.24259969130057,0.)); #483756=CARTESIAN_POINT('',(33.973388,6.4029938,0.035)); #483757=CARTESIAN_POINT('Origin',(33.75,6.24259969130057,0.035)); #483758=CARTESIAN_POINT('',(33.973388,6.4029938,0.)); #483759=CARTESIAN_POINT('Origin',(34.123,6.5526063,0.)); #483760=CARTESIAN_POINT('',(34.123,6.5526063,0.)); #483761=CARTESIAN_POINT('',(34.123,6.5526063,0.)); #483762=CARTESIAN_POINT('',(34.123,6.5526063,0.035)); #483763=CARTESIAN_POINT('',(34.123,6.5526063,0.035)); #483764=CARTESIAN_POINT('',(34.123,6.5526063,0.)); #483765=CARTESIAN_POINT('Origin',(34.123,6.67,0.)); #483766=CARTESIAN_POINT('',(34.123,6.67,0.)); #483767=CARTESIAN_POINT('',(34.123,6.67,0.)); #483768=CARTESIAN_POINT('',(34.123,6.67,0.035)); #483769=CARTESIAN_POINT('',(34.123,6.67,0.035)); #483770=CARTESIAN_POINT('',(34.123,6.67,0.)); #483771=CARTESIAN_POINT('Origin',(34.1,6.67,0.)); #483772=CARTESIAN_POINT('',(34.1,6.67,0.)); #483773=CARTESIAN_POINT('',(34.1,6.67,0.)); #483774=CARTESIAN_POINT('',(34.1,6.67,0.035)); #483775=CARTESIAN_POINT('',(34.1,6.67,0.035)); #483776=CARTESIAN_POINT('',(34.1,6.67,0.)); #483777=CARTESIAN_POINT('Origin',(34.1,7.82,0.)); #483778=CARTESIAN_POINT('',(34.1,7.82,0.)); #483779=CARTESIAN_POINT('',(34.1,7.82,0.)); #483780=CARTESIAN_POINT('',(34.1,7.82,0.035)); #483781=CARTESIAN_POINT('',(34.1,7.82,0.035)); #483782=CARTESIAN_POINT('',(34.1,7.82,0.)); #483783=CARTESIAN_POINT('Origin',(34.4,7.82,0.)); #483784=CARTESIAN_POINT('',(34.4,7.82,0.)); #483785=CARTESIAN_POINT('',(34.4,7.82,0.)); #483786=CARTESIAN_POINT('',(34.4,7.82,0.035)); #483787=CARTESIAN_POINT('',(34.4,7.82,0.035)); #483788=CARTESIAN_POINT('',(34.4,7.82,0.)); #483789=CARTESIAN_POINT('Origin',(34.4,6.67,0.)); #483790=CARTESIAN_POINT('',(34.4,6.67,0.)); #483791=CARTESIAN_POINT('',(34.4,6.67,0.)); #483792=CARTESIAN_POINT('',(34.4,6.67,0.035)); #483793=CARTESIAN_POINT('',(34.4,6.67,0.035)); #483794=CARTESIAN_POINT('',(34.4,6.67,0.)); #483795=CARTESIAN_POINT('Origin',(34.377,6.67,0.)); #483796=CARTESIAN_POINT('',(34.377,6.67,0.)); #483797=CARTESIAN_POINT('',(34.377,6.67,0.)); #483798=CARTESIAN_POINT('',(34.377,6.67,0.035)); #483799=CARTESIAN_POINT('',(34.377,6.67,0.035)); #483800=CARTESIAN_POINT('',(34.377,6.67,0.)); #483801=CARTESIAN_POINT('Origin',(34.377,6.5,0.)); #483802=CARTESIAN_POINT('',(34.377,6.5,0.)); #483803=CARTESIAN_POINT('',(34.377,6.5,0.)); #483804=CARTESIAN_POINT('',(34.377,6.5,0.035)); #483805=CARTESIAN_POINT('',(34.377,6.5,0.035)); #483806=CARTESIAN_POINT('',(34.377,6.5,0.)); #483807=CARTESIAN_POINT('Origin',(34.2499995705641,6.49999920029957,0.)); #483808=CARTESIAN_POINT('',(34.339803,6.4101969,0.)); #483809=CARTESIAN_POINT('Origin',(34.2499995705641,6.49999920029957,0.)); #483810=CARTESIAN_POINT('',(34.339803,6.4101969,0.035)); #483811=CARTESIAN_POINT('Origin',(34.2499995705641,6.49999920029957,0.035)); #483812=CARTESIAN_POINT('',(34.339803,6.4101969,0.)); #483813=CARTESIAN_POINT('Origin',(34.082403,6.1527969,0.)); #483814=CARTESIAN_POINT('',(34.082403,6.1527969,0.)); #483815=CARTESIAN_POINT('',(34.082403,6.1527969,0.)); #483816=CARTESIAN_POINT('',(34.082403,6.1527969,0.035)); #483817=CARTESIAN_POINT('',(34.082403,6.1527969,0.035)); #483818=CARTESIAN_POINT('',(34.082403,6.1527969,0.)); #483819=CARTESIAN_POINT('Origin',(33.9927819309448,6.2426769812052,0.)); #483820=CARTESIAN_POINT('',(33.994009,6.1157563,0.)); #483821=CARTESIAN_POINT('Origin',(33.9927819309448,6.2426769812052,0.)); #483822=CARTESIAN_POINT('',(33.994009,6.1157563,0.035)); #483823=CARTESIAN_POINT('Origin',(33.9927819309448,6.2426769812052,0.035)); #483824=CARTESIAN_POINT('',(33.994009,6.1157563,0.)); #483825=CARTESIAN_POINT('Origin',(33.7492013155928,6.24197523404133,0.)); #483826=CARTESIAN_POINT('Origin',(33.7492013155928,6.24197523404133,0.)); #483827=CARTESIAN_POINT('Origin',(33.7492013155928,6.24197523404133,0.035)); #483828=CARTESIAN_POINT('Origin',(33.7500302420409,6.93756436604281,0.035)); #483829=CARTESIAN_POINT('Origin',(33.7500302420409,6.93756436604281,0.)); #483830=CARTESIAN_POINT('Origin',(39.25,15.75,-200.)); #483831=CARTESIAN_POINT('',(39.11,15.75,0.)); #483832=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #483833=CARTESIAN_POINT('',(39.11,15.75,0.0349999999999895)); #483834=CARTESIAN_POINT('',(39.11,15.75,-200.)); #483835=CARTESIAN_POINT('Origin',(39.25,15.75,0.0349999999999895)); #483836=CARTESIAN_POINT('Origin',(34.75,55.,-200.)); #483837=CARTESIAN_POINT('',(34.61,55.,0.)); #483838=CARTESIAN_POINT('Origin',(34.75,55.,0.)); #483839=CARTESIAN_POINT('',(34.61,55.,0.0349999999999895)); #483840=CARTESIAN_POINT('',(34.61,55.,-200.)); #483841=CARTESIAN_POINT('Origin',(34.75,55.,0.0349999999999895)); #483842=CARTESIAN_POINT('Origin',(42.9375,13.498,0.)); #483843=CARTESIAN_POINT('',(42.9375,13.498,0.)); #483844=CARTESIAN_POINT('',(42.9429,13.498603,0.)); #483845=CARTESIAN_POINT('',(42.9375,13.498,0.)); #483846=CARTESIAN_POINT('',(42.9429,13.498603,0.035)); #483847=CARTESIAN_POINT('',(42.9429,13.498603,0.)); #483848=CARTESIAN_POINT('',(42.9375,13.498,0.035)); #483849=CARTESIAN_POINT('',(42.9375,13.498,0.035)); #483850=CARTESIAN_POINT('',(42.9375,13.498,0.)); #483851=CARTESIAN_POINT('Origin',(41.8721,13.498,0.)); #483852=CARTESIAN_POINT('',(41.8721,13.498,0.)); #483853=CARTESIAN_POINT('',(41.8721,13.498,0.)); #483854=CARTESIAN_POINT('',(41.8721,13.498,0.035)); #483855=CARTESIAN_POINT('',(41.8721,13.498,0.035)); #483856=CARTESIAN_POINT('',(41.8721,13.498,0.)); #483857=CARTESIAN_POINT('Origin',(41.8720992502996,13.6250003087252,0.)); #483858=CARTESIAN_POINT('',(41.782297,13.535197,0.)); #483859=CARTESIAN_POINT('Origin',(41.8720992502996,13.6250003087252,0.)); #483860=CARTESIAN_POINT('',(41.782297,13.535197,0.035)); #483861=CARTESIAN_POINT('Origin',(41.8720992502996,13.6250003087252,0.035)); #483862=CARTESIAN_POINT('',(41.782297,13.535197,0.)); #483863=CARTESIAN_POINT('Origin',(39.694494,15.623,0.)); #483864=CARTESIAN_POINT('',(39.694494,15.623,0.)); #483865=CARTESIAN_POINT('',(39.694494,15.623,0.)); #483866=CARTESIAN_POINT('',(39.694494,15.623,0.035)); #483867=CARTESIAN_POINT('',(39.694494,15.623,0.035)); #483868=CARTESIAN_POINT('',(39.694494,15.623,0.)); #483869=CARTESIAN_POINT('Origin',(39.493928,15.623,0.)); #483870=CARTESIAN_POINT('',(39.493928,15.623,0.)); #483871=CARTESIAN_POINT('',(39.493928,15.623,0.)); #483872=CARTESIAN_POINT('',(39.493928,15.623,0.035)); #483873=CARTESIAN_POINT('',(39.493928,15.623,0.035)); #483874=CARTESIAN_POINT('',(39.493928,15.623,0.)); #483875=CARTESIAN_POINT('Origin',(39.2495362283063,15.7500204601791,0.)); #483876=CARTESIAN_POINT('',(38.995147,15.644438,0.)); #483877=CARTESIAN_POINT('Origin',(39.2495362283063,15.7500204601791,0.)); #483878=CARTESIAN_POINT('',(38.995147,15.644438,0.035)); #483879=CARTESIAN_POINT('Origin',(39.2495362283063,15.7500204601791,0.035)); #483880=CARTESIAN_POINT('',(38.995147,15.644438,0.)); #483881=CARTESIAN_POINT('Origin',(39.2496182367391,15.7498222285952,0.)); #483882=CARTESIAN_POINT('',(39.493928,15.877,0.)); #483883=CARTESIAN_POINT('Origin',(39.2496182367391,15.7498222285952,0.)); #483884=CARTESIAN_POINT('',(39.493928,15.877,0.035)); #483885=CARTESIAN_POINT('Origin',(39.2496182367391,15.7498222285952,0.035)); #483886=CARTESIAN_POINT('',(39.493928,15.877,0.)); #483887=CARTESIAN_POINT('Origin',(39.7471,15.877,0.)); #483888=CARTESIAN_POINT('',(39.7471,15.877,0.)); #483889=CARTESIAN_POINT('',(39.7471,15.877,0.)); #483890=CARTESIAN_POINT('',(39.7471,15.877,0.035)); #483891=CARTESIAN_POINT('',(39.7471,15.877,0.035)); #483892=CARTESIAN_POINT('',(39.7471,15.877,0.)); #483893=CARTESIAN_POINT('Origin',(39.7471007497004,15.7499996912748,0.)); #483894=CARTESIAN_POINT('',(39.836903,15.839803,0.)); #483895=CARTESIAN_POINT('Origin',(39.7471007497004,15.7499996912748,0.)); #483896=CARTESIAN_POINT('',(39.836903,15.839803,0.035)); #483897=CARTESIAN_POINT('Origin',(39.7471007497004,15.7499996912748,0.035)); #483898=CARTESIAN_POINT('',(39.836903,15.839803,0.)); #483899=CARTESIAN_POINT('Origin',(41.924706,13.752,0.)); #483900=CARTESIAN_POINT('',(41.924706,13.752,0.)); #483901=CARTESIAN_POINT('',(41.924706,13.752,0.)); #483902=CARTESIAN_POINT('',(41.924706,13.752,0.035)); #483903=CARTESIAN_POINT('',(41.924706,13.752,0.035)); #483904=CARTESIAN_POINT('',(41.924706,13.752,0.)); #483905=CARTESIAN_POINT('Origin',(42.884894,13.752,0.)); #483906=CARTESIAN_POINT('',(42.884894,13.752,0.)); #483907=CARTESIAN_POINT('',(42.884894,13.752,0.)); #483908=CARTESIAN_POINT('',(42.884894,13.752,0.035)); #483909=CARTESIAN_POINT('',(42.884894,13.752,0.035)); #483910=CARTESIAN_POINT('',(42.884894,13.752,0.)); #483911=CARTESIAN_POINT('Origin',(43.097697,13.964803,0.)); #483912=CARTESIAN_POINT('',(43.097697,13.964803,0.)); #483913=CARTESIAN_POINT('',(43.097697,13.964803,0.)); #483914=CARTESIAN_POINT('',(43.097697,13.964803,0.035)); #483915=CARTESIAN_POINT('',(43.097697,13.964803,0.035)); #483916=CARTESIAN_POINT('',(43.097697,13.964803,0.)); #483917=CARTESIAN_POINT('Origin',(43.1874992502996,13.8749996912748,0.)); #483918=CARTESIAN_POINT('',(43.1875,14.002,0.)); #483919=CARTESIAN_POINT('Origin',(43.1874992502996,13.8749996912748,0.)); #483920=CARTESIAN_POINT('',(43.1875,14.002,0.035)); #483921=CARTESIAN_POINT('Origin',(43.1874992502996,13.8749996912748,0.035)); #483922=CARTESIAN_POINT('',(43.1875,14.002,0.)); #483923=CARTESIAN_POINT('Origin',(54.633056,14.002,0.)); #483924=CARTESIAN_POINT('',(54.633056,14.002,0.)); #483925=CARTESIAN_POINT('',(54.633056,14.002,0.)); #483926=CARTESIAN_POINT('',(54.633056,14.002,0.035)); #483927=CARTESIAN_POINT('',(54.633056,14.002,0.035)); #483928=CARTESIAN_POINT('',(54.633056,14.002,0.)); #483929=CARTESIAN_POINT('Origin',(60.123,19.491944,0.)); #483930=CARTESIAN_POINT('',(60.123,19.491944,0.)); #483931=CARTESIAN_POINT('',(60.123,19.491944,0.)); #483932=CARTESIAN_POINT('',(60.123,19.491944,0.035)); #483933=CARTESIAN_POINT('',(60.123,19.491944,0.035)); #483934=CARTESIAN_POINT('',(60.123,19.491944,0.)); #483935=CARTESIAN_POINT('Origin',(60.123,38.258056,0.)); #483936=CARTESIAN_POINT('',(60.123,38.258056,0.)); #483937=CARTESIAN_POINT('',(60.123,38.258056,0.)); #483938=CARTESIAN_POINT('',(60.123,38.258056,0.035)); #483939=CARTESIAN_POINT('',(60.123,38.258056,0.035)); #483940=CARTESIAN_POINT('',(60.123,38.258056,0.)); #483941=CARTESIAN_POINT('Origin',(57.758056,40.623,0.)); #483942=CARTESIAN_POINT('',(57.758056,40.623,0.)); #483943=CARTESIAN_POINT('',(57.758056,40.623,0.)); #483944=CARTESIAN_POINT('',(57.758056,40.623,0.035)); #483945=CARTESIAN_POINT('',(57.758056,40.623,0.035)); #483946=CARTESIAN_POINT('',(57.758056,40.623,0.)); #483947=CARTESIAN_POINT('Origin',(48.625,40.623,0.)); #483948=CARTESIAN_POINT('',(48.625,40.623,0.)); #483949=CARTESIAN_POINT('',(48.625,40.623,0.)); #483950=CARTESIAN_POINT('',(48.625,40.623,0.035)); #483951=CARTESIAN_POINT('',(48.625,40.623,0.035)); #483952=CARTESIAN_POINT('',(48.625,40.623,0.)); #483953=CARTESIAN_POINT('Origin',(48.6249992502996,40.7500003087252,0.)); #483954=CARTESIAN_POINT('',(48.535197,40.660197,0.)); #483955=CARTESIAN_POINT('Origin',(48.6249992502996,40.7500003087252,0.)); #483956=CARTESIAN_POINT('',(48.535197,40.660197,0.035)); #483957=CARTESIAN_POINT('Origin',(48.6249992502996,40.7500003087252,0.035)); #483958=CARTESIAN_POINT('',(48.535197,40.660197,0.)); #483959=CARTESIAN_POINT('Origin',(47.072394,42.123,0.)); #483960=CARTESIAN_POINT('',(47.072394,42.123,0.)); #483961=CARTESIAN_POINT('',(47.072394,42.123,0.)); #483962=CARTESIAN_POINT('',(47.072394,42.123,0.035)); #483963=CARTESIAN_POINT('',(47.072394,42.123,0.035)); #483964=CARTESIAN_POINT('',(47.072394,42.123,0.)); #483965=CARTESIAN_POINT('Origin',(43.625,42.123,0.)); #483966=CARTESIAN_POINT('',(43.625,42.123,0.)); #483967=CARTESIAN_POINT('',(43.625,42.123,0.)); #483968=CARTESIAN_POINT('',(43.625,42.123,0.035)); #483969=CARTESIAN_POINT('',(43.625,42.123,0.035)); #483970=CARTESIAN_POINT('',(43.625,42.123,0.)); #483971=CARTESIAN_POINT('Origin',(43.6249992502996,42.2500003087252,0.)); #483972=CARTESIAN_POINT('',(43.535197,42.160197,0.)); #483973=CARTESIAN_POINT('Origin',(43.6249992502996,42.2500003087252,0.)); #483974=CARTESIAN_POINT('',(43.535197,42.160197,0.035)); #483975=CARTESIAN_POINT('Origin',(43.6249992502996,42.2500003087252,0.035)); #483976=CARTESIAN_POINT('',(43.535197,42.160197,0.)); #483977=CARTESIAN_POINT('Origin',(42.660197,43.035197,0.)); #483978=CARTESIAN_POINT('',(42.660197,43.035197,0.)); #483979=CARTESIAN_POINT('',(42.660197,43.035197,0.)); #483980=CARTESIAN_POINT('',(42.660197,43.035197,0.035)); #483981=CARTESIAN_POINT('',(42.660197,43.035197,0.035)); #483982=CARTESIAN_POINT('',(42.660197,43.035197,0.)); #483983=CARTESIAN_POINT('Origin',(42.7500003087252,43.1249992502996,0.)); #483984=CARTESIAN_POINT('',(42.623,43.125,0.)); #483985=CARTESIAN_POINT('Origin',(42.7500003087252,43.1249992502996,0.)); #483986=CARTESIAN_POINT('',(42.623,43.125,0.035)); #483987=CARTESIAN_POINT('Origin',(42.7500003087252,43.1249992502996,0.035)); #483988=CARTESIAN_POINT('',(42.623,43.125,0.)); #483989=CARTESIAN_POINT('Origin',(42.623,49.758069,0.)); #483990=CARTESIAN_POINT('',(42.623,49.758069,0.)); #483991=CARTESIAN_POINT('',(42.623,49.758069,0.)); #483992=CARTESIAN_POINT('',(42.623,49.758069,0.035)); #483993=CARTESIAN_POINT('',(42.623,49.758069,0.035)); #483994=CARTESIAN_POINT('',(42.623,49.758069,0.)); #483995=CARTESIAN_POINT('Origin',(41.508069,50.873,0.)); #483996=CARTESIAN_POINT('',(41.508069,50.873,0.)); #483997=CARTESIAN_POINT('',(41.508069,50.873,0.)); #483998=CARTESIAN_POINT('',(41.508069,50.873,0.035)); #483999=CARTESIAN_POINT('',(41.508069,50.873,0.035)); #484000=CARTESIAN_POINT('',(41.508069,50.873,0.)); #484001=CARTESIAN_POINT('Origin',(36.6875,50.873,0.)); #484002=CARTESIAN_POINT('',(36.6875,50.873,0.)); #484003=CARTESIAN_POINT('',(36.6875,50.873,0.)); #484004=CARTESIAN_POINT('',(36.6875,50.873,0.035)); #484005=CARTESIAN_POINT('',(36.6875,50.873,0.035)); #484006=CARTESIAN_POINT('',(36.6875,50.873,0.)); #484007=CARTESIAN_POINT('Origin',(36.6874992502996,51.0000003087252,0.)); #484008=CARTESIAN_POINT('',(36.597697,50.910197,0.)); #484009=CARTESIAN_POINT('Origin',(36.6874992502996,51.0000003087252,0.)); #484010=CARTESIAN_POINT('',(36.597697,50.910197,0.035)); #484011=CARTESIAN_POINT('Origin',(36.6874992502996,51.0000003087252,0.035)); #484012=CARTESIAN_POINT('',(36.597697,50.910197,0.)); #484013=CARTESIAN_POINT('Origin',(34.285197,53.222697,0.)); #484014=CARTESIAN_POINT('',(34.285197,53.222697,0.)); #484015=CARTESIAN_POINT('',(34.285197,53.222697,0.)); #484016=CARTESIAN_POINT('',(34.285197,53.222697,0.035)); #484017=CARTESIAN_POINT('',(34.285197,53.222697,0.035)); #484018=CARTESIAN_POINT('',(34.285197,53.222697,0.)); #484019=CARTESIAN_POINT('Origin',(34.3750003087252,53.3124992502996,0.)); #484020=CARTESIAN_POINT('',(34.248,53.3125,0.)); #484021=CARTESIAN_POINT('Origin',(34.3750003087252,53.3124992502996,0.)); #484022=CARTESIAN_POINT('',(34.248,53.3125,0.035)); #484023=CARTESIAN_POINT('Origin',(34.3750003087252,53.3124992502996,0.035)); #484024=CARTESIAN_POINT('',(34.248,53.3125,0.)); #484025=CARTESIAN_POINT('Origin',(34.248,54.,0.)); #484026=CARTESIAN_POINT('',(34.248,54.,0.)); #484027=CARTESIAN_POINT('',(34.248,54.,0.)); #484028=CARTESIAN_POINT('',(34.248,54.,0.035)); #484029=CARTESIAN_POINT('',(34.248,54.,0.035)); #484030=CARTESIAN_POINT('',(34.248,54.,0.)); #484031=CARTESIAN_POINT('Origin',(34.3750003087252,54.0000007497004,0.)); #484032=CARTESIAN_POINT('',(34.285197,54.089803,0.)); #484033=CARTESIAN_POINT('Origin',(34.3750003087252,54.0000007497004,0.)); #484034=CARTESIAN_POINT('',(34.285197,54.089803,0.035)); #484035=CARTESIAN_POINT('Origin',(34.3750003087252,54.0000007497004,0.035)); #484036=CARTESIAN_POINT('',(34.285197,54.089803,0.)); #484037=CARTESIAN_POINT('Origin',(34.623,54.427606,0.)); #484038=CARTESIAN_POINT('',(34.623,54.427606,0.)); #484039=CARTESIAN_POINT('',(34.623,54.427606,0.)); #484040=CARTESIAN_POINT('',(34.623,54.427606,0.035)); #484041=CARTESIAN_POINT('',(34.623,54.427606,0.035)); #484042=CARTESIAN_POINT('',(34.623,54.427606,0.)); #484043=CARTESIAN_POINT('Origin',(34.623,54.756072,0.)); #484044=CARTESIAN_POINT('',(34.623,54.756072,0.)); #484045=CARTESIAN_POINT('',(34.623,54.756072,0.)); #484046=CARTESIAN_POINT('',(34.623,54.756072,0.035)); #484047=CARTESIAN_POINT('',(34.623,54.756072,0.035)); #484048=CARTESIAN_POINT('',(34.623,54.756072,0.)); #484049=CARTESIAN_POINT('Origin',(34.7500204601791,55.0004637716938,0.)); #484050=CARTESIAN_POINT('',(34.644438,55.254853,0.)); #484051=CARTESIAN_POINT('Origin',(34.7500204601791,55.0004637716938,0.)); #484052=CARTESIAN_POINT('',(34.644438,55.254853,0.035)); #484053=CARTESIAN_POINT('Origin',(34.7500204601791,55.0004637716938,0.035)); #484054=CARTESIAN_POINT('',(34.644438,55.254853,0.)); #484055=CARTESIAN_POINT('Origin',(34.7498222285952,55.0003817632609,0.)); #484056=CARTESIAN_POINT('',(34.877,54.756072,0.)); #484057=CARTESIAN_POINT('Origin',(34.7498222285952,55.0003817632609,0.)); #484058=CARTESIAN_POINT('',(34.877,54.756072,0.035)); #484059=CARTESIAN_POINT('Origin',(34.7498222285952,55.0003817632609,0.035)); #484060=CARTESIAN_POINT('',(34.877,54.756072,0.)); #484061=CARTESIAN_POINT('Origin',(34.877,54.375,0.)); #484062=CARTESIAN_POINT('',(34.877,54.375,0.)); #484063=CARTESIAN_POINT('',(34.877,54.375,0.)); #484064=CARTESIAN_POINT('',(34.877,54.375,0.035)); #484065=CARTESIAN_POINT('',(34.877,54.375,0.035)); #484066=CARTESIAN_POINT('',(34.877,54.375,0.)); #484067=CARTESIAN_POINT('Origin',(34.7499996912748,54.3749992502996,0.)); #484068=CARTESIAN_POINT('',(34.839803,54.285197,0.)); #484069=CARTESIAN_POINT('Origin',(34.7499996912748,54.3749992502996,0.)); #484070=CARTESIAN_POINT('',(34.839803,54.285197,0.035)); #484071=CARTESIAN_POINT('Origin',(34.7499996912748,54.3749992502996,0.035)); #484072=CARTESIAN_POINT('',(34.839803,54.285197,0.)); #484073=CARTESIAN_POINT('Origin',(34.502,53.947394,0.)); #484074=CARTESIAN_POINT('',(34.502,53.947394,0.)); #484075=CARTESIAN_POINT('',(34.502,53.947394,0.)); #484076=CARTESIAN_POINT('',(34.502,53.947394,0.035)); #484077=CARTESIAN_POINT('',(34.502,53.947394,0.035)); #484078=CARTESIAN_POINT('',(34.502,53.947394,0.)); #484079=CARTESIAN_POINT('Origin',(34.502,53.365106,0.)); #484080=CARTESIAN_POINT('',(34.502,53.365106,0.)); #484081=CARTESIAN_POINT('',(34.502,53.365106,0.)); #484082=CARTESIAN_POINT('',(34.502,53.365106,0.035)); #484083=CARTESIAN_POINT('',(34.502,53.365106,0.035)); #484084=CARTESIAN_POINT('',(34.502,53.365106,0.)); #484085=CARTESIAN_POINT('Origin',(36.740106,51.127,0.)); #484086=CARTESIAN_POINT('',(36.740106,51.127,0.)); #484087=CARTESIAN_POINT('',(36.740106,51.127,0.)); #484088=CARTESIAN_POINT('',(36.740106,51.127,0.035)); #484089=CARTESIAN_POINT('',(36.740106,51.127,0.035)); #484090=CARTESIAN_POINT('',(36.740106,51.127,0.)); #484091=CARTESIAN_POINT('Origin',(41.560675,51.127,0.)); #484092=CARTESIAN_POINT('',(41.560675,51.127,0.)); #484093=CARTESIAN_POINT('',(41.560675,51.127,0.)); #484094=CARTESIAN_POINT('',(41.560675,51.127,0.035)); #484095=CARTESIAN_POINT('',(41.560675,51.127,0.035)); #484096=CARTESIAN_POINT('',(41.560675,51.127,0.)); #484097=CARTESIAN_POINT('Origin',(41.5606757497004,50.9999996912748,0.)); #484098=CARTESIAN_POINT('',(41.650478,51.089803,0.)); #484099=CARTESIAN_POINT('Origin',(41.5606757497004,50.9999996912748,0.)); #484100=CARTESIAN_POINT('',(41.650478,51.089803,0.035)); #484101=CARTESIAN_POINT('Origin',(41.5606757497004,50.9999996912748,0.035)); #484102=CARTESIAN_POINT('',(41.650478,51.089803,0.)); #484103=CARTESIAN_POINT('Origin',(42.839803,49.900478,0.)); #484104=CARTESIAN_POINT('',(42.839803,49.900478,0.)); #484105=CARTESIAN_POINT('',(42.839803,49.900478,0.)); #484106=CARTESIAN_POINT('',(42.839803,49.900478,0.035)); #484107=CARTESIAN_POINT('',(42.839803,49.900478,0.035)); #484108=CARTESIAN_POINT('',(42.839803,49.900478,0.)); #484109=CARTESIAN_POINT('Origin',(42.7499996912748,49.8106757497004,0.)); #484110=CARTESIAN_POINT('',(42.877,49.810675,0.)); #484111=CARTESIAN_POINT('Origin',(42.7499996912748,49.8106757497004,0.)); #484112=CARTESIAN_POINT('',(42.877,49.810675,0.035)); #484113=CARTESIAN_POINT('Origin',(42.7499996912748,49.8106757497004,0.035)); #484114=CARTESIAN_POINT('',(42.877,49.810675,0.)); #484115=CARTESIAN_POINT('Origin',(42.877,43.177606,0.)); #484116=CARTESIAN_POINT('',(42.877,43.177606,0.)); #484117=CARTESIAN_POINT('',(42.877,43.177606,0.)); #484118=CARTESIAN_POINT('',(42.877,43.177606,0.035)); #484119=CARTESIAN_POINT('',(42.877,43.177606,0.035)); #484120=CARTESIAN_POINT('',(42.877,43.177606,0.)); #484121=CARTESIAN_POINT('Origin',(43.677606,42.377,0.)); #484122=CARTESIAN_POINT('',(43.677606,42.377,0.)); #484123=CARTESIAN_POINT('',(43.677606,42.377,0.)); #484124=CARTESIAN_POINT('',(43.677606,42.377,0.035)); #484125=CARTESIAN_POINT('',(43.677606,42.377,0.035)); #484126=CARTESIAN_POINT('',(43.677606,42.377,0.)); #484127=CARTESIAN_POINT('Origin',(47.125,42.377,0.)); #484128=CARTESIAN_POINT('',(47.125,42.377,0.)); #484129=CARTESIAN_POINT('',(47.125,42.377,0.)); #484130=CARTESIAN_POINT('',(47.125,42.377,0.035)); #484131=CARTESIAN_POINT('',(47.125,42.377,0.035)); #484132=CARTESIAN_POINT('',(47.125,42.377,0.)); #484133=CARTESIAN_POINT('Origin',(47.1250007497004,42.2499996912748,0.)); #484134=CARTESIAN_POINT('',(47.214803,42.339803,0.)); #484135=CARTESIAN_POINT('Origin',(47.1250007497004,42.2499996912748,0.)); #484136=CARTESIAN_POINT('',(47.214803,42.339803,0.035)); #484137=CARTESIAN_POINT('Origin',(47.1250007497004,42.2499996912748,0.035)); #484138=CARTESIAN_POINT('',(47.214803,42.339803,0.)); #484139=CARTESIAN_POINT('Origin',(48.677606,40.877,0.)); #484140=CARTESIAN_POINT('',(48.677606,40.877,0.)); #484141=CARTESIAN_POINT('',(48.677606,40.877,0.)); #484142=CARTESIAN_POINT('',(48.677606,40.877,0.035)); #484143=CARTESIAN_POINT('',(48.677606,40.877,0.035)); #484144=CARTESIAN_POINT('',(48.677606,40.877,0.)); #484145=CARTESIAN_POINT('Origin',(57.810662,40.877,0.)); #484146=CARTESIAN_POINT('',(57.810662,40.877,0.)); #484147=CARTESIAN_POINT('',(57.810662,40.877,0.)); #484148=CARTESIAN_POINT('',(57.810662,40.877,0.035)); #484149=CARTESIAN_POINT('',(57.810662,40.877,0.035)); #484150=CARTESIAN_POINT('',(57.810662,40.877,0.)); #484151=CARTESIAN_POINT('Origin',(57.8106632497004,40.749998484168,0.)); #484152=CARTESIAN_POINT('',(57.900466,40.839803,0.)); #484153=CARTESIAN_POINT('Origin',(57.8106632497004,40.749998484168,0.)); #484154=CARTESIAN_POINT('',(57.900466,40.839803,0.035)); #484155=CARTESIAN_POINT('Origin',(57.8106632497004,40.749998484168,0.035)); #484156=CARTESIAN_POINT('',(57.900466,40.839803,0.)); #484157=CARTESIAN_POINT('Origin',(60.339803,38.400466,0.)); #484158=CARTESIAN_POINT('',(60.339803,38.400466,0.)); #484159=CARTESIAN_POINT('',(60.339803,38.400466,0.)); #484160=CARTESIAN_POINT('',(60.339803,38.400466,0.035)); #484161=CARTESIAN_POINT('',(60.339803,38.400466,0.035)); #484162=CARTESIAN_POINT('',(60.339803,38.400466,0.)); #484163=CARTESIAN_POINT('Origin',(60.249998484168,38.3106632497004,0.)); #484164=CARTESIAN_POINT('',(60.377,38.310662,0.)); #484165=CARTESIAN_POINT('Origin',(60.249998484168,38.3106632497004,0.)); #484166=CARTESIAN_POINT('',(60.377,38.310662,0.035)); #484167=CARTESIAN_POINT('Origin',(60.249998484168,38.3106632497004,0.035)); #484168=CARTESIAN_POINT('',(60.377,38.310662,0.)); #484169=CARTESIAN_POINT('Origin',(60.377,19.439338,0.)); #484170=CARTESIAN_POINT('',(60.377,19.439338,0.)); #484171=CARTESIAN_POINT('',(60.377,19.439338,0.)); #484172=CARTESIAN_POINT('',(60.377,19.439338,0.035)); #484173=CARTESIAN_POINT('',(60.377,19.439338,0.035)); #484174=CARTESIAN_POINT('',(60.377,19.439338,0.)); #484175=CARTESIAN_POINT('Origin',(60.249998484168,19.4393367502996,0.)); #484176=CARTESIAN_POINT('',(60.339803,19.349534,0.)); #484177=CARTESIAN_POINT('Origin',(60.249998484168,19.4393367502996,0.)); #484178=CARTESIAN_POINT('',(60.339803,19.349534,0.035)); #484179=CARTESIAN_POINT('Origin',(60.249998484168,19.4393367502996,0.035)); #484180=CARTESIAN_POINT('',(60.339803,19.349534,0.)); #484181=CARTESIAN_POINT('Origin',(54.775466,13.785197,0.)); #484182=CARTESIAN_POINT('',(54.775466,13.785197,0.)); #484183=CARTESIAN_POINT('',(54.775466,13.785197,0.)); #484184=CARTESIAN_POINT('',(54.775466,13.785197,0.035)); #484185=CARTESIAN_POINT('',(54.775466,13.785197,0.035)); #484186=CARTESIAN_POINT('',(54.775466,13.785197,0.)); #484187=CARTESIAN_POINT('Origin',(54.6856632497004,13.875001515832,0.)); #484188=CARTESIAN_POINT('',(54.685662,13.748,0.)); #484189=CARTESIAN_POINT('Origin',(54.6856632497004,13.875001515832,0.)); #484190=CARTESIAN_POINT('',(54.685662,13.748,0.035)); #484191=CARTESIAN_POINT('Origin',(54.6856632497004,13.875001515832,0.035)); #484192=CARTESIAN_POINT('',(54.685662,13.748,0.)); #484193=CARTESIAN_POINT('Origin',(43.240106,13.748,0.)); #484194=CARTESIAN_POINT('',(43.240106,13.748,0.)); #484195=CARTESIAN_POINT('',(43.240106,13.748,0.)); #484196=CARTESIAN_POINT('',(43.240106,13.748,0.035)); #484197=CARTESIAN_POINT('',(43.240106,13.748,0.035)); #484198=CARTESIAN_POINT('',(43.240106,13.748,0.)); #484199=CARTESIAN_POINT('Origin',(43.027303,13.535197,0.)); #484200=CARTESIAN_POINT('',(43.027303,13.535197,0.)); #484201=CARTESIAN_POINT('',(43.027303,13.535197,0.)); #484202=CARTESIAN_POINT('',(43.027303,13.535197,0.035)); #484203=CARTESIAN_POINT('',(43.027303,13.535197,0.035)); #484204=CARTESIAN_POINT('',(43.027303,13.535197,0.)); #484205=CARTESIAN_POINT('Origin',(42.9381159937679,13.6252707078348,0.)); #484206=CARTESIAN_POINT('Origin',(42.9381159937679,13.6252707078348,0.)); #484207=CARTESIAN_POINT('Origin',(42.9381159937679,13.6252707078348,0.035)); #484208=CARTESIAN_POINT('Origin',(50.307716757586,32.3847604877249,0.035)); #484209=CARTESIAN_POINT('Origin',(50.307716757586,32.3847604877249,0.)); #484210=CARTESIAN_POINT('Origin',(30.5,10.5,-200.)); #484211=CARTESIAN_POINT('',(30.36,10.5,0.)); #484212=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #484213=CARTESIAN_POINT('',(30.36,10.5,0.0349999999999895)); #484214=CARTESIAN_POINT('',(30.36,10.5,-200.)); #484215=CARTESIAN_POINT('Origin',(30.5,10.5,0.0349999999999895)); #484216=CARTESIAN_POINT('Origin',(36.5,10.5,-200.)); #484217=CARTESIAN_POINT('',(36.36,10.5,0.)); #484218=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #484219=CARTESIAN_POINT('',(36.36,10.5,0.0349999999999895)); #484220=CARTESIAN_POINT('',(36.36,10.5,-200.)); #484221=CARTESIAN_POINT('Origin',(36.5,10.5,0.0349999999999895)); #484222=CARTESIAN_POINT('Origin',(30.521644,10.225,0.)); #484223=CARTESIAN_POINT('',(30.521644,10.225,0.)); #484224=CARTESIAN_POINT('',(30.564397,10.231772,0.)); #484225=CARTESIAN_POINT('',(30.521644,10.225,0.)); #484226=CARTESIAN_POINT('',(30.564397,10.231772,0.035)); #484227=CARTESIAN_POINT('',(30.564397,10.231772,0.)); #484228=CARTESIAN_POINT('',(30.521644,10.225,0.035)); #484229=CARTESIAN_POINT('',(30.521644,10.225,0.035)); #484230=CARTESIAN_POINT('',(30.521644,10.225,0.)); #484231=CARTESIAN_POINT('Origin',(30.5000003953475,10.5000004229918,0.)); #484232=CARTESIAN_POINT('',(30.245147,10.394438,0.)); #484233=CARTESIAN_POINT('Origin',(30.5000003953475,10.5000004229918,0.)); #484234=CARTESIAN_POINT('',(30.245147,10.394438,0.035)); #484235=CARTESIAN_POINT('Origin',(30.5000003953475,10.5000004229918,0.035)); #484236=CARTESIAN_POINT('',(30.245147,10.394438,0.)); #484237=CARTESIAN_POINT('Origin',(30.4996182367391,10.4998222285952,0.)); #484238=CARTESIAN_POINT('',(30.743928,10.627,0.)); #484239=CARTESIAN_POINT('Origin',(30.4996182367391,10.4998222285952,0.)); #484240=CARTESIAN_POINT('',(30.743928,10.627,0.035)); #484241=CARTESIAN_POINT('Origin',(30.4996182367391,10.4998222285952,0.035)); #484242=CARTESIAN_POINT('',(30.743928,10.627,0.)); #484243=CARTESIAN_POINT('Origin',(36.256072,10.627,0.)); #484244=CARTESIAN_POINT('',(36.256072,10.627,0.)); #484245=CARTESIAN_POINT('',(36.256072,10.627,0.)); #484246=CARTESIAN_POINT('',(36.256072,10.627,0.035)); #484247=CARTESIAN_POINT('',(36.256072,10.627,0.035)); #484248=CARTESIAN_POINT('',(36.256072,10.627,0.)); #484249=CARTESIAN_POINT('Origin',(36.5004640048651,10.4999800398209,0.)); #484250=CARTESIAN_POINT('',(36.754853,10.605563,0.)); #484251=CARTESIAN_POINT('Origin',(36.5004640048651,10.4999800398209,0.)); #484252=CARTESIAN_POINT('',(36.754853,10.605563,0.035)); #484253=CARTESIAN_POINT('Origin',(36.5004640048651,10.4999800398209,0.035)); #484254=CARTESIAN_POINT('',(36.754853,10.605563,0.)); #484255=CARTESIAN_POINT('Origin',(36.5003817414141,10.5001782714048,0.)); #484256=CARTESIAN_POINT('',(36.256072,10.373,0.)); #484257=CARTESIAN_POINT('Origin',(36.5003817414141,10.5001782714048,0.)); #484258=CARTESIAN_POINT('',(36.256072,10.373,0.035)); #484259=CARTESIAN_POINT('Origin',(36.5003817414141,10.5001782714048,0.035)); #484260=CARTESIAN_POINT('',(36.256072,10.373,0.)); #484261=CARTESIAN_POINT('Origin',(30.743928,10.373,0.)); #484262=CARTESIAN_POINT('',(30.743928,10.373,0.)); #484263=CARTESIAN_POINT('',(30.743928,10.373,0.)); #484264=CARTESIAN_POINT('',(30.743928,10.373,0.035)); #484265=CARTESIAN_POINT('',(30.743928,10.373,0.035)); #484266=CARTESIAN_POINT('',(30.743928,10.373,0.)); #484267=CARTESIAN_POINT('Origin',(30.4992008746984,10.4993753757046,0.)); #484268=CARTESIAN_POINT('Origin',(30.4992008746984,10.4993753757046,0.)); #484269=CARTESIAN_POINT('Origin',(30.4992008746984,10.4993753757046,0.035)); #484270=CARTESIAN_POINT('Origin',(33.4998918016176,10.4996172525821,0.035)); #484271=CARTESIAN_POINT('Origin',(33.4998918016176,10.4996172525821,0.)); #484272=CARTESIAN_POINT('Origin',(38.75,36.75,-200.)); #484273=CARTESIAN_POINT('',(38.61,36.75,0.)); #484274=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #484275=CARTESIAN_POINT('',(38.61,36.75,0.0349999999999895)); #484276=CARTESIAN_POINT('',(38.61,36.75,-200.)); #484277=CARTESIAN_POINT('Origin',(38.75,36.75,0.0349999999999895)); #484278=CARTESIAN_POINT('Origin',(37.1875,36.75,-200.)); #484279=CARTESIAN_POINT('',(37.0475,36.75,0.)); #484280=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #484281=CARTESIAN_POINT('',(37.0475,36.75,0.0349999999999895)); #484282=CARTESIAN_POINT('',(37.0475,36.75,-200.)); #484283=CARTESIAN_POINT('Origin',(37.1875,36.75,0.0349999999999895)); #484284=CARTESIAN_POINT('Origin',(37.209144,36.475,0.)); #484285=CARTESIAN_POINT('',(37.209144,36.475,0.)); #484286=CARTESIAN_POINT('',(37.251897,36.481772,0.)); #484287=CARTESIAN_POINT('',(37.209144,36.475,0.)); #484288=CARTESIAN_POINT('',(37.251897,36.481772,0.035)); #484289=CARTESIAN_POINT('',(37.251897,36.481772,0.)); #484290=CARTESIAN_POINT('',(37.209144,36.475,0.035)); #484291=CARTESIAN_POINT('',(37.209144,36.475,0.035)); #484292=CARTESIAN_POINT('',(37.209144,36.475,0.)); #484293=CARTESIAN_POINT('Origin',(37.1875003953475,36.7500004229918,0.)); #484294=CARTESIAN_POINT('',(36.932647,36.644438,0.)); #484295=CARTESIAN_POINT('Origin',(37.1875003953475,36.7500004229918,0.)); #484296=CARTESIAN_POINT('',(36.932647,36.644438,0.035)); #484297=CARTESIAN_POINT('Origin',(37.1875003953475,36.7500004229918,0.035)); #484298=CARTESIAN_POINT('',(36.932647,36.644438,0.)); #484299=CARTESIAN_POINT('Origin',(37.1871182367391,36.7498222285952,0.)); #484300=CARTESIAN_POINT('',(37.431428,36.877,0.)); #484301=CARTESIAN_POINT('Origin',(37.1871182367391,36.7498222285952,0.)); #484302=CARTESIAN_POINT('',(37.431428,36.877,0.035)); #484303=CARTESIAN_POINT('Origin',(37.1871182367391,36.7498222285952,0.035)); #484304=CARTESIAN_POINT('',(37.431428,36.877,0.)); #484305=CARTESIAN_POINT('Origin',(38.506072,36.877,0.)); #484306=CARTESIAN_POINT('',(38.506072,36.877,0.)); #484307=CARTESIAN_POINT('',(38.506072,36.877,0.)); #484308=CARTESIAN_POINT('',(38.506072,36.877,0.035)); #484309=CARTESIAN_POINT('',(38.506072,36.877,0.035)); #484310=CARTESIAN_POINT('',(38.506072,36.877,0.)); #484311=CARTESIAN_POINT('Origin',(38.7504640048651,36.7499800398209,0.)); #484312=CARTESIAN_POINT('',(39.004853,36.855563,0.)); #484313=CARTESIAN_POINT('Origin',(38.7504640048651,36.7499800398209,0.)); #484314=CARTESIAN_POINT('',(39.004853,36.855563,0.035)); #484315=CARTESIAN_POINT('Origin',(38.7504640048651,36.7499800398209,0.035)); #484316=CARTESIAN_POINT('',(39.004853,36.855563,0.)); #484317=CARTESIAN_POINT('Origin',(38.7503817414141,36.7501782714048,0.)); #484318=CARTESIAN_POINT('',(38.506072,36.623,0.)); #484319=CARTESIAN_POINT('Origin',(38.7503817414141,36.7501782714048,0.)); #484320=CARTESIAN_POINT('',(38.506072,36.623,0.035)); #484321=CARTESIAN_POINT('Origin',(38.7503817414141,36.7501782714048,0.035)); #484322=CARTESIAN_POINT('',(38.506072,36.623,0.)); #484323=CARTESIAN_POINT('Origin',(37.431428,36.623,0.)); #484324=CARTESIAN_POINT('',(37.431428,36.623,0.)); #484325=CARTESIAN_POINT('',(37.431428,36.623,0.)); #484326=CARTESIAN_POINT('',(37.431428,36.623,0.035)); #484327=CARTESIAN_POINT('',(37.431428,36.623,0.035)); #484328=CARTESIAN_POINT('',(37.431428,36.623,0.)); #484329=CARTESIAN_POINT('Origin',(37.1867008746984,36.7493753757046,0.)); #484330=CARTESIAN_POINT('Origin',(37.1867008746984,36.7493753757046,0.)); #484331=CARTESIAN_POINT('Origin',(37.1867008746984,36.7493753757046,0.035)); #484332=CARTESIAN_POINT('Origin',(37.9686933511795,36.7489488558402,0.035)); #484333=CARTESIAN_POINT('Origin',(37.9686933511795,36.7489488558402,0.)); #484334=CARTESIAN_POINT('Origin',(29.25,36.75,-200.)); #484335=CARTESIAN_POINT('',(29.11,36.75,0.)); #484336=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #484337=CARTESIAN_POINT('',(29.11,36.75,0.0349999999999895)); #484338=CARTESIAN_POINT('',(29.11,36.75,-200.)); #484339=CARTESIAN_POINT('Origin',(29.25,36.75,0.0349999999999895)); #484340=CARTESIAN_POINT('Origin',(30.8125,36.75,-200.)); #484341=CARTESIAN_POINT('',(30.6725,36.75,0.)); #484342=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #484343=CARTESIAN_POINT('',(30.6725,36.75,0.0349999999999895)); #484344=CARTESIAN_POINT('',(30.6725,36.75,-200.)); #484345=CARTESIAN_POINT('Origin',(30.8125,36.75,0.0349999999999895)); #484346=CARTESIAN_POINT('Origin',(29.271644,36.475,0.)); #484347=CARTESIAN_POINT('',(29.271644,36.475,0.)); #484348=CARTESIAN_POINT('',(29.314397,36.481772,0.)); #484349=CARTESIAN_POINT('',(29.271644,36.475,0.)); #484350=CARTESIAN_POINT('',(29.314397,36.481772,0.035)); #484351=CARTESIAN_POINT('',(29.314397,36.481772,0.)); #484352=CARTESIAN_POINT('',(29.271644,36.475,0.035)); #484353=CARTESIAN_POINT('',(29.271644,36.475,0.035)); #484354=CARTESIAN_POINT('',(29.271644,36.475,0.)); #484355=CARTESIAN_POINT('Origin',(29.2500003953475,36.7500004229918,0.)); #484356=CARTESIAN_POINT('',(28.995147,36.644438,0.)); #484357=CARTESIAN_POINT('Origin',(29.2500003953475,36.7500004229918,0.)); #484358=CARTESIAN_POINT('',(28.995147,36.644438,0.035)); #484359=CARTESIAN_POINT('Origin',(29.2500003953475,36.7500004229918,0.035)); #484360=CARTESIAN_POINT('',(28.995147,36.644438,0.)); #484361=CARTESIAN_POINT('Origin',(29.2496182367391,36.7498222285952,0.)); #484362=CARTESIAN_POINT('',(29.493928,36.877,0.)); #484363=CARTESIAN_POINT('Origin',(29.2496182367391,36.7498222285952,0.)); #484364=CARTESIAN_POINT('',(29.493928,36.877,0.035)); #484365=CARTESIAN_POINT('Origin',(29.2496182367391,36.7498222285952,0.035)); #484366=CARTESIAN_POINT('',(29.493928,36.877,0.)); #484367=CARTESIAN_POINT('Origin',(30.568572,36.877,0.)); #484368=CARTESIAN_POINT('',(30.568572,36.877,0.)); #484369=CARTESIAN_POINT('',(30.568572,36.877,0.)); #484370=CARTESIAN_POINT('',(30.568572,36.877,0.035)); #484371=CARTESIAN_POINT('',(30.568572,36.877,0.035)); #484372=CARTESIAN_POINT('',(30.568572,36.877,0.)); #484373=CARTESIAN_POINT('Origin',(30.8129640048651,36.7499800398209,0.)); #484374=CARTESIAN_POINT('',(31.067353,36.855563,0.)); #484375=CARTESIAN_POINT('Origin',(30.8129640048651,36.7499800398209,0.)); #484376=CARTESIAN_POINT('',(31.067353,36.855563,0.035)); #484377=CARTESIAN_POINT('Origin',(30.8129640048651,36.7499800398209,0.035)); #484378=CARTESIAN_POINT('',(31.067353,36.855563,0.)); #484379=CARTESIAN_POINT('Origin',(30.8128817414141,36.7501782714048,0.)); #484380=CARTESIAN_POINT('',(30.568572,36.623,0.)); #484381=CARTESIAN_POINT('Origin',(30.8128817414141,36.7501782714048,0.)); #484382=CARTESIAN_POINT('',(30.568572,36.623,0.035)); #484383=CARTESIAN_POINT('Origin',(30.8128817414141,36.7501782714048,0.035)); #484384=CARTESIAN_POINT('',(30.568572,36.623,0.)); #484385=CARTESIAN_POINT('Origin',(29.493928,36.623,0.)); #484386=CARTESIAN_POINT('',(29.493928,36.623,0.)); #484387=CARTESIAN_POINT('',(29.493928,36.623,0.)); #484388=CARTESIAN_POINT('',(29.493928,36.623,0.035)); #484389=CARTESIAN_POINT('',(29.493928,36.623,0.035)); #484390=CARTESIAN_POINT('',(29.493928,36.623,0.)); #484391=CARTESIAN_POINT('Origin',(29.2492008746984,36.7493753757046,0.)); #484392=CARTESIAN_POINT('Origin',(29.2492008746984,36.7493753757046,0.)); #484393=CARTESIAN_POINT('Origin',(29.2492008746984,36.7493753757046,0.035)); #484394=CARTESIAN_POINT('Origin',(30.0311933511795,36.7489488558402,0.035)); #484395=CARTESIAN_POINT('Origin',(30.0311933511795,36.7489488558402,0.)); #484396=CARTESIAN_POINT('Origin',(39.25,18.25,-200.)); #484397=CARTESIAN_POINT('',(39.11,18.25,0.)); #484398=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #484399=CARTESIAN_POINT('',(39.11,18.25,0.0349999999999895)); #484400=CARTESIAN_POINT('',(39.11,18.25,-200.)); #484401=CARTESIAN_POINT('Origin',(39.25,18.25,0.0349999999999895)); #484402=CARTESIAN_POINT('Origin',(42.5,16.,-200.)); #484403=CARTESIAN_POINT('',(42.36,16.,0.)); #484404=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #484405=CARTESIAN_POINT('',(42.36,16.,0.0349999999999895)); #484406=CARTESIAN_POINT('',(42.36,16.,-200.)); #484407=CARTESIAN_POINT('Origin',(42.5,16.,0.0349999999999895)); #484408=CARTESIAN_POINT('Origin',(42.521644,15.725,0.)); #484409=CARTESIAN_POINT('',(42.521644,15.725,0.)); #484410=CARTESIAN_POINT('',(42.564397,15.731772,0.)); #484411=CARTESIAN_POINT('',(42.521644,15.725,0.)); #484412=CARTESIAN_POINT('',(42.564397,15.731772,0.035)); #484413=CARTESIAN_POINT('',(42.564397,15.731772,0.)); #484414=CARTESIAN_POINT('',(42.521644,15.725,0.035)); #484415=CARTESIAN_POINT('',(42.521644,15.725,0.035)); #484416=CARTESIAN_POINT('',(42.521644,15.725,0.)); #484417=CARTESIAN_POINT('Origin',(42.500118471131,15.9995872515008,0.)); #484418=CARTESIAN_POINT('',(42.373,16.243928,0.)); #484419=CARTESIAN_POINT('Origin',(42.500118471131,15.9995872515008,0.)); #484420=CARTESIAN_POINT('',(42.373,16.243928,0.035)); #484421=CARTESIAN_POINT('Origin',(42.500118471131,15.9995872515008,0.035)); #484422=CARTESIAN_POINT('',(42.373,16.243928,0.)); #484423=CARTESIAN_POINT('Origin',(42.373,17.197394,0.)); #484424=CARTESIAN_POINT('',(42.373,17.197394,0.)); #484425=CARTESIAN_POINT('',(42.373,17.197394,0.)); #484426=CARTESIAN_POINT('',(42.373,17.197394,0.035)); #484427=CARTESIAN_POINT('',(42.373,17.197394,0.035)); #484428=CARTESIAN_POINT('',(42.373,17.197394,0.)); #484429=CARTESIAN_POINT('Origin',(41.447394,18.123,0.)); #484430=CARTESIAN_POINT('',(41.447394,18.123,0.)); #484431=CARTESIAN_POINT('',(41.447394,18.123,0.)); #484432=CARTESIAN_POINT('',(41.447394,18.123,0.035)); #484433=CARTESIAN_POINT('',(41.447394,18.123,0.035)); #484434=CARTESIAN_POINT('',(41.447394,18.123,0.)); #484435=CARTESIAN_POINT('Origin',(39.493928,18.123,0.)); #484436=CARTESIAN_POINT('',(39.493928,18.123,0.)); #484437=CARTESIAN_POINT('',(39.493928,18.123,0.)); #484438=CARTESIAN_POINT('',(39.493928,18.123,0.035)); #484439=CARTESIAN_POINT('',(39.493928,18.123,0.035)); #484440=CARTESIAN_POINT('',(39.493928,18.123,0.)); #484441=CARTESIAN_POINT('Origin',(39.2495362283063,18.2500204601791,0.)); #484442=CARTESIAN_POINT('',(38.995147,18.144438,0.)); #484443=CARTESIAN_POINT('Origin',(39.2495362283063,18.2500204601791,0.)); #484444=CARTESIAN_POINT('',(38.995147,18.144438,0.035)); #484445=CARTESIAN_POINT('Origin',(39.2495362283063,18.2500204601791,0.035)); #484446=CARTESIAN_POINT('',(38.995147,18.144438,0.)); #484447=CARTESIAN_POINT('Origin',(39.2496182367391,18.2498222285952,0.)); #484448=CARTESIAN_POINT('',(39.493928,18.377,0.)); #484449=CARTESIAN_POINT('Origin',(39.2496182367391,18.2498222285952,0.)); #484450=CARTESIAN_POINT('',(39.493928,18.377,0.035)); #484451=CARTESIAN_POINT('Origin',(39.2496182367391,18.2498222285952,0.035)); #484452=CARTESIAN_POINT('',(39.493928,18.377,0.)); #484453=CARTESIAN_POINT('Origin',(41.5,18.377,0.)); #484454=CARTESIAN_POINT('',(41.5,18.377,0.)); #484455=CARTESIAN_POINT('',(41.5,18.377,0.)); #484456=CARTESIAN_POINT('',(41.5,18.377,0.035)); #484457=CARTESIAN_POINT('',(41.5,18.377,0.035)); #484458=CARTESIAN_POINT('',(41.5,18.377,0.)); #484459=CARTESIAN_POINT('Origin',(41.5000007497004,18.2499996912748,0.)); #484460=CARTESIAN_POINT('',(41.589803,18.339803,0.)); #484461=CARTESIAN_POINT('Origin',(41.5000007497004,18.2499996912748,0.)); #484462=CARTESIAN_POINT('',(41.589803,18.339803,0.035)); #484463=CARTESIAN_POINT('Origin',(41.5000007497004,18.2499996912748,0.035)); #484464=CARTESIAN_POINT('',(41.589803,18.339803,0.)); #484465=CARTESIAN_POINT('Origin',(42.589803,17.339803,0.)); #484466=CARTESIAN_POINT('',(42.589803,17.339803,0.)); #484467=CARTESIAN_POINT('',(42.589803,17.339803,0.)); #484468=CARTESIAN_POINT('',(42.589803,17.339803,0.035)); #484469=CARTESIAN_POINT('',(42.589803,17.339803,0.035)); #484470=CARTESIAN_POINT('',(42.589803,17.339803,0.)); #484471=CARTESIAN_POINT('Origin',(42.4999996912748,17.2500007497004,0.)); #484472=CARTESIAN_POINT('',(42.627,17.25,0.)); #484473=CARTESIAN_POINT('Origin',(42.4999996912748,17.2500007497004,0.)); #484474=CARTESIAN_POINT('',(42.627,17.25,0.035)); #484475=CARTESIAN_POINT('Origin',(42.4999996912748,17.2500007497004,0.035)); #484476=CARTESIAN_POINT('',(42.627,17.25,0.)); #484477=CARTESIAN_POINT('Origin',(42.627,16.243928,0.)); #484478=CARTESIAN_POINT('',(42.627,16.243928,0.)); #484479=CARTESIAN_POINT('',(42.627,16.243928,0.)); #484480=CARTESIAN_POINT('',(42.627,16.243928,0.035)); #484481=CARTESIAN_POINT('',(42.627,16.243928,0.035)); #484482=CARTESIAN_POINT('',(42.627,16.243928,0.)); #484483=CARTESIAN_POINT('Origin',(42.4999453752955,15.999554310534,0.)); #484484=CARTESIAN_POINT('Origin',(42.4999453752955,15.999554310534,0.)); #484485=CARTESIAN_POINT('Origin',(42.4999453752955,15.999554310534,0.035)); #484486=CARTESIAN_POINT('Origin',(41.2906977379514,17.5899546328489,0.035)); #484487=CARTESIAN_POINT('Origin',(41.2906977379514,17.5899546328489,0.)); #484488=CARTESIAN_POINT('Origin',(23.905,49.75,-200.)); #484489=CARTESIAN_POINT('',(23.765,49.75,0.)); #484490=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #484491=CARTESIAN_POINT('',(23.765,49.75,0.0349999999999895)); #484492=CARTESIAN_POINT('',(23.765,49.75,-200.)); #484493=CARTESIAN_POINT('Origin',(23.905,49.75,0.0349999999999895)); #484494=CARTESIAN_POINT('Origin',(24.205,49.15,0.)); #484495=CARTESIAN_POINT('',(24.205,49.15,0.)); #484496=CARTESIAN_POINT('',(24.205,50.35,0.)); #484497=CARTESIAN_POINT('',(24.205,49.15,0.)); #484498=CARTESIAN_POINT('',(24.205,50.35,0.035)); #484499=CARTESIAN_POINT('',(24.205,50.35,0.)); #484500=CARTESIAN_POINT('',(24.205,49.15,0.035)); #484501=CARTESIAN_POINT('',(24.205,49.15,0.035)); #484502=CARTESIAN_POINT('',(24.205,49.15,0.)); #484503=CARTESIAN_POINT('Origin',(23.605,49.15,0.)); #484504=CARTESIAN_POINT('',(23.605,49.15,0.)); #484505=CARTESIAN_POINT('',(23.605,49.15,0.)); #484506=CARTESIAN_POINT('',(23.605,49.15,0.035)); #484507=CARTESIAN_POINT('',(23.605,49.15,0.035)); #484508=CARTESIAN_POINT('',(23.605,49.15,0.)); #484509=CARTESIAN_POINT('Origin',(23.605,50.35,0.)); #484510=CARTESIAN_POINT('',(23.605,50.35,0.)); #484511=CARTESIAN_POINT('',(23.605,50.35,0.)); #484512=CARTESIAN_POINT('',(23.605,50.35,0.035)); #484513=CARTESIAN_POINT('',(23.605,50.35,0.035)); #484514=CARTESIAN_POINT('',(23.605,50.35,0.)); #484515=CARTESIAN_POINT('Origin',(24.205,50.35,0.)); #484516=CARTESIAN_POINT('',(24.205,50.35,0.)); #484517=CARTESIAN_POINT('',(24.205,50.35,0.035)); #484518=CARTESIAN_POINT('Origin',(23.905,49.75,0.035)); #484519=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #484520=CARTESIAN_POINT('Origin',(23.905,58.75,-200.)); #484521=CARTESIAN_POINT('',(23.765,58.75,0.)); #484522=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #484523=CARTESIAN_POINT('',(23.765,58.75,0.0349999999999895)); #484524=CARTESIAN_POINT('',(23.765,58.75,-200.)); #484525=CARTESIAN_POINT('Origin',(23.905,58.75,0.0349999999999895)); #484526=CARTESIAN_POINT('Origin',(24.205,58.15,0.)); #484527=CARTESIAN_POINT('',(24.205,58.15,0.)); #484528=CARTESIAN_POINT('',(24.205,59.35,0.)); #484529=CARTESIAN_POINT('',(24.205,58.15,0.)); #484530=CARTESIAN_POINT('',(24.205,59.35,0.035)); #484531=CARTESIAN_POINT('',(24.205,59.35,0.)); #484532=CARTESIAN_POINT('',(24.205,58.15,0.035)); #484533=CARTESIAN_POINT('',(24.205,58.15,0.035)); #484534=CARTESIAN_POINT('',(24.205,58.15,0.)); #484535=CARTESIAN_POINT('Origin',(23.605,58.15,0.)); #484536=CARTESIAN_POINT('',(23.605,58.15,0.)); #484537=CARTESIAN_POINT('',(23.605,58.15,0.)); #484538=CARTESIAN_POINT('',(23.605,58.15,0.035)); #484539=CARTESIAN_POINT('',(23.605,58.15,0.035)); #484540=CARTESIAN_POINT('',(23.605,58.15,0.)); #484541=CARTESIAN_POINT('Origin',(23.605,59.35,0.)); #484542=CARTESIAN_POINT('',(23.605,59.35,0.)); #484543=CARTESIAN_POINT('',(23.605,59.35,0.)); #484544=CARTESIAN_POINT('',(23.605,59.35,0.035)); #484545=CARTESIAN_POINT('',(23.605,59.35,0.035)); #484546=CARTESIAN_POINT('',(23.605,59.35,0.)); #484547=CARTESIAN_POINT('Origin',(24.205,59.35,0.)); #484548=CARTESIAN_POINT('',(24.205,59.35,0.)); #484549=CARTESIAN_POINT('',(24.205,59.35,0.035)); #484550=CARTESIAN_POINT('Origin',(23.905,58.75,0.035)); #484551=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #484552=CARTESIAN_POINT('Origin',(47.905,49.75,-200.)); #484553=CARTESIAN_POINT('',(47.765,49.75,0.)); #484554=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #484555=CARTESIAN_POINT('',(47.765,49.75,0.0349999999999895)); #484556=CARTESIAN_POINT('',(47.765,49.75,-200.)); #484557=CARTESIAN_POINT('Origin',(47.905,49.75,0.0349999999999895)); #484558=CARTESIAN_POINT('Origin',(48.205,49.15,0.)); #484559=CARTESIAN_POINT('',(48.205,49.15,0.)); #484560=CARTESIAN_POINT('',(48.205,50.35,0.)); #484561=CARTESIAN_POINT('',(48.205,49.15,0.)); #484562=CARTESIAN_POINT('',(48.205,50.35,0.035)); #484563=CARTESIAN_POINT('',(48.205,50.35,0.)); #484564=CARTESIAN_POINT('',(48.205,49.15,0.035)); #484565=CARTESIAN_POINT('',(48.205,49.15,0.035)); #484566=CARTESIAN_POINT('',(48.205,49.15,0.)); #484567=CARTESIAN_POINT('Origin',(47.605,49.15,0.)); #484568=CARTESIAN_POINT('',(47.605,49.15,0.)); #484569=CARTESIAN_POINT('',(47.605,49.15,0.)); #484570=CARTESIAN_POINT('',(47.605,49.15,0.035)); #484571=CARTESIAN_POINT('',(47.605,49.15,0.035)); #484572=CARTESIAN_POINT('',(47.605,49.15,0.)); #484573=CARTESIAN_POINT('Origin',(47.605,50.35,0.)); #484574=CARTESIAN_POINT('',(47.605,50.35,0.)); #484575=CARTESIAN_POINT('',(47.605,50.35,0.)); #484576=CARTESIAN_POINT('',(47.605,50.35,0.035)); #484577=CARTESIAN_POINT('',(47.605,50.35,0.035)); #484578=CARTESIAN_POINT('',(47.605,50.35,0.)); #484579=CARTESIAN_POINT('Origin',(48.205,50.35,0.)); #484580=CARTESIAN_POINT('',(48.205,50.35,0.)); #484581=CARTESIAN_POINT('',(48.205,50.35,0.035)); #484582=CARTESIAN_POINT('Origin',(47.905,49.75,0.035)); #484583=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #484584=CARTESIAN_POINT('Origin',(30.762,60.25,-200.)); #484585=CARTESIAN_POINT('',(30.622,60.25,0.)); #484586=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #484587=CARTESIAN_POINT('',(30.622,60.25,0.0349999999999895)); #484588=CARTESIAN_POINT('',(30.622,60.25,-200.)); #484589=CARTESIAN_POINT('Origin',(30.762,60.25,0.0349999999999895)); #484590=CARTESIAN_POINT('Origin',(30.783644,59.975,0.)); #484591=CARTESIAN_POINT('',(30.783644,59.975,0.)); #484592=CARTESIAN_POINT('',(30.826397,59.981772,0.)); #484593=CARTESIAN_POINT('',(30.783644,59.975,0.)); #484594=CARTESIAN_POINT('',(30.826397,59.981772,0.035)); #484595=CARTESIAN_POINT('',(30.826397,59.981772,0.)); #484596=CARTESIAN_POINT('',(30.783644,59.975,0.035)); #484597=CARTESIAN_POINT('',(30.783644,59.975,0.035)); #484598=CARTESIAN_POINT('',(30.783644,59.975,0.)); #484599=CARTESIAN_POINT('Origin',(30.762118471131,60.2495872515008,0.)); #484600=CARTESIAN_POINT('',(30.635,60.493928,0.)); #484601=CARTESIAN_POINT('Origin',(30.762118471131,60.2495872515008,0.)); #484602=CARTESIAN_POINT('',(30.635,60.493928,0.035)); #484603=CARTESIAN_POINT('Origin',(30.762118471131,60.2495872515008,0.035)); #484604=CARTESIAN_POINT('',(30.635,60.493928,0.)); #484605=CARTESIAN_POINT('Origin',(30.635,65.5555,0.)); #484606=CARTESIAN_POINT('',(30.635,65.5555,0.)); #484607=CARTESIAN_POINT('',(30.635,65.5555,0.)); #484608=CARTESIAN_POINT('',(30.635,65.5555,0.035)); #484609=CARTESIAN_POINT('',(30.635,65.5555,0.035)); #484610=CARTESIAN_POINT('',(30.635,65.5555,0.)); #484611=CARTESIAN_POINT('Origin',(30.3429,65.5555,0.)); #484612=CARTESIAN_POINT('',(30.3429,65.5555,0.)); #484613=CARTESIAN_POINT('',(30.3429,65.5555,0.)); #484614=CARTESIAN_POINT('',(30.3429,65.5555,0.035)); #484615=CARTESIAN_POINT('',(30.3429,65.5555,0.035)); #484616=CARTESIAN_POINT('',(30.3429,65.5555,0.)); #484617=CARTESIAN_POINT('Origin',(30.3429,66.4445,0.)); #484618=CARTESIAN_POINT('',(30.3429,66.4445,0.)); #484619=CARTESIAN_POINT('',(30.3429,66.4445,0.)); #484620=CARTESIAN_POINT('',(30.3429,66.4445,0.035)); #484621=CARTESIAN_POINT('',(30.3429,66.4445,0.035)); #484622=CARTESIAN_POINT('',(30.3429,66.4445,0.)); #484623=CARTESIAN_POINT('Origin',(31.1811,66.4445,0.)); #484624=CARTESIAN_POINT('',(31.1811,66.4445,0.)); #484625=CARTESIAN_POINT('',(31.1811,66.4445,0.)); #484626=CARTESIAN_POINT('',(31.1811,66.4445,0.035)); #484627=CARTESIAN_POINT('',(31.1811,66.4445,0.035)); #484628=CARTESIAN_POINT('',(31.1811,66.4445,0.)); #484629=CARTESIAN_POINT('Origin',(31.1811,65.5555,0.)); #484630=CARTESIAN_POINT('',(31.1811,65.5555,0.)); #484631=CARTESIAN_POINT('',(31.1811,65.5555,0.)); #484632=CARTESIAN_POINT('',(31.1811,65.5555,0.035)); #484633=CARTESIAN_POINT('',(31.1811,65.5555,0.035)); #484634=CARTESIAN_POINT('',(31.1811,65.5555,0.)); #484635=CARTESIAN_POINT('Origin',(30.889,65.5555,0.)); #484636=CARTESIAN_POINT('',(30.889,65.5555,0.)); #484637=CARTESIAN_POINT('',(30.889,65.5555,0.)); #484638=CARTESIAN_POINT('',(30.889,65.5555,0.035)); #484639=CARTESIAN_POINT('',(30.889,65.5555,0.035)); #484640=CARTESIAN_POINT('',(30.889,65.5555,0.)); #484641=CARTESIAN_POINT('Origin',(30.889,60.493928,0.)); #484642=CARTESIAN_POINT('',(30.889,60.493928,0.)); #484643=CARTESIAN_POINT('',(30.889,60.493928,0.)); #484644=CARTESIAN_POINT('',(30.889,60.493928,0.035)); #484645=CARTESIAN_POINT('',(30.889,60.493928,0.035)); #484646=CARTESIAN_POINT('',(30.889,60.493928,0.)); #484647=CARTESIAN_POINT('Origin',(30.7619453752955,60.2495543105341,0.)); #484648=CARTESIAN_POINT('Origin',(30.7619453752955,60.2495543105341,0.)); #484649=CARTESIAN_POINT('Origin',(30.7619453752955,60.2495543105341,0.035)); #484650=CARTESIAN_POINT('Origin',(30.7621756493826,63.3966014718761,0.035)); #484651=CARTESIAN_POINT('Origin',(30.7621756493826,63.3966014718761,0.)); #484652=CARTESIAN_POINT('Origin',(39.25,16.5,-200.)); #484653=CARTESIAN_POINT('',(39.11,16.5,0.)); #484654=CARTESIAN_POINT('Origin',(39.25,16.5,0.)); #484655=CARTESIAN_POINT('',(39.11,16.5,0.0349999999999895)); #484656=CARTESIAN_POINT('',(39.11,16.5,-200.)); #484657=CARTESIAN_POINT('Origin',(39.25,16.5,0.0349999999999895)); #484658=CARTESIAN_POINT('Origin',(33.25,55.,-200.)); #484659=CARTESIAN_POINT('',(33.11,55.,0.)); #484660=CARTESIAN_POINT('Origin',(33.25,55.,0.)); #484661=CARTESIAN_POINT('',(33.11,55.,0.0349999999999895)); #484662=CARTESIAN_POINT('',(33.11,55.,-200.)); #484663=CARTESIAN_POINT('Origin',(33.25,55.,0.0349999999999895)); #484664=CARTESIAN_POINT('Origin',(54.375,14.498,0.)); #484665=CARTESIAN_POINT('',(54.375,14.498,0.)); #484666=CARTESIAN_POINT('',(54.3804,14.498603,0.)); #484667=CARTESIAN_POINT('',(54.375,14.498,0.)); #484668=CARTESIAN_POINT('',(54.3804,14.498603,0.035)); #484669=CARTESIAN_POINT('',(54.3804,14.498603,0.)); #484670=CARTESIAN_POINT('',(54.375,14.498,0.035)); #484671=CARTESIAN_POINT('',(54.375,14.498,0.035)); #484672=CARTESIAN_POINT('',(54.375,14.498,0.)); #484673=CARTESIAN_POINT('Origin',(43.1875,14.498,0.)); #484674=CARTESIAN_POINT('',(43.1875,14.498,0.)); #484675=CARTESIAN_POINT('',(43.1875,14.498,0.)); #484676=CARTESIAN_POINT('',(43.1875,14.498,0.035)); #484677=CARTESIAN_POINT('',(43.1875,14.498,0.035)); #484678=CARTESIAN_POINT('',(43.1875,14.498,0.)); #484679=CARTESIAN_POINT('Origin',(43.1874992502996,14.6250003087252,0.)); #484680=CARTESIAN_POINT('',(43.097697,14.535197,0.)); #484681=CARTESIAN_POINT('Origin',(43.1874992502996,14.6250003087252,0.)); #484682=CARTESIAN_POINT('',(43.097697,14.535197,0.035)); #484683=CARTESIAN_POINT('Origin',(43.1874992502996,14.6250003087252,0.035)); #484684=CARTESIAN_POINT('',(43.097697,14.535197,0.)); #484685=CARTESIAN_POINT('Origin',(42.884894,14.748,0.)); #484686=CARTESIAN_POINT('',(42.884894,14.748,0.)); #484687=CARTESIAN_POINT('',(42.884894,14.748,0.)); #484688=CARTESIAN_POINT('',(42.884894,14.748,0.035)); #484689=CARTESIAN_POINT('',(42.884894,14.748,0.035)); #484690=CARTESIAN_POINT('',(42.884894,14.748,0.)); #484691=CARTESIAN_POINT('Origin',(41.625,14.748,0.)); #484692=CARTESIAN_POINT('',(41.625,14.748,0.)); #484693=CARTESIAN_POINT('',(41.625,14.748,0.)); #484694=CARTESIAN_POINT('',(41.625,14.748,0.035)); #484695=CARTESIAN_POINT('',(41.625,14.748,0.035)); #484696=CARTESIAN_POINT('',(41.625,14.748,0.)); #484697=CARTESIAN_POINT('Origin',(41.6249992502996,14.8750003087252,0.)); #484698=CARTESIAN_POINT('',(41.535197,14.785197,0.)); #484699=CARTESIAN_POINT('Origin',(41.6249992502996,14.8750003087252,0.)); #484700=CARTESIAN_POINT('',(41.535197,14.785197,0.035)); #484701=CARTESIAN_POINT('Origin',(41.6249992502996,14.8750003087252,0.035)); #484702=CARTESIAN_POINT('',(41.535197,14.785197,0.)); #484703=CARTESIAN_POINT('Origin',(39.947394,16.373,0.)); #484704=CARTESIAN_POINT('',(39.947394,16.373,0.)); #484705=CARTESIAN_POINT('',(39.947394,16.373,0.)); #484706=CARTESIAN_POINT('',(39.947394,16.373,0.035)); #484707=CARTESIAN_POINT('',(39.947394,16.373,0.035)); #484708=CARTESIAN_POINT('',(39.947394,16.373,0.)); #484709=CARTESIAN_POINT('Origin',(39.493928,16.373,0.)); #484710=CARTESIAN_POINT('',(39.493928,16.373,0.)); #484711=CARTESIAN_POINT('',(39.493928,16.373,0.)); #484712=CARTESIAN_POINT('',(39.493928,16.373,0.035)); #484713=CARTESIAN_POINT('',(39.493928,16.373,0.035)); #484714=CARTESIAN_POINT('',(39.493928,16.373,0.)); #484715=CARTESIAN_POINT('Origin',(39.2495362283063,16.5000204601791,0.)); #484716=CARTESIAN_POINT('',(38.995147,16.394438,0.)); #484717=CARTESIAN_POINT('Origin',(39.2495362283063,16.5000204601791,0.)); #484718=CARTESIAN_POINT('',(38.995147,16.394438,0.035)); #484719=CARTESIAN_POINT('Origin',(39.2495362283063,16.5000204601791,0.035)); #484720=CARTESIAN_POINT('',(38.995147,16.394438,0.)); #484721=CARTESIAN_POINT('Origin',(39.2496182367391,16.4998222285952,0.)); #484722=CARTESIAN_POINT('',(39.493928,16.627,0.)); #484723=CARTESIAN_POINT('Origin',(39.2496182367391,16.4998222285952,0.)); #484724=CARTESIAN_POINT('',(39.493928,16.627,0.035)); #484725=CARTESIAN_POINT('Origin',(39.2496182367391,16.4998222285952,0.035)); #484726=CARTESIAN_POINT('',(39.493928,16.627,0.)); #484727=CARTESIAN_POINT('Origin',(40.,16.627,0.)); #484728=CARTESIAN_POINT('',(40.,16.627,0.)); #484729=CARTESIAN_POINT('',(40.,16.627,0.)); #484730=CARTESIAN_POINT('',(40.,16.627,0.035)); #484731=CARTESIAN_POINT('',(40.,16.627,0.035)); #484732=CARTESIAN_POINT('',(40.,16.627,0.)); #484733=CARTESIAN_POINT('Origin',(40.0000007497004,16.4999996912748,0.)); #484734=CARTESIAN_POINT('',(40.089803,16.589803,0.)); #484735=CARTESIAN_POINT('Origin',(40.0000007497004,16.4999996912748,0.)); #484736=CARTESIAN_POINT('',(40.089803,16.589803,0.035)); #484737=CARTESIAN_POINT('Origin',(40.0000007497004,16.4999996912748,0.035)); #484738=CARTESIAN_POINT('',(40.089803,16.589803,0.)); #484739=CARTESIAN_POINT('Origin',(41.677606,15.002,0.)); #484740=CARTESIAN_POINT('',(41.677606,15.002,0.)); #484741=CARTESIAN_POINT('',(41.677606,15.002,0.)); #484742=CARTESIAN_POINT('',(41.677606,15.002,0.035)); #484743=CARTESIAN_POINT('',(41.677606,15.002,0.035)); #484744=CARTESIAN_POINT('',(41.677606,15.002,0.)); #484745=CARTESIAN_POINT('Origin',(42.9375,15.002,0.)); #484746=CARTESIAN_POINT('',(42.9375,15.002,0.)); #484747=CARTESIAN_POINT('',(42.9375,15.002,0.)); #484748=CARTESIAN_POINT('',(42.9375,15.002,0.035)); #484749=CARTESIAN_POINT('',(42.9375,15.002,0.035)); #484750=CARTESIAN_POINT('',(42.9375,15.002,0.)); #484751=CARTESIAN_POINT('Origin',(42.9375007497004,14.8749996912748,0.)); #484752=CARTESIAN_POINT('',(43.027303,14.964803,0.)); #484753=CARTESIAN_POINT('Origin',(42.9375007497004,14.8749996912748,0.)); #484754=CARTESIAN_POINT('',(43.027303,14.964803,0.035)); #484755=CARTESIAN_POINT('Origin',(42.9375007497004,14.8749996912748,0.035)); #484756=CARTESIAN_POINT('',(43.027303,14.964803,0.)); #484757=CARTESIAN_POINT('Origin',(43.240106,14.752,0.)); #484758=CARTESIAN_POINT('',(43.240106,14.752,0.)); #484759=CARTESIAN_POINT('',(43.240106,14.752,0.)); #484760=CARTESIAN_POINT('',(43.240106,14.752,0.035)); #484761=CARTESIAN_POINT('',(43.240106,14.752,0.035)); #484762=CARTESIAN_POINT('',(43.240106,14.752,0.)); #484763=CARTESIAN_POINT('Origin',(54.322394,14.752,0.)); #484764=CARTESIAN_POINT('',(54.322394,14.752,0.)); #484765=CARTESIAN_POINT('',(54.322394,14.752,0.)); #484766=CARTESIAN_POINT('',(54.322394,14.752,0.035)); #484767=CARTESIAN_POINT('',(54.322394,14.752,0.035)); #484768=CARTESIAN_POINT('',(54.322394,14.752,0.)); #484769=CARTESIAN_POINT('Origin',(59.373,19.802606,0.)); #484770=CARTESIAN_POINT('',(59.373,19.802606,0.)); #484771=CARTESIAN_POINT('',(59.373,19.802606,0.)); #484772=CARTESIAN_POINT('',(59.373,19.802606,0.035)); #484773=CARTESIAN_POINT('',(59.373,19.802606,0.035)); #484774=CARTESIAN_POINT('',(59.373,19.802606,0.)); #484775=CARTESIAN_POINT('Origin',(59.373,37.947394,0.)); #484776=CARTESIAN_POINT('',(59.373,37.947394,0.)); #484777=CARTESIAN_POINT('',(59.373,37.947394,0.)); #484778=CARTESIAN_POINT('',(59.373,37.947394,0.035)); #484779=CARTESIAN_POINT('',(59.373,37.947394,0.035)); #484780=CARTESIAN_POINT('',(59.373,37.947394,0.)); #484781=CARTESIAN_POINT('Origin',(57.447394,39.873,0.)); #484782=CARTESIAN_POINT('',(57.447394,39.873,0.)); #484783=CARTESIAN_POINT('',(57.447394,39.873,0.)); #484784=CARTESIAN_POINT('',(57.447394,39.873,0.035)); #484785=CARTESIAN_POINT('',(57.447394,39.873,0.035)); #484786=CARTESIAN_POINT('',(57.447394,39.873,0.)); #484787=CARTESIAN_POINT('Origin',(48.25,39.873,0.)); #484788=CARTESIAN_POINT('',(48.25,39.873,0.)); #484789=CARTESIAN_POINT('',(48.25,39.873,0.)); #484790=CARTESIAN_POINT('',(48.25,39.873,0.035)); #484791=CARTESIAN_POINT('',(48.25,39.873,0.035)); #484792=CARTESIAN_POINT('',(48.25,39.873,0.)); #484793=CARTESIAN_POINT('Origin',(48.2499992502996,40.0000003087252,0.)); #484794=CARTESIAN_POINT('',(48.160197,39.910197,0.)); #484795=CARTESIAN_POINT('Origin',(48.2499992502996,40.0000003087252,0.)); #484796=CARTESIAN_POINT('',(48.160197,39.910197,0.035)); #484797=CARTESIAN_POINT('Origin',(48.2499992502996,40.0000003087252,0.035)); #484798=CARTESIAN_POINT('',(48.160197,39.910197,0.)); #484799=CARTESIAN_POINT('Origin',(46.697394,41.373,0.)); #484800=CARTESIAN_POINT('',(46.697394,41.373,0.)); #484801=CARTESIAN_POINT('',(46.697394,41.373,0.)); #484802=CARTESIAN_POINT('',(46.697394,41.373,0.035)); #484803=CARTESIAN_POINT('',(46.697394,41.373,0.035)); #484804=CARTESIAN_POINT('',(46.697394,41.373,0.)); #484805=CARTESIAN_POINT('Origin',(43.25,41.373,0.)); #484806=CARTESIAN_POINT('',(43.25,41.373,0.)); #484807=CARTESIAN_POINT('',(43.25,41.373,0.)); #484808=CARTESIAN_POINT('',(43.25,41.373,0.035)); #484809=CARTESIAN_POINT('',(43.25,41.373,0.035)); #484810=CARTESIAN_POINT('',(43.25,41.373,0.)); #484811=CARTESIAN_POINT('Origin',(43.2499992502996,41.5000003087252,0.)); #484812=CARTESIAN_POINT('',(43.160197,41.410197,0.)); #484813=CARTESIAN_POINT('Origin',(43.2499992502996,41.5000003087252,0.)); #484814=CARTESIAN_POINT('',(43.160197,41.410197,0.035)); #484815=CARTESIAN_POINT('Origin',(43.2499992502996,41.5000003087252,0.035)); #484816=CARTESIAN_POINT('',(43.160197,41.410197,0.)); #484817=CARTESIAN_POINT('Origin',(41.910197,42.660197,0.)); #484818=CARTESIAN_POINT('',(41.910197,42.660197,0.)); #484819=CARTESIAN_POINT('',(41.910197,42.660197,0.)); #484820=CARTESIAN_POINT('',(41.910197,42.660197,0.035)); #484821=CARTESIAN_POINT('',(41.910197,42.660197,0.035)); #484822=CARTESIAN_POINT('',(41.910197,42.660197,0.)); #484823=CARTESIAN_POINT('Origin',(42.0000003087252,42.7499992502996,0.)); #484824=CARTESIAN_POINT('',(41.873,42.75,0.)); #484825=CARTESIAN_POINT('Origin',(42.0000003087252,42.7499992502996,0.)); #484826=CARTESIAN_POINT('',(41.873,42.75,0.035)); #484827=CARTESIAN_POINT('Origin',(42.0000003087252,42.7499992502996,0.035)); #484828=CARTESIAN_POINT('',(41.873,42.75,0.)); #484829=CARTESIAN_POINT('Origin',(41.873,49.447394,0.)); #484830=CARTESIAN_POINT('',(41.873,49.447394,0.)); #484831=CARTESIAN_POINT('',(41.873,49.447394,0.)); #484832=CARTESIAN_POINT('',(41.873,49.447394,0.035)); #484833=CARTESIAN_POINT('',(41.873,49.447394,0.035)); #484834=CARTESIAN_POINT('',(41.873,49.447394,0.)); #484835=CARTESIAN_POINT('Origin',(41.197394,50.123,0.)); #484836=CARTESIAN_POINT('',(41.197394,50.123,0.)); #484837=CARTESIAN_POINT('',(41.197394,50.123,0.)); #484838=CARTESIAN_POINT('',(41.197394,50.123,0.035)); #484839=CARTESIAN_POINT('',(41.197394,50.123,0.035)); #484840=CARTESIAN_POINT('',(41.197394,50.123,0.)); #484841=CARTESIAN_POINT('Origin',(36.3125,50.123,0.)); #484842=CARTESIAN_POINT('',(36.3125,50.123,0.)); #484843=CARTESIAN_POINT('',(36.3125,50.123,0.)); #484844=CARTESIAN_POINT('',(36.3125,50.123,0.035)); #484845=CARTESIAN_POINT('',(36.3125,50.123,0.035)); #484846=CARTESIAN_POINT('',(36.3125,50.123,0.)); #484847=CARTESIAN_POINT('Origin',(36.3124992502996,50.2500003087252,0.)); #484848=CARTESIAN_POINT('',(36.222697,50.160197,0.)); #484849=CARTESIAN_POINT('Origin',(36.3124992502996,50.2500003087252,0.)); #484850=CARTESIAN_POINT('',(36.222697,50.160197,0.035)); #484851=CARTESIAN_POINT('Origin',(36.3124992502996,50.2500003087252,0.035)); #484852=CARTESIAN_POINT('',(36.222697,50.160197,0.)); #484853=CARTESIAN_POINT('Origin',(33.535197,52.847697,0.)); #484854=CARTESIAN_POINT('',(33.535197,52.847697,0.)); #484855=CARTESIAN_POINT('',(33.535197,52.847697,0.)); #484856=CARTESIAN_POINT('',(33.535197,52.847697,0.035)); #484857=CARTESIAN_POINT('',(33.535197,52.847697,0.035)); #484858=CARTESIAN_POINT('',(33.535197,52.847697,0.)); #484859=CARTESIAN_POINT('Origin',(33.6250003087252,52.9374992502996,0.)); #484860=CARTESIAN_POINT('',(33.498,52.9375,0.)); #484861=CARTESIAN_POINT('Origin',(33.6250003087252,52.9374992502996,0.)); #484862=CARTESIAN_POINT('',(33.498,52.9375,0.035)); #484863=CARTESIAN_POINT('Origin',(33.6250003087252,52.9374992502996,0.035)); #484864=CARTESIAN_POINT('',(33.498,52.9375,0.)); #484865=CARTESIAN_POINT('Origin',(33.498,53.947394,0.)); #484866=CARTESIAN_POINT('',(33.498,53.947394,0.)); #484867=CARTESIAN_POINT('',(33.498,53.947394,0.)); #484868=CARTESIAN_POINT('',(33.498,53.947394,0.035)); #484869=CARTESIAN_POINT('',(33.498,53.947394,0.035)); #484870=CARTESIAN_POINT('',(33.498,53.947394,0.)); #484871=CARTESIAN_POINT('Origin',(33.160197,54.285197,0.)); #484872=CARTESIAN_POINT('',(33.160197,54.285197,0.)); #484873=CARTESIAN_POINT('',(33.160197,54.285197,0.)); #484874=CARTESIAN_POINT('',(33.160197,54.285197,0.035)); #484875=CARTESIAN_POINT('',(33.160197,54.285197,0.035)); #484876=CARTESIAN_POINT('',(33.160197,54.285197,0.)); #484877=CARTESIAN_POINT('Origin',(33.2500003087252,54.3749992502996,0.)); #484878=CARTESIAN_POINT('',(33.123,54.375,0.)); #484879=CARTESIAN_POINT('Origin',(33.2500003087252,54.3749992502996,0.)); #484880=CARTESIAN_POINT('',(33.123,54.375,0.035)); #484881=CARTESIAN_POINT('Origin',(33.2500003087252,54.3749992502996,0.035)); #484882=CARTESIAN_POINT('',(33.123,54.375,0.)); #484883=CARTESIAN_POINT('Origin',(33.123,54.756072,0.)); #484884=CARTESIAN_POINT('',(33.123,54.756072,0.)); #484885=CARTESIAN_POINT('',(33.123,54.756072,0.)); #484886=CARTESIAN_POINT('',(33.123,54.756072,0.035)); #484887=CARTESIAN_POINT('',(33.123,54.756072,0.035)); #484888=CARTESIAN_POINT('',(33.123,54.756072,0.)); #484889=CARTESIAN_POINT('Origin',(33.2500204601791,55.0004637716938,0.)); #484890=CARTESIAN_POINT('',(33.144438,55.254853,0.)); #484891=CARTESIAN_POINT('Origin',(33.2500204601791,55.0004637716938,0.)); #484892=CARTESIAN_POINT('',(33.144438,55.254853,0.035)); #484893=CARTESIAN_POINT('Origin',(33.2500204601791,55.0004637716938,0.035)); #484894=CARTESIAN_POINT('',(33.144438,55.254853,0.)); #484895=CARTESIAN_POINT('Origin',(33.2498222285952,55.0003817632609,0.)); #484896=CARTESIAN_POINT('',(33.377,54.756072,0.)); #484897=CARTESIAN_POINT('Origin',(33.2498222285952,55.0003817632609,0.)); #484898=CARTESIAN_POINT('',(33.377,54.756072,0.035)); #484899=CARTESIAN_POINT('Origin',(33.2498222285952,55.0003817632609,0.035)); #484900=CARTESIAN_POINT('',(33.377,54.756072,0.)); #484901=CARTESIAN_POINT('Origin',(33.377,54.427606,0.)); #484902=CARTESIAN_POINT('',(33.377,54.427606,0.)); #484903=CARTESIAN_POINT('',(33.377,54.427606,0.)); #484904=CARTESIAN_POINT('',(33.377,54.427606,0.035)); #484905=CARTESIAN_POINT('',(33.377,54.427606,0.035)); #484906=CARTESIAN_POINT('',(33.377,54.427606,0.)); #484907=CARTESIAN_POINT('Origin',(33.714803,54.089803,0.)); #484908=CARTESIAN_POINT('',(33.714803,54.089803,0.)); #484909=CARTESIAN_POINT('',(33.714803,54.089803,0.)); #484910=CARTESIAN_POINT('',(33.714803,54.089803,0.035)); #484911=CARTESIAN_POINT('',(33.714803,54.089803,0.035)); #484912=CARTESIAN_POINT('',(33.714803,54.089803,0.)); #484913=CARTESIAN_POINT('Origin',(33.6249996912748,54.0000007497004,0.)); #484914=CARTESIAN_POINT('',(33.752,54.,0.)); #484915=CARTESIAN_POINT('Origin',(33.6249996912748,54.0000007497004,0.)); #484916=CARTESIAN_POINT('',(33.752,54.,0.035)); #484917=CARTESIAN_POINT('Origin',(33.6249996912748,54.0000007497004,0.035)); #484918=CARTESIAN_POINT('',(33.752,54.,0.)); #484919=CARTESIAN_POINT('Origin',(33.752,52.990106,0.)); #484920=CARTESIAN_POINT('',(33.752,52.990106,0.)); #484921=CARTESIAN_POINT('',(33.752,52.990106,0.)); #484922=CARTESIAN_POINT('',(33.752,52.990106,0.035)); #484923=CARTESIAN_POINT('',(33.752,52.990106,0.035)); #484924=CARTESIAN_POINT('',(33.752,52.990106,0.)); #484925=CARTESIAN_POINT('Origin',(36.365106,50.377,0.)); #484926=CARTESIAN_POINT('',(36.365106,50.377,0.)); #484927=CARTESIAN_POINT('',(36.365106,50.377,0.)); #484928=CARTESIAN_POINT('',(36.365106,50.377,0.035)); #484929=CARTESIAN_POINT('',(36.365106,50.377,0.035)); #484930=CARTESIAN_POINT('',(36.365106,50.377,0.)); #484931=CARTESIAN_POINT('Origin',(41.25,50.377,0.)); #484932=CARTESIAN_POINT('',(41.25,50.377,0.)); #484933=CARTESIAN_POINT('',(41.25,50.377,0.)); #484934=CARTESIAN_POINT('',(41.25,50.377,0.035)); #484935=CARTESIAN_POINT('',(41.25,50.377,0.035)); #484936=CARTESIAN_POINT('',(41.25,50.377,0.)); #484937=CARTESIAN_POINT('Origin',(41.2500007497004,50.2499996912748,0.)); #484938=CARTESIAN_POINT('',(41.339803,50.339803,0.)); #484939=CARTESIAN_POINT('Origin',(41.2500007497004,50.2499996912748,0.)); #484940=CARTESIAN_POINT('',(41.339803,50.339803,0.035)); #484941=CARTESIAN_POINT('Origin',(41.2500007497004,50.2499996912748,0.035)); #484942=CARTESIAN_POINT('',(41.339803,50.339803,0.)); #484943=CARTESIAN_POINT('Origin',(42.089803,49.589803,0.)); #484944=CARTESIAN_POINT('',(42.089803,49.589803,0.)); #484945=CARTESIAN_POINT('',(42.089803,49.589803,0.)); #484946=CARTESIAN_POINT('',(42.089803,49.589803,0.035)); #484947=CARTESIAN_POINT('',(42.089803,49.589803,0.035)); #484948=CARTESIAN_POINT('',(42.089803,49.589803,0.)); #484949=CARTESIAN_POINT('Origin',(41.9999996912748,49.5000007497004,0.)); #484950=CARTESIAN_POINT('',(42.127,49.5,0.)); #484951=CARTESIAN_POINT('Origin',(41.9999996912748,49.5000007497004,0.)); #484952=CARTESIAN_POINT('',(42.127,49.5,0.035)); #484953=CARTESIAN_POINT('Origin',(41.9999996912748,49.5000007497004,0.035)); #484954=CARTESIAN_POINT('',(42.127,49.5,0.)); #484955=CARTESIAN_POINT('Origin',(42.127,42.802606,0.)); #484956=CARTESIAN_POINT('',(42.127,42.802606,0.)); #484957=CARTESIAN_POINT('',(42.127,42.802606,0.)); #484958=CARTESIAN_POINT('',(42.127,42.802606,0.035)); #484959=CARTESIAN_POINT('',(42.127,42.802606,0.035)); #484960=CARTESIAN_POINT('',(42.127,42.802606,0.)); #484961=CARTESIAN_POINT('Origin',(43.302606,41.627,0.)); #484962=CARTESIAN_POINT('',(43.302606,41.627,0.)); #484963=CARTESIAN_POINT('',(43.302606,41.627,0.)); #484964=CARTESIAN_POINT('',(43.302606,41.627,0.035)); #484965=CARTESIAN_POINT('',(43.302606,41.627,0.035)); #484966=CARTESIAN_POINT('',(43.302606,41.627,0.)); #484967=CARTESIAN_POINT('Origin',(46.75,41.627,0.)); #484968=CARTESIAN_POINT('',(46.75,41.627,0.)); #484969=CARTESIAN_POINT('',(46.75,41.627,0.)); #484970=CARTESIAN_POINT('',(46.75,41.627,0.035)); #484971=CARTESIAN_POINT('',(46.75,41.627,0.035)); #484972=CARTESIAN_POINT('',(46.75,41.627,0.)); #484973=CARTESIAN_POINT('Origin',(46.7500007497004,41.4999996912748,0.)); #484974=CARTESIAN_POINT('',(46.839803,41.589803,0.)); #484975=CARTESIAN_POINT('Origin',(46.7500007497004,41.4999996912748,0.)); #484976=CARTESIAN_POINT('',(46.839803,41.589803,0.035)); #484977=CARTESIAN_POINT('Origin',(46.7500007497004,41.4999996912748,0.035)); #484978=CARTESIAN_POINT('',(46.839803,41.589803,0.)); #484979=CARTESIAN_POINT('Origin',(48.302606,40.127,0.)); #484980=CARTESIAN_POINT('',(48.302606,40.127,0.)); #484981=CARTESIAN_POINT('',(48.302606,40.127,0.)); #484982=CARTESIAN_POINT('',(48.302606,40.127,0.035)); #484983=CARTESIAN_POINT('',(48.302606,40.127,0.035)); #484984=CARTESIAN_POINT('',(48.302606,40.127,0.)); #484985=CARTESIAN_POINT('Origin',(57.5,40.127,0.)); #484986=CARTESIAN_POINT('',(57.5,40.127,0.)); #484987=CARTESIAN_POINT('',(57.5,40.127,0.)); #484988=CARTESIAN_POINT('',(57.5,40.127,0.035)); #484989=CARTESIAN_POINT('',(57.5,40.127,0.035)); #484990=CARTESIAN_POINT('',(57.5,40.127,0.)); #484991=CARTESIAN_POINT('Origin',(57.5000007497004,39.9999996912748,0.)); #484992=CARTESIAN_POINT('',(57.589803,40.089803,0.)); #484993=CARTESIAN_POINT('Origin',(57.5000007497004,39.9999996912748,0.)); #484994=CARTESIAN_POINT('',(57.589803,40.089803,0.035)); #484995=CARTESIAN_POINT('Origin',(57.5000007497004,39.9999996912748,0.035)); #484996=CARTESIAN_POINT('',(57.589803,40.089803,0.)); #484997=CARTESIAN_POINT('Origin',(59.589803,38.089803,0.)); #484998=CARTESIAN_POINT('',(59.589803,38.089803,0.)); #484999=CARTESIAN_POINT('',(59.589803,38.089803,0.)); #485000=CARTESIAN_POINT('',(59.589803,38.089803,0.035)); #485001=CARTESIAN_POINT('',(59.589803,38.089803,0.035)); #485002=CARTESIAN_POINT('',(59.589803,38.089803,0.)); #485003=CARTESIAN_POINT('Origin',(59.4999996912748,38.0000007497004,0.)); #485004=CARTESIAN_POINT('',(59.627,38.,0.)); #485005=CARTESIAN_POINT('Origin',(59.4999996912748,38.0000007497004,0.)); #485006=CARTESIAN_POINT('',(59.627,38.,0.035)); #485007=CARTESIAN_POINT('Origin',(59.4999996912748,38.0000007497004,0.035)); #485008=CARTESIAN_POINT('',(59.627,38.,0.)); #485009=CARTESIAN_POINT('Origin',(59.627,19.75,0.)); #485010=CARTESIAN_POINT('',(59.627,19.75,0.)); #485011=CARTESIAN_POINT('',(59.627,19.75,0.)); #485012=CARTESIAN_POINT('',(59.627,19.75,0.035)); #485013=CARTESIAN_POINT('',(59.627,19.75,0.035)); #485014=CARTESIAN_POINT('',(59.627,19.75,0.)); #485015=CARTESIAN_POINT('Origin',(59.4999996912748,19.7499992502996,0.)); #485016=CARTESIAN_POINT('',(59.589803,19.660197,0.)); #485017=CARTESIAN_POINT('Origin',(59.4999996912748,19.7499992502996,0.)); #485018=CARTESIAN_POINT('',(59.589803,19.660197,0.035)); #485019=CARTESIAN_POINT('Origin',(59.4999996912748,19.7499992502996,0.035)); #485020=CARTESIAN_POINT('',(59.589803,19.660197,0.)); #485021=CARTESIAN_POINT('Origin',(54.464803,14.535197,0.)); #485022=CARTESIAN_POINT('',(54.464803,14.535197,0.)); #485023=CARTESIAN_POINT('',(54.464803,14.535197,0.)); #485024=CARTESIAN_POINT('',(54.464803,14.535197,0.035)); #485025=CARTESIAN_POINT('',(54.464803,14.535197,0.035)); #485026=CARTESIAN_POINT('',(54.464803,14.535197,0.)); #485027=CARTESIAN_POINT('Origin',(54.3756159937679,14.6252707078348,0.)); #485028=CARTESIAN_POINT('Origin',(54.3756159937679,14.6252707078348,0.)); #485029=CARTESIAN_POINT('Origin',(54.3756159937679,14.6252707078348,0.035)); #485030=CARTESIAN_POINT('Origin',(49.4793939404174,32.7223774402083,0.035)); #485031=CARTESIAN_POINT('Origin',(49.4793939404174,32.7223774402083,0.)); #485032=CARTESIAN_POINT('Origin',(42.,31.,-200.)); #485033=CARTESIAN_POINT('',(41.86,31.,0.)); #485034=CARTESIAN_POINT('Origin',(42.,31.,0.)); #485035=CARTESIAN_POINT('',(41.86,31.,0.0349999999999895)); #485036=CARTESIAN_POINT('',(41.86,31.,-200.)); #485037=CARTESIAN_POINT('Origin',(42.,31.,0.0349999999999895)); #485038=CARTESIAN_POINT('Origin',(42.,36.,-200.)); #485039=CARTESIAN_POINT('',(41.86,36.,0.)); #485040=CARTESIAN_POINT('Origin',(42.,36.,0.)); #485041=CARTESIAN_POINT('',(41.86,36.,0.0349999999999895)); #485042=CARTESIAN_POINT('',(41.86,36.,-200.)); #485043=CARTESIAN_POINT('Origin',(42.,36.,0.0349999999999895)); #485044=CARTESIAN_POINT('Origin',(42.021644,30.725,0.)); #485045=CARTESIAN_POINT('',(42.021644,30.725,0.)); #485046=CARTESIAN_POINT('',(42.064397,30.731772,0.)); #485047=CARTESIAN_POINT('',(42.021644,30.725,0.)); #485048=CARTESIAN_POINT('',(42.064397,30.731772,0.035)); #485049=CARTESIAN_POINT('',(42.064397,30.731772,0.)); #485050=CARTESIAN_POINT('',(42.021644,30.725,0.035)); #485051=CARTESIAN_POINT('',(42.021644,30.725,0.035)); #485052=CARTESIAN_POINT('',(42.021644,30.725,0.)); #485053=CARTESIAN_POINT('Origin',(42.000118471131,30.9995872515008,0.)); #485054=CARTESIAN_POINT('',(41.873,31.243928,0.)); #485055=CARTESIAN_POINT('Origin',(42.000118471131,30.9995872515008,0.)); #485056=CARTESIAN_POINT('',(41.873,31.243928,0.035)); #485057=CARTESIAN_POINT('Origin',(42.000118471131,30.9995872515008,0.035)); #485058=CARTESIAN_POINT('',(41.873,31.243928,0.)); #485059=CARTESIAN_POINT('Origin',(41.873,35.756072,0.)); #485060=CARTESIAN_POINT('',(41.873,35.756072,0.)); #485061=CARTESIAN_POINT('',(41.873,35.756072,0.)); #485062=CARTESIAN_POINT('',(41.873,35.756072,0.035)); #485063=CARTESIAN_POINT('',(41.873,35.756072,0.035)); #485064=CARTESIAN_POINT('',(41.873,35.756072,0.)); #485065=CARTESIAN_POINT('Origin',(42.0000204601791,36.0004637716937,0.)); #485066=CARTESIAN_POINT('',(41.894438,36.254853,0.)); #485067=CARTESIAN_POINT('Origin',(42.0000204601791,36.0004637716937,0.)); #485068=CARTESIAN_POINT('',(41.894438,36.254853,0.035)); #485069=CARTESIAN_POINT('Origin',(42.0000204601791,36.0004637716937,0.035)); #485070=CARTESIAN_POINT('',(41.894438,36.254853,0.)); #485071=CARTESIAN_POINT('Origin',(41.9998222285952,36.000381763261,0.)); #485072=CARTESIAN_POINT('',(42.127,35.756072,0.)); #485073=CARTESIAN_POINT('Origin',(41.9998222285952,36.000381763261,0.)); #485074=CARTESIAN_POINT('',(42.127,35.756072,0.035)); #485075=CARTESIAN_POINT('Origin',(41.9998222285952,36.000381763261,0.035)); #485076=CARTESIAN_POINT('',(42.127,35.756072,0.)); #485077=CARTESIAN_POINT('Origin',(42.127,31.243928,0.)); #485078=CARTESIAN_POINT('',(42.127,31.243928,0.)); #485079=CARTESIAN_POINT('',(42.127,31.243928,0.)); #485080=CARTESIAN_POINT('',(42.127,31.243928,0.035)); #485081=CARTESIAN_POINT('',(42.127,31.243928,0.035)); #485082=CARTESIAN_POINT('',(42.127,31.243928,0.)); #485083=CARTESIAN_POINT('Origin',(41.9999453752955,30.999554310534,0.)); #485084=CARTESIAN_POINT('Origin',(41.9999453752955,30.999554310534,0.)); #485085=CARTESIAN_POINT('Origin',(41.9999453752955,30.999554310534,0.035)); #485086=CARTESIAN_POINT('Origin',(41.9996864506156,33.49980383149,0.035)); #485087=CARTESIAN_POINT('Origin',(41.9996864506156,33.49980383149,0.)); #485088=CARTESIAN_POINT('Origin',(34.762,60.25,-200.)); #485089=CARTESIAN_POINT('',(34.622,60.25,0.)); #485090=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #485091=CARTESIAN_POINT('',(34.622,60.25,0.0349999999999895)); #485092=CARTESIAN_POINT('',(34.622,60.25,-200.)); #485093=CARTESIAN_POINT('Origin',(34.762,60.25,0.0349999999999895)); #485094=CARTESIAN_POINT('Origin',(34.783644,59.975,0.)); #485095=CARTESIAN_POINT('',(34.783644,59.975,0.)); #485096=CARTESIAN_POINT('',(34.826397,59.981772,0.)); #485097=CARTESIAN_POINT('',(34.783644,59.975,0.)); #485098=CARTESIAN_POINT('',(34.826397,59.981772,0.035)); #485099=CARTESIAN_POINT('',(34.826397,59.981772,0.)); #485100=CARTESIAN_POINT('',(34.783644,59.975,0.035)); #485101=CARTESIAN_POINT('',(34.783644,59.975,0.035)); #485102=CARTESIAN_POINT('',(34.783644,59.975,0.)); #485103=CARTESIAN_POINT('Origin',(34.762118471131,60.2495872515008,0.)); #485104=CARTESIAN_POINT('',(34.635,60.493928,0.)); #485105=CARTESIAN_POINT('Origin',(34.762118471131,60.2495872515008,0.)); #485106=CARTESIAN_POINT('',(34.635,60.493928,0.035)); #485107=CARTESIAN_POINT('Origin',(34.762118471131,60.2495872515008,0.035)); #485108=CARTESIAN_POINT('',(34.635,60.493928,0.)); #485109=CARTESIAN_POINT('Origin',(34.635,65.5555,0.)); #485110=CARTESIAN_POINT('',(34.635,65.5555,0.)); #485111=CARTESIAN_POINT('',(34.635,65.5555,0.)); #485112=CARTESIAN_POINT('',(34.635,65.5555,0.035)); #485113=CARTESIAN_POINT('',(34.635,65.5555,0.035)); #485114=CARTESIAN_POINT('',(34.635,65.5555,0.)); #485115=CARTESIAN_POINT('Origin',(34.3429,65.5555,0.)); #485116=CARTESIAN_POINT('',(34.3429,65.5555,0.)); #485117=CARTESIAN_POINT('',(34.3429,65.5555,0.)); #485118=CARTESIAN_POINT('',(34.3429,65.5555,0.035)); #485119=CARTESIAN_POINT('',(34.3429,65.5555,0.035)); #485120=CARTESIAN_POINT('',(34.3429,65.5555,0.)); #485121=CARTESIAN_POINT('Origin',(34.3429,66.4445,0.)); #485122=CARTESIAN_POINT('',(34.3429,66.4445,0.)); #485123=CARTESIAN_POINT('',(34.3429,66.4445,0.)); #485124=CARTESIAN_POINT('',(34.3429,66.4445,0.035)); #485125=CARTESIAN_POINT('',(34.3429,66.4445,0.035)); #485126=CARTESIAN_POINT('',(34.3429,66.4445,0.)); #485127=CARTESIAN_POINT('Origin',(35.1811,66.4445,0.)); #485128=CARTESIAN_POINT('',(35.1811,66.4445,0.)); #485129=CARTESIAN_POINT('',(35.1811,66.4445,0.)); #485130=CARTESIAN_POINT('',(35.1811,66.4445,0.035)); #485131=CARTESIAN_POINT('',(35.1811,66.4445,0.035)); #485132=CARTESIAN_POINT('',(35.1811,66.4445,0.)); #485133=CARTESIAN_POINT('Origin',(35.1811,65.5555,0.)); #485134=CARTESIAN_POINT('',(35.1811,65.5555,0.)); #485135=CARTESIAN_POINT('',(35.1811,65.5555,0.)); #485136=CARTESIAN_POINT('',(35.1811,65.5555,0.035)); #485137=CARTESIAN_POINT('',(35.1811,65.5555,0.035)); #485138=CARTESIAN_POINT('',(35.1811,65.5555,0.)); #485139=CARTESIAN_POINT('Origin',(34.889,65.5555,0.)); #485140=CARTESIAN_POINT('',(34.889,65.5555,0.)); #485141=CARTESIAN_POINT('',(34.889,65.5555,0.)); #485142=CARTESIAN_POINT('',(34.889,65.5555,0.035)); #485143=CARTESIAN_POINT('',(34.889,65.5555,0.035)); #485144=CARTESIAN_POINT('',(34.889,65.5555,0.)); #485145=CARTESIAN_POINT('Origin',(34.889,60.493928,0.)); #485146=CARTESIAN_POINT('',(34.889,60.493928,0.)); #485147=CARTESIAN_POINT('',(34.889,60.493928,0.)); #485148=CARTESIAN_POINT('',(34.889,60.493928,0.035)); #485149=CARTESIAN_POINT('',(34.889,60.493928,0.035)); #485150=CARTESIAN_POINT('',(34.889,60.493928,0.)); #485151=CARTESIAN_POINT('Origin',(34.7619453752955,60.2495543105341,0.)); #485152=CARTESIAN_POINT('Origin',(34.7619453752955,60.2495543105341,0.)); #485153=CARTESIAN_POINT('Origin',(34.7619453752955,60.2495543105341,0.035)); #485154=CARTESIAN_POINT('Origin',(34.7621756493826,63.3966014718761,0.035)); #485155=CARTESIAN_POINT('Origin',(34.7621756493826,63.3966014718761,0.)); #485156=CARTESIAN_POINT('Origin',(38.762,60.25,-200.)); #485157=CARTESIAN_POINT('',(38.622,60.25,0.)); #485158=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #485159=CARTESIAN_POINT('',(38.622,60.25,0.0349999999999895)); #485160=CARTESIAN_POINT('',(38.622,60.25,-200.)); #485161=CARTESIAN_POINT('Origin',(38.762,60.25,0.0349999999999895)); #485162=CARTESIAN_POINT('Origin',(38.783644,59.975,0.)); #485163=CARTESIAN_POINT('',(38.783644,59.975,0.)); #485164=CARTESIAN_POINT('',(38.826397,59.981772,0.)); #485165=CARTESIAN_POINT('',(38.783644,59.975,0.)); #485166=CARTESIAN_POINT('',(38.826397,59.981772,0.035)); #485167=CARTESIAN_POINT('',(38.826397,59.981772,0.)); #485168=CARTESIAN_POINT('',(38.783644,59.975,0.035)); #485169=CARTESIAN_POINT('',(38.783644,59.975,0.035)); #485170=CARTESIAN_POINT('',(38.783644,59.975,0.)); #485171=CARTESIAN_POINT('Origin',(38.762118471131,60.2495872515008,0.)); #485172=CARTESIAN_POINT('',(38.635,60.493928,0.)); #485173=CARTESIAN_POINT('Origin',(38.762118471131,60.2495872515008,0.)); #485174=CARTESIAN_POINT('',(38.635,60.493928,0.035)); #485175=CARTESIAN_POINT('Origin',(38.762118471131,60.2495872515008,0.035)); #485176=CARTESIAN_POINT('',(38.635,60.493928,0.)); #485177=CARTESIAN_POINT('Origin',(38.635,65.5555,0.)); #485178=CARTESIAN_POINT('',(38.635,65.5555,0.)); #485179=CARTESIAN_POINT('',(38.635,65.5555,0.)); #485180=CARTESIAN_POINT('',(38.635,65.5555,0.035)); #485181=CARTESIAN_POINT('',(38.635,65.5555,0.035)); #485182=CARTESIAN_POINT('',(38.635,65.5555,0.)); #485183=CARTESIAN_POINT('Origin',(38.3429,65.5555,0.)); #485184=CARTESIAN_POINT('',(38.3429,65.5555,0.)); #485185=CARTESIAN_POINT('',(38.3429,65.5555,0.)); #485186=CARTESIAN_POINT('',(38.3429,65.5555,0.035)); #485187=CARTESIAN_POINT('',(38.3429,65.5555,0.035)); #485188=CARTESIAN_POINT('',(38.3429,65.5555,0.)); #485189=CARTESIAN_POINT('Origin',(38.3429,66.4445,0.)); #485190=CARTESIAN_POINT('',(38.3429,66.4445,0.)); #485191=CARTESIAN_POINT('',(38.3429,66.4445,0.)); #485192=CARTESIAN_POINT('',(38.3429,66.4445,0.035)); #485193=CARTESIAN_POINT('',(38.3429,66.4445,0.035)); #485194=CARTESIAN_POINT('',(38.3429,66.4445,0.)); #485195=CARTESIAN_POINT('Origin',(39.1811,66.4445,0.)); #485196=CARTESIAN_POINT('',(39.1811,66.4445,0.)); #485197=CARTESIAN_POINT('',(39.1811,66.4445,0.)); #485198=CARTESIAN_POINT('',(39.1811,66.4445,0.035)); #485199=CARTESIAN_POINT('',(39.1811,66.4445,0.035)); #485200=CARTESIAN_POINT('',(39.1811,66.4445,0.)); #485201=CARTESIAN_POINT('Origin',(39.1811,65.5555,0.)); #485202=CARTESIAN_POINT('',(39.1811,65.5555,0.)); #485203=CARTESIAN_POINT('',(39.1811,65.5555,0.)); #485204=CARTESIAN_POINT('',(39.1811,65.5555,0.035)); #485205=CARTESIAN_POINT('',(39.1811,65.5555,0.035)); #485206=CARTESIAN_POINT('',(39.1811,65.5555,0.)); #485207=CARTESIAN_POINT('Origin',(38.889,65.5555,0.)); #485208=CARTESIAN_POINT('',(38.889,65.5555,0.)); #485209=CARTESIAN_POINT('',(38.889,65.5555,0.)); #485210=CARTESIAN_POINT('',(38.889,65.5555,0.035)); #485211=CARTESIAN_POINT('',(38.889,65.5555,0.035)); #485212=CARTESIAN_POINT('',(38.889,65.5555,0.)); #485213=CARTESIAN_POINT('Origin',(38.889,60.493928,0.)); #485214=CARTESIAN_POINT('',(38.889,60.493928,0.)); #485215=CARTESIAN_POINT('',(38.889,60.493928,0.)); #485216=CARTESIAN_POINT('',(38.889,60.493928,0.035)); #485217=CARTESIAN_POINT('',(38.889,60.493928,0.035)); #485218=CARTESIAN_POINT('',(38.889,60.493928,0.)); #485219=CARTESIAN_POINT('Origin',(38.7619453752955,60.2495543105341,0.)); #485220=CARTESIAN_POINT('Origin',(38.7619453752955,60.2495543105341,0.)); #485221=CARTESIAN_POINT('Origin',(38.7619453752955,60.2495543105341,0.035)); #485222=CARTESIAN_POINT('Origin',(38.7621756493826,63.3966014718761,0.035)); #485223=CARTESIAN_POINT('Origin',(38.7621756493826,63.3966014718761,0.)); #485224=CARTESIAN_POINT('Origin',(34.0625,12.25,-200.)); #485225=CARTESIAN_POINT('',(33.9225,12.25,0.)); #485226=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #485227=CARTESIAN_POINT('',(33.9225,12.25,0.0349999999999895)); #485228=CARTESIAN_POINT('',(33.9225,12.25,-200.)); #485229=CARTESIAN_POINT('Origin',(34.0625,12.25,0.0349999999999895)); #485230=CARTESIAN_POINT('Origin',(34.084144,11.975,0.)); #485231=CARTESIAN_POINT('',(34.084144,11.975,0.)); #485232=CARTESIAN_POINT('',(34.126897,11.981772,0.)); #485233=CARTESIAN_POINT('',(34.084144,11.975,0.)); #485234=CARTESIAN_POINT('',(34.126897,11.981772,0.035)); #485235=CARTESIAN_POINT('',(34.126897,11.981772,0.)); #485236=CARTESIAN_POINT('',(34.084144,11.975,0.035)); #485237=CARTESIAN_POINT('',(34.084144,11.975,0.035)); #485238=CARTESIAN_POINT('',(34.084144,11.975,0.)); #485239=CARTESIAN_POINT('Origin',(34.063166927461,12.2496305438086,0.)); #485240=CARTESIAN_POINT('',(33.818572,12.123,0.)); #485241=CARTESIAN_POINT('Origin',(34.063166927461,12.2496305438086,0.)); #485242=CARTESIAN_POINT('',(33.818572,12.123,0.035)); #485243=CARTESIAN_POINT('Origin',(34.063166927461,12.2496305438086,0.035)); #485244=CARTESIAN_POINT('',(33.818572,12.123,0.)); #485245=CARTESIAN_POINT('Origin',(29.939338,12.123,0.)); #485246=CARTESIAN_POINT('',(29.939338,12.123,0.)); #485247=CARTESIAN_POINT('',(29.939338,12.123,0.)); #485248=CARTESIAN_POINT('',(29.939338,12.123,0.035)); #485249=CARTESIAN_POINT('',(29.939338,12.123,0.035)); #485250=CARTESIAN_POINT('',(29.939338,12.123,0.)); #485251=CARTESIAN_POINT('Origin',(29.9393367502996,12.250001515832,0.)); #485252=CARTESIAN_POINT('',(29.849534,12.160197,0.)); #485253=CARTESIAN_POINT('Origin',(29.9393367502996,12.250001515832,0.)); #485254=CARTESIAN_POINT('',(29.849534,12.160197,0.035)); #485255=CARTESIAN_POINT('Origin',(29.9393367502996,12.250001515832,0.035)); #485256=CARTESIAN_POINT('',(29.849534,12.160197,0.)); #485257=CARTESIAN_POINT('Origin',(26.148197,15.861534,0.)); #485258=CARTESIAN_POINT('',(26.148197,15.861534,0.)); #485259=CARTESIAN_POINT('',(26.148197,15.861534,0.)); #485260=CARTESIAN_POINT('',(26.148197,15.861534,0.035)); #485261=CARTESIAN_POINT('',(26.148197,15.861534,0.035)); #485262=CARTESIAN_POINT('',(26.148197,15.861534,0.)); #485263=CARTESIAN_POINT('Origin',(26.238001515832,15.9513367502996,0.)); #485264=CARTESIAN_POINT('',(26.111,15.951338,0.)); #485265=CARTESIAN_POINT('Origin',(26.238001515832,15.9513367502996,0.)); #485266=CARTESIAN_POINT('',(26.111,15.951338,0.035)); #485267=CARTESIAN_POINT('Origin',(26.238001515832,15.9513367502996,0.035)); #485268=CARTESIAN_POINT('',(26.111,15.951338,0.)); #485269=CARTESIAN_POINT('Origin',(26.111,18.5555,0.)); #485270=CARTESIAN_POINT('',(26.111,18.5555,0.)); #485271=CARTESIAN_POINT('',(26.111,18.5555,0.)); #485272=CARTESIAN_POINT('',(26.111,18.5555,0.035)); #485273=CARTESIAN_POINT('',(26.111,18.5555,0.035)); #485274=CARTESIAN_POINT('',(26.111,18.5555,0.)); #485275=CARTESIAN_POINT('Origin',(25.8189,18.5555,0.)); #485276=CARTESIAN_POINT('',(25.8189,18.5555,0.)); #485277=CARTESIAN_POINT('',(25.8189,18.5555,0.)); #485278=CARTESIAN_POINT('',(25.8189,18.5555,0.035)); #485279=CARTESIAN_POINT('',(25.8189,18.5555,0.035)); #485280=CARTESIAN_POINT('',(25.8189,18.5555,0.)); #485281=CARTESIAN_POINT('Origin',(25.8189,19.4445,0.)); #485282=CARTESIAN_POINT('',(25.8189,19.4445,0.)); #485283=CARTESIAN_POINT('',(25.8189,19.4445,0.)); #485284=CARTESIAN_POINT('',(25.8189,19.4445,0.035)); #485285=CARTESIAN_POINT('',(25.8189,19.4445,0.035)); #485286=CARTESIAN_POINT('',(25.8189,19.4445,0.)); #485287=CARTESIAN_POINT('Origin',(26.6571,19.4445,0.)); #485288=CARTESIAN_POINT('',(26.6571,19.4445,0.)); #485289=CARTESIAN_POINT('',(26.6571,19.4445,0.)); #485290=CARTESIAN_POINT('',(26.6571,19.4445,0.035)); #485291=CARTESIAN_POINT('',(26.6571,19.4445,0.035)); #485292=CARTESIAN_POINT('',(26.6571,19.4445,0.)); #485293=CARTESIAN_POINT('Origin',(26.6571,18.5555,0.)); #485294=CARTESIAN_POINT('',(26.6571,18.5555,0.)); #485295=CARTESIAN_POINT('',(26.6571,18.5555,0.)); #485296=CARTESIAN_POINT('',(26.6571,18.5555,0.035)); #485297=CARTESIAN_POINT('',(26.6571,18.5555,0.035)); #485298=CARTESIAN_POINT('',(26.6571,18.5555,0.)); #485299=CARTESIAN_POINT('Origin',(26.365,18.5555,0.)); #485300=CARTESIAN_POINT('',(26.365,18.5555,0.)); #485301=CARTESIAN_POINT('',(26.365,18.5555,0.)); #485302=CARTESIAN_POINT('',(26.365,18.5555,0.035)); #485303=CARTESIAN_POINT('',(26.365,18.5555,0.035)); #485304=CARTESIAN_POINT('',(26.365,18.5555,0.)); #485305=CARTESIAN_POINT('Origin',(26.365,16.003944,0.)); #485306=CARTESIAN_POINT('',(26.365,16.003944,0.)); #485307=CARTESIAN_POINT('',(26.365,16.003944,0.)); #485308=CARTESIAN_POINT('',(26.365,16.003944,0.035)); #485309=CARTESIAN_POINT('',(26.365,16.003944,0.035)); #485310=CARTESIAN_POINT('',(26.365,16.003944,0.)); #485311=CARTESIAN_POINT('Origin',(29.991944,12.377,0.)); #485312=CARTESIAN_POINT('',(29.991944,12.377,0.)); #485313=CARTESIAN_POINT('',(29.991944,12.377,0.)); #485314=CARTESIAN_POINT('',(29.991944,12.377,0.035)); #485315=CARTESIAN_POINT('',(29.991944,12.377,0.035)); #485316=CARTESIAN_POINT('',(29.991944,12.377,0.)); #485317=CARTESIAN_POINT('Origin',(33.818572,12.377,0.)); #485318=CARTESIAN_POINT('',(33.818572,12.377,0.)); #485319=CARTESIAN_POINT('',(33.818572,12.377,0.)); #485320=CARTESIAN_POINT('',(33.818572,12.377,0.035)); #485321=CARTESIAN_POINT('',(33.818572,12.377,0.035)); #485322=CARTESIAN_POINT('',(33.818572,12.377,0.)); #485323=CARTESIAN_POINT('Origin',(34.0632991253016,12.2506246242954,0.)); #485324=CARTESIAN_POINT('',(33.998103,12.518228,0.)); #485325=CARTESIAN_POINT('Origin',(34.0632991253016,12.2506246242954,0.)); #485326=CARTESIAN_POINT('',(33.998103,12.518228,0.035)); #485327=CARTESIAN_POINT('Origin',(34.0632991253016,12.2506246242954,0.035)); #485328=CARTESIAN_POINT('',(33.998103,12.518228,0.)); #485329=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #485330=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #485331=CARTESIAN_POINT('Origin',(34.0625,12.25,0.035)); #485332=CARTESIAN_POINT('Origin',(28.8943857389958,14.6382693906665,0.035)); #485333=CARTESIAN_POINT('Origin',(28.8943857389958,14.6382693906665,0.)); #485334=CARTESIAN_POINT('Origin',(31.5,25.25,-200.)); #485335=CARTESIAN_POINT('',(31.035,25.25,0.)); #485336=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #485337=CARTESIAN_POINT('',(31.035,25.25,0.0349999999999895)); #485338=CARTESIAN_POINT('',(31.035,25.25,-200.)); #485339=CARTESIAN_POINT('Origin',(31.5,25.25,0.0349999999999895)); #485340=CARTESIAN_POINT('Origin',(28.1811,22.5555,0.)); #485341=CARTESIAN_POINT('',(28.1811,22.5555,0.)); #485342=CARTESIAN_POINT('',(28.1811,22.873,0.)); #485343=CARTESIAN_POINT('',(28.1811,22.5555,0.)); #485344=CARTESIAN_POINT('',(28.1811,22.873,0.035)); #485345=CARTESIAN_POINT('',(28.1811,22.873,0.)); #485346=CARTESIAN_POINT('',(28.1811,22.5555,0.035)); #485347=CARTESIAN_POINT('',(28.1811,22.5555,0.035)); #485348=CARTESIAN_POINT('',(28.1811,22.5555,0.)); #485349=CARTESIAN_POINT('Origin',(27.3429,22.5555,0.)); #485350=CARTESIAN_POINT('',(27.3429,22.5555,0.)); #485351=CARTESIAN_POINT('',(27.3429,22.5555,0.)); #485352=CARTESIAN_POINT('',(27.3429,22.5555,0.035)); #485353=CARTESIAN_POINT('',(27.3429,22.5555,0.035)); #485354=CARTESIAN_POINT('',(27.3429,22.5555,0.)); #485355=CARTESIAN_POINT('Origin',(27.3429,23.4445,0.)); #485356=CARTESIAN_POINT('',(27.3429,23.4445,0.)); #485357=CARTESIAN_POINT('',(27.3429,23.4445,0.)); #485358=CARTESIAN_POINT('',(27.3429,23.4445,0.035)); #485359=CARTESIAN_POINT('',(27.3429,23.4445,0.035)); #485360=CARTESIAN_POINT('',(27.3429,23.4445,0.)); #485361=CARTESIAN_POINT('Origin',(28.1811,23.4445,0.)); #485362=CARTESIAN_POINT('',(28.1811,23.4445,0.)); #485363=CARTESIAN_POINT('',(28.1811,23.4445,0.)); #485364=CARTESIAN_POINT('',(28.1811,23.4445,0.035)); #485365=CARTESIAN_POINT('',(28.1811,23.4445,0.035)); #485366=CARTESIAN_POINT('',(28.1811,23.4445,0.)); #485367=CARTESIAN_POINT('Origin',(28.1811,23.127,0.)); #485368=CARTESIAN_POINT('',(28.1811,23.127,0.)); #485369=CARTESIAN_POINT('',(28.1811,23.127,0.)); #485370=CARTESIAN_POINT('',(28.1811,23.127,0.035)); #485371=CARTESIAN_POINT('',(28.1811,23.127,0.035)); #485372=CARTESIAN_POINT('',(28.1811,23.127,0.)); #485373=CARTESIAN_POINT('Origin',(30.447394,23.127,0.)); #485374=CARTESIAN_POINT('',(30.447394,23.127,0.)); #485375=CARTESIAN_POINT('',(30.447394,23.127,0.)); #485376=CARTESIAN_POINT('',(30.447394,23.127,0.035)); #485377=CARTESIAN_POINT('',(30.447394,23.127,0.035)); #485378=CARTESIAN_POINT('',(30.447394,23.127,0.)); #485379=CARTESIAN_POINT('Origin',(31.373,24.052606,0.)); #485380=CARTESIAN_POINT('',(31.373,24.052606,0.)); #485381=CARTESIAN_POINT('',(31.373,24.052606,0.)); #485382=CARTESIAN_POINT('',(31.373,24.052606,0.035)); #485383=CARTESIAN_POINT('',(31.373,24.052606,0.035)); #485384=CARTESIAN_POINT('',(31.373,24.052606,0.)); #485385=CARTESIAN_POINT('Origin',(31.373,24.510569,0.)); #485386=CARTESIAN_POINT('',(31.373,24.510569,0.)); #485387=CARTESIAN_POINT('',(31.373,24.510569,0.)); #485388=CARTESIAN_POINT('',(31.373,24.510569,0.035)); #485389=CARTESIAN_POINT('',(31.373,24.510569,0.035)); #485390=CARTESIAN_POINT('',(31.373,24.510569,0.)); #485391=CARTESIAN_POINT('Origin',(31.5000038341175,25.2503277801282,0.)); #485392=CARTESIAN_POINT('',(31.389819,25.992778,0.)); #485393=CARTESIAN_POINT('Origin',(31.5000038341175,25.2503277801282,0.)); #485394=CARTESIAN_POINT('',(31.389819,25.992778,0.035)); #485395=CARTESIAN_POINT('Origin',(31.5000038341175,25.2503277801282,0.035)); #485396=CARTESIAN_POINT('',(31.389819,25.992778,0.)); #485397=CARTESIAN_POINT('Origin',(31.4999489832548,25.250319660007,0.)); #485398=CARTESIAN_POINT('',(31.627,24.510569,0.)); #485399=CARTESIAN_POINT('Origin',(31.4999489832548,25.250319660007,0.)); #485400=CARTESIAN_POINT('',(31.627,24.510569,0.035)); #485401=CARTESIAN_POINT('Origin',(31.4999489832548,25.250319660007,0.035)); #485402=CARTESIAN_POINT('',(31.627,24.510569,0.)); #485403=CARTESIAN_POINT('Origin',(31.627,24.,0.)); #485404=CARTESIAN_POINT('',(31.627,24.,0.)); #485405=CARTESIAN_POINT('',(31.627,24.,0.)); #485406=CARTESIAN_POINT('',(31.627,24.,0.035)); #485407=CARTESIAN_POINT('',(31.627,24.,0.035)); #485408=CARTESIAN_POINT('',(31.627,24.,0.)); #485409=CARTESIAN_POINT('Origin',(31.4999996912748,23.9999992502996,0.)); #485410=CARTESIAN_POINT('',(31.589803,23.910197,0.)); #485411=CARTESIAN_POINT('Origin',(31.4999996912748,23.9999992502996,0.)); #485412=CARTESIAN_POINT('',(31.589803,23.910197,0.035)); #485413=CARTESIAN_POINT('Origin',(31.4999996912748,23.9999992502996,0.035)); #485414=CARTESIAN_POINT('',(31.589803,23.910197,0.)); #485415=CARTESIAN_POINT('Origin',(30.589803,22.910197,0.)); #485416=CARTESIAN_POINT('',(30.589803,22.910197,0.)); #485417=CARTESIAN_POINT('',(30.589803,22.910197,0.)); #485418=CARTESIAN_POINT('',(30.589803,22.910197,0.035)); #485419=CARTESIAN_POINT('',(30.589803,22.910197,0.035)); #485420=CARTESIAN_POINT('',(30.589803,22.910197,0.)); #485421=CARTESIAN_POINT('Origin',(30.5000007497004,23.0000003087252,0.)); #485422=CARTESIAN_POINT('',(30.5,22.873,0.)); #485423=CARTESIAN_POINT('Origin',(30.5000007497004,23.0000003087252,0.)); #485424=CARTESIAN_POINT('',(30.5,22.873,0.035)); #485425=CARTESIAN_POINT('Origin',(30.5000007497004,23.0000003087252,0.035)); #485426=CARTESIAN_POINT('',(30.5,22.873,0.)); #485427=CARTESIAN_POINT('Origin',(28.1811,22.873,0.)); #485428=CARTESIAN_POINT('',(28.1811,22.873,0.)); #485429=CARTESIAN_POINT('',(28.1811,22.873,0.035)); #485430=CARTESIAN_POINT('Origin',(30.0448539443509,23.7973651612762,0.035)); #485431=CARTESIAN_POINT('Origin',(30.0448539443509,23.7973651612762,0.)); #485432=CARTESIAN_POINT('Origin',(34.,25.25,-200.)); #485433=CARTESIAN_POINT('',(33.535,25.25,0.)); #485434=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #485435=CARTESIAN_POINT('',(33.535,25.25,0.0349999999999895)); #485436=CARTESIAN_POINT('',(33.535,25.25,-200.)); #485437=CARTESIAN_POINT('Origin',(34.,25.25,0.0349999999999895)); #485438=CARTESIAN_POINT('Origin',(34.036844,24.5,0.)); #485439=CARTESIAN_POINT('',(34.036844,24.5,0.)); #485440=CARTESIAN_POINT('',(34.110181,24.507222,0.)); #485441=CARTESIAN_POINT('',(34.036844,24.5,0.)); #485442=CARTESIAN_POINT('',(34.110181,24.507222,0.035)); #485443=CARTESIAN_POINT('',(34.110181,24.507222,0.)); #485444=CARTESIAN_POINT('',(34.036844,24.5,0.035)); #485445=CARTESIAN_POINT('',(34.036844,24.5,0.035)); #485446=CARTESIAN_POINT('',(34.036844,24.5,0.)); #485447=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,0.)); #485448=CARTESIAN_POINT('',(33.889819,25.992778,0.)); #485449=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,0.)); #485450=CARTESIAN_POINT('',(33.889819,25.992778,0.035)); #485451=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,0.035)); #485452=CARTESIAN_POINT('',(33.889819,25.992778,0.)); #485453=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #485454=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #485455=CARTESIAN_POINT('Origin',(34.,25.25,0.035)); #485456=CARTESIAN_POINT('Origin',(33.9983877860344,25.2486960162912,0.035)); #485457=CARTESIAN_POINT('Origin',(33.9983877860344,25.2486960162912,0.)); #485458=CARTESIAN_POINT('Origin',(34.,23.125,-200.)); #485459=CARTESIAN_POINT('',(33.86,23.125,0.)); #485460=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #485461=CARTESIAN_POINT('',(33.86,23.125,0.0349999999999895)); #485462=CARTESIAN_POINT('',(33.86,23.125,-200.)); #485463=CARTESIAN_POINT('Origin',(34.,23.125,0.0349999999999895)); #485464=CARTESIAN_POINT('Origin',(47.6698,17.5585,0.)); #485465=CARTESIAN_POINT('',(47.6698,17.5585,0.)); #485466=CARTESIAN_POINT('',(47.6698,19.2095,0.)); #485467=CARTESIAN_POINT('',(47.6698,17.5585,0.)); #485468=CARTESIAN_POINT('',(47.6698,19.2095,0.035)); #485469=CARTESIAN_POINT('',(47.6698,19.2095,0.)); #485470=CARTESIAN_POINT('',(47.6698,17.5585,0.035)); #485471=CARTESIAN_POINT('',(47.6698,17.5585,0.035)); #485472=CARTESIAN_POINT('',(47.6698,17.5585,0.)); #485473=CARTESIAN_POINT('Origin',(47.0602,17.5585,0.)); #485474=CARTESIAN_POINT('',(47.0602,17.5585,0.)); #485475=CARTESIAN_POINT('',(47.0602,17.5585,0.)); #485476=CARTESIAN_POINT('',(47.0602,17.5585,0.035)); #485477=CARTESIAN_POINT('',(47.0602,17.5585,0.035)); #485478=CARTESIAN_POINT('',(47.0602,17.5585,0.)); #485479=CARTESIAN_POINT('Origin',(47.0602,19.2095,0.)); #485480=CARTESIAN_POINT('',(47.0602,19.2095,0.)); #485481=CARTESIAN_POINT('',(47.0602,19.2095,0.)); #485482=CARTESIAN_POINT('',(47.0602,19.2095,0.035)); #485483=CARTESIAN_POINT('',(47.0602,19.2095,0.035)); #485484=CARTESIAN_POINT('',(47.0602,19.2095,0.)); #485485=CARTESIAN_POINT('Origin',(47.238,19.2095,0.)); #485486=CARTESIAN_POINT('',(47.238,19.2095,0.)); #485487=CARTESIAN_POINT('',(47.238,19.2095,0.)); #485488=CARTESIAN_POINT('',(47.238,19.2095,0.035)); #485489=CARTESIAN_POINT('',(47.238,19.2095,0.035)); #485490=CARTESIAN_POINT('',(47.238,19.2095,0.)); #485491=CARTESIAN_POINT('Origin',(47.238,20.957394,0.)); #485492=CARTESIAN_POINT('',(47.238,20.957394,0.)); #485493=CARTESIAN_POINT('',(47.238,20.957394,0.)); #485494=CARTESIAN_POINT('',(47.238,20.957394,0.035)); #485495=CARTESIAN_POINT('',(47.238,20.957394,0.035)); #485496=CARTESIAN_POINT('',(47.238,20.957394,0.)); #485497=CARTESIAN_POINT('Origin',(46.447394,21.748,0.)); #485498=CARTESIAN_POINT('',(46.447394,21.748,0.)); #485499=CARTESIAN_POINT('',(46.447394,21.748,0.)); #485500=CARTESIAN_POINT('',(46.447394,21.748,0.035)); #485501=CARTESIAN_POINT('',(46.447394,21.748,0.035)); #485502=CARTESIAN_POINT('',(46.447394,21.748,0.)); #485503=CARTESIAN_POINT('Origin',(37.5,21.748,0.)); #485504=CARTESIAN_POINT('',(37.5,21.748,0.)); #485505=CARTESIAN_POINT('',(37.5,21.748,0.)); #485506=CARTESIAN_POINT('',(37.5,21.748,0.035)); #485507=CARTESIAN_POINT('',(37.5,21.748,0.035)); #485508=CARTESIAN_POINT('',(37.5,21.748,0.)); #485509=CARTESIAN_POINT('Origin',(37.4999992502996,21.8750003087252,0.)); #485510=CARTESIAN_POINT('',(37.410197,21.785197,0.)); #485511=CARTESIAN_POINT('Origin',(37.4999992502996,21.8750003087252,0.)); #485512=CARTESIAN_POINT('',(37.410197,21.785197,0.035)); #485513=CARTESIAN_POINT('Origin',(37.4999992502996,21.8750003087252,0.035)); #485514=CARTESIAN_POINT('',(37.410197,21.785197,0.)); #485515=CARTESIAN_POINT('Origin',(36.197394,22.998,0.)); #485516=CARTESIAN_POINT('',(36.197394,22.998,0.)); #485517=CARTESIAN_POINT('',(36.197394,22.998,0.)); #485518=CARTESIAN_POINT('',(36.197394,22.998,0.035)); #485519=CARTESIAN_POINT('',(36.197394,22.998,0.035)); #485520=CARTESIAN_POINT('',(36.197394,22.998,0.)); #485521=CARTESIAN_POINT('Origin',(34.243928,22.998,0.)); #485522=CARTESIAN_POINT('',(34.243928,22.998,0.)); #485523=CARTESIAN_POINT('',(34.243928,22.998,0.)); #485524=CARTESIAN_POINT('',(34.243928,22.998,0.035)); #485525=CARTESIAN_POINT('',(34.243928,22.998,0.035)); #485526=CARTESIAN_POINT('',(34.243928,22.998,0.)); #485527=CARTESIAN_POINT('Origin',(33.9995362283063,23.1250204601791,0.)); #485528=CARTESIAN_POINT('',(33.745147,23.019438,0.)); #485529=CARTESIAN_POINT('Origin',(33.9995362283063,23.1250204601791,0.)); #485530=CARTESIAN_POINT('',(33.745147,23.019438,0.035)); #485531=CARTESIAN_POINT('Origin',(33.9995362283063,23.1250204601791,0.035)); #485532=CARTESIAN_POINT('',(33.745147,23.019438,0.)); #485533=CARTESIAN_POINT('Origin',(33.9996182367391,23.1248222285952,0.)); #485534=CARTESIAN_POINT('',(34.243928,23.252,0.)); #485535=CARTESIAN_POINT('Origin',(33.9996182367391,23.1248222285952,0.)); #485536=CARTESIAN_POINT('',(34.243928,23.252,0.035)); #485537=CARTESIAN_POINT('Origin',(33.9996182367391,23.1248222285952,0.035)); #485538=CARTESIAN_POINT('',(34.243928,23.252,0.)); #485539=CARTESIAN_POINT('Origin',(36.25,23.252,0.)); #485540=CARTESIAN_POINT('',(36.25,23.252,0.)); #485541=CARTESIAN_POINT('',(36.25,23.252,0.)); #485542=CARTESIAN_POINT('',(36.25,23.252,0.035)); #485543=CARTESIAN_POINT('',(36.25,23.252,0.035)); #485544=CARTESIAN_POINT('',(36.25,23.252,0.)); #485545=CARTESIAN_POINT('Origin',(36.2500007497004,23.1249996912748,0.)); #485546=CARTESIAN_POINT('',(36.339803,23.214803,0.)); #485547=CARTESIAN_POINT('Origin',(36.2500007497004,23.1249996912748,0.)); #485548=CARTESIAN_POINT('',(36.339803,23.214803,0.035)); #485549=CARTESIAN_POINT('Origin',(36.2500007497004,23.1249996912748,0.035)); #485550=CARTESIAN_POINT('',(36.339803,23.214803,0.)); #485551=CARTESIAN_POINT('Origin',(37.552606,22.002,0.)); #485552=CARTESIAN_POINT('',(37.552606,22.002,0.)); #485553=CARTESIAN_POINT('',(37.552606,22.002,0.)); #485554=CARTESIAN_POINT('',(37.552606,22.002,0.035)); #485555=CARTESIAN_POINT('',(37.552606,22.002,0.035)); #485556=CARTESIAN_POINT('',(37.552606,22.002,0.)); #485557=CARTESIAN_POINT('Origin',(46.5,22.002,0.)); #485558=CARTESIAN_POINT('',(46.5,22.002,0.)); #485559=CARTESIAN_POINT('',(46.5,22.002,0.)); #485560=CARTESIAN_POINT('',(46.5,22.002,0.035)); #485561=CARTESIAN_POINT('',(46.5,22.002,0.035)); #485562=CARTESIAN_POINT('',(46.5,22.002,0.)); #485563=CARTESIAN_POINT('Origin',(46.5000007497004,21.8749996912748,0.)); #485564=CARTESIAN_POINT('',(46.589803,21.964803,0.)); #485565=CARTESIAN_POINT('Origin',(46.5000007497004,21.8749996912748,0.)); #485566=CARTESIAN_POINT('',(46.589803,21.964803,0.035)); #485567=CARTESIAN_POINT('Origin',(46.5000007497004,21.8749996912748,0.035)); #485568=CARTESIAN_POINT('',(46.589803,21.964803,0.)); #485569=CARTESIAN_POINT('Origin',(47.454803,21.099803,0.)); #485570=CARTESIAN_POINT('',(47.454803,21.099803,0.)); #485571=CARTESIAN_POINT('',(47.454803,21.099803,0.)); #485572=CARTESIAN_POINT('',(47.454803,21.099803,0.035)); #485573=CARTESIAN_POINT('',(47.454803,21.099803,0.035)); #485574=CARTESIAN_POINT('',(47.454803,21.099803,0.)); #485575=CARTESIAN_POINT('Origin',(47.3649996912748,21.0100007497004,0.)); #485576=CARTESIAN_POINT('',(47.492,21.01,0.)); #485577=CARTESIAN_POINT('Origin',(47.3649996912748,21.0100007497004,0.)); #485578=CARTESIAN_POINT('',(47.492,21.01,0.035)); #485579=CARTESIAN_POINT('Origin',(47.3649996912748,21.0100007497004,0.035)); #485580=CARTESIAN_POINT('',(47.492,21.01,0.)); #485581=CARTESIAN_POINT('Origin',(47.492,19.2095,0.)); #485582=CARTESIAN_POINT('',(47.492,19.2095,0.)); #485583=CARTESIAN_POINT('',(47.492,19.2095,0.)); #485584=CARTESIAN_POINT('',(47.492,19.2095,0.035)); #485585=CARTESIAN_POINT('',(47.492,19.2095,0.035)); #485586=CARTESIAN_POINT('',(47.492,19.2095,0.)); #485587=CARTESIAN_POINT('Origin',(47.6698,19.2095,0.)); #485588=CARTESIAN_POINT('',(47.6698,19.2095,0.)); #485589=CARTESIAN_POINT('',(47.6698,19.2095,0.035)); #485590=CARTESIAN_POINT('Origin',(41.9278683542559,21.5147809053963,0.035)); #485591=CARTESIAN_POINT('Origin',(41.9278683542559,21.5147809053963,0.)); #485592=CARTESIAN_POINT('Origin',(36.5,25.25,-200.)); #485593=CARTESIAN_POINT('',(36.035,25.25,0.)); #485594=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #485595=CARTESIAN_POINT('',(36.035,25.25,0.0349999999999895)); #485596=CARTESIAN_POINT('',(36.035,25.25,-200.)); #485597=CARTESIAN_POINT('Origin',(36.5,25.25,0.0349999999999895)); #485598=CARTESIAN_POINT('Origin',(40.6571,22.5555,0.)); #485599=CARTESIAN_POINT('',(40.6571,22.5555,0.)); #485600=CARTESIAN_POINT('',(40.6571,23.4445,0.)); #485601=CARTESIAN_POINT('',(40.6571,22.5555,0.)); #485602=CARTESIAN_POINT('',(40.6571,23.4445,0.035)); #485603=CARTESIAN_POINT('',(40.6571,23.4445,0.)); #485604=CARTESIAN_POINT('',(40.6571,22.5555,0.035)); #485605=CARTESIAN_POINT('',(40.6571,22.5555,0.035)); #485606=CARTESIAN_POINT('',(40.6571,22.5555,0.)); #485607=CARTESIAN_POINT('Origin',(39.8189,22.5555,0.)); #485608=CARTESIAN_POINT('',(39.8189,22.5555,0.)); #485609=CARTESIAN_POINT('',(39.8189,22.5555,0.)); #485610=CARTESIAN_POINT('',(39.8189,22.5555,0.035)); #485611=CARTESIAN_POINT('',(39.8189,22.5555,0.035)); #485612=CARTESIAN_POINT('',(39.8189,22.5555,0.)); #485613=CARTESIAN_POINT('Origin',(39.8189,22.873,0.)); #485614=CARTESIAN_POINT('',(39.8189,22.873,0.)); #485615=CARTESIAN_POINT('',(39.8189,22.873,0.)); #485616=CARTESIAN_POINT('',(39.8189,22.873,0.035)); #485617=CARTESIAN_POINT('',(39.8189,22.873,0.035)); #485618=CARTESIAN_POINT('',(39.8189,22.873,0.)); #485619=CARTESIAN_POINT('Origin',(37.5,22.873,0.)); #485620=CARTESIAN_POINT('',(37.5,22.873,0.)); #485621=CARTESIAN_POINT('',(37.5,22.873,0.)); #485622=CARTESIAN_POINT('',(37.5,22.873,0.035)); #485623=CARTESIAN_POINT('',(37.5,22.873,0.035)); #485624=CARTESIAN_POINT('',(37.5,22.873,0.)); #485625=CARTESIAN_POINT('Origin',(37.4999992502996,23.0000003087252,0.)); #485626=CARTESIAN_POINT('',(37.410197,22.910197,0.)); #485627=CARTESIAN_POINT('Origin',(37.4999992502996,23.0000003087252,0.)); #485628=CARTESIAN_POINT('',(37.410197,22.910197,0.035)); #485629=CARTESIAN_POINT('Origin',(37.4999992502996,23.0000003087252,0.035)); #485630=CARTESIAN_POINT('',(37.410197,22.910197,0.)); #485631=CARTESIAN_POINT('Origin',(36.410197,23.910197,0.)); #485632=CARTESIAN_POINT('',(36.410197,23.910197,0.)); #485633=CARTESIAN_POINT('',(36.410197,23.910197,0.)); #485634=CARTESIAN_POINT('',(36.410197,23.910197,0.035)); #485635=CARTESIAN_POINT('',(36.410197,23.910197,0.035)); #485636=CARTESIAN_POINT('',(36.410197,23.910197,0.)); #485637=CARTESIAN_POINT('Origin',(36.5000003087252,23.9999992502996,0.)); #485638=CARTESIAN_POINT('',(36.373,24.,0.)); #485639=CARTESIAN_POINT('Origin',(36.5000003087252,23.9999992502996,0.)); #485640=CARTESIAN_POINT('',(36.373,24.,0.035)); #485641=CARTESIAN_POINT('Origin',(36.5000003087252,23.9999992502996,0.035)); #485642=CARTESIAN_POINT('',(36.373,24.,0.)); #485643=CARTESIAN_POINT('Origin',(36.373,24.510569,0.)); #485644=CARTESIAN_POINT('',(36.373,24.510569,0.)); #485645=CARTESIAN_POINT('',(36.373,24.510569,0.)); #485646=CARTESIAN_POINT('',(36.373,24.510569,0.035)); #485647=CARTESIAN_POINT('',(36.373,24.510569,0.035)); #485648=CARTESIAN_POINT('',(36.373,24.510569,0.)); #485649=CARTESIAN_POINT('Origin',(36.5000038341175,25.2503277801282,0.)); #485650=CARTESIAN_POINT('',(36.389819,25.992778,0.)); #485651=CARTESIAN_POINT('Origin',(36.5000038341175,25.2503277801282,0.)); #485652=CARTESIAN_POINT('',(36.389819,25.992778,0.035)); #485653=CARTESIAN_POINT('Origin',(36.5000038341175,25.2503277801282,0.035)); #485654=CARTESIAN_POINT('',(36.389819,25.992778,0.)); #485655=CARTESIAN_POINT('Origin',(36.4999489832548,25.250319660007,0.)); #485656=CARTESIAN_POINT('',(36.627,24.510569,0.)); #485657=CARTESIAN_POINT('Origin',(36.4999489832548,25.250319660007,0.)); #485658=CARTESIAN_POINT('',(36.627,24.510569,0.035)); #485659=CARTESIAN_POINT('Origin',(36.4999489832548,25.250319660007,0.035)); #485660=CARTESIAN_POINT('',(36.627,24.510569,0.)); #485661=CARTESIAN_POINT('Origin',(36.627,24.052606,0.)); #485662=CARTESIAN_POINT('',(36.627,24.052606,0.)); #485663=CARTESIAN_POINT('',(36.627,24.052606,0.)); #485664=CARTESIAN_POINT('',(36.627,24.052606,0.035)); #485665=CARTESIAN_POINT('',(36.627,24.052606,0.035)); #485666=CARTESIAN_POINT('',(36.627,24.052606,0.)); #485667=CARTESIAN_POINT('Origin',(37.552606,23.127,0.)); #485668=CARTESIAN_POINT('',(37.552606,23.127,0.)); #485669=CARTESIAN_POINT('',(37.552606,23.127,0.)); #485670=CARTESIAN_POINT('',(37.552606,23.127,0.035)); #485671=CARTESIAN_POINT('',(37.552606,23.127,0.035)); #485672=CARTESIAN_POINT('',(37.552606,23.127,0.)); #485673=CARTESIAN_POINT('Origin',(39.8189,23.127,0.)); #485674=CARTESIAN_POINT('',(39.8189,23.127,0.)); #485675=CARTESIAN_POINT('',(39.8189,23.127,0.)); #485676=CARTESIAN_POINT('',(39.8189,23.127,0.035)); #485677=CARTESIAN_POINT('',(39.8189,23.127,0.035)); #485678=CARTESIAN_POINT('',(39.8189,23.127,0.)); #485679=CARTESIAN_POINT('Origin',(39.8189,23.4445,0.)); #485680=CARTESIAN_POINT('',(39.8189,23.4445,0.)); #485681=CARTESIAN_POINT('',(39.8189,23.4445,0.)); #485682=CARTESIAN_POINT('',(39.8189,23.4445,0.035)); #485683=CARTESIAN_POINT('',(39.8189,23.4445,0.035)); #485684=CARTESIAN_POINT('',(39.8189,23.4445,0.)); #485685=CARTESIAN_POINT('Origin',(40.6571,23.4445,0.)); #485686=CARTESIAN_POINT('',(40.6571,23.4445,0.)); #485687=CARTESIAN_POINT('',(40.6571,23.4445,0.035)); #485688=CARTESIAN_POINT('Origin',(37.9523395706894,23.7973651612762,0.035)); #485689=CARTESIAN_POINT('Origin',(37.9523395706894,23.7973651612762,0.)); #485690=CARTESIAN_POINT('Origin',(48.9398,22.7905,0.)); #485691=CARTESIAN_POINT('',(48.9398,22.7905,0.)); #485692=CARTESIAN_POINT('',(48.9398,24.4415,0.)); #485693=CARTESIAN_POINT('',(48.9398,22.7905,0.)); #485694=CARTESIAN_POINT('',(48.9398,24.4415,0.035)); #485695=CARTESIAN_POINT('',(48.9398,24.4415,0.)); #485696=CARTESIAN_POINT('',(48.9398,22.7905,0.035)); #485697=CARTESIAN_POINT('',(48.9398,22.7905,0.035)); #485698=CARTESIAN_POINT('',(48.9398,22.7905,0.)); #485699=CARTESIAN_POINT('Origin',(48.3302,22.7905,0.)); #485700=CARTESIAN_POINT('',(48.3302,22.7905,0.)); #485701=CARTESIAN_POINT('',(48.3302,22.7905,0.)); #485702=CARTESIAN_POINT('',(48.3302,22.7905,0.035)); #485703=CARTESIAN_POINT('',(48.3302,22.7905,0.035)); #485704=CARTESIAN_POINT('',(48.3302,22.7905,0.)); #485705=CARTESIAN_POINT('Origin',(48.3302,24.4415,0.)); #485706=CARTESIAN_POINT('',(48.3302,24.4415,0.)); #485707=CARTESIAN_POINT('',(48.3302,24.4415,0.)); #485708=CARTESIAN_POINT('',(48.3302,24.4415,0.035)); #485709=CARTESIAN_POINT('',(48.3302,24.4415,0.035)); #485710=CARTESIAN_POINT('',(48.3302,24.4415,0.)); #485711=CARTESIAN_POINT('Origin',(48.508,24.4415,0.)); #485712=CARTESIAN_POINT('',(48.508,24.4415,0.)); #485713=CARTESIAN_POINT('',(48.508,24.4415,0.)); #485714=CARTESIAN_POINT('',(48.508,24.4415,0.035)); #485715=CARTESIAN_POINT('',(48.508,24.4415,0.035)); #485716=CARTESIAN_POINT('',(48.508,24.4415,0.)); #485717=CARTESIAN_POINT('Origin',(48.508,25.623,0.)); #485718=CARTESIAN_POINT('',(48.508,25.623,0.)); #485719=CARTESIAN_POINT('',(48.508,25.623,0.)); #485720=CARTESIAN_POINT('',(48.508,25.623,0.035)); #485721=CARTESIAN_POINT('',(48.508,25.623,0.035)); #485722=CARTESIAN_POINT('',(48.508,25.623,0.)); #485723=CARTESIAN_POINT('Origin',(48.6350003087252,25.6230007497004,0.)); #485724=CARTESIAN_POINT('',(48.545197,25.712803,0.)); #485725=CARTESIAN_POINT('Origin',(48.6350003087252,25.6230007497004,0.)); #485726=CARTESIAN_POINT('',(48.545197,25.712803,0.035)); #485727=CARTESIAN_POINT('Origin',(48.6350003087252,25.6230007497004,0.035)); #485728=CARTESIAN_POINT('',(48.545197,25.712803,0.)); #485729=CARTESIAN_POINT('Origin',(48.8055,25.973106,0.)); #485730=CARTESIAN_POINT('',(48.8055,25.973106,0.)); #485731=CARTESIAN_POINT('',(48.8055,25.973106,0.)); #485732=CARTESIAN_POINT('',(48.8055,25.973106,0.035)); #485733=CARTESIAN_POINT('',(48.8055,25.973106,0.035)); #485734=CARTESIAN_POINT('',(48.8055,25.973106,0.)); #485735=CARTESIAN_POINT('Origin',(48.8055,26.6571,0.)); #485736=CARTESIAN_POINT('',(48.8055,26.6571,0.)); #485737=CARTESIAN_POINT('',(48.8055,26.6571,0.)); #485738=CARTESIAN_POINT('',(48.8055,26.6571,0.035)); #485739=CARTESIAN_POINT('',(48.8055,26.6571,0.035)); #485740=CARTESIAN_POINT('',(48.8055,26.6571,0.)); #485741=CARTESIAN_POINT('Origin',(49.6945,26.6571,0.)); #485742=CARTESIAN_POINT('',(49.6945,26.6571,0.)); #485743=CARTESIAN_POINT('',(49.6945,26.6571,0.)); #485744=CARTESIAN_POINT('',(49.6945,26.6571,0.035)); #485745=CARTESIAN_POINT('',(49.6945,26.6571,0.035)); #485746=CARTESIAN_POINT('',(49.6945,26.6571,0.)); #485747=CARTESIAN_POINT('Origin',(49.6945,25.8189,0.)); #485748=CARTESIAN_POINT('',(49.6945,25.8189,0.)); #485749=CARTESIAN_POINT('',(49.6945,25.8189,0.)); #485750=CARTESIAN_POINT('',(49.6945,25.8189,0.035)); #485751=CARTESIAN_POINT('',(49.6945,25.8189,0.035)); #485752=CARTESIAN_POINT('',(49.6945,25.8189,0.)); #485753=CARTESIAN_POINT('Origin',(49.010506,25.8189,0.)); #485754=CARTESIAN_POINT('',(49.010506,25.8189,0.)); #485755=CARTESIAN_POINT('',(49.010506,25.8189,0.)); #485756=CARTESIAN_POINT('',(49.010506,25.8189,0.035)); #485757=CARTESIAN_POINT('',(49.010506,25.8189,0.035)); #485758=CARTESIAN_POINT('',(49.010506,25.8189,0.)); #485759=CARTESIAN_POINT('Origin',(48.762,25.570394,0.)); #485760=CARTESIAN_POINT('',(48.762,25.570394,0.)); #485761=CARTESIAN_POINT('',(48.762,25.570394,0.)); #485762=CARTESIAN_POINT('',(48.762,25.570394,0.035)); #485763=CARTESIAN_POINT('',(48.762,25.570394,0.035)); #485764=CARTESIAN_POINT('',(48.762,25.570394,0.)); #485765=CARTESIAN_POINT('Origin',(48.762,24.4415,0.)); #485766=CARTESIAN_POINT('',(48.762,24.4415,0.)); #485767=CARTESIAN_POINT('',(48.762,24.4415,0.)); #485768=CARTESIAN_POINT('',(48.762,24.4415,0.035)); #485769=CARTESIAN_POINT('',(48.762,24.4415,0.035)); #485770=CARTESIAN_POINT('',(48.762,24.4415,0.)); #485771=CARTESIAN_POINT('Origin',(48.9398,24.4415,0.)); #485772=CARTESIAN_POINT('',(48.9398,24.4415,0.)); #485773=CARTESIAN_POINT('',(48.9398,24.4415,0.035)); #485774=CARTESIAN_POINT('Origin',(48.8377549446558,24.8590475646484,0.035)); #485775=CARTESIAN_POINT('Origin',(48.8377549446558,24.8590475646484,0.)); #485776=CARTESIAN_POINT('Origin',(47.6698,22.7905,0.)); #485777=CARTESIAN_POINT('',(47.6698,22.7905,0.)); #485778=CARTESIAN_POINT('',(47.6698,24.4415,0.)); #485779=CARTESIAN_POINT('',(47.6698,22.7905,0.)); #485780=CARTESIAN_POINT('',(47.6698,24.4415,0.035)); #485781=CARTESIAN_POINT('',(47.6698,24.4415,0.)); #485782=CARTESIAN_POINT('',(47.6698,22.7905,0.035)); #485783=CARTESIAN_POINT('',(47.6698,22.7905,0.035)); #485784=CARTESIAN_POINT('',(47.6698,22.7905,0.)); #485785=CARTESIAN_POINT('Origin',(47.0602,22.7905,0.)); #485786=CARTESIAN_POINT('',(47.0602,22.7905,0.)); #485787=CARTESIAN_POINT('',(47.0602,22.7905,0.)); #485788=CARTESIAN_POINT('',(47.0602,22.7905,0.035)); #485789=CARTESIAN_POINT('',(47.0602,22.7905,0.035)); #485790=CARTESIAN_POINT('',(47.0602,22.7905,0.)); #485791=CARTESIAN_POINT('Origin',(47.0602,24.4415,0.)); #485792=CARTESIAN_POINT('',(47.0602,24.4415,0.)); #485793=CARTESIAN_POINT('',(47.0602,24.4415,0.)); #485794=CARTESIAN_POINT('',(47.0602,24.4415,0.035)); #485795=CARTESIAN_POINT('',(47.0602,24.4415,0.035)); #485796=CARTESIAN_POINT('',(47.0602,24.4415,0.)); #485797=CARTESIAN_POINT('Origin',(47.238,24.4415,0.)); #485798=CARTESIAN_POINT('',(47.238,24.4415,0.)); #485799=CARTESIAN_POINT('',(47.238,24.4415,0.)); #485800=CARTESIAN_POINT('',(47.238,24.4415,0.035)); #485801=CARTESIAN_POINT('',(47.238,24.4415,0.035)); #485802=CARTESIAN_POINT('',(47.238,24.4415,0.)); #485803=CARTESIAN_POINT('Origin',(47.238,25.570394,0.)); #485804=CARTESIAN_POINT('',(47.238,25.570394,0.)); #485805=CARTESIAN_POINT('',(47.238,25.570394,0.)); #485806=CARTESIAN_POINT('',(47.238,25.570394,0.035)); #485807=CARTESIAN_POINT('',(47.238,25.570394,0.035)); #485808=CARTESIAN_POINT('',(47.238,25.570394,0.)); #485809=CARTESIAN_POINT('Origin',(46.989494,25.8189,0.)); #485810=CARTESIAN_POINT('',(46.989494,25.8189,0.)); #485811=CARTESIAN_POINT('',(46.989494,25.8189,0.)); #485812=CARTESIAN_POINT('',(46.989494,25.8189,0.035)); #485813=CARTESIAN_POINT('',(46.989494,25.8189,0.035)); #485814=CARTESIAN_POINT('',(46.989494,25.8189,0.)); #485815=CARTESIAN_POINT('Origin',(46.3055,25.8189,0.)); #485816=CARTESIAN_POINT('',(46.3055,25.8189,0.)); #485817=CARTESIAN_POINT('',(46.3055,25.8189,0.)); #485818=CARTESIAN_POINT('',(46.3055,25.8189,0.035)); #485819=CARTESIAN_POINT('',(46.3055,25.8189,0.035)); #485820=CARTESIAN_POINT('',(46.3055,25.8189,0.)); #485821=CARTESIAN_POINT('Origin',(46.3055,26.6571,0.)); #485822=CARTESIAN_POINT('',(46.3055,26.6571,0.)); #485823=CARTESIAN_POINT('',(46.3055,26.6571,0.)); #485824=CARTESIAN_POINT('',(46.3055,26.6571,0.035)); #485825=CARTESIAN_POINT('',(46.3055,26.6571,0.035)); #485826=CARTESIAN_POINT('',(46.3055,26.6571,0.)); #485827=CARTESIAN_POINT('Origin',(47.1945,26.6571,0.)); #485828=CARTESIAN_POINT('',(47.1945,26.6571,0.)); #485829=CARTESIAN_POINT('',(47.1945,26.6571,0.)); #485830=CARTESIAN_POINT('',(47.1945,26.6571,0.035)); #485831=CARTESIAN_POINT('',(47.1945,26.6571,0.035)); #485832=CARTESIAN_POINT('',(47.1945,26.6571,0.)); #485833=CARTESIAN_POINT('Origin',(47.1945,25.973106,0.)); #485834=CARTESIAN_POINT('',(47.1945,25.973106,0.)); #485835=CARTESIAN_POINT('',(47.1945,25.973106,0.)); #485836=CARTESIAN_POINT('',(47.1945,25.973106,0.035)); #485837=CARTESIAN_POINT('',(47.1945,25.973106,0.035)); #485838=CARTESIAN_POINT('',(47.1945,25.973106,0.)); #485839=CARTESIAN_POINT('Origin',(47.454803,25.712803,0.)); #485840=CARTESIAN_POINT('',(47.454803,25.712803,0.)); #485841=CARTESIAN_POINT('',(47.454803,25.712803,0.)); #485842=CARTESIAN_POINT('',(47.454803,25.712803,0.035)); #485843=CARTESIAN_POINT('',(47.454803,25.712803,0.035)); #485844=CARTESIAN_POINT('',(47.454803,25.712803,0.)); #485845=CARTESIAN_POINT('Origin',(47.3649996912748,25.6230007497004,0.)); #485846=CARTESIAN_POINT('',(47.492,25.623,0.)); #485847=CARTESIAN_POINT('Origin',(47.3649996912748,25.6230007497004,0.)); #485848=CARTESIAN_POINT('',(47.492,25.623,0.035)); #485849=CARTESIAN_POINT('Origin',(47.3649996912748,25.6230007497004,0.035)); #485850=CARTESIAN_POINT('',(47.492,25.623,0.)); #485851=CARTESIAN_POINT('Origin',(47.492,24.4415,0.)); #485852=CARTESIAN_POINT('',(47.492,24.4415,0.)); #485853=CARTESIAN_POINT('',(47.492,24.4415,0.)); #485854=CARTESIAN_POINT('',(47.492,24.4415,0.035)); #485855=CARTESIAN_POINT('',(47.492,24.4415,0.035)); #485856=CARTESIAN_POINT('',(47.492,24.4415,0.)); #485857=CARTESIAN_POINT('Origin',(47.6698,24.4415,0.)); #485858=CARTESIAN_POINT('',(47.6698,24.4415,0.)); #485859=CARTESIAN_POINT('',(47.6698,24.4415,0.035)); #485860=CARTESIAN_POINT('Origin',(47.1622450553442,24.8590475646484,0.035)); #485861=CARTESIAN_POINT('Origin',(47.1622450553442,24.8590475646484,0.)); #485862=CARTESIAN_POINT('Origin',(30.19,45.,-200.)); #485863=CARTESIAN_POINT('',(29.625,45.,0.)); #485864=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #485865=CARTESIAN_POINT('',(29.625,45.,0.0349999999999895)); #485866=CARTESIAN_POINT('',(29.625,45.,-200.)); #485867=CARTESIAN_POINT('Origin',(30.19,45.,0.0349999999999895)); #485868=CARTESIAN_POINT('Origin',(36.9255,26.5605,0.)); #485869=CARTESIAN_POINT('',(36.9255,26.5605,0.)); #485870=CARTESIAN_POINT('',(36.9309,26.561103,0.)); #485871=CARTESIAN_POINT('',(36.9255,26.5605,0.)); #485872=CARTESIAN_POINT('',(36.9309,26.561103,0.035)); #485873=CARTESIAN_POINT('',(36.9309,26.561103,0.)); #485874=CARTESIAN_POINT('',(36.9255,26.5605,0.035)); #485875=CARTESIAN_POINT('',(36.9255,26.5605,0.035)); #485876=CARTESIAN_POINT('',(36.9255,26.5605,0.)); #485877=CARTESIAN_POINT('Origin',(35.0625,26.5605,0.)); #485878=CARTESIAN_POINT('',(35.0625,26.5605,0.)); #485879=CARTESIAN_POINT('',(35.0625,26.5605,0.)); #485880=CARTESIAN_POINT('',(35.0625,26.5605,0.035)); #485881=CARTESIAN_POINT('',(35.0625,26.5605,0.035)); #485882=CARTESIAN_POINT('',(35.0625,26.5605,0.)); #485883=CARTESIAN_POINT('Origin',(35.0624992502996,26.6875003087252,0.)); #485884=CARTESIAN_POINT('',(34.972697,26.597697,0.)); #485885=CARTESIAN_POINT('Origin',(35.0624992502996,26.6875003087252,0.)); #485886=CARTESIAN_POINT('',(34.972697,26.597697,0.035)); #485887=CARTESIAN_POINT('Origin',(35.0624992502996,26.6875003087252,0.035)); #485888=CARTESIAN_POINT('',(34.972697,26.597697,0.)); #485889=CARTESIAN_POINT('Origin',(33.910197,27.660197,0.)); #485890=CARTESIAN_POINT('',(33.910197,27.660197,0.)); #485891=CARTESIAN_POINT('',(33.910197,27.660197,0.)); #485892=CARTESIAN_POINT('',(33.910197,27.660197,0.035)); #485893=CARTESIAN_POINT('',(33.910197,27.660197,0.035)); #485894=CARTESIAN_POINT('',(33.910197,27.660197,0.)); #485895=CARTESIAN_POINT('Origin',(34.0000003087252,27.7499992502996,0.)); #485896=CARTESIAN_POINT('',(33.873,27.75,0.)); #485897=CARTESIAN_POINT('Origin',(34.0000003087252,27.7499992502996,0.)); #485898=CARTESIAN_POINT('',(33.873,27.75,0.035)); #485899=CARTESIAN_POINT('Origin',(34.0000003087252,27.7499992502996,0.035)); #485900=CARTESIAN_POINT('',(33.873,27.75,0.)); #485901=CARTESIAN_POINT('Origin',(33.873,34.697394,0.)); #485902=CARTESIAN_POINT('',(33.873,34.697394,0.)); #485903=CARTESIAN_POINT('',(33.873,34.697394,0.)); #485904=CARTESIAN_POINT('',(33.873,34.697394,0.035)); #485905=CARTESIAN_POINT('',(33.873,34.697394,0.035)); #485906=CARTESIAN_POINT('',(33.873,34.697394,0.)); #485907=CARTESIAN_POINT('Origin',(30.100197,38.470197,0.)); #485908=CARTESIAN_POINT('',(30.100197,38.470197,0.)); #485909=CARTESIAN_POINT('',(30.100197,38.470197,0.)); #485910=CARTESIAN_POINT('',(30.100197,38.470197,0.035)); #485911=CARTESIAN_POINT('',(30.100197,38.470197,0.035)); #485912=CARTESIAN_POINT('',(30.100197,38.470197,0.)); #485913=CARTESIAN_POINT('Origin',(30.1900003087252,38.5599992502996,0.)); #485914=CARTESIAN_POINT('',(30.063,38.56,0.)); #485915=CARTESIAN_POINT('Origin',(30.1900003087252,38.5599992502996,0.)); #485916=CARTESIAN_POINT('',(30.063,38.56,0.035)); #485917=CARTESIAN_POINT('Origin',(30.1900003087252,38.5599992502996,0.035)); #485918=CARTESIAN_POINT('',(30.063,38.56,0.)); #485919=CARTESIAN_POINT('Origin',(30.063,44.1,0.)); #485920=CARTESIAN_POINT('',(30.063,44.1,0.)); #485921=CARTESIAN_POINT('',(30.063,44.1,0.)); #485922=CARTESIAN_POINT('',(30.063,44.1,0.035)); #485923=CARTESIAN_POINT('',(30.063,44.1,0.035)); #485924=CARTESIAN_POINT('',(30.063,44.1,0.)); #485925=CARTESIAN_POINT('Origin',(29.29,44.1,0.)); #485926=CARTESIAN_POINT('',(29.29,44.1,0.)); #485927=CARTESIAN_POINT('',(29.29,44.1,0.)); #485928=CARTESIAN_POINT('',(29.29,44.1,0.035)); #485929=CARTESIAN_POINT('',(29.29,44.1,0.035)); #485930=CARTESIAN_POINT('',(29.29,44.1,0.)); #485931=CARTESIAN_POINT('Origin',(29.29,45.9,0.)); #485932=CARTESIAN_POINT('',(29.29,45.9,0.)); #485933=CARTESIAN_POINT('',(29.29,45.9,0.)); #485934=CARTESIAN_POINT('',(29.29,45.9,0.035)); #485935=CARTESIAN_POINT('',(29.29,45.9,0.035)); #485936=CARTESIAN_POINT('',(29.29,45.9,0.)); #485937=CARTESIAN_POINT('Origin',(31.09,45.9,0.)); #485938=CARTESIAN_POINT('',(31.09,45.9,0.)); #485939=CARTESIAN_POINT('',(31.09,45.9,0.)); #485940=CARTESIAN_POINT('',(31.09,45.9,0.035)); #485941=CARTESIAN_POINT('',(31.09,45.9,0.035)); #485942=CARTESIAN_POINT('',(31.09,45.9,0.)); #485943=CARTESIAN_POINT('Origin',(31.09,44.1,0.)); #485944=CARTESIAN_POINT('',(31.09,44.1,0.)); #485945=CARTESIAN_POINT('',(31.09,44.1,0.)); #485946=CARTESIAN_POINT('',(31.09,44.1,0.035)); #485947=CARTESIAN_POINT('',(31.09,44.1,0.035)); #485948=CARTESIAN_POINT('',(31.09,44.1,0.)); #485949=CARTESIAN_POINT('Origin',(30.317,44.1,0.)); #485950=CARTESIAN_POINT('',(30.317,44.1,0.)); #485951=CARTESIAN_POINT('',(30.317,44.1,0.)); #485952=CARTESIAN_POINT('',(30.317,44.1,0.035)); #485953=CARTESIAN_POINT('',(30.317,44.1,0.035)); #485954=CARTESIAN_POINT('',(30.317,44.1,0.)); #485955=CARTESIAN_POINT('Origin',(30.317,38.612606,0.)); #485956=CARTESIAN_POINT('',(30.317,38.612606,0.)); #485957=CARTESIAN_POINT('',(30.317,38.612606,0.)); #485958=CARTESIAN_POINT('',(30.317,38.612606,0.035)); #485959=CARTESIAN_POINT('',(30.317,38.612606,0.035)); #485960=CARTESIAN_POINT('',(30.317,38.612606,0.)); #485961=CARTESIAN_POINT('Origin',(34.089803,34.839803,0.)); #485962=CARTESIAN_POINT('',(34.089803,34.839803,0.)); #485963=CARTESIAN_POINT('',(34.089803,34.839803,0.)); #485964=CARTESIAN_POINT('',(34.089803,34.839803,0.035)); #485965=CARTESIAN_POINT('',(34.089803,34.839803,0.035)); #485966=CARTESIAN_POINT('',(34.089803,34.839803,0.)); #485967=CARTESIAN_POINT('Origin',(33.9999996912748,34.7500007497004,0.)); #485968=CARTESIAN_POINT('',(34.127,34.75,0.)); #485969=CARTESIAN_POINT('Origin',(33.9999996912748,34.7500007497004,0.)); #485970=CARTESIAN_POINT('',(34.127,34.75,0.035)); #485971=CARTESIAN_POINT('Origin',(33.9999996912748,34.7500007497004,0.035)); #485972=CARTESIAN_POINT('',(34.127,34.75,0.)); #485973=CARTESIAN_POINT('Origin',(34.127,27.802606,0.)); #485974=CARTESIAN_POINT('',(34.127,27.802606,0.)); #485975=CARTESIAN_POINT('',(34.127,27.802606,0.)); #485976=CARTESIAN_POINT('',(34.127,27.802606,0.035)); #485977=CARTESIAN_POINT('',(34.127,27.802606,0.035)); #485978=CARTESIAN_POINT('',(34.127,27.802606,0.)); #485979=CARTESIAN_POINT('Origin',(35.115106,26.8145,0.)); #485980=CARTESIAN_POINT('',(35.115106,26.8145,0.)); #485981=CARTESIAN_POINT('',(35.115106,26.8145,0.)); #485982=CARTESIAN_POINT('',(35.115106,26.8145,0.035)); #485983=CARTESIAN_POINT('',(35.115106,26.8145,0.035)); #485984=CARTESIAN_POINT('',(35.115106,26.8145,0.)); #485985=CARTESIAN_POINT('Origin',(36.872894,26.8145,0.)); #485986=CARTESIAN_POINT('',(36.872894,26.8145,0.)); #485987=CARTESIAN_POINT('',(36.872894,26.8145,0.)); #485988=CARTESIAN_POINT('',(36.872894,26.8145,0.035)); #485989=CARTESIAN_POINT('',(36.872894,26.8145,0.035)); #485990=CARTESIAN_POINT('',(36.872894,26.8145,0.)); #485991=CARTESIAN_POINT('Origin',(37.910197,27.851803,0.)); #485992=CARTESIAN_POINT('',(37.910197,27.851803,0.)); #485993=CARTESIAN_POINT('',(37.910197,27.851803,0.)); #485994=CARTESIAN_POINT('',(37.910197,27.851803,0.035)); #485995=CARTESIAN_POINT('',(37.910197,27.851803,0.035)); #485996=CARTESIAN_POINT('',(37.910197,27.851803,0.)); #485997=CARTESIAN_POINT('Origin',(37.9999992502996,27.7619996912748,0.)); #485998=CARTESIAN_POINT('',(38.,27.889,0.)); #485999=CARTESIAN_POINT('Origin',(37.9999992502996,27.7619996912748,0.)); #486000=CARTESIAN_POINT('',(38.,27.889,0.035)); #486001=CARTESIAN_POINT('Origin',(37.9999992502996,27.7619996912748,0.035)); #486002=CARTESIAN_POINT('',(38.,27.889,0.)); #486003=CARTESIAN_POINT('Origin',(46.3055,27.889,0.)); #486004=CARTESIAN_POINT('',(46.3055,27.889,0.)); #486005=CARTESIAN_POINT('',(46.3055,27.889,0.)); #486006=CARTESIAN_POINT('',(46.3055,27.889,0.035)); #486007=CARTESIAN_POINT('',(46.3055,27.889,0.035)); #486008=CARTESIAN_POINT('',(46.3055,27.889,0.)); #486009=CARTESIAN_POINT('Origin',(46.3055,28.1811,0.)); #486010=CARTESIAN_POINT('',(46.3055,28.1811,0.)); #486011=CARTESIAN_POINT('',(46.3055,28.1811,0.)); #486012=CARTESIAN_POINT('',(46.3055,28.1811,0.035)); #486013=CARTESIAN_POINT('',(46.3055,28.1811,0.035)); #486014=CARTESIAN_POINT('',(46.3055,28.1811,0.)); #486015=CARTESIAN_POINT('Origin',(47.1945,28.1811,0.)); #486016=CARTESIAN_POINT('',(47.1945,28.1811,0.)); #486017=CARTESIAN_POINT('',(47.1945,28.1811,0.)); #486018=CARTESIAN_POINT('',(47.1945,28.1811,0.035)); #486019=CARTESIAN_POINT('',(47.1945,28.1811,0.035)); #486020=CARTESIAN_POINT('',(47.1945,28.1811,0.)); #486021=CARTESIAN_POINT('Origin',(47.1945,27.889,0.)); #486022=CARTESIAN_POINT('',(47.1945,27.889,0.)); #486023=CARTESIAN_POINT('',(47.1945,27.889,0.)); #486024=CARTESIAN_POINT('',(47.1945,27.889,0.035)); #486025=CARTESIAN_POINT('',(47.1945,27.889,0.035)); #486026=CARTESIAN_POINT('',(47.1945,27.889,0.)); #486027=CARTESIAN_POINT('Origin',(48.8055,27.889,0.)); #486028=CARTESIAN_POINT('',(48.8055,27.889,0.)); #486029=CARTESIAN_POINT('',(48.8055,27.889,0.)); #486030=CARTESIAN_POINT('',(48.8055,27.889,0.035)); #486031=CARTESIAN_POINT('',(48.8055,27.889,0.035)); #486032=CARTESIAN_POINT('',(48.8055,27.889,0.)); #486033=CARTESIAN_POINT('Origin',(48.8055,28.1811,0.)); #486034=CARTESIAN_POINT('',(48.8055,28.1811,0.)); #486035=CARTESIAN_POINT('',(48.8055,28.1811,0.)); #486036=CARTESIAN_POINT('',(48.8055,28.1811,0.035)); #486037=CARTESIAN_POINT('',(48.8055,28.1811,0.035)); #486038=CARTESIAN_POINT('',(48.8055,28.1811,0.)); #486039=CARTESIAN_POINT('Origin',(49.6945,28.1811,0.)); #486040=CARTESIAN_POINT('',(49.6945,28.1811,0.)); #486041=CARTESIAN_POINT('',(49.6945,28.1811,0.)); #486042=CARTESIAN_POINT('',(49.6945,28.1811,0.035)); #486043=CARTESIAN_POINT('',(49.6945,28.1811,0.035)); #486044=CARTESIAN_POINT('',(49.6945,28.1811,0.)); #486045=CARTESIAN_POINT('Origin',(49.6945,27.3429,0.)); #486046=CARTESIAN_POINT('',(49.6945,27.3429,0.)); #486047=CARTESIAN_POINT('',(49.6945,27.3429,0.)); #486048=CARTESIAN_POINT('',(49.6945,27.3429,0.035)); #486049=CARTESIAN_POINT('',(49.6945,27.3429,0.035)); #486050=CARTESIAN_POINT('',(49.6945,27.3429,0.)); #486051=CARTESIAN_POINT('Origin',(48.8055,27.3429,0.)); #486052=CARTESIAN_POINT('',(48.8055,27.3429,0.)); #486053=CARTESIAN_POINT('',(48.8055,27.3429,0.)); #486054=CARTESIAN_POINT('',(48.8055,27.3429,0.035)); #486055=CARTESIAN_POINT('',(48.8055,27.3429,0.035)); #486056=CARTESIAN_POINT('',(48.8055,27.3429,0.)); #486057=CARTESIAN_POINT('Origin',(48.8055,27.635,0.)); #486058=CARTESIAN_POINT('',(48.8055,27.635,0.)); #486059=CARTESIAN_POINT('',(48.8055,27.635,0.)); #486060=CARTESIAN_POINT('',(48.8055,27.635,0.035)); #486061=CARTESIAN_POINT('',(48.8055,27.635,0.035)); #486062=CARTESIAN_POINT('',(48.8055,27.635,0.)); #486063=CARTESIAN_POINT('Origin',(47.1945,27.635,0.)); #486064=CARTESIAN_POINT('',(47.1945,27.635,0.)); #486065=CARTESIAN_POINT('',(47.1945,27.635,0.)); #486066=CARTESIAN_POINT('',(47.1945,27.635,0.035)); #486067=CARTESIAN_POINT('',(47.1945,27.635,0.035)); #486068=CARTESIAN_POINT('',(47.1945,27.635,0.)); #486069=CARTESIAN_POINT('Origin',(47.1945,27.3429,0.)); #486070=CARTESIAN_POINT('',(47.1945,27.3429,0.)); #486071=CARTESIAN_POINT('',(47.1945,27.3429,0.)); #486072=CARTESIAN_POINT('',(47.1945,27.3429,0.035)); #486073=CARTESIAN_POINT('',(47.1945,27.3429,0.035)); #486074=CARTESIAN_POINT('',(47.1945,27.3429,0.)); #486075=CARTESIAN_POINT('Origin',(46.3055,27.3429,0.)); #486076=CARTESIAN_POINT('',(46.3055,27.3429,0.)); #486077=CARTESIAN_POINT('',(46.3055,27.3429,0.)); #486078=CARTESIAN_POINT('',(46.3055,27.3429,0.035)); #486079=CARTESIAN_POINT('',(46.3055,27.3429,0.035)); #486080=CARTESIAN_POINT('',(46.3055,27.3429,0.)); #486081=CARTESIAN_POINT('Origin',(46.3055,27.635,0.)); #486082=CARTESIAN_POINT('',(46.3055,27.635,0.)); #486083=CARTESIAN_POINT('',(46.3055,27.635,0.)); #486084=CARTESIAN_POINT('',(46.3055,27.635,0.035)); #486085=CARTESIAN_POINT('',(46.3055,27.635,0.035)); #486086=CARTESIAN_POINT('',(46.3055,27.635,0.)); #486087=CARTESIAN_POINT('Origin',(38.052606,27.635,0.)); #486088=CARTESIAN_POINT('',(38.052606,27.635,0.)); #486089=CARTESIAN_POINT('',(38.052606,27.635,0.)); #486090=CARTESIAN_POINT('',(38.052606,27.635,0.035)); #486091=CARTESIAN_POINT('',(38.052606,27.635,0.035)); #486092=CARTESIAN_POINT('',(38.052606,27.635,0.)); #486093=CARTESIAN_POINT('Origin',(37.015303,26.597697,0.)); #486094=CARTESIAN_POINT('',(37.015303,26.597697,0.)); #486095=CARTESIAN_POINT('',(37.015303,26.597697,0.)); #486096=CARTESIAN_POINT('',(37.015303,26.597697,0.035)); #486097=CARTESIAN_POINT('',(37.015303,26.597697,0.035)); #486098=CARTESIAN_POINT('',(37.015303,26.597697,0.)); #486099=CARTESIAN_POINT('Origin',(36.9261159937679,26.6877707078348,0.)); #486100=CARTESIAN_POINT('Origin',(36.9261159937679,26.6877707078348,0.)); #486101=CARTESIAN_POINT('Origin',(36.9261159937679,26.6877707078348,0.035)); #486102=CARTESIAN_POINT('Origin',(36.5128034977283,32.9527516038457,0.035)); #486103=CARTESIAN_POINT('Origin',(36.5128034977283,32.9527516038457,0.)); #486104=CARTESIAN_POINT('Origin',(26.,31.,-200.)); #486105=CARTESIAN_POINT('',(25.86,31.,0.)); #486106=CARTESIAN_POINT('Origin',(26.,31.,0.)); #486107=CARTESIAN_POINT('',(25.86,31.,0.0349999999999895)); #486108=CARTESIAN_POINT('',(25.86,31.,-200.)); #486109=CARTESIAN_POINT('Origin',(26.,31.,0.0349999999999895)); #486110=CARTESIAN_POINT('Origin',(26.,36.,-200.)); #486111=CARTESIAN_POINT('',(25.86,36.,0.)); #486112=CARTESIAN_POINT('Origin',(26.,36.,0.)); #486113=CARTESIAN_POINT('',(25.86,36.,0.0349999999999895)); #486114=CARTESIAN_POINT('',(25.86,36.,-200.)); #486115=CARTESIAN_POINT('Origin',(26.,36.,0.0349999999999895)); #486116=CARTESIAN_POINT('Origin',(26.021644,30.725,0.)); #486117=CARTESIAN_POINT('',(26.021644,30.725,0.)); #486118=CARTESIAN_POINT('',(26.064397,30.731772,0.)); #486119=CARTESIAN_POINT('',(26.021644,30.725,0.)); #486120=CARTESIAN_POINT('',(26.064397,30.731772,0.035)); #486121=CARTESIAN_POINT('',(26.064397,30.731772,0.)); #486122=CARTESIAN_POINT('',(26.021644,30.725,0.035)); #486123=CARTESIAN_POINT('',(26.021644,30.725,0.035)); #486124=CARTESIAN_POINT('',(26.021644,30.725,0.)); #486125=CARTESIAN_POINT('Origin',(26.000118471131,30.9995872515008,0.)); #486126=CARTESIAN_POINT('',(25.873,31.243928,0.)); #486127=CARTESIAN_POINT('Origin',(26.000118471131,30.9995872515008,0.)); #486128=CARTESIAN_POINT('',(25.873,31.243928,0.035)); #486129=CARTESIAN_POINT('Origin',(26.000118471131,30.9995872515008,0.035)); #486130=CARTESIAN_POINT('',(25.873,31.243928,0.)); #486131=CARTESIAN_POINT('Origin',(25.873,35.756072,0.)); #486132=CARTESIAN_POINT('',(25.873,35.756072,0.)); #486133=CARTESIAN_POINT('',(25.873,35.756072,0.)); #486134=CARTESIAN_POINT('',(25.873,35.756072,0.035)); #486135=CARTESIAN_POINT('',(25.873,35.756072,0.035)); #486136=CARTESIAN_POINT('',(25.873,35.756072,0.)); #486137=CARTESIAN_POINT('Origin',(26.0000204601791,36.0004637716938,0.)); #486138=CARTESIAN_POINT('',(25.894438,36.254853,0.)); #486139=CARTESIAN_POINT('Origin',(26.0000204601791,36.0004637716938,0.)); #486140=CARTESIAN_POINT('',(25.894438,36.254853,0.035)); #486141=CARTESIAN_POINT('Origin',(26.0000204601791,36.0004637716938,0.035)); #486142=CARTESIAN_POINT('',(25.894438,36.254853,0.)); #486143=CARTESIAN_POINT('Origin',(25.9998222285952,36.000381763261,0.)); #486144=CARTESIAN_POINT('',(26.127,35.756072,0.)); #486145=CARTESIAN_POINT('Origin',(25.9998222285952,36.000381763261,0.)); #486146=CARTESIAN_POINT('',(26.127,35.756072,0.035)); #486147=CARTESIAN_POINT('Origin',(25.9998222285952,36.000381763261,0.035)); #486148=CARTESIAN_POINT('',(26.127,35.756072,0.)); #486149=CARTESIAN_POINT('Origin',(26.127,31.243928,0.)); #486150=CARTESIAN_POINT('',(26.127,31.243928,0.)); #486151=CARTESIAN_POINT('',(26.127,31.243928,0.)); #486152=CARTESIAN_POINT('',(26.127,31.243928,0.035)); #486153=CARTESIAN_POINT('',(26.127,31.243928,0.035)); #486154=CARTESIAN_POINT('',(26.127,31.243928,0.)); #486155=CARTESIAN_POINT('Origin',(25.9999453752955,30.999554310534,0.)); #486156=CARTESIAN_POINT('Origin',(25.9999453752955,30.999554310534,0.)); #486157=CARTESIAN_POINT('Origin',(25.9999453752955,30.999554310534,0.035)); #486158=CARTESIAN_POINT('Origin',(25.9996864506156,33.49980383149,0.035)); #486159=CARTESIAN_POINT('Origin',(25.9996864506156,33.49980383149,0.)); #486160=CARTESIAN_POINT('Origin',(47.905,58.75,-200.)); #486161=CARTESIAN_POINT('',(47.765,58.75,0.)); #486162=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #486163=CARTESIAN_POINT('',(47.765,58.75,0.0349999999999895)); #486164=CARTESIAN_POINT('',(47.765,58.75,-200.)); #486165=CARTESIAN_POINT('Origin',(47.905,58.75,0.0349999999999895)); #486166=CARTESIAN_POINT('Origin',(48.205,58.15,0.)); #486167=CARTESIAN_POINT('',(48.205,58.15,0.)); #486168=CARTESIAN_POINT('',(48.205,59.35,0.)); #486169=CARTESIAN_POINT('',(48.205,58.15,0.)); #486170=CARTESIAN_POINT('',(48.205,59.35,0.035)); #486171=CARTESIAN_POINT('',(48.205,59.35,0.)); #486172=CARTESIAN_POINT('',(48.205,58.15,0.035)); #486173=CARTESIAN_POINT('',(48.205,58.15,0.035)); #486174=CARTESIAN_POINT('',(48.205,58.15,0.)); #486175=CARTESIAN_POINT('Origin',(47.605,58.15,0.)); #486176=CARTESIAN_POINT('',(47.605,58.15,0.)); #486177=CARTESIAN_POINT('',(47.605,58.15,0.)); #486178=CARTESIAN_POINT('',(47.605,58.15,0.035)); #486179=CARTESIAN_POINT('',(47.605,58.15,0.035)); #486180=CARTESIAN_POINT('',(47.605,58.15,0.)); #486181=CARTESIAN_POINT('Origin',(47.605,59.35,0.)); #486182=CARTESIAN_POINT('',(47.605,59.35,0.)); #486183=CARTESIAN_POINT('',(47.605,59.35,0.)); #486184=CARTESIAN_POINT('',(47.605,59.35,0.035)); #486185=CARTESIAN_POINT('',(47.605,59.35,0.035)); #486186=CARTESIAN_POINT('',(47.605,59.35,0.)); #486187=CARTESIAN_POINT('Origin',(48.205,59.35,0.)); #486188=CARTESIAN_POINT('',(48.205,59.35,0.)); #486189=CARTESIAN_POINT('',(48.205,59.35,0.035)); #486190=CARTESIAN_POINT('Origin',(47.905,58.75,0.035)); #486191=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #486192=CARTESIAN_POINT('Origin',(37.5,21.25,-200.)); #486193=CARTESIAN_POINT('',(37.36,21.25,0.)); #486194=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #486195=CARTESIAN_POINT('',(37.36,21.25,0.0349999999999895)); #486196=CARTESIAN_POINT('',(37.36,21.25,-200.)); #486197=CARTESIAN_POINT('Origin',(37.5,21.25,0.0349999999999895)); #486198=CARTESIAN_POINT('Origin',(31.,13.,-200.)); #486199=CARTESIAN_POINT('',(30.86,13.,0.)); #486200=CARTESIAN_POINT('Origin',(31.,13.,0.)); #486201=CARTESIAN_POINT('',(30.86,13.,0.0349999999999895)); #486202=CARTESIAN_POINT('',(30.86,13.,-200.)); #486203=CARTESIAN_POINT('Origin',(31.,13.,0.0349999999999895)); #486204=CARTESIAN_POINT('Origin',(31.021644,12.725,0.)); #486205=CARTESIAN_POINT('',(31.021644,12.725,0.)); #486206=CARTESIAN_POINT('',(31.064397,12.731772,0.)); #486207=CARTESIAN_POINT('',(31.021644,12.725,0.)); #486208=CARTESIAN_POINT('',(31.064397,12.731772,0.035)); #486209=CARTESIAN_POINT('',(31.064397,12.731772,0.)); #486210=CARTESIAN_POINT('',(31.021644,12.725,0.035)); #486211=CARTESIAN_POINT('',(31.021644,12.725,0.035)); #486212=CARTESIAN_POINT('',(31.021644,12.725,0.)); #486213=CARTESIAN_POINT('Origin',(31.000666927461,12.9996305438086,0.)); #486214=CARTESIAN_POINT('',(30.756072,12.873,0.)); #486215=CARTESIAN_POINT('Origin',(31.000666927461,12.9996305438086,0.)); #486216=CARTESIAN_POINT('',(30.756072,12.873,0.035)); #486217=CARTESIAN_POINT('Origin',(31.000666927461,12.9996305438086,0.035)); #486218=CARTESIAN_POINT('',(30.756072,12.873,0.)); #486219=CARTESIAN_POINT('Origin',(30.25,12.873,0.)); #486220=CARTESIAN_POINT('',(30.25,12.873,0.)); #486221=CARTESIAN_POINT('',(30.25,12.873,0.)); #486222=CARTESIAN_POINT('',(30.25,12.873,0.035)); #486223=CARTESIAN_POINT('',(30.25,12.873,0.035)); #486224=CARTESIAN_POINT('',(30.25,12.873,0.)); #486225=CARTESIAN_POINT('Origin',(30.2499992502996,13.0000003087252,0.)); #486226=CARTESIAN_POINT('',(30.160197,12.910197,0.)); #486227=CARTESIAN_POINT('Origin',(30.2499992502996,13.0000003087252,0.)); #486228=CARTESIAN_POINT('',(30.160197,12.910197,0.035)); #486229=CARTESIAN_POINT('Origin',(30.2499992502996,13.0000003087252,0.035)); #486230=CARTESIAN_POINT('',(30.160197,12.910197,0.)); #486231=CARTESIAN_POINT('Origin',(26.910197,16.160197,0.)); #486232=CARTESIAN_POINT('',(26.910197,16.160197,0.)); #486233=CARTESIAN_POINT('',(26.910197,16.160197,0.)); #486234=CARTESIAN_POINT('',(26.910197,16.160197,0.035)); #486235=CARTESIAN_POINT('',(26.910197,16.160197,0.035)); #486236=CARTESIAN_POINT('',(26.910197,16.160197,0.)); #486237=CARTESIAN_POINT('Origin',(27.0000003087252,16.2499992502996,0.)); #486238=CARTESIAN_POINT('',(26.873,16.25,0.)); #486239=CARTESIAN_POINT('Origin',(27.0000003087252,16.2499992502996,0.)); #486240=CARTESIAN_POINT('',(26.873,16.25,0.035)); #486241=CARTESIAN_POINT('Origin',(27.0000003087252,16.2499992502996,0.035)); #486242=CARTESIAN_POINT('',(26.873,16.25,0.)); #486243=CARTESIAN_POINT('Origin',(26.873,20.875,0.)); #486244=CARTESIAN_POINT('',(26.873,20.875,0.)); #486245=CARTESIAN_POINT('',(26.873,20.875,0.)); #486246=CARTESIAN_POINT('',(26.873,20.875,0.035)); #486247=CARTESIAN_POINT('',(26.873,20.875,0.035)); #486248=CARTESIAN_POINT('',(26.873,20.875,0.)); #486249=CARTESIAN_POINT('Origin',(27.0000003087252,20.8750007497004,0.)); #486250=CARTESIAN_POINT('',(26.910197,20.964803,0.)); #486251=CARTESIAN_POINT('Origin',(27.0000003087252,20.8750007497004,0.)); #486252=CARTESIAN_POINT('',(26.910197,20.964803,0.035)); #486253=CARTESIAN_POINT('Origin',(27.0000003087252,20.8750007497004,0.035)); #486254=CARTESIAN_POINT('',(26.910197,20.964803,0.)); #486255=CARTESIAN_POINT('Origin',(27.910197,21.964803,0.)); #486256=CARTESIAN_POINT('',(27.910197,21.964803,0.)); #486257=CARTESIAN_POINT('',(27.910197,21.964803,0.)); #486258=CARTESIAN_POINT('',(27.910197,21.964803,0.035)); #486259=CARTESIAN_POINT('',(27.910197,21.964803,0.035)); #486260=CARTESIAN_POINT('',(27.910197,21.964803,0.)); #486261=CARTESIAN_POINT('Origin',(27.9999992502996,21.8749996912748,0.)); #486262=CARTESIAN_POINT('',(28.,22.002,0.)); #486263=CARTESIAN_POINT('Origin',(27.9999992502996,21.8749996912748,0.)); #486264=CARTESIAN_POINT('',(28.,22.002,0.035)); #486265=CARTESIAN_POINT('Origin',(27.9999992502996,21.8749996912748,0.035)); #486266=CARTESIAN_POINT('',(28.,22.002,0.)); #486267=CARTESIAN_POINT('Origin',(36.875,22.002,0.)); #486268=CARTESIAN_POINT('',(36.875,22.002,0.)); #486269=CARTESIAN_POINT('',(36.875,22.002,0.)); #486270=CARTESIAN_POINT('',(36.875,22.002,0.035)); #486271=CARTESIAN_POINT('',(36.875,22.002,0.035)); #486272=CARTESIAN_POINT('',(36.875,22.002,0.)); #486273=CARTESIAN_POINT('Origin',(36.8750007497004,21.8749996912748,0.)); #486274=CARTESIAN_POINT('',(36.964803,21.964803,0.)); #486275=CARTESIAN_POINT('Origin',(36.8750007497004,21.8749996912748,0.)); #486276=CARTESIAN_POINT('',(36.964803,21.964803,0.035)); #486277=CARTESIAN_POINT('Origin',(36.8750007497004,21.8749996912748,0.035)); #486278=CARTESIAN_POINT('',(36.964803,21.964803,0.)); #486279=CARTESIAN_POINT('Origin',(37.417319,21.512287,0.)); #486280=CARTESIAN_POINT('',(37.417319,21.512287,0.)); #486281=CARTESIAN_POINT('',(37.417319,21.512287,0.)); #486282=CARTESIAN_POINT('',(37.417319,21.512287,0.035)); #486283=CARTESIAN_POINT('',(37.417319,21.512287,0.035)); #486284=CARTESIAN_POINT('',(37.417319,21.512287,0.)); #486285=CARTESIAN_POINT('Origin',(37.5003133114638,21.249658470215,0.)); #486286=CARTESIAN_POINT('',(37.754853,21.144438,0.)); #486287=CARTESIAN_POINT('Origin',(37.5003133114638,21.249658470215,0.)); #486288=CARTESIAN_POINT('',(37.754853,21.144438,0.035)); #486289=CARTESIAN_POINT('Origin',(37.5003133114638,21.249658470215,0.035)); #486290=CARTESIAN_POINT('',(37.754853,21.144438,0.)); #486291=CARTESIAN_POINT('Origin',(37.5003951479663,21.2498563673431,0.)); #486292=CARTESIAN_POINT('',(37.237713,21.332681,0.)); #486293=CARTESIAN_POINT('Origin',(37.5003951479663,21.2498563673431,0.)); #486294=CARTESIAN_POINT('',(37.237713,21.332681,0.035)); #486295=CARTESIAN_POINT('Origin',(37.5003951479663,21.2498563673431,0.035)); #486296=CARTESIAN_POINT('',(37.237713,21.332681,0.)); #486297=CARTESIAN_POINT('Origin',(36.822394,21.748,0.)); #486298=CARTESIAN_POINT('',(36.822394,21.748,0.)); #486299=CARTESIAN_POINT('',(36.822394,21.748,0.)); #486300=CARTESIAN_POINT('',(36.822394,21.748,0.035)); #486301=CARTESIAN_POINT('',(36.822394,21.748,0.035)); #486302=CARTESIAN_POINT('',(36.822394,21.748,0.)); #486303=CARTESIAN_POINT('Origin',(28.052606,21.748,0.)); #486304=CARTESIAN_POINT('',(28.052606,21.748,0.)); #486305=CARTESIAN_POINT('',(28.052606,21.748,0.)); #486306=CARTESIAN_POINT('',(28.052606,21.748,0.035)); #486307=CARTESIAN_POINT('',(28.052606,21.748,0.035)); #486308=CARTESIAN_POINT('',(28.052606,21.748,0.)); #486309=CARTESIAN_POINT('Origin',(27.127,20.822394,0.)); #486310=CARTESIAN_POINT('',(27.127,20.822394,0.)); #486311=CARTESIAN_POINT('',(27.127,20.822394,0.)); #486312=CARTESIAN_POINT('',(27.127,20.822394,0.035)); #486313=CARTESIAN_POINT('',(27.127,20.822394,0.035)); #486314=CARTESIAN_POINT('',(27.127,20.822394,0.)); #486315=CARTESIAN_POINT('Origin',(27.127,16.302606,0.)); #486316=CARTESIAN_POINT('',(27.127,16.302606,0.)); #486317=CARTESIAN_POINT('',(27.127,16.302606,0.)); #486318=CARTESIAN_POINT('',(27.127,16.302606,0.035)); #486319=CARTESIAN_POINT('',(27.127,16.302606,0.035)); #486320=CARTESIAN_POINT('',(27.127,16.302606,0.)); #486321=CARTESIAN_POINT('Origin',(30.302606,13.127,0.)); #486322=CARTESIAN_POINT('',(30.302606,13.127,0.)); #486323=CARTESIAN_POINT('',(30.302606,13.127,0.)); #486324=CARTESIAN_POINT('',(30.302606,13.127,0.035)); #486325=CARTESIAN_POINT('',(30.302606,13.127,0.035)); #486326=CARTESIAN_POINT('',(30.302606,13.127,0.)); #486327=CARTESIAN_POINT('Origin',(30.756072,13.127,0.)); #486328=CARTESIAN_POINT('',(30.756072,13.127,0.)); #486329=CARTESIAN_POINT('',(30.756072,13.127,0.)); #486330=CARTESIAN_POINT('',(30.756072,13.127,0.035)); #486331=CARTESIAN_POINT('',(30.756072,13.127,0.035)); #486332=CARTESIAN_POINT('',(30.756072,13.127,0.)); #486333=CARTESIAN_POINT('Origin',(31.0007991253016,13.0006246242954,0.)); #486334=CARTESIAN_POINT('',(30.935603,13.268228,0.)); #486335=CARTESIAN_POINT('Origin',(31.0007991253016,13.0006246242954,0.)); #486336=CARTESIAN_POINT('',(30.935603,13.268228,0.035)); #486337=CARTESIAN_POINT('Origin',(31.0007991253016,13.0006246242954,0.035)); #486338=CARTESIAN_POINT('',(30.935603,13.268228,0.)); #486339=CARTESIAN_POINT('Origin',(31.,13.,0.)); #486340=CARTESIAN_POINT('Origin',(31.,13.,0.)); #486341=CARTESIAN_POINT('Origin',(31.,13.,0.035)); #486342=CARTESIAN_POINT('Origin',(30.4052769643389,19.1194580248851,0.035)); #486343=CARTESIAN_POINT('Origin',(30.4052769643389,19.1194580248851,0.)); #486344=CARTESIAN_POINT('Origin',(25.,26.625,-200.)); #486345=CARTESIAN_POINT('',(24.86,26.625,0.)); #486346=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #486347=CARTESIAN_POINT('',(24.86,26.625,0.0349999999999895)); #486348=CARTESIAN_POINT('',(24.86,26.625,-200.)); #486349=CARTESIAN_POINT('Origin',(25.,26.625,0.0349999999999895)); #486350=CARTESIAN_POINT('Origin',(27.25,24.375,-200.)); #486351=CARTESIAN_POINT('',(27.11,24.375,0.)); #486352=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #486353=CARTESIAN_POINT('',(27.11,24.375,0.0349999999999895)); #486354=CARTESIAN_POINT('',(27.11,24.375,-200.)); #486355=CARTESIAN_POINT('Origin',(27.25,24.375,0.0349999999999895)); #486356=CARTESIAN_POINT('Origin',(27.271644,24.1,0.)); #486357=CARTESIAN_POINT('',(27.271644,24.1,0.)); #486358=CARTESIAN_POINT('',(27.314397,24.106772,0.)); #486359=CARTESIAN_POINT('',(27.271644,24.1,0.)); #486360=CARTESIAN_POINT('',(27.314397,24.106772,0.035)); #486361=CARTESIAN_POINT('',(27.314397,24.106772,0.)); #486362=CARTESIAN_POINT('',(27.271644,24.1,0.035)); #486363=CARTESIAN_POINT('',(27.271644,24.1,0.035)); #486364=CARTESIAN_POINT('',(27.271644,24.1,0.)); #486365=CARTESIAN_POINT('Origin',(27.2503155524372,24.3746034813592,0.)); #486366=CARTESIAN_POINT('',(26.987713,24.457681,0.)); #486367=CARTESIAN_POINT('Origin',(27.2503155524372,24.3746034813592,0.)); #486368=CARTESIAN_POINT('',(26.987713,24.457681,0.035)); #486369=CARTESIAN_POINT('Origin',(27.2503155524372,24.3746034813592,0.035)); #486370=CARTESIAN_POINT('',(26.987713,24.457681,0.)); #486371=CARTESIAN_POINT('Origin',(25.082681,26.362713,0.)); #486372=CARTESIAN_POINT('',(25.082681,26.362713,0.)); #486373=CARTESIAN_POINT('',(25.082681,26.362713,0.)); #486374=CARTESIAN_POINT('',(25.082681,26.362713,0.035)); #486375=CARTESIAN_POINT('',(25.082681,26.362713,0.035)); #486376=CARTESIAN_POINT('',(25.082681,26.362713,0.)); #486377=CARTESIAN_POINT('Origin',(24.9996869217098,26.625342029785,0.)); #486378=CARTESIAN_POINT('',(24.745147,26.730563,0.)); #486379=CARTESIAN_POINT('Origin',(24.9996869217098,26.625342029785,0.)); #486380=CARTESIAN_POINT('',(24.745147,26.730563,0.035)); #486381=CARTESIAN_POINT('Origin',(24.9996869217098,26.625342029785,0.035)); #486382=CARTESIAN_POINT('',(24.745147,26.730563,0.)); #486383=CARTESIAN_POINT('Origin',(24.9996053301889,26.625144110812,0.)); #486384=CARTESIAN_POINT('',(25.262288,26.542319,0.)); #486385=CARTESIAN_POINT('Origin',(24.9996053301889,26.625144110812,0.)); #486386=CARTESIAN_POINT('',(25.262288,26.542319,0.035)); #486387=CARTESIAN_POINT('Origin',(24.9996053301889,26.625144110812,0.035)); #486388=CARTESIAN_POINT('',(25.262288,26.542319,0.)); #486389=CARTESIAN_POINT('Origin',(27.167319,24.637288,0.)); #486390=CARTESIAN_POINT('',(27.167319,24.637288,0.)); #486391=CARTESIAN_POINT('',(27.167319,24.637288,0.)); #486392=CARTESIAN_POINT('',(27.167319,24.637288,0.035)); #486393=CARTESIAN_POINT('',(27.167319,24.637288,0.035)); #486394=CARTESIAN_POINT('',(27.167319,24.637288,0.)); #486395=CARTESIAN_POINT('Origin',(27.185603,24.643228,0.)); #486396=CARTESIAN_POINT('',(27.185603,24.643228,0.)); #486397=CARTESIAN_POINT('',(27.185603,24.643228,0.)); #486398=CARTESIAN_POINT('',(27.185603,24.643228,0.035)); #486399=CARTESIAN_POINT('',(27.185603,24.643228,0.035)); #486400=CARTESIAN_POINT('',(27.185603,24.643228,0.)); #486401=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #486402=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #486403=CARTESIAN_POINT('Origin',(27.25,24.375,0.035)); #486404=CARTESIAN_POINT('Origin',(26.1238406234022,25.4986952082491,0.035)); #486405=CARTESIAN_POINT('Origin',(26.1238406234022,25.4986952082491,0.)); #486406=CARTESIAN_POINT('Origin',(27.762,19.,-200.)); #486407=CARTESIAN_POINT('',(27.622,19.,0.)); #486408=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #486409=CARTESIAN_POINT('',(27.622,19.,0.0349999999999895)); #486410=CARTESIAN_POINT('',(27.622,19.,-200.)); #486411=CARTESIAN_POINT('Origin',(27.762,19.,0.0349999999999895)); #486412=CARTESIAN_POINT('Origin',(28.1811,18.5555,0.)); #486413=CARTESIAN_POINT('',(28.1811,18.5555,0.)); #486414=CARTESIAN_POINT('',(28.1811,19.4445,0.)); #486415=CARTESIAN_POINT('',(28.1811,18.5555,0.)); #486416=CARTESIAN_POINT('',(28.1811,19.4445,0.035)); #486417=CARTESIAN_POINT('',(28.1811,19.4445,0.)); #486418=CARTESIAN_POINT('',(28.1811,18.5555,0.035)); #486419=CARTESIAN_POINT('',(28.1811,18.5555,0.035)); #486420=CARTESIAN_POINT('',(28.1811,18.5555,0.)); #486421=CARTESIAN_POINT('Origin',(27.3429,18.5555,0.)); #486422=CARTESIAN_POINT('',(27.3429,18.5555,0.)); #486423=CARTESIAN_POINT('',(27.3429,18.5555,0.)); #486424=CARTESIAN_POINT('',(27.3429,18.5555,0.035)); #486425=CARTESIAN_POINT('',(27.3429,18.5555,0.035)); #486426=CARTESIAN_POINT('',(27.3429,18.5555,0.)); #486427=CARTESIAN_POINT('Origin',(27.3429,19.4445,0.)); #486428=CARTESIAN_POINT('',(27.3429,19.4445,0.)); #486429=CARTESIAN_POINT('',(27.3429,19.4445,0.)); #486430=CARTESIAN_POINT('',(27.3429,19.4445,0.035)); #486431=CARTESIAN_POINT('',(27.3429,19.4445,0.035)); #486432=CARTESIAN_POINT('',(27.3429,19.4445,0.)); #486433=CARTESIAN_POINT('Origin',(28.1811,19.4445,0.)); #486434=CARTESIAN_POINT('',(28.1811,19.4445,0.)); #486435=CARTESIAN_POINT('',(28.1811,19.4445,0.035)); #486436=CARTESIAN_POINT('Origin',(27.762,19.,0.035)); #486437=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #486438=CARTESIAN_POINT('Origin',(35.27,45.,-200.)); #486439=CARTESIAN_POINT('',(34.705,45.,0.)); #486440=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #486441=CARTESIAN_POINT('',(34.705,45.,0.0349999999999895)); #486442=CARTESIAN_POINT('',(34.705,45.,-200.)); #486443=CARTESIAN_POINT('Origin',(35.27,45.,0.0349999999999895)); #486444=CARTESIAN_POINT('Origin',(42.25,28.5,-200.)); #486445=CARTESIAN_POINT('',(42.11,28.5,0.)); #486446=CARTESIAN_POINT('Origin',(42.25,28.5,0.)); #486447=CARTESIAN_POINT('',(42.11,28.5,0.0349999999999895)); #486448=CARTESIAN_POINT('',(42.11,28.5,-200.)); #486449=CARTESIAN_POINT('Origin',(42.25,28.5,0.0349999999999895)); #486450=CARTESIAN_POINT('Origin',(46.25,34.,-200.)); #486451=CARTESIAN_POINT('',(45.735,34.,0.)); #486452=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #486453=CARTESIAN_POINT('',(45.735,34.,0.0349999999999895)); #486454=CARTESIAN_POINT('',(45.735,34.,-200.)); #486455=CARTESIAN_POINT('Origin',(46.25,34.,0.0349999999999895)); #486456=CARTESIAN_POINT('Origin',(36.5,27.75,-200.)); #486457=CARTESIAN_POINT('',(36.035,27.75,0.)); #486458=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #486459=CARTESIAN_POINT('',(36.035,27.75,0.0349999999999895)); #486460=CARTESIAN_POINT('',(36.035,27.75,-200.)); #486461=CARTESIAN_POINT('Origin',(36.5,27.75,0.0349999999999895)); #486462=CARTESIAN_POINT('Origin',(32.939341,43.9476,0.)); #486463=CARTESIAN_POINT('',(32.939341,43.9476,0.)); #486464=CARTESIAN_POINT('',(32.520659,43.9476,0.)); #486465=CARTESIAN_POINT('',(32.939341,43.9476,0.)); #486466=CARTESIAN_POINT('',(32.520659,43.9476,0.035)); #486467=CARTESIAN_POINT('',(32.520659,43.9476,0.)); #486468=CARTESIAN_POINT('',(32.939341,43.9476,0.035)); #486469=CARTESIAN_POINT('',(32.939341,43.9476,0.035)); #486470=CARTESIAN_POINT('',(32.939341,43.9476,0.)); #486471=CARTESIAN_POINT('Origin',(33.326131,44.107816,0.)); #486472=CARTESIAN_POINT('',(33.326131,44.107816,0.)); #486473=CARTESIAN_POINT('',(33.326131,44.107816,0.)); #486474=CARTESIAN_POINT('',(33.326131,44.107816,0.035)); #486475=CARTESIAN_POINT('',(33.326131,44.107816,0.035)); #486476=CARTESIAN_POINT('',(33.326131,44.107816,0.)); #486477=CARTESIAN_POINT('Origin',(33.622184,44.403869,0.)); #486478=CARTESIAN_POINT('',(33.622184,44.403869,0.)); #486479=CARTESIAN_POINT('',(33.622184,44.403869,0.)); #486480=CARTESIAN_POINT('',(33.622184,44.403869,0.035)); #486481=CARTESIAN_POINT('',(33.622184,44.403869,0.035)); #486482=CARTESIAN_POINT('',(33.622184,44.403869,0.)); #486483=CARTESIAN_POINT('Origin',(33.7824,44.790659,0.)); #486484=CARTESIAN_POINT('',(33.7824,44.790659,0.)); #486485=CARTESIAN_POINT('',(33.7824,44.790659,0.)); #486486=CARTESIAN_POINT('',(33.7824,44.790659,0.035)); #486487=CARTESIAN_POINT('',(33.7824,44.790659,0.035)); #486488=CARTESIAN_POINT('',(33.7824,44.790659,0.)); #486489=CARTESIAN_POINT('Origin',(33.7824,45.209341,0.)); #486490=CARTESIAN_POINT('',(33.7824,45.209341,0.)); #486491=CARTESIAN_POINT('',(33.7824,45.209341,0.)); #486492=CARTESIAN_POINT('',(33.7824,45.209341,0.035)); #486493=CARTESIAN_POINT('',(33.7824,45.209341,0.035)); #486494=CARTESIAN_POINT('',(33.7824,45.209341,0.)); #486495=CARTESIAN_POINT('Origin',(33.622184,45.596131,0.)); #486496=CARTESIAN_POINT('',(33.622184,45.596131,0.)); #486497=CARTESIAN_POINT('',(33.622184,45.596131,0.)); #486498=CARTESIAN_POINT('',(33.622184,45.596131,0.035)); #486499=CARTESIAN_POINT('',(33.622184,45.596131,0.035)); #486500=CARTESIAN_POINT('',(33.622184,45.596131,0.)); #486501=CARTESIAN_POINT('Origin',(33.326131,45.892184,0.)); #486502=CARTESIAN_POINT('',(33.326131,45.892184,0.)); #486503=CARTESIAN_POINT('',(33.326131,45.892184,0.)); #486504=CARTESIAN_POINT('',(33.326131,45.892184,0.035)); #486505=CARTESIAN_POINT('',(33.326131,45.892184,0.035)); #486506=CARTESIAN_POINT('',(33.326131,45.892184,0.)); #486507=CARTESIAN_POINT('Origin',(32.939341,46.0524,0.)); #486508=CARTESIAN_POINT('',(32.939341,46.0524,0.)); #486509=CARTESIAN_POINT('',(32.939341,46.0524,0.)); #486510=CARTESIAN_POINT('',(32.939341,46.0524,0.035)); #486511=CARTESIAN_POINT('',(32.939341,46.0524,0.035)); #486512=CARTESIAN_POINT('',(32.939341,46.0524,0.)); #486513=CARTESIAN_POINT('Origin',(32.520659,46.0524,0.)); #486514=CARTESIAN_POINT('',(32.520659,46.0524,0.)); #486515=CARTESIAN_POINT('',(32.520659,46.0524,0.)); #486516=CARTESIAN_POINT('',(32.520659,46.0524,0.035)); #486517=CARTESIAN_POINT('',(32.520659,46.0524,0.035)); #486518=CARTESIAN_POINT('',(32.520659,46.0524,0.)); #486519=CARTESIAN_POINT('Origin',(32.133869,45.892184,0.)); #486520=CARTESIAN_POINT('',(32.133869,45.892184,0.)); #486521=CARTESIAN_POINT('',(32.133869,45.892184,0.)); #486522=CARTESIAN_POINT('',(32.133869,45.892184,0.035)); #486523=CARTESIAN_POINT('',(32.133869,45.892184,0.035)); #486524=CARTESIAN_POINT('',(32.133869,45.892184,0.)); #486525=CARTESIAN_POINT('Origin',(31.837816,45.596131,0.)); #486526=CARTESIAN_POINT('',(31.837816,45.596131,0.)); #486527=CARTESIAN_POINT('',(31.837816,45.596131,0.)); #486528=CARTESIAN_POINT('',(31.837816,45.596131,0.035)); #486529=CARTESIAN_POINT('',(31.837816,45.596131,0.035)); #486530=CARTESIAN_POINT('',(31.837816,45.596131,0.)); #486531=CARTESIAN_POINT('Origin',(31.6776,45.209341,0.)); #486532=CARTESIAN_POINT('',(31.6776,45.209341,0.)); #486533=CARTESIAN_POINT('',(31.6776,45.209341,0.)); #486534=CARTESIAN_POINT('',(31.6776,45.209341,0.035)); #486535=CARTESIAN_POINT('',(31.6776,45.209341,0.035)); #486536=CARTESIAN_POINT('',(31.6776,45.209341,0.)); #486537=CARTESIAN_POINT('Origin',(31.6776,44.790659,0.)); #486538=CARTESIAN_POINT('',(31.6776,44.790659,0.)); #486539=CARTESIAN_POINT('',(31.6776,44.790659,0.)); #486540=CARTESIAN_POINT('',(31.6776,44.790659,0.035)); #486541=CARTESIAN_POINT('',(31.6776,44.790659,0.035)); #486542=CARTESIAN_POINT('',(31.6776,44.790659,0.)); #486543=CARTESIAN_POINT('Origin',(31.837816,44.403869,0.)); #486544=CARTESIAN_POINT('',(31.837816,44.403869,0.)); #486545=CARTESIAN_POINT('',(31.837816,44.403869,0.)); #486546=CARTESIAN_POINT('',(31.837816,44.403869,0.035)); #486547=CARTESIAN_POINT('',(31.837816,44.403869,0.035)); #486548=CARTESIAN_POINT('',(31.837816,44.403869,0.)); #486549=CARTESIAN_POINT('Origin',(32.133869,44.107816,0.)); #486550=CARTESIAN_POINT('',(32.133869,44.107816,0.)); #486551=CARTESIAN_POINT('',(32.133869,44.107816,0.)); #486552=CARTESIAN_POINT('',(32.133869,44.107816,0.035)); #486553=CARTESIAN_POINT('',(32.133869,44.107816,0.035)); #486554=CARTESIAN_POINT('',(32.133869,44.107816,0.)); #486555=CARTESIAN_POINT('Origin',(32.520659,43.9476,0.)); #486556=CARTESIAN_POINT('',(32.520659,43.9476,0.)); #486557=CARTESIAN_POINT('',(32.520659,43.9476,0.035)); #486558=CARTESIAN_POINT('Origin',(36.778206,26.9161,0.)); #486559=CARTESIAN_POINT('',(36.778206,26.9161,0.)); #486560=CARTESIAN_POINT('',(36.827741,26.926159,0.)); #486561=CARTESIAN_POINT('',(36.778206,26.9161,0.)); #486562=CARTESIAN_POINT('',(36.827741,26.926159,0.035)); #486563=CARTESIAN_POINT('',(36.827741,26.926159,0.)); #486564=CARTESIAN_POINT('',(36.778206,26.9161,0.035)); #486565=CARTESIAN_POINT('',(36.778206,26.9161,0.035)); #486566=CARTESIAN_POINT('',(36.778206,26.9161,0.)); #486567=CARTESIAN_POINT('Origin',(35.209794,26.9161,0.)); #486568=CARTESIAN_POINT('',(35.209794,26.9161,0.)); #486569=CARTESIAN_POINT('',(35.209794,26.9161,0.)); #486570=CARTESIAN_POINT('',(35.209794,26.9161,0.035)); #486571=CARTESIAN_POINT('',(35.209794,26.9161,0.035)); #486572=CARTESIAN_POINT('',(35.209794,26.9161,0.)); #486573=CARTESIAN_POINT('Origin',(35.162131,26.925384,0.)); #486574=CARTESIAN_POINT('',(35.162131,26.925384,0.)); #486575=CARTESIAN_POINT('',(35.162131,26.925384,0.)); #486576=CARTESIAN_POINT('',(35.162131,26.925384,0.035)); #486577=CARTESIAN_POINT('',(35.162131,26.925384,0.035)); #486578=CARTESIAN_POINT('',(35.162131,26.925384,0.)); #486579=CARTESIAN_POINT('Origin',(35.119991,26.953297,0.)); #486580=CARTESIAN_POINT('',(35.119991,26.953297,0.)); #486581=CARTESIAN_POINT('',(35.119991,26.953297,0.)); #486582=CARTESIAN_POINT('',(35.119991,26.953297,0.035)); #486583=CARTESIAN_POINT('',(35.119991,26.953297,0.035)); #486584=CARTESIAN_POINT('',(35.119991,26.953297,0.)); #486585=CARTESIAN_POINT('Origin',(34.265797,27.807491,0.)); #486586=CARTESIAN_POINT('',(34.265797,27.807491,0.)); #486587=CARTESIAN_POINT('',(34.265797,27.807491,0.)); #486588=CARTESIAN_POINT('',(34.265797,27.807491,0.035)); #486589=CARTESIAN_POINT('',(34.265797,27.807491,0.035)); #486590=CARTESIAN_POINT('',(34.265797,27.807491,0.)); #486591=CARTESIAN_POINT('Origin',(34.238659,27.847759,0.)); #486592=CARTESIAN_POINT('',(34.238659,27.847759,0.)); #486593=CARTESIAN_POINT('',(34.238659,27.847759,0.)); #486594=CARTESIAN_POINT('',(34.238659,27.847759,0.035)); #486595=CARTESIAN_POINT('',(34.238659,27.847759,0.035)); #486596=CARTESIAN_POINT('',(34.238659,27.847759,0.)); #486597=CARTESIAN_POINT('Origin',(34.2286,27.897294,0.)); #486598=CARTESIAN_POINT('',(34.2286,27.897294,0.)); #486599=CARTESIAN_POINT('',(34.2286,27.897294,0.)); #486600=CARTESIAN_POINT('',(34.2286,27.897294,0.035)); #486601=CARTESIAN_POINT('',(34.2286,27.897294,0.035)); #486602=CARTESIAN_POINT('',(34.2286,27.897294,0.)); #486603=CARTESIAN_POINT('Origin',(34.2286,34.844687,0.)); #486604=CARTESIAN_POINT('',(34.2286,34.844687,0.)); #486605=CARTESIAN_POINT('',(34.2286,34.844687,0.)); #486606=CARTESIAN_POINT('',(34.2286,34.844687,0.035)); #486607=CARTESIAN_POINT('',(34.2286,34.844687,0.035)); #486608=CARTESIAN_POINT('',(34.2286,34.844687,0.)); #486609=CARTESIAN_POINT('Origin',(30.455797,38.617491,0.)); #486610=CARTESIAN_POINT('',(30.455797,38.617491,0.)); #486611=CARTESIAN_POINT('',(30.455797,38.617491,0.)); #486612=CARTESIAN_POINT('',(30.455797,38.617491,0.035)); #486613=CARTESIAN_POINT('',(30.455797,38.617491,0.035)); #486614=CARTESIAN_POINT('',(30.455797,38.617491,0.)); #486615=CARTESIAN_POINT('Origin',(30.428659,38.657759,0.)); #486616=CARTESIAN_POINT('',(30.428659,38.657759,0.)); #486617=CARTESIAN_POINT('',(30.428659,38.657759,0.)); #486618=CARTESIAN_POINT('',(30.428659,38.657759,0.035)); #486619=CARTESIAN_POINT('',(30.428659,38.657759,0.035)); #486620=CARTESIAN_POINT('',(30.428659,38.657759,0.)); #486621=CARTESIAN_POINT('Origin',(30.4186,38.707294,0.)); #486622=CARTESIAN_POINT('',(30.4186,38.707294,0.)); #486623=CARTESIAN_POINT('',(30.4186,38.707294,0.)); #486624=CARTESIAN_POINT('',(30.4186,38.707294,0.035)); #486625=CARTESIAN_POINT('',(30.4186,38.707294,0.035)); #486626=CARTESIAN_POINT('',(30.4186,38.707294,0.)); #486627=CARTESIAN_POINT('Origin',(30.4186,43.8206,0.)); #486628=CARTESIAN_POINT('',(30.4186,43.8206,0.)); #486629=CARTESIAN_POINT('',(30.4186,43.8206,0.)); #486630=CARTESIAN_POINT('',(30.4186,43.8206,0.035)); #486631=CARTESIAN_POINT('',(30.4186,43.8206,0.035)); #486632=CARTESIAN_POINT('',(30.4186,43.8206,0.)); #486633=CARTESIAN_POINT('Origin',(30.427138,43.866381,0.)); #486634=CARTESIAN_POINT('',(30.427138,43.866381,0.)); #486635=CARTESIAN_POINT('',(30.427138,43.866381,0.)); #486636=CARTESIAN_POINT('',(30.427138,43.866381,0.035)); #486637=CARTESIAN_POINT('',(30.427138,43.866381,0.035)); #486638=CARTESIAN_POINT('',(30.427138,43.866381,0.)); #486639=CARTESIAN_POINT('Origin',(30.454378,43.908959,0.)); #486640=CARTESIAN_POINT('',(30.454378,43.908959,0.)); #486641=CARTESIAN_POINT('',(30.454378,43.908959,0.)); #486642=CARTESIAN_POINT('',(30.454378,43.908959,0.035)); #486643=CARTESIAN_POINT('',(30.454378,43.908959,0.035)); #486644=CARTESIAN_POINT('',(30.454378,43.908959,0.)); #486645=CARTESIAN_POINT('Origin',(30.496066,43.937541,0.)); #486646=CARTESIAN_POINT('',(30.496066,43.937541,0.)); #486647=CARTESIAN_POINT('',(30.496066,43.937541,0.)); #486648=CARTESIAN_POINT('',(30.496066,43.937541,0.035)); #486649=CARTESIAN_POINT('',(30.496066,43.937541,0.035)); #486650=CARTESIAN_POINT('',(30.496066,43.937541,0.)); #486651=CARTESIAN_POINT('Origin',(30.5456,43.9476,0.)); #486652=CARTESIAN_POINT('',(30.5456,43.9476,0.)); #486653=CARTESIAN_POINT('',(30.5456,43.9476,0.)); #486654=CARTESIAN_POINT('',(30.5456,43.9476,0.035)); #486655=CARTESIAN_POINT('',(30.5456,43.9476,0.035)); #486656=CARTESIAN_POINT('',(30.5456,43.9476,0.)); #486657=CARTESIAN_POINT('Origin',(31.153125,43.9476,0.)); #486658=CARTESIAN_POINT('',(31.153125,43.9476,0.)); #486659=CARTESIAN_POINT('',(31.153125,43.9476,0.)); #486660=CARTESIAN_POINT('',(31.153125,43.9476,0.035)); #486661=CARTESIAN_POINT('',(31.153125,43.9476,0.035)); #486662=CARTESIAN_POINT('',(31.153125,43.9476,0.)); #486663=CARTESIAN_POINT('Origin',(31.2424,44.036875,0.)); #486664=CARTESIAN_POINT('',(31.2424,44.036875,0.)); #486665=CARTESIAN_POINT('',(31.2424,44.036875,0.)); #486666=CARTESIAN_POINT('',(31.2424,44.036875,0.035)); #486667=CARTESIAN_POINT('',(31.2424,44.036875,0.035)); #486668=CARTESIAN_POINT('',(31.2424,44.036875,0.)); #486669=CARTESIAN_POINT('Origin',(31.2424,45.939794,0.)); #486670=CARTESIAN_POINT('',(31.2424,45.939794,0.)); #486671=CARTESIAN_POINT('',(31.2424,45.939794,0.)); #486672=CARTESIAN_POINT('',(31.2424,45.939794,0.035)); #486673=CARTESIAN_POINT('',(31.2424,45.939794,0.035)); #486674=CARTESIAN_POINT('',(31.2424,45.939794,0.)); #486675=CARTESIAN_POINT('Origin',(31.251684,45.987456,0.)); #486676=CARTESIAN_POINT('',(31.251684,45.987456,0.)); #486677=CARTESIAN_POINT('',(31.251684,45.987456,0.)); #486678=CARTESIAN_POINT('',(31.251684,45.987456,0.035)); #486679=CARTESIAN_POINT('',(31.251684,45.987456,0.035)); #486680=CARTESIAN_POINT('',(31.251684,45.987456,0.)); #486681=CARTESIAN_POINT('Origin',(31.279597,46.029597,0.)); #486682=CARTESIAN_POINT('',(31.279597,46.029597,0.)); #486683=CARTESIAN_POINT('',(31.279597,46.029597,0.)); #486684=CARTESIAN_POINT('',(31.279597,46.029597,0.035)); #486685=CARTESIAN_POINT('',(31.279597,46.029597,0.035)); #486686=CARTESIAN_POINT('',(31.279597,46.029597,0.)); #486687=CARTESIAN_POINT('Origin',(31.712803,46.462803,0.)); #486688=CARTESIAN_POINT('',(31.712803,46.462803,0.)); #486689=CARTESIAN_POINT('',(31.712803,46.462803,0.)); #486690=CARTESIAN_POINT('',(31.712803,46.462803,0.035)); #486691=CARTESIAN_POINT('',(31.712803,46.462803,0.035)); #486692=CARTESIAN_POINT('',(31.712803,46.462803,0.)); #486693=CARTESIAN_POINT('Origin',(31.753072,46.489941,0.)); #486694=CARTESIAN_POINT('',(31.753072,46.489941,0.)); #486695=CARTESIAN_POINT('',(31.753072,46.489941,0.)); #486696=CARTESIAN_POINT('',(31.753072,46.489941,0.035)); #486697=CARTESIAN_POINT('',(31.753072,46.489941,0.035)); #486698=CARTESIAN_POINT('',(31.753072,46.489941,0.)); #486699=CARTESIAN_POINT('Origin',(31.802606,46.5,0.)); #486700=CARTESIAN_POINT('',(31.802606,46.5,0.)); #486701=CARTESIAN_POINT('',(31.802606,46.5,0.)); #486702=CARTESIAN_POINT('',(31.802606,46.5,0.035)); #486703=CARTESIAN_POINT('',(31.802606,46.5,0.035)); #486704=CARTESIAN_POINT('',(31.802606,46.5,0.)); #486705=CARTESIAN_POINT('Origin',(36.072394,46.5,0.)); #486706=CARTESIAN_POINT('',(36.072394,46.5,0.)); #486707=CARTESIAN_POINT('',(36.072394,46.5,0.)); #486708=CARTESIAN_POINT('',(36.072394,46.5,0.035)); #486709=CARTESIAN_POINT('',(36.072394,46.5,0.035)); #486710=CARTESIAN_POINT('',(36.072394,46.5,0.)); #486711=CARTESIAN_POINT('Origin',(36.120056,46.490716,0.)); #486712=CARTESIAN_POINT('',(36.120056,46.490716,0.)); #486713=CARTESIAN_POINT('',(36.120056,46.490716,0.)); #486714=CARTESIAN_POINT('',(36.120056,46.490716,0.035)); #486715=CARTESIAN_POINT('',(36.120056,46.490716,0.035)); #486716=CARTESIAN_POINT('',(36.120056,46.490716,0.)); #486717=CARTESIAN_POINT('Origin',(36.162197,46.462803,0.)); #486718=CARTESIAN_POINT('',(36.162197,46.462803,0.)); #486719=CARTESIAN_POINT('',(36.162197,46.462803,0.)); #486720=CARTESIAN_POINT('',(36.162197,46.462803,0.035)); #486721=CARTESIAN_POINT('',(36.162197,46.462803,0.035)); #486722=CARTESIAN_POINT('',(36.162197,46.462803,0.)); #486723=CARTESIAN_POINT('Origin',(36.587803,46.037197,0.)); #486724=CARTESIAN_POINT('',(36.587803,46.037197,0.)); #486725=CARTESIAN_POINT('',(36.587803,46.037197,0.)); #486726=CARTESIAN_POINT('',(36.587803,46.037197,0.035)); #486727=CARTESIAN_POINT('',(36.587803,46.037197,0.035)); #486728=CARTESIAN_POINT('',(36.587803,46.037197,0.)); #486729=CARTESIAN_POINT('Origin',(36.614941,45.996928,0.)); #486730=CARTESIAN_POINT('',(36.614941,45.996928,0.)); #486731=CARTESIAN_POINT('',(36.614941,45.996928,0.)); #486732=CARTESIAN_POINT('',(36.614941,45.996928,0.035)); #486733=CARTESIAN_POINT('',(36.614941,45.996928,0.035)); #486734=CARTESIAN_POINT('',(36.614941,45.996928,0.)); #486735=CARTESIAN_POINT('Origin',(36.625,45.947394,0.)); #486736=CARTESIAN_POINT('',(36.625,45.947394,0.)); #486737=CARTESIAN_POINT('',(36.625,45.947394,0.)); #486738=CARTESIAN_POINT('',(36.625,45.947394,0.035)); #486739=CARTESIAN_POINT('',(36.625,45.947394,0.035)); #486740=CARTESIAN_POINT('',(36.625,45.947394,0.)); #486741=CARTESIAN_POINT('Origin',(36.625,37.321494,0.)); #486742=CARTESIAN_POINT('',(36.625,37.321494,0.)); #486743=CARTESIAN_POINT('',(36.625,37.321494,0.)); #486744=CARTESIAN_POINT('',(36.625,37.321494,0.035)); #486745=CARTESIAN_POINT('',(36.625,37.321494,0.035)); #486746=CARTESIAN_POINT('',(36.625,37.321494,0.)); #486747=CARTESIAN_POINT('Origin',(36.626284,37.312475,0.)); #486748=CARTESIAN_POINT('',(36.626284,37.312475,0.)); #486749=CARTESIAN_POINT('',(36.626284,37.312475,0.)); #486750=CARTESIAN_POINT('',(36.626284,37.312475,0.035)); #486751=CARTESIAN_POINT('',(36.626284,37.312475,0.035)); #486752=CARTESIAN_POINT('',(36.626284,37.312475,0.)); #486753=CARTESIAN_POINT('Origin',(36.630653,37.306847,0.)); #486754=CARTESIAN_POINT('',(36.630653,37.306847,0.)); #486755=CARTESIAN_POINT('',(36.630653,37.306847,0.)); #486756=CARTESIAN_POINT('',(36.630653,37.306847,0.035)); #486757=CARTESIAN_POINT('',(36.630653,37.306847,0.035)); #486758=CARTESIAN_POINT('',(36.630653,37.306847,0.)); #486759=CARTESIAN_POINT('Origin',(36.795481,37.142022,0.)); #486760=CARTESIAN_POINT('',(36.795481,37.142022,0.)); #486761=CARTESIAN_POINT('',(36.795481,37.142022,0.)); #486762=CARTESIAN_POINT('',(36.795481,37.142022,0.035)); #486763=CARTESIAN_POINT('',(36.795481,37.142022,0.035)); #486764=CARTESIAN_POINT('',(36.795481,37.142022,0.)); #486765=CARTESIAN_POINT('Origin',(36.821816,37.103613,0.)); #486766=CARTESIAN_POINT('',(36.821816,37.103613,0.)); #486767=CARTESIAN_POINT('',(36.821816,37.103613,0.)); #486768=CARTESIAN_POINT('',(36.821816,37.103613,0.035)); #486769=CARTESIAN_POINT('',(36.821816,37.103613,0.035)); #486770=CARTESIAN_POINT('',(36.821816,37.103613,0.)); #486771=CARTESIAN_POINT('Origin',(36.832663,37.054244,0.)); #486772=CARTESIAN_POINT('',(36.832663,37.054244,0.)); #486773=CARTESIAN_POINT('',(36.832663,37.054244,0.)); #486774=CARTESIAN_POINT('',(36.832663,37.054244,0.035)); #486775=CARTESIAN_POINT('',(36.832663,37.054244,0.035)); #486776=CARTESIAN_POINT('',(36.832663,37.054244,0.)); #486777=CARTESIAN_POINT('Origin',(36.823394,37.004556,0.)); #486778=CARTESIAN_POINT('',(36.823394,37.004556,0.)); #486779=CARTESIAN_POINT('',(36.823394,37.004556,0.)); #486780=CARTESIAN_POINT('',(36.823394,37.004556,0.035)); #486781=CARTESIAN_POINT('',(36.823394,37.004556,0.035)); #486782=CARTESIAN_POINT('',(36.823394,37.004556,0.)); #486783=CARTESIAN_POINT('Origin',(36.795481,36.962416,0.)); #486784=CARTESIAN_POINT('',(36.795481,36.962416,0.)); #486785=CARTESIAN_POINT('',(36.795481,36.962416,0.)); #486786=CARTESIAN_POINT('',(36.795481,36.962416,0.035)); #486787=CARTESIAN_POINT('',(36.795481,36.962416,0.035)); #486788=CARTESIAN_POINT('',(36.795481,36.962416,0.)); #486789=CARTESIAN_POINT('Origin',(36.7601,36.927034,0.)); #486790=CARTESIAN_POINT('',(36.7601,36.927034,0.)); #486791=CARTESIAN_POINT('',(36.7601,36.927034,0.)); #486792=CARTESIAN_POINT('',(36.7601,36.927034,0.035)); #486793=CARTESIAN_POINT('',(36.7601,36.927034,0.035)); #486794=CARTESIAN_POINT('',(36.7601,36.927034,0.)); #486795=CARTESIAN_POINT('Origin',(36.7601,36.572966,0.)); #486796=CARTESIAN_POINT('',(36.7601,36.572966,0.)); #486797=CARTESIAN_POINT('',(36.7601,36.572966,0.)); #486798=CARTESIAN_POINT('',(36.7601,36.572966,0.035)); #486799=CARTESIAN_POINT('',(36.7601,36.572966,0.035)); #486800=CARTESIAN_POINT('',(36.7601,36.572966,0.)); #486801=CARTESIAN_POINT('Origin',(37.010466,36.3226,0.)); #486802=CARTESIAN_POINT('',(37.010466,36.3226,0.)); #486803=CARTESIAN_POINT('',(37.010466,36.3226,0.)); #486804=CARTESIAN_POINT('',(37.010466,36.3226,0.035)); #486805=CARTESIAN_POINT('',(37.010466,36.3226,0.035)); #486806=CARTESIAN_POINT('',(37.010466,36.3226,0.)); #486807=CARTESIAN_POINT('Origin',(37.0605,36.3226,0.)); #486808=CARTESIAN_POINT('',(37.0605,36.3226,0.)); #486809=CARTESIAN_POINT('',(37.0605,36.3226,0.)); #486810=CARTESIAN_POINT('',(37.0605,36.3226,0.035)); #486811=CARTESIAN_POINT('',(37.0605,36.3226,0.035)); #486812=CARTESIAN_POINT('',(37.0605,36.3226,0.)); #486813=CARTESIAN_POINT('Origin',(37.106281,36.314062,0.)); #486814=CARTESIAN_POINT('',(37.106281,36.314062,0.)); #486815=CARTESIAN_POINT('',(37.106281,36.314062,0.)); #486816=CARTESIAN_POINT('',(37.106281,36.314062,0.035)); #486817=CARTESIAN_POINT('',(37.106281,36.314062,0.035)); #486818=CARTESIAN_POINT('',(37.106281,36.314062,0.)); #486819=CARTESIAN_POINT('Origin',(37.148859,36.286822,0.)); #486820=CARTESIAN_POINT('',(37.148859,36.286822,0.)); #486821=CARTESIAN_POINT('',(37.148859,36.286822,0.)); #486822=CARTESIAN_POINT('',(37.148859,36.286822,0.035)); #486823=CARTESIAN_POINT('',(37.148859,36.286822,0.035)); #486824=CARTESIAN_POINT('',(37.148859,36.286822,0.)); #486825=CARTESIAN_POINT('Origin',(37.177441,36.245134,0.)); #486826=CARTESIAN_POINT('',(37.177441,36.245134,0.)); #486827=CARTESIAN_POINT('',(37.177441,36.245134,0.)); #486828=CARTESIAN_POINT('',(37.177441,36.245134,0.035)); #486829=CARTESIAN_POINT('',(37.177441,36.245134,0.035)); #486830=CARTESIAN_POINT('',(37.177441,36.245134,0.)); #486831=CARTESIAN_POINT('Origin',(37.1875,36.1956,0.)); #486832=CARTESIAN_POINT('',(37.1875,36.1956,0.)); #486833=CARTESIAN_POINT('',(37.1875,36.1956,0.)); #486834=CARTESIAN_POINT('',(37.1875,36.1956,0.035)); #486835=CARTESIAN_POINT('',(37.1875,36.1956,0.035)); #486836=CARTESIAN_POINT('',(37.1875,36.1956,0.)); #486837=CARTESIAN_POINT('Origin',(37.1875,33.571494,0.)); #486838=CARTESIAN_POINT('',(37.1875,33.571494,0.)); #486839=CARTESIAN_POINT('',(37.1875,33.571494,0.)); #486840=CARTESIAN_POINT('',(37.1875,33.571494,0.035)); #486841=CARTESIAN_POINT('',(37.1875,33.571494,0.035)); #486842=CARTESIAN_POINT('',(37.1875,33.571494,0.)); #486843=CARTESIAN_POINT('Origin',(37.188784,33.562475,0.)); #486844=CARTESIAN_POINT('',(37.188784,33.562475,0.)); #486845=CARTESIAN_POINT('',(37.188784,33.562475,0.)); #486846=CARTESIAN_POINT('',(37.188784,33.562475,0.035)); #486847=CARTESIAN_POINT('',(37.188784,33.562475,0.035)); #486848=CARTESIAN_POINT('',(37.188784,33.562475,0.)); #486849=CARTESIAN_POINT('Origin',(37.193153,33.556847,0.)); #486850=CARTESIAN_POINT('',(37.193153,33.556847,0.)); #486851=CARTESIAN_POINT('',(37.193153,33.556847,0.)); #486852=CARTESIAN_POINT('',(37.193153,33.556847,0.035)); #486853=CARTESIAN_POINT('',(37.193153,33.556847,0.035)); #486854=CARTESIAN_POINT('',(37.193153,33.556847,0.)); #486855=CARTESIAN_POINT('Origin',(37.962803,32.787197,0.)); #486856=CARTESIAN_POINT('',(37.962803,32.787197,0.)); #486857=CARTESIAN_POINT('',(37.962803,32.787197,0.)); #486858=CARTESIAN_POINT('',(37.962803,32.787197,0.035)); #486859=CARTESIAN_POINT('',(37.962803,32.787197,0.035)); #486860=CARTESIAN_POINT('',(37.962803,32.787197,0.)); #486861=CARTESIAN_POINT('Origin',(37.989941,32.746928,0.)); #486862=CARTESIAN_POINT('',(37.989941,32.746928,0.)); #486863=CARTESIAN_POINT('',(37.989941,32.746928,0.)); #486864=CARTESIAN_POINT('',(37.989941,32.746928,0.035)); #486865=CARTESIAN_POINT('',(37.989941,32.746928,0.035)); #486866=CARTESIAN_POINT('',(37.989941,32.746928,0.)); #486867=CARTESIAN_POINT('Origin',(38.,32.697394,0.)); #486868=CARTESIAN_POINT('',(38.,32.697394,0.)); #486869=CARTESIAN_POINT('',(38.,32.697394,0.)); #486870=CARTESIAN_POINT('',(38.,32.697394,0.035)); #486871=CARTESIAN_POINT('',(38.,32.697394,0.035)); #486872=CARTESIAN_POINT('',(38.,32.697394,0.)); #486873=CARTESIAN_POINT('Origin',(38.,28.627,0.)); #486874=CARTESIAN_POINT('',(38.,28.627,0.)); #486875=CARTESIAN_POINT('',(38.,28.627,0.)); #486876=CARTESIAN_POINT('',(38.,28.627,0.035)); #486877=CARTESIAN_POINT('',(38.,28.627,0.035)); #486878=CARTESIAN_POINT('',(38.,28.627,0.)); #486879=CARTESIAN_POINT('Origin',(42.006072,28.627,0.)); #486880=CARTESIAN_POINT('',(42.006072,28.627,0.)); #486881=CARTESIAN_POINT('',(42.006072,28.627,0.)); #486882=CARTESIAN_POINT('',(42.006072,28.627,0.035)); #486883=CARTESIAN_POINT('',(42.006072,28.627,0.035)); #486884=CARTESIAN_POINT('',(42.006072,28.627,0.)); #486885=CARTESIAN_POINT('Origin',(42.25,28.5000000647263,0.)); #486886=CARTESIAN_POINT('',(42.493928,28.627,0.)); #486887=CARTESIAN_POINT('Origin',(42.25,28.5000000647263,0.)); #486888=CARTESIAN_POINT('',(42.493928,28.627,0.035)); #486889=CARTESIAN_POINT('Origin',(42.25,28.5000000647263,0.035)); #486890=CARTESIAN_POINT('',(42.493928,28.627,0.)); #486891=CARTESIAN_POINT('Origin',(44.947394,28.627,0.)); #486892=CARTESIAN_POINT('',(44.947394,28.627,0.)); #486893=CARTESIAN_POINT('',(44.947394,28.627,0.)); #486894=CARTESIAN_POINT('',(44.947394,28.627,0.035)); #486895=CARTESIAN_POINT('',(44.947394,28.627,0.035)); #486896=CARTESIAN_POINT('',(44.947394,28.627,0.)); #486897=CARTESIAN_POINT('Origin',(46.123,29.802606,0.)); #486898=CARTESIAN_POINT('',(46.123,29.802606,0.)); #486899=CARTESIAN_POINT('',(46.123,29.802606,0.)); #486900=CARTESIAN_POINT('',(46.123,29.802606,0.035)); #486901=CARTESIAN_POINT('',(46.123,29.802606,0.035)); #486902=CARTESIAN_POINT('',(46.123,29.802606,0.)); #486903=CARTESIAN_POINT('Origin',(46.123,33.184097,0.)); #486904=CARTESIAN_POINT('',(46.123,33.184097,0.)); #486905=CARTESIAN_POINT('',(46.123,33.184097,0.)); #486906=CARTESIAN_POINT('',(46.123,33.184097,0.035)); #486907=CARTESIAN_POINT('',(46.123,33.184097,0.035)); #486908=CARTESIAN_POINT('',(46.123,33.184097,0.)); #486909=CARTESIAN_POINT('Origin',(46.2500004596647,34.0001357157145,0.)); #486910=CARTESIAN_POINT('',(46.1288,34.817056,0.)); #486911=CARTESIAN_POINT('Origin',(46.2500004596647,34.0001357157145,0.)); #486912=CARTESIAN_POINT('',(46.1288,34.817056,0.035)); #486913=CARTESIAN_POINT('Origin',(46.2500004596647,34.0001357157145,0.035)); #486914=CARTESIAN_POINT('',(46.1288,34.817056,0.)); #486915=CARTESIAN_POINT('Origin',(46.2499798318516,34.0001326519093,0.)); #486916=CARTESIAN_POINT('',(46.377,33.184097,0.)); #486917=CARTESIAN_POINT('Origin',(46.2499798318516,34.0001326519093,0.)); #486918=CARTESIAN_POINT('',(46.377,33.184097,0.035)); #486919=CARTESIAN_POINT('Origin',(46.2499798318516,34.0001326519093,0.035)); #486920=CARTESIAN_POINT('',(46.377,33.184097,0.)); #486921=CARTESIAN_POINT('Origin',(46.377,29.75,0.)); #486922=CARTESIAN_POINT('',(46.377,29.75,0.)); #486923=CARTESIAN_POINT('',(46.377,29.75,0.)); #486924=CARTESIAN_POINT('',(46.377,29.75,0.035)); #486925=CARTESIAN_POINT('',(46.377,29.75,0.035)); #486926=CARTESIAN_POINT('',(46.377,29.75,0.)); #486927=CARTESIAN_POINT('Origin',(46.2499996912748,29.7499992502996,0.)); #486928=CARTESIAN_POINT('',(46.339803,29.660197,0.)); #486929=CARTESIAN_POINT('Origin',(46.2499996912748,29.7499992502996,0.)); #486930=CARTESIAN_POINT('',(46.339803,29.660197,0.035)); #486931=CARTESIAN_POINT('Origin',(46.2499996912748,29.7499992502996,0.035)); #486932=CARTESIAN_POINT('',(46.339803,29.660197,0.)); #486933=CARTESIAN_POINT('Origin',(45.089803,28.410197,0.)); #486934=CARTESIAN_POINT('',(45.089803,28.410197,0.)); #486935=CARTESIAN_POINT('',(45.089803,28.410197,0.)); #486936=CARTESIAN_POINT('',(45.089803,28.410197,0.035)); #486937=CARTESIAN_POINT('',(45.089803,28.410197,0.035)); #486938=CARTESIAN_POINT('',(45.089803,28.410197,0.)); #486939=CARTESIAN_POINT('Origin',(45.0000007497004,28.5000003087252,0.)); #486940=CARTESIAN_POINT('',(45.,28.373,0.)); #486941=CARTESIAN_POINT('Origin',(45.0000007497004,28.5000003087252,0.)); #486942=CARTESIAN_POINT('',(45.,28.373,0.035)); #486943=CARTESIAN_POINT('Origin',(45.0000007497004,28.5000003087252,0.035)); #486944=CARTESIAN_POINT('',(45.,28.373,0.)); #486945=CARTESIAN_POINT('Origin',(42.493928,28.373,0.)); #486946=CARTESIAN_POINT('',(42.493928,28.373,0.)); #486947=CARTESIAN_POINT('',(42.493928,28.373,0.)); #486948=CARTESIAN_POINT('',(42.493928,28.373,0.035)); #486949=CARTESIAN_POINT('',(42.493928,28.373,0.035)); #486950=CARTESIAN_POINT('',(42.493928,28.373,0.)); #486951=CARTESIAN_POINT('Origin',(42.25,28.4999999352737,0.)); #486952=CARTESIAN_POINT('',(42.006072,28.373,0.)); #486953=CARTESIAN_POINT('Origin',(42.25,28.4999999352737,0.)); #486954=CARTESIAN_POINT('',(42.006072,28.373,0.035)); #486955=CARTESIAN_POINT('Origin',(42.25,28.4999999352737,0.035)); #486956=CARTESIAN_POINT('',(42.006072,28.373,0.)); #486957=CARTESIAN_POINT('Origin',(38.,28.373,0.)); #486958=CARTESIAN_POINT('',(38.,28.373,0.)); #486959=CARTESIAN_POINT('',(38.,28.373,0.)); #486960=CARTESIAN_POINT('',(38.,28.373,0.035)); #486961=CARTESIAN_POINT('',(38.,28.373,0.035)); #486962=CARTESIAN_POINT('',(38.,28.373,0.)); #486963=CARTESIAN_POINT('Origin',(38.,28.1176,0.)); #486964=CARTESIAN_POINT('',(38.,28.1176,0.)); #486965=CARTESIAN_POINT('',(38.,28.1176,0.)); #486966=CARTESIAN_POINT('',(38.,28.1176,0.035)); #486967=CARTESIAN_POINT('',(38.,28.1176,0.035)); #486968=CARTESIAN_POINT('',(38.,28.1176,0.)); #486969=CARTESIAN_POINT('Origin',(37.991463,28.071819,0.)); #486970=CARTESIAN_POINT('',(37.991463,28.071819,0.)); #486971=CARTESIAN_POINT('',(37.991463,28.071819,0.)); #486972=CARTESIAN_POINT('',(37.991463,28.071819,0.035)); #486973=CARTESIAN_POINT('',(37.991463,28.071819,0.035)); #486974=CARTESIAN_POINT('',(37.991463,28.071819,0.)); #486975=CARTESIAN_POINT('Origin',(37.964222,28.029241,0.)); #486976=CARTESIAN_POINT('',(37.964222,28.029241,0.)); #486977=CARTESIAN_POINT('',(37.964222,28.029241,0.)); #486978=CARTESIAN_POINT('',(37.964222,28.029241,0.035)); #486979=CARTESIAN_POINT('',(37.964222,28.029241,0.035)); #486980=CARTESIAN_POINT('',(37.964222,28.029241,0.)); #486981=CARTESIAN_POINT('Origin',(37.922534,28.000659,0.)); #486982=CARTESIAN_POINT('',(37.922534,28.000659,0.)); #486983=CARTESIAN_POINT('',(37.922534,28.000659,0.)); #486984=CARTESIAN_POINT('',(37.922534,28.000659,0.035)); #486985=CARTESIAN_POINT('',(37.922534,28.000659,0.035)); #486986=CARTESIAN_POINT('',(37.922534,28.000659,0.)); #486987=CARTESIAN_POINT('Origin',(37.913547,27.998834,0.)); #486988=CARTESIAN_POINT('',(37.913547,27.998834,0.)); #486989=CARTESIAN_POINT('',(37.913547,27.998834,0.)); #486990=CARTESIAN_POINT('',(37.913547,27.998834,0.035)); #486991=CARTESIAN_POINT('',(37.913547,27.998834,0.035)); #486992=CARTESIAN_POINT('',(37.913547,27.998834,0.)); #486993=CARTESIAN_POINT('Origin',(36.868009,26.953297,0.)); #486994=CARTESIAN_POINT('',(36.868009,26.953297,0.)); #486995=CARTESIAN_POINT('',(36.868009,26.953297,0.)); #486996=CARTESIAN_POINT('',(36.868009,26.953297,0.035)); #486997=CARTESIAN_POINT('',(36.868009,26.953297,0.035)); #486998=CARTESIAN_POINT('',(36.868009,26.953297,0.)); #486999=CARTESIAN_POINT('Origin',(36.827741,26.926159,0.)); #487000=CARTESIAN_POINT('',(36.827741,26.926159,0.)); #487001=CARTESIAN_POINT('',(36.827741,26.926159,0.035)); #487002=CARTESIAN_POINT('Origin',(38.12538092605,34.6211128852424,0.035)); #487003=CARTESIAN_POINT('Origin',(38.12538092605,34.6211128852424,0.)); #487004=CARTESIAN_POINT('Origin',(40.75,27.,-200.)); #487005=CARTESIAN_POINT('',(40.61,27.,0.)); #487006=CARTESIAN_POINT('Origin',(40.75,27.,0.)); #487007=CARTESIAN_POINT('',(40.61,27.,0.0349999999999895)); #487008=CARTESIAN_POINT('',(40.61,27.,-200.)); #487009=CARTESIAN_POINT('Origin',(40.75,27.,0.0349999999999895)); #487010=CARTESIAN_POINT('Origin',(20.4856,23.098,0.)); #487011=CARTESIAN_POINT('',(20.4856,23.098,0.)); #487012=CARTESIAN_POINT('',(20.491697,23.098678,0.)); #487013=CARTESIAN_POINT('',(20.4856,23.098,0.)); #487014=CARTESIAN_POINT('',(20.491697,23.098678,0.035)); #487015=CARTESIAN_POINT('',(20.491697,23.098678,0.)); #487016=CARTESIAN_POINT('',(20.4856,23.098,0.035)); #487017=CARTESIAN_POINT('',(20.4856,23.098,0.035)); #487018=CARTESIAN_POINT('',(20.4856,23.098,0.)); #487019=CARTESIAN_POINT('Origin',(19.5144,23.098,0.)); #487020=CARTESIAN_POINT('',(19.5144,23.098,0.)); #487021=CARTESIAN_POINT('',(19.5144,23.098,0.)); #487022=CARTESIAN_POINT('',(19.5144,23.098,0.035)); #487023=CARTESIAN_POINT('',(19.5144,23.098,0.035)); #487024=CARTESIAN_POINT('',(19.5144,23.098,0.)); #487025=CARTESIAN_POINT('Origin',(19.514400595999,23.2249997563998,0.)); #487026=CARTESIAN_POINT('',(19.423591,23.136216,0.)); #487027=CARTESIAN_POINT('Origin',(19.514400595999,23.2249997563998,0.)); #487028=CARTESIAN_POINT('',(19.423591,23.136216,0.035)); #487029=CARTESIAN_POINT('Origin',(19.514400595999,23.2249997563998,0.035)); #487030=CARTESIAN_POINT('',(19.423591,23.136216,0.)); #487031=CARTESIAN_POINT('Origin',(19.053259,23.515,0.)); #487032=CARTESIAN_POINT('',(19.053259,23.515,0.)); #487033=CARTESIAN_POINT('',(19.053259,23.515,0.)); #487034=CARTESIAN_POINT('',(19.053259,23.515,0.035)); #487035=CARTESIAN_POINT('',(19.053259,23.515,0.035)); #487036=CARTESIAN_POINT('',(19.053259,23.515,0.)); #487037=CARTESIAN_POINT('Origin',(18.7325,23.515,0.)); #487038=CARTESIAN_POINT('',(18.7325,23.515,0.)); #487039=CARTESIAN_POINT('',(18.7325,23.515,0.)); #487040=CARTESIAN_POINT('',(18.7325,23.515,0.035)); #487041=CARTESIAN_POINT('',(18.7325,23.515,0.035)); #487042=CARTESIAN_POINT('',(18.7325,23.515,0.)); #487043=CARTESIAN_POINT('Origin',(18.7325,24.785,0.)); #487044=CARTESIAN_POINT('',(18.7325,24.785,0.)); #487045=CARTESIAN_POINT('',(18.7325,24.785,0.)); #487046=CARTESIAN_POINT('',(18.7325,24.785,0.035)); #487047=CARTESIAN_POINT('',(18.7325,24.785,0.035)); #487048=CARTESIAN_POINT('',(18.7325,24.785,0.)); #487049=CARTESIAN_POINT('Origin',(19.3675,24.785,0.)); #487050=CARTESIAN_POINT('',(19.3675,24.785,0.)); #487051=CARTESIAN_POINT('',(19.3675,24.785,0.)); #487052=CARTESIAN_POINT('',(19.3675,24.785,0.035)); #487053=CARTESIAN_POINT('',(19.3675,24.785,0.035)); #487054=CARTESIAN_POINT('',(19.3675,24.785,0.)); #487055=CARTESIAN_POINT('Origin',(19.3675,23.556919,0.)); #487056=CARTESIAN_POINT('',(19.3675,23.556919,0.)); #487057=CARTESIAN_POINT('',(19.3675,23.556919,0.)); #487058=CARTESIAN_POINT('',(19.3675,23.556919,0.035)); #487059=CARTESIAN_POINT('',(19.3675,23.556919,0.035)); #487060=CARTESIAN_POINT('',(19.3675,23.556919,0.)); #487061=CARTESIAN_POINT('Origin',(19.567847,23.352,0.)); #487062=CARTESIAN_POINT('',(19.567847,23.352,0.)); #487063=CARTESIAN_POINT('',(19.567847,23.352,0.)); #487064=CARTESIAN_POINT('',(19.567847,23.352,0.035)); #487065=CARTESIAN_POINT('',(19.567847,23.352,0.035)); #487066=CARTESIAN_POINT('',(19.567847,23.352,0.)); #487067=CARTESIAN_POINT('Origin',(20.432153,23.352,0.)); #487068=CARTESIAN_POINT('',(20.432153,23.352,0.)); #487069=CARTESIAN_POINT('',(20.432153,23.352,0.)); #487070=CARTESIAN_POINT('',(20.432153,23.352,0.035)); #487071=CARTESIAN_POINT('',(20.432153,23.352,0.035)); #487072=CARTESIAN_POINT('',(20.432153,23.352,0.)); #487073=CARTESIAN_POINT('Origin',(20.6325,23.556919,0.)); #487074=CARTESIAN_POINT('',(20.6325,23.556919,0.)); #487075=CARTESIAN_POINT('',(20.6325,23.556919,0.)); #487076=CARTESIAN_POINT('',(20.6325,23.556919,0.035)); #487077=CARTESIAN_POINT('',(20.6325,23.556919,0.035)); #487078=CARTESIAN_POINT('',(20.6325,23.556919,0.)); #487079=CARTESIAN_POINT('Origin',(20.6325,24.785,0.)); #487080=CARTESIAN_POINT('',(20.6325,24.785,0.)); #487081=CARTESIAN_POINT('',(20.6325,24.785,0.)); #487082=CARTESIAN_POINT('',(20.6325,24.785,0.035)); #487083=CARTESIAN_POINT('',(20.6325,24.785,0.035)); #487084=CARTESIAN_POINT('',(20.6325,24.785,0.)); #487085=CARTESIAN_POINT('Origin',(20.823,24.785,0.)); #487086=CARTESIAN_POINT('',(20.823,24.785,0.)); #487087=CARTESIAN_POINT('',(20.823,24.785,0.)); #487088=CARTESIAN_POINT('',(20.823,24.785,0.035)); #487089=CARTESIAN_POINT('',(20.823,24.785,0.035)); #487090=CARTESIAN_POINT('',(20.823,24.785,0.)); #487091=CARTESIAN_POINT('Origin',(20.823,25.5555,0.)); #487092=CARTESIAN_POINT('',(20.823,25.5555,0.)); #487093=CARTESIAN_POINT('',(20.823,25.5555,0.)); #487094=CARTESIAN_POINT('',(20.823,25.5555,0.035)); #487095=CARTESIAN_POINT('',(20.823,25.5555,0.035)); #487096=CARTESIAN_POINT('',(20.823,25.5555,0.)); #487097=CARTESIAN_POINT('Origin',(20.3429,25.5555,0.)); #487098=CARTESIAN_POINT('',(20.3429,25.5555,0.)); #487099=CARTESIAN_POINT('',(20.3429,25.5555,0.)); #487100=CARTESIAN_POINT('',(20.3429,25.5555,0.035)); #487101=CARTESIAN_POINT('',(20.3429,25.5555,0.035)); #487102=CARTESIAN_POINT('',(20.3429,25.5555,0.)); #487103=CARTESIAN_POINT('Origin',(20.3429,26.4445,0.)); #487104=CARTESIAN_POINT('',(20.3429,26.4445,0.)); #487105=CARTESIAN_POINT('',(20.3429,26.4445,0.)); #487106=CARTESIAN_POINT('',(20.3429,26.4445,0.035)); #487107=CARTESIAN_POINT('',(20.3429,26.4445,0.035)); #487108=CARTESIAN_POINT('',(20.3429,26.4445,0.)); #487109=CARTESIAN_POINT('Origin',(21.1811,26.4445,0.)); #487110=CARTESIAN_POINT('',(21.1811,26.4445,0.)); #487111=CARTESIAN_POINT('',(21.1811,26.4445,0.)); #487112=CARTESIAN_POINT('',(21.1811,26.4445,0.035)); #487113=CARTESIAN_POINT('',(21.1811,26.4445,0.035)); #487114=CARTESIAN_POINT('',(21.1811,26.4445,0.)); #487115=CARTESIAN_POINT('Origin',(21.1811,25.5555,0.)); #487116=CARTESIAN_POINT('',(21.1811,25.5555,0.)); #487117=CARTESIAN_POINT('',(21.1811,25.5555,0.)); #487118=CARTESIAN_POINT('',(21.1811,25.5555,0.035)); #487119=CARTESIAN_POINT('',(21.1811,25.5555,0.035)); #487120=CARTESIAN_POINT('',(21.1811,25.5555,0.)); #487121=CARTESIAN_POINT('Origin',(21.077,25.5555,0.)); #487122=CARTESIAN_POINT('',(21.077,25.5555,0.)); #487123=CARTESIAN_POINT('',(21.077,25.5555,0.)); #487124=CARTESIAN_POINT('',(21.077,25.5555,0.035)); #487125=CARTESIAN_POINT('',(21.077,25.5555,0.035)); #487126=CARTESIAN_POINT('',(21.077,25.5555,0.)); #487127=CARTESIAN_POINT('Origin',(21.077,24.785,0.)); #487128=CARTESIAN_POINT('',(21.077,24.785,0.)); #487129=CARTESIAN_POINT('',(21.077,24.785,0.)); #487130=CARTESIAN_POINT('',(21.077,24.785,0.035)); #487131=CARTESIAN_POINT('',(21.077,24.785,0.035)); #487132=CARTESIAN_POINT('',(21.077,24.785,0.)); #487133=CARTESIAN_POINT('Origin',(21.2675,24.785,0.)); #487134=CARTESIAN_POINT('',(21.2675,24.785,0.)); #487135=CARTESIAN_POINT('',(21.2675,24.785,0.)); #487136=CARTESIAN_POINT('',(21.2675,24.785,0.035)); #487137=CARTESIAN_POINT('',(21.2675,24.785,0.035)); #487138=CARTESIAN_POINT('',(21.2675,24.785,0.)); #487139=CARTESIAN_POINT('Origin',(21.2675,24.277,0.)); #487140=CARTESIAN_POINT('',(21.2675,24.277,0.)); #487141=CARTESIAN_POINT('',(21.2675,24.277,0.)); #487142=CARTESIAN_POINT('',(21.2675,24.277,0.035)); #487143=CARTESIAN_POINT('',(21.2675,24.277,0.035)); #487144=CARTESIAN_POINT('',(21.2675,24.277,0.)); #487145=CARTESIAN_POINT('Origin',(23.35,24.277,0.)); #487146=CARTESIAN_POINT('',(23.35,24.277,0.)); #487147=CARTESIAN_POINT('',(23.35,24.277,0.)); #487148=CARTESIAN_POINT('',(23.35,24.277,0.035)); #487149=CARTESIAN_POINT('',(23.35,24.277,0.035)); #487150=CARTESIAN_POINT('',(23.35,24.277,0.)); #487151=CARTESIAN_POINT('Origin',(23.3500007497004,24.1499996912748,0.)); #487152=CARTESIAN_POINT('',(23.439803,24.239803,0.)); #487153=CARTESIAN_POINT('Origin',(23.3500007497004,24.1499996912748,0.)); #487154=CARTESIAN_POINT('',(23.439803,24.239803,0.035)); #487155=CARTESIAN_POINT('Origin',(23.3500007497004,24.1499996912748,0.035)); #487156=CARTESIAN_POINT('',(23.439803,24.239803,0.)); #487157=CARTESIAN_POINT('Origin',(23.802606,23.877,0.)); #487158=CARTESIAN_POINT('',(23.802606,23.877,0.)); #487159=CARTESIAN_POINT('',(23.802606,23.877,0.)); #487160=CARTESIAN_POINT('',(23.802606,23.877,0.035)); #487161=CARTESIAN_POINT('',(23.802606,23.877,0.035)); #487162=CARTESIAN_POINT('',(23.802606,23.877,0.)); #487163=CARTESIAN_POINT('Origin',(28.447394,23.877,0.)); #487164=CARTESIAN_POINT('',(28.447394,23.877,0.)); #487165=CARTESIAN_POINT('',(28.447394,23.877,0.)); #487166=CARTESIAN_POINT('',(28.447394,23.877,0.035)); #487167=CARTESIAN_POINT('',(28.447394,23.877,0.035)); #487168=CARTESIAN_POINT('',(28.447394,23.877,0.)); #487169=CARTESIAN_POINT('Origin',(30.972697,26.402303,0.)); #487170=CARTESIAN_POINT('',(30.972697,26.402303,0.)); #487171=CARTESIAN_POINT('',(30.972697,26.402303,0.)); #487172=CARTESIAN_POINT('',(30.972697,26.402303,0.035)); #487173=CARTESIAN_POINT('',(30.972697,26.402303,0.035)); #487174=CARTESIAN_POINT('',(30.972697,26.402303,0.)); #487175=CARTESIAN_POINT('Origin',(31.0624992502996,26.3124996912748,0.)); #487176=CARTESIAN_POINT('',(31.0625,26.4395,0.)); #487177=CARTESIAN_POINT('Origin',(31.0624992502996,26.3124996912748,0.)); #487178=CARTESIAN_POINT('',(31.0625,26.4395,0.035)); #487179=CARTESIAN_POINT('Origin',(31.0624992502996,26.3124996912748,0.035)); #487180=CARTESIAN_POINT('',(31.0625,26.4395,0.)); #487181=CARTESIAN_POINT('Origin',(37.572394,26.4395,0.)); #487182=CARTESIAN_POINT('',(37.572394,26.4395,0.)); #487183=CARTESIAN_POINT('',(37.572394,26.4395,0.)); #487184=CARTESIAN_POINT('',(37.572394,26.4395,0.035)); #487185=CARTESIAN_POINT('',(37.572394,26.4395,0.035)); #487186=CARTESIAN_POINT('',(37.572394,26.4395,0.)); #487187=CARTESIAN_POINT('Origin',(38.222697,27.089803,0.)); #487188=CARTESIAN_POINT('',(38.222697,27.089803,0.)); #487189=CARTESIAN_POINT('',(38.222697,27.089803,0.)); #487190=CARTESIAN_POINT('',(38.222697,27.089803,0.035)); #487191=CARTESIAN_POINT('',(38.222697,27.089803,0.035)); #487192=CARTESIAN_POINT('',(38.222697,27.089803,0.)); #487193=CARTESIAN_POINT('Origin',(38.3124992502996,26.9999996912748,0.)); #487194=CARTESIAN_POINT('',(38.3125,27.127,0.)); #487195=CARTESIAN_POINT('Origin',(38.3124992502996,26.9999996912748,0.)); #487196=CARTESIAN_POINT('',(38.3125,27.127,0.035)); #487197=CARTESIAN_POINT('Origin',(38.3124992502996,26.9999996912748,0.035)); #487198=CARTESIAN_POINT('',(38.3125,27.127,0.)); #487199=CARTESIAN_POINT('Origin',(40.506072,27.127,0.)); #487200=CARTESIAN_POINT('',(40.506072,27.127,0.)); #487201=CARTESIAN_POINT('',(40.506072,27.127,0.)); #487202=CARTESIAN_POINT('',(40.506072,27.127,0.035)); #487203=CARTESIAN_POINT('',(40.506072,27.127,0.035)); #487204=CARTESIAN_POINT('',(40.506072,27.127,0.)); #487205=CARTESIAN_POINT('Origin',(40.7504640048651,26.9999800398209,0.)); #487206=CARTESIAN_POINT('',(41.004853,27.105563,0.)); #487207=CARTESIAN_POINT('Origin',(40.7504640048651,26.9999800398209,0.)); #487208=CARTESIAN_POINT('',(41.004853,27.105563,0.035)); #487209=CARTESIAN_POINT('Origin',(40.7504640048651,26.9999800398209,0.035)); #487210=CARTESIAN_POINT('',(41.004853,27.105563,0.)); #487211=CARTESIAN_POINT('Origin',(40.7503817414141,27.0001782714048,0.)); #487212=CARTESIAN_POINT('',(40.506072,26.873,0.)); #487213=CARTESIAN_POINT('Origin',(40.7503817414141,27.0001782714048,0.)); #487214=CARTESIAN_POINT('',(40.506072,26.873,0.035)); #487215=CARTESIAN_POINT('Origin',(40.7503817414141,27.0001782714048,0.035)); #487216=CARTESIAN_POINT('',(40.506072,26.873,0.)); #487217=CARTESIAN_POINT('Origin',(38.365106,26.873,0.)); #487218=CARTESIAN_POINT('',(38.365106,26.873,0.)); #487219=CARTESIAN_POINT('',(38.365106,26.873,0.)); #487220=CARTESIAN_POINT('',(38.365106,26.873,0.035)); #487221=CARTESIAN_POINT('',(38.365106,26.873,0.035)); #487222=CARTESIAN_POINT('',(38.365106,26.873,0.)); #487223=CARTESIAN_POINT('Origin',(37.714803,26.222697,0.)); #487224=CARTESIAN_POINT('',(37.714803,26.222697,0.)); #487225=CARTESIAN_POINT('',(37.714803,26.222697,0.)); #487226=CARTESIAN_POINT('',(37.714803,26.222697,0.035)); #487227=CARTESIAN_POINT('',(37.714803,26.222697,0.035)); #487228=CARTESIAN_POINT('',(37.714803,26.222697,0.)); #487229=CARTESIAN_POINT('Origin',(37.6250007497004,26.3125003087252,0.)); #487230=CARTESIAN_POINT('',(37.625,26.1855,0.)); #487231=CARTESIAN_POINT('Origin',(37.6250007497004,26.3125003087252,0.)); #487232=CARTESIAN_POINT('',(37.625,26.1855,0.035)); #487233=CARTESIAN_POINT('Origin',(37.6250007497004,26.3125003087252,0.035)); #487234=CARTESIAN_POINT('',(37.625,26.1855,0.)); #487235=CARTESIAN_POINT('Origin',(31.115106,26.1855,0.)); #487236=CARTESIAN_POINT('',(31.115106,26.1855,0.)); #487237=CARTESIAN_POINT('',(31.115106,26.1855,0.)); #487238=CARTESIAN_POINT('',(31.115106,26.1855,0.035)); #487239=CARTESIAN_POINT('',(31.115106,26.1855,0.035)); #487240=CARTESIAN_POINT('',(31.115106,26.1855,0.)); #487241=CARTESIAN_POINT('Origin',(28.589803,23.660197,0.)); #487242=CARTESIAN_POINT('',(28.589803,23.660197,0.)); #487243=CARTESIAN_POINT('',(28.589803,23.660197,0.)); #487244=CARTESIAN_POINT('',(28.589803,23.660197,0.035)); #487245=CARTESIAN_POINT('',(28.589803,23.660197,0.035)); #487246=CARTESIAN_POINT('',(28.589803,23.660197,0.)); #487247=CARTESIAN_POINT('Origin',(28.5000007497004,23.7500003087252,0.)); #487248=CARTESIAN_POINT('',(28.5,23.623,0.)); #487249=CARTESIAN_POINT('Origin',(28.5000007497004,23.7500003087252,0.)); #487250=CARTESIAN_POINT('',(28.5,23.623,0.035)); #487251=CARTESIAN_POINT('Origin',(28.5000007497004,23.7500003087252,0.035)); #487252=CARTESIAN_POINT('',(28.5,23.623,0.)); #487253=CARTESIAN_POINT('Origin',(23.75,23.623,0.)); #487254=CARTESIAN_POINT('',(23.75,23.623,0.)); #487255=CARTESIAN_POINT('',(23.75,23.623,0.)); #487256=CARTESIAN_POINT('',(23.75,23.623,0.035)); #487257=CARTESIAN_POINT('',(23.75,23.623,0.035)); #487258=CARTESIAN_POINT('',(23.75,23.623,0.)); #487259=CARTESIAN_POINT('Origin',(23.7499992502996,23.7500003087252,0.)); #487260=CARTESIAN_POINT('',(23.660197,23.660197,0.)); #487261=CARTESIAN_POINT('Origin',(23.7499992502996,23.7500003087252,0.)); #487262=CARTESIAN_POINT('',(23.660197,23.660197,0.035)); #487263=CARTESIAN_POINT('Origin',(23.7499992502996,23.7500003087252,0.035)); #487264=CARTESIAN_POINT('',(23.660197,23.660197,0.)); #487265=CARTESIAN_POINT('Origin',(23.297394,24.023,0.)); #487266=CARTESIAN_POINT('',(23.297394,24.023,0.)); #487267=CARTESIAN_POINT('',(23.297394,24.023,0.)); #487268=CARTESIAN_POINT('',(23.297394,24.023,0.035)); #487269=CARTESIAN_POINT('',(23.297394,24.023,0.035)); #487270=CARTESIAN_POINT('',(23.297394,24.023,0.)); #487271=CARTESIAN_POINT('Origin',(21.2675,24.023,0.)); #487272=CARTESIAN_POINT('',(21.2675,24.023,0.)); #487273=CARTESIAN_POINT('',(21.2675,24.023,0.)); #487274=CARTESIAN_POINT('',(21.2675,24.023,0.035)); #487275=CARTESIAN_POINT('',(21.2675,24.023,0.035)); #487276=CARTESIAN_POINT('',(21.2675,24.023,0.)); #487277=CARTESIAN_POINT('Origin',(21.2675,23.515,0.)); #487278=CARTESIAN_POINT('',(21.2675,23.515,0.)); #487279=CARTESIAN_POINT('',(21.2675,23.515,0.)); #487280=CARTESIAN_POINT('',(21.2675,23.515,0.035)); #487281=CARTESIAN_POINT('',(21.2675,23.515,0.035)); #487282=CARTESIAN_POINT('',(21.2675,23.515,0.)); #487283=CARTESIAN_POINT('Origin',(20.946741,23.515,0.)); #487284=CARTESIAN_POINT('',(20.946741,23.515,0.)); #487285=CARTESIAN_POINT('',(20.946741,23.515,0.)); #487286=CARTESIAN_POINT('',(20.946741,23.515,0.035)); #487287=CARTESIAN_POINT('',(20.946741,23.515,0.035)); #487288=CARTESIAN_POINT('',(20.946741,23.515,0.)); #487289=CARTESIAN_POINT('Origin',(20.576409,23.136216,0.)); #487290=CARTESIAN_POINT('',(20.576409,23.136216,0.)); #487291=CARTESIAN_POINT('',(20.576409,23.136216,0.)); #487292=CARTESIAN_POINT('',(20.576409,23.136216,0.035)); #487293=CARTESIAN_POINT('',(20.576409,23.136216,0.035)); #487294=CARTESIAN_POINT('',(20.576409,23.136216,0.)); #487295=CARTESIAN_POINT('Origin',(20.4862618268028,23.2252973346977,0.)); #487296=CARTESIAN_POINT('Origin',(20.4862618268028,23.2252973346977,0.)); #487297=CARTESIAN_POINT('Origin',(20.4862618268028,23.2252973346977,0.035)); #487298=CARTESIAN_POINT('Origin',(28.3902979411653,25.1366077178745,0.035)); #487299=CARTESIAN_POINT('Origin',(28.3902979411653,25.1366077178745,0.)); #487300=CARTESIAN_POINT('Origin',(14.5,21.75,-200.)); #487301=CARTESIAN_POINT('',(14.36,21.75,0.)); #487302=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #487303=CARTESIAN_POINT('',(14.36,21.75,0.0349999999999895)); #487304=CARTESIAN_POINT('',(14.36,21.75,-200.)); #487305=CARTESIAN_POINT('Origin',(14.5,21.75,0.0349999999999895)); #487306=CARTESIAN_POINT('Origin',(14.5,21.,-200.)); #487307=CARTESIAN_POINT('',(14.36,21.,0.)); #487308=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #487309=CARTESIAN_POINT('',(14.36,21.,0.0349999999999895)); #487310=CARTESIAN_POINT('',(14.36,21.,-200.)); #487311=CARTESIAN_POINT('Origin',(14.5,21.,0.0349999999999895)); #487312=CARTESIAN_POINT('Origin',(16.,20.25,-200.)); #487313=CARTESIAN_POINT('',(15.86,20.25,0.)); #487314=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #487315=CARTESIAN_POINT('',(15.86,20.25,0.0349999999999895)); #487316=CARTESIAN_POINT('',(15.86,20.25,-200.)); #487317=CARTESIAN_POINT('Origin',(16.,20.25,0.0349999999999895)); #487318=CARTESIAN_POINT('Origin',(14.5,20.25,-200.)); #487319=CARTESIAN_POINT('',(14.36,20.25,0.)); #487320=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #487321=CARTESIAN_POINT('',(14.36,20.25,0.0349999999999895)); #487322=CARTESIAN_POINT('',(14.36,20.25,-200.)); #487323=CARTESIAN_POINT('Origin',(14.5,20.25,0.0349999999999895)); #487324=CARTESIAN_POINT('Origin',(15.25,20.25,-200.)); #487325=CARTESIAN_POINT('',(15.11,20.25,0.)); #487326=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #487327=CARTESIAN_POINT('',(15.11,20.25,0.0349999999999895)); #487328=CARTESIAN_POINT('',(15.11,20.25,-200.)); #487329=CARTESIAN_POINT('Origin',(15.25,20.25,0.0349999999999895)); #487330=CARTESIAN_POINT('Origin',(15.25,21.75,-200.)); #487331=CARTESIAN_POINT('',(15.11,21.75,0.)); #487332=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #487333=CARTESIAN_POINT('',(15.11,21.75,0.0349999999999895)); #487334=CARTESIAN_POINT('',(15.11,21.75,-200.)); #487335=CARTESIAN_POINT('Origin',(15.25,21.75,0.0349999999999895)); #487336=CARTESIAN_POINT('Origin',(16.,21.75,-200.)); #487337=CARTESIAN_POINT('',(15.86,21.75,0.)); #487338=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #487339=CARTESIAN_POINT('',(15.86,21.75,0.0349999999999895)); #487340=CARTESIAN_POINT('',(15.86,21.75,-200.)); #487341=CARTESIAN_POINT('Origin',(16.,21.75,0.0349999999999895)); #487342=CARTESIAN_POINT('Origin',(16.,21.,-200.)); #487343=CARTESIAN_POINT('',(15.86,21.,0.)); #487344=CARTESIAN_POINT('Origin',(16.,21.,0.)); #487345=CARTESIAN_POINT('',(15.86,21.,0.0349999999999895)); #487346=CARTESIAN_POINT('',(15.86,21.,-200.)); #487347=CARTESIAN_POINT('Origin',(16.,21.,0.0349999999999895)); #487348=CARTESIAN_POINT('Origin',(15.75,34.,-200.)); #487349=CARTESIAN_POINT('',(15.285,34.,0.)); #487350=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #487351=CARTESIAN_POINT('',(15.285,34.,0.0349999999999895)); #487352=CARTESIAN_POINT('',(15.285,34.,-200.)); #487353=CARTESIAN_POINT('Origin',(15.75,34.,0.0349999999999895)); #487354=CARTESIAN_POINT('Origin',(31.5,27.75,-200.)); #487355=CARTESIAN_POINT('',(31.035,27.75,0.)); #487356=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #487357=CARTESIAN_POINT('',(31.035,27.75,0.0349999999999895)); #487358=CARTESIAN_POINT('',(31.035,27.75,-200.)); #487359=CARTESIAN_POINT('Origin',(31.5,27.75,0.0349999999999895)); #487360=CARTESIAN_POINT('Origin',(21.75,34.,-200.)); #487361=CARTESIAN_POINT('',(21.235,34.,0.)); #487362=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #487363=CARTESIAN_POINT('',(21.235,34.,0.0349999999999895)); #487364=CARTESIAN_POINT('',(21.235,34.,-200.)); #487365=CARTESIAN_POINT('Origin',(21.75,34.,0.0349999999999895)); #487366=CARTESIAN_POINT('Origin',(15.25,21.,-200.)); #487367=CARTESIAN_POINT('',(15.11,21.,0.)); #487368=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #487369=CARTESIAN_POINT('',(15.11,21.,0.0349999999999895)); #487370=CARTESIAN_POINT('',(15.11,21.,-200.)); #487371=CARTESIAN_POINT('Origin',(15.25,21.,0.0349999999999895)); #487372=CARTESIAN_POINT('Origin',(16.447394,19.25,0.)); #487373=CARTESIAN_POINT('',(16.447394,19.25,0.)); #487374=CARTESIAN_POINT('',(16.496928,19.260059,0.)); #487375=CARTESIAN_POINT('',(16.447394,19.25,0.)); #487376=CARTESIAN_POINT('',(16.496928,19.260059,0.035)); #487377=CARTESIAN_POINT('',(16.496928,19.260059,0.)); #487378=CARTESIAN_POINT('',(16.447394,19.25,0.035)); #487379=CARTESIAN_POINT('',(16.447394,19.25,0.035)); #487380=CARTESIAN_POINT('',(16.447394,19.25,0.)); #487381=CARTESIAN_POINT('Origin',(14.302606,19.25,0.)); #487382=CARTESIAN_POINT('',(14.302606,19.25,0.)); #487383=CARTESIAN_POINT('',(14.302606,19.25,0.)); #487384=CARTESIAN_POINT('',(14.302606,19.25,0.035)); #487385=CARTESIAN_POINT('',(14.302606,19.25,0.035)); #487386=CARTESIAN_POINT('',(14.302606,19.25,0.)); #487387=CARTESIAN_POINT('Origin',(14.254944,19.259284,0.)); #487388=CARTESIAN_POINT('',(14.254944,19.259284,0.)); #487389=CARTESIAN_POINT('',(14.254944,19.259284,0.)); #487390=CARTESIAN_POINT('',(14.254944,19.259284,0.035)); #487391=CARTESIAN_POINT('',(14.254944,19.259284,0.035)); #487392=CARTESIAN_POINT('',(14.254944,19.259284,0.)); #487393=CARTESIAN_POINT('Origin',(14.212803,19.287197,0.)); #487394=CARTESIAN_POINT('',(14.212803,19.287197,0.)); #487395=CARTESIAN_POINT('',(14.212803,19.287197,0.)); #487396=CARTESIAN_POINT('',(14.212803,19.287197,0.035)); #487397=CARTESIAN_POINT('',(14.212803,19.287197,0.035)); #487398=CARTESIAN_POINT('',(14.212803,19.287197,0.)); #487399=CARTESIAN_POINT('Origin',(14.037197,19.462803,0.)); #487400=CARTESIAN_POINT('',(14.037197,19.462803,0.)); #487401=CARTESIAN_POINT('',(14.037197,19.462803,0.)); #487402=CARTESIAN_POINT('',(14.037197,19.462803,0.035)); #487403=CARTESIAN_POINT('',(14.037197,19.462803,0.035)); #487404=CARTESIAN_POINT('',(14.037197,19.462803,0.)); #487405=CARTESIAN_POINT('Origin',(14.010059,19.503072,0.)); #487406=CARTESIAN_POINT('',(14.010059,19.503072,0.)); #487407=CARTESIAN_POINT('',(14.010059,19.503072,0.)); #487408=CARTESIAN_POINT('',(14.010059,19.503072,0.035)); #487409=CARTESIAN_POINT('',(14.010059,19.503072,0.035)); #487410=CARTESIAN_POINT('',(14.010059,19.503072,0.)); #487411=CARTESIAN_POINT('Origin',(14.,19.552606,0.)); #487412=CARTESIAN_POINT('',(14.,19.552606,0.)); #487413=CARTESIAN_POINT('',(14.,19.552606,0.)); #487414=CARTESIAN_POINT('',(14.,19.552606,0.035)); #487415=CARTESIAN_POINT('',(14.,19.552606,0.035)); #487416=CARTESIAN_POINT('',(14.,19.552606,0.)); #487417=CARTESIAN_POINT('Origin',(14.,34.697394,0.)); #487418=CARTESIAN_POINT('',(14.,34.697394,0.)); #487419=CARTESIAN_POINT('',(14.,34.697394,0.)); #487420=CARTESIAN_POINT('',(14.,34.697394,0.035)); #487421=CARTESIAN_POINT('',(14.,34.697394,0.035)); #487422=CARTESIAN_POINT('',(14.,34.697394,0.)); #487423=CARTESIAN_POINT('Origin',(14.009284,34.745056,0.)); #487424=CARTESIAN_POINT('',(14.009284,34.745056,0.)); #487425=CARTESIAN_POINT('',(14.009284,34.745056,0.)); #487426=CARTESIAN_POINT('',(14.009284,34.745056,0.035)); #487427=CARTESIAN_POINT('',(14.009284,34.745056,0.035)); #487428=CARTESIAN_POINT('',(14.009284,34.745056,0.)); #487429=CARTESIAN_POINT('Origin',(14.037197,34.787197,0.)); #487430=CARTESIAN_POINT('',(14.037197,34.787197,0.)); #487431=CARTESIAN_POINT('',(14.037197,34.787197,0.)); #487432=CARTESIAN_POINT('',(14.037197,34.787197,0.035)); #487433=CARTESIAN_POINT('',(14.037197,34.787197,0.035)); #487434=CARTESIAN_POINT('',(14.037197,34.787197,0.)); #487435=CARTESIAN_POINT('Origin',(14.962803,35.712803,0.)); #487436=CARTESIAN_POINT('',(14.962803,35.712803,0.)); #487437=CARTESIAN_POINT('',(14.962803,35.712803,0.)); #487438=CARTESIAN_POINT('',(14.962803,35.712803,0.035)); #487439=CARTESIAN_POINT('',(14.962803,35.712803,0.035)); #487440=CARTESIAN_POINT('',(14.962803,35.712803,0.)); #487441=CARTESIAN_POINT('Origin',(15.003072,35.739941,0.)); #487442=CARTESIAN_POINT('',(15.003072,35.739941,0.)); #487443=CARTESIAN_POINT('',(15.003072,35.739941,0.)); #487444=CARTESIAN_POINT('',(15.003072,35.739941,0.035)); #487445=CARTESIAN_POINT('',(15.003072,35.739941,0.035)); #487446=CARTESIAN_POINT('',(15.003072,35.739941,0.)); #487447=CARTESIAN_POINT('Origin',(15.052606,35.75,0.)); #487448=CARTESIAN_POINT('',(15.052606,35.75,0.)); #487449=CARTESIAN_POINT('',(15.052606,35.75,0.)); #487450=CARTESIAN_POINT('',(15.052606,35.75,0.035)); #487451=CARTESIAN_POINT('',(15.052606,35.75,0.035)); #487452=CARTESIAN_POINT('',(15.052606,35.75,0.)); #487453=CARTESIAN_POINT('Origin',(21.947394,35.75,0.)); #487454=CARTESIAN_POINT('',(21.947394,35.75,0.)); #487455=CARTESIAN_POINT('',(21.947394,35.75,0.)); #487456=CARTESIAN_POINT('',(21.947394,35.75,0.035)); #487457=CARTESIAN_POINT('',(21.947394,35.75,0.035)); #487458=CARTESIAN_POINT('',(21.947394,35.75,0.)); #487459=CARTESIAN_POINT('Origin',(21.995056,35.740716,0.)); #487460=CARTESIAN_POINT('',(21.995056,35.740716,0.)); #487461=CARTESIAN_POINT('',(21.995056,35.740716,0.)); #487462=CARTESIAN_POINT('',(21.995056,35.740716,0.035)); #487463=CARTESIAN_POINT('',(21.995056,35.740716,0.035)); #487464=CARTESIAN_POINT('',(21.995056,35.740716,0.)); #487465=CARTESIAN_POINT('Origin',(22.037197,35.712803,0.)); #487466=CARTESIAN_POINT('',(22.037197,35.712803,0.)); #487467=CARTESIAN_POINT('',(22.037197,35.712803,0.)); #487468=CARTESIAN_POINT('',(22.037197,35.712803,0.035)); #487469=CARTESIAN_POINT('',(22.037197,35.712803,0.035)); #487470=CARTESIAN_POINT('',(22.037197,35.712803,0.)); #487471=CARTESIAN_POINT('Origin',(22.962803,34.787197,0.)); #487472=CARTESIAN_POINT('',(22.962803,34.787197,0.)); #487473=CARTESIAN_POINT('',(22.962803,34.787197,0.)); #487474=CARTESIAN_POINT('',(22.962803,34.787197,0.035)); #487475=CARTESIAN_POINT('',(22.962803,34.787197,0.035)); #487476=CARTESIAN_POINT('',(22.962803,34.787197,0.)); #487477=CARTESIAN_POINT('Origin',(22.989941,34.746928,0.)); #487478=CARTESIAN_POINT('',(22.989941,34.746928,0.)); #487479=CARTESIAN_POINT('',(22.989941,34.746928,0.)); #487480=CARTESIAN_POINT('',(22.989941,34.746928,0.035)); #487481=CARTESIAN_POINT('',(22.989941,34.746928,0.035)); #487482=CARTESIAN_POINT('',(22.989941,34.746928,0.)); #487483=CARTESIAN_POINT('Origin',(23.,34.697394,0.)); #487484=CARTESIAN_POINT('',(23.,34.697394,0.)); #487485=CARTESIAN_POINT('',(23.,34.697394,0.)); #487486=CARTESIAN_POINT('',(23.,34.697394,0.035)); #487487=CARTESIAN_POINT('',(23.,34.697394,0.035)); #487488=CARTESIAN_POINT('',(23.,34.697394,0.)); #487489=CARTESIAN_POINT('Origin',(23.,33.258994,0.)); #487490=CARTESIAN_POINT('',(23.,33.258994,0.)); #487491=CARTESIAN_POINT('',(23.,33.258994,0.)); #487492=CARTESIAN_POINT('',(23.,33.258994,0.035)); #487493=CARTESIAN_POINT('',(23.,33.258994,0.035)); #487494=CARTESIAN_POINT('',(23.,33.258994,0.)); #487495=CARTESIAN_POINT('Origin',(23.001284,33.249975,0.)); #487496=CARTESIAN_POINT('',(23.001284,33.249975,0.)); #487497=CARTESIAN_POINT('',(23.001284,33.249975,0.)); #487498=CARTESIAN_POINT('',(23.001284,33.249975,0.035)); #487499=CARTESIAN_POINT('',(23.001284,33.249975,0.035)); #487500=CARTESIAN_POINT('',(23.001284,33.249975,0.)); #487501=CARTESIAN_POINT('Origin',(23.005653,33.244347,0.)); #487502=CARTESIAN_POINT('',(23.005653,33.244347,0.)); #487503=CARTESIAN_POINT('',(23.005653,33.244347,0.)); #487504=CARTESIAN_POINT('',(23.005653,33.244347,0.035)); #487505=CARTESIAN_POINT('',(23.005653,33.244347,0.035)); #487506=CARTESIAN_POINT('',(23.005653,33.244347,0.)); #487507=CARTESIAN_POINT('Origin',(25.243634,31.006366,0.)); #487508=CARTESIAN_POINT('',(25.243634,31.006366,0.)); #487509=CARTESIAN_POINT('',(25.243634,31.006366,0.)); #487510=CARTESIAN_POINT('',(25.243634,31.006366,0.035)); #487511=CARTESIAN_POINT('',(25.243634,31.006366,0.035)); #487512=CARTESIAN_POINT('',(25.243634,31.006366,0.)); #487513=CARTESIAN_POINT('Origin',(25.250928,31.000891,0.)); #487514=CARTESIAN_POINT('',(25.250928,31.000891,0.)); #487515=CARTESIAN_POINT('',(25.250928,31.000891,0.)); #487516=CARTESIAN_POINT('',(25.250928,31.000891,0.035)); #487517=CARTESIAN_POINT('',(25.250928,31.000891,0.035)); #487518=CARTESIAN_POINT('',(25.250928,31.000891,0.)); #487519=CARTESIAN_POINT('Origin',(25.257984,31.,0.)); #487520=CARTESIAN_POINT('',(25.257984,31.,0.)); #487521=CARTESIAN_POINT('',(25.257984,31.,0.)); #487522=CARTESIAN_POINT('',(25.257984,31.,0.035)); #487523=CARTESIAN_POINT('',(25.257984,31.,0.035)); #487524=CARTESIAN_POINT('',(25.257984,31.,0.)); #487525=CARTESIAN_POINT('Origin',(25.4456,31.,0.)); #487526=CARTESIAN_POINT('',(25.4456,31.,0.)); #487527=CARTESIAN_POINT('',(25.4456,31.,0.)); #487528=CARTESIAN_POINT('',(25.4456,31.,0.035)); #487529=CARTESIAN_POINT('',(25.4456,31.,0.035)); #487530=CARTESIAN_POINT('',(25.4456,31.,0.)); #487531=CARTESIAN_POINT('Origin',(25.491381,30.991463,0.)); #487532=CARTESIAN_POINT('',(25.491381,30.991463,0.)); #487533=CARTESIAN_POINT('',(25.491381,30.991463,0.)); #487534=CARTESIAN_POINT('',(25.491381,30.991463,0.035)); #487535=CARTESIAN_POINT('',(25.491381,30.991463,0.035)); #487536=CARTESIAN_POINT('',(25.491381,30.991463,0.)); #487537=CARTESIAN_POINT('Origin',(25.533959,30.964222,0.)); #487538=CARTESIAN_POINT('',(25.533959,30.964222,0.)); #487539=CARTESIAN_POINT('',(25.533959,30.964222,0.)); #487540=CARTESIAN_POINT('',(25.533959,30.964222,0.035)); #487541=CARTESIAN_POINT('',(25.533959,30.964222,0.035)); #487542=CARTESIAN_POINT('',(25.533959,30.964222,0.)); #487543=CARTESIAN_POINT('Origin',(25.562541,30.922534,0.)); #487544=CARTESIAN_POINT('',(25.562541,30.922534,0.)); #487545=CARTESIAN_POINT('',(25.562541,30.922534,0.)); #487546=CARTESIAN_POINT('',(25.562541,30.922534,0.035)); #487547=CARTESIAN_POINT('',(25.562541,30.922534,0.035)); #487548=CARTESIAN_POINT('',(25.562541,30.922534,0.)); #487549=CARTESIAN_POINT('Origin',(25.5726,30.873,0.)); #487550=CARTESIAN_POINT('',(25.5726,30.873,0.)); #487551=CARTESIAN_POINT('',(25.5726,30.873,0.)); #487552=CARTESIAN_POINT('',(25.5726,30.873,0.035)); #487553=CARTESIAN_POINT('',(25.5726,30.873,0.035)); #487554=CARTESIAN_POINT('',(25.5726,30.873,0.)); #487555=CARTESIAN_POINT('Origin',(25.5726,30.822966,0.)); #487556=CARTESIAN_POINT('',(25.5726,30.822966,0.)); #487557=CARTESIAN_POINT('',(25.5726,30.822966,0.)); #487558=CARTESIAN_POINT('',(25.5726,30.822966,0.035)); #487559=CARTESIAN_POINT('',(25.5726,30.822966,0.035)); #487560=CARTESIAN_POINT('',(25.5726,30.822966,0.)); #487561=CARTESIAN_POINT('Origin',(25.822966,30.5726,0.)); #487562=CARTESIAN_POINT('',(25.822966,30.5726,0.)); #487563=CARTESIAN_POINT('',(25.822966,30.5726,0.)); #487564=CARTESIAN_POINT('',(25.822966,30.5726,0.035)); #487565=CARTESIAN_POINT('',(25.822966,30.5726,0.035)); #487566=CARTESIAN_POINT('',(25.822966,30.5726,0.)); #487567=CARTESIAN_POINT('Origin',(26.177034,30.5726,0.)); #487568=CARTESIAN_POINT('',(26.177034,30.5726,0.)); #487569=CARTESIAN_POINT('',(26.177034,30.5726,0.)); #487570=CARTESIAN_POINT('',(26.177034,30.5726,0.035)); #487571=CARTESIAN_POINT('',(26.177034,30.5726,0.035)); #487572=CARTESIAN_POINT('',(26.177034,30.5726,0.)); #487573=CARTESIAN_POINT('Origin',(26.285197,30.680763,0.)); #487574=CARTESIAN_POINT('',(26.285197,30.680763,0.)); #487575=CARTESIAN_POINT('',(26.285197,30.680763,0.)); #487576=CARTESIAN_POINT('',(26.285197,30.680763,0.035)); #487577=CARTESIAN_POINT('',(26.285197,30.680763,0.035)); #487578=CARTESIAN_POINT('',(26.285197,30.680763,0.)); #487579=CARTESIAN_POINT('Origin',(26.323606,30.707097,0.)); #487580=CARTESIAN_POINT('',(26.323606,30.707097,0.)); #487581=CARTESIAN_POINT('',(26.323606,30.707097,0.)); #487582=CARTESIAN_POINT('',(26.323606,30.707097,0.035)); #487583=CARTESIAN_POINT('',(26.323606,30.707097,0.035)); #487584=CARTESIAN_POINT('',(26.323606,30.707097,0.)); #487585=CARTESIAN_POINT('Origin',(26.372975,30.717944,0.)); #487586=CARTESIAN_POINT('',(26.372975,30.717944,0.)); #487587=CARTESIAN_POINT('',(26.372975,30.717944,0.)); #487588=CARTESIAN_POINT('',(26.372975,30.717944,0.035)); #487589=CARTESIAN_POINT('',(26.372975,30.717944,0.035)); #487590=CARTESIAN_POINT('',(26.372975,30.717944,0.)); #487591=CARTESIAN_POINT('Origin',(26.422663,30.708675,0.)); #487592=CARTESIAN_POINT('',(26.422663,30.708675,0.)); #487593=CARTESIAN_POINT('',(26.422663,30.708675,0.)); #487594=CARTESIAN_POINT('',(26.422663,30.708675,0.035)); #487595=CARTESIAN_POINT('',(26.422663,30.708675,0.035)); #487596=CARTESIAN_POINT('',(26.422663,30.708675,0.)); #487597=CARTESIAN_POINT('Origin',(26.464803,30.680763,0.)); #487598=CARTESIAN_POINT('',(26.464803,30.680763,0.)); #487599=CARTESIAN_POINT('',(26.464803,30.680763,0.)); #487600=CARTESIAN_POINT('',(26.464803,30.680763,0.035)); #487601=CARTESIAN_POINT('',(26.464803,30.680763,0.035)); #487602=CARTESIAN_POINT('',(26.464803,30.680763,0.)); #487603=CARTESIAN_POINT('Origin',(26.572966,30.5726,0.)); #487604=CARTESIAN_POINT('',(26.572966,30.5726,0.)); #487605=CARTESIAN_POINT('',(26.572966,30.5726,0.)); #487606=CARTESIAN_POINT('',(26.572966,30.5726,0.035)); #487607=CARTESIAN_POINT('',(26.572966,30.5726,0.035)); #487608=CARTESIAN_POINT('',(26.572966,30.5726,0.)); #487609=CARTESIAN_POINT('Origin',(26.927034,30.5726,0.)); #487610=CARTESIAN_POINT('',(26.927034,30.5726,0.)); #487611=CARTESIAN_POINT('',(26.927034,30.5726,0.)); #487612=CARTESIAN_POINT('',(26.927034,30.5726,0.035)); #487613=CARTESIAN_POINT('',(26.927034,30.5726,0.035)); #487614=CARTESIAN_POINT('',(26.927034,30.5726,0.)); #487615=CARTESIAN_POINT('Origin',(27.1774,30.822966,0.)); #487616=CARTESIAN_POINT('',(27.1774,30.822966,0.)); #487617=CARTESIAN_POINT('',(27.1774,30.822966,0.)); #487618=CARTESIAN_POINT('',(27.1774,30.822966,0.035)); #487619=CARTESIAN_POINT('',(27.1774,30.822966,0.035)); #487620=CARTESIAN_POINT('',(27.1774,30.822966,0.)); #487621=CARTESIAN_POINT('Origin',(27.1774,30.873,0.)); #487622=CARTESIAN_POINT('',(27.1774,30.873,0.)); #487623=CARTESIAN_POINT('',(27.1774,30.873,0.)); #487624=CARTESIAN_POINT('',(27.1774,30.873,0.035)); #487625=CARTESIAN_POINT('',(27.1774,30.873,0.035)); #487626=CARTESIAN_POINT('',(27.1774,30.873,0.)); #487627=CARTESIAN_POINT('Origin',(27.185938,30.918781,0.)); #487628=CARTESIAN_POINT('',(27.185938,30.918781,0.)); #487629=CARTESIAN_POINT('',(27.185938,30.918781,0.)); #487630=CARTESIAN_POINT('',(27.185938,30.918781,0.035)); #487631=CARTESIAN_POINT('',(27.185938,30.918781,0.035)); #487632=CARTESIAN_POINT('',(27.185938,30.918781,0.)); #487633=CARTESIAN_POINT('Origin',(27.213178,30.961359,0.)); #487634=CARTESIAN_POINT('',(27.213178,30.961359,0.)); #487635=CARTESIAN_POINT('',(27.213178,30.961359,0.)); #487636=CARTESIAN_POINT('',(27.213178,30.961359,0.035)); #487637=CARTESIAN_POINT('',(27.213178,30.961359,0.035)); #487638=CARTESIAN_POINT('',(27.213178,30.961359,0.)); #487639=CARTESIAN_POINT('Origin',(27.254866,30.989941,0.)); #487640=CARTESIAN_POINT('',(27.254866,30.989941,0.)); #487641=CARTESIAN_POINT('',(27.254866,30.989941,0.)); #487642=CARTESIAN_POINT('',(27.254866,30.989941,0.035)); #487643=CARTESIAN_POINT('',(27.254866,30.989941,0.035)); #487644=CARTESIAN_POINT('',(27.254866,30.989941,0.)); #487645=CARTESIAN_POINT('Origin',(27.3044,31.,0.)); #487646=CARTESIAN_POINT('',(27.3044,31.,0.)); #487647=CARTESIAN_POINT('',(27.3044,31.,0.)); #487648=CARTESIAN_POINT('',(27.3044,31.,0.035)); #487649=CARTESIAN_POINT('',(27.3044,31.,0.035)); #487650=CARTESIAN_POINT('',(27.3044,31.,0.)); #487651=CARTESIAN_POINT('Origin',(30.197394,31.,0.)); #487652=CARTESIAN_POINT('',(30.197394,31.,0.)); #487653=CARTESIAN_POINT('',(30.197394,31.,0.)); #487654=CARTESIAN_POINT('',(30.197394,31.,0.035)); #487655=CARTESIAN_POINT('',(30.197394,31.,0.035)); #487656=CARTESIAN_POINT('',(30.197394,31.,0.)); #487657=CARTESIAN_POINT('Origin',(30.245056,30.990716,0.)); #487658=CARTESIAN_POINT('',(30.245056,30.990716,0.)); #487659=CARTESIAN_POINT('',(30.245056,30.990716,0.)); #487660=CARTESIAN_POINT('',(30.245056,30.990716,0.035)); #487661=CARTESIAN_POINT('',(30.245056,30.990716,0.035)); #487662=CARTESIAN_POINT('',(30.245056,30.990716,0.)); #487663=CARTESIAN_POINT('Origin',(30.287197,30.962803,0.)); #487664=CARTESIAN_POINT('',(30.287197,30.962803,0.)); #487665=CARTESIAN_POINT('',(30.287197,30.962803,0.)); #487666=CARTESIAN_POINT('',(30.287197,30.962803,0.035)); #487667=CARTESIAN_POINT('',(30.287197,30.962803,0.035)); #487668=CARTESIAN_POINT('',(30.287197,30.962803,0.)); #487669=CARTESIAN_POINT('Origin',(32.462803,28.787197,0.)); #487670=CARTESIAN_POINT('',(32.462803,28.787197,0.)); #487671=CARTESIAN_POINT('',(32.462803,28.787197,0.)); #487672=CARTESIAN_POINT('',(32.462803,28.787197,0.035)); #487673=CARTESIAN_POINT('',(32.462803,28.787197,0.035)); #487674=CARTESIAN_POINT('',(32.462803,28.787197,0.)); #487675=CARTESIAN_POINT('Origin',(32.489941,28.746928,0.)); #487676=CARTESIAN_POINT('',(32.489941,28.746928,0.)); #487677=CARTESIAN_POINT('',(32.489941,28.746928,0.)); #487678=CARTESIAN_POINT('',(32.489941,28.746928,0.035)); #487679=CARTESIAN_POINT('',(32.489941,28.746928,0.035)); #487680=CARTESIAN_POINT('',(32.489941,28.746928,0.)); #487681=CARTESIAN_POINT('Origin',(32.5,28.697394,0.)); #487682=CARTESIAN_POINT('',(32.5,28.697394,0.)); #487683=CARTESIAN_POINT('',(32.5,28.697394,0.)); #487684=CARTESIAN_POINT('',(32.5,28.697394,0.035)); #487685=CARTESIAN_POINT('',(32.5,28.697394,0.035)); #487686=CARTESIAN_POINT('',(32.5,28.697394,0.)); #487687=CARTESIAN_POINT('Origin',(32.5,26.6681,0.)); #487688=CARTESIAN_POINT('',(32.5,26.6681,0.)); #487689=CARTESIAN_POINT('',(32.5,26.6681,0.)); #487690=CARTESIAN_POINT('',(32.5,26.6681,0.035)); #487691=CARTESIAN_POINT('',(32.5,26.6681,0.035)); #487692=CARTESIAN_POINT('',(32.5,26.6681,0.)); #487693=CARTESIAN_POINT('Origin',(32.491463,26.622319,0.)); #487694=CARTESIAN_POINT('',(32.491463,26.622319,0.)); #487695=CARTESIAN_POINT('',(32.491463,26.622319,0.)); #487696=CARTESIAN_POINT('',(32.491463,26.622319,0.035)); #487697=CARTESIAN_POINT('',(32.491463,26.622319,0.035)); #487698=CARTESIAN_POINT('',(32.491463,26.622319,0.)); #487699=CARTESIAN_POINT('Origin',(32.464222,26.579741,0.)); #487700=CARTESIAN_POINT('',(32.464222,26.579741,0.)); #487701=CARTESIAN_POINT('',(32.464222,26.579741,0.)); #487702=CARTESIAN_POINT('',(32.464222,26.579741,0.035)); #487703=CARTESIAN_POINT('',(32.464222,26.579741,0.035)); #487704=CARTESIAN_POINT('',(32.464222,26.579741,0.)); #487705=CARTESIAN_POINT('Origin',(32.422534,26.551159,0.)); #487706=CARTESIAN_POINT('',(32.422534,26.551159,0.)); #487707=CARTESIAN_POINT('',(32.422534,26.551159,0.)); #487708=CARTESIAN_POINT('',(32.422534,26.551159,0.035)); #487709=CARTESIAN_POINT('',(32.422534,26.551159,0.035)); #487710=CARTESIAN_POINT('',(32.422534,26.551159,0.)); #487711=CARTESIAN_POINT('Origin',(32.373,26.5411,0.)); #487712=CARTESIAN_POINT('',(32.373,26.5411,0.)); #487713=CARTESIAN_POINT('',(32.373,26.5411,0.)); #487714=CARTESIAN_POINT('',(32.373,26.5411,0.035)); #487715=CARTESIAN_POINT('',(32.373,26.5411,0.035)); #487716=CARTESIAN_POINT('',(32.373,26.5411,0.)); #487717=CARTESIAN_POINT('Origin',(30.967813,26.5411,0.)); #487718=CARTESIAN_POINT('',(30.967813,26.5411,0.)); #487719=CARTESIAN_POINT('',(30.967813,26.5411,0.)); #487720=CARTESIAN_POINT('',(30.967813,26.5411,0.035)); #487721=CARTESIAN_POINT('',(30.967813,26.5411,0.035)); #487722=CARTESIAN_POINT('',(30.967813,26.5411,0.)); #487723=CARTESIAN_POINT('Origin',(28.442509,24.015797,0.)); #487724=CARTESIAN_POINT('',(28.442509,24.015797,0.)); #487725=CARTESIAN_POINT('',(28.442509,24.015797,0.)); #487726=CARTESIAN_POINT('',(28.442509,24.015797,0.035)); #487727=CARTESIAN_POINT('',(28.442509,24.015797,0.035)); #487728=CARTESIAN_POINT('',(28.442509,24.015797,0.)); #487729=CARTESIAN_POINT('Origin',(28.402241,23.988659,0.)); #487730=CARTESIAN_POINT('',(28.402241,23.988659,0.)); #487731=CARTESIAN_POINT('',(28.402241,23.988659,0.)); #487732=CARTESIAN_POINT('',(28.402241,23.988659,0.035)); #487733=CARTESIAN_POINT('',(28.402241,23.988659,0.035)); #487734=CARTESIAN_POINT('',(28.402241,23.988659,0.)); #487735=CARTESIAN_POINT('Origin',(28.352706,23.9786,0.)); #487736=CARTESIAN_POINT('',(28.352706,23.9786,0.)); #487737=CARTESIAN_POINT('',(28.352706,23.9786,0.)); #487738=CARTESIAN_POINT('',(28.352706,23.9786,0.035)); #487739=CARTESIAN_POINT('',(28.352706,23.9786,0.035)); #487740=CARTESIAN_POINT('',(28.352706,23.9786,0.)); #487741=CARTESIAN_POINT('Origin',(27.764641,23.9786,0.)); #487742=CARTESIAN_POINT('',(27.764641,23.9786,0.)); #487743=CARTESIAN_POINT('',(27.764641,23.9786,0.)); #487744=CARTESIAN_POINT('',(27.764641,23.9786,0.035)); #487745=CARTESIAN_POINT('',(27.764641,23.9786,0.035)); #487746=CARTESIAN_POINT('',(27.764641,23.9786,0.)); #487747=CARTESIAN_POINT('Origin',(27.720753,23.986425,0.)); #487748=CARTESIAN_POINT('',(27.720753,23.986425,0.)); #487749=CARTESIAN_POINT('',(27.720753,23.986425,0.)); #487750=CARTESIAN_POINT('',(27.720753,23.986425,0.035)); #487751=CARTESIAN_POINT('',(27.720753,23.986425,0.035)); #487752=CARTESIAN_POINT('',(27.720753,23.986425,0.)); #487753=CARTESIAN_POINT('Origin',(27.677747,24.012981,0.)); #487754=CARTESIAN_POINT('',(27.677747,24.012981,0.)); #487755=CARTESIAN_POINT('',(27.677747,24.012981,0.)); #487756=CARTESIAN_POINT('',(27.677747,24.012981,0.035)); #487757=CARTESIAN_POINT('',(27.677747,24.012981,0.035)); #487758=CARTESIAN_POINT('',(27.677747,24.012981,0.)); #487759=CARTESIAN_POINT('Origin',(27.648503,24.054206,0.)); #487760=CARTESIAN_POINT('',(27.648503,24.054206,0.)); #487761=CARTESIAN_POINT('',(27.648503,24.054206,0.)); #487762=CARTESIAN_POINT('',(27.648503,24.054206,0.035)); #487763=CARTESIAN_POINT('',(27.648503,24.054206,0.035)); #487764=CARTESIAN_POINT('',(27.648503,24.054206,0.)); #487765=CARTESIAN_POINT('Origin',(27.637656,24.103575,0.)); #487766=CARTESIAN_POINT('',(27.637656,24.103575,0.)); #487767=CARTESIAN_POINT('',(27.637656,24.103575,0.)); #487768=CARTESIAN_POINT('',(27.637656,24.103575,0.035)); #487769=CARTESIAN_POINT('',(27.637656,24.103575,0.035)); #487770=CARTESIAN_POINT('',(27.637656,24.103575,0.)); #487771=CARTESIAN_POINT('Origin',(27.646925,24.153263,0.)); #487772=CARTESIAN_POINT('',(27.646925,24.153263,0.)); #487773=CARTESIAN_POINT('',(27.646925,24.153263,0.)); #487774=CARTESIAN_POINT('',(27.646925,24.153263,0.035)); #487775=CARTESIAN_POINT('',(27.646925,24.153263,0.035)); #487776=CARTESIAN_POINT('',(27.646925,24.153263,0.)); #487777=CARTESIAN_POINT('Origin',(27.674838,24.195403,0.)); #487778=CARTESIAN_POINT('',(27.674838,24.195403,0.)); #487779=CARTESIAN_POINT('',(27.674838,24.195403,0.)); #487780=CARTESIAN_POINT('',(27.674838,24.195403,0.035)); #487781=CARTESIAN_POINT('',(27.674838,24.195403,0.035)); #487782=CARTESIAN_POINT('',(27.674838,24.195403,0.)); #487783=CARTESIAN_POINT('Origin',(27.6774,24.197966,0.)); #487784=CARTESIAN_POINT('',(27.6774,24.197966,0.)); #487785=CARTESIAN_POINT('',(27.6774,24.197966,0.)); #487786=CARTESIAN_POINT('',(27.6774,24.197966,0.035)); #487787=CARTESIAN_POINT('',(27.6774,24.197966,0.035)); #487788=CARTESIAN_POINT('',(27.6774,24.197966,0.)); #487789=CARTESIAN_POINT('Origin',(27.6774,24.552034,0.)); #487790=CARTESIAN_POINT('',(27.6774,24.552034,0.)); #487791=CARTESIAN_POINT('',(27.6774,24.552034,0.)); #487792=CARTESIAN_POINT('',(27.6774,24.552034,0.035)); #487793=CARTESIAN_POINT('',(27.6774,24.552034,0.035)); #487794=CARTESIAN_POINT('',(27.6774,24.552034,0.)); #487795=CARTESIAN_POINT('Origin',(27.427034,24.8024,0.)); #487796=CARTESIAN_POINT('',(27.427034,24.8024,0.)); #487797=CARTESIAN_POINT('',(27.427034,24.8024,0.)); #487798=CARTESIAN_POINT('',(27.427034,24.8024,0.035)); #487799=CARTESIAN_POINT('',(27.427034,24.8024,0.035)); #487800=CARTESIAN_POINT('',(27.427034,24.8024,0.)); #487801=CARTESIAN_POINT('Origin',(27.198494,24.8024,0.)); #487802=CARTESIAN_POINT('',(27.198494,24.8024,0.)); #487803=CARTESIAN_POINT('',(27.198494,24.8024,0.)); #487804=CARTESIAN_POINT('',(27.198494,24.8024,0.035)); #487805=CARTESIAN_POINT('',(27.198494,24.8024,0.035)); #487806=CARTESIAN_POINT('',(27.198494,24.8024,0.)); #487807=CARTESIAN_POINT('Origin',(27.150831,24.811684,0.)); #487808=CARTESIAN_POINT('',(27.150831,24.811684,0.)); #487809=CARTESIAN_POINT('',(27.150831,24.811684,0.)); #487810=CARTESIAN_POINT('',(27.150831,24.811684,0.035)); #487811=CARTESIAN_POINT('',(27.150831,24.811684,0.035)); #487812=CARTESIAN_POINT('',(27.150831,24.811684,0.)); #487813=CARTESIAN_POINT('Origin',(27.108691,24.839597,0.)); #487814=CARTESIAN_POINT('',(27.108691,24.839597,0.)); #487815=CARTESIAN_POINT('',(27.108691,24.839597,0.)); #487816=CARTESIAN_POINT('',(27.108691,24.839597,0.035)); #487817=CARTESIAN_POINT('',(27.108691,24.839597,0.035)); #487818=CARTESIAN_POINT('',(27.108691,24.839597,0.)); #487819=CARTESIAN_POINT('Origin',(25.464597,26.483691,0.)); #487820=CARTESIAN_POINT('',(25.464597,26.483691,0.)); #487821=CARTESIAN_POINT('',(25.464597,26.483691,0.)); #487822=CARTESIAN_POINT('',(25.464597,26.483691,0.035)); #487823=CARTESIAN_POINT('',(25.464597,26.483691,0.035)); #487824=CARTESIAN_POINT('',(25.464597,26.483691,0.)); #487825=CARTESIAN_POINT('Origin',(25.437459,26.523959,0.)); #487826=CARTESIAN_POINT('',(25.437459,26.523959,0.)); #487827=CARTESIAN_POINT('',(25.437459,26.523959,0.)); #487828=CARTESIAN_POINT('',(25.437459,26.523959,0.035)); #487829=CARTESIAN_POINT('',(25.437459,26.523959,0.035)); #487830=CARTESIAN_POINT('',(25.437459,26.523959,0.)); #487831=CARTESIAN_POINT('Origin',(25.4274,26.573494,0.)); #487832=CARTESIAN_POINT('',(25.4274,26.573494,0.)); #487833=CARTESIAN_POINT('',(25.4274,26.573494,0.)); #487834=CARTESIAN_POINT('',(25.4274,26.573494,0.035)); #487835=CARTESIAN_POINT('',(25.4274,26.573494,0.035)); #487836=CARTESIAN_POINT('',(25.4274,26.573494,0.)); #487837=CARTESIAN_POINT('Origin',(25.4274,26.802034,0.)); #487838=CARTESIAN_POINT('',(25.4274,26.802034,0.)); #487839=CARTESIAN_POINT('',(25.4274,26.802034,0.)); #487840=CARTESIAN_POINT('',(25.4274,26.802034,0.035)); #487841=CARTESIAN_POINT('',(25.4274,26.802034,0.035)); #487842=CARTESIAN_POINT('',(25.4274,26.802034,0.)); #487843=CARTESIAN_POINT('Origin',(25.177034,27.0524,0.)); #487844=CARTESIAN_POINT('',(25.177034,27.0524,0.)); #487845=CARTESIAN_POINT('',(25.177034,27.0524,0.)); #487846=CARTESIAN_POINT('',(25.177034,27.0524,0.035)); #487847=CARTESIAN_POINT('',(25.177034,27.0524,0.035)); #487848=CARTESIAN_POINT('',(25.177034,27.0524,0.)); #487849=CARTESIAN_POINT('Origin',(24.822966,27.0524,0.)); #487850=CARTESIAN_POINT('',(24.822966,27.0524,0.)); #487851=CARTESIAN_POINT('',(24.822966,27.0524,0.)); #487852=CARTESIAN_POINT('',(24.822966,27.0524,0.035)); #487853=CARTESIAN_POINT('',(24.822966,27.0524,0.035)); #487854=CARTESIAN_POINT('',(24.822966,27.0524,0.)); #487855=CARTESIAN_POINT('Origin',(24.5726,26.802034,0.)); #487856=CARTESIAN_POINT('',(24.5726,26.802034,0.)); #487857=CARTESIAN_POINT('',(24.5726,26.802034,0.)); #487858=CARTESIAN_POINT('',(24.5726,26.802034,0.035)); #487859=CARTESIAN_POINT('',(24.5726,26.802034,0.035)); #487860=CARTESIAN_POINT('',(24.5726,26.802034,0.)); #487861=CARTESIAN_POINT('Origin',(24.5726,26.752,0.)); #487862=CARTESIAN_POINT('',(24.5726,26.752,0.)); #487863=CARTESIAN_POINT('',(24.5726,26.752,0.)); #487864=CARTESIAN_POINT('',(24.5726,26.752,0.035)); #487865=CARTESIAN_POINT('',(24.5726,26.752,0.035)); #487866=CARTESIAN_POINT('',(24.5726,26.752,0.)); #487867=CARTESIAN_POINT('Origin',(24.564063,26.706219,0.)); #487868=CARTESIAN_POINT('',(24.564063,26.706219,0.)); #487869=CARTESIAN_POINT('',(24.564063,26.706219,0.)); #487870=CARTESIAN_POINT('',(24.564063,26.706219,0.035)); #487871=CARTESIAN_POINT('',(24.564063,26.706219,0.035)); #487872=CARTESIAN_POINT('',(24.564063,26.706219,0.)); #487873=CARTESIAN_POINT('Origin',(24.536822,26.663641,0.)); #487874=CARTESIAN_POINT('',(24.536822,26.663641,0.)); #487875=CARTESIAN_POINT('',(24.536822,26.663641,0.)); #487876=CARTESIAN_POINT('',(24.536822,26.663641,0.035)); #487877=CARTESIAN_POINT('',(24.536822,26.663641,0.035)); #487878=CARTESIAN_POINT('',(24.536822,26.663641,0.)); #487879=CARTESIAN_POINT('Origin',(24.495134,26.635059,0.)); #487880=CARTESIAN_POINT('',(24.495134,26.635059,0.)); #487881=CARTESIAN_POINT('',(24.495134,26.635059,0.)); #487882=CARTESIAN_POINT('',(24.495134,26.635059,0.035)); #487883=CARTESIAN_POINT('',(24.495134,26.635059,0.035)); #487884=CARTESIAN_POINT('',(24.495134,26.635059,0.)); #487885=CARTESIAN_POINT('Origin',(24.4456,26.625,0.)); #487886=CARTESIAN_POINT('',(24.4456,26.625,0.)); #487887=CARTESIAN_POINT('',(24.4456,26.625,0.)); #487888=CARTESIAN_POINT('',(24.4456,26.625,0.035)); #487889=CARTESIAN_POINT('',(24.4456,26.625,0.035)); #487890=CARTESIAN_POINT('',(24.4456,26.625,0.)); #487891=CARTESIAN_POINT('Origin',(18.008994,26.625,0.)); #487892=CARTESIAN_POINT('',(18.008994,26.625,0.)); #487893=CARTESIAN_POINT('',(18.008994,26.625,0.)); #487894=CARTESIAN_POINT('',(18.008994,26.625,0.035)); #487895=CARTESIAN_POINT('',(18.008994,26.625,0.035)); #487896=CARTESIAN_POINT('',(18.008994,26.625,0.)); #487897=CARTESIAN_POINT('Origin',(17.999975,26.623716,0.)); #487898=CARTESIAN_POINT('',(17.999975,26.623716,0.)); #487899=CARTESIAN_POINT('',(17.999975,26.623716,0.)); #487900=CARTESIAN_POINT('',(17.999975,26.623716,0.035)); #487901=CARTESIAN_POINT('',(17.999975,26.623716,0.035)); #487902=CARTESIAN_POINT('',(17.999975,26.623716,0.)); #487903=CARTESIAN_POINT('Origin',(17.994347,26.619347,0.)); #487904=CARTESIAN_POINT('',(17.994347,26.619347,0.)); #487905=CARTESIAN_POINT('',(17.994347,26.619347,0.)); #487906=CARTESIAN_POINT('',(17.994347,26.619347,0.035)); #487907=CARTESIAN_POINT('',(17.994347,26.619347,0.035)); #487908=CARTESIAN_POINT('',(17.994347,26.619347,0.)); #487909=CARTESIAN_POINT('Origin',(16.756366,25.381366,0.)); #487910=CARTESIAN_POINT('',(16.756366,25.381366,0.)); #487911=CARTESIAN_POINT('',(16.756366,25.381366,0.)); #487912=CARTESIAN_POINT('',(16.756366,25.381366,0.035)); #487913=CARTESIAN_POINT('',(16.756366,25.381366,0.035)); #487914=CARTESIAN_POINT('',(16.756366,25.381366,0.)); #487915=CARTESIAN_POINT('Origin',(16.750891,25.374072,0.)); #487916=CARTESIAN_POINT('',(16.750891,25.374072,0.)); #487917=CARTESIAN_POINT('',(16.750891,25.374072,0.)); #487918=CARTESIAN_POINT('',(16.750891,25.374072,0.035)); #487919=CARTESIAN_POINT('',(16.750891,25.374072,0.035)); #487920=CARTESIAN_POINT('',(16.750891,25.374072,0.)); #487921=CARTESIAN_POINT('Origin',(16.75,25.367016,0.)); #487922=CARTESIAN_POINT('',(16.75,25.367016,0.)); #487923=CARTESIAN_POINT('',(16.75,25.367016,0.)); #487924=CARTESIAN_POINT('',(16.75,25.367016,0.035)); #487925=CARTESIAN_POINT('',(16.75,25.367016,0.035)); #487926=CARTESIAN_POINT('',(16.75,25.367016,0.)); #487927=CARTESIAN_POINT('Origin',(16.75,19.552606,0.)); #487928=CARTESIAN_POINT('',(16.75,19.552606,0.)); #487929=CARTESIAN_POINT('',(16.75,19.552606,0.)); #487930=CARTESIAN_POINT('',(16.75,19.552606,0.035)); #487931=CARTESIAN_POINT('',(16.75,19.552606,0.035)); #487932=CARTESIAN_POINT('',(16.75,19.552606,0.)); #487933=CARTESIAN_POINT('Origin',(16.740716,19.504944,0.)); #487934=CARTESIAN_POINT('',(16.740716,19.504944,0.)); #487935=CARTESIAN_POINT('',(16.740716,19.504944,0.)); #487936=CARTESIAN_POINT('',(16.740716,19.504944,0.035)); #487937=CARTESIAN_POINT('',(16.740716,19.504944,0.035)); #487938=CARTESIAN_POINT('',(16.740716,19.504944,0.)); #487939=CARTESIAN_POINT('Origin',(16.712803,19.462803,0.)); #487940=CARTESIAN_POINT('',(16.712803,19.462803,0.)); #487941=CARTESIAN_POINT('',(16.712803,19.462803,0.)); #487942=CARTESIAN_POINT('',(16.712803,19.462803,0.035)); #487943=CARTESIAN_POINT('',(16.712803,19.462803,0.035)); #487944=CARTESIAN_POINT('',(16.712803,19.462803,0.)); #487945=CARTESIAN_POINT('Origin',(16.537197,19.287197,0.)); #487946=CARTESIAN_POINT('',(16.537197,19.287197,0.)); #487947=CARTESIAN_POINT('',(16.537197,19.287197,0.)); #487948=CARTESIAN_POINT('',(16.537197,19.287197,0.035)); #487949=CARTESIAN_POINT('',(16.537197,19.287197,0.035)); #487950=CARTESIAN_POINT('',(16.537197,19.287197,0.)); #487951=CARTESIAN_POINT('Origin',(16.496928,19.260059,0.)); #487952=CARTESIAN_POINT('',(16.496928,19.260059,0.)); #487953=CARTESIAN_POINT('',(16.496928,19.260059,0.035)); #487954=CARTESIAN_POINT('Origin',(21.1408077424797,28.1046065109543,0.035)); #487955=CARTESIAN_POINT('Origin',(21.1408077424797,28.1046065109543,0.)); #487956=CARTESIAN_POINT('Origin',(33.696416,54.284663,0.)); #487957=CARTESIAN_POINT('',(33.696416,54.284663,0.)); #487958=CARTESIAN_POINT('',(33.726034,54.291172,0.)); #487959=CARTESIAN_POINT('',(33.696416,54.284663,0.)); #487960=CARTESIAN_POINT('',(33.726034,54.291172,0.035)); #487961=CARTESIAN_POINT('',(33.726034,54.291172,0.)); #487962=CARTESIAN_POINT('',(33.696416,54.284663,0.035)); #487963=CARTESIAN_POINT('',(33.696416,54.284663,0.035)); #487964=CARTESIAN_POINT('',(33.696416,54.284663,0.)); #487965=CARTESIAN_POINT('Origin',(33.666603,54.290222,0.)); #487966=CARTESIAN_POINT('',(33.666603,54.290222,0.)); #487967=CARTESIAN_POINT('',(33.666603,54.290222,0.)); #487968=CARTESIAN_POINT('',(33.666603,54.290222,0.035)); #487969=CARTESIAN_POINT('',(33.666603,54.290222,0.035)); #487970=CARTESIAN_POINT('',(33.666603,54.290222,0.)); #487971=CARTESIAN_POINT('Origin',(33.641319,54.306972,0.)); #487972=CARTESIAN_POINT('',(33.641319,54.306972,0.)); #487973=CARTESIAN_POINT('',(33.641319,54.306972,0.)); #487974=CARTESIAN_POINT('',(33.641319,54.306972,0.035)); #487975=CARTESIAN_POINT('',(33.641319,54.306972,0.035)); #487976=CARTESIAN_POINT('',(33.641319,54.306972,0.)); #487977=CARTESIAN_POINT('Origin',(33.500919,54.447372,0.)); #487978=CARTESIAN_POINT('',(33.500919,54.447372,0.)); #487979=CARTESIAN_POINT('',(33.500919,54.447372,0.)); #487980=CARTESIAN_POINT('',(33.500919,54.447372,0.035)); #487981=CARTESIAN_POINT('',(33.500919,54.447372,0.035)); #487982=CARTESIAN_POINT('',(33.500919,54.447372,0.)); #487983=CARTESIAN_POINT('Origin',(33.484634,54.471531,0.)); #487984=CARTESIAN_POINT('',(33.484634,54.471531,0.)); #487985=CARTESIAN_POINT('',(33.484634,54.471531,0.)); #487986=CARTESIAN_POINT('',(33.484634,54.471531,0.035)); #487987=CARTESIAN_POINT('',(33.484634,54.471531,0.035)); #487988=CARTESIAN_POINT('',(33.484634,54.471531,0.)); #487989=CARTESIAN_POINT('Origin',(33.4786,54.501253,0.)); #487990=CARTESIAN_POINT('',(33.4786,54.501253,0.)); #487991=CARTESIAN_POINT('',(33.4786,54.501253,0.)); #487992=CARTESIAN_POINT('',(33.4786,54.501253,0.035)); #487993=CARTESIAN_POINT('',(33.4786,54.501253,0.035)); #487994=CARTESIAN_POINT('',(33.4786,54.501253,0.)); #487995=CARTESIAN_POINT('Origin',(33.4786,54.592603,0.)); #487996=CARTESIAN_POINT('',(33.4786,54.592603,0.)); #487997=CARTESIAN_POINT('',(33.4786,54.592603,0.)); #487998=CARTESIAN_POINT('',(33.4786,54.592603,0.035)); #487999=CARTESIAN_POINT('',(33.4786,54.592603,0.035)); #488000=CARTESIAN_POINT('',(33.4786,54.592603,0.)); #488001=CARTESIAN_POINT('Origin',(33.484169,54.6212,0.)); #488002=CARTESIAN_POINT('',(33.484169,54.6212,0.)); #488003=CARTESIAN_POINT('',(33.484169,54.6212,0.)); #488004=CARTESIAN_POINT('',(33.484169,54.6212,0.035)); #488005=CARTESIAN_POINT('',(33.484169,54.6212,0.035)); #488006=CARTESIAN_POINT('',(33.484169,54.6212,0.)); #488007=CARTESIAN_POINT('Origin',(33.500919,54.646484,0.)); #488008=CARTESIAN_POINT('',(33.500919,54.646484,0.)); #488009=CARTESIAN_POINT('',(33.500919,54.646484,0.)); #488010=CARTESIAN_POINT('',(33.500919,54.646484,0.035)); #488011=CARTESIAN_POINT('',(33.500919,54.646484,0.035)); #488012=CARTESIAN_POINT('',(33.500919,54.646484,0.)); #488013=CARTESIAN_POINT('Origin',(33.571119,54.716684,0.)); #488014=CARTESIAN_POINT('',(33.571119,54.716684,0.)); #488015=CARTESIAN_POINT('',(33.571119,54.716684,0.)); #488016=CARTESIAN_POINT('',(33.571119,54.716684,0.035)); #488017=CARTESIAN_POINT('',(33.571119,54.716684,0.035)); #488018=CARTESIAN_POINT('',(33.571119,54.716684,0.)); #488019=CARTESIAN_POINT('Origin',(33.594166,54.732484,0.)); #488020=CARTESIAN_POINT('',(33.594166,54.732484,0.)); #488021=CARTESIAN_POINT('',(33.594166,54.732484,0.)); #488022=CARTESIAN_POINT('',(33.594166,54.732484,0.035)); #488023=CARTESIAN_POINT('',(33.594166,54.732484,0.035)); #488024=CARTESIAN_POINT('',(33.594166,54.732484,0.)); #488025=CARTESIAN_POINT('Origin',(33.623784,54.738994,0.)); #488026=CARTESIAN_POINT('',(33.623784,54.738994,0.)); #488027=CARTESIAN_POINT('',(33.623784,54.738994,0.)); #488028=CARTESIAN_POINT('',(33.623784,54.738994,0.035)); #488029=CARTESIAN_POINT('',(33.623784,54.738994,0.035)); #488030=CARTESIAN_POINT('',(33.623784,54.738994,0.)); #488031=CARTESIAN_POINT('Origin',(33.653597,54.733434,0.)); #488032=CARTESIAN_POINT('',(33.653597,54.733434,0.)); #488033=CARTESIAN_POINT('',(33.653597,54.733434,0.)); #488034=CARTESIAN_POINT('',(33.653597,54.733434,0.035)); #488035=CARTESIAN_POINT('',(33.653597,54.733434,0.035)); #488036=CARTESIAN_POINT('',(33.653597,54.733434,0.)); #488037=CARTESIAN_POINT('Origin',(33.678881,54.716684,0.)); #488038=CARTESIAN_POINT('',(33.678881,54.716684,0.)); #488039=CARTESIAN_POINT('',(33.678881,54.716684,0.)); #488040=CARTESIAN_POINT('',(33.678881,54.716684,0.035)); #488041=CARTESIAN_POINT('',(33.678881,54.716684,0.035)); #488042=CARTESIAN_POINT('',(33.678881,54.716684,0.)); #488043=CARTESIAN_POINT('Origin',(33.749081,54.646484,0.)); #488044=CARTESIAN_POINT('',(33.749081,54.646484,0.)); #488045=CARTESIAN_POINT('',(33.749081,54.646484,0.)); #488046=CARTESIAN_POINT('',(33.749081,54.646484,0.035)); #488047=CARTESIAN_POINT('',(33.749081,54.646484,0.035)); #488048=CARTESIAN_POINT('',(33.749081,54.646484,0.)); #488049=CARTESIAN_POINT('Origin',(33.765366,54.622325,0.)); #488050=CARTESIAN_POINT('',(33.765366,54.622325,0.)); #488051=CARTESIAN_POINT('',(33.765366,54.622325,0.)); #488052=CARTESIAN_POINT('',(33.765366,54.622325,0.035)); #488053=CARTESIAN_POINT('',(33.765366,54.622325,0.035)); #488054=CARTESIAN_POINT('',(33.765366,54.622325,0.)); #488055=CARTESIAN_POINT('Origin',(33.7714,54.592603,0.)); #488056=CARTESIAN_POINT('',(33.7714,54.592603,0.)); #488057=CARTESIAN_POINT('',(33.7714,54.592603,0.)); #488058=CARTESIAN_POINT('',(33.7714,54.592603,0.035)); #488059=CARTESIAN_POINT('',(33.7714,54.592603,0.035)); #488060=CARTESIAN_POINT('',(33.7714,54.592603,0.)); #488061=CARTESIAN_POINT('Origin',(33.7714,54.360853,0.)); #488062=CARTESIAN_POINT('',(33.7714,54.360853,0.)); #488063=CARTESIAN_POINT('',(33.7714,54.360853,0.)); #488064=CARTESIAN_POINT('',(33.7714,54.360853,0.035)); #488065=CARTESIAN_POINT('',(33.7714,54.360853,0.035)); #488066=CARTESIAN_POINT('',(33.7714,54.360853,0.)); #488067=CARTESIAN_POINT('Origin',(33.766706,54.334522,0.)); #488068=CARTESIAN_POINT('',(33.766706,54.334522,0.)); #488069=CARTESIAN_POINT('',(33.766706,54.334522,0.)); #488070=CARTESIAN_POINT('',(33.766706,54.334522,0.035)); #488071=CARTESIAN_POINT('',(33.766706,54.334522,0.035)); #488072=CARTESIAN_POINT('',(33.766706,54.334522,0.)); #488073=CARTESIAN_POINT('Origin',(33.750772,54.308716,0.)); #488074=CARTESIAN_POINT('',(33.750772,54.308716,0.)); #488075=CARTESIAN_POINT('',(33.750772,54.308716,0.)); #488076=CARTESIAN_POINT('',(33.750772,54.308716,0.035)); #488077=CARTESIAN_POINT('',(33.750772,54.308716,0.035)); #488078=CARTESIAN_POINT('',(33.750772,54.308716,0.)); #488079=CARTESIAN_POINT('Origin',(33.726034,54.291172,0.)); #488080=CARTESIAN_POINT('',(33.726034,54.291172,0.)); #488081=CARTESIAN_POINT('',(33.726034,54.291172,0.035)); #488082=CARTESIAN_POINT('Origin',(33.6402238360341,54.5135390844825,0.035)); #488083=CARTESIAN_POINT('Origin',(33.6402238360341,54.5135390844825,0.)); #488084=CARTESIAN_POINT('Origin',(36.076647,23.3536,0.)); #488085=CARTESIAN_POINT('',(36.076647,23.3536,0.)); #488086=CARTESIAN_POINT('',(36.106369,23.359634,0.)); #488087=CARTESIAN_POINT('',(36.076647,23.3536,0.)); #488088=CARTESIAN_POINT('',(36.106369,23.359634,0.035)); #488089=CARTESIAN_POINT('',(36.106369,23.359634,0.)); #488090=CARTESIAN_POINT('',(36.076647,23.3536,0.035)); #488091=CARTESIAN_POINT('',(36.076647,23.3536,0.035)); #488092=CARTESIAN_POINT('',(36.076647,23.3536,0.)); #488093=CARTESIAN_POINT('Origin',(34.407397,23.3536,0.)); #488094=CARTESIAN_POINT('',(34.407397,23.3536,0.)); #488095=CARTESIAN_POINT('',(34.407397,23.3536,0.)); #488096=CARTESIAN_POINT('',(34.407397,23.3536,0.035)); #488097=CARTESIAN_POINT('',(34.407397,23.3536,0.035)); #488098=CARTESIAN_POINT('',(34.407397,23.3536,0.)); #488099=CARTESIAN_POINT('Origin',(34.3788,23.359169,0.)); #488100=CARTESIAN_POINT('',(34.3788,23.359169,0.)); #488101=CARTESIAN_POINT('',(34.3788,23.359169,0.)); #488102=CARTESIAN_POINT('',(34.3788,23.359169,0.035)); #488103=CARTESIAN_POINT('',(34.3788,23.359169,0.035)); #488104=CARTESIAN_POINT('',(34.3788,23.359169,0.)); #488105=CARTESIAN_POINT('Origin',(34.353516,23.375919,0.)); #488106=CARTESIAN_POINT('',(34.353516,23.375919,0.)); #488107=CARTESIAN_POINT('',(34.353516,23.375919,0.)); #488108=CARTESIAN_POINT('',(34.353516,23.375919,0.035)); #488109=CARTESIAN_POINT('',(34.353516,23.375919,0.035)); #488110=CARTESIAN_POINT('',(34.353516,23.375919,0.)); #488111=CARTESIAN_POINT('Origin',(34.338116,23.391319,0.)); #488112=CARTESIAN_POINT('',(34.338116,23.391319,0.)); #488113=CARTESIAN_POINT('',(34.338116,23.391319,0.)); #488114=CARTESIAN_POINT('',(34.338116,23.391319,0.035)); #488115=CARTESIAN_POINT('',(34.338116,23.391319,0.035)); #488116=CARTESIAN_POINT('',(34.338116,23.391319,0.)); #488117=CARTESIAN_POINT('Origin',(34.322816,23.413256,0.)); #488118=CARTESIAN_POINT('',(34.322816,23.413256,0.)); #488119=CARTESIAN_POINT('',(34.322816,23.413256,0.)); #488120=CARTESIAN_POINT('',(34.322816,23.413256,0.035)); #488121=CARTESIAN_POINT('',(34.322816,23.413256,0.035)); #488122=CARTESIAN_POINT('',(34.322816,23.413256,0.)); #488123=CARTESIAN_POINT('Origin',(34.315834,23.442772,0.)); #488124=CARTESIAN_POINT('',(34.315834,23.442772,0.)); #488125=CARTESIAN_POINT('',(34.315834,23.442772,0.)); #488126=CARTESIAN_POINT('',(34.315834,23.442772,0.035)); #488127=CARTESIAN_POINT('',(34.315834,23.442772,0.035)); #488128=CARTESIAN_POINT('',(34.315834,23.442772,0.)); #488129=CARTESIAN_POINT('Origin',(34.320919,23.472669,0.)); #488130=CARTESIAN_POINT('',(34.320919,23.472669,0.)); #488131=CARTESIAN_POINT('',(34.320919,23.472669,0.)); #488132=CARTESIAN_POINT('',(34.320919,23.472669,0.035)); #488133=CARTESIAN_POINT('',(34.320919,23.472669,0.035)); #488134=CARTESIAN_POINT('',(34.320919,23.472669,0.)); #488135=CARTESIAN_POINT('Origin',(34.337263,23.498216,0.)); #488136=CARTESIAN_POINT('',(34.337263,23.498216,0.)); #488137=CARTESIAN_POINT('',(34.337263,23.498216,0.)); #488138=CARTESIAN_POINT('',(34.337263,23.498216,0.035)); #488139=CARTESIAN_POINT('',(34.337263,23.498216,0.035)); #488140=CARTESIAN_POINT('',(34.337263,23.498216,0.)); #488141=CARTESIAN_POINT('Origin',(34.362275,23.515366,0.)); #488142=CARTESIAN_POINT('',(34.362275,23.515366,0.)); #488143=CARTESIAN_POINT('',(34.362275,23.515366,0.)); #488144=CARTESIAN_POINT('',(34.362275,23.515366,0.035)); #488145=CARTESIAN_POINT('',(34.362275,23.515366,0.035)); #488146=CARTESIAN_POINT('',(34.362275,23.515366,0.)); #488147=CARTESIAN_POINT('Origin',(34.391997,23.5214,0.)); #488148=CARTESIAN_POINT('',(34.391997,23.5214,0.)); #488149=CARTESIAN_POINT('',(34.391997,23.5214,0.)); #488150=CARTESIAN_POINT('',(34.391997,23.5214,0.035)); #488151=CARTESIAN_POINT('',(34.391997,23.5214,0.035)); #488152=CARTESIAN_POINT('',(34.391997,23.5214,0.)); #488153=CARTESIAN_POINT('Origin',(36.061247,23.5214,0.)); #488154=CARTESIAN_POINT('',(36.061247,23.5214,0.)); #488155=CARTESIAN_POINT('',(36.061247,23.5214,0.)); #488156=CARTESIAN_POINT('',(36.061247,23.5214,0.035)); #488157=CARTESIAN_POINT('',(36.061247,23.5214,0.035)); #488158=CARTESIAN_POINT('',(36.061247,23.5214,0.)); #488159=CARTESIAN_POINT('Origin',(36.089844,23.515831,0.)); #488160=CARTESIAN_POINT('',(36.089844,23.515831,0.)); #488161=CARTESIAN_POINT('',(36.089844,23.515831,0.)); #488162=CARTESIAN_POINT('',(36.089844,23.515831,0.035)); #488163=CARTESIAN_POINT('',(36.089844,23.515831,0.035)); #488164=CARTESIAN_POINT('',(36.089844,23.515831,0.)); #488165=CARTESIAN_POINT('Origin',(36.115128,23.499081,0.)); #488166=CARTESIAN_POINT('',(36.115128,23.499081,0.)); #488167=CARTESIAN_POINT('',(36.115128,23.499081,0.)); #488168=CARTESIAN_POINT('',(36.115128,23.499081,0.035)); #488169=CARTESIAN_POINT('',(36.115128,23.499081,0.035)); #488170=CARTESIAN_POINT('',(36.115128,23.499081,0.)); #488171=CARTESIAN_POINT('Origin',(36.130528,23.483681,0.)); #488172=CARTESIAN_POINT('',(36.130528,23.483681,0.)); #488173=CARTESIAN_POINT('',(36.130528,23.483681,0.)); #488174=CARTESIAN_POINT('',(36.130528,23.483681,0.035)); #488175=CARTESIAN_POINT('',(36.130528,23.483681,0.035)); #488176=CARTESIAN_POINT('',(36.130528,23.483681,0.)); #488177=CARTESIAN_POINT('Origin',(36.145828,23.461744,0.)); #488178=CARTESIAN_POINT('',(36.145828,23.461744,0.)); #488179=CARTESIAN_POINT('',(36.145828,23.461744,0.)); #488180=CARTESIAN_POINT('',(36.145828,23.461744,0.035)); #488181=CARTESIAN_POINT('',(36.145828,23.461744,0.035)); #488182=CARTESIAN_POINT('',(36.145828,23.461744,0.)); #488183=CARTESIAN_POINT('Origin',(36.152809,23.432228,0.)); #488184=CARTESIAN_POINT('',(36.152809,23.432228,0.)); #488185=CARTESIAN_POINT('',(36.152809,23.432228,0.)); #488186=CARTESIAN_POINT('',(36.152809,23.432228,0.035)); #488187=CARTESIAN_POINT('',(36.152809,23.432228,0.035)); #488188=CARTESIAN_POINT('',(36.152809,23.432228,0.)); #488189=CARTESIAN_POINT('Origin',(36.147725,23.402331,0.)); #488190=CARTESIAN_POINT('',(36.147725,23.402331,0.)); #488191=CARTESIAN_POINT('',(36.147725,23.402331,0.)); #488192=CARTESIAN_POINT('',(36.147725,23.402331,0.035)); #488193=CARTESIAN_POINT('',(36.147725,23.402331,0.035)); #488194=CARTESIAN_POINT('',(36.147725,23.402331,0.)); #488195=CARTESIAN_POINT('Origin',(36.131381,23.376784,0.)); #488196=CARTESIAN_POINT('',(36.131381,23.376784,0.)); #488197=CARTESIAN_POINT('',(36.131381,23.376784,0.)); #488198=CARTESIAN_POINT('',(36.131381,23.376784,0.035)); #488199=CARTESIAN_POINT('',(36.131381,23.376784,0.035)); #488200=CARTESIAN_POINT('',(36.131381,23.376784,0.)); #488201=CARTESIAN_POINT('Origin',(36.106369,23.359634,0.)); #488202=CARTESIAN_POINT('',(36.106369,23.359634,0.)); #488203=CARTESIAN_POINT('',(36.106369,23.359634,0.035)); #488204=CARTESIAN_POINT('Origin',(35.2343218977356,23.4375000003128,0.035)); #488205=CARTESIAN_POINT('Origin',(35.2343218977356,23.4375000003128,0.)); #488206=CARTESIAN_POINT('Origin',(37.498747,26.5411,0.)); #488207=CARTESIAN_POINT('',(37.498747,26.5411,0.)); #488208=CARTESIAN_POINT('',(37.528469,26.547134,0.)); #488209=CARTESIAN_POINT('',(37.498747,26.5411,0.)); #488210=CARTESIAN_POINT('',(37.528469,26.547134,0.035)); #488211=CARTESIAN_POINT('',(37.528469,26.547134,0.)); #488212=CARTESIAN_POINT('',(37.498747,26.5411,0.035)); #488213=CARTESIAN_POINT('',(37.498747,26.5411,0.035)); #488214=CARTESIAN_POINT('',(37.498747,26.5411,0.)); #488215=CARTESIAN_POINT('Origin',(37.286353,26.5411,0.)); #488216=CARTESIAN_POINT('',(37.286353,26.5411,0.)); #488217=CARTESIAN_POINT('',(37.286353,26.5411,0.)); #488218=CARTESIAN_POINT('',(37.286353,26.5411,0.035)); #488219=CARTESIAN_POINT('',(37.286353,26.5411,0.035)); #488220=CARTESIAN_POINT('',(37.286353,26.5411,0.)); #488221=CARTESIAN_POINT('Origin',(37.260022,26.545794,0.)); #488222=CARTESIAN_POINT('',(37.260022,26.545794,0.)); #488223=CARTESIAN_POINT('',(37.260022,26.545794,0.)); #488224=CARTESIAN_POINT('',(37.260022,26.545794,0.035)); #488225=CARTESIAN_POINT('',(37.260022,26.545794,0.035)); #488226=CARTESIAN_POINT('',(37.260022,26.545794,0.)); #488227=CARTESIAN_POINT('Origin',(37.234216,26.561728,0.)); #488228=CARTESIAN_POINT('',(37.234216,26.561728,0.)); #488229=CARTESIAN_POINT('',(37.234216,26.561728,0.)); #488230=CARTESIAN_POINT('',(37.234216,26.561728,0.035)); #488231=CARTESIAN_POINT('',(37.234216,26.561728,0.035)); #488232=CARTESIAN_POINT('',(37.234216,26.561728,0.)); #488233=CARTESIAN_POINT('Origin',(37.216672,26.586466,0.)); #488234=CARTESIAN_POINT('',(37.216672,26.586466,0.)); #488235=CARTESIAN_POINT('',(37.216672,26.586466,0.)); #488236=CARTESIAN_POINT('',(37.216672,26.586466,0.035)); #488237=CARTESIAN_POINT('',(37.216672,26.586466,0.035)); #488238=CARTESIAN_POINT('',(37.216672,26.586466,0.)); #488239=CARTESIAN_POINT('Origin',(37.210163,26.616084,0.)); #488240=CARTESIAN_POINT('',(37.210163,26.616084,0.)); #488241=CARTESIAN_POINT('',(37.210163,26.616084,0.)); #488242=CARTESIAN_POINT('',(37.210163,26.616084,0.035)); #488243=CARTESIAN_POINT('',(37.210163,26.616084,0.035)); #488244=CARTESIAN_POINT('',(37.210163,26.616084,0.)); #488245=CARTESIAN_POINT('Origin',(37.215722,26.645897,0.)); #488246=CARTESIAN_POINT('',(37.215722,26.645897,0.)); #488247=CARTESIAN_POINT('',(37.215722,26.645897,0.)); #488248=CARTESIAN_POINT('',(37.215722,26.645897,0.035)); #488249=CARTESIAN_POINT('',(37.215722,26.645897,0.035)); #488250=CARTESIAN_POINT('',(37.215722,26.645897,0.)); #488251=CARTESIAN_POINT('Origin',(37.232472,26.671181,0.)); #488252=CARTESIAN_POINT('',(37.232472,26.671181,0.)); #488253=CARTESIAN_POINT('',(37.232472,26.671181,0.)); #488254=CARTESIAN_POINT('',(37.232472,26.671181,0.035)); #488255=CARTESIAN_POINT('',(37.232472,26.671181,0.035)); #488256=CARTESIAN_POINT('',(37.232472,26.671181,0.)); #488257=CARTESIAN_POINT('Origin',(38.072372,27.511081,0.)); #488258=CARTESIAN_POINT('',(38.072372,27.511081,0.)); #488259=CARTESIAN_POINT('',(38.072372,27.511081,0.)); #488260=CARTESIAN_POINT('',(38.072372,27.511081,0.035)); #488261=CARTESIAN_POINT('',(38.072372,27.511081,0.035)); #488262=CARTESIAN_POINT('',(38.072372,27.511081,0.)); #488263=CARTESIAN_POINT('Origin',(38.096531,27.527366,0.)); #488264=CARTESIAN_POINT('',(38.096531,27.527366,0.)); #488265=CARTESIAN_POINT('',(38.096531,27.527366,0.)); #488266=CARTESIAN_POINT('',(38.096531,27.527366,0.035)); #488267=CARTESIAN_POINT('',(38.096531,27.527366,0.035)); #488268=CARTESIAN_POINT('',(38.096531,27.527366,0.)); #488269=CARTESIAN_POINT('Origin',(38.126253,27.5334,0.)); #488270=CARTESIAN_POINT('',(38.126253,27.5334,0.)); #488271=CARTESIAN_POINT('',(38.126253,27.5334,0.)); #488272=CARTESIAN_POINT('',(38.126253,27.5334,0.035)); #488273=CARTESIAN_POINT('',(38.126253,27.5334,0.035)); #488274=CARTESIAN_POINT('',(38.126253,27.5334,0.)); #488275=CARTESIAN_POINT('Origin',(40.495003,27.5334,0.)); #488276=CARTESIAN_POINT('',(40.495003,27.5334,0.)); #488277=CARTESIAN_POINT('',(40.495003,27.5334,0.)); #488278=CARTESIAN_POINT('',(40.495003,27.5334,0.035)); #488279=CARTESIAN_POINT('',(40.495003,27.5334,0.035)); #488280=CARTESIAN_POINT('',(40.495003,27.5334,0.)); #488281=CARTESIAN_POINT('Origin',(40.521334,27.528706,0.)); #488282=CARTESIAN_POINT('',(40.521334,27.528706,0.)); #488283=CARTESIAN_POINT('',(40.521334,27.528706,0.)); #488284=CARTESIAN_POINT('',(40.521334,27.528706,0.035)); #488285=CARTESIAN_POINT('',(40.521334,27.528706,0.035)); #488286=CARTESIAN_POINT('',(40.521334,27.528706,0.)); #488287=CARTESIAN_POINT('Origin',(40.547141,27.512772,0.)); #488288=CARTESIAN_POINT('',(40.547141,27.512772,0.)); #488289=CARTESIAN_POINT('',(40.547141,27.512772,0.)); #488290=CARTESIAN_POINT('',(40.547141,27.512772,0.035)); #488291=CARTESIAN_POINT('',(40.547141,27.512772,0.035)); #488292=CARTESIAN_POINT('',(40.547141,27.512772,0.)); #488293=CARTESIAN_POINT('Origin',(40.564684,27.488034,0.)); #488294=CARTESIAN_POINT('',(40.564684,27.488034,0.)); #488295=CARTESIAN_POINT('',(40.564684,27.488034,0.)); #488296=CARTESIAN_POINT('',(40.564684,27.488034,0.035)); #488297=CARTESIAN_POINT('',(40.564684,27.488034,0.035)); #488298=CARTESIAN_POINT('',(40.564684,27.488034,0.)); #488299=CARTESIAN_POINT('Origin',(40.571194,27.458416,0.)); #488300=CARTESIAN_POINT('',(40.571194,27.458416,0.)); #488301=CARTESIAN_POINT('',(40.571194,27.458416,0.)); #488302=CARTESIAN_POINT('',(40.571194,27.458416,0.035)); #488303=CARTESIAN_POINT('',(40.571194,27.458416,0.035)); #488304=CARTESIAN_POINT('',(40.571194,27.458416,0.)); #488305=CARTESIAN_POINT('Origin',(40.565634,27.428603,0.)); #488306=CARTESIAN_POINT('',(40.565634,27.428603,0.)); #488307=CARTESIAN_POINT('',(40.565634,27.428603,0.)); #488308=CARTESIAN_POINT('',(40.565634,27.428603,0.035)); #488309=CARTESIAN_POINT('',(40.565634,27.428603,0.035)); #488310=CARTESIAN_POINT('',(40.565634,27.428603,0.)); #488311=CARTESIAN_POINT('Origin',(40.548884,27.403319,0.)); #488312=CARTESIAN_POINT('',(40.548884,27.403319,0.)); #488313=CARTESIAN_POINT('',(40.548884,27.403319,0.)); #488314=CARTESIAN_POINT('',(40.548884,27.403319,0.035)); #488315=CARTESIAN_POINT('',(40.548884,27.403319,0.035)); #488316=CARTESIAN_POINT('',(40.548884,27.403319,0.)); #488317=CARTESIAN_POINT('Origin',(40.396484,27.250919,0.)); #488318=CARTESIAN_POINT('',(40.396484,27.250919,0.)); #488319=CARTESIAN_POINT('',(40.396484,27.250919,0.)); #488320=CARTESIAN_POINT('',(40.396484,27.250919,0.035)); #488321=CARTESIAN_POINT('',(40.396484,27.250919,0.035)); #488322=CARTESIAN_POINT('',(40.396484,27.250919,0.)); #488323=CARTESIAN_POINT('Origin',(40.372325,27.234634,0.)); #488324=CARTESIAN_POINT('',(40.372325,27.234634,0.)); #488325=CARTESIAN_POINT('',(40.372325,27.234634,0.)); #488326=CARTESIAN_POINT('',(40.372325,27.234634,0.035)); #488327=CARTESIAN_POINT('',(40.372325,27.234634,0.035)); #488328=CARTESIAN_POINT('',(40.372325,27.234634,0.)); #488329=CARTESIAN_POINT('Origin',(40.342603,27.2286,0.)); #488330=CARTESIAN_POINT('',(40.342603,27.2286,0.)); #488331=CARTESIAN_POINT('',(40.342603,27.2286,0.)); #488332=CARTESIAN_POINT('',(40.342603,27.2286,0.035)); #488333=CARTESIAN_POINT('',(40.342603,27.2286,0.035)); #488334=CARTESIAN_POINT('',(40.342603,27.2286,0.)); #488335=CARTESIAN_POINT('Origin',(38.217809,27.2286,0.)); #488336=CARTESIAN_POINT('',(38.217809,27.2286,0.)); #488337=CARTESIAN_POINT('',(38.217809,27.2286,0.)); #488338=CARTESIAN_POINT('',(38.217809,27.2286,0.035)); #488339=CARTESIAN_POINT('',(38.217809,27.2286,0.035)); #488340=CARTESIAN_POINT('',(38.217809,27.2286,0.)); #488341=CARTESIAN_POINT('Origin',(37.552628,26.563419,0.)); #488342=CARTESIAN_POINT('',(37.552628,26.563419,0.)); #488343=CARTESIAN_POINT('',(37.552628,26.563419,0.)); #488344=CARTESIAN_POINT('',(37.552628,26.563419,0.035)); #488345=CARTESIAN_POINT('',(37.552628,26.563419,0.035)); #488346=CARTESIAN_POINT('',(37.552628,26.563419,0.)); #488347=CARTESIAN_POINT('Origin',(37.528469,26.547134,0.)); #488348=CARTESIAN_POINT('',(37.528469,26.547134,0.)); #488349=CARTESIAN_POINT('',(37.528469,26.547134,0.035)); #488350=CARTESIAN_POINT('Origin',(38.810037782698,27.2326253013061,0.035)); #488351=CARTESIAN_POINT('Origin',(38.810037782698,27.2326253013061,0.)); #488352=CARTESIAN_POINT('Origin',(27.1143,22.4786,0.)); #488353=CARTESIAN_POINT('',(27.1143,22.4786,0.)); #488354=CARTESIAN_POINT('',(27.144022,22.484634,0.)); #488355=CARTESIAN_POINT('',(27.1143,22.4786,0.)); #488356=CARTESIAN_POINT('',(27.144022,22.484634,0.035)); #488357=CARTESIAN_POINT('',(27.144022,22.484634,0.)); #488358=CARTESIAN_POINT('',(27.1143,22.4786,0.035)); #488359=CARTESIAN_POINT('',(27.1143,22.4786,0.035)); #488360=CARTESIAN_POINT('',(27.1143,22.4786,0.)); #488361=CARTESIAN_POINT('Origin',(27.091325,22.4786,0.)); #488362=CARTESIAN_POINT('',(27.091325,22.4786,0.)); #488363=CARTESIAN_POINT('',(27.091325,22.4786,0.)); #488364=CARTESIAN_POINT('',(27.091325,22.4786,0.035)); #488365=CARTESIAN_POINT('',(27.091325,22.4786,0.035)); #488366=CARTESIAN_POINT('',(27.091325,22.4786,0.)); #488367=CARTESIAN_POINT('Origin',(27.061481,22.484687,0.)); #488368=CARTESIAN_POINT('',(27.061481,22.484687,0.)); #488369=CARTESIAN_POINT('',(27.061481,22.484687,0.)); #488370=CARTESIAN_POINT('',(27.061481,22.484687,0.035)); #488371=CARTESIAN_POINT('',(27.061481,22.484687,0.035)); #488372=CARTESIAN_POINT('',(27.061481,22.484687,0.)); #488373=CARTESIAN_POINT('Origin',(27.036497,22.501881,0.)); #488374=CARTESIAN_POINT('',(27.036497,22.501881,0.)); #488375=CARTESIAN_POINT('',(27.036497,22.501881,0.)); #488376=CARTESIAN_POINT('',(27.036497,22.501881,0.035)); #488377=CARTESIAN_POINT('',(27.036497,22.501881,0.035)); #488378=CARTESIAN_POINT('',(27.036497,22.501881,0.)); #488379=CARTESIAN_POINT('Origin',(26.830872,22.714919,0.)); #488380=CARTESIAN_POINT('',(26.830872,22.714919,0.)); #488381=CARTESIAN_POINT('',(26.830872,22.714919,0.)); #488382=CARTESIAN_POINT('',(26.830872,22.714919,0.035)); #488383=CARTESIAN_POINT('',(26.830872,22.714919,0.035)); #488384=CARTESIAN_POINT('',(26.830872,22.714919,0.)); #488385=CARTESIAN_POINT('Origin',(26.815534,22.738116,0.)); #488386=CARTESIAN_POINT('',(26.815534,22.738116,0.)); #488387=CARTESIAN_POINT('',(26.815534,22.738116,0.)); #488388=CARTESIAN_POINT('',(26.815534,22.738116,0.035)); #488389=CARTESIAN_POINT('',(26.815534,22.738116,0.035)); #488390=CARTESIAN_POINT('',(26.815534,22.738116,0.)); #488391=CARTESIAN_POINT('Origin',(26.8095,22.767838,0.)); #488392=CARTESIAN_POINT('',(26.8095,22.767838,0.)); #488393=CARTESIAN_POINT('',(26.8095,22.767838,0.)); #488394=CARTESIAN_POINT('',(26.8095,22.767838,0.035)); #488395=CARTESIAN_POINT('',(26.8095,22.767838,0.035)); #488396=CARTESIAN_POINT('',(26.8095,22.767838,0.)); #488397=CARTESIAN_POINT('Origin',(26.8095,23.4452,0.)); #488398=CARTESIAN_POINT('',(26.8095,23.4452,0.)); #488399=CARTESIAN_POINT('',(26.8095,23.4452,0.)); #488400=CARTESIAN_POINT('',(26.8095,23.4452,0.035)); #488401=CARTESIAN_POINT('',(26.8095,23.4452,0.035)); #488402=CARTESIAN_POINT('',(26.8095,23.4452,0.)); #488403=CARTESIAN_POINT('Origin',(26.814622,23.472669,0.)); #488404=CARTESIAN_POINT('',(26.814622,23.472669,0.)); #488405=CARTESIAN_POINT('',(26.814622,23.472669,0.)); #488406=CARTESIAN_POINT('',(26.814622,23.472669,0.035)); #488407=CARTESIAN_POINT('',(26.814622,23.472669,0.035)); #488408=CARTESIAN_POINT('',(26.814622,23.472669,0.)); #488409=CARTESIAN_POINT('Origin',(26.830966,23.498216,0.)); #488410=CARTESIAN_POINT('',(26.830966,23.498216,0.)); #488411=CARTESIAN_POINT('',(26.830966,23.498216,0.)); #488412=CARTESIAN_POINT('',(26.830966,23.498216,0.035)); #488413=CARTESIAN_POINT('',(26.830966,23.498216,0.035)); #488414=CARTESIAN_POINT('',(26.830966,23.498216,0.)); #488415=CARTESIAN_POINT('Origin',(26.855978,23.515366,0.)); #488416=CARTESIAN_POINT('',(26.855978,23.515366,0.)); #488417=CARTESIAN_POINT('',(26.855978,23.515366,0.)); #488418=CARTESIAN_POINT('',(26.855978,23.515366,0.035)); #488419=CARTESIAN_POINT('',(26.855978,23.515366,0.035)); #488420=CARTESIAN_POINT('',(26.855978,23.515366,0.)); #488421=CARTESIAN_POINT('Origin',(26.8857,23.5214,0.)); #488422=CARTESIAN_POINT('',(26.8857,23.5214,0.)); #488423=CARTESIAN_POINT('',(26.8857,23.5214,0.)); #488424=CARTESIAN_POINT('',(26.8857,23.5214,0.035)); #488425=CARTESIAN_POINT('',(26.8857,23.5214,0.035)); #488426=CARTESIAN_POINT('',(26.8857,23.5214,0.)); #488427=CARTESIAN_POINT('Origin',(27.1143,23.5214,0.)); #488428=CARTESIAN_POINT('',(27.1143,23.5214,0.)); #488429=CARTESIAN_POINT('',(27.1143,23.5214,0.)); #488430=CARTESIAN_POINT('',(27.1143,23.5214,0.035)); #488431=CARTESIAN_POINT('',(27.1143,23.5214,0.035)); #488432=CARTESIAN_POINT('',(27.1143,23.5214,0.)); #488433=CARTESIAN_POINT('Origin',(27.141769,23.516278,0.)); #488434=CARTESIAN_POINT('',(27.141769,23.516278,0.)); #488435=CARTESIAN_POINT('',(27.141769,23.516278,0.)); #488436=CARTESIAN_POINT('',(27.141769,23.516278,0.035)); #488437=CARTESIAN_POINT('',(27.141769,23.516278,0.035)); #488438=CARTESIAN_POINT('',(27.141769,23.516278,0.)); #488439=CARTESIAN_POINT('Origin',(27.167316,23.499934,0.)); #488440=CARTESIAN_POINT('',(27.167316,23.499934,0.)); #488441=CARTESIAN_POINT('',(27.167316,23.499934,0.)); #488442=CARTESIAN_POINT('',(27.167316,23.499934,0.035)); #488443=CARTESIAN_POINT('',(27.167316,23.499934,0.035)); #488444=CARTESIAN_POINT('',(27.167316,23.499934,0.)); #488445=CARTESIAN_POINT('Origin',(27.184466,23.474922,0.)); #488446=CARTESIAN_POINT('',(27.184466,23.474922,0.)); #488447=CARTESIAN_POINT('',(27.184466,23.474922,0.)); #488448=CARTESIAN_POINT('',(27.184466,23.474922,0.035)); #488449=CARTESIAN_POINT('',(27.184466,23.474922,0.035)); #488450=CARTESIAN_POINT('',(27.184466,23.474922,0.)); #488451=CARTESIAN_POINT('Origin',(27.1905,23.4452,0.)); #488452=CARTESIAN_POINT('',(27.1905,23.4452,0.)); #488453=CARTESIAN_POINT('',(27.1905,23.4452,0.)); #488454=CARTESIAN_POINT('',(27.1905,23.4452,0.035)); #488455=CARTESIAN_POINT('',(27.1905,23.4452,0.035)); #488456=CARTESIAN_POINT('',(27.1905,23.4452,0.)); #488457=CARTESIAN_POINT('Origin',(27.1905,22.5548,0.)); #488458=CARTESIAN_POINT('',(27.1905,22.5548,0.)); #488459=CARTESIAN_POINT('',(27.1905,22.5548,0.)); #488460=CARTESIAN_POINT('',(27.1905,22.5548,0.035)); #488461=CARTESIAN_POINT('',(27.1905,22.5548,0.035)); #488462=CARTESIAN_POINT('',(27.1905,22.5548,0.)); #488463=CARTESIAN_POINT('Origin',(27.185378,22.527331,0.)); #488464=CARTESIAN_POINT('',(27.185378,22.527331,0.)); #488465=CARTESIAN_POINT('',(27.185378,22.527331,0.)); #488466=CARTESIAN_POINT('',(27.185378,22.527331,0.035)); #488467=CARTESIAN_POINT('',(27.185378,22.527331,0.035)); #488468=CARTESIAN_POINT('',(27.185378,22.527331,0.)); #488469=CARTESIAN_POINT('Origin',(27.169034,22.501784,0.)); #488470=CARTESIAN_POINT('',(27.169034,22.501784,0.)); #488471=CARTESIAN_POINT('',(27.169034,22.501784,0.)); #488472=CARTESIAN_POINT('',(27.169034,22.501784,0.035)); #488473=CARTESIAN_POINT('',(27.169034,22.501784,0.035)); #488474=CARTESIAN_POINT('',(27.169034,22.501784,0.)); #488475=CARTESIAN_POINT('Origin',(27.144022,22.484634,0.)); #488476=CARTESIAN_POINT('',(27.144022,22.484634,0.)); #488477=CARTESIAN_POINT('',(27.144022,22.484634,0.035)); #488478=CARTESIAN_POINT('Origin',(27.0138186505948,23.0292562171187,0.035)); #488479=CARTESIAN_POINT('Origin',(27.0138186505948,23.0292562171187,0.)); #488480=CARTESIAN_POINT('Origin',(42.108003,13.8536,0.)); #488481=CARTESIAN_POINT('',(42.108003,13.8536,0.)); #488482=CARTESIAN_POINT('',(42.137725,13.859634,0.)); #488483=CARTESIAN_POINT('',(42.108003,13.8536,0.)); #488484=CARTESIAN_POINT('',(42.137725,13.859634,0.035)); #488485=CARTESIAN_POINT('',(42.137725,13.859634,0.)); #488486=CARTESIAN_POINT('',(42.108003,13.8536,0.035)); #488487=CARTESIAN_POINT('',(42.108003,13.8536,0.035)); #488488=CARTESIAN_POINT('',(42.108003,13.8536,0.)); #488489=CARTESIAN_POINT('Origin',(41.998353,13.8536,0.)); #488490=CARTESIAN_POINT('',(41.998353,13.8536,0.)); #488491=CARTESIAN_POINT('',(41.998353,13.8536,0.)); #488492=CARTESIAN_POINT('',(41.998353,13.8536,0.035)); #488493=CARTESIAN_POINT('',(41.998353,13.8536,0.035)); #488494=CARTESIAN_POINT('',(41.998353,13.8536,0.)); #488495=CARTESIAN_POINT('Origin',(41.969756,13.859169,0.)); #488496=CARTESIAN_POINT('',(41.969756,13.859169,0.)); #488497=CARTESIAN_POINT('',(41.969756,13.859169,0.)); #488498=CARTESIAN_POINT('',(41.969756,13.859169,0.035)); #488499=CARTESIAN_POINT('',(41.969756,13.859169,0.035)); #488500=CARTESIAN_POINT('',(41.969756,13.859169,0.)); #488501=CARTESIAN_POINT('Origin',(41.944472,13.875919,0.)); #488502=CARTESIAN_POINT('',(41.944472,13.875919,0.)); #488503=CARTESIAN_POINT('',(41.944472,13.875919,0.)); #488504=CARTESIAN_POINT('',(41.944472,13.875919,0.035)); #488505=CARTESIAN_POINT('',(41.944472,13.875919,0.035)); #488506=CARTESIAN_POINT('',(41.944472,13.875919,0.)); #488507=CARTESIAN_POINT('Origin',(39.841791,15.9786,0.)); #488508=CARTESIAN_POINT('',(39.841791,15.9786,0.)); #488509=CARTESIAN_POINT('',(39.841791,15.9786,0.)); #488510=CARTESIAN_POINT('',(39.841791,15.9786,0.035)); #488511=CARTESIAN_POINT('',(39.841791,15.9786,0.035)); #488512=CARTESIAN_POINT('',(39.841791,15.9786,0.)); #488513=CARTESIAN_POINT('Origin',(39.657397,15.9786,0.)); #488514=CARTESIAN_POINT('',(39.657397,15.9786,0.)); #488515=CARTESIAN_POINT('',(39.657397,15.9786,0.)); #488516=CARTESIAN_POINT('',(39.657397,15.9786,0.035)); #488517=CARTESIAN_POINT('',(39.657397,15.9786,0.035)); #488518=CARTESIAN_POINT('',(39.657397,15.9786,0.)); #488519=CARTESIAN_POINT('Origin',(39.6288,15.984169,0.)); #488520=CARTESIAN_POINT('',(39.6288,15.984169,0.)); #488521=CARTESIAN_POINT('',(39.6288,15.984169,0.)); #488522=CARTESIAN_POINT('',(39.6288,15.984169,0.035)); #488523=CARTESIAN_POINT('',(39.6288,15.984169,0.035)); #488524=CARTESIAN_POINT('',(39.6288,15.984169,0.)); #488525=CARTESIAN_POINT('Origin',(39.603516,16.000919,0.)); #488526=CARTESIAN_POINT('',(39.603516,16.000919,0.)); #488527=CARTESIAN_POINT('',(39.603516,16.000919,0.)); #488528=CARTESIAN_POINT('',(39.603516,16.000919,0.035)); #488529=CARTESIAN_POINT('',(39.603516,16.000919,0.035)); #488530=CARTESIAN_POINT('',(39.603516,16.000919,0.)); #488531=CARTESIAN_POINT('Origin',(39.533316,16.071119,0.)); #488532=CARTESIAN_POINT('',(39.533316,16.071119,0.)); #488533=CARTESIAN_POINT('',(39.533316,16.071119,0.)); #488534=CARTESIAN_POINT('',(39.533316,16.071119,0.035)); #488535=CARTESIAN_POINT('',(39.533316,16.071119,0.035)); #488536=CARTESIAN_POINT('',(39.533316,16.071119,0.)); #488537=CARTESIAN_POINT('Origin',(39.517516,16.094166,0.)); #488538=CARTESIAN_POINT('',(39.517516,16.094166,0.)); #488539=CARTESIAN_POINT('',(39.517516,16.094166,0.)); #488540=CARTESIAN_POINT('',(39.517516,16.094166,0.035)); #488541=CARTESIAN_POINT('',(39.517516,16.094166,0.035)); #488542=CARTESIAN_POINT('',(39.517516,16.094166,0.)); #488543=CARTESIAN_POINT('Origin',(39.511006,16.123784,0.)); #488544=CARTESIAN_POINT('',(39.511006,16.123784,0.)); #488545=CARTESIAN_POINT('',(39.511006,16.123784,0.)); #488546=CARTESIAN_POINT('',(39.511006,16.123784,0.035)); #488547=CARTESIAN_POINT('',(39.511006,16.123784,0.035)); #488548=CARTESIAN_POINT('',(39.511006,16.123784,0.)); #488549=CARTESIAN_POINT('Origin',(39.516566,16.153597,0.)); #488550=CARTESIAN_POINT('',(39.516566,16.153597,0.)); #488551=CARTESIAN_POINT('',(39.516566,16.153597,0.)); #488552=CARTESIAN_POINT('',(39.516566,16.153597,0.035)); #488553=CARTESIAN_POINT('',(39.516566,16.153597,0.035)); #488554=CARTESIAN_POINT('',(39.516566,16.153597,0.)); #488555=CARTESIAN_POINT('Origin',(39.533316,16.178881,0.)); #488556=CARTESIAN_POINT('',(39.533316,16.178881,0.)); #488557=CARTESIAN_POINT('',(39.533316,16.178881,0.)); #488558=CARTESIAN_POINT('',(39.533316,16.178881,0.035)); #488559=CARTESIAN_POINT('',(39.533316,16.178881,0.035)); #488560=CARTESIAN_POINT('',(39.533316,16.178881,0.)); #488561=CARTESIAN_POINT('Origin',(39.603516,16.249081,0.)); #488562=CARTESIAN_POINT('',(39.603516,16.249081,0.)); #488563=CARTESIAN_POINT('',(39.603516,16.249081,0.)); #488564=CARTESIAN_POINT('',(39.603516,16.249081,0.035)); #488565=CARTESIAN_POINT('',(39.603516,16.249081,0.035)); #488566=CARTESIAN_POINT('',(39.603516,16.249081,0.)); #488567=CARTESIAN_POINT('Origin',(39.627675,16.265366,0.)); #488568=CARTESIAN_POINT('',(39.627675,16.265366,0.)); #488569=CARTESIAN_POINT('',(39.627675,16.265366,0.)); #488570=CARTESIAN_POINT('',(39.627675,16.265366,0.035)); #488571=CARTESIAN_POINT('',(39.627675,16.265366,0.035)); #488572=CARTESIAN_POINT('',(39.627675,16.265366,0.)); #488573=CARTESIAN_POINT('Origin',(39.657397,16.2714,0.)); #488574=CARTESIAN_POINT('',(39.657397,16.2714,0.)); #488575=CARTESIAN_POINT('',(39.657397,16.2714,0.)); #488576=CARTESIAN_POINT('',(39.657397,16.2714,0.035)); #488577=CARTESIAN_POINT('',(39.657397,16.2714,0.035)); #488578=CARTESIAN_POINT('',(39.657397,16.2714,0.)); #488579=CARTESIAN_POINT('Origin',(39.873747,16.2714,0.)); #488580=CARTESIAN_POINT('',(39.873747,16.2714,0.)); #488581=CARTESIAN_POINT('',(39.873747,16.2714,0.)); #488582=CARTESIAN_POINT('',(39.873747,16.2714,0.035)); #488583=CARTESIAN_POINT('',(39.873747,16.2714,0.035)); #488584=CARTESIAN_POINT('',(39.873747,16.2714,0.)); #488585=CARTESIAN_POINT('Origin',(39.902344,16.265831,0.)); #488586=CARTESIAN_POINT('',(39.902344,16.265831,0.)); #488587=CARTESIAN_POINT('',(39.902344,16.265831,0.)); #488588=CARTESIAN_POINT('',(39.902344,16.265831,0.035)); #488589=CARTESIAN_POINT('',(39.902344,16.265831,0.035)); #488590=CARTESIAN_POINT('',(39.902344,16.265831,0.)); #488591=CARTESIAN_POINT('Origin',(39.927628,16.249081,0.)); #488592=CARTESIAN_POINT('',(39.927628,16.249081,0.)); #488593=CARTESIAN_POINT('',(39.927628,16.249081,0.)); #488594=CARTESIAN_POINT('',(39.927628,16.249081,0.035)); #488595=CARTESIAN_POINT('',(39.927628,16.249081,0.035)); #488596=CARTESIAN_POINT('',(39.927628,16.249081,0.)); #488597=CARTESIAN_POINT('Origin',(41.530309,14.6464,0.)); #488598=CARTESIAN_POINT('',(41.530309,14.6464,0.)); #488599=CARTESIAN_POINT('',(41.530309,14.6464,0.)); #488600=CARTESIAN_POINT('',(41.530309,14.6464,0.035)); #488601=CARTESIAN_POINT('',(41.530309,14.6464,0.035)); #488602=CARTESIAN_POINT('',(41.530309,14.6464,0.)); #488603=CARTESIAN_POINT('Origin',(42.108003,14.6464,0.)); #488604=CARTESIAN_POINT('',(42.108003,14.6464,0.)); #488605=CARTESIAN_POINT('',(42.108003,14.6464,0.)); #488606=CARTESIAN_POINT('',(42.108003,14.6464,0.035)); #488607=CARTESIAN_POINT('',(42.108003,14.6464,0.035)); #488608=CARTESIAN_POINT('',(42.108003,14.6464,0.)); #488609=CARTESIAN_POINT('Origin',(42.134334,14.641706,0.)); #488610=CARTESIAN_POINT('',(42.134334,14.641706,0.)); #488611=CARTESIAN_POINT('',(42.134334,14.641706,0.)); #488612=CARTESIAN_POINT('',(42.134334,14.641706,0.035)); #488613=CARTESIAN_POINT('',(42.134334,14.641706,0.035)); #488614=CARTESIAN_POINT('',(42.134334,14.641706,0.)); #488615=CARTESIAN_POINT('Origin',(42.160141,14.625772,0.)); #488616=CARTESIAN_POINT('',(42.160141,14.625772,0.)); #488617=CARTESIAN_POINT('',(42.160141,14.625772,0.)); #488618=CARTESIAN_POINT('',(42.160141,14.625772,0.035)); #488619=CARTESIAN_POINT('',(42.160141,14.625772,0.035)); #488620=CARTESIAN_POINT('',(42.160141,14.625772,0.)); #488621=CARTESIAN_POINT('Origin',(42.177684,14.601034,0.)); #488622=CARTESIAN_POINT('',(42.177684,14.601034,0.)); #488623=CARTESIAN_POINT('',(42.177684,14.601034,0.)); #488624=CARTESIAN_POINT('',(42.177684,14.601034,0.035)); #488625=CARTESIAN_POINT('',(42.177684,14.601034,0.035)); #488626=CARTESIAN_POINT('',(42.177684,14.601034,0.)); #488627=CARTESIAN_POINT('Origin',(42.184194,14.571416,0.)); #488628=CARTESIAN_POINT('',(42.184194,14.571416,0.)); #488629=CARTESIAN_POINT('',(42.184194,14.571416,0.)); #488630=CARTESIAN_POINT('',(42.184194,14.571416,0.035)); #488631=CARTESIAN_POINT('',(42.184194,14.571416,0.035)); #488632=CARTESIAN_POINT('',(42.184194,14.571416,0.)); #488633=CARTESIAN_POINT('Origin',(42.178634,14.541603,0.)); #488634=CARTESIAN_POINT('',(42.178634,14.541603,0.)); #488635=CARTESIAN_POINT('',(42.178634,14.541603,0.)); #488636=CARTESIAN_POINT('',(42.178634,14.541603,0.035)); #488637=CARTESIAN_POINT('',(42.178634,14.541603,0.035)); #488638=CARTESIAN_POINT('',(42.178634,14.541603,0.)); #488639=CARTESIAN_POINT('Origin',(42.161884,14.516319,0.)); #488640=CARTESIAN_POINT('',(42.161884,14.516319,0.)); #488641=CARTESIAN_POINT('',(42.161884,14.516319,0.)); #488642=CARTESIAN_POINT('',(42.161884,14.516319,0.035)); #488643=CARTESIAN_POINT('',(42.161884,14.516319,0.035)); #488644=CARTESIAN_POINT('',(42.161884,14.516319,0.)); #488645=CARTESIAN_POINT('Origin',(42.0726,14.427034,0.)); #488646=CARTESIAN_POINT('',(42.0726,14.427034,0.)); #488647=CARTESIAN_POINT('',(42.0726,14.427034,0.)); #488648=CARTESIAN_POINT('',(42.0726,14.427034,0.035)); #488649=CARTESIAN_POINT('',(42.0726,14.427034,0.035)); #488650=CARTESIAN_POINT('',(42.0726,14.427034,0.)); #488651=CARTESIAN_POINT('Origin',(42.0726,14.072966,0.)); #488652=CARTESIAN_POINT('',(42.0726,14.072966,0.)); #488653=CARTESIAN_POINT('',(42.0726,14.072966,0.)); #488654=CARTESIAN_POINT('',(42.0726,14.072966,0.035)); #488655=CARTESIAN_POINT('',(42.0726,14.072966,0.035)); #488656=CARTESIAN_POINT('',(42.0726,14.072966,0.)); #488657=CARTESIAN_POINT('Origin',(42.161884,13.983681,0.)); #488658=CARTESIAN_POINT('',(42.161884,13.983681,0.)); #488659=CARTESIAN_POINT('',(42.161884,13.983681,0.)); #488660=CARTESIAN_POINT('',(42.161884,13.983681,0.035)); #488661=CARTESIAN_POINT('',(42.161884,13.983681,0.035)); #488662=CARTESIAN_POINT('',(42.161884,13.983681,0.)); #488663=CARTESIAN_POINT('Origin',(42.177184,13.961744,0.)); #488664=CARTESIAN_POINT('',(42.177184,13.961744,0.)); #488665=CARTESIAN_POINT('',(42.177184,13.961744,0.)); #488666=CARTESIAN_POINT('',(42.177184,13.961744,0.035)); #488667=CARTESIAN_POINT('',(42.177184,13.961744,0.035)); #488668=CARTESIAN_POINT('',(42.177184,13.961744,0.)); #488669=CARTESIAN_POINT('Origin',(42.184166,13.932228,0.)); #488670=CARTESIAN_POINT('',(42.184166,13.932228,0.)); #488671=CARTESIAN_POINT('',(42.184166,13.932228,0.)); #488672=CARTESIAN_POINT('',(42.184166,13.932228,0.035)); #488673=CARTESIAN_POINT('',(42.184166,13.932228,0.035)); #488674=CARTESIAN_POINT('',(42.184166,13.932228,0.)); #488675=CARTESIAN_POINT('Origin',(42.179081,13.902331,0.)); #488676=CARTESIAN_POINT('',(42.179081,13.902331,0.)); #488677=CARTESIAN_POINT('',(42.179081,13.902331,0.)); #488678=CARTESIAN_POINT('',(42.179081,13.902331,0.035)); #488679=CARTESIAN_POINT('',(42.179081,13.902331,0.035)); #488680=CARTESIAN_POINT('',(42.179081,13.902331,0.)); #488681=CARTESIAN_POINT('Origin',(42.162737,13.876784,0.)); #488682=CARTESIAN_POINT('',(42.162737,13.876784,0.)); #488683=CARTESIAN_POINT('',(42.162737,13.876784,0.)); #488684=CARTESIAN_POINT('',(42.162737,13.876784,0.035)); #488685=CARTESIAN_POINT('',(42.162737,13.876784,0.035)); #488686=CARTESIAN_POINT('',(42.162737,13.876784,0.)); #488687=CARTESIAN_POINT('Origin',(42.137725,13.859634,0.)); #488688=CARTESIAN_POINT('',(42.137725,13.859634,0.)); #488689=CARTESIAN_POINT('',(42.137725,13.859634,0.035)); #488690=CARTESIAN_POINT('Origin',(40.9496239146331,15.0891968786413,0.035)); #488691=CARTESIAN_POINT('Origin',(40.9496239146331,15.0891968786413,0.)); #488692=CARTESIAN_POINT('Origin',(35.483003,10.7286,0.)); #488693=CARTESIAN_POINT('',(35.483003,10.7286,0.)); #488694=CARTESIAN_POINT('',(35.512725,10.734634,0.)); #488695=CARTESIAN_POINT('',(35.483003,10.7286,0.)); #488696=CARTESIAN_POINT('',(35.512725,10.734634,0.035)); #488697=CARTESIAN_POINT('',(35.512725,10.734634,0.)); #488698=CARTESIAN_POINT('',(35.483003,10.7286,0.035)); #488699=CARTESIAN_POINT('',(35.483003,10.7286,0.035)); #488700=CARTESIAN_POINT('',(35.483003,10.7286,0.)); #488701=CARTESIAN_POINT('Origin',(30.907397,10.7286,0.)); #488702=CARTESIAN_POINT('',(30.907397,10.7286,0.)); #488703=CARTESIAN_POINT('',(30.907397,10.7286,0.)); #488704=CARTESIAN_POINT('',(30.907397,10.7286,0.035)); #488705=CARTESIAN_POINT('',(30.907397,10.7286,0.035)); #488706=CARTESIAN_POINT('',(30.907397,10.7286,0.)); #488707=CARTESIAN_POINT('Origin',(30.8788,10.734169,0.)); #488708=CARTESIAN_POINT('',(30.8788,10.734169,0.)); #488709=CARTESIAN_POINT('',(30.8788,10.734169,0.)); #488710=CARTESIAN_POINT('',(30.8788,10.734169,0.035)); #488711=CARTESIAN_POINT('',(30.8788,10.734169,0.035)); #488712=CARTESIAN_POINT('',(30.8788,10.734169,0.)); #488713=CARTESIAN_POINT('Origin',(30.853516,10.750919,0.)); #488714=CARTESIAN_POINT('',(30.853516,10.750919,0.)); #488715=CARTESIAN_POINT('',(30.853516,10.750919,0.)); #488716=CARTESIAN_POINT('',(30.853516,10.750919,0.035)); #488717=CARTESIAN_POINT('',(30.853516,10.750919,0.035)); #488718=CARTESIAN_POINT('',(30.853516,10.750919,0.)); #488719=CARTESIAN_POINT('Origin',(30.783316,10.821119,0.)); #488720=CARTESIAN_POINT('',(30.783316,10.821119,0.)); #488721=CARTESIAN_POINT('',(30.783316,10.821119,0.)); #488722=CARTESIAN_POINT('',(30.783316,10.821119,0.035)); #488723=CARTESIAN_POINT('',(30.783316,10.821119,0.035)); #488724=CARTESIAN_POINT('',(30.783316,10.821119,0.)); #488725=CARTESIAN_POINT('Origin',(30.767516,10.844166,0.)); #488726=CARTESIAN_POINT('',(30.767516,10.844166,0.)); #488727=CARTESIAN_POINT('',(30.767516,10.844166,0.)); #488728=CARTESIAN_POINT('',(30.767516,10.844166,0.035)); #488729=CARTESIAN_POINT('',(30.767516,10.844166,0.035)); #488730=CARTESIAN_POINT('',(30.767516,10.844166,0.)); #488731=CARTESIAN_POINT('Origin',(30.761006,10.873784,0.)); #488732=CARTESIAN_POINT('',(30.761006,10.873784,0.)); #488733=CARTESIAN_POINT('',(30.761006,10.873784,0.)); #488734=CARTESIAN_POINT('',(30.761006,10.873784,0.035)); #488735=CARTESIAN_POINT('',(30.761006,10.873784,0.035)); #488736=CARTESIAN_POINT('',(30.761006,10.873784,0.)); #488737=CARTESIAN_POINT('Origin',(30.766566,10.903597,0.)); #488738=CARTESIAN_POINT('',(30.766566,10.903597,0.)); #488739=CARTESIAN_POINT('',(30.766566,10.903597,0.)); #488740=CARTESIAN_POINT('',(30.766566,10.903597,0.035)); #488741=CARTESIAN_POINT('',(30.766566,10.903597,0.035)); #488742=CARTESIAN_POINT('',(30.766566,10.903597,0.)); #488743=CARTESIAN_POINT('Origin',(30.783316,10.928881,0.)); #488744=CARTESIAN_POINT('',(30.783316,10.928881,0.)); #488745=CARTESIAN_POINT('',(30.783316,10.928881,0.)); #488746=CARTESIAN_POINT('',(30.783316,10.928881,0.035)); #488747=CARTESIAN_POINT('',(30.783316,10.928881,0.035)); #488748=CARTESIAN_POINT('',(30.783316,10.928881,0.)); #488749=CARTESIAN_POINT('Origin',(30.853516,10.999081,0.)); #488750=CARTESIAN_POINT('',(30.853516,10.999081,0.)); #488751=CARTESIAN_POINT('',(30.853516,10.999081,0.)); #488752=CARTESIAN_POINT('',(30.853516,10.999081,0.035)); #488753=CARTESIAN_POINT('',(30.853516,10.999081,0.035)); #488754=CARTESIAN_POINT('',(30.853516,10.999081,0.)); #488755=CARTESIAN_POINT('Origin',(30.877675,11.015366,0.)); #488756=CARTESIAN_POINT('',(30.877675,11.015366,0.)); #488757=CARTESIAN_POINT('',(30.877675,11.015366,0.)); #488758=CARTESIAN_POINT('',(30.877675,11.015366,0.035)); #488759=CARTESIAN_POINT('',(30.877675,11.015366,0.035)); #488760=CARTESIAN_POINT('',(30.877675,11.015366,0.)); #488761=CARTESIAN_POINT('Origin',(30.907397,11.0214,0.)); #488762=CARTESIAN_POINT('',(30.907397,11.0214,0.)); #488763=CARTESIAN_POINT('',(30.907397,11.0214,0.)); #488764=CARTESIAN_POINT('',(30.907397,11.0214,0.035)); #488765=CARTESIAN_POINT('',(30.907397,11.0214,0.035)); #488766=CARTESIAN_POINT('',(30.907397,11.0214,0.)); #488767=CARTESIAN_POINT('Origin',(35.342603,11.0214,0.)); #488768=CARTESIAN_POINT('',(35.342603,11.0214,0.)); #488769=CARTESIAN_POINT('',(35.342603,11.0214,0.)); #488770=CARTESIAN_POINT('',(35.342603,11.0214,0.035)); #488771=CARTESIAN_POINT('',(35.342603,11.0214,0.035)); #488772=CARTESIAN_POINT('',(35.342603,11.0214,0.)); #488773=CARTESIAN_POINT('Origin',(35.3712,11.015831,0.)); #488774=CARTESIAN_POINT('',(35.3712,11.015831,0.)); #488775=CARTESIAN_POINT('',(35.3712,11.015831,0.)); #488776=CARTESIAN_POINT('',(35.3712,11.015831,0.035)); #488777=CARTESIAN_POINT('',(35.3712,11.015831,0.035)); #488778=CARTESIAN_POINT('',(35.3712,11.015831,0.)); #488779=CARTESIAN_POINT('Origin',(35.396484,10.999081,0.)); #488780=CARTESIAN_POINT('',(35.396484,10.999081,0.)); #488781=CARTESIAN_POINT('',(35.396484,10.999081,0.)); #488782=CARTESIAN_POINT('',(35.396484,10.999081,0.035)); #488783=CARTESIAN_POINT('',(35.396484,10.999081,0.035)); #488784=CARTESIAN_POINT('',(35.396484,10.999081,0.)); #488785=CARTESIAN_POINT('Origin',(35.536884,10.858681,0.)); #488786=CARTESIAN_POINT('',(35.536884,10.858681,0.)); #488787=CARTESIAN_POINT('',(35.536884,10.858681,0.)); #488788=CARTESIAN_POINT('',(35.536884,10.858681,0.035)); #488789=CARTESIAN_POINT('',(35.536884,10.858681,0.035)); #488790=CARTESIAN_POINT('',(35.536884,10.858681,0.)); #488791=CARTESIAN_POINT('Origin',(35.552184,10.836744,0.)); #488792=CARTESIAN_POINT('',(35.552184,10.836744,0.)); #488793=CARTESIAN_POINT('',(35.552184,10.836744,0.)); #488794=CARTESIAN_POINT('',(35.552184,10.836744,0.035)); #488795=CARTESIAN_POINT('',(35.552184,10.836744,0.035)); #488796=CARTESIAN_POINT('',(35.552184,10.836744,0.)); #488797=CARTESIAN_POINT('Origin',(35.559166,10.807228,0.)); #488798=CARTESIAN_POINT('',(35.559166,10.807228,0.)); #488799=CARTESIAN_POINT('',(35.559166,10.807228,0.)); #488800=CARTESIAN_POINT('',(35.559166,10.807228,0.035)); #488801=CARTESIAN_POINT('',(35.559166,10.807228,0.035)); #488802=CARTESIAN_POINT('',(35.559166,10.807228,0.)); #488803=CARTESIAN_POINT('Origin',(35.554081,10.777331,0.)); #488804=CARTESIAN_POINT('',(35.554081,10.777331,0.)); #488805=CARTESIAN_POINT('',(35.554081,10.777331,0.)); #488806=CARTESIAN_POINT('',(35.554081,10.777331,0.035)); #488807=CARTESIAN_POINT('',(35.554081,10.777331,0.035)); #488808=CARTESIAN_POINT('',(35.554081,10.777331,0.)); #488809=CARTESIAN_POINT('Origin',(35.537737,10.751784,0.)); #488810=CARTESIAN_POINT('',(35.537737,10.751784,0.)); #488811=CARTESIAN_POINT('',(35.537737,10.751784,0.)); #488812=CARTESIAN_POINT('',(35.537737,10.751784,0.035)); #488813=CARTESIAN_POINT('',(35.537737,10.751784,0.035)); #488814=CARTESIAN_POINT('',(35.537737,10.751784,0.)); #488815=CARTESIAN_POINT('Origin',(35.512725,10.734634,0.)); #488816=CARTESIAN_POINT('',(35.512725,10.734634,0.)); #488817=CARTESIAN_POINT('',(35.512725,10.734634,0.035)); #488818=CARTESIAN_POINT('Origin',(33.1598930810151,10.8731585575368,0.035)); #488819=CARTESIAN_POINT('Origin',(33.1598930810151,10.8731585575368,0.)); #488820=CARTESIAN_POINT('Origin',(30.373747,23.2286,0.)); #488821=CARTESIAN_POINT('',(30.373747,23.2286,0.)); #488822=CARTESIAN_POINT('',(30.403469,23.234634,0.)); #488823=CARTESIAN_POINT('',(30.373747,23.2286,0.)); #488824=CARTESIAN_POINT('',(30.403469,23.234634,0.035)); #488825=CARTESIAN_POINT('',(30.403469,23.234634,0.)); #488826=CARTESIAN_POINT('',(30.373747,23.2286,0.035)); #488827=CARTESIAN_POINT('',(30.373747,23.2286,0.035)); #488828=CARTESIAN_POINT('',(30.373747,23.2286,0.)); #488829=CARTESIAN_POINT('Origin',(28.4097,23.2286,0.)); #488830=CARTESIAN_POINT('',(28.4097,23.2286,0.)); #488831=CARTESIAN_POINT('',(28.4097,23.2286,0.)); #488832=CARTESIAN_POINT('',(28.4097,23.2286,0.035)); #488833=CARTESIAN_POINT('',(28.4097,23.2286,0.035)); #488834=CARTESIAN_POINT('',(28.4097,23.2286,0.)); #488835=CARTESIAN_POINT('Origin',(28.382231,23.233722,0.)); #488836=CARTESIAN_POINT('',(28.382231,23.233722,0.)); #488837=CARTESIAN_POINT('',(28.382231,23.233722,0.)); #488838=CARTESIAN_POINT('',(28.382231,23.233722,0.035)); #488839=CARTESIAN_POINT('',(28.382231,23.233722,0.035)); #488840=CARTESIAN_POINT('',(28.382231,23.233722,0.)); #488841=CARTESIAN_POINT('Origin',(28.356684,23.250066,0.)); #488842=CARTESIAN_POINT('',(28.356684,23.250066,0.)); #488843=CARTESIAN_POINT('',(28.356684,23.250066,0.)); #488844=CARTESIAN_POINT('',(28.356684,23.250066,0.035)); #488845=CARTESIAN_POINT('',(28.356684,23.250066,0.035)); #488846=CARTESIAN_POINT('',(28.356684,23.250066,0.)); #488847=CARTESIAN_POINT('Origin',(28.339534,23.275078,0.)); #488848=CARTESIAN_POINT('',(28.339534,23.275078,0.)); #488849=CARTESIAN_POINT('',(28.339534,23.275078,0.)); #488850=CARTESIAN_POINT('',(28.339534,23.275078,0.035)); #488851=CARTESIAN_POINT('',(28.339534,23.275078,0.035)); #488852=CARTESIAN_POINT('',(28.339534,23.275078,0.)); #488853=CARTESIAN_POINT('Origin',(28.3335,23.3048,0.)); #488854=CARTESIAN_POINT('',(28.3335,23.3048,0.)); #488855=CARTESIAN_POINT('',(28.3335,23.3048,0.)); #488856=CARTESIAN_POINT('',(28.3335,23.3048,0.035)); #488857=CARTESIAN_POINT('',(28.3335,23.3048,0.035)); #488858=CARTESIAN_POINT('',(28.3335,23.3048,0.)); #488859=CARTESIAN_POINT('Origin',(28.3335,23.4452,0.)); #488860=CARTESIAN_POINT('',(28.3335,23.4452,0.)); #488861=CARTESIAN_POINT('',(28.3335,23.4452,0.)); #488862=CARTESIAN_POINT('',(28.3335,23.4452,0.035)); #488863=CARTESIAN_POINT('',(28.3335,23.4452,0.035)); #488864=CARTESIAN_POINT('',(28.3335,23.4452,0.)); #488865=CARTESIAN_POINT('Origin',(28.338622,23.472669,0.)); #488866=CARTESIAN_POINT('',(28.338622,23.472669,0.)); #488867=CARTESIAN_POINT('',(28.338622,23.472669,0.)); #488868=CARTESIAN_POINT('',(28.338622,23.472669,0.035)); #488869=CARTESIAN_POINT('',(28.338622,23.472669,0.035)); #488870=CARTESIAN_POINT('',(28.338622,23.472669,0.)); #488871=CARTESIAN_POINT('Origin',(28.354966,23.498216,0.)); #488872=CARTESIAN_POINT('',(28.354966,23.498216,0.)); #488873=CARTESIAN_POINT('',(28.354966,23.498216,0.)); #488874=CARTESIAN_POINT('',(28.354966,23.498216,0.035)); #488875=CARTESIAN_POINT('',(28.354966,23.498216,0.035)); #488876=CARTESIAN_POINT('',(28.354966,23.498216,0.)); #488877=CARTESIAN_POINT('Origin',(28.379978,23.515366,0.)); #488878=CARTESIAN_POINT('',(28.379978,23.515366,0.)); #488879=CARTESIAN_POINT('',(28.379978,23.515366,0.)); #488880=CARTESIAN_POINT('',(28.379978,23.515366,0.035)); #488881=CARTESIAN_POINT('',(28.379978,23.515366,0.035)); #488882=CARTESIAN_POINT('',(28.379978,23.515366,0.)); #488883=CARTESIAN_POINT('Origin',(28.4097,23.5214,0.)); #488884=CARTESIAN_POINT('',(28.4097,23.5214,0.)); #488885=CARTESIAN_POINT('',(28.4097,23.5214,0.)); #488886=CARTESIAN_POINT('',(28.4097,23.5214,0.035)); #488887=CARTESIAN_POINT('',(28.4097,23.5214,0.035)); #488888=CARTESIAN_POINT('',(28.4097,23.5214,0.)); #488889=CARTESIAN_POINT('Origin',(28.594691,23.5214,0.)); #488890=CARTESIAN_POINT('',(28.594691,23.5214,0.)); #488891=CARTESIAN_POINT('',(28.594691,23.5214,0.)); #488892=CARTESIAN_POINT('',(28.594691,23.5214,0.035)); #488893=CARTESIAN_POINT('',(28.594691,23.5214,0.035)); #488894=CARTESIAN_POINT('',(28.594691,23.5214,0.)); #488895=CARTESIAN_POINT('Origin',(30.467519,25.394228,0.)); #488896=CARTESIAN_POINT('',(30.467519,25.394228,0.)); #488897=CARTESIAN_POINT('',(30.467519,25.394228,0.)); #488898=CARTESIAN_POINT('',(30.467519,25.394228,0.035)); #488899=CARTESIAN_POINT('',(30.467519,25.394228,0.035)); #488900=CARTESIAN_POINT('',(30.467519,25.394228,0.)); #488901=CARTESIAN_POINT('Origin',(30.489456,25.409528,0.)); #488902=CARTESIAN_POINT('',(30.489456,25.409528,0.)); #488903=CARTESIAN_POINT('',(30.489456,25.409528,0.)); #488904=CARTESIAN_POINT('',(30.489456,25.409528,0.035)); #488905=CARTESIAN_POINT('',(30.489456,25.409528,0.035)); #488906=CARTESIAN_POINT('',(30.489456,25.409528,0.)); #488907=CARTESIAN_POINT('Origin',(30.518972,25.416509,0.)); #488908=CARTESIAN_POINT('',(30.518972,25.416509,0.)); #488909=CARTESIAN_POINT('',(30.518972,25.416509,0.)); #488910=CARTESIAN_POINT('',(30.518972,25.416509,0.035)); #488911=CARTESIAN_POINT('',(30.518972,25.416509,0.035)); #488912=CARTESIAN_POINT('',(30.518972,25.416509,0.)); #488913=CARTESIAN_POINT('Origin',(30.548869,25.411425,0.)); #488914=CARTESIAN_POINT('',(30.548869,25.411425,0.)); #488915=CARTESIAN_POINT('',(30.548869,25.411425,0.)); #488916=CARTESIAN_POINT('',(30.548869,25.411425,0.035)); #488917=CARTESIAN_POINT('',(30.548869,25.411425,0.035)); #488918=CARTESIAN_POINT('',(30.548869,25.411425,0.)); #488919=CARTESIAN_POINT('Origin',(30.574416,25.395081,0.)); #488920=CARTESIAN_POINT('',(30.574416,25.395081,0.)); #488921=CARTESIAN_POINT('',(30.574416,25.395081,0.)); #488922=CARTESIAN_POINT('',(30.574416,25.395081,0.035)); #488923=CARTESIAN_POINT('',(30.574416,25.395081,0.035)); #488924=CARTESIAN_POINT('',(30.574416,25.395081,0.)); #488925=CARTESIAN_POINT('Origin',(30.591566,25.370069,0.)); #488926=CARTESIAN_POINT('',(30.591566,25.370069,0.)); #488927=CARTESIAN_POINT('',(30.591566,25.370069,0.)); #488928=CARTESIAN_POINT('',(30.591566,25.370069,0.035)); #488929=CARTESIAN_POINT('',(30.591566,25.370069,0.035)); #488930=CARTESIAN_POINT('',(30.591566,25.370069,0.)); #488931=CARTESIAN_POINT('Origin',(30.5976,25.340347,0.)); #488932=CARTESIAN_POINT('',(30.5976,25.340347,0.)); #488933=CARTESIAN_POINT('',(30.5976,25.340347,0.)); #488934=CARTESIAN_POINT('',(30.5976,25.340347,0.035)); #488935=CARTESIAN_POINT('',(30.5976,25.340347,0.035)); #488936=CARTESIAN_POINT('',(30.5976,25.340347,0.)); #488937=CARTESIAN_POINT('Origin',(30.5976,25.0705,0.)); #488938=CARTESIAN_POINT('',(30.5976,25.0705,0.)); #488939=CARTESIAN_POINT('',(30.5976,25.0705,0.)); #488940=CARTESIAN_POINT('',(30.5976,25.0705,0.035)); #488941=CARTESIAN_POINT('',(30.5976,25.0705,0.035)); #488942=CARTESIAN_POINT('',(30.5976,25.0705,0.)); #488943=CARTESIAN_POINT('Origin',(30.734984,24.738831,0.)); #488944=CARTESIAN_POINT('',(30.734984,24.738831,0.)); #488945=CARTESIAN_POINT('',(30.734984,24.738831,0.)); #488946=CARTESIAN_POINT('',(30.734984,24.738831,0.035)); #488947=CARTESIAN_POINT('',(30.734984,24.738831,0.035)); #488948=CARTESIAN_POINT('',(30.734984,24.738831,0.)); #488949=CARTESIAN_POINT('Origin',(30.988831,24.484984,0.)); #488950=CARTESIAN_POINT('',(30.988831,24.484984,0.)); #488951=CARTESIAN_POINT('',(30.988831,24.484984,0.)); #488952=CARTESIAN_POINT('',(30.988831,24.484984,0.035)); #488953=CARTESIAN_POINT('',(30.988831,24.484984,0.035)); #488954=CARTESIAN_POINT('',(30.988831,24.484984,0.)); #488955=CARTESIAN_POINT('Origin',(31.224359,24.387425,0.)); #488956=CARTESIAN_POINT('',(31.224359,24.387425,0.)); #488957=CARTESIAN_POINT('',(31.224359,24.387425,0.)); #488958=CARTESIAN_POINT('',(31.224359,24.387425,0.035)); #488959=CARTESIAN_POINT('',(31.224359,24.387425,0.035)); #488960=CARTESIAN_POINT('',(31.224359,24.387425,0.)); #488961=CARTESIAN_POINT('Origin',(31.248216,24.371759,0.)); #488962=CARTESIAN_POINT('',(31.248216,24.371759,0.)); #488963=CARTESIAN_POINT('',(31.248216,24.371759,0.)); #488964=CARTESIAN_POINT('',(31.248216,24.371759,0.035)); #488965=CARTESIAN_POINT('',(31.248216,24.371759,0.035)); #488966=CARTESIAN_POINT('',(31.248216,24.371759,0.)); #488967=CARTESIAN_POINT('Origin',(31.265366,24.346747,0.)); #488968=CARTESIAN_POINT('',(31.265366,24.346747,0.)); #488969=CARTESIAN_POINT('',(31.265366,24.346747,0.)); #488970=CARTESIAN_POINT('',(31.265366,24.346747,0.035)); #488971=CARTESIAN_POINT('',(31.265366,24.346747,0.035)); #488972=CARTESIAN_POINT('',(31.265366,24.346747,0.)); #488973=CARTESIAN_POINT('Origin',(31.2714,24.317025,0.)); #488974=CARTESIAN_POINT('',(31.2714,24.317025,0.)); #488975=CARTESIAN_POINT('',(31.2714,24.317025,0.)); #488976=CARTESIAN_POINT('',(31.2714,24.317025,0.035)); #488977=CARTESIAN_POINT('',(31.2714,24.317025,0.035)); #488978=CARTESIAN_POINT('',(31.2714,24.317025,0.)); #488979=CARTESIAN_POINT('Origin',(31.2714,24.126253,0.)); #488980=CARTESIAN_POINT('',(31.2714,24.126253,0.)); #488981=CARTESIAN_POINT('',(31.2714,24.126253,0.)); #488982=CARTESIAN_POINT('',(31.2714,24.126253,0.035)); #488983=CARTESIAN_POINT('',(31.2714,24.126253,0.035)); #488984=CARTESIAN_POINT('',(31.2714,24.126253,0.)); #488985=CARTESIAN_POINT('Origin',(31.265831,24.097656,0.)); #488986=CARTESIAN_POINT('',(31.265831,24.097656,0.)); #488987=CARTESIAN_POINT('',(31.265831,24.097656,0.)); #488988=CARTESIAN_POINT('',(31.265831,24.097656,0.035)); #488989=CARTESIAN_POINT('',(31.265831,24.097656,0.035)); #488990=CARTESIAN_POINT('',(31.265831,24.097656,0.)); #488991=CARTESIAN_POINT('Origin',(31.249081,24.072372,0.)); #488992=CARTESIAN_POINT('',(31.249081,24.072372,0.)); #488993=CARTESIAN_POINT('',(31.249081,24.072372,0.)); #488994=CARTESIAN_POINT('',(31.249081,24.072372,0.035)); #488995=CARTESIAN_POINT('',(31.249081,24.072372,0.035)); #488996=CARTESIAN_POINT('',(31.249081,24.072372,0.)); #488997=CARTESIAN_POINT('Origin',(30.427628,23.250919,0.)); #488998=CARTESIAN_POINT('',(30.427628,23.250919,0.)); #488999=CARTESIAN_POINT('',(30.427628,23.250919,0.)); #489000=CARTESIAN_POINT('',(30.427628,23.250919,0.035)); #489001=CARTESIAN_POINT('',(30.427628,23.250919,0.035)); #489002=CARTESIAN_POINT('',(30.427628,23.250919,0.)); #489003=CARTESIAN_POINT('Origin',(30.403469,23.234634,0.)); #489004=CARTESIAN_POINT('',(30.403469,23.234634,0.)); #489005=CARTESIAN_POINT('',(30.403469,23.234634,0.035)); #489006=CARTESIAN_POINT('Origin',(29.89936471463,24.0298823553026,0.035)); #489007=CARTESIAN_POINT('Origin',(29.89936471463,24.0298823553026,0.)); #489008=CARTESIAN_POINT('Origin',(41.626216,31.261006,0.)); #489009=CARTESIAN_POINT('',(41.626216,31.261006,0.)); #489010=CARTESIAN_POINT('',(41.655834,31.267516,0.)); #489011=CARTESIAN_POINT('',(41.626216,31.261006,0.)); #489012=CARTESIAN_POINT('',(41.655834,31.267516,0.035)); #489013=CARTESIAN_POINT('',(41.655834,31.267516,0.)); #489014=CARTESIAN_POINT('',(41.626216,31.261006,0.035)); #489015=CARTESIAN_POINT('',(41.626216,31.261006,0.035)); #489016=CARTESIAN_POINT('',(41.626216,31.261006,0.)); #489017=CARTESIAN_POINT('Origin',(41.596403,31.266566,0.)); #489018=CARTESIAN_POINT('',(41.596403,31.266566,0.)); #489019=CARTESIAN_POINT('',(41.596403,31.266566,0.)); #489020=CARTESIAN_POINT('',(41.596403,31.266566,0.035)); #489021=CARTESIAN_POINT('',(41.596403,31.266566,0.035)); #489022=CARTESIAN_POINT('',(41.596403,31.266566,0.)); #489023=CARTESIAN_POINT('Origin',(41.571119,31.283316,0.)); #489024=CARTESIAN_POINT('',(41.571119,31.283316,0.)); #489025=CARTESIAN_POINT('',(41.571119,31.283316,0.)); #489026=CARTESIAN_POINT('',(41.571119,31.283316,0.035)); #489027=CARTESIAN_POINT('',(41.571119,31.283316,0.035)); #489028=CARTESIAN_POINT('',(41.571119,31.283316,0.)); #489029=CARTESIAN_POINT('Origin',(41.500919,31.353516,0.)); #489030=CARTESIAN_POINT('',(41.500919,31.353516,0.)); #489031=CARTESIAN_POINT('',(41.500919,31.353516,0.)); #489032=CARTESIAN_POINT('',(41.500919,31.353516,0.035)); #489033=CARTESIAN_POINT('',(41.500919,31.353516,0.035)); #489034=CARTESIAN_POINT('',(41.500919,31.353516,0.)); #489035=CARTESIAN_POINT('Origin',(41.484634,31.377675,0.)); #489036=CARTESIAN_POINT('',(41.484634,31.377675,0.)); #489037=CARTESIAN_POINT('',(41.484634,31.377675,0.)); #489038=CARTESIAN_POINT('',(41.484634,31.377675,0.035)); #489039=CARTESIAN_POINT('',(41.484634,31.377675,0.035)); #489040=CARTESIAN_POINT('',(41.484634,31.377675,0.)); #489041=CARTESIAN_POINT('Origin',(41.4786,31.407397,0.)); #489042=CARTESIAN_POINT('',(41.4786,31.407397,0.)); #489043=CARTESIAN_POINT('',(41.4786,31.407397,0.)); #489044=CARTESIAN_POINT('',(41.4786,31.407397,0.035)); #489045=CARTESIAN_POINT('',(41.4786,31.407397,0.035)); #489046=CARTESIAN_POINT('',(41.4786,31.407397,0.)); #489047=CARTESIAN_POINT('Origin',(41.4786,35.592603,0.)); #489048=CARTESIAN_POINT('',(41.4786,35.592603,0.)); #489049=CARTESIAN_POINT('',(41.4786,35.592603,0.)); #489050=CARTESIAN_POINT('',(41.4786,35.592603,0.035)); #489051=CARTESIAN_POINT('',(41.4786,35.592603,0.035)); #489052=CARTESIAN_POINT('',(41.4786,35.592603,0.)); #489053=CARTESIAN_POINT('Origin',(41.484169,35.6212,0.)); #489054=CARTESIAN_POINT('',(41.484169,35.6212,0.)); #489055=CARTESIAN_POINT('',(41.484169,35.6212,0.)); #489056=CARTESIAN_POINT('',(41.484169,35.6212,0.035)); #489057=CARTESIAN_POINT('',(41.484169,35.6212,0.035)); #489058=CARTESIAN_POINT('',(41.484169,35.6212,0.)); #489059=CARTESIAN_POINT('Origin',(41.500919,35.646484,0.)); #489060=CARTESIAN_POINT('',(41.500919,35.646484,0.)); #489061=CARTESIAN_POINT('',(41.500919,35.646484,0.)); #489062=CARTESIAN_POINT('',(41.500919,35.646484,0.035)); #489063=CARTESIAN_POINT('',(41.500919,35.646484,0.035)); #489064=CARTESIAN_POINT('',(41.500919,35.646484,0.)); #489065=CARTESIAN_POINT('Origin',(41.571119,35.716684,0.)); #489066=CARTESIAN_POINT('',(41.571119,35.716684,0.)); #489067=CARTESIAN_POINT('',(41.571119,35.716684,0.)); #489068=CARTESIAN_POINT('',(41.571119,35.716684,0.035)); #489069=CARTESIAN_POINT('',(41.571119,35.716684,0.035)); #489070=CARTESIAN_POINT('',(41.571119,35.716684,0.)); #489071=CARTESIAN_POINT('Origin',(41.594166,35.732484,0.)); #489072=CARTESIAN_POINT('',(41.594166,35.732484,0.)); #489073=CARTESIAN_POINT('',(41.594166,35.732484,0.)); #489074=CARTESIAN_POINT('',(41.594166,35.732484,0.035)); #489075=CARTESIAN_POINT('',(41.594166,35.732484,0.035)); #489076=CARTESIAN_POINT('',(41.594166,35.732484,0.)); #489077=CARTESIAN_POINT('Origin',(41.623784,35.738994,0.)); #489078=CARTESIAN_POINT('',(41.623784,35.738994,0.)); #489079=CARTESIAN_POINT('',(41.623784,35.738994,0.)); #489080=CARTESIAN_POINT('',(41.623784,35.738994,0.035)); #489081=CARTESIAN_POINT('',(41.623784,35.738994,0.035)); #489082=CARTESIAN_POINT('',(41.623784,35.738994,0.)); #489083=CARTESIAN_POINT('Origin',(41.653597,35.733434,0.)); #489084=CARTESIAN_POINT('',(41.653597,35.733434,0.)); #489085=CARTESIAN_POINT('',(41.653597,35.733434,0.)); #489086=CARTESIAN_POINT('',(41.653597,35.733434,0.035)); #489087=CARTESIAN_POINT('',(41.653597,35.733434,0.035)); #489088=CARTESIAN_POINT('',(41.653597,35.733434,0.)); #489089=CARTESIAN_POINT('Origin',(41.678881,35.716684,0.)); #489090=CARTESIAN_POINT('',(41.678881,35.716684,0.)); #489091=CARTESIAN_POINT('',(41.678881,35.716684,0.)); #489092=CARTESIAN_POINT('',(41.678881,35.716684,0.035)); #489093=CARTESIAN_POINT('',(41.678881,35.716684,0.035)); #489094=CARTESIAN_POINT('',(41.678881,35.716684,0.)); #489095=CARTESIAN_POINT('Origin',(41.749081,35.646484,0.)); #489096=CARTESIAN_POINT('',(41.749081,35.646484,0.)); #489097=CARTESIAN_POINT('',(41.749081,35.646484,0.)); #489098=CARTESIAN_POINT('',(41.749081,35.646484,0.035)); #489099=CARTESIAN_POINT('',(41.749081,35.646484,0.035)); #489100=CARTESIAN_POINT('',(41.749081,35.646484,0.)); #489101=CARTESIAN_POINT('Origin',(41.765366,35.622325,0.)); #489102=CARTESIAN_POINT('',(41.765366,35.622325,0.)); #489103=CARTESIAN_POINT('',(41.765366,35.622325,0.)); #489104=CARTESIAN_POINT('',(41.765366,35.622325,0.035)); #489105=CARTESIAN_POINT('',(41.765366,35.622325,0.035)); #489106=CARTESIAN_POINT('',(41.765366,35.622325,0.)); #489107=CARTESIAN_POINT('Origin',(41.7714,35.592603,0.)); #489108=CARTESIAN_POINT('',(41.7714,35.592603,0.)); #489109=CARTESIAN_POINT('',(41.7714,35.592603,0.)); #489110=CARTESIAN_POINT('',(41.7714,35.592603,0.035)); #489111=CARTESIAN_POINT('',(41.7714,35.592603,0.035)); #489112=CARTESIAN_POINT('',(41.7714,35.592603,0.)); #489113=CARTESIAN_POINT('Origin',(41.7714,31.407397,0.)); #489114=CARTESIAN_POINT('',(41.7714,31.407397,0.)); #489115=CARTESIAN_POINT('',(41.7714,31.407397,0.)); #489116=CARTESIAN_POINT('',(41.7714,31.407397,0.035)); #489117=CARTESIAN_POINT('',(41.7714,31.407397,0.035)); #489118=CARTESIAN_POINT('',(41.7714,31.407397,0.)); #489119=CARTESIAN_POINT('Origin',(41.765831,31.3788,0.)); #489120=CARTESIAN_POINT('',(41.765831,31.3788,0.)); #489121=CARTESIAN_POINT('',(41.765831,31.3788,0.)); #489122=CARTESIAN_POINT('',(41.765831,31.3788,0.035)); #489123=CARTESIAN_POINT('',(41.765831,31.3788,0.035)); #489124=CARTESIAN_POINT('',(41.765831,31.3788,0.)); #489125=CARTESIAN_POINT('Origin',(41.749081,31.353516,0.)); #489126=CARTESIAN_POINT('',(41.749081,31.353516,0.)); #489127=CARTESIAN_POINT('',(41.749081,31.353516,0.)); #489128=CARTESIAN_POINT('',(41.749081,31.353516,0.035)); #489129=CARTESIAN_POINT('',(41.749081,31.353516,0.035)); #489130=CARTESIAN_POINT('',(41.749081,31.353516,0.)); #489131=CARTESIAN_POINT('Origin',(41.678881,31.283316,0.)); #489132=CARTESIAN_POINT('',(41.678881,31.283316,0.)); #489133=CARTESIAN_POINT('',(41.678881,31.283316,0.)); #489134=CARTESIAN_POINT('',(41.678881,31.283316,0.035)); #489135=CARTESIAN_POINT('',(41.678881,31.283316,0.035)); #489136=CARTESIAN_POINT('',(41.678881,31.283316,0.)); #489137=CARTESIAN_POINT('Origin',(41.655834,31.267516,0.)); #489138=CARTESIAN_POINT('',(41.655834,31.267516,0.)); #489139=CARTESIAN_POINT('',(41.655834,31.267516,0.035)); #489140=CARTESIAN_POINT('Origin',(41.625,33.5,0.035)); #489141=CARTESIAN_POINT('Origin',(41.625,33.5,0.)); #489142=CARTESIAN_POINT('Origin',(41.971003,27.9906,0.)); #489143=CARTESIAN_POINT('',(41.971003,27.9906,0.)); #489144=CARTESIAN_POINT('',(42.000725,27.996634,0.)); #489145=CARTESIAN_POINT('',(41.971003,27.9906,0.)); #489146=CARTESIAN_POINT('',(42.000725,27.996634,0.035)); #489147=CARTESIAN_POINT('',(42.000725,27.996634,0.)); #489148=CARTESIAN_POINT('',(41.971003,27.9906,0.035)); #489149=CARTESIAN_POINT('',(41.971003,27.9906,0.035)); #489150=CARTESIAN_POINT('',(41.971003,27.9906,0.)); #489151=CARTESIAN_POINT('Origin',(38.1778,27.9906,0.)); #489152=CARTESIAN_POINT('',(38.1778,27.9906,0.)); #489153=CARTESIAN_POINT('',(38.1778,27.9906,0.)); #489154=CARTESIAN_POINT('',(38.1778,27.9906,0.035)); #489155=CARTESIAN_POINT('',(38.1778,27.9906,0.035)); #489156=CARTESIAN_POINT('',(38.1778,27.9906,0.)); #489157=CARTESIAN_POINT('Origin',(38.150331,27.995722,0.)); #489158=CARTESIAN_POINT('',(38.150331,27.995722,0.)); #489159=CARTESIAN_POINT('',(38.150331,27.995722,0.)); #489160=CARTESIAN_POINT('',(38.150331,27.995722,0.035)); #489161=CARTESIAN_POINT('',(38.150331,27.995722,0.035)); #489162=CARTESIAN_POINT('',(38.150331,27.995722,0.)); #489163=CARTESIAN_POINT('Origin',(38.124784,28.012066,0.)); #489164=CARTESIAN_POINT('',(38.124784,28.012066,0.)); #489165=CARTESIAN_POINT('',(38.124784,28.012066,0.)); #489166=CARTESIAN_POINT('',(38.124784,28.012066,0.035)); #489167=CARTESIAN_POINT('',(38.124784,28.012066,0.035)); #489168=CARTESIAN_POINT('',(38.124784,28.012066,0.)); #489169=CARTESIAN_POINT('Origin',(38.107634,28.037078,0.)); #489170=CARTESIAN_POINT('',(38.107634,28.037078,0.)); #489171=CARTESIAN_POINT('',(38.107634,28.037078,0.)); #489172=CARTESIAN_POINT('',(38.107634,28.037078,0.035)); #489173=CARTESIAN_POINT('',(38.107634,28.037078,0.035)); #489174=CARTESIAN_POINT('',(38.107634,28.037078,0.)); #489175=CARTESIAN_POINT('Origin',(38.1016,28.0668,0.)); #489176=CARTESIAN_POINT('',(38.1016,28.0668,0.)); #489177=CARTESIAN_POINT('',(38.1016,28.0668,0.)); #489178=CARTESIAN_POINT('',(38.1016,28.0668,0.035)); #489179=CARTESIAN_POINT('',(38.1016,28.0668,0.035)); #489180=CARTESIAN_POINT('',(38.1016,28.0668,0.)); #489181=CARTESIAN_POINT('Origin',(38.1016,28.1952,0.)); #489182=CARTESIAN_POINT('',(38.1016,28.1952,0.)); #489183=CARTESIAN_POINT('',(38.1016,28.1952,0.)); #489184=CARTESIAN_POINT('',(38.1016,28.1952,0.035)); #489185=CARTESIAN_POINT('',(38.1016,28.1952,0.035)); #489186=CARTESIAN_POINT('',(38.1016,28.1952,0.)); #489187=CARTESIAN_POINT('Origin',(38.106722,28.222669,0.)); #489188=CARTESIAN_POINT('',(38.106722,28.222669,0.)); #489189=CARTESIAN_POINT('',(38.106722,28.222669,0.)); #489190=CARTESIAN_POINT('',(38.106722,28.222669,0.035)); #489191=CARTESIAN_POINT('',(38.106722,28.222669,0.035)); #489192=CARTESIAN_POINT('',(38.106722,28.222669,0.)); #489193=CARTESIAN_POINT('Origin',(38.123066,28.248216,0.)); #489194=CARTESIAN_POINT('',(38.123066,28.248216,0.)); #489195=CARTESIAN_POINT('',(38.123066,28.248216,0.)); #489196=CARTESIAN_POINT('',(38.123066,28.248216,0.035)); #489197=CARTESIAN_POINT('',(38.123066,28.248216,0.035)); #489198=CARTESIAN_POINT('',(38.123066,28.248216,0.)); #489199=CARTESIAN_POINT('Origin',(38.148078,28.265366,0.)); #489200=CARTESIAN_POINT('',(38.148078,28.265366,0.)); #489201=CARTESIAN_POINT('',(38.148078,28.265366,0.)); #489202=CARTESIAN_POINT('',(38.148078,28.265366,0.035)); #489203=CARTESIAN_POINT('',(38.148078,28.265366,0.035)); #489204=CARTESIAN_POINT('',(38.148078,28.265366,0.)); #489205=CARTESIAN_POINT('Origin',(38.1778,28.2714,0.)); #489206=CARTESIAN_POINT('',(38.1778,28.2714,0.)); #489207=CARTESIAN_POINT('',(38.1778,28.2714,0.)); #489208=CARTESIAN_POINT('',(38.1778,28.2714,0.035)); #489209=CARTESIAN_POINT('',(38.1778,28.2714,0.035)); #489210=CARTESIAN_POINT('',(38.1778,28.2714,0.)); #489211=CARTESIAN_POINT('Origin',(41.842603,28.2714,0.)); #489212=CARTESIAN_POINT('',(41.842603,28.2714,0.)); #489213=CARTESIAN_POINT('',(41.842603,28.2714,0.)); #489214=CARTESIAN_POINT('',(41.842603,28.2714,0.035)); #489215=CARTESIAN_POINT('',(41.842603,28.2714,0.035)); #489216=CARTESIAN_POINT('',(41.842603,28.2714,0.)); #489217=CARTESIAN_POINT('Origin',(41.8712,28.265831,0.)); #489218=CARTESIAN_POINT('',(41.8712,28.265831,0.)); #489219=CARTESIAN_POINT('',(41.8712,28.265831,0.)); #489220=CARTESIAN_POINT('',(41.8712,28.265831,0.035)); #489221=CARTESIAN_POINT('',(41.8712,28.265831,0.035)); #489222=CARTESIAN_POINT('',(41.8712,28.265831,0.)); #489223=CARTESIAN_POINT('Origin',(41.896484,28.249081,0.)); #489224=CARTESIAN_POINT('',(41.896484,28.249081,0.)); #489225=CARTESIAN_POINT('',(41.896484,28.249081,0.)); #489226=CARTESIAN_POINT('',(41.896484,28.249081,0.035)); #489227=CARTESIAN_POINT('',(41.896484,28.249081,0.035)); #489228=CARTESIAN_POINT('',(41.896484,28.249081,0.)); #489229=CARTESIAN_POINT('Origin',(42.024884,28.120681,0.)); #489230=CARTESIAN_POINT('',(42.024884,28.120681,0.)); #489231=CARTESIAN_POINT('',(42.024884,28.120681,0.)); #489232=CARTESIAN_POINT('',(42.024884,28.120681,0.035)); #489233=CARTESIAN_POINT('',(42.024884,28.120681,0.035)); #489234=CARTESIAN_POINT('',(42.024884,28.120681,0.)); #489235=CARTESIAN_POINT('Origin',(42.040184,28.098744,0.)); #489236=CARTESIAN_POINT('',(42.040184,28.098744,0.)); #489237=CARTESIAN_POINT('',(42.040184,28.098744,0.)); #489238=CARTESIAN_POINT('',(42.040184,28.098744,0.035)); #489239=CARTESIAN_POINT('',(42.040184,28.098744,0.035)); #489240=CARTESIAN_POINT('',(42.040184,28.098744,0.)); #489241=CARTESIAN_POINT('Origin',(42.047166,28.069228,0.)); #489242=CARTESIAN_POINT('',(42.047166,28.069228,0.)); #489243=CARTESIAN_POINT('',(42.047166,28.069228,0.)); #489244=CARTESIAN_POINT('',(42.047166,28.069228,0.035)); #489245=CARTESIAN_POINT('',(42.047166,28.069228,0.035)); #489246=CARTESIAN_POINT('',(42.047166,28.069228,0.)); #489247=CARTESIAN_POINT('Origin',(42.042081,28.039331,0.)); #489248=CARTESIAN_POINT('',(42.042081,28.039331,0.)); #489249=CARTESIAN_POINT('',(42.042081,28.039331,0.)); #489250=CARTESIAN_POINT('',(42.042081,28.039331,0.035)); #489251=CARTESIAN_POINT('',(42.042081,28.039331,0.035)); #489252=CARTESIAN_POINT('',(42.042081,28.039331,0.)); #489253=CARTESIAN_POINT('Origin',(42.025738,28.013784,0.)); #489254=CARTESIAN_POINT('',(42.025738,28.013784,0.)); #489255=CARTESIAN_POINT('',(42.025738,28.013784,0.)); #489256=CARTESIAN_POINT('',(42.025738,28.013784,0.035)); #489257=CARTESIAN_POINT('',(42.025738,28.013784,0.035)); #489258=CARTESIAN_POINT('',(42.025738,28.013784,0.)); #489259=CARTESIAN_POINT('Origin',(42.000725,27.996634,0.)); #489260=CARTESIAN_POINT('',(42.000725,27.996634,0.)); #489261=CARTESIAN_POINT('',(42.000725,27.996634,0.035)); #489262=CARTESIAN_POINT('Origin',(40.0557562163289,28.1290742925589,0.035)); #489263=CARTESIAN_POINT('Origin',(40.0557562163289,28.1290742925589,0.)); #489264=CARTESIAN_POINT('Origin',(41.,25.25,-200.)); #489265=CARTESIAN_POINT('',(40.86,25.25,0.)); #489266=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #489267=CARTESIAN_POINT('',(40.86,25.25,0.0349999999999895)); #489268=CARTESIAN_POINT('',(40.86,25.25,-200.)); #489269=CARTESIAN_POINT('Origin',(41.,25.25,0.0349999999999895)); #489270=CARTESIAN_POINT('Origin',(32.5,18.5,-200.)); #489271=CARTESIAN_POINT('',(32.36,18.5,0.)); #489272=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #489273=CARTESIAN_POINT('',(32.36,18.5,0.0349999999999895)); #489274=CARTESIAN_POINT('',(32.36,18.5,-200.)); #489275=CARTESIAN_POINT('Origin',(32.5,18.5,0.0349999999999895)); #489276=CARTESIAN_POINT('Origin',(33.25,48.5,-200.)); #489277=CARTESIAN_POINT('',(33.11,48.5,0.)); #489278=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #489279=CARTESIAN_POINT('',(33.11,48.5,0.0349999999999895)); #489280=CARTESIAN_POINT('',(33.11,48.5,-200.)); #489281=CARTESIAN_POINT('Origin',(33.25,48.5,0.0349999999999895)); #489282=CARTESIAN_POINT('Origin',(33.25,50.,-200.)); #489283=CARTESIAN_POINT('',(33.11,50.,0.)); #489284=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #489285=CARTESIAN_POINT('',(33.11,50.,0.0349999999999895)); #489286=CARTESIAN_POINT('',(33.11,50.,-200.)); #489287=CARTESIAN_POINT('Origin',(33.25,50.,0.0349999999999895)); #489288=CARTESIAN_POINT('Origin',(33.25,18.5,-200.)); #489289=CARTESIAN_POINT('',(33.11,18.5,0.)); #489290=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #489291=CARTESIAN_POINT('',(33.11,18.5,0.0349999999999895)); #489292=CARTESIAN_POINT('',(33.11,18.5,-200.)); #489293=CARTESIAN_POINT('Origin',(33.25,18.5,0.0349999999999895)); #489294=CARTESIAN_POINT('Origin',(33.25,49.25,-200.)); #489295=CARTESIAN_POINT('',(33.11,49.25,0.)); #489296=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #489297=CARTESIAN_POINT('',(33.11,49.25,0.0349999999999895)); #489298=CARTESIAN_POINT('',(33.11,49.25,-200.)); #489299=CARTESIAN_POINT('Origin',(33.25,49.25,0.0349999999999895)); #489300=CARTESIAN_POINT('Origin',(12.25,34.,-200.)); #489301=CARTESIAN_POINT('',(11.785,34.,0.)); #489302=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #489303=CARTESIAN_POINT('',(11.785,34.,0.0349999999999895)); #489304=CARTESIAN_POINT('',(11.785,34.,-200.)); #489305=CARTESIAN_POINT('Origin',(12.25,34.,0.0349999999999895)); #489306=CARTESIAN_POINT('Origin',(34.75,48.5,-200.)); #489307=CARTESIAN_POINT('',(34.61,48.5,0.)); #489308=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #489309=CARTESIAN_POINT('',(34.61,48.5,0.0349999999999895)); #489310=CARTESIAN_POINT('',(34.61,48.5,-200.)); #489311=CARTESIAN_POINT('Origin',(34.75,48.5,0.0349999999999895)); #489312=CARTESIAN_POINT('Origin',(34.75,18.5,-200.)); #489313=CARTESIAN_POINT('',(34.61,18.5,0.)); #489314=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #489315=CARTESIAN_POINT('',(34.61,18.5,0.0349999999999895)); #489316=CARTESIAN_POINT('',(34.61,18.5,-200.)); #489317=CARTESIAN_POINT('Origin',(34.75,18.5,0.0349999999999895)); #489318=CARTESIAN_POINT('Origin',(34.,48.5,-200.)); #489319=CARTESIAN_POINT('',(33.86,48.5,0.)); #489320=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #489321=CARTESIAN_POINT('',(33.86,48.5,0.0349999999999895)); #489322=CARTESIAN_POINT('',(33.86,48.5,-200.)); #489323=CARTESIAN_POINT('Origin',(34.,48.5,0.0349999999999895)); #489324=CARTESIAN_POINT('Origin',(34.75,50.,-200.)); #489325=CARTESIAN_POINT('',(34.61,50.,0.)); #489326=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #489327=CARTESIAN_POINT('',(34.61,50.,0.0349999999999895)); #489328=CARTESIAN_POINT('',(34.61,50.,-200.)); #489329=CARTESIAN_POINT('Origin',(34.75,50.,0.0349999999999895)); #489330=CARTESIAN_POINT('Origin',(34.,18.5,-200.)); #489331=CARTESIAN_POINT('',(33.86,18.5,0.)); #489332=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #489333=CARTESIAN_POINT('',(33.86,18.5,0.0349999999999895)); #489334=CARTESIAN_POINT('',(33.86,18.5,-200.)); #489335=CARTESIAN_POINT('Origin',(34.,18.5,0.0349999999999895)); #489336=CARTESIAN_POINT('Origin',(34.,50.,-200.)); #489337=CARTESIAN_POINT('',(33.86,50.,0.)); #489338=CARTESIAN_POINT('Origin',(34.,50.,0.)); #489339=CARTESIAN_POINT('',(33.86,50.,0.0349999999999895)); #489340=CARTESIAN_POINT('',(33.86,50.,-200.)); #489341=CARTESIAN_POINT('Origin',(34.,50.,0.0349999999999895)); #489342=CARTESIAN_POINT('Origin',(24.25,34.,-200.)); #489343=CARTESIAN_POINT('',(23.735,34.,0.)); #489344=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #489345=CARTESIAN_POINT('',(23.735,34.,0.0349999999999895)); #489346=CARTESIAN_POINT('',(23.735,34.,-200.)); #489347=CARTESIAN_POINT('Origin',(24.25,34.,0.0349999999999895)); #489348=CARTESIAN_POINT('Origin',(34.75,16.25,-200.)); #489349=CARTESIAN_POINT('',(34.61,16.25,0.)); #489350=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #489351=CARTESIAN_POINT('',(34.61,16.25,0.0349999999999895)); #489352=CARTESIAN_POINT('',(34.61,16.25,-200.)); #489353=CARTESIAN_POINT('Origin',(34.75,16.25,0.0349999999999895)); #489354=CARTESIAN_POINT('Origin',(31.75,18.5,-200.)); #489355=CARTESIAN_POINT('',(31.61,18.5,0.)); #489356=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #489357=CARTESIAN_POINT('',(31.61,18.5,0.0349999999999895)); #489358=CARTESIAN_POINT('',(31.61,18.5,-200.)); #489359=CARTESIAN_POINT('Origin',(31.75,18.5,0.0349999999999895)); #489360=CARTESIAN_POINT('Origin',(33.25,16.25,-200.)); #489361=CARTESIAN_POINT('',(33.11,16.25,0.)); #489362=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #489363=CARTESIAN_POINT('',(33.11,16.25,0.0349999999999895)); #489364=CARTESIAN_POINT('',(33.11,16.25,-200.)); #489365=CARTESIAN_POINT('Origin',(33.25,16.25,0.0349999999999895)); #489366=CARTESIAN_POINT('Origin',(31.75,19.25,-200.)); #489367=CARTESIAN_POINT('',(31.61,19.25,0.)); #489368=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #489369=CARTESIAN_POINT('',(31.61,19.25,0.0349999999999895)); #489370=CARTESIAN_POINT('',(31.61,19.25,-200.)); #489371=CARTESIAN_POINT('Origin',(31.75,19.25,0.0349999999999895)); #489372=CARTESIAN_POINT('Origin',(35.5,17.75,-200.)); #489373=CARTESIAN_POINT('',(35.36,17.75,0.)); #489374=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #489375=CARTESIAN_POINT('',(35.36,17.75,0.0349999999999895)); #489376=CARTESIAN_POINT('',(35.36,17.75,-200.)); #489377=CARTESIAN_POINT('Origin',(35.5,17.75,0.0349999999999895)); #489378=CARTESIAN_POINT('Origin',(34.75,49.25,-200.)); #489379=CARTESIAN_POINT('',(34.61,49.25,0.)); #489380=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #489381=CARTESIAN_POINT('',(34.61,49.25,0.0349999999999895)); #489382=CARTESIAN_POINT('',(34.61,49.25,-200.)); #489383=CARTESIAN_POINT('Origin',(34.75,49.25,0.0349999999999895)); #489384=CARTESIAN_POINT('Origin',(35.5,18.5,-200.)); #489385=CARTESIAN_POINT('',(35.36,18.5,0.)); #489386=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #489387=CARTESIAN_POINT('',(35.36,18.5,0.0349999999999895)); #489388=CARTESIAN_POINT('',(35.36,18.5,-200.)); #489389=CARTESIAN_POINT('Origin',(35.5,18.5,0.0349999999999895)); #489390=CARTESIAN_POINT('Origin',(34.,49.25,-200.)); #489391=CARTESIAN_POINT('',(33.86,49.25,0.)); #489392=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #489393=CARTESIAN_POINT('',(33.86,49.25,0.0349999999999895)); #489394=CARTESIAN_POINT('',(33.86,49.25,-200.)); #489395=CARTESIAN_POINT('Origin',(34.,49.25,0.0349999999999895)); #489396=CARTESIAN_POINT('Origin',(10.25,33.25,-200.)); #489397=CARTESIAN_POINT('',(10.11,33.25,0.)); #489398=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #489399=CARTESIAN_POINT('',(10.11,33.25,0.0349999999999895)); #489400=CARTESIAN_POINT('',(10.11,33.25,-200.)); #489401=CARTESIAN_POINT('Origin',(10.25,33.25,0.0349999999999895)); #489402=CARTESIAN_POINT('Origin',(34.75,14.75,-200.)); #489403=CARTESIAN_POINT('',(34.61,14.75,0.)); #489404=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #489405=CARTESIAN_POINT('',(34.61,14.75,0.0349999999999895)); #489406=CARTESIAN_POINT('',(34.61,14.75,-200.)); #489407=CARTESIAN_POINT('Origin',(34.75,14.75,0.0349999999999895)); #489408=CARTESIAN_POINT('Origin',(9.5,33.25,-200.)); #489409=CARTESIAN_POINT('',(9.36,33.25,0.)); #489410=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #489411=CARTESIAN_POINT('',(9.36,33.25,0.0349999999999895)); #489412=CARTESIAN_POINT('',(9.36,33.25,-200.)); #489413=CARTESIAN_POINT('Origin',(9.5,33.25,0.0349999999999895)); #489414=CARTESIAN_POINT('Origin',(34.75,17.,-200.)); #489415=CARTESIAN_POINT('',(34.61,17.,0.)); #489416=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #489417=CARTESIAN_POINT('',(34.61,17.,0.0349999999999895)); #489418=CARTESIAN_POINT('',(34.61,17.,-200.)); #489419=CARTESIAN_POINT('Origin',(34.75,17.,0.0349999999999895)); #489420=CARTESIAN_POINT('Origin',(10.25,34.,-200.)); #489421=CARTESIAN_POINT('',(10.11,34.,0.)); #489422=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #489423=CARTESIAN_POINT('',(10.11,34.,0.0349999999999895)); #489424=CARTESIAN_POINT('',(10.11,34.,-200.)); #489425=CARTESIAN_POINT('Origin',(10.25,34.,0.0349999999999895)); #489426=CARTESIAN_POINT('Origin',(35.5,14.75,-200.)); #489427=CARTESIAN_POINT('',(35.36,14.75,0.)); #489428=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #489429=CARTESIAN_POINT('',(35.36,14.75,0.0349999999999895)); #489430=CARTESIAN_POINT('',(35.36,14.75,-200.)); #489431=CARTESIAN_POINT('Origin',(35.5,14.75,0.0349999999999895)); #489432=CARTESIAN_POINT('Origin',(9.5,34.,-200.)); #489433=CARTESIAN_POINT('',(9.36,34.,0.)); #489434=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #489435=CARTESIAN_POINT('',(9.36,34.,0.0349999999999895)); #489436=CARTESIAN_POINT('',(9.36,34.,-200.)); #489437=CARTESIAN_POINT('Origin',(9.5,34.,0.0349999999999895)); #489438=CARTESIAN_POINT('Origin',(57.75,33.25,-200.)); #489439=CARTESIAN_POINT('',(57.61,33.25,0.)); #489440=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #489441=CARTESIAN_POINT('',(57.61,33.25,0.0349999999999895)); #489442=CARTESIAN_POINT('',(57.61,33.25,-200.)); #489443=CARTESIAN_POINT('Origin',(57.75,33.25,0.0349999999999895)); #489444=CARTESIAN_POINT('Origin',(36.25,15.5,-200.)); #489445=CARTESIAN_POINT('',(36.11,15.5,0.)); #489446=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #489447=CARTESIAN_POINT('',(36.11,15.5,0.0349999999999895)); #489448=CARTESIAN_POINT('',(36.11,15.5,-200.)); #489449=CARTESIAN_POINT('Origin',(36.25,15.5,0.0349999999999895)); #489450=CARTESIAN_POINT('Origin',(62.,33.25,-200.)); #489451=CARTESIAN_POINT('',(61.86,33.25,0.)); #489452=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #489453=CARTESIAN_POINT('',(61.86,33.25,0.0349999999999895)); #489454=CARTESIAN_POINT('',(61.86,33.25,-200.)); #489455=CARTESIAN_POINT('Origin',(62.,33.25,0.0349999999999895)); #489456=CARTESIAN_POINT('Origin',(34.,17.75,-200.)); #489457=CARTESIAN_POINT('',(33.86,17.75,0.)); #489458=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #489459=CARTESIAN_POINT('',(33.86,17.75,0.0349999999999895)); #489460=CARTESIAN_POINT('',(33.86,17.75,-200.)); #489461=CARTESIAN_POINT('Origin',(34.,17.75,0.0349999999999895)); #489462=CARTESIAN_POINT('Origin',(57.75,34.,-200.)); #489463=CARTESIAN_POINT('',(57.61,34.,0.)); #489464=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #489465=CARTESIAN_POINT('',(57.61,34.,0.0349999999999895)); #489466=CARTESIAN_POINT('',(57.61,34.,-200.)); #489467=CARTESIAN_POINT('Origin',(57.75,34.,0.0349999999999895)); #489468=CARTESIAN_POINT('Origin',(36.25,14.75,-200.)); #489469=CARTESIAN_POINT('',(36.11,14.75,0.)); #489470=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #489471=CARTESIAN_POINT('',(36.11,14.75,0.0349999999999895)); #489472=CARTESIAN_POINT('',(36.11,14.75,-200.)); #489473=CARTESIAN_POINT('Origin',(36.25,14.75,0.0349999999999895)); #489474=CARTESIAN_POINT('Origin',(57.75,34.75,-200.)); #489475=CARTESIAN_POINT('',(57.61,34.75,0.)); #489476=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #489477=CARTESIAN_POINT('',(57.61,34.75,0.0349999999999895)); #489478=CARTESIAN_POINT('',(57.61,34.75,-200.)); #489479=CARTESIAN_POINT('Origin',(57.75,34.75,0.0349999999999895)); #489480=CARTESIAN_POINT('Origin',(61.25,33.25,-200.)); #489481=CARTESIAN_POINT('',(61.11,33.25,0.)); #489482=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #489483=CARTESIAN_POINT('',(61.11,33.25,0.0349999999999895)); #489484=CARTESIAN_POINT('',(61.11,33.25,-200.)); #489485=CARTESIAN_POINT('Origin',(61.25,33.25,0.0349999999999895)); #489486=CARTESIAN_POINT('Origin',(36.25,17.75,-200.)); #489487=CARTESIAN_POINT('',(36.11,17.75,0.)); #489488=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #489489=CARTESIAN_POINT('',(36.11,17.75,0.0349999999999895)); #489490=CARTESIAN_POINT('',(36.11,17.75,-200.)); #489491=CARTESIAN_POINT('Origin',(36.25,17.75,0.0349999999999895)); #489492=CARTESIAN_POINT('Origin',(58.5,33.25,-200.)); #489493=CARTESIAN_POINT('',(58.36,33.25,0.)); #489494=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #489495=CARTESIAN_POINT('',(58.36,33.25,0.0349999999999895)); #489496=CARTESIAN_POINT('',(58.36,33.25,-200.)); #489497=CARTESIAN_POINT('Origin',(58.5,33.25,0.0349999999999895)); #489498=CARTESIAN_POINT('Origin',(58.5,34.,-200.)); #489499=CARTESIAN_POINT('',(58.36,34.,0.)); #489500=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #489501=CARTESIAN_POINT('',(58.36,34.,0.0349999999999895)); #489502=CARTESIAN_POINT('',(58.36,34.,-200.)); #489503=CARTESIAN_POINT('Origin',(58.5,34.,0.0349999999999895)); #489504=CARTESIAN_POINT('Origin',(36.25,18.5,-200.)); #489505=CARTESIAN_POINT('',(36.11,18.5,0.)); #489506=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #489507=CARTESIAN_POINT('',(36.11,18.5,0.0349999999999895)); #489508=CARTESIAN_POINT('',(36.11,18.5,-200.)); #489509=CARTESIAN_POINT('Origin',(36.25,18.5,0.0349999999999895)); #489510=CARTESIAN_POINT('Origin',(58.5,34.75,-200.)); #489511=CARTESIAN_POINT('',(58.36,34.75,0.)); #489512=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #489513=CARTESIAN_POINT('',(58.36,34.75,0.0349999999999895)); #489514=CARTESIAN_POINT('',(58.36,34.75,-200.)); #489515=CARTESIAN_POINT('Origin',(58.5,34.75,0.0349999999999895)); #489516=CARTESIAN_POINT('Origin',(61.25,34.75,-200.)); #489517=CARTESIAN_POINT('',(61.11,34.75,0.)); #489518=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #489519=CARTESIAN_POINT('',(61.11,34.75,0.0349999999999895)); #489520=CARTESIAN_POINT('',(61.11,34.75,-200.)); #489521=CARTESIAN_POINT('Origin',(61.25,34.75,0.0349999999999895)); #489522=CARTESIAN_POINT('Origin',(36.25,17.,-200.)); #489523=CARTESIAN_POINT('',(36.11,17.,0.)); #489524=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #489525=CARTESIAN_POINT('',(36.11,17.,0.0349999999999895)); #489526=CARTESIAN_POINT('',(36.11,17.,-200.)); #489527=CARTESIAN_POINT('Origin',(36.25,17.,0.0349999999999895)); #489528=CARTESIAN_POINT('Origin',(61.25,34.,-200.)); #489529=CARTESIAN_POINT('',(61.11,34.,0.)); #489530=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #489531=CARTESIAN_POINT('',(61.11,34.,0.0349999999999895)); #489532=CARTESIAN_POINT('',(61.11,34.,-200.)); #489533=CARTESIAN_POINT('Origin',(61.25,34.,0.0349999999999895)); #489534=CARTESIAN_POINT('Origin',(34.,17.,-200.)); #489535=CARTESIAN_POINT('',(33.86,17.,0.)); #489536=CARTESIAN_POINT('Origin',(34.,17.,0.)); #489537=CARTESIAN_POINT('',(33.86,17.,0.0349999999999895)); #489538=CARTESIAN_POINT('',(33.86,17.,-200.)); #489539=CARTESIAN_POINT('Origin',(34.,17.,0.0349999999999895)); #489540=CARTESIAN_POINT('Origin',(62.,34.75,-200.)); #489541=CARTESIAN_POINT('',(61.86,34.75,0.)); #489542=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #489543=CARTESIAN_POINT('',(61.86,34.75,0.0349999999999895)); #489544=CARTESIAN_POINT('',(61.86,34.75,-200.)); #489545=CARTESIAN_POINT('Origin',(62.,34.75,0.0349999999999895)); #489546=CARTESIAN_POINT('Origin',(36.25,16.25,-200.)); #489547=CARTESIAN_POINT('',(36.11,16.25,0.)); #489548=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #489549=CARTESIAN_POINT('',(36.11,16.25,0.0349999999999895)); #489550=CARTESIAN_POINT('',(36.11,16.25,-200.)); #489551=CARTESIAN_POINT('Origin',(36.25,16.25,0.0349999999999895)); #489552=CARTESIAN_POINT('Origin',(62.,34.,-200.)); #489553=CARTESIAN_POINT('',(61.86,34.,0.)); #489554=CARTESIAN_POINT('Origin',(62.,34.,0.)); #489555=CARTESIAN_POINT('',(61.86,34.,0.0349999999999895)); #489556=CARTESIAN_POINT('',(61.86,34.,-200.)); #489557=CARTESIAN_POINT('Origin',(62.,34.,0.0349999999999895)); #489558=CARTESIAN_POINT('Origin',(6.5,34.75,-200.)); #489559=CARTESIAN_POINT('',(6.36,34.75,0.)); #489560=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #489561=CARTESIAN_POINT('',(6.36,34.75,0.0349999999999895)); #489562=CARTESIAN_POINT('',(6.36,34.75,-200.)); #489563=CARTESIAN_POINT('Origin',(6.5,34.75,0.0349999999999895)); #489564=CARTESIAN_POINT('Origin',(31.75,14.75,-200.)); #489565=CARTESIAN_POINT('',(31.61,14.75,0.)); #489566=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #489567=CARTESIAN_POINT('',(31.61,14.75,0.0349999999999895)); #489568=CARTESIAN_POINT('',(31.61,14.75,-200.)); #489569=CARTESIAN_POINT('Origin',(31.75,14.75,0.0349999999999895)); #489570=CARTESIAN_POINT('Origin',(6.5,34.,-200.)); #489571=CARTESIAN_POINT('',(6.36,34.,0.)); #489572=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #489573=CARTESIAN_POINT('',(6.36,34.,0.0349999999999895)); #489574=CARTESIAN_POINT('',(6.36,34.,-200.)); #489575=CARTESIAN_POINT('Origin',(6.5,34.,0.0349999999999895)); #489576=CARTESIAN_POINT('Origin',(33.25,17.,-200.)); #489577=CARTESIAN_POINT('',(33.11,17.,0.)); #489578=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #489579=CARTESIAN_POINT('',(33.11,17.,0.0349999999999895)); #489580=CARTESIAN_POINT('',(33.11,17.,-200.)); #489581=CARTESIAN_POINT('Origin',(33.25,17.,0.0349999999999895)); #489582=CARTESIAN_POINT('Origin',(7.25,33.25,-200.)); #489583=CARTESIAN_POINT('',(7.11,33.25,0.)); #489584=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #489585=CARTESIAN_POINT('',(7.11,33.25,0.0349999999999895)); #489586=CARTESIAN_POINT('',(7.11,33.25,-200.)); #489587=CARTESIAN_POINT('Origin',(7.25,33.25,0.0349999999999895)); #489588=CARTESIAN_POINT('Origin',(32.5,14.75,-200.)); #489589=CARTESIAN_POINT('',(32.36,14.75,0.)); #489590=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #489591=CARTESIAN_POINT('',(32.36,14.75,0.0349999999999895)); #489592=CARTESIAN_POINT('',(32.36,14.75,-200.)); #489593=CARTESIAN_POINT('Origin',(32.5,14.75,0.0349999999999895)); #489594=CARTESIAN_POINT('Origin',(6.5,33.25,-200.)); #489595=CARTESIAN_POINT('',(6.36,33.25,0.)); #489596=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #489597=CARTESIAN_POINT('',(6.36,33.25,0.0349999999999895)); #489598=CARTESIAN_POINT('',(6.36,33.25,-200.)); #489599=CARTESIAN_POINT('Origin',(6.5,33.25,0.0349999999999895)); #489600=CARTESIAN_POINT('Origin',(9.5,34.75,-200.)); #489601=CARTESIAN_POINT('',(9.36,34.75,0.)); #489602=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #489603=CARTESIAN_POINT('',(9.36,34.75,0.0349999999999895)); #489604=CARTESIAN_POINT('',(9.36,34.75,-200.)); #489605=CARTESIAN_POINT('Origin',(9.5,34.75,0.0349999999999895)); #489606=CARTESIAN_POINT('Origin',(34.,14.75,-200.)); #489607=CARTESIAN_POINT('',(33.86,14.75,0.)); #489608=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #489609=CARTESIAN_POINT('',(33.86,14.75,0.0349999999999895)); #489610=CARTESIAN_POINT('',(33.86,14.75,-200.)); #489611=CARTESIAN_POINT('Origin',(34.,14.75,0.0349999999999895)); #489612=CARTESIAN_POINT('Origin',(10.25,34.75,-200.)); #489613=CARTESIAN_POINT('',(10.11,34.75,0.)); #489614=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #489615=CARTESIAN_POINT('',(10.11,34.75,0.0349999999999895)); #489616=CARTESIAN_POINT('',(10.11,34.75,-200.)); #489617=CARTESIAN_POINT('Origin',(10.25,34.75,0.0349999999999895)); #489618=CARTESIAN_POINT('Origin',(34.,16.25,-200.)); #489619=CARTESIAN_POINT('',(33.86,16.25,0.)); #489620=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #489621=CARTESIAN_POINT('',(33.86,16.25,0.0349999999999895)); #489622=CARTESIAN_POINT('',(33.86,16.25,-200.)); #489623=CARTESIAN_POINT('Origin',(34.,16.25,0.0349999999999895)); #489624=CARTESIAN_POINT('Origin',(7.25,34.75,-200.)); #489625=CARTESIAN_POINT('',(7.11,34.75,0.)); #489626=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #489627=CARTESIAN_POINT('',(7.11,34.75,0.0349999999999895)); #489628=CARTESIAN_POINT('',(7.11,34.75,-200.)); #489629=CARTESIAN_POINT('Origin',(7.25,34.75,0.0349999999999895)); #489630=CARTESIAN_POINT('Origin',(33.25,14.75,-200.)); #489631=CARTESIAN_POINT('',(33.11,14.75,0.)); #489632=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #489633=CARTESIAN_POINT('',(33.11,14.75,0.0349999999999895)); #489634=CARTESIAN_POINT('',(33.11,14.75,-200.)); #489635=CARTESIAN_POINT('Origin',(33.25,14.75,0.0349999999999895)); #489636=CARTESIAN_POINT('Origin',(7.25,34.,-200.)); #489637=CARTESIAN_POINT('',(7.11,34.,0.)); #489638=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #489639=CARTESIAN_POINT('',(7.11,34.,0.0349999999999895)); #489640=CARTESIAN_POINT('',(7.11,34.,-200.)); #489641=CARTESIAN_POINT('Origin',(7.25,34.,0.0349999999999895)); #489642=CARTESIAN_POINT('Origin',(55.75,34.,-200.)); #489643=CARTESIAN_POINT('',(55.285,34.,0.)); #489644=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #489645=CARTESIAN_POINT('',(55.285,34.,0.0349999999999895)); #489646=CARTESIAN_POINT('',(55.285,34.,-200.)); #489647=CARTESIAN_POINT('Origin',(55.75,34.,0.0349999999999895)); #489648=CARTESIAN_POINT('Origin',(29.995,2.09999997467882,-200.)); #489649=CARTESIAN_POINT('',(29.995,2.89999999155961,0.0349999999999895)); #489650=CARTESIAN_POINT('',(29.995,2.09999997467882,0.0349999999999895)); #489651=CARTESIAN_POINT('',(29.995,18.050000005869,0.0350000000000072)); #489652=CARTESIAN_POINT('',(29.995,2.89999999155961,0.)); #489653=CARTESIAN_POINT('',(29.995,2.89999999155961,-200.)); #489654=CARTESIAN_POINT('',(29.995,2.09999997467882,0.)); #489655=CARTESIAN_POINT('',(29.995,18.050000005869,0.)); #489656=CARTESIAN_POINT('',(29.995,2.09999997467882,-200.)); #489657=CARTESIAN_POINT('Origin',(29.68,2.1,-200.)); #489658=CARTESIAN_POINT('',(29.365,2.10000000844039,0.0349999999999895)); #489659=CARTESIAN_POINT('Origin',(29.68,2.1,0.0349999999999895)); #489660=CARTESIAN_POINT('',(29.365,2.10000000844039,0.)); #489661=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #489662=CARTESIAN_POINT('',(29.365,2.10000000844039,-200.)); #489663=CARTESIAN_POINT('Origin',(29.365,2.90000002532118,-200.)); #489664=CARTESIAN_POINT('',(29.365,2.90000002532118,0.0349999999999895)); #489665=CARTESIAN_POINT('',(29.365,18.4500000311902,0.0350000000000072)); #489666=CARTESIAN_POINT('',(29.365,2.90000002532118,0.)); #489667=CARTESIAN_POINT('',(29.365,18.4500000311902,0.)); #489668=CARTESIAN_POINT('',(29.365,2.90000002532118,-200.)); #489669=CARTESIAN_POINT('Origin',(29.68,2.9,-200.)); #489670=CARTESIAN_POINT('Origin',(29.68,2.9,0.0349999999999895)); #489671=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #489672=CARTESIAN_POINT('Origin',(29.4500000454174,34.565,-200.)); #489673=CARTESIAN_POINT('',(28.8500000151391,34.565,0.0349999999999895)); #489674=CARTESIAN_POINT('',(29.4500000454174,34.565,0.0349999999999895)); #489675=CARTESIAN_POINT('',(31.7250000412383,34.565,0.0350000000000072)); #489676=CARTESIAN_POINT('',(28.8500000151391,34.565,0.)); #489677=CARTESIAN_POINT('',(28.8500000151391,34.565,-200.)); #489678=CARTESIAN_POINT('',(29.4500000454174,34.565,0.)); #489679=CARTESIAN_POINT('',(31.7250000412383,34.565,0.)); #489680=CARTESIAN_POINT('',(29.4500000454174,34.565,-200.)); #489681=CARTESIAN_POINT('Origin',(29.45,34.,-200.)); #489682=CARTESIAN_POINT('',(29.4499999848609,33.435,0.0349999999999895)); #489683=CARTESIAN_POINT('Origin',(29.45,34.,0.0349999999999895)); #489684=CARTESIAN_POINT('',(29.4499999848609,33.435,0.)); #489685=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #489686=CARTESIAN_POINT('',(29.4499999848609,33.435,-200.)); #489687=CARTESIAN_POINT('Origin',(28.8499999545827,33.435,-200.)); #489688=CARTESIAN_POINT('',(28.8499999545827,33.435,0.0349999999999895)); #489689=CARTESIAN_POINT('',(31.4249999958209,33.435,0.0350000000000072)); #489690=CARTESIAN_POINT('',(28.8499999545827,33.435,0.)); #489691=CARTESIAN_POINT('',(31.4249999958209,33.435,0.)); #489692=CARTESIAN_POINT('',(28.8499999545827,33.435,-200.)); #489693=CARTESIAN_POINT('Origin',(28.85,34.,-200.)); #489694=CARTESIAN_POINT('Origin',(28.85,34.,0.0349999999999895)); #489695=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #489696=CARTESIAN_POINT('Origin',(31.75,16.25,-200.)); #489697=CARTESIAN_POINT('',(31.61,16.25,0.)); #489698=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #489699=CARTESIAN_POINT('',(31.61,16.25,0.0349999999999895)); #489700=CARTESIAN_POINT('',(31.61,16.25,-200.)); #489701=CARTESIAN_POINT('Origin',(31.75,16.25,0.0349999999999895)); #489702=CARTESIAN_POINT('Origin',(30.8,7.6,-200.)); #489703=CARTESIAN_POINT('',(30.685,7.6,0.)); #489704=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #489705=CARTESIAN_POINT('',(30.685,7.6,0.0349999999999895)); #489706=CARTESIAN_POINT('',(30.685,7.6,-200.)); #489707=CARTESIAN_POINT('Origin',(30.8,7.6,0.0349999999999895)); #489708=CARTESIAN_POINT('Origin',(39.1500000454174,34.565,-200.)); #489709=CARTESIAN_POINT('',(38.5500000151391,34.565,0.0349999999999895)); #489710=CARTESIAN_POINT('',(39.1500000454174,34.565,0.0349999999999895)); #489711=CARTESIAN_POINT('',(36.5750000412383,34.565,0.0350000000000072)); #489712=CARTESIAN_POINT('',(38.5500000151391,34.565,0.)); #489713=CARTESIAN_POINT('',(38.5500000151391,34.565,-200.)); #489714=CARTESIAN_POINT('',(39.1500000454174,34.565,0.)); #489715=CARTESIAN_POINT('',(36.5750000412383,34.565,0.)); #489716=CARTESIAN_POINT('',(39.1500000454174,34.565,-200.)); #489717=CARTESIAN_POINT('Origin',(39.15,34.,-200.)); #489718=CARTESIAN_POINT('',(39.1499999848609,33.435,0.0349999999999895)); #489719=CARTESIAN_POINT('Origin',(39.15,34.,0.0349999999999895)); #489720=CARTESIAN_POINT('',(39.1499999848609,33.435,0.)); #489721=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #489722=CARTESIAN_POINT('',(39.1499999848609,33.435,-200.)); #489723=CARTESIAN_POINT('Origin',(38.5499999545827,33.435,-200.)); #489724=CARTESIAN_POINT('',(38.5499999545827,33.435,0.0349999999999895)); #489725=CARTESIAN_POINT('',(36.2749999958209,33.435,0.0350000000000072)); #489726=CARTESIAN_POINT('',(38.5499999545827,33.435,0.)); #489727=CARTESIAN_POINT('',(36.2749999958209,33.435,0.)); #489728=CARTESIAN_POINT('',(38.5499999545827,33.435,-200.)); #489729=CARTESIAN_POINT('Origin',(38.55,34.,-200.)); #489730=CARTESIAN_POINT('Origin',(38.55,34.,0.0349999999999895)); #489731=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #489732=CARTESIAN_POINT('Origin',(34.75,17.75,-200.)); #489733=CARTESIAN_POINT('',(34.61,17.75,0.)); #489734=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #489735=CARTESIAN_POINT('',(34.61,17.75,0.0349999999999895)); #489736=CARTESIAN_POINT('',(34.61,17.75,-200.)); #489737=CARTESIAN_POINT('Origin',(34.75,17.75,0.0349999999999895)); #489738=CARTESIAN_POINT('Origin',(37.2,7.1,-200.)); #489739=CARTESIAN_POINT('',(37.085,7.1,0.)); #489740=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #489741=CARTESIAN_POINT('',(37.085,7.1,0.0349999999999895)); #489742=CARTESIAN_POINT('',(37.085,7.1,-200.)); #489743=CARTESIAN_POINT('Origin',(37.2,7.1,0.0349999999999895)); #489744=CARTESIAN_POINT('Origin',(31.75,15.5,-200.)); #489745=CARTESIAN_POINT('',(31.61,15.5,0.)); #489746=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #489747=CARTESIAN_POINT('',(31.61,15.5,0.0349999999999895)); #489748=CARTESIAN_POINT('',(31.61,15.5,-200.)); #489749=CARTESIAN_POINT('Origin',(31.75,15.5,0.0349999999999895)); #489750=CARTESIAN_POINT('Origin',(37.2,7.6,-200.)); #489751=CARTESIAN_POINT('',(37.085,7.6,0.)); #489752=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #489753=CARTESIAN_POINT('',(37.085,7.6,0.0349999999999895)); #489754=CARTESIAN_POINT('',(37.085,7.6,-200.)); #489755=CARTESIAN_POINT('Origin',(37.2,7.6,0.0349999999999895)); #489756=CARTESIAN_POINT('Origin',(30.8,7.1,-200.)); #489757=CARTESIAN_POINT('',(30.685,7.1,0.)); #489758=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #489759=CARTESIAN_POINT('',(30.685,7.1,0.0349999999999895)); #489760=CARTESIAN_POINT('',(30.685,7.1,-200.)); #489761=CARTESIAN_POINT('Origin',(30.8,7.1,0.0349999999999895)); #489762=CARTESIAN_POINT('Origin',(29.995,6.27999997467882,-200.)); #489763=CARTESIAN_POINT('',(29.995,7.07999999155961,0.0349999999999895)); #489764=CARTESIAN_POINT('',(29.995,6.27999997467882,0.0349999999999895)); #489765=CARTESIAN_POINT('',(29.995,20.140000005869,0.0350000000000072)); #489766=CARTESIAN_POINT('',(29.995,7.07999999155961,0.)); #489767=CARTESIAN_POINT('',(29.995,7.07999999155961,-200.)); #489768=CARTESIAN_POINT('',(29.995,6.27999997467882,0.)); #489769=CARTESIAN_POINT('',(29.995,20.140000005869,0.)); #489770=CARTESIAN_POINT('',(29.995,6.27999997467882,-200.)); #489771=CARTESIAN_POINT('Origin',(29.68,6.28,-200.)); #489772=CARTESIAN_POINT('',(29.365,6.28000000844039,0.0349999999999895)); #489773=CARTESIAN_POINT('Origin',(29.68,6.28,0.0349999999999895)); #489774=CARTESIAN_POINT('',(29.365,6.28000000844039,0.)); #489775=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #489776=CARTESIAN_POINT('',(29.365,6.28000000844039,-200.)); #489777=CARTESIAN_POINT('Origin',(29.365,7.08000002532118,-200.)); #489778=CARTESIAN_POINT('',(29.365,7.08000002532118,0.0349999999999895)); #489779=CARTESIAN_POINT('',(29.365,20.5400000311902,0.0350000000000072)); #489780=CARTESIAN_POINT('',(29.365,7.08000002532118,0.)); #489781=CARTESIAN_POINT('',(29.365,20.5400000311902,0.)); #489782=CARTESIAN_POINT('',(29.365,7.08000002532118,-200.)); #489783=CARTESIAN_POINT('Origin',(29.68,7.08,-200.)); #489784=CARTESIAN_POINT('Origin',(29.68,7.08,0.0349999999999895)); #489785=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #489786=CARTESIAN_POINT('Origin',(31.75,17.,-200.)); #489787=CARTESIAN_POINT('',(31.61,17.,0.)); #489788=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #489789=CARTESIAN_POINT('',(31.61,17.,0.0349999999999895)); #489790=CARTESIAN_POINT('',(31.61,17.,-200.)); #489791=CARTESIAN_POINT('Origin',(31.75,17.,0.0349999999999895)); #489792=CARTESIAN_POINT('Origin',(38.635,2.09999997467882,-200.)); #489793=CARTESIAN_POINT('',(38.635,2.89999999155961,0.0349999999999895)); #489794=CARTESIAN_POINT('',(38.635,2.09999997467882,0.0349999999999895)); #489795=CARTESIAN_POINT('',(38.635,18.050000005869,0.0350000000000072)); #489796=CARTESIAN_POINT('',(38.635,2.89999999155961,0.)); #489797=CARTESIAN_POINT('',(38.635,2.89999999155961,-200.)); #489798=CARTESIAN_POINT('',(38.635,2.09999997467882,0.)); #489799=CARTESIAN_POINT('',(38.635,18.050000005869,0.)); #489800=CARTESIAN_POINT('',(38.635,2.09999997467882,-200.)); #489801=CARTESIAN_POINT('Origin',(38.32,2.1,-200.)); #489802=CARTESIAN_POINT('',(38.005,2.10000000844039,0.0349999999999895)); #489803=CARTESIAN_POINT('Origin',(38.32,2.1,0.0349999999999895)); #489804=CARTESIAN_POINT('',(38.005,2.10000000844039,0.)); #489805=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #489806=CARTESIAN_POINT('',(38.005,2.10000000844039,-200.)); #489807=CARTESIAN_POINT('Origin',(38.005,2.90000002532118,-200.)); #489808=CARTESIAN_POINT('',(38.005,2.90000002532118,0.0349999999999895)); #489809=CARTESIAN_POINT('',(38.005,18.4500000311902,0.0350000000000072)); #489810=CARTESIAN_POINT('',(38.005,2.90000002532118,0.)); #489811=CARTESIAN_POINT('',(38.005,18.4500000311902,0.)); #489812=CARTESIAN_POINT('',(38.005,2.90000002532118,-200.)); #489813=CARTESIAN_POINT('Origin',(38.32,2.9,-200.)); #489814=CARTESIAN_POINT('Origin',(38.32,2.9,0.0349999999999895)); #489815=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #489816=CARTESIAN_POINT('Origin',(38.635,6.27999997467882,-200.)); #489817=CARTESIAN_POINT('',(38.635,7.07999999155961,0.0349999999999895)); #489818=CARTESIAN_POINT('',(38.635,6.27999997467882,0.0349999999999895)); #489819=CARTESIAN_POINT('',(38.635,20.140000005869,0.0350000000000072)); #489820=CARTESIAN_POINT('',(38.635,7.07999999155961,0.)); #489821=CARTESIAN_POINT('',(38.635,7.07999999155961,-200.)); #489822=CARTESIAN_POINT('',(38.635,6.27999997467882,0.)); #489823=CARTESIAN_POINT('',(38.635,20.140000005869,0.)); #489824=CARTESIAN_POINT('',(38.635,6.27999997467882,-200.)); #489825=CARTESIAN_POINT('Origin',(38.32,6.28,-200.)); #489826=CARTESIAN_POINT('',(38.005,6.28000000844039,0.0349999999999895)); #489827=CARTESIAN_POINT('Origin',(38.32,6.28,0.0349999999999895)); #489828=CARTESIAN_POINT('',(38.005,6.28000000844039,0.)); #489829=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #489830=CARTESIAN_POINT('',(38.005,6.28000000844039,-200.)); #489831=CARTESIAN_POINT('Origin',(38.005,7.08000002532118,-200.)); #489832=CARTESIAN_POINT('',(38.005,7.08000002532118,0.0349999999999895)); #489833=CARTESIAN_POINT('',(38.005,20.5400000311902,0.0350000000000072)); #489834=CARTESIAN_POINT('',(38.005,7.08000002532118,0.)); #489835=CARTESIAN_POINT('',(38.005,20.5400000311902,0.)); #489836=CARTESIAN_POINT('',(38.005,7.08000002532118,-200.)); #489837=CARTESIAN_POINT('Origin',(38.32,7.08,-200.)); #489838=CARTESIAN_POINT('Origin',(38.32,7.08,0.0349999999999895)); #489839=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #489840=CARTESIAN_POINT('Origin',(33.25,17.75,-200.)); #489841=CARTESIAN_POINT('',(33.11,17.75,0.)); #489842=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #489843=CARTESIAN_POINT('',(33.11,17.75,0.0349999999999895)); #489844=CARTESIAN_POINT('',(33.11,17.75,-200.)); #489845=CARTESIAN_POINT('Origin',(33.25,17.75,0.0349999999999895)); #489846=CARTESIAN_POINT('Origin',(31.75,17.75,-200.)); #489847=CARTESIAN_POINT('',(31.61,17.75,0.)); #489848=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #489849=CARTESIAN_POINT('',(31.61,17.75,0.0349999999999895)); #489850=CARTESIAN_POINT('',(31.61,17.75,-200.)); #489851=CARTESIAN_POINT('Origin',(31.75,17.75,0.0349999999999895)); #489852=CARTESIAN_POINT('Origin',(55.25,21.75,-200.)); #489853=CARTESIAN_POINT('',(55.11,21.75,0.)); #489854=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #489855=CARTESIAN_POINT('',(55.11,21.75,0.0349999999999895)); #489856=CARTESIAN_POINT('',(55.11,21.75,-200.)); #489857=CARTESIAN_POINT('Origin',(55.25,21.75,0.0349999999999895)); #489858=CARTESIAN_POINT('Origin',(35.5,15.5,-200.)); #489859=CARTESIAN_POINT('',(35.36,15.5,0.)); #489860=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #489861=CARTESIAN_POINT('',(35.36,15.5,0.0349999999999895)); #489862=CARTESIAN_POINT('',(35.36,15.5,-200.)); #489863=CARTESIAN_POINT('Origin',(35.5,15.5,0.0349999999999895)); #489864=CARTESIAN_POINT('Origin',(55.25,21.,-200.)); #489865=CARTESIAN_POINT('',(55.11,21.,0.)); #489866=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #489867=CARTESIAN_POINT('',(55.11,21.,0.0349999999999895)); #489868=CARTESIAN_POINT('',(55.11,21.,-200.)); #489869=CARTESIAN_POINT('Origin',(55.25,21.,0.0349999999999895)); #489870=CARTESIAN_POINT('Origin',(40.,38.75,-200.)); #489871=CARTESIAN_POINT('',(39.86,38.75,0.)); #489872=CARTESIAN_POINT('Origin',(40.,38.75,0.)); #489873=CARTESIAN_POINT('',(39.86,38.75,0.0349999999999895)); #489874=CARTESIAN_POINT('',(39.86,38.75,-200.)); #489875=CARTESIAN_POINT('Origin',(40.,38.75,0.0349999999999895)); #489876=CARTESIAN_POINT('Origin',(34.75,15.5,-200.)); #489877=CARTESIAN_POINT('',(34.61,15.5,0.)); #489878=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #489879=CARTESIAN_POINT('',(34.61,15.5,0.0349999999999895)); #489880=CARTESIAN_POINT('',(34.61,15.5,-200.)); #489881=CARTESIAN_POINT('Origin',(34.75,15.5,0.0349999999999895)); #489882=CARTESIAN_POINT('Origin',(40.,39.5,-200.)); #489883=CARTESIAN_POINT('',(39.86,39.5,0.)); #489884=CARTESIAN_POINT('Origin',(40.,39.5,0.)); #489885=CARTESIAN_POINT('',(39.86,39.5,0.0349999999999895)); #489886=CARTESIAN_POINT('',(39.86,39.5,-200.)); #489887=CARTESIAN_POINT('Origin',(40.,39.5,0.0349999999999895)); #489888=CARTESIAN_POINT('Origin',(28.,38.75,-200.)); #489889=CARTESIAN_POINT('',(27.86,38.75,0.)); #489890=CARTESIAN_POINT('Origin',(28.,38.75,0.)); #489891=CARTESIAN_POINT('',(27.86,38.75,0.0349999999999895)); #489892=CARTESIAN_POINT('',(27.86,38.75,-200.)); #489893=CARTESIAN_POINT('Origin',(28.,38.75,0.0349999999999895)); #489894=CARTESIAN_POINT('Origin',(33.25,15.5,-200.)); #489895=CARTESIAN_POINT('',(33.11,15.5,0.)); #489896=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #489897=CARTESIAN_POINT('',(33.11,15.5,0.0349999999999895)); #489898=CARTESIAN_POINT('',(33.11,15.5,-200.)); #489899=CARTESIAN_POINT('Origin',(33.25,15.5,0.0349999999999895)); #489900=CARTESIAN_POINT('Origin',(28.,38.,-200.)); #489901=CARTESIAN_POINT('',(27.86,38.,0.)); #489902=CARTESIAN_POINT('Origin',(28.,38.,0.)); #489903=CARTESIAN_POINT('',(27.86,38.,0.0349999999999895)); #489904=CARTESIAN_POINT('',(27.86,38.,-200.)); #489905=CARTESIAN_POINT('Origin',(28.,38.,0.0349999999999895)); #489906=CARTESIAN_POINT('Origin',(28.,39.5,-200.)); #489907=CARTESIAN_POINT('',(27.86,39.5,0.)); #489908=CARTESIAN_POINT('Origin',(28.,39.5,0.)); #489909=CARTESIAN_POINT('',(27.86,39.5,0.0349999999999895)); #489910=CARTESIAN_POINT('',(27.86,39.5,-200.)); #489911=CARTESIAN_POINT('Origin',(28.,39.5,0.0349999999999895)); #489912=CARTESIAN_POINT('Origin',(34.,15.5,-200.)); #489913=CARTESIAN_POINT('',(33.86,15.5,0.)); #489914=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #489915=CARTESIAN_POINT('',(33.86,15.5,0.0349999999999895)); #489916=CARTESIAN_POINT('',(33.86,15.5,-200.)); #489917=CARTESIAN_POINT('Origin',(34.,15.5,0.0349999999999895)); #489918=CARTESIAN_POINT('Origin',(40.,38.,-200.)); #489919=CARTESIAN_POINT('',(39.86,38.,0.)); #489920=CARTESIAN_POINT('Origin',(40.,38.,0.)); #489921=CARTESIAN_POINT('',(39.86,38.,0.0349999999999895)); #489922=CARTESIAN_POINT('',(39.86,38.,-200.)); #489923=CARTESIAN_POINT('Origin',(40.,38.,0.0349999999999895)); #489924=CARTESIAN_POINT('Origin',(43.75,34.,-200.)); #489925=CARTESIAN_POINT('',(43.235,34.,0.)); #489926=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #489927=CARTESIAN_POINT('',(43.235,34.,0.0349999999999895)); #489928=CARTESIAN_POINT('',(43.235,34.,-200.)); #489929=CARTESIAN_POINT('Origin',(43.75,34.,0.0349999999999895)); #489930=CARTESIAN_POINT('Origin',(41.,24.5,-200.)); #489931=CARTESIAN_POINT('',(40.86,24.5,0.)); #489932=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #489933=CARTESIAN_POINT('',(40.86,24.5,0.0349999999999895)); #489934=CARTESIAN_POINT('',(40.86,24.5,-200.)); #489935=CARTESIAN_POINT('Origin',(41.,24.5,0.0349999999999895)); #489936=CARTESIAN_POINT('Origin',(32.5,17.,-200.)); #489937=CARTESIAN_POINT('',(32.36,17.,0.)); #489938=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #489939=CARTESIAN_POINT('',(32.36,17.,0.0349999999999895)); #489940=CARTESIAN_POINT('',(32.36,17.,-200.)); #489941=CARTESIAN_POINT('Origin',(32.5,17.,0.0349999999999895)); #489942=CARTESIAN_POINT('Origin',(41.,26.,-200.)); #489943=CARTESIAN_POINT('',(40.86,26.,0.)); #489944=CARTESIAN_POINT('Origin',(41.,26.,0.)); #489945=CARTESIAN_POINT('',(40.86,26.,0.0349999999999895)); #489946=CARTESIAN_POINT('',(40.86,26.,-200.)); #489947=CARTESIAN_POINT('Origin',(41.,26.,0.0349999999999895)); #489948=CARTESIAN_POINT('Origin',(41.75,25.25,-200.)); #489949=CARTESIAN_POINT('',(41.61,25.25,0.)); #489950=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #489951=CARTESIAN_POINT('',(41.61,25.25,0.0349999999999895)); #489952=CARTESIAN_POINT('',(41.61,25.25,-200.)); #489953=CARTESIAN_POINT('Origin',(41.75,25.25,0.0349999999999895)); #489954=CARTESIAN_POINT('Origin',(32.5,17.75,-200.)); #489955=CARTESIAN_POINT('',(32.36,17.75,0.)); #489956=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #489957=CARTESIAN_POINT('',(32.36,17.75,0.0349999999999895)); #489958=CARTESIAN_POINT('',(32.36,17.75,-200.)); #489959=CARTESIAN_POINT('Origin',(32.5,17.75,0.0349999999999895)); #489960=CARTESIAN_POINT('Origin',(41.75,26.,-200.)); #489961=CARTESIAN_POINT('',(41.61,26.,0.)); #489962=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #489963=CARTESIAN_POINT('',(41.61,26.,0.0349999999999895)); #489964=CARTESIAN_POINT('',(41.61,26.,-200.)); #489965=CARTESIAN_POINT('Origin',(41.75,26.,0.0349999999999895)); #489966=CARTESIAN_POINT('Origin',(42.5,24.5,-200.)); #489967=CARTESIAN_POINT('',(42.36,24.5,0.)); #489968=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #489969=CARTESIAN_POINT('',(42.36,24.5,0.0349999999999895)); #489970=CARTESIAN_POINT('',(42.36,24.5,-200.)); #489971=CARTESIAN_POINT('Origin',(42.5,24.5,0.0349999999999895)); #489972=CARTESIAN_POINT('Origin',(32.5,16.25,-200.)); #489973=CARTESIAN_POINT('',(32.36,16.25,0.)); #489974=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #489975=CARTESIAN_POINT('',(32.36,16.25,0.0349999999999895)); #489976=CARTESIAN_POINT('',(32.36,16.25,-200.)); #489977=CARTESIAN_POINT('Origin',(32.5,16.25,0.0349999999999895)); #489978=CARTESIAN_POINT('Origin',(41.75,24.5,-200.)); #489979=CARTESIAN_POINT('',(41.61,24.5,0.)); #489980=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #489981=CARTESIAN_POINT('',(41.61,24.5,0.0349999999999895)); #489982=CARTESIAN_POINT('',(41.61,24.5,-200.)); #489983=CARTESIAN_POINT('Origin',(41.75,24.5,0.0349999999999895)); #489984=CARTESIAN_POINT('Origin',(42.5,25.25,-200.)); #489985=CARTESIAN_POINT('',(42.36,25.25,0.)); #489986=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #489987=CARTESIAN_POINT('',(42.36,25.25,0.0349999999999895)); #489988=CARTESIAN_POINT('',(42.36,25.25,-200.)); #489989=CARTESIAN_POINT('Origin',(42.5,25.25,0.0349999999999895)); #489990=CARTESIAN_POINT('Origin',(32.5,15.5,-200.)); #489991=CARTESIAN_POINT('',(32.36,15.5,0.)); #489992=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #489993=CARTESIAN_POINT('',(32.36,15.5,0.0349999999999895)); #489994=CARTESIAN_POINT('',(32.36,15.5,-200.)); #489995=CARTESIAN_POINT('Origin',(32.5,15.5,0.0349999999999895)); #489996=CARTESIAN_POINT('Origin',(42.5,26.,-200.)); #489997=CARTESIAN_POINT('',(42.36,26.,0.)); #489998=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #489999=CARTESIAN_POINT('',(42.36,26.,0.0349999999999895)); #490000=CARTESIAN_POINT('',(42.36,26.,-200.)); #490001=CARTESIAN_POINT('Origin',(42.5,26.,0.0349999999999895)); #490002=CARTESIAN_POINT('Origin',(37.81,45.,-200.)); #490003=CARTESIAN_POINT('',(37.245,45.,0.)); #490004=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #490005=CARTESIAN_POINT('',(37.245,45.,0.0349999999999895)); #490006=CARTESIAN_POINT('',(37.245,45.,-200.)); #490007=CARTESIAN_POINT('Origin',(37.81,45.,0.0349999999999895)); #490008=CARTESIAN_POINT('Origin',(12.75,21.,-200.)); #490009=CARTESIAN_POINT('',(12.61,21.,0.)); #490010=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #490011=CARTESIAN_POINT('',(12.61,21.,0.0349999999999895)); #490012=CARTESIAN_POINT('',(12.61,21.,-200.)); #490013=CARTESIAN_POINT('Origin',(12.75,21.,0.0349999999999895)); #490014=CARTESIAN_POINT('Origin',(33.25,19.25,-200.)); #490015=CARTESIAN_POINT('',(33.11,19.25,0.)); #490016=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #490017=CARTESIAN_POINT('',(33.11,19.25,0.0349999999999895)); #490018=CARTESIAN_POINT('',(33.11,19.25,-200.)); #490019=CARTESIAN_POINT('Origin',(33.25,19.25,0.0349999999999895)); #490020=CARTESIAN_POINT('Origin',(56.,20.25,-200.)); #490021=CARTESIAN_POINT('',(55.86,20.25,0.)); #490022=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #490023=CARTESIAN_POINT('',(55.86,20.25,0.0349999999999895)); #490024=CARTESIAN_POINT('',(55.86,20.25,-200.)); #490025=CARTESIAN_POINT('Origin',(56.,20.25,0.0349999999999895)); #490026=CARTESIAN_POINT('Origin',(56.,21.75,-200.)); #490027=CARTESIAN_POINT('',(55.86,21.75,0.)); #490028=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #490029=CARTESIAN_POINT('',(55.86,21.75,0.0349999999999895)); #490030=CARTESIAN_POINT('',(55.86,21.75,-200.)); #490031=CARTESIAN_POINT('Origin',(56.,21.75,0.0349999999999895)); #490032=CARTESIAN_POINT('Origin',(32.5,19.25,-200.)); #490033=CARTESIAN_POINT('',(32.36,19.25,0.)); #490034=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #490035=CARTESIAN_POINT('',(32.36,19.25,0.0349999999999895)); #490036=CARTESIAN_POINT('',(32.36,19.25,-200.)); #490037=CARTESIAN_POINT('Origin',(32.5,19.25,0.0349999999999895)); #490038=CARTESIAN_POINT('Origin',(56.,21.,-200.)); #490039=CARTESIAN_POINT('',(55.86,21.,0.)); #490040=CARTESIAN_POINT('Origin',(56.,21.,0.)); #490041=CARTESIAN_POINT('',(55.86,21.,0.0349999999999895)); #490042=CARTESIAN_POINT('',(55.86,21.,-200.)); #490043=CARTESIAN_POINT('Origin',(56.,21.,0.0349999999999895)); #490044=CARTESIAN_POINT('Origin',(54.5,20.25,-200.)); #490045=CARTESIAN_POINT('',(54.36,20.25,0.)); #490046=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #490047=CARTESIAN_POINT('',(54.36,20.25,0.0349999999999895)); #490048=CARTESIAN_POINT('',(54.36,20.25,-200.)); #490049=CARTESIAN_POINT('Origin',(54.5,20.25,0.0349999999999895)); #490050=CARTESIAN_POINT('Origin',(35.5,16.25,-200.)); #490051=CARTESIAN_POINT('',(35.36,16.25,0.)); #490052=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #490053=CARTESIAN_POINT('',(35.36,16.25,0.0349999999999895)); #490054=CARTESIAN_POINT('',(35.36,16.25,-200.)); #490055=CARTESIAN_POINT('Origin',(35.5,16.25,0.0349999999999895)); #490056=CARTESIAN_POINT('Origin',(55.25,20.25,-200.)); #490057=CARTESIAN_POINT('',(55.11,20.25,0.)); #490058=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #490059=CARTESIAN_POINT('',(55.11,20.25,0.0349999999999895)); #490060=CARTESIAN_POINT('',(55.11,20.25,-200.)); #490061=CARTESIAN_POINT('Origin',(55.25,20.25,0.0349999999999895)); #490062=CARTESIAN_POINT('Origin',(54.5,21.,-200.)); #490063=CARTESIAN_POINT('',(54.36,21.,0.)); #490064=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #490065=CARTESIAN_POINT('',(54.36,21.,0.0349999999999895)); #490066=CARTESIAN_POINT('',(54.36,21.,-200.)); #490067=CARTESIAN_POINT('Origin',(54.5,21.,0.0349999999999895)); #490068=CARTESIAN_POINT('Origin',(35.5,17.,-200.)); #490069=CARTESIAN_POINT('',(35.36,17.,0.)); #490070=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #490071=CARTESIAN_POINT('',(35.36,17.,0.0349999999999895)); #490072=CARTESIAN_POINT('',(35.36,17.,-200.)); #490073=CARTESIAN_POINT('Origin',(35.5,17.,0.0349999999999895)); #490074=CARTESIAN_POINT('Origin',(54.5,21.75,-200.)); #490075=CARTESIAN_POINT('',(54.36,21.75,0.)); #490076=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #490077=CARTESIAN_POINT('',(54.36,21.75,0.0349999999999895)); #490078=CARTESIAN_POINT('',(54.36,21.75,-200.)); #490079=CARTESIAN_POINT('Origin',(54.5,21.75,0.0349999999999895)); #490080=CARTESIAN_POINT('Origin',(12.,21.,-200.)); #490081=CARTESIAN_POINT('',(11.86,21.,0.)); #490082=CARTESIAN_POINT('Origin',(12.,21.,0.)); #490083=CARTESIAN_POINT('',(11.86,21.,0.0349999999999895)); #490084=CARTESIAN_POINT('',(11.86,21.,-200.)); #490085=CARTESIAN_POINT('Origin',(12.,21.,0.0349999999999895)); #490086=CARTESIAN_POINT('Origin',(34.75,19.25,-200.)); #490087=CARTESIAN_POINT('',(34.61,19.25,0.)); #490088=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #490089=CARTESIAN_POINT('',(34.61,19.25,0.0349999999999895)); #490090=CARTESIAN_POINT('',(34.61,19.25,-200.)); #490091=CARTESIAN_POINT('Origin',(34.75,19.25,0.0349999999999895)); #490092=CARTESIAN_POINT('Origin',(12.,20.25,-200.)); #490093=CARTESIAN_POINT('',(11.86,20.25,0.)); #490094=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #490095=CARTESIAN_POINT('',(11.86,20.25,0.0349999999999895)); #490096=CARTESIAN_POINT('',(11.86,20.25,-200.)); #490097=CARTESIAN_POINT('Origin',(12.,20.25,0.0349999999999895)); #490098=CARTESIAN_POINT('Origin',(12.75,21.75,-200.)); #490099=CARTESIAN_POINT('',(12.61,21.75,0.)); #490100=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #490101=CARTESIAN_POINT('',(12.61,21.75,0.0349999999999895)); #490102=CARTESIAN_POINT('',(12.61,21.75,-200.)); #490103=CARTESIAN_POINT('Origin',(12.75,21.75,0.0349999999999895)); #490104=CARTESIAN_POINT('Origin',(34.,19.25,-200.)); #490105=CARTESIAN_POINT('',(33.86,19.25,0.)); #490106=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #490107=CARTESIAN_POINT('',(33.86,19.25,0.0349999999999895)); #490108=CARTESIAN_POINT('',(33.86,19.25,-200.)); #490109=CARTESIAN_POINT('Origin',(34.,19.25,0.0349999999999895)); #490110=CARTESIAN_POINT('Origin',(12.75,20.25,-200.)); #490111=CARTESIAN_POINT('',(12.61,20.25,0.)); #490112=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #490113=CARTESIAN_POINT('',(12.61,20.25,0.0349999999999895)); #490114=CARTESIAN_POINT('',(12.61,20.25,-200.)); #490115=CARTESIAN_POINT('Origin',(12.75,20.25,0.0349999999999895)); #490116=CARTESIAN_POINT('Origin',(13.5,21.75,-200.)); #490117=CARTESIAN_POINT('',(13.36,21.75,0.)); #490118=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #490119=CARTESIAN_POINT('',(13.36,21.75,0.0349999999999895)); #490120=CARTESIAN_POINT('',(13.36,21.75,-200.)); #490121=CARTESIAN_POINT('Origin',(13.5,21.75,0.0349999999999895)); #490122=CARTESIAN_POINT('Origin',(35.5,19.25,-200.)); #490123=CARTESIAN_POINT('',(35.36,19.25,0.)); #490124=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #490125=CARTESIAN_POINT('',(35.36,19.25,0.0349999999999895)); #490126=CARTESIAN_POINT('',(35.36,19.25,-200.)); #490127=CARTESIAN_POINT('Origin',(35.5,19.25,0.0349999999999895)); #490128=CARTESIAN_POINT('Origin',(12.,21.75,-200.)); #490129=CARTESIAN_POINT('',(11.86,21.75,0.)); #490130=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #490131=CARTESIAN_POINT('',(11.86,21.75,0.0349999999999895)); #490132=CARTESIAN_POINT('',(11.86,21.75,-200.)); #490133=CARTESIAN_POINT('Origin',(12.,21.75,0.0349999999999895)); #490134=CARTESIAN_POINT('Origin',(13.5,21.,-200.)); #490135=CARTESIAN_POINT('',(13.36,21.,0.)); #490136=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #490137=CARTESIAN_POINT('',(13.36,21.,0.0349999999999895)); #490138=CARTESIAN_POINT('',(13.36,21.,-200.)); #490139=CARTESIAN_POINT('Origin',(13.5,21.,0.0349999999999895)); #490140=CARTESIAN_POINT('Origin',(36.25,19.25,-200.)); #490141=CARTESIAN_POINT('',(36.11,19.25,0.)); #490142=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #490143=CARTESIAN_POINT('',(36.11,19.25,0.0349999999999895)); #490144=CARTESIAN_POINT('',(36.11,19.25,-200.)); #490145=CARTESIAN_POINT('Origin',(36.25,19.25,0.0349999999999895)); #490146=CARTESIAN_POINT('Origin',(13.5,20.25,-200.)); #490147=CARTESIAN_POINT('',(13.36,20.25,0.)); #490148=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #490149=CARTESIAN_POINT('',(13.36,20.25,0.0349999999999895)); #490150=CARTESIAN_POINT('',(13.36,20.25,-200.)); #490151=CARTESIAN_POINT('Origin',(13.5,20.25,0.0349999999999895)); #490152=CARTESIAN_POINT('Origin',(31.1,6.67,0.)); #490153=CARTESIAN_POINT('',(31.1,6.67,0.)); #490154=CARTESIAN_POINT('',(31.1,6.8136938,0.)); #490155=CARTESIAN_POINT('',(31.1,6.67,0.)); #490156=CARTESIAN_POINT('',(31.1,6.8136938,0.035)); #490157=CARTESIAN_POINT('',(31.1,6.8136938,0.)); #490158=CARTESIAN_POINT('',(31.1,6.67,0.035)); #490159=CARTESIAN_POINT('',(31.1,6.67,0.035)); #490160=CARTESIAN_POINT('',(31.1,6.67,0.)); #490161=CARTESIAN_POINT('Origin',(30.716116,6.67,0.)); #490162=CARTESIAN_POINT('',(30.716116,6.67,0.)); #490163=CARTESIAN_POINT('',(30.716116,6.67,0.)); #490164=CARTESIAN_POINT('',(30.716116,6.67,0.035)); #490165=CARTESIAN_POINT('',(30.716116,6.67,0.035)); #490166=CARTESIAN_POINT('',(30.716116,6.67,0.)); #490167=CARTESIAN_POINT('Origin',(30.58015,6.5340344,0.)); #490168=CARTESIAN_POINT('',(30.58015,6.5340344,0.)); #490169=CARTESIAN_POINT('',(30.58015,6.5340344,0.)); #490170=CARTESIAN_POINT('',(30.58015,6.5340344,0.035)); #490171=CARTESIAN_POINT('',(30.58015,6.5340344,0.035)); #490172=CARTESIAN_POINT('',(30.58015,6.5340344,0.)); #490173=CARTESIAN_POINT('Origin',(30.485,6.3043219,0.)); #490174=CARTESIAN_POINT('',(30.485,6.3043219,0.)); #490175=CARTESIAN_POINT('',(30.485,6.3043219,0.)); #490176=CARTESIAN_POINT('',(30.485,6.3043219,0.035)); #490177=CARTESIAN_POINT('',(30.485,6.3043219,0.035)); #490178=CARTESIAN_POINT('',(30.485,6.3043219,0.)); #490179=CARTESIAN_POINT('Origin',(30.485,6.0556781,0.)); #490180=CARTESIAN_POINT('',(30.485,6.0556781,0.)); #490181=CARTESIAN_POINT('',(30.485,6.0556781,0.)); #490182=CARTESIAN_POINT('',(30.485,6.0556781,0.035)); #490183=CARTESIAN_POINT('',(30.485,6.0556781,0.035)); #490184=CARTESIAN_POINT('',(30.485,6.0556781,0.)); #490185=CARTESIAN_POINT('Origin',(30.58015,5.8259656,0.)); #490186=CARTESIAN_POINT('',(30.58015,5.8259656,0.)); #490187=CARTESIAN_POINT('',(30.58015,5.8259656,0.)); #490188=CARTESIAN_POINT('',(30.58015,5.8259656,0.035)); #490189=CARTESIAN_POINT('',(30.58015,5.8259656,0.035)); #490190=CARTESIAN_POINT('',(30.58015,5.8259656,0.)); #490191=CARTESIAN_POINT('Origin',(30.755966,5.65015,0.)); #490192=CARTESIAN_POINT('',(30.755966,5.65015,0.)); #490193=CARTESIAN_POINT('',(30.755966,5.65015,0.)); #490194=CARTESIAN_POINT('',(30.755966,5.65015,0.035)); #490195=CARTESIAN_POINT('',(30.755966,5.65015,0.035)); #490196=CARTESIAN_POINT('',(30.755966,5.65015,0.)); #490197=CARTESIAN_POINT('Origin',(30.985678,5.555,0.)); #490198=CARTESIAN_POINT('',(30.985678,5.555,0.)); #490199=CARTESIAN_POINT('',(30.985678,5.555,0.)); #490200=CARTESIAN_POINT('',(30.985678,5.555,0.035)); #490201=CARTESIAN_POINT('',(30.985678,5.555,0.035)); #490202=CARTESIAN_POINT('',(30.985678,5.555,0.)); #490203=CARTESIAN_POINT('Origin',(31.234322,5.555,0.)); #490204=CARTESIAN_POINT('',(31.234322,5.555,0.)); #490205=CARTESIAN_POINT('',(31.234322,5.555,0.)); #490206=CARTESIAN_POINT('',(31.234322,5.555,0.035)); #490207=CARTESIAN_POINT('',(31.234322,5.555,0.035)); #490208=CARTESIAN_POINT('',(31.234322,5.555,0.)); #490209=CARTESIAN_POINT('Origin',(31.411431,5.6283594,0.)); #490210=CARTESIAN_POINT('',(31.411431,5.6283594,0.)); #490211=CARTESIAN_POINT('',(31.411431,5.6283594,0.)); #490212=CARTESIAN_POINT('',(31.411431,5.6283594,0.035)); #490213=CARTESIAN_POINT('',(31.411431,5.6283594,0.035)); #490214=CARTESIAN_POINT('',(31.411431,5.6283594,0.)); #490215=CARTESIAN_POINT('Origin',(31.439375,5.63415,0.)); #490216=CARTESIAN_POINT('',(31.439375,5.63415,0.)); #490217=CARTESIAN_POINT('',(31.439375,5.63415,0.)); #490218=CARTESIAN_POINT('',(31.439375,5.63415,0.035)); #490219=CARTESIAN_POINT('',(31.439375,5.63415,0.035)); #490220=CARTESIAN_POINT('',(31.439375,5.63415,0.)); #490221=CARTESIAN_POINT('Origin',(31.469188,5.6285906,0.)); #490222=CARTESIAN_POINT('',(31.469188,5.6285906,0.)); #490223=CARTESIAN_POINT('',(31.469188,5.6285906,0.)); #490224=CARTESIAN_POINT('',(31.469188,5.6285906,0.035)); #490225=CARTESIAN_POINT('',(31.469188,5.6285906,0.035)); #490226=CARTESIAN_POINT('',(31.469188,5.6285906,0.)); #490227=CARTESIAN_POINT('Origin',(31.494472,5.6118406,0.)); #490228=CARTESIAN_POINT('',(31.494472,5.6118406,0.)); #490229=CARTESIAN_POINT('',(31.494472,5.6118406,0.)); #490230=CARTESIAN_POINT('',(31.494472,5.6118406,0.035)); #490231=CARTESIAN_POINT('',(31.494472,5.6118406,0.035)); #490232=CARTESIAN_POINT('',(31.494472,5.6118406,0.)); #490233=CARTESIAN_POINT('Origin',(32.175291,4.9310219,0.)); #490234=CARTESIAN_POINT('',(32.175291,4.9310219,0.)); #490235=CARTESIAN_POINT('',(32.175291,4.9310219,0.)); #490236=CARTESIAN_POINT('',(32.175291,4.9310219,0.035)); #490237=CARTESIAN_POINT('',(32.175291,4.9310219,0.035)); #490238=CARTESIAN_POINT('',(32.175291,4.9310219,0.)); #490239=CARTESIAN_POINT('Origin',(32.195131,4.9150031,0.)); #490240=CARTESIAN_POINT('',(32.195131,4.9150031,0.)); #490241=CARTESIAN_POINT('',(32.195131,4.9150031,0.)); #490242=CARTESIAN_POINT('',(32.195131,4.9150031,0.035)); #490243=CARTESIAN_POINT('',(32.195131,4.9150031,0.035)); #490244=CARTESIAN_POINT('',(32.195131,4.9150031,0.)); #490245=CARTESIAN_POINT('Origin',(32.214272,4.9053531,0.)); #490246=CARTESIAN_POINT('',(32.214272,4.9053531,0.)); #490247=CARTESIAN_POINT('',(32.214272,4.9053531,0.)); #490248=CARTESIAN_POINT('',(32.214272,4.9053531,0.035)); #490249=CARTESIAN_POINT('',(32.214272,4.9053531,0.035)); #490250=CARTESIAN_POINT('',(32.214272,4.9053531,0.)); #490251=CARTESIAN_POINT('Origin',(32.236184,4.8996406,0.)); #490252=CARTESIAN_POINT('',(32.236184,4.8996406,0.)); #490253=CARTESIAN_POINT('',(32.236184,4.8996406,0.)); #490254=CARTESIAN_POINT('',(32.236184,4.8996406,0.035)); #490255=CARTESIAN_POINT('',(32.236184,4.8996406,0.035)); #490256=CARTESIAN_POINT('',(32.236184,4.8996406,0.)); #490257=CARTESIAN_POINT('Origin',(32.252847,4.8984,0.)); #490258=CARTESIAN_POINT('',(32.252847,4.8984,0.)); #490259=CARTESIAN_POINT('',(32.252847,4.8984,0.)); #490260=CARTESIAN_POINT('',(32.252847,4.8984,0.035)); #490261=CARTESIAN_POINT('',(32.252847,4.8984,0.035)); #490262=CARTESIAN_POINT('',(32.252847,4.8984,0.)); #490263=CARTESIAN_POINT('Origin',(35.745953,4.8984,0.)); #490264=CARTESIAN_POINT('',(35.745953,4.8984,0.)); #490265=CARTESIAN_POINT('',(35.745953,4.8984,0.)); #490266=CARTESIAN_POINT('',(35.745953,4.8984,0.035)); #490267=CARTESIAN_POINT('',(35.745953,4.8984,0.035)); #490268=CARTESIAN_POINT('',(35.745953,4.8984,0.)); #490269=CARTESIAN_POINT('Origin',(35.771309,4.9011,0.)); #490270=CARTESIAN_POINT('',(35.771309,4.9011,0.)); #490271=CARTESIAN_POINT('',(35.771309,4.9011,0.)); #490272=CARTESIAN_POINT('',(35.771309,4.9011,0.035)); #490273=CARTESIAN_POINT('',(35.771309,4.9011,0.035)); #490274=CARTESIAN_POINT('',(35.771309,4.9011,0.)); #490275=CARTESIAN_POINT('Origin',(35.791663,4.9078063,0.)); #490276=CARTESIAN_POINT('',(35.791663,4.9078063,0.)); #490277=CARTESIAN_POINT('',(35.791663,4.9078063,0.)); #490278=CARTESIAN_POINT('',(35.791663,4.9078063,0.035)); #490279=CARTESIAN_POINT('',(35.791663,4.9078063,0.035)); #490280=CARTESIAN_POINT('',(35.791663,4.9078063,0.)); #490281=CARTESIAN_POINT('Origin',(35.811197,4.9192688,0.)); #490282=CARTESIAN_POINT('',(35.811197,4.9192688,0.)); #490283=CARTESIAN_POINT('',(35.811197,4.9192688,0.)); #490284=CARTESIAN_POINT('',(35.811197,4.9192688,0.035)); #490285=CARTESIAN_POINT('',(35.811197,4.9192688,0.035)); #490286=CARTESIAN_POINT('',(35.811197,4.9192688,0.)); #490287=CARTESIAN_POINT('Origin',(35.823866,4.9301781,0.)); #490288=CARTESIAN_POINT('',(35.823866,4.9301781,0.)); #490289=CARTESIAN_POINT('',(35.823866,4.9301781,0.)); #490290=CARTESIAN_POINT('',(35.823866,4.9301781,0.035)); #490291=CARTESIAN_POINT('',(35.823866,4.9301781,0.035)); #490292=CARTESIAN_POINT('',(35.823866,4.9301781,0.)); #490293=CARTESIAN_POINT('Origin',(36.505528,5.6118406,0.)); #490294=CARTESIAN_POINT('',(36.505528,5.6118406,0.)); #490295=CARTESIAN_POINT('',(36.505528,5.6118406,0.)); #490296=CARTESIAN_POINT('',(36.505528,5.6118406,0.035)); #490297=CARTESIAN_POINT('',(36.505528,5.6118406,0.035)); #490298=CARTESIAN_POINT('',(36.505528,5.6118406,0.)); #490299=CARTESIAN_POINT('Origin',(36.529131,5.6278844,0.)); #490300=CARTESIAN_POINT('',(36.529131,5.6278844,0.)); #490301=CARTESIAN_POINT('',(36.529131,5.6278844,0.)); #490302=CARTESIAN_POINT('',(36.529131,5.6278844,0.035)); #490303=CARTESIAN_POINT('',(36.529131,5.6278844,0.035)); #490304=CARTESIAN_POINT('',(36.529131,5.6278844,0.)); #490305=CARTESIAN_POINT('Origin',(36.5588,5.6341563,0.)); #490306=CARTESIAN_POINT('',(36.5588,5.6341563,0.)); #490307=CARTESIAN_POINT('',(36.5588,5.6341563,0.)); #490308=CARTESIAN_POINT('',(36.5588,5.6341563,0.035)); #490309=CARTESIAN_POINT('',(36.5588,5.6341563,0.035)); #490310=CARTESIAN_POINT('',(36.5588,5.6341563,0.)); #490311=CARTESIAN_POINT('Origin',(36.588569,5.6283594,0.)); #490312=CARTESIAN_POINT('',(36.588569,5.6283594,0.)); #490313=CARTESIAN_POINT('',(36.588569,5.6283594,0.)); #490314=CARTESIAN_POINT('',(36.588569,5.6283594,0.035)); #490315=CARTESIAN_POINT('',(36.588569,5.6283594,0.035)); #490316=CARTESIAN_POINT('',(36.588569,5.6283594,0.)); #490317=CARTESIAN_POINT('Origin',(36.765678,5.555,0.)); #490318=CARTESIAN_POINT('',(36.765678,5.555,0.)); #490319=CARTESIAN_POINT('',(36.765678,5.555,0.)); #490320=CARTESIAN_POINT('',(36.765678,5.555,0.035)); #490321=CARTESIAN_POINT('',(36.765678,5.555,0.035)); #490322=CARTESIAN_POINT('',(36.765678,5.555,0.)); #490323=CARTESIAN_POINT('Origin',(37.014322,5.555,0.)); #490324=CARTESIAN_POINT('',(37.014322,5.555,0.)); #490325=CARTESIAN_POINT('',(37.014322,5.555,0.)); #490326=CARTESIAN_POINT('',(37.014322,5.555,0.035)); #490327=CARTESIAN_POINT('',(37.014322,5.555,0.035)); #490328=CARTESIAN_POINT('',(37.014322,5.555,0.)); #490329=CARTESIAN_POINT('Origin',(37.244034,5.65015,0.)); #490330=CARTESIAN_POINT('',(37.244034,5.65015,0.)); #490331=CARTESIAN_POINT('',(37.244034,5.65015,0.)); #490332=CARTESIAN_POINT('',(37.244034,5.65015,0.035)); #490333=CARTESIAN_POINT('',(37.244034,5.65015,0.035)); #490334=CARTESIAN_POINT('',(37.244034,5.65015,0.)); #490335=CARTESIAN_POINT('Origin',(37.41985,5.8259656,0.)); #490336=CARTESIAN_POINT('',(37.41985,5.8259656,0.)); #490337=CARTESIAN_POINT('',(37.41985,5.8259656,0.)); #490338=CARTESIAN_POINT('',(37.41985,5.8259656,0.035)); #490339=CARTESIAN_POINT('',(37.41985,5.8259656,0.035)); #490340=CARTESIAN_POINT('',(37.41985,5.8259656,0.)); #490341=CARTESIAN_POINT('Origin',(37.515,6.0556781,0.)); #490342=CARTESIAN_POINT('',(37.515,6.0556781,0.)); #490343=CARTESIAN_POINT('',(37.515,6.0556781,0.)); #490344=CARTESIAN_POINT('',(37.515,6.0556781,0.035)); #490345=CARTESIAN_POINT('',(37.515,6.0556781,0.035)); #490346=CARTESIAN_POINT('',(37.515,6.0556781,0.)); #490347=CARTESIAN_POINT('Origin',(37.515,6.3043219,0.)); #490348=CARTESIAN_POINT('',(37.515,6.3043219,0.)); #490349=CARTESIAN_POINT('',(37.515,6.3043219,0.)); #490350=CARTESIAN_POINT('',(37.515,6.3043219,0.035)); #490351=CARTESIAN_POINT('',(37.515,6.3043219,0.035)); #490352=CARTESIAN_POINT('',(37.515,6.3043219,0.)); #490353=CARTESIAN_POINT('Origin',(37.41985,6.5340344,0.)); #490354=CARTESIAN_POINT('',(37.41985,6.5340344,0.)); #490355=CARTESIAN_POINT('',(37.41985,6.5340344,0.)); #490356=CARTESIAN_POINT('',(37.41985,6.5340344,0.035)); #490357=CARTESIAN_POINT('',(37.41985,6.5340344,0.035)); #490358=CARTESIAN_POINT('',(37.41985,6.5340344,0.)); #490359=CARTESIAN_POINT('Origin',(37.283884,6.67,0.)); #490360=CARTESIAN_POINT('',(37.283884,6.67,0.)); #490361=CARTESIAN_POINT('',(37.283884,6.67,0.)); #490362=CARTESIAN_POINT('',(37.283884,6.67,0.035)); #490363=CARTESIAN_POINT('',(37.283884,6.67,0.035)); #490364=CARTESIAN_POINT('',(37.283884,6.67,0.)); #490365=CARTESIAN_POINT('Origin',(36.9,6.67,0.)); #490366=CARTESIAN_POINT('',(36.9,6.67,0.)); #490367=CARTESIAN_POINT('',(36.9,6.67,0.)); #490368=CARTESIAN_POINT('',(36.9,6.67,0.035)); #490369=CARTESIAN_POINT('',(36.9,6.67,0.035)); #490370=CARTESIAN_POINT('',(36.9,6.67,0.)); #490371=CARTESIAN_POINT('Origin',(36.9,6.8140438,0.)); #490372=CARTESIAN_POINT('',(36.9,6.8140438,0.)); #490373=CARTESIAN_POINT('',(36.9,6.8140438,0.)); #490374=CARTESIAN_POINT('',(36.9,6.8140438,0.035)); #490375=CARTESIAN_POINT('',(36.9,6.8140438,0.035)); #490376=CARTESIAN_POINT('',(36.9,6.8140438,0.)); #490377=CARTESIAN_POINT('Origin',(36.880584,6.8264656,0.)); #490378=CARTESIAN_POINT('',(36.880584,6.8264656,0.)); #490379=CARTESIAN_POINT('',(36.880584,6.8264656,0.)); #490380=CARTESIAN_POINT('',(36.880584,6.8264656,0.035)); #490381=CARTESIAN_POINT('',(36.880584,6.8264656,0.035)); #490382=CARTESIAN_POINT('',(36.880584,6.8264656,0.)); #490383=CARTESIAN_POINT('Origin',(36.863434,6.8514781,0.)); #490384=CARTESIAN_POINT('',(36.863434,6.8514781,0.)); #490385=CARTESIAN_POINT('',(36.863434,6.8514781,0.)); #490386=CARTESIAN_POINT('',(36.863434,6.8514781,0.035)); #490387=CARTESIAN_POINT('',(36.863434,6.8514781,0.035)); #490388=CARTESIAN_POINT('',(36.863434,6.8514781,0.)); #490389=CARTESIAN_POINT('Origin',(36.8574,6.8812,0.)); #490390=CARTESIAN_POINT('',(36.8574,6.8812,0.)); #490391=CARTESIAN_POINT('',(36.8574,6.8812,0.)); #490392=CARTESIAN_POINT('',(36.8574,6.8812,0.035)); #490393=CARTESIAN_POINT('',(36.8574,6.8812,0.035)); #490394=CARTESIAN_POINT('',(36.8574,6.8812,0.)); #490395=CARTESIAN_POINT('Origin',(36.8574,7.8222,0.)); #490396=CARTESIAN_POINT('',(36.8574,7.8222,0.)); #490397=CARTESIAN_POINT('',(36.8574,7.8222,0.)); #490398=CARTESIAN_POINT('',(36.8574,7.8222,0.035)); #490399=CARTESIAN_POINT('',(36.8574,7.8222,0.035)); #490400=CARTESIAN_POINT('',(36.8574,7.8222,0.)); #490401=CARTESIAN_POINT('Origin',(36.862522,7.8496688,0.)); #490402=CARTESIAN_POINT('',(36.862522,7.8496688,0.)); #490403=CARTESIAN_POINT('',(36.862522,7.8496688,0.)); #490404=CARTESIAN_POINT('',(36.862522,7.8496688,0.035)); #490405=CARTESIAN_POINT('',(36.862522,7.8496688,0.035)); #490406=CARTESIAN_POINT('',(36.862522,7.8496688,0.)); #490407=CARTESIAN_POINT('Origin',(36.878866,7.8752156,0.)); #490408=CARTESIAN_POINT('',(36.878866,7.8752156,0.)); #490409=CARTESIAN_POINT('',(36.878866,7.8752156,0.)); #490410=CARTESIAN_POINT('',(36.878866,7.8752156,0.035)); #490411=CARTESIAN_POINT('',(36.878866,7.8752156,0.035)); #490412=CARTESIAN_POINT('',(36.878866,7.8752156,0.)); #490413=CARTESIAN_POINT('Origin',(36.903878,7.8923656,0.)); #490414=CARTESIAN_POINT('',(36.903878,7.8923656,0.)); #490415=CARTESIAN_POINT('',(36.903878,7.8923656,0.)); #490416=CARTESIAN_POINT('',(36.903878,7.8923656,0.035)); #490417=CARTESIAN_POINT('',(36.903878,7.8923656,0.035)); #490418=CARTESIAN_POINT('',(36.903878,7.8923656,0.)); #490419=CARTESIAN_POINT('Origin',(36.9336,7.8984,0.)); #490420=CARTESIAN_POINT('',(36.9336,7.8984,0.)); #490421=CARTESIAN_POINT('',(36.9336,7.8984,0.)); #490422=CARTESIAN_POINT('',(36.9336,7.8984,0.035)); #490423=CARTESIAN_POINT('',(36.9336,7.8984,0.035)); #490424=CARTESIAN_POINT('',(36.9336,7.8984,0.)); #490425=CARTESIAN_POINT('Origin',(37.245953,7.8984,0.)); #490426=CARTESIAN_POINT('',(37.245953,7.8984,0.)); #490427=CARTESIAN_POINT('',(37.245953,7.8984,0.)); #490428=CARTESIAN_POINT('',(37.245953,7.8984,0.035)); #490429=CARTESIAN_POINT('',(37.245953,7.8984,0.035)); #490430=CARTESIAN_POINT('',(37.245953,7.8984,0.)); #490431=CARTESIAN_POINT('Origin',(37.271309,7.9011,0.)); #490432=CARTESIAN_POINT('',(37.271309,7.9011,0.)); #490433=CARTESIAN_POINT('',(37.271309,7.9011,0.)); #490434=CARTESIAN_POINT('',(37.271309,7.9011,0.035)); #490435=CARTESIAN_POINT('',(37.271309,7.9011,0.035)); #490436=CARTESIAN_POINT('',(37.271309,7.9011,0.)); #490437=CARTESIAN_POINT('Origin',(37.291663,7.9078063,0.)); #490438=CARTESIAN_POINT('',(37.291663,7.9078063,0.)); #490439=CARTESIAN_POINT('',(37.291663,7.9078063,0.)); #490440=CARTESIAN_POINT('',(37.291663,7.9078063,0.035)); #490441=CARTESIAN_POINT('',(37.291663,7.9078063,0.035)); #490442=CARTESIAN_POINT('',(37.291663,7.9078063,0.)); #490443=CARTESIAN_POINT('Origin',(37.311197,7.9192688,0.)); #490444=CARTESIAN_POINT('',(37.311197,7.9192688,0.)); #490445=CARTESIAN_POINT('',(37.311197,7.9192688,0.)); #490446=CARTESIAN_POINT('',(37.311197,7.9192688,0.035)); #490447=CARTESIAN_POINT('',(37.311197,7.9192688,0.035)); #490448=CARTESIAN_POINT('',(37.311197,7.9192688,0.)); #490449=CARTESIAN_POINT('Origin',(37.323866,7.9301781,0.)); #490450=CARTESIAN_POINT('',(37.323866,7.9301781,0.)); #490451=CARTESIAN_POINT('',(37.323866,7.9301781,0.)); #490452=CARTESIAN_POINT('',(37.323866,7.9301781,0.035)); #490453=CARTESIAN_POINT('',(37.323866,7.9301781,0.035)); #490454=CARTESIAN_POINT('',(37.323866,7.9301781,0.)); #490455=CARTESIAN_POINT('Origin',(37.818978,8.4252906,0.)); #490456=CARTESIAN_POINT('',(37.818978,8.4252906,0.)); #490457=CARTESIAN_POINT('',(37.818978,8.4252906,0.)); #490458=CARTESIAN_POINT('',(37.818978,8.4252906,0.035)); #490459=CARTESIAN_POINT('',(37.818978,8.4252906,0.035)); #490460=CARTESIAN_POINT('',(37.818978,8.4252906,0.)); #490461=CARTESIAN_POINT('Origin',(37.834997,8.4451313,0.)); #490462=CARTESIAN_POINT('',(37.834997,8.4451313,0.)); #490463=CARTESIAN_POINT('',(37.834997,8.4451313,0.)); #490464=CARTESIAN_POINT('',(37.834997,8.4451313,0.035)); #490465=CARTESIAN_POINT('',(37.834997,8.4451313,0.035)); #490466=CARTESIAN_POINT('',(37.834997,8.4451313,0.)); #490467=CARTESIAN_POINT('Origin',(37.844647,8.4642719,0.)); #490468=CARTESIAN_POINT('',(37.844647,8.4642719,0.)); #490469=CARTESIAN_POINT('',(37.844647,8.4642719,0.)); #490470=CARTESIAN_POINT('',(37.844647,8.4642719,0.035)); #490471=CARTESIAN_POINT('',(37.844647,8.4642719,0.035)); #490472=CARTESIAN_POINT('',(37.844647,8.4642719,0.)); #490473=CARTESIAN_POINT('Origin',(37.850359,8.4861844,0.)); #490474=CARTESIAN_POINT('',(37.850359,8.4861844,0.)); #490475=CARTESIAN_POINT('',(37.850359,8.4861844,0.)); #490476=CARTESIAN_POINT('',(37.850359,8.4861844,0.035)); #490477=CARTESIAN_POINT('',(37.850359,8.4861844,0.035)); #490478=CARTESIAN_POINT('',(37.850359,8.4861844,0.)); #490479=CARTESIAN_POINT('Origin',(37.8516,8.5028469,0.)); #490480=CARTESIAN_POINT('',(37.8516,8.5028469,0.)); #490481=CARTESIAN_POINT('',(37.8516,8.5028469,0.)); #490482=CARTESIAN_POINT('',(37.8516,8.5028469,0.035)); #490483=CARTESIAN_POINT('',(37.8516,8.5028469,0.035)); #490484=CARTESIAN_POINT('',(37.8516,8.5028469,0.)); #490485=CARTESIAN_POINT('Origin',(37.8516,9.9959531,0.)); #490486=CARTESIAN_POINT('',(37.8516,9.9959531,0.)); #490487=CARTESIAN_POINT('',(37.8516,9.9959531,0.)); #490488=CARTESIAN_POINT('',(37.8516,9.9959531,0.035)); #490489=CARTESIAN_POINT('',(37.8516,9.9959531,0.035)); #490490=CARTESIAN_POINT('',(37.8516,9.9959531,0.)); #490491=CARTESIAN_POINT('Origin',(37.8489,10.021309,0.)); #490492=CARTESIAN_POINT('',(37.8489,10.021309,0.)); #490493=CARTESIAN_POINT('',(37.8489,10.021309,0.)); #490494=CARTESIAN_POINT('',(37.8489,10.021309,0.035)); #490495=CARTESIAN_POINT('',(37.8489,10.021309,0.035)); #490496=CARTESIAN_POINT('',(37.8489,10.021309,0.)); #490497=CARTESIAN_POINT('Origin',(37.842194,10.041663,0.)); #490498=CARTESIAN_POINT('',(37.842194,10.041663,0.)); #490499=CARTESIAN_POINT('',(37.842194,10.041663,0.)); #490500=CARTESIAN_POINT('',(37.842194,10.041663,0.035)); #490501=CARTESIAN_POINT('',(37.842194,10.041663,0.035)); #490502=CARTESIAN_POINT('',(37.842194,10.041663,0.)); #490503=CARTESIAN_POINT('Origin',(37.830731,10.061197,0.)); #490504=CARTESIAN_POINT('',(37.830731,10.061197,0.)); #490505=CARTESIAN_POINT('',(37.830731,10.061197,0.)); #490506=CARTESIAN_POINT('',(37.830731,10.061197,0.035)); #490507=CARTESIAN_POINT('',(37.830731,10.061197,0.035)); #490508=CARTESIAN_POINT('',(37.830731,10.061197,0.)); #490509=CARTESIAN_POINT('Origin',(37.819822,10.073866,0.)); #490510=CARTESIAN_POINT('',(37.819822,10.073866,0.)); #490511=CARTESIAN_POINT('',(37.819822,10.073866,0.)); #490512=CARTESIAN_POINT('',(37.819822,10.073866,0.035)); #490513=CARTESIAN_POINT('',(37.819822,10.073866,0.035)); #490514=CARTESIAN_POINT('',(37.819822,10.073866,0.)); #490515=CARTESIAN_POINT('Origin',(37.324709,10.568978,0.)); #490516=CARTESIAN_POINT('',(37.324709,10.568978,0.)); #490517=CARTESIAN_POINT('',(37.324709,10.568978,0.)); #490518=CARTESIAN_POINT('',(37.324709,10.568978,0.035)); #490519=CARTESIAN_POINT('',(37.324709,10.568978,0.035)); #490520=CARTESIAN_POINT('',(37.324709,10.568978,0.)); #490521=CARTESIAN_POINT('Origin',(37.304869,10.584997,0.)); #490522=CARTESIAN_POINT('',(37.304869,10.584997,0.)); #490523=CARTESIAN_POINT('',(37.304869,10.584997,0.)); #490524=CARTESIAN_POINT('',(37.304869,10.584997,0.035)); #490525=CARTESIAN_POINT('',(37.304869,10.584997,0.035)); #490526=CARTESIAN_POINT('',(37.304869,10.584997,0.)); #490527=CARTESIAN_POINT('Origin',(37.285728,10.594647,0.)); #490528=CARTESIAN_POINT('',(37.285728,10.594647,0.)); #490529=CARTESIAN_POINT('',(37.285728,10.594647,0.)); #490530=CARTESIAN_POINT('',(37.285728,10.594647,0.035)); #490531=CARTESIAN_POINT('',(37.285728,10.594647,0.035)); #490532=CARTESIAN_POINT('',(37.285728,10.594647,0.)); #490533=CARTESIAN_POINT('Origin',(37.263816,10.600359,0.)); #490534=CARTESIAN_POINT('',(37.263816,10.600359,0.)); #490535=CARTESIAN_POINT('',(37.263816,10.600359,0.)); #490536=CARTESIAN_POINT('',(37.263816,10.600359,0.035)); #490537=CARTESIAN_POINT('',(37.263816,10.600359,0.035)); #490538=CARTESIAN_POINT('',(37.263816,10.600359,0.)); #490539=CARTESIAN_POINT('Origin',(37.247153,10.6016,0.)); #490540=CARTESIAN_POINT('',(37.247153,10.6016,0.)); #490541=CARTESIAN_POINT('',(37.247153,10.6016,0.)); #490542=CARTESIAN_POINT('',(37.247153,10.6016,0.035)); #490543=CARTESIAN_POINT('',(37.247153,10.6016,0.035)); #490544=CARTESIAN_POINT('',(37.247153,10.6016,0.)); #490545=CARTESIAN_POINT('Origin',(37.0036,10.6016,0.)); #490546=CARTESIAN_POINT('',(37.0036,10.6016,0.)); #490547=CARTESIAN_POINT('',(37.0036,10.6016,0.)); #490548=CARTESIAN_POINT('',(37.0036,10.6016,0.035)); #490549=CARTESIAN_POINT('',(37.0036,10.6016,0.035)); #490550=CARTESIAN_POINT('',(37.0036,10.6016,0.)); #490551=CARTESIAN_POINT('Origin',(36.976131,10.606722,0.)); #490552=CARTESIAN_POINT('',(36.976131,10.606722,0.)); #490553=CARTESIAN_POINT('',(36.976131,10.606722,0.)); #490554=CARTESIAN_POINT('',(36.976131,10.606722,0.035)); #490555=CARTESIAN_POINT('',(36.976131,10.606722,0.035)); #490556=CARTESIAN_POINT('',(36.976131,10.606722,0.)); #490557=CARTESIAN_POINT('Origin',(36.950584,10.623066,0.)); #490558=CARTESIAN_POINT('',(36.950584,10.623066,0.)); #490559=CARTESIAN_POINT('',(36.950584,10.623066,0.)); #490560=CARTESIAN_POINT('',(36.950584,10.623066,0.035)); #490561=CARTESIAN_POINT('',(36.950584,10.623066,0.035)); #490562=CARTESIAN_POINT('',(36.950584,10.623066,0.)); #490563=CARTESIAN_POINT('Origin',(36.933434,10.648078,0.)); #490564=CARTESIAN_POINT('',(36.933434,10.648078,0.)); #490565=CARTESIAN_POINT('',(36.933434,10.648078,0.)); #490566=CARTESIAN_POINT('',(36.933434,10.648078,0.035)); #490567=CARTESIAN_POINT('',(36.933434,10.648078,0.035)); #490568=CARTESIAN_POINT('',(36.933434,10.648078,0.)); #490569=CARTESIAN_POINT('Origin',(36.927594,10.676841,0.)); #490570=CARTESIAN_POINT('',(36.927594,10.676841,0.)); #490571=CARTESIAN_POINT('',(36.927594,10.676841,0.)); #490572=CARTESIAN_POINT('',(36.927594,10.676841,0.035)); #490573=CARTESIAN_POINT('',(36.927594,10.676841,0.035)); #490574=CARTESIAN_POINT('',(36.927594,10.676841,0.)); #490575=CARTESIAN_POINT('Origin',(36.677034,10.9274,0.)); #490576=CARTESIAN_POINT('',(36.677034,10.9274,0.)); #490577=CARTESIAN_POINT('',(36.677034,10.9274,0.)); #490578=CARTESIAN_POINT('',(36.677034,10.9274,0.035)); #490579=CARTESIAN_POINT('',(36.677034,10.9274,0.035)); #490580=CARTESIAN_POINT('',(36.677034,10.9274,0.)); #490581=CARTESIAN_POINT('Origin',(36.322966,10.9274,0.)); #490582=CARTESIAN_POINT('',(36.322966,10.9274,0.)); #490583=CARTESIAN_POINT('',(36.322966,10.9274,0.)); #490584=CARTESIAN_POINT('',(36.322966,10.9274,0.035)); #490585=CARTESIAN_POINT('',(36.322966,10.9274,0.035)); #490586=CARTESIAN_POINT('',(36.322966,10.9274,0.)); #490587=CARTESIAN_POINT('Origin',(36.146484,10.750919,0.)); #490588=CARTESIAN_POINT('',(36.146484,10.750919,0.)); #490589=CARTESIAN_POINT('',(36.146484,10.750919,0.)); #490590=CARTESIAN_POINT('',(36.146484,10.750919,0.035)); #490591=CARTESIAN_POINT('',(36.146484,10.750919,0.035)); #490592=CARTESIAN_POINT('',(36.146484,10.750919,0.)); #490593=CARTESIAN_POINT('Origin',(36.122325,10.734634,0.)); #490594=CARTESIAN_POINT('',(36.122325,10.734634,0.)); #490595=CARTESIAN_POINT('',(36.122325,10.734634,0.)); #490596=CARTESIAN_POINT('',(36.122325,10.734634,0.035)); #490597=CARTESIAN_POINT('',(36.122325,10.734634,0.035)); #490598=CARTESIAN_POINT('',(36.122325,10.734634,0.)); #490599=CARTESIAN_POINT('Origin',(36.092603,10.7286,0.)); #490600=CARTESIAN_POINT('',(36.092603,10.7286,0.)); #490601=CARTESIAN_POINT('',(36.092603,10.7286,0.)); #490602=CARTESIAN_POINT('',(36.092603,10.7286,0.035)); #490603=CARTESIAN_POINT('',(36.092603,10.7286,0.035)); #490604=CARTESIAN_POINT('',(36.092603,10.7286,0.)); #490605=CARTESIAN_POINT('Origin',(36.016997,10.7286,0.)); #490606=CARTESIAN_POINT('',(36.016997,10.7286,0.)); #490607=CARTESIAN_POINT('',(36.016997,10.7286,0.)); #490608=CARTESIAN_POINT('',(36.016997,10.7286,0.035)); #490609=CARTESIAN_POINT('',(36.016997,10.7286,0.035)); #490610=CARTESIAN_POINT('',(36.016997,10.7286,0.)); #490611=CARTESIAN_POINT('Origin',(35.990666,10.733294,0.)); #490612=CARTESIAN_POINT('',(35.990666,10.733294,0.)); #490613=CARTESIAN_POINT('',(35.990666,10.733294,0.)); #490614=CARTESIAN_POINT('',(35.990666,10.733294,0.035)); #490615=CARTESIAN_POINT('',(35.990666,10.733294,0.035)); #490616=CARTESIAN_POINT('',(35.990666,10.733294,0.)); #490617=CARTESIAN_POINT('Origin',(35.964859,10.749228,0.)); #490618=CARTESIAN_POINT('',(35.964859,10.749228,0.)); #490619=CARTESIAN_POINT('',(35.964859,10.749228,0.)); #490620=CARTESIAN_POINT('',(35.964859,10.749228,0.035)); #490621=CARTESIAN_POINT('',(35.964859,10.749228,0.035)); #490622=CARTESIAN_POINT('',(35.964859,10.749228,0.)); #490623=CARTESIAN_POINT('Origin',(35.947316,10.773966,0.)); #490624=CARTESIAN_POINT('',(35.947316,10.773966,0.)); #490625=CARTESIAN_POINT('',(35.947316,10.773966,0.)); #490626=CARTESIAN_POINT('',(35.947316,10.773966,0.035)); #490627=CARTESIAN_POINT('',(35.947316,10.773966,0.035)); #490628=CARTESIAN_POINT('',(35.947316,10.773966,0.)); #490629=CARTESIAN_POINT('Origin',(35.940806,10.803584,0.)); #490630=CARTESIAN_POINT('',(35.940806,10.803584,0.)); #490631=CARTESIAN_POINT('',(35.940806,10.803584,0.)); #490632=CARTESIAN_POINT('',(35.940806,10.803584,0.035)); #490633=CARTESIAN_POINT('',(35.940806,10.803584,0.035)); #490634=CARTESIAN_POINT('',(35.940806,10.803584,0.)); #490635=CARTESIAN_POINT('Origin',(35.946366,10.833397,0.)); #490636=CARTESIAN_POINT('',(35.946366,10.833397,0.)); #490637=CARTESIAN_POINT('',(35.946366,10.833397,0.)); #490638=CARTESIAN_POINT('',(35.946366,10.833397,0.035)); #490639=CARTESIAN_POINT('',(35.946366,10.833397,0.035)); #490640=CARTESIAN_POINT('',(35.946366,10.833397,0.)); #490641=CARTESIAN_POINT('Origin',(35.963116,10.858681,0.)); #490642=CARTESIAN_POINT('',(35.963116,10.858681,0.)); #490643=CARTESIAN_POINT('',(35.963116,10.858681,0.)); #490644=CARTESIAN_POINT('',(35.963116,10.858681,0.035)); #490645=CARTESIAN_POINT('',(35.963116,10.858681,0.035)); #490646=CARTESIAN_POINT('',(35.963116,10.858681,0.)); #490647=CARTESIAN_POINT('Origin',(36.1774,11.072966,0.)); #490648=CARTESIAN_POINT('',(36.1774,11.072966,0.)); #490649=CARTESIAN_POINT('',(36.1774,11.072966,0.)); #490650=CARTESIAN_POINT('',(36.1774,11.072966,0.035)); #490651=CARTESIAN_POINT('',(36.1774,11.072966,0.035)); #490652=CARTESIAN_POINT('',(36.1774,11.072966,0.)); #490653=CARTESIAN_POINT('Origin',(36.1774,11.427034,0.)); #490654=CARTESIAN_POINT('',(36.1774,11.427034,0.)); #490655=CARTESIAN_POINT('',(36.1774,11.427034,0.)); #490656=CARTESIAN_POINT('',(36.1774,11.427034,0.035)); #490657=CARTESIAN_POINT('',(36.1774,11.427034,0.035)); #490658=CARTESIAN_POINT('',(36.1774,11.427034,0.)); #490659=CARTESIAN_POINT('Origin',(35.927034,11.6774,0.)); #490660=CARTESIAN_POINT('',(35.927034,11.6774,0.)); #490661=CARTESIAN_POINT('',(35.927034,11.6774,0.)); #490662=CARTESIAN_POINT('',(35.927034,11.6774,0.035)); #490663=CARTESIAN_POINT('',(35.927034,11.6774,0.035)); #490664=CARTESIAN_POINT('',(35.927034,11.6774,0.)); #490665=CARTESIAN_POINT('Origin',(35.572966,11.6774,0.)); #490666=CARTESIAN_POINT('',(35.572966,11.6774,0.)); #490667=CARTESIAN_POINT('',(35.572966,11.6774,0.)); #490668=CARTESIAN_POINT('',(35.572966,11.6774,0.035)); #490669=CARTESIAN_POINT('',(35.572966,11.6774,0.035)); #490670=CARTESIAN_POINT('',(35.572966,11.6774,0.)); #490671=CARTESIAN_POINT('Origin',(35.396484,11.500919,0.)); #490672=CARTESIAN_POINT('',(35.396484,11.500919,0.)); #490673=CARTESIAN_POINT('',(35.396484,11.500919,0.)); #490674=CARTESIAN_POINT('',(35.396484,11.500919,0.035)); #490675=CARTESIAN_POINT('',(35.396484,11.500919,0.035)); #490676=CARTESIAN_POINT('',(35.396484,11.500919,0.)); #490677=CARTESIAN_POINT('Origin',(35.372325,11.484634,0.)); #490678=CARTESIAN_POINT('',(35.372325,11.484634,0.)); #490679=CARTESIAN_POINT('',(35.372325,11.484634,0.)); #490680=CARTESIAN_POINT('',(35.372325,11.484634,0.035)); #490681=CARTESIAN_POINT('',(35.372325,11.484634,0.035)); #490682=CARTESIAN_POINT('',(35.372325,11.484634,0.)); #490683=CARTESIAN_POINT('Origin',(35.342603,11.4786,0.)); #490684=CARTESIAN_POINT('',(35.342603,11.4786,0.)); #490685=CARTESIAN_POINT('',(35.342603,11.4786,0.)); #490686=CARTESIAN_POINT('',(35.342603,11.4786,0.035)); #490687=CARTESIAN_POINT('',(35.342603,11.4786,0.035)); #490688=CARTESIAN_POINT('',(35.342603,11.4786,0.)); #490689=CARTESIAN_POINT('Origin',(30.907397,11.4786,0.)); #490690=CARTESIAN_POINT('',(30.907397,11.4786,0.)); #490691=CARTESIAN_POINT('',(30.907397,11.4786,0.)); #490692=CARTESIAN_POINT('',(30.907397,11.4786,0.035)); #490693=CARTESIAN_POINT('',(30.907397,11.4786,0.035)); #490694=CARTESIAN_POINT('',(30.907397,11.4786,0.)); #490695=CARTESIAN_POINT('Origin',(30.8788,11.484169,0.)); #490696=CARTESIAN_POINT('',(30.8788,11.484169,0.)); #490697=CARTESIAN_POINT('',(30.8788,11.484169,0.)); #490698=CARTESIAN_POINT('',(30.8788,11.484169,0.035)); #490699=CARTESIAN_POINT('',(30.8788,11.484169,0.035)); #490700=CARTESIAN_POINT('',(30.8788,11.484169,0.)); #490701=CARTESIAN_POINT('Origin',(30.853516,11.500919,0.)); #490702=CARTESIAN_POINT('',(30.853516,11.500919,0.)); #490703=CARTESIAN_POINT('',(30.853516,11.500919,0.)); #490704=CARTESIAN_POINT('',(30.853516,11.500919,0.035)); #490705=CARTESIAN_POINT('',(30.853516,11.500919,0.035)); #490706=CARTESIAN_POINT('',(30.853516,11.500919,0.)); #490707=CARTESIAN_POINT('Origin',(30.677034,11.6774,0.)); #490708=CARTESIAN_POINT('',(30.677034,11.6774,0.)); #490709=CARTESIAN_POINT('',(30.677034,11.6774,0.)); #490710=CARTESIAN_POINT('',(30.677034,11.6774,0.035)); #490711=CARTESIAN_POINT('',(30.677034,11.6774,0.035)); #490712=CARTESIAN_POINT('',(30.677034,11.6774,0.)); #490713=CARTESIAN_POINT('Origin',(30.322966,11.6774,0.)); #490714=CARTESIAN_POINT('',(30.322966,11.6774,0.)); #490715=CARTESIAN_POINT('',(30.322966,11.6774,0.)); #490716=CARTESIAN_POINT('',(30.322966,11.6774,0.035)); #490717=CARTESIAN_POINT('',(30.322966,11.6774,0.035)); #490718=CARTESIAN_POINT('',(30.322966,11.6774,0.)); #490719=CARTESIAN_POINT('Origin',(30.0726,11.427034,0.)); #490720=CARTESIAN_POINT('',(30.0726,11.427034,0.)); #490721=CARTESIAN_POINT('',(30.0726,11.427034,0.)); #490722=CARTESIAN_POINT('',(30.0726,11.427034,0.035)); #490723=CARTESIAN_POINT('',(30.0726,11.427034,0.035)); #490724=CARTESIAN_POINT('',(30.0726,11.427034,0.)); #490725=CARTESIAN_POINT('Origin',(30.0726,11.072966,0.)); #490726=CARTESIAN_POINT('',(30.0726,11.072966,0.)); #490727=CARTESIAN_POINT('',(30.0726,11.072966,0.)); #490728=CARTESIAN_POINT('',(30.0726,11.072966,0.035)); #490729=CARTESIAN_POINT('',(30.0726,11.072966,0.035)); #490730=CARTESIAN_POINT('',(30.0726,11.072966,0.)); #490731=CARTESIAN_POINT('Origin',(30.216684,10.928881,0.)); #490732=CARTESIAN_POINT('',(30.216684,10.928881,0.)); #490733=CARTESIAN_POINT('',(30.216684,10.928881,0.)); #490734=CARTESIAN_POINT('',(30.216684,10.928881,0.035)); #490735=CARTESIAN_POINT('',(30.216684,10.928881,0.035)); #490736=CARTESIAN_POINT('',(30.216684,10.928881,0.)); #490737=CARTESIAN_POINT('Origin',(30.232484,10.905834,0.)); #490738=CARTESIAN_POINT('',(30.232484,10.905834,0.)); #490739=CARTESIAN_POINT('',(30.232484,10.905834,0.)); #490740=CARTESIAN_POINT('',(30.232484,10.905834,0.035)); #490741=CARTESIAN_POINT('',(30.232484,10.905834,0.035)); #490742=CARTESIAN_POINT('',(30.232484,10.905834,0.)); #490743=CARTESIAN_POINT('Origin',(30.238994,10.876216,0.)); #490744=CARTESIAN_POINT('',(30.238994,10.876216,0.)); #490745=CARTESIAN_POINT('',(30.238994,10.876216,0.)); #490746=CARTESIAN_POINT('',(30.238994,10.876216,0.035)); #490747=CARTESIAN_POINT('',(30.238994,10.876216,0.035)); #490748=CARTESIAN_POINT('',(30.238994,10.876216,0.)); #490749=CARTESIAN_POINT('Origin',(30.233434,10.846403,0.)); #490750=CARTESIAN_POINT('',(30.233434,10.846403,0.)); #490751=CARTESIAN_POINT('',(30.233434,10.846403,0.)); #490752=CARTESIAN_POINT('',(30.233434,10.846403,0.035)); #490753=CARTESIAN_POINT('',(30.233434,10.846403,0.035)); #490754=CARTESIAN_POINT('',(30.233434,10.846403,0.)); #490755=CARTESIAN_POINT('Origin',(30.216684,10.821119,0.)); #490756=CARTESIAN_POINT('',(30.216684,10.821119,0.)); #490757=CARTESIAN_POINT('',(30.216684,10.821119,0.)); #490758=CARTESIAN_POINT('',(30.216684,10.821119,0.035)); #490759=CARTESIAN_POINT('',(30.216684,10.821119,0.035)); #490760=CARTESIAN_POINT('',(30.216684,10.821119,0.)); #490761=CARTESIAN_POINT('Origin',(30.0726,10.677034,0.)); #490762=CARTESIAN_POINT('',(30.0726,10.677034,0.)); #490763=CARTESIAN_POINT('',(30.0726,10.677034,0.)); #490764=CARTESIAN_POINT('',(30.0726,10.677034,0.035)); #490765=CARTESIAN_POINT('',(30.0726,10.677034,0.035)); #490766=CARTESIAN_POINT('',(30.0726,10.677034,0.)); #490767=CARTESIAN_POINT('Origin',(30.0726,10.322966,0.)); #490768=CARTESIAN_POINT('',(30.0726,10.322966,0.)); #490769=CARTESIAN_POINT('',(30.0726,10.322966,0.)); #490770=CARTESIAN_POINT('',(30.0726,10.322966,0.035)); #490771=CARTESIAN_POINT('',(30.0726,10.322966,0.035)); #490772=CARTESIAN_POINT('',(30.0726,10.322966,0.)); #490773=CARTESIAN_POINT('Origin',(30.197059,10.198509,0.)); #490774=CARTESIAN_POINT('',(30.197059,10.198509,0.)); #490775=CARTESIAN_POINT('',(30.197059,10.198509,0.)); #490776=CARTESIAN_POINT('',(30.197059,10.198509,0.035)); #490777=CARTESIAN_POINT('',(30.197059,10.198509,0.035)); #490778=CARTESIAN_POINT('',(30.197059,10.198509,0.)); #490779=CARTESIAN_POINT('Origin',(30.212859,10.175463,0.)); #490780=CARTESIAN_POINT('',(30.212859,10.175463,0.)); #490781=CARTESIAN_POINT('',(30.212859,10.175463,0.)); #490782=CARTESIAN_POINT('',(30.212859,10.175463,0.035)); #490783=CARTESIAN_POINT('',(30.212859,10.175463,0.035)); #490784=CARTESIAN_POINT('',(30.212859,10.175463,0.)); #490785=CARTESIAN_POINT('Origin',(30.219369,10.145844,0.)); #490786=CARTESIAN_POINT('',(30.219369,10.145844,0.)); #490787=CARTESIAN_POINT('',(30.219369,10.145844,0.)); #490788=CARTESIAN_POINT('',(30.219369,10.145844,0.035)); #490789=CARTESIAN_POINT('',(30.219369,10.145844,0.035)); #490790=CARTESIAN_POINT('',(30.219369,10.145844,0.)); #490791=CARTESIAN_POINT('Origin',(30.213809,10.116031,0.)); #490792=CARTESIAN_POINT('',(30.213809,10.116031,0.)); #490793=CARTESIAN_POINT('',(30.213809,10.116031,0.)); #490794=CARTESIAN_POINT('',(30.213809,10.116031,0.035)); #490795=CARTESIAN_POINT('',(30.213809,10.116031,0.035)); #490796=CARTESIAN_POINT('',(30.213809,10.116031,0.)); #490797=CARTESIAN_POINT('Origin',(30.197059,10.090747,0.)); #490798=CARTESIAN_POINT('',(30.197059,10.090747,0.)); #490799=CARTESIAN_POINT('',(30.197059,10.090747,0.)); #490800=CARTESIAN_POINT('',(30.197059,10.090747,0.035)); #490801=CARTESIAN_POINT('',(30.197059,10.090747,0.035)); #490802=CARTESIAN_POINT('',(30.197059,10.090747,0.)); #490803=CARTESIAN_POINT('Origin',(30.181022,10.074709,0.)); #490804=CARTESIAN_POINT('',(30.181022,10.074709,0.)); #490805=CARTESIAN_POINT('',(30.181022,10.074709,0.)); #490806=CARTESIAN_POINT('',(30.181022,10.074709,0.035)); #490807=CARTESIAN_POINT('',(30.181022,10.074709,0.035)); #490808=CARTESIAN_POINT('',(30.181022,10.074709,0.)); #490809=CARTESIAN_POINT('Origin',(30.165003,10.054869,0.)); #490810=CARTESIAN_POINT('',(30.165003,10.054869,0.)); #490811=CARTESIAN_POINT('',(30.165003,10.054869,0.)); #490812=CARTESIAN_POINT('',(30.165003,10.054869,0.035)); #490813=CARTESIAN_POINT('',(30.165003,10.054869,0.035)); #490814=CARTESIAN_POINT('',(30.165003,10.054869,0.)); #490815=CARTESIAN_POINT('Origin',(30.155353,10.035728,0.)); #490816=CARTESIAN_POINT('',(30.155353,10.035728,0.)); #490817=CARTESIAN_POINT('',(30.155353,10.035728,0.)); #490818=CARTESIAN_POINT('',(30.155353,10.035728,0.035)); #490819=CARTESIAN_POINT('',(30.155353,10.035728,0.035)); #490820=CARTESIAN_POINT('',(30.155353,10.035728,0.)); #490821=CARTESIAN_POINT('Origin',(30.149641,10.013816,0.)); #490822=CARTESIAN_POINT('',(30.149641,10.013816,0.)); #490823=CARTESIAN_POINT('',(30.149641,10.013816,0.)); #490824=CARTESIAN_POINT('',(30.149641,10.013816,0.035)); #490825=CARTESIAN_POINT('',(30.149641,10.013816,0.035)); #490826=CARTESIAN_POINT('',(30.149641,10.013816,0.)); #490827=CARTESIAN_POINT('Origin',(30.1484,9.9971531,0.)); #490828=CARTESIAN_POINT('',(30.1484,9.9971531,0.)); #490829=CARTESIAN_POINT('',(30.1484,9.9971531,0.)); #490830=CARTESIAN_POINT('',(30.1484,9.9971531,0.035)); #490831=CARTESIAN_POINT('',(30.1484,9.9971531,0.035)); #490832=CARTESIAN_POINT('',(30.1484,9.9971531,0.)); #490833=CARTESIAN_POINT('Origin',(30.1484,8.5040469,0.)); #490834=CARTESIAN_POINT('',(30.1484,8.5040469,0.)); #490835=CARTESIAN_POINT('',(30.1484,8.5040469,0.)); #490836=CARTESIAN_POINT('',(30.1484,8.5040469,0.035)); #490837=CARTESIAN_POINT('',(30.1484,8.5040469,0.035)); #490838=CARTESIAN_POINT('',(30.1484,8.5040469,0.)); #490839=CARTESIAN_POINT('Origin',(30.1511,8.4786906,0.)); #490840=CARTESIAN_POINT('',(30.1511,8.4786906,0.)); #490841=CARTESIAN_POINT('',(30.1511,8.4786906,0.)); #490842=CARTESIAN_POINT('',(30.1511,8.4786906,0.035)); #490843=CARTESIAN_POINT('',(30.1511,8.4786906,0.035)); #490844=CARTESIAN_POINT('',(30.1511,8.4786906,0.)); #490845=CARTESIAN_POINT('Origin',(30.157806,8.4583375,0.)); #490846=CARTESIAN_POINT('',(30.157806,8.4583375,0.)); #490847=CARTESIAN_POINT('',(30.157806,8.4583375,0.)); #490848=CARTESIAN_POINT('',(30.157806,8.4583375,0.035)); #490849=CARTESIAN_POINT('',(30.157806,8.4583375,0.035)); #490850=CARTESIAN_POINT('',(30.157806,8.4583375,0.)); #490851=CARTESIAN_POINT('Origin',(30.169269,8.4388031,0.)); #490852=CARTESIAN_POINT('',(30.169269,8.4388031,0.)); #490853=CARTESIAN_POINT('',(30.169269,8.4388031,0.)); #490854=CARTESIAN_POINT('',(30.169269,8.4388031,0.035)); #490855=CARTESIAN_POINT('',(30.169269,8.4388031,0.035)); #490856=CARTESIAN_POINT('',(30.169269,8.4388031,0.)); #490857=CARTESIAN_POINT('Origin',(30.180178,8.4261344,0.)); #490858=CARTESIAN_POINT('',(30.180178,8.4261344,0.)); #490859=CARTESIAN_POINT('',(30.180178,8.4261344,0.)); #490860=CARTESIAN_POINT('',(30.180178,8.4261344,0.035)); #490861=CARTESIAN_POINT('',(30.180178,8.4261344,0.035)); #490862=CARTESIAN_POINT('',(30.180178,8.4261344,0.)); #490863=CARTESIAN_POINT('Origin',(30.675291,7.9310219,0.)); #490864=CARTESIAN_POINT('',(30.675291,7.9310219,0.)); #490865=CARTESIAN_POINT('',(30.675291,7.9310219,0.)); #490866=CARTESIAN_POINT('',(30.675291,7.9310219,0.035)); #490867=CARTESIAN_POINT('',(30.675291,7.9310219,0.035)); #490868=CARTESIAN_POINT('',(30.675291,7.9310219,0.)); #490869=CARTESIAN_POINT('Origin',(30.695131,7.9150031,0.)); #490870=CARTESIAN_POINT('',(30.695131,7.9150031,0.)); #490871=CARTESIAN_POINT('',(30.695131,7.9150031,0.)); #490872=CARTESIAN_POINT('',(30.695131,7.9150031,0.035)); #490873=CARTESIAN_POINT('',(30.695131,7.9150031,0.035)); #490874=CARTESIAN_POINT('',(30.695131,7.9150031,0.)); #490875=CARTESIAN_POINT('Origin',(30.714272,7.9053531,0.)); #490876=CARTESIAN_POINT('',(30.714272,7.9053531,0.)); #490877=CARTESIAN_POINT('',(30.714272,7.9053531,0.)); #490878=CARTESIAN_POINT('',(30.714272,7.9053531,0.035)); #490879=CARTESIAN_POINT('',(30.714272,7.9053531,0.035)); #490880=CARTESIAN_POINT('',(30.714272,7.9053531,0.)); #490881=CARTESIAN_POINT('Origin',(30.736184,7.8996406,0.)); #490882=CARTESIAN_POINT('',(30.736184,7.8996406,0.)); #490883=CARTESIAN_POINT('',(30.736184,7.8996406,0.)); #490884=CARTESIAN_POINT('',(30.736184,7.8996406,0.035)); #490885=CARTESIAN_POINT('',(30.736184,7.8996406,0.035)); #490886=CARTESIAN_POINT('',(30.736184,7.8996406,0.)); #490887=CARTESIAN_POINT('Origin',(30.752847,7.8984,0.)); #490888=CARTESIAN_POINT('',(30.752847,7.8984,0.)); #490889=CARTESIAN_POINT('',(30.752847,7.8984,0.)); #490890=CARTESIAN_POINT('',(30.752847,7.8984,0.035)); #490891=CARTESIAN_POINT('',(30.752847,7.8984,0.035)); #490892=CARTESIAN_POINT('',(30.752847,7.8984,0.)); #490893=CARTESIAN_POINT('Origin',(31.0664,7.8984,0.)); #490894=CARTESIAN_POINT('',(31.0664,7.8984,0.)); #490895=CARTESIAN_POINT('',(31.0664,7.8984,0.)); #490896=CARTESIAN_POINT('',(31.0664,7.8984,0.035)); #490897=CARTESIAN_POINT('',(31.0664,7.8984,0.035)); #490898=CARTESIAN_POINT('',(31.0664,7.8984,0.)); #490899=CARTESIAN_POINT('Origin',(31.093869,7.8932781,0.)); #490900=CARTESIAN_POINT('',(31.093869,7.8932781,0.)); #490901=CARTESIAN_POINT('',(31.093869,7.8932781,0.)); #490902=CARTESIAN_POINT('',(31.093869,7.8932781,0.035)); #490903=CARTESIAN_POINT('',(31.093869,7.8932781,0.035)); #490904=CARTESIAN_POINT('',(31.093869,7.8932781,0.)); #490905=CARTESIAN_POINT('Origin',(31.119416,7.8769344,0.)); #490906=CARTESIAN_POINT('',(31.119416,7.8769344,0.)); #490907=CARTESIAN_POINT('',(31.119416,7.8769344,0.)); #490908=CARTESIAN_POINT('',(31.119416,7.8769344,0.035)); #490909=CARTESIAN_POINT('',(31.119416,7.8769344,0.035)); #490910=CARTESIAN_POINT('',(31.119416,7.8769344,0.)); #490911=CARTESIAN_POINT('Origin',(31.136566,7.8519219,0.)); #490912=CARTESIAN_POINT('',(31.136566,7.8519219,0.)); #490913=CARTESIAN_POINT('',(31.136566,7.8519219,0.)); #490914=CARTESIAN_POINT('',(31.136566,7.8519219,0.035)); #490915=CARTESIAN_POINT('',(31.136566,7.8519219,0.035)); #490916=CARTESIAN_POINT('',(31.136566,7.8519219,0.)); #490917=CARTESIAN_POINT('Origin',(31.1426,7.8222,0.)); #490918=CARTESIAN_POINT('',(31.1426,7.8222,0.)); #490919=CARTESIAN_POINT('',(31.1426,7.8222,0.)); #490920=CARTESIAN_POINT('',(31.1426,7.8222,0.035)); #490921=CARTESIAN_POINT('',(31.1426,7.8222,0.035)); #490922=CARTESIAN_POINT('',(31.1426,7.8222,0.)); #490923=CARTESIAN_POINT('Origin',(31.1426,6.8812,0.)); #490924=CARTESIAN_POINT('',(31.1426,6.8812,0.)); #490925=CARTESIAN_POINT('',(31.1426,6.8812,0.)); #490926=CARTESIAN_POINT('',(31.1426,6.8812,0.035)); #490927=CARTESIAN_POINT('',(31.1426,6.8812,0.035)); #490928=CARTESIAN_POINT('',(31.1426,6.8812,0.)); #490929=CARTESIAN_POINT('Origin',(31.137478,6.8537313,0.)); #490930=CARTESIAN_POINT('',(31.137478,6.8537313,0.)); #490931=CARTESIAN_POINT('',(31.137478,6.8537313,0.)); #490932=CARTESIAN_POINT('',(31.137478,6.8537313,0.035)); #490933=CARTESIAN_POINT('',(31.137478,6.8537313,0.035)); #490934=CARTESIAN_POINT('',(31.137478,6.8537313,0.)); #490935=CARTESIAN_POINT('Origin',(31.121134,6.8281844,0.)); #490936=CARTESIAN_POINT('',(31.121134,6.8281844,0.)); #490937=CARTESIAN_POINT('',(31.121134,6.8281844,0.)); #490938=CARTESIAN_POINT('',(31.121134,6.8281844,0.035)); #490939=CARTESIAN_POINT('',(31.121134,6.8281844,0.035)); #490940=CARTESIAN_POINT('',(31.121134,6.8281844,0.)); #490941=CARTESIAN_POINT('Origin',(31.1,6.8136938,0.)); #490942=CARTESIAN_POINT('',(31.1,6.8136938,0.)); #490943=CARTESIAN_POINT('',(31.1,6.8136938,0.035)); #490944=CARTESIAN_POINT('Origin',(50.272928,17.4061,0.)); #490945=CARTESIAN_POINT('',(50.272928,17.4061,0.)); #490946=CARTESIAN_POINT('',(49.537072,17.4061,0.)); #490947=CARTESIAN_POINT('',(50.272928,17.4061,0.)); #490948=CARTESIAN_POINT('',(49.537072,17.4061,0.035)); #490949=CARTESIAN_POINT('',(49.537072,17.4061,0.)); #490950=CARTESIAN_POINT('',(50.272928,17.4061,0.035)); #490951=CARTESIAN_POINT('',(50.272928,17.4061,0.035)); #490952=CARTESIAN_POINT('',(50.272928,17.4061,0.)); #490953=CARTESIAN_POINT('Origin',(50.3622,17.495372,0.)); #490954=CARTESIAN_POINT('',(50.3622,17.495372,0.)); #490955=CARTESIAN_POINT('',(50.3622,17.495372,0.)); #490956=CARTESIAN_POINT('',(50.3622,17.495372,0.035)); #490957=CARTESIAN_POINT('',(50.3622,17.495372,0.035)); #490958=CARTESIAN_POINT('',(50.3622,17.495372,0.)); #490959=CARTESIAN_POINT('Origin',(50.3622,19.272628,0.)); #490960=CARTESIAN_POINT('',(50.3622,19.272628,0.)); #490961=CARTESIAN_POINT('',(50.3622,19.272628,0.)); #490962=CARTESIAN_POINT('',(50.3622,19.272628,0.035)); #490963=CARTESIAN_POINT('',(50.3622,19.272628,0.035)); #490964=CARTESIAN_POINT('',(50.3622,19.272628,0.)); #490965=CARTESIAN_POINT('Origin',(50.272928,19.3619,0.)); #490966=CARTESIAN_POINT('',(50.272928,19.3619,0.)); #490967=CARTESIAN_POINT('',(50.272928,19.3619,0.)); #490968=CARTESIAN_POINT('',(50.272928,19.3619,0.035)); #490969=CARTESIAN_POINT('',(50.272928,19.3619,0.035)); #490970=CARTESIAN_POINT('',(50.272928,19.3619,0.)); #490971=CARTESIAN_POINT('Origin',(49.537072,19.3619,0.)); #490972=CARTESIAN_POINT('',(49.537072,19.3619,0.)); #490973=CARTESIAN_POINT('',(49.537072,19.3619,0.)); #490974=CARTESIAN_POINT('',(49.537072,19.3619,0.035)); #490975=CARTESIAN_POINT('',(49.537072,19.3619,0.035)); #490976=CARTESIAN_POINT('',(49.537072,19.3619,0.)); #490977=CARTESIAN_POINT('Origin',(49.4478,19.272628,0.)); #490978=CARTESIAN_POINT('',(49.4478,19.272628,0.)); #490979=CARTESIAN_POINT('',(49.4478,19.272628,0.)); #490980=CARTESIAN_POINT('',(49.4478,19.272628,0.035)); #490981=CARTESIAN_POINT('',(49.4478,19.272628,0.035)); #490982=CARTESIAN_POINT('',(49.4478,19.272628,0.)); #490983=CARTESIAN_POINT('Origin',(49.4478,17.495372,0.)); #490984=CARTESIAN_POINT('',(49.4478,17.495372,0.)); #490985=CARTESIAN_POINT('',(49.4478,17.495372,0.)); #490986=CARTESIAN_POINT('',(49.4478,17.495372,0.035)); #490987=CARTESIAN_POINT('',(49.4478,17.495372,0.035)); #490988=CARTESIAN_POINT('',(49.4478,17.495372,0.)); #490989=CARTESIAN_POINT('Origin',(49.537072,17.4061,0.)); #490990=CARTESIAN_POINT('',(49.537072,17.4061,0.)); #490991=CARTESIAN_POINT('',(49.537072,17.4061,0.035)); #490992=CARTESIAN_POINT('Origin',(49.002928,17.4061,0.)); #490993=CARTESIAN_POINT('',(49.002928,17.4061,0.)); #490994=CARTESIAN_POINT('',(48.267072,17.4061,0.)); #490995=CARTESIAN_POINT('',(49.002928,17.4061,0.)); #490996=CARTESIAN_POINT('',(48.267072,17.4061,0.035)); #490997=CARTESIAN_POINT('',(48.267072,17.4061,0.)); #490998=CARTESIAN_POINT('',(49.002928,17.4061,0.035)); #490999=CARTESIAN_POINT('',(49.002928,17.4061,0.035)); #491000=CARTESIAN_POINT('',(49.002928,17.4061,0.)); #491001=CARTESIAN_POINT('Origin',(49.0922,17.495372,0.)); #491002=CARTESIAN_POINT('',(49.0922,17.495372,0.)); #491003=CARTESIAN_POINT('',(49.0922,17.495372,0.)); #491004=CARTESIAN_POINT('',(49.0922,17.495372,0.035)); #491005=CARTESIAN_POINT('',(49.0922,17.495372,0.035)); #491006=CARTESIAN_POINT('',(49.0922,17.495372,0.)); #491007=CARTESIAN_POINT('Origin',(49.0922,19.272628,0.)); #491008=CARTESIAN_POINT('',(49.0922,19.272628,0.)); #491009=CARTESIAN_POINT('',(49.0922,19.272628,0.)); #491010=CARTESIAN_POINT('',(49.0922,19.272628,0.035)); #491011=CARTESIAN_POINT('',(49.0922,19.272628,0.035)); #491012=CARTESIAN_POINT('',(49.0922,19.272628,0.)); #491013=CARTESIAN_POINT('Origin',(49.002928,19.3619,0.)); #491014=CARTESIAN_POINT('',(49.002928,19.3619,0.)); #491015=CARTESIAN_POINT('',(49.002928,19.3619,0.)); #491016=CARTESIAN_POINT('',(49.002928,19.3619,0.035)); #491017=CARTESIAN_POINT('',(49.002928,19.3619,0.035)); #491018=CARTESIAN_POINT('',(49.002928,19.3619,0.)); #491019=CARTESIAN_POINT('Origin',(48.267072,19.3619,0.)); #491020=CARTESIAN_POINT('',(48.267072,19.3619,0.)); #491021=CARTESIAN_POINT('',(48.267072,19.3619,0.)); #491022=CARTESIAN_POINT('',(48.267072,19.3619,0.035)); #491023=CARTESIAN_POINT('',(48.267072,19.3619,0.035)); #491024=CARTESIAN_POINT('',(48.267072,19.3619,0.)); #491025=CARTESIAN_POINT('Origin',(48.1778,19.272628,0.)); #491026=CARTESIAN_POINT('',(48.1778,19.272628,0.)); #491027=CARTESIAN_POINT('',(48.1778,19.272628,0.)); #491028=CARTESIAN_POINT('',(48.1778,19.272628,0.035)); #491029=CARTESIAN_POINT('',(48.1778,19.272628,0.035)); #491030=CARTESIAN_POINT('',(48.1778,19.272628,0.)); #491031=CARTESIAN_POINT('Origin',(48.1778,17.495372,0.)); #491032=CARTESIAN_POINT('',(48.1778,17.495372,0.)); #491033=CARTESIAN_POINT('',(48.1778,17.495372,0.)); #491034=CARTESIAN_POINT('',(48.1778,17.495372,0.035)); #491035=CARTESIAN_POINT('',(48.1778,17.495372,0.035)); #491036=CARTESIAN_POINT('',(48.1778,17.495372,0.)); #491037=CARTESIAN_POINT('Origin',(48.267072,17.4061,0.)); #491038=CARTESIAN_POINT('',(48.267072,17.4061,0.)); #491039=CARTESIAN_POINT('',(48.267072,17.4061,0.035)); #491040=CARTESIAN_POINT('Origin',(46.462928,17.4061,0.)); #491041=CARTESIAN_POINT('',(46.462928,17.4061,0.)); #491042=CARTESIAN_POINT('',(45.727072,17.4061,0.)); #491043=CARTESIAN_POINT('',(46.462928,17.4061,0.)); #491044=CARTESIAN_POINT('',(45.727072,17.4061,0.035)); #491045=CARTESIAN_POINT('',(45.727072,17.4061,0.)); #491046=CARTESIAN_POINT('',(46.462928,17.4061,0.035)); #491047=CARTESIAN_POINT('',(46.462928,17.4061,0.035)); #491048=CARTESIAN_POINT('',(46.462928,17.4061,0.)); #491049=CARTESIAN_POINT('Origin',(46.5522,17.495372,0.)); #491050=CARTESIAN_POINT('',(46.5522,17.495372,0.)); #491051=CARTESIAN_POINT('',(46.5522,17.495372,0.)); #491052=CARTESIAN_POINT('',(46.5522,17.495372,0.035)); #491053=CARTESIAN_POINT('',(46.5522,17.495372,0.035)); #491054=CARTESIAN_POINT('',(46.5522,17.495372,0.)); #491055=CARTESIAN_POINT('Origin',(46.5522,19.272628,0.)); #491056=CARTESIAN_POINT('',(46.5522,19.272628,0.)); #491057=CARTESIAN_POINT('',(46.5522,19.272628,0.)); #491058=CARTESIAN_POINT('',(46.5522,19.272628,0.035)); #491059=CARTESIAN_POINT('',(46.5522,19.272628,0.035)); #491060=CARTESIAN_POINT('',(46.5522,19.272628,0.)); #491061=CARTESIAN_POINT('Origin',(46.462928,19.3619,0.)); #491062=CARTESIAN_POINT('',(46.462928,19.3619,0.)); #491063=CARTESIAN_POINT('',(46.462928,19.3619,0.)); #491064=CARTESIAN_POINT('',(46.462928,19.3619,0.035)); #491065=CARTESIAN_POINT('',(46.462928,19.3619,0.035)); #491066=CARTESIAN_POINT('',(46.462928,19.3619,0.)); #491067=CARTESIAN_POINT('Origin',(45.727072,19.3619,0.)); #491068=CARTESIAN_POINT('',(45.727072,19.3619,0.)); #491069=CARTESIAN_POINT('',(45.727072,19.3619,0.)); #491070=CARTESIAN_POINT('',(45.727072,19.3619,0.035)); #491071=CARTESIAN_POINT('',(45.727072,19.3619,0.035)); #491072=CARTESIAN_POINT('',(45.727072,19.3619,0.)); #491073=CARTESIAN_POINT('Origin',(45.6378,19.272628,0.)); #491074=CARTESIAN_POINT('',(45.6378,19.272628,0.)); #491075=CARTESIAN_POINT('',(45.6378,19.272628,0.)); #491076=CARTESIAN_POINT('',(45.6378,19.272628,0.035)); #491077=CARTESIAN_POINT('',(45.6378,19.272628,0.035)); #491078=CARTESIAN_POINT('',(45.6378,19.272628,0.)); #491079=CARTESIAN_POINT('Origin',(45.6378,17.495372,0.)); #491080=CARTESIAN_POINT('',(45.6378,17.495372,0.)); #491081=CARTESIAN_POINT('',(45.6378,17.495372,0.)); #491082=CARTESIAN_POINT('',(45.6378,17.495372,0.035)); #491083=CARTESIAN_POINT('',(45.6378,17.495372,0.035)); #491084=CARTESIAN_POINT('',(45.6378,17.495372,0.)); #491085=CARTESIAN_POINT('Origin',(45.727072,17.4061,0.)); #491086=CARTESIAN_POINT('',(45.727072,17.4061,0.)); #491087=CARTESIAN_POINT('',(45.727072,17.4061,0.035)); #491088=CARTESIAN_POINT('Origin',(49.002928,22.6381,0.)); #491089=CARTESIAN_POINT('',(49.002928,22.6381,0.)); #491090=CARTESIAN_POINT('',(48.267072,22.6381,0.)); #491091=CARTESIAN_POINT('',(49.002928,22.6381,0.)); #491092=CARTESIAN_POINT('',(48.267072,22.6381,0.035)); #491093=CARTESIAN_POINT('',(48.267072,22.6381,0.)); #491094=CARTESIAN_POINT('',(49.002928,22.6381,0.035)); #491095=CARTESIAN_POINT('',(49.002928,22.6381,0.035)); #491096=CARTESIAN_POINT('',(49.002928,22.6381,0.)); #491097=CARTESIAN_POINT('Origin',(49.0922,22.727372,0.)); #491098=CARTESIAN_POINT('',(49.0922,22.727372,0.)); #491099=CARTESIAN_POINT('',(49.0922,22.727372,0.)); #491100=CARTESIAN_POINT('',(49.0922,22.727372,0.035)); #491101=CARTESIAN_POINT('',(49.0922,22.727372,0.035)); #491102=CARTESIAN_POINT('',(49.0922,22.727372,0.)); #491103=CARTESIAN_POINT('Origin',(49.0922,24.504628,0.)); #491104=CARTESIAN_POINT('',(49.0922,24.504628,0.)); #491105=CARTESIAN_POINT('',(49.0922,24.504628,0.)); #491106=CARTESIAN_POINT('',(49.0922,24.504628,0.035)); #491107=CARTESIAN_POINT('',(49.0922,24.504628,0.035)); #491108=CARTESIAN_POINT('',(49.0922,24.504628,0.)); #491109=CARTESIAN_POINT('Origin',(49.002928,24.5939,0.)); #491110=CARTESIAN_POINT('',(49.002928,24.5939,0.)); #491111=CARTESIAN_POINT('',(49.002928,24.5939,0.)); #491112=CARTESIAN_POINT('',(49.002928,24.5939,0.035)); #491113=CARTESIAN_POINT('',(49.002928,24.5939,0.035)); #491114=CARTESIAN_POINT('',(49.002928,24.5939,0.)); #491115=CARTESIAN_POINT('Origin',(48.9398,24.5939,0.)); #491116=CARTESIAN_POINT('',(48.9398,24.5939,0.)); #491117=CARTESIAN_POINT('',(48.9398,24.5939,0.)); #491118=CARTESIAN_POINT('',(48.9398,24.5939,0.035)); #491119=CARTESIAN_POINT('',(48.9398,24.5939,0.035)); #491120=CARTESIAN_POINT('',(48.9398,24.5939,0.)); #491121=CARTESIAN_POINT('Origin',(48.912331,24.599022,0.)); #491122=CARTESIAN_POINT('',(48.912331,24.599022,0.)); #491123=CARTESIAN_POINT('',(48.912331,24.599022,0.)); #491124=CARTESIAN_POINT('',(48.912331,24.599022,0.035)); #491125=CARTESIAN_POINT('',(48.912331,24.599022,0.035)); #491126=CARTESIAN_POINT('',(48.912331,24.599022,0.)); #491127=CARTESIAN_POINT('Origin',(48.886784,24.615366,0.)); #491128=CARTESIAN_POINT('',(48.886784,24.615366,0.)); #491129=CARTESIAN_POINT('',(48.886784,24.615366,0.)); #491130=CARTESIAN_POINT('',(48.886784,24.615366,0.035)); #491131=CARTESIAN_POINT('',(48.886784,24.615366,0.035)); #491132=CARTESIAN_POINT('',(48.886784,24.615366,0.)); #491133=CARTESIAN_POINT('Origin',(48.869634,24.640378,0.)); #491134=CARTESIAN_POINT('',(48.869634,24.640378,0.)); #491135=CARTESIAN_POINT('',(48.869634,24.640378,0.)); #491136=CARTESIAN_POINT('',(48.869634,24.640378,0.035)); #491137=CARTESIAN_POINT('',(48.869634,24.640378,0.035)); #491138=CARTESIAN_POINT('',(48.869634,24.640378,0.)); #491139=CARTESIAN_POINT('Origin',(48.8636,24.6701,0.)); #491140=CARTESIAN_POINT('',(48.8636,24.6701,0.)); #491141=CARTESIAN_POINT('',(48.8636,24.6701,0.)); #491142=CARTESIAN_POINT('',(48.8636,24.6701,0.035)); #491143=CARTESIAN_POINT('',(48.8636,24.6701,0.035)); #491144=CARTESIAN_POINT('',(48.8636,24.6701,0.)); #491145=CARTESIAN_POINT('Origin',(48.8636,25.496747,0.)); #491146=CARTESIAN_POINT('',(48.8636,25.496747,0.)); #491147=CARTESIAN_POINT('',(48.8636,25.496747,0.)); #491148=CARTESIAN_POINT('',(48.8636,25.496747,0.035)); #491149=CARTESIAN_POINT('',(48.8636,25.496747,0.035)); #491150=CARTESIAN_POINT('',(48.8636,25.496747,0.)); #491151=CARTESIAN_POINT('Origin',(48.869169,25.525344,0.)); #491152=CARTESIAN_POINT('',(48.869169,25.525344,0.)); #491153=CARTESIAN_POINT('',(48.869169,25.525344,0.)); #491154=CARTESIAN_POINT('',(48.869169,25.525344,0.035)); #491155=CARTESIAN_POINT('',(48.869169,25.525344,0.035)); #491156=CARTESIAN_POINT('',(48.869169,25.525344,0.)); #491157=CARTESIAN_POINT('Origin',(48.885919,25.550628,0.)); #491158=CARTESIAN_POINT('',(48.885919,25.550628,0.)); #491159=CARTESIAN_POINT('',(48.885919,25.550628,0.)); #491160=CARTESIAN_POINT('',(48.885919,25.550628,0.035)); #491161=CARTESIAN_POINT('',(48.885919,25.550628,0.035)); #491162=CARTESIAN_POINT('',(48.885919,25.550628,0.)); #491163=CARTESIAN_POINT('Origin',(48.979472,25.644181,0.)); #491164=CARTESIAN_POINT('',(48.979472,25.644181,0.)); #491165=CARTESIAN_POINT('',(48.979472,25.644181,0.)); #491166=CARTESIAN_POINT('',(48.979472,25.644181,0.035)); #491167=CARTESIAN_POINT('',(48.979472,25.644181,0.035)); #491168=CARTESIAN_POINT('',(48.979472,25.644181,0.)); #491169=CARTESIAN_POINT('Origin',(49.003631,25.660466,0.)); #491170=CARTESIAN_POINT('',(49.003631,25.660466,0.)); #491171=CARTESIAN_POINT('',(49.003631,25.660466,0.)); #491172=CARTESIAN_POINT('',(49.003631,25.660466,0.035)); #491173=CARTESIAN_POINT('',(49.003631,25.660466,0.035)); #491174=CARTESIAN_POINT('',(49.003631,25.660466,0.)); #491175=CARTESIAN_POINT('Origin',(49.033353,25.6665,0.)); #491176=CARTESIAN_POINT('',(49.033353,25.6665,0.)); #491177=CARTESIAN_POINT('',(49.033353,25.6665,0.)); #491178=CARTESIAN_POINT('',(49.033353,25.6665,0.035)); #491179=CARTESIAN_POINT('',(49.033353,25.6665,0.035)); #491180=CARTESIAN_POINT('',(49.033353,25.6665,0.)); #491181=CARTESIAN_POINT('Origin',(49.757628,25.6665,0.)); #491182=CARTESIAN_POINT('',(49.757628,25.6665,0.)); #491183=CARTESIAN_POINT('',(49.757628,25.6665,0.)); #491184=CARTESIAN_POINT('',(49.757628,25.6665,0.035)); #491185=CARTESIAN_POINT('',(49.757628,25.6665,0.035)); #491186=CARTESIAN_POINT('',(49.757628,25.6665,0.)); #491187=CARTESIAN_POINT('Origin',(49.8469,25.755772,0.)); #491188=CARTESIAN_POINT('',(49.8469,25.755772,0.)); #491189=CARTESIAN_POINT('',(49.8469,25.755772,0.)); #491190=CARTESIAN_POINT('',(49.8469,25.755772,0.035)); #491191=CARTESIAN_POINT('',(49.8469,25.755772,0.035)); #491192=CARTESIAN_POINT('',(49.8469,25.755772,0.)); #491193=CARTESIAN_POINT('Origin',(49.8469,26.720228,0.)); #491194=CARTESIAN_POINT('',(49.8469,26.720228,0.)); #491195=CARTESIAN_POINT('',(49.8469,26.720228,0.)); #491196=CARTESIAN_POINT('',(49.8469,26.720228,0.035)); #491197=CARTESIAN_POINT('',(49.8469,26.720228,0.035)); #491198=CARTESIAN_POINT('',(49.8469,26.720228,0.)); #491199=CARTESIAN_POINT('Origin',(49.757628,26.8095,0.)); #491200=CARTESIAN_POINT('',(49.757628,26.8095,0.)); #491201=CARTESIAN_POINT('',(49.757628,26.8095,0.)); #491202=CARTESIAN_POINT('',(49.757628,26.8095,0.035)); #491203=CARTESIAN_POINT('',(49.757628,26.8095,0.035)); #491204=CARTESIAN_POINT('',(49.757628,26.8095,0.)); #491205=CARTESIAN_POINT('Origin',(48.742372,26.8095,0.)); #491206=CARTESIAN_POINT('',(48.742372,26.8095,0.)); #491207=CARTESIAN_POINT('',(48.742372,26.8095,0.)); #491208=CARTESIAN_POINT('',(48.742372,26.8095,0.035)); #491209=CARTESIAN_POINT('',(48.742372,26.8095,0.035)); #491210=CARTESIAN_POINT('',(48.742372,26.8095,0.)); #491211=CARTESIAN_POINT('Origin',(48.6531,26.720228,0.)); #491212=CARTESIAN_POINT('',(48.6531,26.720228,0.)); #491213=CARTESIAN_POINT('',(48.6531,26.720228,0.)); #491214=CARTESIAN_POINT('',(48.6531,26.720228,0.035)); #491215=CARTESIAN_POINT('',(48.6531,26.720228,0.035)); #491216=CARTESIAN_POINT('',(48.6531,26.720228,0.)); #491217=CARTESIAN_POINT('Origin',(48.6531,25.995953,0.)); #491218=CARTESIAN_POINT('',(48.6531,25.995953,0.)); #491219=CARTESIAN_POINT('',(48.6531,25.995953,0.)); #491220=CARTESIAN_POINT('',(48.6531,25.995953,0.035)); #491221=CARTESIAN_POINT('',(48.6531,25.995953,0.035)); #491222=CARTESIAN_POINT('',(48.6531,25.995953,0.)); #491223=CARTESIAN_POINT('Origin',(48.647531,25.967356,0.)); #491224=CARTESIAN_POINT('',(48.647531,25.967356,0.)); #491225=CARTESIAN_POINT('',(48.647531,25.967356,0.)); #491226=CARTESIAN_POINT('',(48.647531,25.967356,0.035)); #491227=CARTESIAN_POINT('',(48.647531,25.967356,0.035)); #491228=CARTESIAN_POINT('',(48.647531,25.967356,0.)); #491229=CARTESIAN_POINT('Origin',(48.630781,25.942072,0.)); #491230=CARTESIAN_POINT('',(48.630781,25.942072,0.)); #491231=CARTESIAN_POINT('',(48.630781,25.942072,0.)); #491232=CARTESIAN_POINT('',(48.630781,25.942072,0.035)); #491233=CARTESIAN_POINT('',(48.630781,25.942072,0.035)); #491234=CARTESIAN_POINT('',(48.630781,25.942072,0.)); #491235=CARTESIAN_POINT('Origin',(48.4064,25.717691,0.)); #491236=CARTESIAN_POINT('',(48.4064,25.717691,0.)); #491237=CARTESIAN_POINT('',(48.4064,25.717691,0.)); #491238=CARTESIAN_POINT('',(48.4064,25.717691,0.035)); #491239=CARTESIAN_POINT('',(48.4064,25.717691,0.035)); #491240=CARTESIAN_POINT('',(48.4064,25.717691,0.)); #491241=CARTESIAN_POINT('Origin',(48.4064,24.6701,0.)); #491242=CARTESIAN_POINT('',(48.4064,24.6701,0.)); #491243=CARTESIAN_POINT('',(48.4064,24.6701,0.)); #491244=CARTESIAN_POINT('',(48.4064,24.6701,0.035)); #491245=CARTESIAN_POINT('',(48.4064,24.6701,0.035)); #491246=CARTESIAN_POINT('',(48.4064,24.6701,0.)); #491247=CARTESIAN_POINT('Origin',(48.401278,24.642631,0.)); #491248=CARTESIAN_POINT('',(48.401278,24.642631,0.)); #491249=CARTESIAN_POINT('',(48.401278,24.642631,0.)); #491250=CARTESIAN_POINT('',(48.401278,24.642631,0.035)); #491251=CARTESIAN_POINT('',(48.401278,24.642631,0.035)); #491252=CARTESIAN_POINT('',(48.401278,24.642631,0.)); #491253=CARTESIAN_POINT('Origin',(48.384934,24.617084,0.)); #491254=CARTESIAN_POINT('',(48.384934,24.617084,0.)); #491255=CARTESIAN_POINT('',(48.384934,24.617084,0.)); #491256=CARTESIAN_POINT('',(48.384934,24.617084,0.035)); #491257=CARTESIAN_POINT('',(48.384934,24.617084,0.035)); #491258=CARTESIAN_POINT('',(48.384934,24.617084,0.)); #491259=CARTESIAN_POINT('Origin',(48.359922,24.599934,0.)); #491260=CARTESIAN_POINT('',(48.359922,24.599934,0.)); #491261=CARTESIAN_POINT('',(48.359922,24.599934,0.)); #491262=CARTESIAN_POINT('',(48.359922,24.599934,0.035)); #491263=CARTESIAN_POINT('',(48.359922,24.599934,0.035)); #491264=CARTESIAN_POINT('',(48.359922,24.599934,0.)); #491265=CARTESIAN_POINT('Origin',(48.3302,24.5939,0.)); #491266=CARTESIAN_POINT('',(48.3302,24.5939,0.)); #491267=CARTESIAN_POINT('',(48.3302,24.5939,0.)); #491268=CARTESIAN_POINT('',(48.3302,24.5939,0.035)); #491269=CARTESIAN_POINT('',(48.3302,24.5939,0.035)); #491270=CARTESIAN_POINT('',(48.3302,24.5939,0.)); #491271=CARTESIAN_POINT('Origin',(48.267072,24.5939,0.)); #491272=CARTESIAN_POINT('',(48.267072,24.5939,0.)); #491273=CARTESIAN_POINT('',(48.267072,24.5939,0.)); #491274=CARTESIAN_POINT('',(48.267072,24.5939,0.035)); #491275=CARTESIAN_POINT('',(48.267072,24.5939,0.035)); #491276=CARTESIAN_POINT('',(48.267072,24.5939,0.)); #491277=CARTESIAN_POINT('Origin',(48.1778,24.504628,0.)); #491278=CARTESIAN_POINT('',(48.1778,24.504628,0.)); #491279=CARTESIAN_POINT('',(48.1778,24.504628,0.)); #491280=CARTESIAN_POINT('',(48.1778,24.504628,0.035)); #491281=CARTESIAN_POINT('',(48.1778,24.504628,0.035)); #491282=CARTESIAN_POINT('',(48.1778,24.504628,0.)); #491283=CARTESIAN_POINT('Origin',(48.1778,22.727372,0.)); #491284=CARTESIAN_POINT('',(48.1778,22.727372,0.)); #491285=CARTESIAN_POINT('',(48.1778,22.727372,0.)); #491286=CARTESIAN_POINT('',(48.1778,22.727372,0.035)); #491287=CARTESIAN_POINT('',(48.1778,22.727372,0.035)); #491288=CARTESIAN_POINT('',(48.1778,22.727372,0.)); #491289=CARTESIAN_POINT('Origin',(48.267072,22.6381,0.)); #491290=CARTESIAN_POINT('',(48.267072,22.6381,0.)); #491291=CARTESIAN_POINT('',(48.267072,22.6381,0.035)); #491292=CARTESIAN_POINT('Origin',(47.732928,22.6381,0.)); #491293=CARTESIAN_POINT('',(47.732928,22.6381,0.)); #491294=CARTESIAN_POINT('',(46.997072,22.6381,0.)); #491295=CARTESIAN_POINT('',(47.732928,22.6381,0.)); #491296=CARTESIAN_POINT('',(46.997072,22.6381,0.035)); #491297=CARTESIAN_POINT('',(46.997072,22.6381,0.)); #491298=CARTESIAN_POINT('',(47.732928,22.6381,0.035)); #491299=CARTESIAN_POINT('',(47.732928,22.6381,0.035)); #491300=CARTESIAN_POINT('',(47.732928,22.6381,0.)); #491301=CARTESIAN_POINT('Origin',(47.8222,22.727372,0.)); #491302=CARTESIAN_POINT('',(47.8222,22.727372,0.)); #491303=CARTESIAN_POINT('',(47.8222,22.727372,0.)); #491304=CARTESIAN_POINT('',(47.8222,22.727372,0.035)); #491305=CARTESIAN_POINT('',(47.8222,22.727372,0.035)); #491306=CARTESIAN_POINT('',(47.8222,22.727372,0.)); #491307=CARTESIAN_POINT('Origin',(47.8222,24.504628,0.)); #491308=CARTESIAN_POINT('',(47.8222,24.504628,0.)); #491309=CARTESIAN_POINT('',(47.8222,24.504628,0.)); #491310=CARTESIAN_POINT('',(47.8222,24.504628,0.035)); #491311=CARTESIAN_POINT('',(47.8222,24.504628,0.035)); #491312=CARTESIAN_POINT('',(47.8222,24.504628,0.)); #491313=CARTESIAN_POINT('Origin',(47.732928,24.5939,0.)); #491314=CARTESIAN_POINT('',(47.732928,24.5939,0.)); #491315=CARTESIAN_POINT('',(47.732928,24.5939,0.)); #491316=CARTESIAN_POINT('',(47.732928,24.5939,0.035)); #491317=CARTESIAN_POINT('',(47.732928,24.5939,0.035)); #491318=CARTESIAN_POINT('',(47.732928,24.5939,0.)); #491319=CARTESIAN_POINT('Origin',(47.6698,24.5939,0.)); #491320=CARTESIAN_POINT('',(47.6698,24.5939,0.)); #491321=CARTESIAN_POINT('',(47.6698,24.5939,0.)); #491322=CARTESIAN_POINT('',(47.6698,24.5939,0.035)); #491323=CARTESIAN_POINT('',(47.6698,24.5939,0.035)); #491324=CARTESIAN_POINT('',(47.6698,24.5939,0.)); #491325=CARTESIAN_POINT('Origin',(47.642331,24.599022,0.)); #491326=CARTESIAN_POINT('',(47.642331,24.599022,0.)); #491327=CARTESIAN_POINT('',(47.642331,24.599022,0.)); #491328=CARTESIAN_POINT('',(47.642331,24.599022,0.035)); #491329=CARTESIAN_POINT('',(47.642331,24.599022,0.035)); #491330=CARTESIAN_POINT('',(47.642331,24.599022,0.)); #491331=CARTESIAN_POINT('Origin',(47.616784,24.615366,0.)); #491332=CARTESIAN_POINT('',(47.616784,24.615366,0.)); #491333=CARTESIAN_POINT('',(47.616784,24.615366,0.)); #491334=CARTESIAN_POINT('',(47.616784,24.615366,0.035)); #491335=CARTESIAN_POINT('',(47.616784,24.615366,0.035)); #491336=CARTESIAN_POINT('',(47.616784,24.615366,0.)); #491337=CARTESIAN_POINT('Origin',(47.599634,24.640378,0.)); #491338=CARTESIAN_POINT('',(47.599634,24.640378,0.)); #491339=CARTESIAN_POINT('',(47.599634,24.640378,0.)); #491340=CARTESIAN_POINT('',(47.599634,24.640378,0.035)); #491341=CARTESIAN_POINT('',(47.599634,24.640378,0.035)); #491342=CARTESIAN_POINT('',(47.599634,24.640378,0.)); #491343=CARTESIAN_POINT('Origin',(47.5936,24.6701,0.)); #491344=CARTESIAN_POINT('',(47.5936,24.6701,0.)); #491345=CARTESIAN_POINT('',(47.5936,24.6701,0.)); #491346=CARTESIAN_POINT('',(47.5936,24.6701,0.035)); #491347=CARTESIAN_POINT('',(47.5936,24.6701,0.035)); #491348=CARTESIAN_POINT('',(47.5936,24.6701,0.)); #491349=CARTESIAN_POINT('Origin',(47.5936,25.717691,0.)); #491350=CARTESIAN_POINT('',(47.5936,25.717691,0.)); #491351=CARTESIAN_POINT('',(47.5936,25.717691,0.)); #491352=CARTESIAN_POINT('',(47.5936,25.717691,0.035)); #491353=CARTESIAN_POINT('',(47.5936,25.717691,0.035)); #491354=CARTESIAN_POINT('',(47.5936,25.717691,0.)); #491355=CARTESIAN_POINT('Origin',(47.369219,25.942072,0.)); #491356=CARTESIAN_POINT('',(47.369219,25.942072,0.)); #491357=CARTESIAN_POINT('',(47.369219,25.942072,0.)); #491358=CARTESIAN_POINT('',(47.369219,25.942072,0.035)); #491359=CARTESIAN_POINT('',(47.369219,25.942072,0.035)); #491360=CARTESIAN_POINT('',(47.369219,25.942072,0.)); #491361=CARTESIAN_POINT('Origin',(47.352934,25.966231,0.)); #491362=CARTESIAN_POINT('',(47.352934,25.966231,0.)); #491363=CARTESIAN_POINT('',(47.352934,25.966231,0.)); #491364=CARTESIAN_POINT('',(47.352934,25.966231,0.035)); #491365=CARTESIAN_POINT('',(47.352934,25.966231,0.035)); #491366=CARTESIAN_POINT('',(47.352934,25.966231,0.)); #491367=CARTESIAN_POINT('Origin',(47.3469,25.995953,0.)); #491368=CARTESIAN_POINT('',(47.3469,25.995953,0.)); #491369=CARTESIAN_POINT('',(47.3469,25.995953,0.)); #491370=CARTESIAN_POINT('',(47.3469,25.995953,0.035)); #491371=CARTESIAN_POINT('',(47.3469,25.995953,0.035)); #491372=CARTESIAN_POINT('',(47.3469,25.995953,0.)); #491373=CARTESIAN_POINT('Origin',(47.3469,26.720228,0.)); #491374=CARTESIAN_POINT('',(47.3469,26.720228,0.)); #491375=CARTESIAN_POINT('',(47.3469,26.720228,0.)); #491376=CARTESIAN_POINT('',(47.3469,26.720228,0.035)); #491377=CARTESIAN_POINT('',(47.3469,26.720228,0.035)); #491378=CARTESIAN_POINT('',(47.3469,26.720228,0.)); #491379=CARTESIAN_POINT('Origin',(47.257628,26.8095,0.)); #491380=CARTESIAN_POINT('',(47.257628,26.8095,0.)); #491381=CARTESIAN_POINT('',(47.257628,26.8095,0.)); #491382=CARTESIAN_POINT('',(47.257628,26.8095,0.035)); #491383=CARTESIAN_POINT('',(47.257628,26.8095,0.035)); #491384=CARTESIAN_POINT('',(47.257628,26.8095,0.)); #491385=CARTESIAN_POINT('Origin',(46.242372,26.8095,0.)); #491386=CARTESIAN_POINT('',(46.242372,26.8095,0.)); #491387=CARTESIAN_POINT('',(46.242372,26.8095,0.)); #491388=CARTESIAN_POINT('',(46.242372,26.8095,0.035)); #491389=CARTESIAN_POINT('',(46.242372,26.8095,0.035)); #491390=CARTESIAN_POINT('',(46.242372,26.8095,0.)); #491391=CARTESIAN_POINT('Origin',(46.1531,26.720228,0.)); #491392=CARTESIAN_POINT('',(46.1531,26.720228,0.)); #491393=CARTESIAN_POINT('',(46.1531,26.720228,0.)); #491394=CARTESIAN_POINT('',(46.1531,26.720228,0.035)); #491395=CARTESIAN_POINT('',(46.1531,26.720228,0.035)); #491396=CARTESIAN_POINT('',(46.1531,26.720228,0.)); #491397=CARTESIAN_POINT('Origin',(46.1531,25.755772,0.)); #491398=CARTESIAN_POINT('',(46.1531,25.755772,0.)); #491399=CARTESIAN_POINT('',(46.1531,25.755772,0.)); #491400=CARTESIAN_POINT('',(46.1531,25.755772,0.035)); #491401=CARTESIAN_POINT('',(46.1531,25.755772,0.035)); #491402=CARTESIAN_POINT('',(46.1531,25.755772,0.)); #491403=CARTESIAN_POINT('Origin',(46.242372,25.6665,0.)); #491404=CARTESIAN_POINT('',(46.242372,25.6665,0.)); #491405=CARTESIAN_POINT('',(46.242372,25.6665,0.)); #491406=CARTESIAN_POINT('',(46.242372,25.6665,0.035)); #491407=CARTESIAN_POINT('',(46.242372,25.6665,0.035)); #491408=CARTESIAN_POINT('',(46.242372,25.6665,0.)); #491409=CARTESIAN_POINT('Origin',(46.966647,25.6665,0.)); #491410=CARTESIAN_POINT('',(46.966647,25.6665,0.)); #491411=CARTESIAN_POINT('',(46.966647,25.6665,0.)); #491412=CARTESIAN_POINT('',(46.966647,25.6665,0.035)); #491413=CARTESIAN_POINT('',(46.966647,25.6665,0.035)); #491414=CARTESIAN_POINT('',(46.966647,25.6665,0.)); #491415=CARTESIAN_POINT('Origin',(46.995244,25.660931,0.)); #491416=CARTESIAN_POINT('',(46.995244,25.660931,0.)); #491417=CARTESIAN_POINT('',(46.995244,25.660931,0.)); #491418=CARTESIAN_POINT('',(46.995244,25.660931,0.035)); #491419=CARTESIAN_POINT('',(46.995244,25.660931,0.035)); #491420=CARTESIAN_POINT('',(46.995244,25.660931,0.)); #491421=CARTESIAN_POINT('Origin',(47.020528,25.644181,0.)); #491422=CARTESIAN_POINT('',(47.020528,25.644181,0.)); #491423=CARTESIAN_POINT('',(47.020528,25.644181,0.)); #491424=CARTESIAN_POINT('',(47.020528,25.644181,0.035)); #491425=CARTESIAN_POINT('',(47.020528,25.644181,0.035)); #491426=CARTESIAN_POINT('',(47.020528,25.644181,0.)); #491427=CARTESIAN_POINT('Origin',(47.114081,25.550628,0.)); #491428=CARTESIAN_POINT('',(47.114081,25.550628,0.)); #491429=CARTESIAN_POINT('',(47.114081,25.550628,0.)); #491430=CARTESIAN_POINT('',(47.114081,25.550628,0.035)); #491431=CARTESIAN_POINT('',(47.114081,25.550628,0.035)); #491432=CARTESIAN_POINT('',(47.114081,25.550628,0.)); #491433=CARTESIAN_POINT('Origin',(47.130366,25.526469,0.)); #491434=CARTESIAN_POINT('',(47.130366,25.526469,0.)); #491435=CARTESIAN_POINT('',(47.130366,25.526469,0.)); #491436=CARTESIAN_POINT('',(47.130366,25.526469,0.035)); #491437=CARTESIAN_POINT('',(47.130366,25.526469,0.035)); #491438=CARTESIAN_POINT('',(47.130366,25.526469,0.)); #491439=CARTESIAN_POINT('Origin',(47.1364,25.496747,0.)); #491440=CARTESIAN_POINT('',(47.1364,25.496747,0.)); #491441=CARTESIAN_POINT('',(47.1364,25.496747,0.)); #491442=CARTESIAN_POINT('',(47.1364,25.496747,0.035)); #491443=CARTESIAN_POINT('',(47.1364,25.496747,0.035)); #491444=CARTESIAN_POINT('',(47.1364,25.496747,0.)); #491445=CARTESIAN_POINT('Origin',(47.1364,24.6701,0.)); #491446=CARTESIAN_POINT('',(47.1364,24.6701,0.)); #491447=CARTESIAN_POINT('',(47.1364,24.6701,0.)); #491448=CARTESIAN_POINT('',(47.1364,24.6701,0.035)); #491449=CARTESIAN_POINT('',(47.1364,24.6701,0.035)); #491450=CARTESIAN_POINT('',(47.1364,24.6701,0.)); #491451=CARTESIAN_POINT('Origin',(47.131278,24.642631,0.)); #491452=CARTESIAN_POINT('',(47.131278,24.642631,0.)); #491453=CARTESIAN_POINT('',(47.131278,24.642631,0.)); #491454=CARTESIAN_POINT('',(47.131278,24.642631,0.035)); #491455=CARTESIAN_POINT('',(47.131278,24.642631,0.035)); #491456=CARTESIAN_POINT('',(47.131278,24.642631,0.)); #491457=CARTESIAN_POINT('Origin',(47.114934,24.617084,0.)); #491458=CARTESIAN_POINT('',(47.114934,24.617084,0.)); #491459=CARTESIAN_POINT('',(47.114934,24.617084,0.)); #491460=CARTESIAN_POINT('',(47.114934,24.617084,0.035)); #491461=CARTESIAN_POINT('',(47.114934,24.617084,0.035)); #491462=CARTESIAN_POINT('',(47.114934,24.617084,0.)); #491463=CARTESIAN_POINT('Origin',(47.089922,24.599934,0.)); #491464=CARTESIAN_POINT('',(47.089922,24.599934,0.)); #491465=CARTESIAN_POINT('',(47.089922,24.599934,0.)); #491466=CARTESIAN_POINT('',(47.089922,24.599934,0.035)); #491467=CARTESIAN_POINT('',(47.089922,24.599934,0.035)); #491468=CARTESIAN_POINT('',(47.089922,24.599934,0.)); #491469=CARTESIAN_POINT('Origin',(47.0602,24.5939,0.)); #491470=CARTESIAN_POINT('',(47.0602,24.5939,0.)); #491471=CARTESIAN_POINT('',(47.0602,24.5939,0.)); #491472=CARTESIAN_POINT('',(47.0602,24.5939,0.035)); #491473=CARTESIAN_POINT('',(47.0602,24.5939,0.035)); #491474=CARTESIAN_POINT('',(47.0602,24.5939,0.)); #491475=CARTESIAN_POINT('Origin',(46.997072,24.5939,0.)); #491476=CARTESIAN_POINT('',(46.997072,24.5939,0.)); #491477=CARTESIAN_POINT('',(46.997072,24.5939,0.)); #491478=CARTESIAN_POINT('',(46.997072,24.5939,0.035)); #491479=CARTESIAN_POINT('',(46.997072,24.5939,0.035)); #491480=CARTESIAN_POINT('',(46.997072,24.5939,0.)); #491481=CARTESIAN_POINT('Origin',(46.9078,24.504628,0.)); #491482=CARTESIAN_POINT('',(46.9078,24.504628,0.)); #491483=CARTESIAN_POINT('',(46.9078,24.504628,0.)); #491484=CARTESIAN_POINT('',(46.9078,24.504628,0.035)); #491485=CARTESIAN_POINT('',(46.9078,24.504628,0.035)); #491486=CARTESIAN_POINT('',(46.9078,24.504628,0.)); #491487=CARTESIAN_POINT('Origin',(46.9078,22.727372,0.)); #491488=CARTESIAN_POINT('',(46.9078,22.727372,0.)); #491489=CARTESIAN_POINT('',(46.9078,22.727372,0.)); #491490=CARTESIAN_POINT('',(46.9078,22.727372,0.035)); #491491=CARTESIAN_POINT('',(46.9078,22.727372,0.035)); #491492=CARTESIAN_POINT('',(46.9078,22.727372,0.)); #491493=CARTESIAN_POINT('Origin',(46.997072,22.6381,0.)); #491494=CARTESIAN_POINT('',(46.997072,22.6381,0.)); #491495=CARTESIAN_POINT('',(46.997072,22.6381,0.035)); #491496=CARTESIAN_POINT('Origin',(53.745953,19.1484,0.)); #491497=CARTESIAN_POINT('',(53.745953,19.1484,0.)); #491498=CARTESIAN_POINT('',(51.502847,19.1484,0.)); #491499=CARTESIAN_POINT('',(53.745953,19.1484,0.)); #491500=CARTESIAN_POINT('',(51.502847,19.1484,0.035)); #491501=CARTESIAN_POINT('',(51.502847,19.1484,0.)); #491502=CARTESIAN_POINT('',(53.745953,19.1484,0.035)); #491503=CARTESIAN_POINT('',(53.745953,19.1484,0.035)); #491504=CARTESIAN_POINT('',(53.745953,19.1484,0.)); #491505=CARTESIAN_POINT('Origin',(53.771309,19.1511,0.)); #491506=CARTESIAN_POINT('',(53.771309,19.1511,0.)); #491507=CARTESIAN_POINT('',(53.771309,19.1511,0.)); #491508=CARTESIAN_POINT('',(53.771309,19.1511,0.035)); #491509=CARTESIAN_POINT('',(53.771309,19.1511,0.035)); #491510=CARTESIAN_POINT('',(53.771309,19.1511,0.)); #491511=CARTESIAN_POINT('Origin',(53.791663,19.157806,0.)); #491512=CARTESIAN_POINT('',(53.791663,19.157806,0.)); #491513=CARTESIAN_POINT('',(53.791663,19.157806,0.)); #491514=CARTESIAN_POINT('',(53.791663,19.157806,0.035)); #491515=CARTESIAN_POINT('',(53.791663,19.157806,0.035)); #491516=CARTESIAN_POINT('',(53.791663,19.157806,0.)); #491517=CARTESIAN_POINT('Origin',(53.811197,19.169269,0.)); #491518=CARTESIAN_POINT('',(53.811197,19.169269,0.)); #491519=CARTESIAN_POINT('',(53.811197,19.169269,0.)); #491520=CARTESIAN_POINT('',(53.811197,19.169269,0.035)); #491521=CARTESIAN_POINT('',(53.811197,19.169269,0.035)); #491522=CARTESIAN_POINT('',(53.811197,19.169269,0.)); #491523=CARTESIAN_POINT('Origin',(53.823866,19.180178,0.)); #491524=CARTESIAN_POINT('',(53.823866,19.180178,0.)); #491525=CARTESIAN_POINT('',(53.823866,19.180178,0.)); #491526=CARTESIAN_POINT('',(53.823866,19.180178,0.035)); #491527=CARTESIAN_POINT('',(53.823866,19.180178,0.035)); #491528=CARTESIAN_POINT('',(53.823866,19.180178,0.)); #491529=CARTESIAN_POINT('Origin',(54.068978,19.425291,0.)); #491530=CARTESIAN_POINT('',(54.068978,19.425291,0.)); #491531=CARTESIAN_POINT('',(54.068978,19.425291,0.)); #491532=CARTESIAN_POINT('',(54.068978,19.425291,0.035)); #491533=CARTESIAN_POINT('',(54.068978,19.425291,0.035)); #491534=CARTESIAN_POINT('',(54.068978,19.425291,0.)); #491535=CARTESIAN_POINT('Origin',(54.084997,19.445131,0.)); #491536=CARTESIAN_POINT('',(54.084997,19.445131,0.)); #491537=CARTESIAN_POINT('',(54.084997,19.445131,0.)); #491538=CARTESIAN_POINT('',(54.084997,19.445131,0.035)); #491539=CARTESIAN_POINT('',(54.084997,19.445131,0.035)); #491540=CARTESIAN_POINT('',(54.084997,19.445131,0.)); #491541=CARTESIAN_POINT('Origin',(54.094647,19.464272,0.)); #491542=CARTESIAN_POINT('',(54.094647,19.464272,0.)); #491543=CARTESIAN_POINT('',(54.094647,19.464272,0.)); #491544=CARTESIAN_POINT('',(54.094647,19.464272,0.035)); #491545=CARTESIAN_POINT('',(54.094647,19.464272,0.035)); #491546=CARTESIAN_POINT('',(54.094647,19.464272,0.)); #491547=CARTESIAN_POINT('Origin',(54.100359,19.486184,0.)); #491548=CARTESIAN_POINT('',(54.100359,19.486184,0.)); #491549=CARTESIAN_POINT('',(54.100359,19.486184,0.)); #491550=CARTESIAN_POINT('',(54.100359,19.486184,0.035)); #491551=CARTESIAN_POINT('',(54.100359,19.486184,0.035)); #491552=CARTESIAN_POINT('',(54.100359,19.486184,0.)); #491553=CARTESIAN_POINT('Origin',(54.1016,19.502847,0.)); #491554=CARTESIAN_POINT('',(54.1016,19.502847,0.)); #491555=CARTESIAN_POINT('',(54.1016,19.502847,0.)); #491556=CARTESIAN_POINT('',(54.1016,19.502847,0.035)); #491557=CARTESIAN_POINT('',(54.1016,19.502847,0.035)); #491558=CARTESIAN_POINT('',(54.1016,19.502847,0.)); #491559=CARTESIAN_POINT('Origin',(54.1016,35.495953,0.)); #491560=CARTESIAN_POINT('',(54.1016,35.495953,0.)); #491561=CARTESIAN_POINT('',(54.1016,35.495953,0.)); #491562=CARTESIAN_POINT('',(54.1016,35.495953,0.035)); #491563=CARTESIAN_POINT('',(54.1016,35.495953,0.035)); #491564=CARTESIAN_POINT('',(54.1016,35.495953,0.)); #491565=CARTESIAN_POINT('Origin',(54.0989,35.521309,0.)); #491566=CARTESIAN_POINT('',(54.0989,35.521309,0.)); #491567=CARTESIAN_POINT('',(54.0989,35.521309,0.)); #491568=CARTESIAN_POINT('',(54.0989,35.521309,0.035)); #491569=CARTESIAN_POINT('',(54.0989,35.521309,0.035)); #491570=CARTESIAN_POINT('',(54.0989,35.521309,0.)); #491571=CARTESIAN_POINT('Origin',(54.092194,35.541663,0.)); #491572=CARTESIAN_POINT('',(54.092194,35.541663,0.)); #491573=CARTESIAN_POINT('',(54.092194,35.541663,0.)); #491574=CARTESIAN_POINT('',(54.092194,35.541663,0.035)); #491575=CARTESIAN_POINT('',(54.092194,35.541663,0.035)); #491576=CARTESIAN_POINT('',(54.092194,35.541663,0.)); #491577=CARTESIAN_POINT('Origin',(54.080731,35.561197,0.)); #491578=CARTESIAN_POINT('',(54.080731,35.561197,0.)); #491579=CARTESIAN_POINT('',(54.080731,35.561197,0.)); #491580=CARTESIAN_POINT('',(54.080731,35.561197,0.035)); #491581=CARTESIAN_POINT('',(54.080731,35.561197,0.035)); #491582=CARTESIAN_POINT('',(54.080731,35.561197,0.)); #491583=CARTESIAN_POINT('Origin',(54.069822,35.573866,0.)); #491584=CARTESIAN_POINT('',(54.069822,35.573866,0.)); #491585=CARTESIAN_POINT('',(54.069822,35.573866,0.)); #491586=CARTESIAN_POINT('',(54.069822,35.573866,0.035)); #491587=CARTESIAN_POINT('',(54.069822,35.573866,0.035)); #491588=CARTESIAN_POINT('',(54.069822,35.573866,0.)); #491589=CARTESIAN_POINT('Origin',(53.824709,35.818978,0.)); #491590=CARTESIAN_POINT('',(53.824709,35.818978,0.)); #491591=CARTESIAN_POINT('',(53.824709,35.818978,0.)); #491592=CARTESIAN_POINT('',(53.824709,35.818978,0.035)); #491593=CARTESIAN_POINT('',(53.824709,35.818978,0.035)); #491594=CARTESIAN_POINT('',(53.824709,35.818978,0.)); #491595=CARTESIAN_POINT('Origin',(53.804869,35.834997,0.)); #491596=CARTESIAN_POINT('',(53.804869,35.834997,0.)); #491597=CARTESIAN_POINT('',(53.804869,35.834997,0.)); #491598=CARTESIAN_POINT('',(53.804869,35.834997,0.035)); #491599=CARTESIAN_POINT('',(53.804869,35.834997,0.035)); #491600=CARTESIAN_POINT('',(53.804869,35.834997,0.)); #491601=CARTESIAN_POINT('Origin',(53.785728,35.844647,0.)); #491602=CARTESIAN_POINT('',(53.785728,35.844647,0.)); #491603=CARTESIAN_POINT('',(53.785728,35.844647,0.)); #491604=CARTESIAN_POINT('',(53.785728,35.844647,0.035)); #491605=CARTESIAN_POINT('',(53.785728,35.844647,0.035)); #491606=CARTESIAN_POINT('',(53.785728,35.844647,0.)); #491607=CARTESIAN_POINT('Origin',(53.763816,35.850359,0.)); #491608=CARTESIAN_POINT('',(53.763816,35.850359,0.)); #491609=CARTESIAN_POINT('',(53.763816,35.850359,0.)); #491610=CARTESIAN_POINT('',(53.763816,35.850359,0.035)); #491611=CARTESIAN_POINT('',(53.763816,35.850359,0.035)); #491612=CARTESIAN_POINT('',(53.763816,35.850359,0.)); #491613=CARTESIAN_POINT('Origin',(53.747153,35.8516,0.)); #491614=CARTESIAN_POINT('',(53.747153,35.8516,0.)); #491615=CARTESIAN_POINT('',(53.747153,35.8516,0.)); #491616=CARTESIAN_POINT('',(53.747153,35.8516,0.035)); #491617=CARTESIAN_POINT('',(53.747153,35.8516,0.035)); #491618=CARTESIAN_POINT('',(53.747153,35.8516,0.)); #491619=CARTESIAN_POINT('Origin',(51.504047,35.8516,0.)); #491620=CARTESIAN_POINT('',(51.504047,35.8516,0.)); #491621=CARTESIAN_POINT('',(51.504047,35.8516,0.)); #491622=CARTESIAN_POINT('',(51.504047,35.8516,0.035)); #491623=CARTESIAN_POINT('',(51.504047,35.8516,0.035)); #491624=CARTESIAN_POINT('',(51.504047,35.8516,0.)); #491625=CARTESIAN_POINT('Origin',(51.478691,35.8489,0.)); #491626=CARTESIAN_POINT('',(51.478691,35.8489,0.)); #491627=CARTESIAN_POINT('',(51.478691,35.8489,0.)); #491628=CARTESIAN_POINT('',(51.478691,35.8489,0.035)); #491629=CARTESIAN_POINT('',(51.478691,35.8489,0.035)); #491630=CARTESIAN_POINT('',(51.478691,35.8489,0.)); #491631=CARTESIAN_POINT('Origin',(51.458337,35.842194,0.)); #491632=CARTESIAN_POINT('',(51.458337,35.842194,0.)); #491633=CARTESIAN_POINT('',(51.458337,35.842194,0.)); #491634=CARTESIAN_POINT('',(51.458337,35.842194,0.035)); #491635=CARTESIAN_POINT('',(51.458337,35.842194,0.035)); #491636=CARTESIAN_POINT('',(51.458337,35.842194,0.)); #491637=CARTESIAN_POINT('Origin',(51.438803,35.830731,0.)); #491638=CARTESIAN_POINT('',(51.438803,35.830731,0.)); #491639=CARTESIAN_POINT('',(51.438803,35.830731,0.)); #491640=CARTESIAN_POINT('',(51.438803,35.830731,0.035)); #491641=CARTESIAN_POINT('',(51.438803,35.830731,0.035)); #491642=CARTESIAN_POINT('',(51.438803,35.830731,0.)); #491643=CARTESIAN_POINT('Origin',(51.426134,35.819822,0.)); #491644=CARTESIAN_POINT('',(51.426134,35.819822,0.)); #491645=CARTESIAN_POINT('',(51.426134,35.819822,0.)); #491646=CARTESIAN_POINT('',(51.426134,35.819822,0.035)); #491647=CARTESIAN_POINT('',(51.426134,35.819822,0.035)); #491648=CARTESIAN_POINT('',(51.426134,35.819822,0.)); #491649=CARTESIAN_POINT('Origin',(51.181022,35.574709,0.)); #491650=CARTESIAN_POINT('',(51.181022,35.574709,0.)); #491651=CARTESIAN_POINT('',(51.181022,35.574709,0.)); #491652=CARTESIAN_POINT('',(51.181022,35.574709,0.035)); #491653=CARTESIAN_POINT('',(51.181022,35.574709,0.035)); #491654=CARTESIAN_POINT('',(51.181022,35.574709,0.)); #491655=CARTESIAN_POINT('Origin',(51.165003,35.554869,0.)); #491656=CARTESIAN_POINT('',(51.165003,35.554869,0.)); #491657=CARTESIAN_POINT('',(51.165003,35.554869,0.)); #491658=CARTESIAN_POINT('',(51.165003,35.554869,0.035)); #491659=CARTESIAN_POINT('',(51.165003,35.554869,0.035)); #491660=CARTESIAN_POINT('',(51.165003,35.554869,0.)); #491661=CARTESIAN_POINT('Origin',(51.155353,35.535728,0.)); #491662=CARTESIAN_POINT('',(51.155353,35.535728,0.)); #491663=CARTESIAN_POINT('',(51.155353,35.535728,0.)); #491664=CARTESIAN_POINT('',(51.155353,35.535728,0.035)); #491665=CARTESIAN_POINT('',(51.155353,35.535728,0.035)); #491666=CARTESIAN_POINT('',(51.155353,35.535728,0.)); #491667=CARTESIAN_POINT('Origin',(51.149641,35.513816,0.)); #491668=CARTESIAN_POINT('',(51.149641,35.513816,0.)); #491669=CARTESIAN_POINT('',(51.149641,35.513816,0.)); #491670=CARTESIAN_POINT('',(51.149641,35.513816,0.035)); #491671=CARTESIAN_POINT('',(51.149641,35.513816,0.035)); #491672=CARTESIAN_POINT('',(51.149641,35.513816,0.)); #491673=CARTESIAN_POINT('Origin',(51.1484,35.497153,0.)); #491674=CARTESIAN_POINT('',(51.1484,35.497153,0.)); #491675=CARTESIAN_POINT('',(51.1484,35.497153,0.)); #491676=CARTESIAN_POINT('',(51.1484,35.497153,0.035)); #491677=CARTESIAN_POINT('',(51.1484,35.497153,0.035)); #491678=CARTESIAN_POINT('',(51.1484,35.497153,0.)); #491679=CARTESIAN_POINT('Origin',(51.1484,19.504047,0.)); #491680=CARTESIAN_POINT('',(51.1484,19.504047,0.)); #491681=CARTESIAN_POINT('',(51.1484,19.504047,0.)); #491682=CARTESIAN_POINT('',(51.1484,19.504047,0.035)); #491683=CARTESIAN_POINT('',(51.1484,19.504047,0.035)); #491684=CARTESIAN_POINT('',(51.1484,19.504047,0.)); #491685=CARTESIAN_POINT('Origin',(51.1511,19.478691,0.)); #491686=CARTESIAN_POINT('',(51.1511,19.478691,0.)); #491687=CARTESIAN_POINT('',(51.1511,19.478691,0.)); #491688=CARTESIAN_POINT('',(51.1511,19.478691,0.035)); #491689=CARTESIAN_POINT('',(51.1511,19.478691,0.035)); #491690=CARTESIAN_POINT('',(51.1511,19.478691,0.)); #491691=CARTESIAN_POINT('Origin',(51.157806,19.458338,0.)); #491692=CARTESIAN_POINT('',(51.157806,19.458338,0.)); #491693=CARTESIAN_POINT('',(51.157806,19.458338,0.)); #491694=CARTESIAN_POINT('',(51.157806,19.458338,0.035)); #491695=CARTESIAN_POINT('',(51.157806,19.458338,0.035)); #491696=CARTESIAN_POINT('',(51.157806,19.458338,0.)); #491697=CARTESIAN_POINT('Origin',(51.169269,19.438803,0.)); #491698=CARTESIAN_POINT('',(51.169269,19.438803,0.)); #491699=CARTESIAN_POINT('',(51.169269,19.438803,0.)); #491700=CARTESIAN_POINT('',(51.169269,19.438803,0.035)); #491701=CARTESIAN_POINT('',(51.169269,19.438803,0.035)); #491702=CARTESIAN_POINT('',(51.169269,19.438803,0.)); #491703=CARTESIAN_POINT('Origin',(51.180178,19.426134,0.)); #491704=CARTESIAN_POINT('',(51.180178,19.426134,0.)); #491705=CARTESIAN_POINT('',(51.180178,19.426134,0.)); #491706=CARTESIAN_POINT('',(51.180178,19.426134,0.035)); #491707=CARTESIAN_POINT('',(51.180178,19.426134,0.035)); #491708=CARTESIAN_POINT('',(51.180178,19.426134,0.)); #491709=CARTESIAN_POINT('Origin',(51.425291,19.181022,0.)); #491710=CARTESIAN_POINT('',(51.425291,19.181022,0.)); #491711=CARTESIAN_POINT('',(51.425291,19.181022,0.)); #491712=CARTESIAN_POINT('',(51.425291,19.181022,0.035)); #491713=CARTESIAN_POINT('',(51.425291,19.181022,0.035)); #491714=CARTESIAN_POINT('',(51.425291,19.181022,0.)); #491715=CARTESIAN_POINT('Origin',(51.445131,19.165003,0.)); #491716=CARTESIAN_POINT('',(51.445131,19.165003,0.)); #491717=CARTESIAN_POINT('',(51.445131,19.165003,0.)); #491718=CARTESIAN_POINT('',(51.445131,19.165003,0.035)); #491719=CARTESIAN_POINT('',(51.445131,19.165003,0.035)); #491720=CARTESIAN_POINT('',(51.445131,19.165003,0.)); #491721=CARTESIAN_POINT('Origin',(51.464272,19.155353,0.)); #491722=CARTESIAN_POINT('',(51.464272,19.155353,0.)); #491723=CARTESIAN_POINT('',(51.464272,19.155353,0.)); #491724=CARTESIAN_POINT('',(51.464272,19.155353,0.035)); #491725=CARTESIAN_POINT('',(51.464272,19.155353,0.035)); #491726=CARTESIAN_POINT('',(51.464272,19.155353,0.)); #491727=CARTESIAN_POINT('Origin',(51.486184,19.149641,0.)); #491728=CARTESIAN_POINT('',(51.486184,19.149641,0.)); #491729=CARTESIAN_POINT('',(51.486184,19.149641,0.)); #491730=CARTESIAN_POINT('',(51.486184,19.149641,0.035)); #491731=CARTESIAN_POINT('',(51.486184,19.149641,0.035)); #491732=CARTESIAN_POINT('',(51.486184,19.149641,0.)); #491733=CARTESIAN_POINT('Origin',(51.502847,19.1484,0.)); #491734=CARTESIAN_POINT('',(51.502847,19.1484,0.)); #491735=CARTESIAN_POINT('',(51.502847,19.1484,0.035)); #491736=CARTESIAN_POINT('Origin',(41.427034,30.5726,0.)); #491737=CARTESIAN_POINT('',(41.427034,30.5726,0.)); #491738=CARTESIAN_POINT('',(41.072966,30.5726,0.)); #491739=CARTESIAN_POINT('',(41.427034,30.5726,0.)); #491740=CARTESIAN_POINT('',(41.072966,30.5726,0.035)); #491741=CARTESIAN_POINT('',(41.072966,30.5726,0.)); #491742=CARTESIAN_POINT('',(41.427034,30.5726,0.035)); #491743=CARTESIAN_POINT('',(41.427034,30.5726,0.035)); #491744=CARTESIAN_POINT('',(41.427034,30.5726,0.)); #491745=CARTESIAN_POINT('Origin',(41.571119,30.716684,0.)); #491746=CARTESIAN_POINT('',(41.571119,30.716684,0.)); #491747=CARTESIAN_POINT('',(41.571119,30.716684,0.)); #491748=CARTESIAN_POINT('',(41.571119,30.716684,0.035)); #491749=CARTESIAN_POINT('',(41.571119,30.716684,0.035)); #491750=CARTESIAN_POINT('',(41.571119,30.716684,0.)); #491751=CARTESIAN_POINT('Origin',(41.594166,30.732484,0.)); #491752=CARTESIAN_POINT('',(41.594166,30.732484,0.)); #491753=CARTESIAN_POINT('',(41.594166,30.732484,0.)); #491754=CARTESIAN_POINT('',(41.594166,30.732484,0.035)); #491755=CARTESIAN_POINT('',(41.594166,30.732484,0.035)); #491756=CARTESIAN_POINT('',(41.594166,30.732484,0.)); #491757=CARTESIAN_POINT('Origin',(41.623784,30.738994,0.)); #491758=CARTESIAN_POINT('',(41.623784,30.738994,0.)); #491759=CARTESIAN_POINT('',(41.623784,30.738994,0.)); #491760=CARTESIAN_POINT('',(41.623784,30.738994,0.035)); #491761=CARTESIAN_POINT('',(41.623784,30.738994,0.035)); #491762=CARTESIAN_POINT('',(41.623784,30.738994,0.)); #491763=CARTESIAN_POINT('Origin',(41.653597,30.733434,0.)); #491764=CARTESIAN_POINT('',(41.653597,30.733434,0.)); #491765=CARTESIAN_POINT('',(41.653597,30.733434,0.)); #491766=CARTESIAN_POINT('',(41.653597,30.733434,0.035)); #491767=CARTESIAN_POINT('',(41.653597,30.733434,0.035)); #491768=CARTESIAN_POINT('',(41.653597,30.733434,0.)); #491769=CARTESIAN_POINT('Origin',(41.678881,30.716684,0.)); #491770=CARTESIAN_POINT('',(41.678881,30.716684,0.)); #491771=CARTESIAN_POINT('',(41.678881,30.716684,0.)); #491772=CARTESIAN_POINT('',(41.678881,30.716684,0.035)); #491773=CARTESIAN_POINT('',(41.678881,30.716684,0.035)); #491774=CARTESIAN_POINT('',(41.678881,30.716684,0.)); #491775=CARTESIAN_POINT('Origin',(41.822966,30.5726,0.)); #491776=CARTESIAN_POINT('',(41.822966,30.5726,0.)); #491777=CARTESIAN_POINT('',(41.822966,30.5726,0.)); #491778=CARTESIAN_POINT('',(41.822966,30.5726,0.035)); #491779=CARTESIAN_POINT('',(41.822966,30.5726,0.035)); #491780=CARTESIAN_POINT('',(41.822966,30.5726,0.)); #491781=CARTESIAN_POINT('Origin',(42.177034,30.5726,0.)); #491782=CARTESIAN_POINT('',(42.177034,30.5726,0.)); #491783=CARTESIAN_POINT('',(42.177034,30.5726,0.)); #491784=CARTESIAN_POINT('',(42.177034,30.5726,0.035)); #491785=CARTESIAN_POINT('',(42.177034,30.5726,0.035)); #491786=CARTESIAN_POINT('',(42.177034,30.5726,0.)); #491787=CARTESIAN_POINT('Origin',(42.4274,30.822966,0.)); #491788=CARTESIAN_POINT('',(42.4274,30.822966,0.)); #491789=CARTESIAN_POINT('',(42.4274,30.822966,0.)); #491790=CARTESIAN_POINT('',(42.4274,30.822966,0.035)); #491791=CARTESIAN_POINT('',(42.4274,30.822966,0.035)); #491792=CARTESIAN_POINT('',(42.4274,30.822966,0.)); #491793=CARTESIAN_POINT('Origin',(42.4274,31.177034,0.)); #491794=CARTESIAN_POINT('',(42.4274,31.177034,0.)); #491795=CARTESIAN_POINT('',(42.4274,31.177034,0.)); #491796=CARTESIAN_POINT('',(42.4274,31.177034,0.035)); #491797=CARTESIAN_POINT('',(42.4274,31.177034,0.035)); #491798=CARTESIAN_POINT('',(42.4274,31.177034,0.)); #491799=CARTESIAN_POINT('Origin',(42.250919,31.353516,0.)); #491800=CARTESIAN_POINT('',(42.250919,31.353516,0.)); #491801=CARTESIAN_POINT('',(42.250919,31.353516,0.)); #491802=CARTESIAN_POINT('',(42.250919,31.353516,0.035)); #491803=CARTESIAN_POINT('',(42.250919,31.353516,0.035)); #491804=CARTESIAN_POINT('',(42.250919,31.353516,0.)); #491805=CARTESIAN_POINT('Origin',(42.234634,31.377675,0.)); #491806=CARTESIAN_POINT('',(42.234634,31.377675,0.)); #491807=CARTESIAN_POINT('',(42.234634,31.377675,0.)); #491808=CARTESIAN_POINT('',(42.234634,31.377675,0.035)); #491809=CARTESIAN_POINT('',(42.234634,31.377675,0.035)); #491810=CARTESIAN_POINT('',(42.234634,31.377675,0.)); #491811=CARTESIAN_POINT('Origin',(42.2286,31.407397,0.)); #491812=CARTESIAN_POINT('',(42.2286,31.407397,0.)); #491813=CARTESIAN_POINT('',(42.2286,31.407397,0.)); #491814=CARTESIAN_POINT('',(42.2286,31.407397,0.035)); #491815=CARTESIAN_POINT('',(42.2286,31.407397,0.035)); #491816=CARTESIAN_POINT('',(42.2286,31.407397,0.)); #491817=CARTESIAN_POINT('Origin',(42.2286,35.592603,0.)); #491818=CARTESIAN_POINT('',(42.2286,35.592603,0.)); #491819=CARTESIAN_POINT('',(42.2286,35.592603,0.)); #491820=CARTESIAN_POINT('',(42.2286,35.592603,0.035)); #491821=CARTESIAN_POINT('',(42.2286,35.592603,0.035)); #491822=CARTESIAN_POINT('',(42.2286,35.592603,0.)); #491823=CARTESIAN_POINT('Origin',(42.234169,35.6212,0.)); #491824=CARTESIAN_POINT('',(42.234169,35.6212,0.)); #491825=CARTESIAN_POINT('',(42.234169,35.6212,0.)); #491826=CARTESIAN_POINT('',(42.234169,35.6212,0.035)); #491827=CARTESIAN_POINT('',(42.234169,35.6212,0.035)); #491828=CARTESIAN_POINT('',(42.234169,35.6212,0.)); #491829=CARTESIAN_POINT('Origin',(42.250919,35.646484,0.)); #491830=CARTESIAN_POINT('',(42.250919,35.646484,0.)); #491831=CARTESIAN_POINT('',(42.250919,35.646484,0.)); #491832=CARTESIAN_POINT('',(42.250919,35.646484,0.035)); #491833=CARTESIAN_POINT('',(42.250919,35.646484,0.035)); #491834=CARTESIAN_POINT('',(42.250919,35.646484,0.)); #491835=CARTESIAN_POINT('Origin',(42.4274,35.822966,0.)); #491836=CARTESIAN_POINT('',(42.4274,35.822966,0.)); #491837=CARTESIAN_POINT('',(42.4274,35.822966,0.)); #491838=CARTESIAN_POINT('',(42.4274,35.822966,0.035)); #491839=CARTESIAN_POINT('',(42.4274,35.822966,0.035)); #491840=CARTESIAN_POINT('',(42.4274,35.822966,0.)); #491841=CARTESIAN_POINT('Origin',(42.4274,36.177034,0.)); #491842=CARTESIAN_POINT('',(42.4274,36.177034,0.)); #491843=CARTESIAN_POINT('',(42.4274,36.177034,0.)); #491844=CARTESIAN_POINT('',(42.4274,36.177034,0.035)); #491845=CARTESIAN_POINT('',(42.4274,36.177034,0.035)); #491846=CARTESIAN_POINT('',(42.4274,36.177034,0.)); #491847=CARTESIAN_POINT('Origin',(42.177034,36.4274,0.)); #491848=CARTESIAN_POINT('',(42.177034,36.4274,0.)); #491849=CARTESIAN_POINT('',(42.177034,36.4274,0.)); #491850=CARTESIAN_POINT('',(42.177034,36.4274,0.035)); #491851=CARTESIAN_POINT('',(42.177034,36.4274,0.035)); #491852=CARTESIAN_POINT('',(42.177034,36.4274,0.)); #491853=CARTESIAN_POINT('Origin',(41.822966,36.4274,0.)); #491854=CARTESIAN_POINT('',(41.822966,36.4274,0.)); #491855=CARTESIAN_POINT('',(41.822966,36.4274,0.)); #491856=CARTESIAN_POINT('',(41.822966,36.4274,0.035)); #491857=CARTESIAN_POINT('',(41.822966,36.4274,0.035)); #491858=CARTESIAN_POINT('',(41.822966,36.4274,0.)); #491859=CARTESIAN_POINT('Origin',(41.678881,36.283316,0.)); #491860=CARTESIAN_POINT('',(41.678881,36.283316,0.)); #491861=CARTESIAN_POINT('',(41.678881,36.283316,0.)); #491862=CARTESIAN_POINT('',(41.678881,36.283316,0.035)); #491863=CARTESIAN_POINT('',(41.678881,36.283316,0.035)); #491864=CARTESIAN_POINT('',(41.678881,36.283316,0.)); #491865=CARTESIAN_POINT('Origin',(41.655834,36.267516,0.)); #491866=CARTESIAN_POINT('',(41.655834,36.267516,0.)); #491867=CARTESIAN_POINT('',(41.655834,36.267516,0.)); #491868=CARTESIAN_POINT('',(41.655834,36.267516,0.035)); #491869=CARTESIAN_POINT('',(41.655834,36.267516,0.035)); #491870=CARTESIAN_POINT('',(41.655834,36.267516,0.)); #491871=CARTESIAN_POINT('Origin',(41.626216,36.261006,0.)); #491872=CARTESIAN_POINT('',(41.626216,36.261006,0.)); #491873=CARTESIAN_POINT('',(41.626216,36.261006,0.)); #491874=CARTESIAN_POINT('',(41.626216,36.261006,0.035)); #491875=CARTESIAN_POINT('',(41.626216,36.261006,0.035)); #491876=CARTESIAN_POINT('',(41.626216,36.261006,0.)); #491877=CARTESIAN_POINT('Origin',(41.596403,36.266566,0.)); #491878=CARTESIAN_POINT('',(41.596403,36.266566,0.)); #491879=CARTESIAN_POINT('',(41.596403,36.266566,0.)); #491880=CARTESIAN_POINT('',(41.596403,36.266566,0.035)); #491881=CARTESIAN_POINT('',(41.596403,36.266566,0.035)); #491882=CARTESIAN_POINT('',(41.596403,36.266566,0.)); #491883=CARTESIAN_POINT('Origin',(41.571119,36.283316,0.)); #491884=CARTESIAN_POINT('',(41.571119,36.283316,0.)); #491885=CARTESIAN_POINT('',(41.571119,36.283316,0.)); #491886=CARTESIAN_POINT('',(41.571119,36.283316,0.035)); #491887=CARTESIAN_POINT('',(41.571119,36.283316,0.035)); #491888=CARTESIAN_POINT('',(41.571119,36.283316,0.)); #491889=CARTESIAN_POINT('Origin',(41.427034,36.4274,0.)); #491890=CARTESIAN_POINT('',(41.427034,36.4274,0.)); #491891=CARTESIAN_POINT('',(41.427034,36.4274,0.)); #491892=CARTESIAN_POINT('',(41.427034,36.4274,0.035)); #491893=CARTESIAN_POINT('',(41.427034,36.4274,0.035)); #491894=CARTESIAN_POINT('',(41.427034,36.4274,0.)); #491895=CARTESIAN_POINT('Origin',(41.072966,36.4274,0.)); #491896=CARTESIAN_POINT('',(41.072966,36.4274,0.)); #491897=CARTESIAN_POINT('',(41.072966,36.4274,0.)); #491898=CARTESIAN_POINT('',(41.072966,36.4274,0.035)); #491899=CARTESIAN_POINT('',(41.072966,36.4274,0.035)); #491900=CARTESIAN_POINT('',(41.072966,36.4274,0.)); #491901=CARTESIAN_POINT('Origin',(40.8226,36.177034,0.)); #491902=CARTESIAN_POINT('',(40.8226,36.177034,0.)); #491903=CARTESIAN_POINT('',(40.8226,36.177034,0.)); #491904=CARTESIAN_POINT('',(40.8226,36.177034,0.035)); #491905=CARTESIAN_POINT('',(40.8226,36.177034,0.035)); #491906=CARTESIAN_POINT('',(40.8226,36.177034,0.)); #491907=CARTESIAN_POINT('Origin',(40.8226,35.822966,0.)); #491908=CARTESIAN_POINT('',(40.8226,35.822966,0.)); #491909=CARTESIAN_POINT('',(40.8226,35.822966,0.)); #491910=CARTESIAN_POINT('',(40.8226,35.822966,0.035)); #491911=CARTESIAN_POINT('',(40.8226,35.822966,0.035)); #491912=CARTESIAN_POINT('',(40.8226,35.822966,0.)); #491913=CARTESIAN_POINT('Origin',(40.999081,35.646484,0.)); #491914=CARTESIAN_POINT('',(40.999081,35.646484,0.)); #491915=CARTESIAN_POINT('',(40.999081,35.646484,0.)); #491916=CARTESIAN_POINT('',(40.999081,35.646484,0.035)); #491917=CARTESIAN_POINT('',(40.999081,35.646484,0.035)); #491918=CARTESIAN_POINT('',(40.999081,35.646484,0.)); #491919=CARTESIAN_POINT('Origin',(41.015366,35.622325,0.)); #491920=CARTESIAN_POINT('',(41.015366,35.622325,0.)); #491921=CARTESIAN_POINT('',(41.015366,35.622325,0.)); #491922=CARTESIAN_POINT('',(41.015366,35.622325,0.035)); #491923=CARTESIAN_POINT('',(41.015366,35.622325,0.035)); #491924=CARTESIAN_POINT('',(41.015366,35.622325,0.)); #491925=CARTESIAN_POINT('Origin',(41.0214,35.592603,0.)); #491926=CARTESIAN_POINT('',(41.0214,35.592603,0.)); #491927=CARTESIAN_POINT('',(41.0214,35.592603,0.)); #491928=CARTESIAN_POINT('',(41.0214,35.592603,0.035)); #491929=CARTESIAN_POINT('',(41.0214,35.592603,0.035)); #491930=CARTESIAN_POINT('',(41.0214,35.592603,0.)); #491931=CARTESIAN_POINT('Origin',(41.0214,31.407397,0.)); #491932=CARTESIAN_POINT('',(41.0214,31.407397,0.)); #491933=CARTESIAN_POINT('',(41.0214,31.407397,0.)); #491934=CARTESIAN_POINT('',(41.0214,31.407397,0.035)); #491935=CARTESIAN_POINT('',(41.0214,31.407397,0.035)); #491936=CARTESIAN_POINT('',(41.0214,31.407397,0.)); #491937=CARTESIAN_POINT('Origin',(41.015831,31.3788,0.)); #491938=CARTESIAN_POINT('',(41.015831,31.3788,0.)); #491939=CARTESIAN_POINT('',(41.015831,31.3788,0.)); #491940=CARTESIAN_POINT('',(41.015831,31.3788,0.035)); #491941=CARTESIAN_POINT('',(41.015831,31.3788,0.035)); #491942=CARTESIAN_POINT('',(41.015831,31.3788,0.)); #491943=CARTESIAN_POINT('Origin',(40.999081,31.353516,0.)); #491944=CARTESIAN_POINT('',(40.999081,31.353516,0.)); #491945=CARTESIAN_POINT('',(40.999081,31.353516,0.)); #491946=CARTESIAN_POINT('',(40.999081,31.353516,0.035)); #491947=CARTESIAN_POINT('',(40.999081,31.353516,0.035)); #491948=CARTESIAN_POINT('',(40.999081,31.353516,0.)); #491949=CARTESIAN_POINT('Origin',(40.8226,31.177034,0.)); #491950=CARTESIAN_POINT('',(40.8226,31.177034,0.)); #491951=CARTESIAN_POINT('',(40.8226,31.177034,0.)); #491952=CARTESIAN_POINT('',(40.8226,31.177034,0.035)); #491953=CARTESIAN_POINT('',(40.8226,31.177034,0.035)); #491954=CARTESIAN_POINT('',(40.8226,31.177034,0.)); #491955=CARTESIAN_POINT('Origin',(40.8226,30.822966,0.)); #491956=CARTESIAN_POINT('',(40.8226,30.822966,0.)); #491957=CARTESIAN_POINT('',(40.8226,30.822966,0.)); #491958=CARTESIAN_POINT('',(40.8226,30.822966,0.035)); #491959=CARTESIAN_POINT('',(40.8226,30.822966,0.035)); #491960=CARTESIAN_POINT('',(40.8226,30.822966,0.)); #491961=CARTESIAN_POINT('Origin',(41.072966,30.5726,0.)); #491962=CARTESIAN_POINT('',(41.072966,30.5726,0.)); #491963=CARTESIAN_POINT('',(41.072966,30.5726,0.035)); #491964=CARTESIAN_POINT('Origin',(29.427034,36.3226,0.)); #491965=CARTESIAN_POINT('',(29.427034,36.3226,0.)); #491966=CARTESIAN_POINT('',(29.072966,36.3226,0.)); #491967=CARTESIAN_POINT('',(29.427034,36.3226,0.)); #491968=CARTESIAN_POINT('',(29.072966,36.3226,0.035)); #491969=CARTESIAN_POINT('',(29.072966,36.3226,0.)); #491970=CARTESIAN_POINT('',(29.427034,36.3226,0.035)); #491971=CARTESIAN_POINT('',(29.427034,36.3226,0.035)); #491972=CARTESIAN_POINT('',(29.427034,36.3226,0.)); #491973=CARTESIAN_POINT('Origin',(29.603516,36.499081,0.)); #491974=CARTESIAN_POINT('',(29.603516,36.499081,0.)); #491975=CARTESIAN_POINT('',(29.603516,36.499081,0.)); #491976=CARTESIAN_POINT('',(29.603516,36.499081,0.035)); #491977=CARTESIAN_POINT('',(29.603516,36.499081,0.035)); #491978=CARTESIAN_POINT('',(29.603516,36.499081,0.)); #491979=CARTESIAN_POINT('Origin',(29.627675,36.515366,0.)); #491980=CARTESIAN_POINT('',(29.627675,36.515366,0.)); #491981=CARTESIAN_POINT('',(29.627675,36.515366,0.)); #491982=CARTESIAN_POINT('',(29.627675,36.515366,0.035)); #491983=CARTESIAN_POINT('',(29.627675,36.515366,0.035)); #491984=CARTESIAN_POINT('',(29.627675,36.515366,0.)); #491985=CARTESIAN_POINT('Origin',(29.657397,36.5214,0.)); #491986=CARTESIAN_POINT('',(29.657397,36.5214,0.)); #491987=CARTESIAN_POINT('',(29.657397,36.5214,0.)); #491988=CARTESIAN_POINT('',(29.657397,36.5214,0.035)); #491989=CARTESIAN_POINT('',(29.657397,36.5214,0.035)); #491990=CARTESIAN_POINT('',(29.657397,36.5214,0.)); #491991=CARTESIAN_POINT('Origin',(30.405103,36.5214,0.)); #491992=CARTESIAN_POINT('',(30.405103,36.5214,0.)); #491993=CARTESIAN_POINT('',(30.405103,36.5214,0.)); #491994=CARTESIAN_POINT('',(30.405103,36.5214,0.035)); #491995=CARTESIAN_POINT('',(30.405103,36.5214,0.035)); #491996=CARTESIAN_POINT('',(30.405103,36.5214,0.)); #491997=CARTESIAN_POINT('Origin',(30.4337,36.515831,0.)); #491998=CARTESIAN_POINT('',(30.4337,36.515831,0.)); #491999=CARTESIAN_POINT('',(30.4337,36.515831,0.)); #492000=CARTESIAN_POINT('',(30.4337,36.515831,0.035)); #492001=CARTESIAN_POINT('',(30.4337,36.515831,0.035)); #492002=CARTESIAN_POINT('',(30.4337,36.515831,0.)); #492003=CARTESIAN_POINT('Origin',(30.458984,36.499081,0.)); #492004=CARTESIAN_POINT('',(30.458984,36.499081,0.)); #492005=CARTESIAN_POINT('',(30.458984,36.499081,0.)); #492006=CARTESIAN_POINT('',(30.458984,36.499081,0.035)); #492007=CARTESIAN_POINT('',(30.458984,36.499081,0.035)); #492008=CARTESIAN_POINT('',(30.458984,36.499081,0.)); #492009=CARTESIAN_POINT('Origin',(30.635466,36.3226,0.)); #492010=CARTESIAN_POINT('',(30.635466,36.3226,0.)); #492011=CARTESIAN_POINT('',(30.635466,36.3226,0.)); #492012=CARTESIAN_POINT('',(30.635466,36.3226,0.035)); #492013=CARTESIAN_POINT('',(30.635466,36.3226,0.035)); #492014=CARTESIAN_POINT('',(30.635466,36.3226,0.)); #492015=CARTESIAN_POINT('Origin',(30.989534,36.3226,0.)); #492016=CARTESIAN_POINT('',(30.989534,36.3226,0.)); #492017=CARTESIAN_POINT('',(30.989534,36.3226,0.)); #492018=CARTESIAN_POINT('',(30.989534,36.3226,0.035)); #492019=CARTESIAN_POINT('',(30.989534,36.3226,0.035)); #492020=CARTESIAN_POINT('',(30.989534,36.3226,0.)); #492021=CARTESIAN_POINT('Origin',(31.2399,36.572966,0.)); #492022=CARTESIAN_POINT('',(31.2399,36.572966,0.)); #492023=CARTESIAN_POINT('',(31.2399,36.572966,0.)); #492024=CARTESIAN_POINT('',(31.2399,36.572966,0.035)); #492025=CARTESIAN_POINT('',(31.2399,36.572966,0.035)); #492026=CARTESIAN_POINT('',(31.2399,36.572966,0.)); #492027=CARTESIAN_POINT('Origin',(31.2399,36.927034,0.)); #492028=CARTESIAN_POINT('',(31.2399,36.927034,0.)); #492029=CARTESIAN_POINT('',(31.2399,36.927034,0.)); #492030=CARTESIAN_POINT('',(31.2399,36.927034,0.035)); #492031=CARTESIAN_POINT('',(31.2399,36.927034,0.035)); #492032=CARTESIAN_POINT('',(31.2399,36.927034,0.)); #492033=CARTESIAN_POINT('Origin',(30.989534,37.1774,0.)); #492034=CARTESIAN_POINT('',(30.989534,37.1774,0.)); #492035=CARTESIAN_POINT('',(30.989534,37.1774,0.)); #492036=CARTESIAN_POINT('',(30.989534,37.1774,0.035)); #492037=CARTESIAN_POINT('',(30.989534,37.1774,0.035)); #492038=CARTESIAN_POINT('',(30.989534,37.1774,0.)); #492039=CARTESIAN_POINT('Origin',(30.635466,37.1774,0.)); #492040=CARTESIAN_POINT('',(30.635466,37.1774,0.)); #492041=CARTESIAN_POINT('',(30.635466,37.1774,0.)); #492042=CARTESIAN_POINT('',(30.635466,37.1774,0.035)); #492043=CARTESIAN_POINT('',(30.635466,37.1774,0.035)); #492044=CARTESIAN_POINT('',(30.635466,37.1774,0.)); #492045=CARTESIAN_POINT('Origin',(30.458984,37.000919,0.)); #492046=CARTESIAN_POINT('',(30.458984,37.000919,0.)); #492047=CARTESIAN_POINT('',(30.458984,37.000919,0.)); #492048=CARTESIAN_POINT('',(30.458984,37.000919,0.035)); #492049=CARTESIAN_POINT('',(30.458984,37.000919,0.035)); #492050=CARTESIAN_POINT('',(30.458984,37.000919,0.)); #492051=CARTESIAN_POINT('Origin',(30.434825,36.984634,0.)); #492052=CARTESIAN_POINT('',(30.434825,36.984634,0.)); #492053=CARTESIAN_POINT('',(30.434825,36.984634,0.)); #492054=CARTESIAN_POINT('',(30.434825,36.984634,0.035)); #492055=CARTESIAN_POINT('',(30.434825,36.984634,0.035)); #492056=CARTESIAN_POINT('',(30.434825,36.984634,0.)); #492057=CARTESIAN_POINT('Origin',(30.405103,36.9786,0.)); #492058=CARTESIAN_POINT('',(30.405103,36.9786,0.)); #492059=CARTESIAN_POINT('',(30.405103,36.9786,0.)); #492060=CARTESIAN_POINT('',(30.405103,36.9786,0.035)); #492061=CARTESIAN_POINT('',(30.405103,36.9786,0.035)); #492062=CARTESIAN_POINT('',(30.405103,36.9786,0.)); #492063=CARTESIAN_POINT('Origin',(29.657397,36.9786,0.)); #492064=CARTESIAN_POINT('',(29.657397,36.9786,0.)); #492065=CARTESIAN_POINT('',(29.657397,36.9786,0.)); #492066=CARTESIAN_POINT('',(29.657397,36.9786,0.035)); #492067=CARTESIAN_POINT('',(29.657397,36.9786,0.035)); #492068=CARTESIAN_POINT('',(29.657397,36.9786,0.)); #492069=CARTESIAN_POINT('Origin',(29.6288,36.984169,0.)); #492070=CARTESIAN_POINT('',(29.6288,36.984169,0.)); #492071=CARTESIAN_POINT('',(29.6288,36.984169,0.)); #492072=CARTESIAN_POINT('',(29.6288,36.984169,0.035)); #492073=CARTESIAN_POINT('',(29.6288,36.984169,0.035)); #492074=CARTESIAN_POINT('',(29.6288,36.984169,0.)); #492075=CARTESIAN_POINT('Origin',(29.603516,37.000919,0.)); #492076=CARTESIAN_POINT('',(29.603516,37.000919,0.)); #492077=CARTESIAN_POINT('',(29.603516,37.000919,0.)); #492078=CARTESIAN_POINT('',(29.603516,37.000919,0.035)); #492079=CARTESIAN_POINT('',(29.603516,37.000919,0.035)); #492080=CARTESIAN_POINT('',(29.603516,37.000919,0.)); #492081=CARTESIAN_POINT('Origin',(29.427034,37.1774,0.)); #492082=CARTESIAN_POINT('',(29.427034,37.1774,0.)); #492083=CARTESIAN_POINT('',(29.427034,37.1774,0.)); #492084=CARTESIAN_POINT('',(29.427034,37.1774,0.035)); #492085=CARTESIAN_POINT('',(29.427034,37.1774,0.035)); #492086=CARTESIAN_POINT('',(29.427034,37.1774,0.)); #492087=CARTESIAN_POINT('Origin',(29.072966,37.1774,0.)); #492088=CARTESIAN_POINT('',(29.072966,37.1774,0.)); #492089=CARTESIAN_POINT('',(29.072966,37.1774,0.)); #492090=CARTESIAN_POINT('',(29.072966,37.1774,0.035)); #492091=CARTESIAN_POINT('',(29.072966,37.1774,0.035)); #492092=CARTESIAN_POINT('',(29.072966,37.1774,0.)); #492093=CARTESIAN_POINT('Origin',(28.8226,36.927034,0.)); #492094=CARTESIAN_POINT('',(28.8226,36.927034,0.)); #492095=CARTESIAN_POINT('',(28.8226,36.927034,0.)); #492096=CARTESIAN_POINT('',(28.8226,36.927034,0.035)); #492097=CARTESIAN_POINT('',(28.8226,36.927034,0.035)); #492098=CARTESIAN_POINT('',(28.8226,36.927034,0.)); #492099=CARTESIAN_POINT('Origin',(28.8226,36.572966,0.)); #492100=CARTESIAN_POINT('',(28.8226,36.572966,0.)); #492101=CARTESIAN_POINT('',(28.8226,36.572966,0.)); #492102=CARTESIAN_POINT('',(28.8226,36.572966,0.035)); #492103=CARTESIAN_POINT('',(28.8226,36.572966,0.035)); #492104=CARTESIAN_POINT('',(28.8226,36.572966,0.)); #492105=CARTESIAN_POINT('Origin',(29.072966,36.3226,0.)); #492106=CARTESIAN_POINT('',(29.072966,36.3226,0.)); #492107=CARTESIAN_POINT('',(29.072966,36.3226,0.035)); #492108=CARTESIAN_POINT('Origin',(34.239534,11.8226,0.)); #492109=CARTESIAN_POINT('',(34.239534,11.8226,0.)); #492110=CARTESIAN_POINT('',(33.885466,11.8226,0.)); #492111=CARTESIAN_POINT('',(34.239534,11.8226,0.)); #492112=CARTESIAN_POINT('',(33.885466,11.8226,0.035)); #492113=CARTESIAN_POINT('',(33.885466,11.8226,0.)); #492114=CARTESIAN_POINT('',(34.239534,11.8226,0.035)); #492115=CARTESIAN_POINT('',(34.239534,11.8226,0.035)); #492116=CARTESIAN_POINT('',(34.239534,11.8226,0.)); #492117=CARTESIAN_POINT('Origin',(34.446119,12.029184,0.)); #492118=CARTESIAN_POINT('',(34.446119,12.029184,0.)); #492119=CARTESIAN_POINT('',(34.446119,12.029184,0.)); #492120=CARTESIAN_POINT('',(34.446119,12.029184,0.035)); #492121=CARTESIAN_POINT('',(34.446119,12.029184,0.035)); #492122=CARTESIAN_POINT('',(34.446119,12.029184,0.)); #492123=CARTESIAN_POINT('Origin',(34.469166,12.044984,0.)); #492124=CARTESIAN_POINT('',(34.469166,12.044984,0.)); #492125=CARTESIAN_POINT('',(34.469166,12.044984,0.)); #492126=CARTESIAN_POINT('',(34.469166,12.044984,0.035)); #492127=CARTESIAN_POINT('',(34.469166,12.044984,0.035)); #492128=CARTESIAN_POINT('',(34.469166,12.044984,0.)); #492129=CARTESIAN_POINT('Origin',(34.498784,12.051494,0.)); #492130=CARTESIAN_POINT('',(34.498784,12.051494,0.)); #492131=CARTESIAN_POINT('',(34.498784,12.051494,0.)); #492132=CARTESIAN_POINT('',(34.498784,12.051494,0.035)); #492133=CARTESIAN_POINT('',(34.498784,12.051494,0.035)); #492134=CARTESIAN_POINT('',(34.498784,12.051494,0.)); #492135=CARTESIAN_POINT('Origin',(34.528597,12.045934,0.)); #492136=CARTESIAN_POINT('',(34.528597,12.045934,0.)); #492137=CARTESIAN_POINT('',(34.528597,12.045934,0.)); #492138=CARTESIAN_POINT('',(34.528597,12.045934,0.035)); #492139=CARTESIAN_POINT('',(34.528597,12.045934,0.035)); #492140=CARTESIAN_POINT('',(34.528597,12.045934,0.)); #492141=CARTESIAN_POINT('Origin',(34.553881,12.029184,0.)); #492142=CARTESIAN_POINT('',(34.553881,12.029184,0.)); #492143=CARTESIAN_POINT('',(34.553881,12.029184,0.)); #492144=CARTESIAN_POINT('',(34.553881,12.029184,0.035)); #492145=CARTESIAN_POINT('',(34.553881,12.029184,0.035)); #492146=CARTESIAN_POINT('',(34.553881,12.029184,0.)); #492147=CARTESIAN_POINT('Origin',(34.760466,11.8226,0.)); #492148=CARTESIAN_POINT('',(34.760466,11.8226,0.)); #492149=CARTESIAN_POINT('',(34.760466,11.8226,0.)); #492150=CARTESIAN_POINT('',(34.760466,11.8226,0.035)); #492151=CARTESIAN_POINT('',(34.760466,11.8226,0.035)); #492152=CARTESIAN_POINT('',(34.760466,11.8226,0.)); #492153=CARTESIAN_POINT('Origin',(35.114534,11.8226,0.)); #492154=CARTESIAN_POINT('',(35.114534,11.8226,0.)); #492155=CARTESIAN_POINT('',(35.114534,11.8226,0.)); #492156=CARTESIAN_POINT('',(35.114534,11.8226,0.035)); #492157=CARTESIAN_POINT('',(35.114534,11.8226,0.035)); #492158=CARTESIAN_POINT('',(35.114534,11.8226,0.)); #492159=CARTESIAN_POINT('Origin',(35.291016,11.999081,0.)); #492160=CARTESIAN_POINT('',(35.291016,11.999081,0.)); #492161=CARTESIAN_POINT('',(35.291016,11.999081,0.)); #492162=CARTESIAN_POINT('',(35.291016,11.999081,0.035)); #492163=CARTESIAN_POINT('',(35.291016,11.999081,0.035)); #492164=CARTESIAN_POINT('',(35.291016,11.999081,0.)); #492165=CARTESIAN_POINT('Origin',(35.315175,12.015366,0.)); #492166=CARTESIAN_POINT('',(35.315175,12.015366,0.)); #492167=CARTESIAN_POINT('',(35.315175,12.015366,0.)); #492168=CARTESIAN_POINT('',(35.315175,12.015366,0.035)); #492169=CARTESIAN_POINT('',(35.315175,12.015366,0.035)); #492170=CARTESIAN_POINT('',(35.315175,12.015366,0.)); #492171=CARTESIAN_POINT('Origin',(35.344897,12.0214,0.)); #492172=CARTESIAN_POINT('',(35.344897,12.0214,0.)); #492173=CARTESIAN_POINT('',(35.344897,12.0214,0.)); #492174=CARTESIAN_POINT('',(35.344897,12.0214,0.035)); #492175=CARTESIAN_POINT('',(35.344897,12.0214,0.035)); #492176=CARTESIAN_POINT('',(35.344897,12.0214,0.)); #492177=CARTESIAN_POINT('Origin',(40.591791,12.0214,0.)); #492178=CARTESIAN_POINT('',(40.591791,12.0214,0.)); #492179=CARTESIAN_POINT('',(40.591791,12.0214,0.)); #492180=CARTESIAN_POINT('',(40.591791,12.0214,0.035)); #492181=CARTESIAN_POINT('',(40.591791,12.0214,0.035)); #492182=CARTESIAN_POINT('',(40.591791,12.0214,0.)); #492183=CARTESIAN_POINT('Origin',(41.123572,12.553181,0.)); #492184=CARTESIAN_POINT('',(41.123572,12.553181,0.)); #492185=CARTESIAN_POINT('',(41.123572,12.553181,0.)); #492186=CARTESIAN_POINT('',(41.123572,12.553181,0.035)); #492187=CARTESIAN_POINT('',(41.123572,12.553181,0.035)); #492188=CARTESIAN_POINT('',(41.123572,12.553181,0.)); #492189=CARTESIAN_POINT('Origin',(41.147731,12.569466,0.)); #492190=CARTESIAN_POINT('',(41.147731,12.569466,0.)); #492191=CARTESIAN_POINT('',(41.147731,12.569466,0.)); #492192=CARTESIAN_POINT('',(41.147731,12.569466,0.035)); #492193=CARTESIAN_POINT('',(41.147731,12.569466,0.035)); #492194=CARTESIAN_POINT('',(41.147731,12.569466,0.)); #492195=CARTESIAN_POINT('Origin',(41.177453,12.5755,0.)); #492196=CARTESIAN_POINT('',(41.177453,12.5755,0.)); #492197=CARTESIAN_POINT('',(41.177453,12.5755,0.)); #492198=CARTESIAN_POINT('',(41.177453,12.5755,0.035)); #492199=CARTESIAN_POINT('',(41.177453,12.5755,0.035)); #492200=CARTESIAN_POINT('',(41.177453,12.5755,0.)); #492201=CARTESIAN_POINT('Origin',(41.427034,12.5755,0.)); #492202=CARTESIAN_POINT('',(41.427034,12.5755,0.)); #492203=CARTESIAN_POINT('',(41.427034,12.5755,0.)); #492204=CARTESIAN_POINT('',(41.427034,12.5755,0.035)); #492205=CARTESIAN_POINT('',(41.427034,12.5755,0.035)); #492206=CARTESIAN_POINT('',(41.427034,12.5755,0.)); #492207=CARTESIAN_POINT('Origin',(41.6774,12.825866,0.)); #492208=CARTESIAN_POINT('',(41.6774,12.825866,0.)); #492209=CARTESIAN_POINT('',(41.6774,12.825866,0.)); #492210=CARTESIAN_POINT('',(41.6774,12.825866,0.035)); #492211=CARTESIAN_POINT('',(41.6774,12.825866,0.035)); #492212=CARTESIAN_POINT('',(41.6774,12.825866,0.)); #492213=CARTESIAN_POINT('Origin',(41.6774,13.179934,0.)); #492214=CARTESIAN_POINT('',(41.6774,13.179934,0.)); #492215=CARTESIAN_POINT('',(41.6774,13.179934,0.)); #492216=CARTESIAN_POINT('',(41.6774,13.179934,0.035)); #492217=CARTESIAN_POINT('',(41.6774,13.179934,0.035)); #492218=CARTESIAN_POINT('',(41.6774,13.179934,0.)); #492219=CARTESIAN_POINT('Origin',(41.427034,13.4303,0.)); #492220=CARTESIAN_POINT('',(41.427034,13.4303,0.)); #492221=CARTESIAN_POINT('',(41.427034,13.4303,0.)); #492222=CARTESIAN_POINT('',(41.427034,13.4303,0.035)); #492223=CARTESIAN_POINT('',(41.427034,13.4303,0.035)); #492224=CARTESIAN_POINT('',(41.427034,13.4303,0.)); #492225=CARTESIAN_POINT('Origin',(41.072966,13.4303,0.)); #492226=CARTESIAN_POINT('',(41.072966,13.4303,0.)); #492227=CARTESIAN_POINT('',(41.072966,13.4303,0.)); #492228=CARTESIAN_POINT('',(41.072966,13.4303,0.035)); #492229=CARTESIAN_POINT('',(41.072966,13.4303,0.035)); #492230=CARTESIAN_POINT('',(41.072966,13.4303,0.)); #492231=CARTESIAN_POINT('Origin',(40.8226,13.179934,0.)); #492232=CARTESIAN_POINT('',(40.8226,13.179934,0.)); #492233=CARTESIAN_POINT('',(40.8226,13.179934,0.)); #492234=CARTESIAN_POINT('',(40.8226,13.179934,0.035)); #492235=CARTESIAN_POINT('',(40.8226,13.179934,0.035)); #492236=CARTESIAN_POINT('',(40.8226,13.179934,0.)); #492237=CARTESIAN_POINT('Origin',(40.8226,12.930353,0.)); #492238=CARTESIAN_POINT('',(40.8226,12.930353,0.)); #492239=CARTESIAN_POINT('',(40.8226,12.930353,0.)); #492240=CARTESIAN_POINT('',(40.8226,12.930353,0.035)); #492241=CARTESIAN_POINT('',(40.8226,12.930353,0.035)); #492242=CARTESIAN_POINT('',(40.8226,12.930353,0.)); #492243=CARTESIAN_POINT('Origin',(40.817031,12.901756,0.)); #492244=CARTESIAN_POINT('',(40.817031,12.901756,0.)); #492245=CARTESIAN_POINT('',(40.817031,12.901756,0.)); #492246=CARTESIAN_POINT('',(40.817031,12.901756,0.035)); #492247=CARTESIAN_POINT('',(40.817031,12.901756,0.035)); #492248=CARTESIAN_POINT('',(40.817031,12.901756,0.)); #492249=CARTESIAN_POINT('Origin',(40.800281,12.876472,0.)); #492250=CARTESIAN_POINT('',(40.800281,12.876472,0.)); #492251=CARTESIAN_POINT('',(40.800281,12.876472,0.)); #492252=CARTESIAN_POINT('',(40.800281,12.876472,0.035)); #492253=CARTESIAN_POINT('',(40.800281,12.876472,0.035)); #492254=CARTESIAN_POINT('',(40.800281,12.876472,0.)); #492255=CARTESIAN_POINT('Origin',(40.424728,12.500919,0.)); #492256=CARTESIAN_POINT('',(40.424728,12.500919,0.)); #492257=CARTESIAN_POINT('',(40.424728,12.500919,0.)); #492258=CARTESIAN_POINT('',(40.424728,12.500919,0.035)); #492259=CARTESIAN_POINT('',(40.424728,12.500919,0.035)); #492260=CARTESIAN_POINT('',(40.424728,12.500919,0.)); #492261=CARTESIAN_POINT('Origin',(40.400569,12.484634,0.)); #492262=CARTESIAN_POINT('',(40.400569,12.484634,0.)); #492263=CARTESIAN_POINT('',(40.400569,12.484634,0.)); #492264=CARTESIAN_POINT('',(40.400569,12.484634,0.035)); #492265=CARTESIAN_POINT('',(40.400569,12.484634,0.035)); #492266=CARTESIAN_POINT('',(40.400569,12.484634,0.)); #492267=CARTESIAN_POINT('Origin',(40.370847,12.4786,0.)); #492268=CARTESIAN_POINT('',(40.370847,12.4786,0.)); #492269=CARTESIAN_POINT('',(40.370847,12.4786,0.)); #492270=CARTESIAN_POINT('',(40.370847,12.4786,0.035)); #492271=CARTESIAN_POINT('',(40.370847,12.4786,0.035)); #492272=CARTESIAN_POINT('',(40.370847,12.4786,0.)); #492273=CARTESIAN_POINT('Origin',(35.344897,12.4786,0.)); #492274=CARTESIAN_POINT('',(35.344897,12.4786,0.)); #492275=CARTESIAN_POINT('',(35.344897,12.4786,0.)); #492276=CARTESIAN_POINT('',(35.344897,12.4786,0.035)); #492277=CARTESIAN_POINT('',(35.344897,12.4786,0.035)); #492278=CARTESIAN_POINT('',(35.344897,12.4786,0.)); #492279=CARTESIAN_POINT('Origin',(35.3163,12.484169,0.)); #492280=CARTESIAN_POINT('',(35.3163,12.484169,0.)); #492281=CARTESIAN_POINT('',(35.3163,12.484169,0.)); #492282=CARTESIAN_POINT('',(35.3163,12.484169,0.035)); #492283=CARTESIAN_POINT('',(35.3163,12.484169,0.035)); #492284=CARTESIAN_POINT('',(35.3163,12.484169,0.)); #492285=CARTESIAN_POINT('Origin',(35.291016,12.500919,0.)); #492286=CARTESIAN_POINT('',(35.291016,12.500919,0.)); #492287=CARTESIAN_POINT('',(35.291016,12.500919,0.)); #492288=CARTESIAN_POINT('',(35.291016,12.500919,0.035)); #492289=CARTESIAN_POINT('',(35.291016,12.500919,0.035)); #492290=CARTESIAN_POINT('',(35.291016,12.500919,0.)); #492291=CARTESIAN_POINT('Origin',(35.114534,12.6774,0.)); #492292=CARTESIAN_POINT('',(35.114534,12.6774,0.)); #492293=CARTESIAN_POINT('',(35.114534,12.6774,0.)); #492294=CARTESIAN_POINT('',(35.114534,12.6774,0.035)); #492295=CARTESIAN_POINT('',(35.114534,12.6774,0.035)); #492296=CARTESIAN_POINT('',(35.114534,12.6774,0.)); #492297=CARTESIAN_POINT('Origin',(34.760466,12.6774,0.)); #492298=CARTESIAN_POINT('',(34.760466,12.6774,0.)); #492299=CARTESIAN_POINT('',(34.760466,12.6774,0.)); #492300=CARTESIAN_POINT('',(34.760466,12.6774,0.035)); #492301=CARTESIAN_POINT('',(34.760466,12.6774,0.035)); #492302=CARTESIAN_POINT('',(34.760466,12.6774,0.)); #492303=CARTESIAN_POINT('Origin',(34.553881,12.470816,0.)); #492304=CARTESIAN_POINT('',(34.553881,12.470816,0.)); #492305=CARTESIAN_POINT('',(34.553881,12.470816,0.)); #492306=CARTESIAN_POINT('',(34.553881,12.470816,0.035)); #492307=CARTESIAN_POINT('',(34.553881,12.470816,0.035)); #492308=CARTESIAN_POINT('',(34.553881,12.470816,0.)); #492309=CARTESIAN_POINT('Origin',(34.530834,12.455016,0.)); #492310=CARTESIAN_POINT('',(34.530834,12.455016,0.)); #492311=CARTESIAN_POINT('',(34.530834,12.455016,0.)); #492312=CARTESIAN_POINT('',(34.530834,12.455016,0.035)); #492313=CARTESIAN_POINT('',(34.530834,12.455016,0.035)); #492314=CARTESIAN_POINT('',(34.530834,12.455016,0.)); #492315=CARTESIAN_POINT('Origin',(34.501216,12.448506,0.)); #492316=CARTESIAN_POINT('',(34.501216,12.448506,0.)); #492317=CARTESIAN_POINT('',(34.501216,12.448506,0.)); #492318=CARTESIAN_POINT('',(34.501216,12.448506,0.035)); #492319=CARTESIAN_POINT('',(34.501216,12.448506,0.035)); #492320=CARTESIAN_POINT('',(34.501216,12.448506,0.)); #492321=CARTESIAN_POINT('Origin',(34.471403,12.454066,0.)); #492322=CARTESIAN_POINT('',(34.471403,12.454066,0.)); #492323=CARTESIAN_POINT('',(34.471403,12.454066,0.)); #492324=CARTESIAN_POINT('',(34.471403,12.454066,0.035)); #492325=CARTESIAN_POINT('',(34.471403,12.454066,0.035)); #492326=CARTESIAN_POINT('',(34.471403,12.454066,0.)); #492327=CARTESIAN_POINT('Origin',(34.446119,12.470816,0.)); #492328=CARTESIAN_POINT('',(34.446119,12.470816,0.)); #492329=CARTESIAN_POINT('',(34.446119,12.470816,0.)); #492330=CARTESIAN_POINT('',(34.446119,12.470816,0.035)); #492331=CARTESIAN_POINT('',(34.446119,12.470816,0.035)); #492332=CARTESIAN_POINT('',(34.446119,12.470816,0.)); #492333=CARTESIAN_POINT('Origin',(34.239534,12.6774,0.)); #492334=CARTESIAN_POINT('',(34.239534,12.6774,0.)); #492335=CARTESIAN_POINT('',(34.239534,12.6774,0.)); #492336=CARTESIAN_POINT('',(34.239534,12.6774,0.035)); #492337=CARTESIAN_POINT('',(34.239534,12.6774,0.035)); #492338=CARTESIAN_POINT('',(34.239534,12.6774,0.)); #492339=CARTESIAN_POINT('Origin',(33.885466,12.6774,0.)); #492340=CARTESIAN_POINT('',(33.885466,12.6774,0.)); #492341=CARTESIAN_POINT('',(33.885466,12.6774,0.)); #492342=CARTESIAN_POINT('',(33.885466,12.6774,0.035)); #492343=CARTESIAN_POINT('',(33.885466,12.6774,0.035)); #492344=CARTESIAN_POINT('',(33.885466,12.6774,0.)); #492345=CARTESIAN_POINT('Origin',(33.708984,12.500919,0.)); #492346=CARTESIAN_POINT('',(33.708984,12.500919,0.)); #492347=CARTESIAN_POINT('',(33.708984,12.500919,0.)); #492348=CARTESIAN_POINT('',(33.708984,12.500919,0.035)); #492349=CARTESIAN_POINT('',(33.708984,12.500919,0.035)); #492350=CARTESIAN_POINT('',(33.708984,12.500919,0.)); #492351=CARTESIAN_POINT('Origin',(33.684825,12.484634,0.)); #492352=CARTESIAN_POINT('',(33.684825,12.484634,0.)); #492353=CARTESIAN_POINT('',(33.684825,12.484634,0.)); #492354=CARTESIAN_POINT('',(33.684825,12.484634,0.035)); #492355=CARTESIAN_POINT('',(33.684825,12.484634,0.035)); #492356=CARTESIAN_POINT('',(33.684825,12.484634,0.)); #492357=CARTESIAN_POINT('Origin',(33.655103,12.4786,0.)); #492358=CARTESIAN_POINT('',(33.655103,12.4786,0.)); #492359=CARTESIAN_POINT('',(33.655103,12.4786,0.)); #492360=CARTESIAN_POINT('',(33.655103,12.4786,0.035)); #492361=CARTESIAN_POINT('',(33.655103,12.4786,0.035)); #492362=CARTESIAN_POINT('',(33.655103,12.4786,0.)); #492363=CARTESIAN_POINT('Origin',(31.266997,12.4786,0.)); #492364=CARTESIAN_POINT('',(31.266997,12.4786,0.)); #492365=CARTESIAN_POINT('',(31.266997,12.4786,0.)); #492366=CARTESIAN_POINT('',(31.266997,12.4786,0.035)); #492367=CARTESIAN_POINT('',(31.266997,12.4786,0.035)); #492368=CARTESIAN_POINT('',(31.266997,12.4786,0.)); #492369=CARTESIAN_POINT('Origin',(31.240666,12.483294,0.)); #492370=CARTESIAN_POINT('',(31.240666,12.483294,0.)); #492371=CARTESIAN_POINT('',(31.240666,12.483294,0.)); #492372=CARTESIAN_POINT('',(31.240666,12.483294,0.035)); #492373=CARTESIAN_POINT('',(31.240666,12.483294,0.035)); #492374=CARTESIAN_POINT('',(31.240666,12.483294,0.)); #492375=CARTESIAN_POINT('Origin',(31.214859,12.499228,0.)); #492376=CARTESIAN_POINT('',(31.214859,12.499228,0.)); #492377=CARTESIAN_POINT('',(31.214859,12.499228,0.)); #492378=CARTESIAN_POINT('',(31.214859,12.499228,0.035)); #492379=CARTESIAN_POINT('',(31.214859,12.499228,0.035)); #492380=CARTESIAN_POINT('',(31.214859,12.499228,0.)); #492381=CARTESIAN_POINT('Origin',(31.197316,12.523966,0.)); #492382=CARTESIAN_POINT('',(31.197316,12.523966,0.)); #492383=CARTESIAN_POINT('',(31.197316,12.523966,0.)); #492384=CARTESIAN_POINT('',(31.197316,12.523966,0.035)); #492385=CARTESIAN_POINT('',(31.197316,12.523966,0.035)); #492386=CARTESIAN_POINT('',(31.197316,12.523966,0.)); #492387=CARTESIAN_POINT('Origin',(31.190806,12.553584,0.)); #492388=CARTESIAN_POINT('',(31.190806,12.553584,0.)); #492389=CARTESIAN_POINT('',(31.190806,12.553584,0.)); #492390=CARTESIAN_POINT('',(31.190806,12.553584,0.035)); #492391=CARTESIAN_POINT('',(31.190806,12.553584,0.035)); #492392=CARTESIAN_POINT('',(31.190806,12.553584,0.)); #492393=CARTESIAN_POINT('Origin',(31.196366,12.583397,0.)); #492394=CARTESIAN_POINT('',(31.196366,12.583397,0.)); #492395=CARTESIAN_POINT('',(31.196366,12.583397,0.)); #492396=CARTESIAN_POINT('',(31.196366,12.583397,0.035)); #492397=CARTESIAN_POINT('',(31.196366,12.583397,0.035)); #492398=CARTESIAN_POINT('',(31.196366,12.583397,0.)); #492399=CARTESIAN_POINT('Origin',(31.213116,12.608681,0.)); #492400=CARTESIAN_POINT('',(31.213116,12.608681,0.)); #492401=CARTESIAN_POINT('',(31.213116,12.608681,0.)); #492402=CARTESIAN_POINT('',(31.213116,12.608681,0.035)); #492403=CARTESIAN_POINT('',(31.213116,12.608681,0.035)); #492404=CARTESIAN_POINT('',(31.213116,12.608681,0.)); #492405=CARTESIAN_POINT('Origin',(31.4274,12.822966,0.)); #492406=CARTESIAN_POINT('',(31.4274,12.822966,0.)); #492407=CARTESIAN_POINT('',(31.4274,12.822966,0.)); #492408=CARTESIAN_POINT('',(31.4274,12.822966,0.035)); #492409=CARTESIAN_POINT('',(31.4274,12.822966,0.035)); #492410=CARTESIAN_POINT('',(31.4274,12.822966,0.)); #492411=CARTESIAN_POINT('Origin',(31.4274,13.177034,0.)); #492412=CARTESIAN_POINT('',(31.4274,13.177034,0.)); #492413=CARTESIAN_POINT('',(31.4274,13.177034,0.)); #492414=CARTESIAN_POINT('',(31.4274,13.177034,0.035)); #492415=CARTESIAN_POINT('',(31.4274,13.177034,0.035)); #492416=CARTESIAN_POINT('',(31.4274,13.177034,0.)); #492417=CARTESIAN_POINT('Origin',(31.177034,13.4274,0.)); #492418=CARTESIAN_POINT('',(31.177034,13.4274,0.)); #492419=CARTESIAN_POINT('',(31.177034,13.4274,0.)); #492420=CARTESIAN_POINT('',(31.177034,13.4274,0.035)); #492421=CARTESIAN_POINT('',(31.177034,13.4274,0.035)); #492422=CARTESIAN_POINT('',(31.177034,13.4274,0.)); #492423=CARTESIAN_POINT('Origin',(30.822966,13.4274,0.)); #492424=CARTESIAN_POINT('',(30.822966,13.4274,0.)); #492425=CARTESIAN_POINT('',(30.822966,13.4274,0.)); #492426=CARTESIAN_POINT('',(30.822966,13.4274,0.035)); #492427=CARTESIAN_POINT('',(30.822966,13.4274,0.035)); #492428=CARTESIAN_POINT('',(30.822966,13.4274,0.)); #492429=CARTESIAN_POINT('Origin',(30.646484,13.250919,0.)); #492430=CARTESIAN_POINT('',(30.646484,13.250919,0.)); #492431=CARTESIAN_POINT('',(30.646484,13.250919,0.)); #492432=CARTESIAN_POINT('',(30.646484,13.250919,0.035)); #492433=CARTESIAN_POINT('',(30.646484,13.250919,0.035)); #492434=CARTESIAN_POINT('',(30.646484,13.250919,0.)); #492435=CARTESIAN_POINT('Origin',(30.622325,13.234634,0.)); #492436=CARTESIAN_POINT('',(30.622325,13.234634,0.)); #492437=CARTESIAN_POINT('',(30.622325,13.234634,0.)); #492438=CARTESIAN_POINT('',(30.622325,13.234634,0.035)); #492439=CARTESIAN_POINT('',(30.622325,13.234634,0.035)); #492440=CARTESIAN_POINT('',(30.622325,13.234634,0.)); #492441=CARTESIAN_POINT('Origin',(30.592603,13.2286,0.)); #492442=CARTESIAN_POINT('',(30.592603,13.2286,0.)); #492443=CARTESIAN_POINT('',(30.592603,13.2286,0.)); #492444=CARTESIAN_POINT('',(30.592603,13.2286,0.035)); #492445=CARTESIAN_POINT('',(30.592603,13.2286,0.035)); #492446=CARTESIAN_POINT('',(30.592603,13.2286,0.)); #492447=CARTESIAN_POINT('Origin',(30.376253,13.2286,0.)); #492448=CARTESIAN_POINT('',(30.376253,13.2286,0.)); #492449=CARTESIAN_POINT('',(30.376253,13.2286,0.)); #492450=CARTESIAN_POINT('',(30.376253,13.2286,0.035)); #492451=CARTESIAN_POINT('',(30.376253,13.2286,0.035)); #492452=CARTESIAN_POINT('',(30.376253,13.2286,0.)); #492453=CARTESIAN_POINT('Origin',(30.347656,13.234169,0.)); #492454=CARTESIAN_POINT('',(30.347656,13.234169,0.)); #492455=CARTESIAN_POINT('',(30.347656,13.234169,0.)); #492456=CARTESIAN_POINT('',(30.347656,13.234169,0.035)); #492457=CARTESIAN_POINT('',(30.347656,13.234169,0.035)); #492458=CARTESIAN_POINT('',(30.347656,13.234169,0.)); #492459=CARTESIAN_POINT('Origin',(30.322372,13.250919,0.)); #492460=CARTESIAN_POINT('',(30.322372,13.250919,0.)); #492461=CARTESIAN_POINT('',(30.322372,13.250919,0.)); #492462=CARTESIAN_POINT('',(30.322372,13.250919,0.035)); #492463=CARTESIAN_POINT('',(30.322372,13.250919,0.035)); #492464=CARTESIAN_POINT('',(30.322372,13.250919,0.)); #492465=CARTESIAN_POINT('Origin',(27.250919,16.322372,0.)); #492466=CARTESIAN_POINT('',(27.250919,16.322372,0.)); #492467=CARTESIAN_POINT('',(27.250919,16.322372,0.)); #492468=CARTESIAN_POINT('',(27.250919,16.322372,0.035)); #492469=CARTESIAN_POINT('',(27.250919,16.322372,0.035)); #492470=CARTESIAN_POINT('',(27.250919,16.322372,0.)); #492471=CARTESIAN_POINT('Origin',(27.234634,16.346531,0.)); #492472=CARTESIAN_POINT('',(27.234634,16.346531,0.)); #492473=CARTESIAN_POINT('',(27.234634,16.346531,0.)); #492474=CARTESIAN_POINT('',(27.234634,16.346531,0.035)); #492475=CARTESIAN_POINT('',(27.234634,16.346531,0.035)); #492476=CARTESIAN_POINT('',(27.234634,16.346531,0.)); #492477=CARTESIAN_POINT('Origin',(27.2286,16.376253,0.)); #492478=CARTESIAN_POINT('',(27.2286,16.376253,0.)); #492479=CARTESIAN_POINT('',(27.2286,16.376253,0.)); #492480=CARTESIAN_POINT('',(27.2286,16.376253,0.035)); #492481=CARTESIAN_POINT('',(27.2286,16.376253,0.035)); #492482=CARTESIAN_POINT('',(27.2286,16.376253,0.)); #492483=CARTESIAN_POINT('Origin',(27.2286,18.3269,0.)); #492484=CARTESIAN_POINT('',(27.2286,18.3269,0.)); #492485=CARTESIAN_POINT('',(27.2286,18.3269,0.)); #492486=CARTESIAN_POINT('',(27.2286,18.3269,0.035)); #492487=CARTESIAN_POINT('',(27.2286,18.3269,0.035)); #492488=CARTESIAN_POINT('',(27.2286,18.3269,0.)); #492489=CARTESIAN_POINT('Origin',(27.233722,18.354369,0.)); #492490=CARTESIAN_POINT('',(27.233722,18.354369,0.)); #492491=CARTESIAN_POINT('',(27.233722,18.354369,0.)); #492492=CARTESIAN_POINT('',(27.233722,18.354369,0.035)); #492493=CARTESIAN_POINT('',(27.233722,18.354369,0.035)); #492494=CARTESIAN_POINT('',(27.233722,18.354369,0.)); #492495=CARTESIAN_POINT('Origin',(27.250066,18.379916,0.)); #492496=CARTESIAN_POINT('',(27.250066,18.379916,0.)); #492497=CARTESIAN_POINT('',(27.250066,18.379916,0.)); #492498=CARTESIAN_POINT('',(27.250066,18.379916,0.035)); #492499=CARTESIAN_POINT('',(27.250066,18.379916,0.035)); #492500=CARTESIAN_POINT('',(27.250066,18.379916,0.)); #492501=CARTESIAN_POINT('Origin',(27.275078,18.397066,0.)); #492502=CARTESIAN_POINT('',(27.275078,18.397066,0.)); #492503=CARTESIAN_POINT('',(27.275078,18.397066,0.)); #492504=CARTESIAN_POINT('',(27.275078,18.397066,0.035)); #492505=CARTESIAN_POINT('',(27.275078,18.397066,0.035)); #492506=CARTESIAN_POINT('',(27.275078,18.397066,0.)); #492507=CARTESIAN_POINT('Origin',(27.3048,18.4031,0.)); #492508=CARTESIAN_POINT('',(27.3048,18.4031,0.)); #492509=CARTESIAN_POINT('',(27.3048,18.4031,0.)); #492510=CARTESIAN_POINT('',(27.3048,18.4031,0.035)); #492511=CARTESIAN_POINT('',(27.3048,18.4031,0.035)); #492512=CARTESIAN_POINT('',(27.3048,18.4031,0.)); #492513=CARTESIAN_POINT('Origin',(28.244228,18.4031,0.)); #492514=CARTESIAN_POINT('',(28.244228,18.4031,0.)); #492515=CARTESIAN_POINT('',(28.244228,18.4031,0.)); #492516=CARTESIAN_POINT('',(28.244228,18.4031,0.035)); #492517=CARTESIAN_POINT('',(28.244228,18.4031,0.035)); #492518=CARTESIAN_POINT('',(28.244228,18.4031,0.)); #492519=CARTESIAN_POINT('Origin',(28.3335,18.492372,0.)); #492520=CARTESIAN_POINT('',(28.3335,18.492372,0.)); #492521=CARTESIAN_POINT('',(28.3335,18.492372,0.)); #492522=CARTESIAN_POINT('',(28.3335,18.492372,0.035)); #492523=CARTESIAN_POINT('',(28.3335,18.492372,0.035)); #492524=CARTESIAN_POINT('',(28.3335,18.492372,0.)); #492525=CARTESIAN_POINT('Origin',(28.3335,19.507628,0.)); #492526=CARTESIAN_POINT('',(28.3335,19.507628,0.)); #492527=CARTESIAN_POINT('',(28.3335,19.507628,0.)); #492528=CARTESIAN_POINT('',(28.3335,19.507628,0.035)); #492529=CARTESIAN_POINT('',(28.3335,19.507628,0.035)); #492530=CARTESIAN_POINT('',(28.3335,19.507628,0.)); #492531=CARTESIAN_POINT('Origin',(28.244228,19.5969,0.)); #492532=CARTESIAN_POINT('',(28.244228,19.5969,0.)); #492533=CARTESIAN_POINT('',(28.244228,19.5969,0.)); #492534=CARTESIAN_POINT('',(28.244228,19.5969,0.035)); #492535=CARTESIAN_POINT('',(28.244228,19.5969,0.035)); #492536=CARTESIAN_POINT('',(28.244228,19.5969,0.)); #492537=CARTESIAN_POINT('Origin',(27.3048,19.5969,0.)); #492538=CARTESIAN_POINT('',(27.3048,19.5969,0.)); #492539=CARTESIAN_POINT('',(27.3048,19.5969,0.)); #492540=CARTESIAN_POINT('',(27.3048,19.5969,0.035)); #492541=CARTESIAN_POINT('',(27.3048,19.5969,0.035)); #492542=CARTESIAN_POINT('',(27.3048,19.5969,0.)); #492543=CARTESIAN_POINT('Origin',(27.277331,19.602022,0.)); #492544=CARTESIAN_POINT('',(27.277331,19.602022,0.)); #492545=CARTESIAN_POINT('',(27.277331,19.602022,0.)); #492546=CARTESIAN_POINT('',(27.277331,19.602022,0.035)); #492547=CARTESIAN_POINT('',(27.277331,19.602022,0.035)); #492548=CARTESIAN_POINT('',(27.277331,19.602022,0.)); #492549=CARTESIAN_POINT('Origin',(27.251784,19.618366,0.)); #492550=CARTESIAN_POINT('',(27.251784,19.618366,0.)); #492551=CARTESIAN_POINT('',(27.251784,19.618366,0.)); #492552=CARTESIAN_POINT('',(27.251784,19.618366,0.035)); #492553=CARTESIAN_POINT('',(27.251784,19.618366,0.035)); #492554=CARTESIAN_POINT('',(27.251784,19.618366,0.)); #492555=CARTESIAN_POINT('Origin',(27.234634,19.643378,0.)); #492556=CARTESIAN_POINT('',(27.234634,19.643378,0.)); #492557=CARTESIAN_POINT('',(27.234634,19.643378,0.)); #492558=CARTESIAN_POINT('',(27.234634,19.643378,0.035)); #492559=CARTESIAN_POINT('',(27.234634,19.643378,0.035)); #492560=CARTESIAN_POINT('',(27.234634,19.643378,0.)); #492561=CARTESIAN_POINT('Origin',(27.2286,19.6731,0.)); #492562=CARTESIAN_POINT('',(27.2286,19.6731,0.)); #492563=CARTESIAN_POINT('',(27.2286,19.6731,0.)); #492564=CARTESIAN_POINT('',(27.2286,19.6731,0.035)); #492565=CARTESIAN_POINT('',(27.2286,19.6731,0.035)); #492566=CARTESIAN_POINT('',(27.2286,19.6731,0.)); #492567=CARTESIAN_POINT('Origin',(27.2286,20.748747,0.)); #492568=CARTESIAN_POINT('',(27.2286,20.748747,0.)); #492569=CARTESIAN_POINT('',(27.2286,20.748747,0.)); #492570=CARTESIAN_POINT('',(27.2286,20.748747,0.035)); #492571=CARTESIAN_POINT('',(27.2286,20.748747,0.035)); #492572=CARTESIAN_POINT('',(27.2286,20.748747,0.)); #492573=CARTESIAN_POINT('Origin',(27.234169,20.777344,0.)); #492574=CARTESIAN_POINT('',(27.234169,20.777344,0.)); #492575=CARTESIAN_POINT('',(27.234169,20.777344,0.)); #492576=CARTESIAN_POINT('',(27.234169,20.777344,0.035)); #492577=CARTESIAN_POINT('',(27.234169,20.777344,0.035)); #492578=CARTESIAN_POINT('',(27.234169,20.777344,0.)); #492579=CARTESIAN_POINT('Origin',(27.250919,20.802628,0.)); #492580=CARTESIAN_POINT('',(27.250919,20.802628,0.)); #492581=CARTESIAN_POINT('',(27.250919,20.802628,0.)); #492582=CARTESIAN_POINT('',(27.250919,20.802628,0.035)); #492583=CARTESIAN_POINT('',(27.250919,20.802628,0.035)); #492584=CARTESIAN_POINT('',(27.250919,20.802628,0.)); #492585=CARTESIAN_POINT('Origin',(28.072372,21.624081,0.)); #492586=CARTESIAN_POINT('',(28.072372,21.624081,0.)); #492587=CARTESIAN_POINT('',(28.072372,21.624081,0.)); #492588=CARTESIAN_POINT('',(28.072372,21.624081,0.035)); #492589=CARTESIAN_POINT('',(28.072372,21.624081,0.035)); #492590=CARTESIAN_POINT('',(28.072372,21.624081,0.)); #492591=CARTESIAN_POINT('Origin',(28.096531,21.640366,0.)); #492592=CARTESIAN_POINT('',(28.096531,21.640366,0.)); #492593=CARTESIAN_POINT('',(28.096531,21.640366,0.)); #492594=CARTESIAN_POINT('',(28.096531,21.640366,0.035)); #492595=CARTESIAN_POINT('',(28.096531,21.640366,0.035)); #492596=CARTESIAN_POINT('',(28.096531,21.640366,0.)); #492597=CARTESIAN_POINT('Origin',(28.126253,21.6464,0.)); #492598=CARTESIAN_POINT('',(28.126253,21.6464,0.)); #492599=CARTESIAN_POINT('',(28.126253,21.6464,0.)); #492600=CARTESIAN_POINT('',(28.126253,21.6464,0.035)); #492601=CARTESIAN_POINT('',(28.126253,21.6464,0.035)); #492602=CARTESIAN_POINT('',(28.126253,21.6464,0.)); #492603=CARTESIAN_POINT('Origin',(36.748747,21.6464,0.)); #492604=CARTESIAN_POINT('',(36.748747,21.6464,0.)); #492605=CARTESIAN_POINT('',(36.748747,21.6464,0.)); #492606=CARTESIAN_POINT('',(36.748747,21.6464,0.035)); #492607=CARTESIAN_POINT('',(36.748747,21.6464,0.035)); #492608=CARTESIAN_POINT('',(36.748747,21.6464,0.)); #492609=CARTESIAN_POINT('Origin',(36.777344,21.640831,0.)); #492610=CARTESIAN_POINT('',(36.777344,21.640831,0.)); #492611=CARTESIAN_POINT('',(36.777344,21.640831,0.)); #492612=CARTESIAN_POINT('',(36.777344,21.640831,0.035)); #492613=CARTESIAN_POINT('',(36.777344,21.640831,0.035)); #492614=CARTESIAN_POINT('',(36.777344,21.640831,0.)); #492615=CARTESIAN_POINT('Origin',(36.802628,21.624081,0.)); #492616=CARTESIAN_POINT('',(36.802628,21.624081,0.)); #492617=CARTESIAN_POINT('',(36.802628,21.624081,0.)); #492618=CARTESIAN_POINT('',(36.802628,21.624081,0.035)); #492619=CARTESIAN_POINT('',(36.802628,21.624081,0.035)); #492620=CARTESIAN_POINT('',(36.802628,21.624081,0.)); #492621=CARTESIAN_POINT('Origin',(37.050281,21.376428,0.)); #492622=CARTESIAN_POINT('',(37.050281,21.376428,0.)); #492623=CARTESIAN_POINT('',(37.050281,21.376428,0.)); #492624=CARTESIAN_POINT('',(37.050281,21.376428,0.035)); #492625=CARTESIAN_POINT('',(37.050281,21.376428,0.035)); #492626=CARTESIAN_POINT('',(37.050281,21.376428,0.)); #492627=CARTESIAN_POINT('Origin',(37.066566,21.352269,0.)); #492628=CARTESIAN_POINT('',(37.066566,21.352269,0.)); #492629=CARTESIAN_POINT('',(37.066566,21.352269,0.)); #492630=CARTESIAN_POINT('',(37.066566,21.352269,0.035)); #492631=CARTESIAN_POINT('',(37.066566,21.352269,0.035)); #492632=CARTESIAN_POINT('',(37.066566,21.352269,0.)); #492633=CARTESIAN_POINT('Origin',(37.0726,21.322547,0.)); #492634=CARTESIAN_POINT('',(37.0726,21.322547,0.)); #492635=CARTESIAN_POINT('',(37.0726,21.322547,0.)); #492636=CARTESIAN_POINT('',(37.0726,21.322547,0.035)); #492637=CARTESIAN_POINT('',(37.0726,21.322547,0.035)); #492638=CARTESIAN_POINT('',(37.0726,21.322547,0.)); #492639=CARTESIAN_POINT('Origin',(37.0726,21.072966,0.)); #492640=CARTESIAN_POINT('',(37.0726,21.072966,0.)); #492641=CARTESIAN_POINT('',(37.0726,21.072966,0.)); #492642=CARTESIAN_POINT('',(37.0726,21.072966,0.035)); #492643=CARTESIAN_POINT('',(37.0726,21.072966,0.035)); #492644=CARTESIAN_POINT('',(37.0726,21.072966,0.)); #492645=CARTESIAN_POINT('Origin',(37.322966,20.8226,0.)); #492646=CARTESIAN_POINT('',(37.322966,20.8226,0.)); #492647=CARTESIAN_POINT('',(37.322966,20.8226,0.)); #492648=CARTESIAN_POINT('',(37.322966,20.8226,0.035)); #492649=CARTESIAN_POINT('',(37.322966,20.8226,0.035)); #492650=CARTESIAN_POINT('',(37.322966,20.8226,0.)); #492651=CARTESIAN_POINT('Origin',(37.677034,20.8226,0.)); #492652=CARTESIAN_POINT('',(37.677034,20.8226,0.)); #492653=CARTESIAN_POINT('',(37.677034,20.8226,0.)); #492654=CARTESIAN_POINT('',(37.677034,20.8226,0.035)); #492655=CARTESIAN_POINT('',(37.677034,20.8226,0.035)); #492656=CARTESIAN_POINT('',(37.677034,20.8226,0.)); #492657=CARTESIAN_POINT('Origin',(37.9274,21.072966,0.)); #492658=CARTESIAN_POINT('',(37.9274,21.072966,0.)); #492659=CARTESIAN_POINT('',(37.9274,21.072966,0.)); #492660=CARTESIAN_POINT('',(37.9274,21.072966,0.035)); #492661=CARTESIAN_POINT('',(37.9274,21.072966,0.035)); #492662=CARTESIAN_POINT('',(37.9274,21.072966,0.)); #492663=CARTESIAN_POINT('Origin',(37.9274,21.427034,0.)); #492664=CARTESIAN_POINT('',(37.9274,21.427034,0.)); #492665=CARTESIAN_POINT('',(37.9274,21.427034,0.)); #492666=CARTESIAN_POINT('',(37.9274,21.427034,0.035)); #492667=CARTESIAN_POINT('',(37.9274,21.427034,0.035)); #492668=CARTESIAN_POINT('',(37.9274,21.427034,0.)); #492669=CARTESIAN_POINT('Origin',(37.838116,21.516319,0.)); #492670=CARTESIAN_POINT('',(37.838116,21.516319,0.)); #492671=CARTESIAN_POINT('',(37.838116,21.516319,0.)); #492672=CARTESIAN_POINT('',(37.838116,21.516319,0.035)); #492673=CARTESIAN_POINT('',(37.838116,21.516319,0.035)); #492674=CARTESIAN_POINT('',(37.838116,21.516319,0.)); #492675=CARTESIAN_POINT('Origin',(37.822816,21.538256,0.)); #492676=CARTESIAN_POINT('',(37.822816,21.538256,0.)); #492677=CARTESIAN_POINT('',(37.822816,21.538256,0.)); #492678=CARTESIAN_POINT('',(37.822816,21.538256,0.035)); #492679=CARTESIAN_POINT('',(37.822816,21.538256,0.035)); #492680=CARTESIAN_POINT('',(37.822816,21.538256,0.)); #492681=CARTESIAN_POINT('Origin',(37.815834,21.567772,0.)); #492682=CARTESIAN_POINT('',(37.815834,21.567772,0.)); #492683=CARTESIAN_POINT('',(37.815834,21.567772,0.)); #492684=CARTESIAN_POINT('',(37.815834,21.567772,0.035)); #492685=CARTESIAN_POINT('',(37.815834,21.567772,0.035)); #492686=CARTESIAN_POINT('',(37.815834,21.567772,0.)); #492687=CARTESIAN_POINT('Origin',(37.820919,21.597669,0.)); #492688=CARTESIAN_POINT('',(37.820919,21.597669,0.)); #492689=CARTESIAN_POINT('',(37.820919,21.597669,0.)); #492690=CARTESIAN_POINT('',(37.820919,21.597669,0.035)); #492691=CARTESIAN_POINT('',(37.820919,21.597669,0.035)); #492692=CARTESIAN_POINT('',(37.820919,21.597669,0.)); #492693=CARTESIAN_POINT('Origin',(37.837263,21.623216,0.)); #492694=CARTESIAN_POINT('',(37.837263,21.623216,0.)); #492695=CARTESIAN_POINT('',(37.837263,21.623216,0.)); #492696=CARTESIAN_POINT('',(37.837263,21.623216,0.035)); #492697=CARTESIAN_POINT('',(37.837263,21.623216,0.035)); #492698=CARTESIAN_POINT('',(37.837263,21.623216,0.)); #492699=CARTESIAN_POINT('Origin',(37.862275,21.640366,0.)); #492700=CARTESIAN_POINT('',(37.862275,21.640366,0.)); #492701=CARTESIAN_POINT('',(37.862275,21.640366,0.)); #492702=CARTESIAN_POINT('',(37.862275,21.640366,0.035)); #492703=CARTESIAN_POINT('',(37.862275,21.640366,0.035)); #492704=CARTESIAN_POINT('',(37.862275,21.640366,0.)); #492705=CARTESIAN_POINT('Origin',(37.891997,21.6464,0.)); #492706=CARTESIAN_POINT('',(37.891997,21.6464,0.)); #492707=CARTESIAN_POINT('',(37.891997,21.6464,0.)); #492708=CARTESIAN_POINT('',(37.891997,21.6464,0.035)); #492709=CARTESIAN_POINT('',(37.891997,21.6464,0.035)); #492710=CARTESIAN_POINT('',(37.891997,21.6464,0.)); #492711=CARTESIAN_POINT('Origin',(46.373747,21.6464,0.)); #492712=CARTESIAN_POINT('',(46.373747,21.6464,0.)); #492713=CARTESIAN_POINT('',(46.373747,21.6464,0.)); #492714=CARTESIAN_POINT('',(46.373747,21.6464,0.035)); #492715=CARTESIAN_POINT('',(46.373747,21.6464,0.035)); #492716=CARTESIAN_POINT('',(46.373747,21.6464,0.)); #492717=CARTESIAN_POINT('Origin',(46.402344,21.640831,0.)); #492718=CARTESIAN_POINT('',(46.402344,21.640831,0.)); #492719=CARTESIAN_POINT('',(46.402344,21.640831,0.)); #492720=CARTESIAN_POINT('',(46.402344,21.640831,0.035)); #492721=CARTESIAN_POINT('',(46.402344,21.640831,0.035)); #492722=CARTESIAN_POINT('',(46.402344,21.640831,0.)); #492723=CARTESIAN_POINT('Origin',(46.427628,21.624081,0.)); #492724=CARTESIAN_POINT('',(46.427628,21.624081,0.)); #492725=CARTESIAN_POINT('',(46.427628,21.624081,0.)); #492726=CARTESIAN_POINT('',(46.427628,21.624081,0.035)); #492727=CARTESIAN_POINT('',(46.427628,21.624081,0.035)); #492728=CARTESIAN_POINT('',(46.427628,21.624081,0.)); #492729=CARTESIAN_POINT('Origin',(47.114081,20.937628,0.)); #492730=CARTESIAN_POINT('',(47.114081,20.937628,0.)); #492731=CARTESIAN_POINT('',(47.114081,20.937628,0.)); #492732=CARTESIAN_POINT('',(47.114081,20.937628,0.035)); #492733=CARTESIAN_POINT('',(47.114081,20.937628,0.035)); #492734=CARTESIAN_POINT('',(47.114081,20.937628,0.)); #492735=CARTESIAN_POINT('Origin',(47.130366,20.913469,0.)); #492736=CARTESIAN_POINT('',(47.130366,20.913469,0.)); #492737=CARTESIAN_POINT('',(47.130366,20.913469,0.)); #492738=CARTESIAN_POINT('',(47.130366,20.913469,0.035)); #492739=CARTESIAN_POINT('',(47.130366,20.913469,0.035)); #492740=CARTESIAN_POINT('',(47.130366,20.913469,0.)); #492741=CARTESIAN_POINT('Origin',(47.1364,20.883747,0.)); #492742=CARTESIAN_POINT('',(47.1364,20.883747,0.)); #492743=CARTESIAN_POINT('',(47.1364,20.883747,0.)); #492744=CARTESIAN_POINT('',(47.1364,20.883747,0.035)); #492745=CARTESIAN_POINT('',(47.1364,20.883747,0.035)); #492746=CARTESIAN_POINT('',(47.1364,20.883747,0.)); #492747=CARTESIAN_POINT('Origin',(47.1364,19.4381,0.)); #492748=CARTESIAN_POINT('',(47.1364,19.4381,0.)); #492749=CARTESIAN_POINT('',(47.1364,19.4381,0.)); #492750=CARTESIAN_POINT('',(47.1364,19.4381,0.035)); #492751=CARTESIAN_POINT('',(47.1364,19.4381,0.035)); #492752=CARTESIAN_POINT('',(47.1364,19.4381,0.)); #492753=CARTESIAN_POINT('Origin',(47.131278,19.410631,0.)); #492754=CARTESIAN_POINT('',(47.131278,19.410631,0.)); #492755=CARTESIAN_POINT('',(47.131278,19.410631,0.)); #492756=CARTESIAN_POINT('',(47.131278,19.410631,0.035)); #492757=CARTESIAN_POINT('',(47.131278,19.410631,0.035)); #492758=CARTESIAN_POINT('',(47.131278,19.410631,0.)); #492759=CARTESIAN_POINT('Origin',(47.114934,19.385084,0.)); #492760=CARTESIAN_POINT('',(47.114934,19.385084,0.)); #492761=CARTESIAN_POINT('',(47.114934,19.385084,0.)); #492762=CARTESIAN_POINT('',(47.114934,19.385084,0.035)); #492763=CARTESIAN_POINT('',(47.114934,19.385084,0.035)); #492764=CARTESIAN_POINT('',(47.114934,19.385084,0.)); #492765=CARTESIAN_POINT('Origin',(47.089922,19.367934,0.)); #492766=CARTESIAN_POINT('',(47.089922,19.367934,0.)); #492767=CARTESIAN_POINT('',(47.089922,19.367934,0.)); #492768=CARTESIAN_POINT('',(47.089922,19.367934,0.035)); #492769=CARTESIAN_POINT('',(47.089922,19.367934,0.035)); #492770=CARTESIAN_POINT('',(47.089922,19.367934,0.)); #492771=CARTESIAN_POINT('Origin',(47.0602,19.3619,0.)); #492772=CARTESIAN_POINT('',(47.0602,19.3619,0.)); #492773=CARTESIAN_POINT('',(47.0602,19.3619,0.)); #492774=CARTESIAN_POINT('',(47.0602,19.3619,0.035)); #492775=CARTESIAN_POINT('',(47.0602,19.3619,0.035)); #492776=CARTESIAN_POINT('',(47.0602,19.3619,0.)); #492777=CARTESIAN_POINT('Origin',(46.997072,19.3619,0.)); #492778=CARTESIAN_POINT('',(46.997072,19.3619,0.)); #492779=CARTESIAN_POINT('',(46.997072,19.3619,0.)); #492780=CARTESIAN_POINT('',(46.997072,19.3619,0.035)); #492781=CARTESIAN_POINT('',(46.997072,19.3619,0.035)); #492782=CARTESIAN_POINT('',(46.997072,19.3619,0.)); #492783=CARTESIAN_POINT('Origin',(46.9078,19.272628,0.)); #492784=CARTESIAN_POINT('',(46.9078,19.272628,0.)); #492785=CARTESIAN_POINT('',(46.9078,19.272628,0.)); #492786=CARTESIAN_POINT('',(46.9078,19.272628,0.035)); #492787=CARTESIAN_POINT('',(46.9078,19.272628,0.035)); #492788=CARTESIAN_POINT('',(46.9078,19.272628,0.)); #492789=CARTESIAN_POINT('Origin',(46.9078,17.495372,0.)); #492790=CARTESIAN_POINT('',(46.9078,17.495372,0.)); #492791=CARTESIAN_POINT('',(46.9078,17.495372,0.)); #492792=CARTESIAN_POINT('',(46.9078,17.495372,0.035)); #492793=CARTESIAN_POINT('',(46.9078,17.495372,0.035)); #492794=CARTESIAN_POINT('',(46.9078,17.495372,0.)); #492795=CARTESIAN_POINT('Origin',(46.997072,17.4061,0.)); #492796=CARTESIAN_POINT('',(46.997072,17.4061,0.)); #492797=CARTESIAN_POINT('',(46.997072,17.4061,0.)); #492798=CARTESIAN_POINT('',(46.997072,17.4061,0.035)); #492799=CARTESIAN_POINT('',(46.997072,17.4061,0.035)); #492800=CARTESIAN_POINT('',(46.997072,17.4061,0.)); #492801=CARTESIAN_POINT('Origin',(47.732928,17.4061,0.)); #492802=CARTESIAN_POINT('',(47.732928,17.4061,0.)); #492803=CARTESIAN_POINT('',(47.732928,17.4061,0.)); #492804=CARTESIAN_POINT('',(47.732928,17.4061,0.035)); #492805=CARTESIAN_POINT('',(47.732928,17.4061,0.035)); #492806=CARTESIAN_POINT('',(47.732928,17.4061,0.)); #492807=CARTESIAN_POINT('Origin',(47.8222,17.495372,0.)); #492808=CARTESIAN_POINT('',(47.8222,17.495372,0.)); #492809=CARTESIAN_POINT('',(47.8222,17.495372,0.)); #492810=CARTESIAN_POINT('',(47.8222,17.495372,0.035)); #492811=CARTESIAN_POINT('',(47.8222,17.495372,0.035)); #492812=CARTESIAN_POINT('',(47.8222,17.495372,0.)); #492813=CARTESIAN_POINT('Origin',(47.8222,19.272628,0.)); #492814=CARTESIAN_POINT('',(47.8222,19.272628,0.)); #492815=CARTESIAN_POINT('',(47.8222,19.272628,0.)); #492816=CARTESIAN_POINT('',(47.8222,19.272628,0.035)); #492817=CARTESIAN_POINT('',(47.8222,19.272628,0.035)); #492818=CARTESIAN_POINT('',(47.8222,19.272628,0.)); #492819=CARTESIAN_POINT('Origin',(47.732928,19.3619,0.)); #492820=CARTESIAN_POINT('',(47.732928,19.3619,0.)); #492821=CARTESIAN_POINT('',(47.732928,19.3619,0.)); #492822=CARTESIAN_POINT('',(47.732928,19.3619,0.035)); #492823=CARTESIAN_POINT('',(47.732928,19.3619,0.035)); #492824=CARTESIAN_POINT('',(47.732928,19.3619,0.)); #492825=CARTESIAN_POINT('Origin',(47.6698,19.3619,0.)); #492826=CARTESIAN_POINT('',(47.6698,19.3619,0.)); #492827=CARTESIAN_POINT('',(47.6698,19.3619,0.)); #492828=CARTESIAN_POINT('',(47.6698,19.3619,0.035)); #492829=CARTESIAN_POINT('',(47.6698,19.3619,0.035)); #492830=CARTESIAN_POINT('',(47.6698,19.3619,0.)); #492831=CARTESIAN_POINT('Origin',(47.642331,19.367022,0.)); #492832=CARTESIAN_POINT('',(47.642331,19.367022,0.)); #492833=CARTESIAN_POINT('',(47.642331,19.367022,0.)); #492834=CARTESIAN_POINT('',(47.642331,19.367022,0.035)); #492835=CARTESIAN_POINT('',(47.642331,19.367022,0.035)); #492836=CARTESIAN_POINT('',(47.642331,19.367022,0.)); #492837=CARTESIAN_POINT('Origin',(47.616784,19.383366,0.)); #492838=CARTESIAN_POINT('',(47.616784,19.383366,0.)); #492839=CARTESIAN_POINT('',(47.616784,19.383366,0.)); #492840=CARTESIAN_POINT('',(47.616784,19.383366,0.035)); #492841=CARTESIAN_POINT('',(47.616784,19.383366,0.035)); #492842=CARTESIAN_POINT('',(47.616784,19.383366,0.)); #492843=CARTESIAN_POINT('Origin',(47.599634,19.408378,0.)); #492844=CARTESIAN_POINT('',(47.599634,19.408378,0.)); #492845=CARTESIAN_POINT('',(47.599634,19.408378,0.)); #492846=CARTESIAN_POINT('',(47.599634,19.408378,0.035)); #492847=CARTESIAN_POINT('',(47.599634,19.408378,0.035)); #492848=CARTESIAN_POINT('',(47.599634,19.408378,0.)); #492849=CARTESIAN_POINT('Origin',(47.5936,19.4381,0.)); #492850=CARTESIAN_POINT('',(47.5936,19.4381,0.)); #492851=CARTESIAN_POINT('',(47.5936,19.4381,0.)); #492852=CARTESIAN_POINT('',(47.5936,19.4381,0.035)); #492853=CARTESIAN_POINT('',(47.5936,19.4381,0.035)); #492854=CARTESIAN_POINT('',(47.5936,19.4381,0.)); #492855=CARTESIAN_POINT('Origin',(47.5936,21.104691,0.)); #492856=CARTESIAN_POINT('',(47.5936,21.104691,0.)); #492857=CARTESIAN_POINT('',(47.5936,21.104691,0.)); #492858=CARTESIAN_POINT('',(47.5936,21.104691,0.035)); #492859=CARTESIAN_POINT('',(47.5936,21.104691,0.035)); #492860=CARTESIAN_POINT('',(47.5936,21.104691,0.)); #492861=CARTESIAN_POINT('Origin',(46.806972,21.891319,0.)); #492862=CARTESIAN_POINT('',(46.806972,21.891319,0.)); #492863=CARTESIAN_POINT('',(46.806972,21.891319,0.)); #492864=CARTESIAN_POINT('',(46.806972,21.891319,0.035)); #492865=CARTESIAN_POINT('',(46.806972,21.891319,0.035)); #492866=CARTESIAN_POINT('',(46.806972,21.891319,0.)); #492867=CARTESIAN_POINT('Origin',(46.791672,21.913256,0.)); #492868=CARTESIAN_POINT('',(46.791672,21.913256,0.)); #492869=CARTESIAN_POINT('',(46.791672,21.913256,0.)); #492870=CARTESIAN_POINT('',(46.791672,21.913256,0.035)); #492871=CARTESIAN_POINT('',(46.791672,21.913256,0.035)); #492872=CARTESIAN_POINT('',(46.791672,21.913256,0.)); #492873=CARTESIAN_POINT('Origin',(46.784691,21.942772,0.)); #492874=CARTESIAN_POINT('',(46.784691,21.942772,0.)); #492875=CARTESIAN_POINT('',(46.784691,21.942772,0.)); #492876=CARTESIAN_POINT('',(46.784691,21.942772,0.035)); #492877=CARTESIAN_POINT('',(46.784691,21.942772,0.035)); #492878=CARTESIAN_POINT('',(46.784691,21.942772,0.)); #492879=CARTESIAN_POINT('Origin',(46.789775,21.972669,0.)); #492880=CARTESIAN_POINT('',(46.789775,21.972669,0.)); #492881=CARTESIAN_POINT('',(46.789775,21.972669,0.)); #492882=CARTESIAN_POINT('',(46.789775,21.972669,0.035)); #492883=CARTESIAN_POINT('',(46.789775,21.972669,0.035)); #492884=CARTESIAN_POINT('',(46.789775,21.972669,0.)); #492885=CARTESIAN_POINT('Origin',(46.806119,21.998216,0.)); #492886=CARTESIAN_POINT('',(46.806119,21.998216,0.)); #492887=CARTESIAN_POINT('',(46.806119,21.998216,0.)); #492888=CARTESIAN_POINT('',(46.806119,21.998216,0.035)); #492889=CARTESIAN_POINT('',(46.806119,21.998216,0.035)); #492890=CARTESIAN_POINT('',(46.806119,21.998216,0.)); #492891=CARTESIAN_POINT('Origin',(46.831131,22.015366,0.)); #492892=CARTESIAN_POINT('',(46.831131,22.015366,0.)); #492893=CARTESIAN_POINT('',(46.831131,22.015366,0.)); #492894=CARTESIAN_POINT('',(46.831131,22.015366,0.035)); #492895=CARTESIAN_POINT('',(46.831131,22.015366,0.035)); #492896=CARTESIAN_POINT('',(46.831131,22.015366,0.)); #492897=CARTESIAN_POINT('Origin',(46.860853,22.0214,0.)); #492898=CARTESIAN_POINT('',(46.860853,22.0214,0.)); #492899=CARTESIAN_POINT('',(46.860853,22.0214,0.)); #492900=CARTESIAN_POINT('',(46.860853,22.0214,0.035)); #492901=CARTESIAN_POINT('',(46.860853,22.0214,0.035)); #492902=CARTESIAN_POINT('',(46.860853,22.0214,0.)); #492903=CARTESIAN_POINT('Origin',(49.282191,22.0214,0.)); #492904=CARTESIAN_POINT('',(49.282191,22.0214,0.)); #492905=CARTESIAN_POINT('',(49.282191,22.0214,0.)); #492906=CARTESIAN_POINT('',(49.282191,22.0214,0.035)); #492907=CARTESIAN_POINT('',(49.282191,22.0214,0.035)); #492908=CARTESIAN_POINT('',(49.282191,22.0214,0.)); #492909=CARTESIAN_POINT('Origin',(49.876572,22.615781,0.)); #492910=CARTESIAN_POINT('',(49.876572,22.615781,0.)); #492911=CARTESIAN_POINT('',(49.876572,22.615781,0.)); #492912=CARTESIAN_POINT('',(49.876572,22.615781,0.035)); #492913=CARTESIAN_POINT('',(49.876572,22.615781,0.035)); #492914=CARTESIAN_POINT('',(49.876572,22.615781,0.)); #492915=CARTESIAN_POINT('Origin',(49.900731,22.632066,0.)); #492916=CARTESIAN_POINT('',(49.900731,22.632066,0.)); #492917=CARTESIAN_POINT('',(49.900731,22.632066,0.)); #492918=CARTESIAN_POINT('',(49.900731,22.632066,0.035)); #492919=CARTESIAN_POINT('',(49.900731,22.632066,0.035)); #492920=CARTESIAN_POINT('',(49.900731,22.632066,0.)); #492921=CARTESIAN_POINT('Origin',(49.930453,22.6381,0.)); #492922=CARTESIAN_POINT('',(49.930453,22.6381,0.)); #492923=CARTESIAN_POINT('',(49.930453,22.6381,0.)); #492924=CARTESIAN_POINT('',(49.930453,22.6381,0.035)); #492925=CARTESIAN_POINT('',(49.930453,22.6381,0.035)); #492926=CARTESIAN_POINT('',(49.930453,22.6381,0.)); #492927=CARTESIAN_POINT('Origin',(50.272928,22.6381,0.)); #492928=CARTESIAN_POINT('',(50.272928,22.6381,0.)); #492929=CARTESIAN_POINT('',(50.272928,22.6381,0.)); #492930=CARTESIAN_POINT('',(50.272928,22.6381,0.035)); #492931=CARTESIAN_POINT('',(50.272928,22.6381,0.035)); #492932=CARTESIAN_POINT('',(50.272928,22.6381,0.)); #492933=CARTESIAN_POINT('Origin',(50.3622,22.727372,0.)); #492934=CARTESIAN_POINT('',(50.3622,22.727372,0.)); #492935=CARTESIAN_POINT('',(50.3622,22.727372,0.)); #492936=CARTESIAN_POINT('',(50.3622,22.727372,0.035)); #492937=CARTESIAN_POINT('',(50.3622,22.727372,0.035)); #492938=CARTESIAN_POINT('',(50.3622,22.727372,0.)); #492939=CARTESIAN_POINT('Origin',(50.3622,24.504628,0.)); #492940=CARTESIAN_POINT('',(50.3622,24.504628,0.)); #492941=CARTESIAN_POINT('',(50.3622,24.504628,0.)); #492942=CARTESIAN_POINT('',(50.3622,24.504628,0.035)); #492943=CARTESIAN_POINT('',(50.3622,24.504628,0.035)); #492944=CARTESIAN_POINT('',(50.3622,24.504628,0.)); #492945=CARTESIAN_POINT('Origin',(50.272928,24.5939,0.)); #492946=CARTESIAN_POINT('',(50.272928,24.5939,0.)); #492947=CARTESIAN_POINT('',(50.272928,24.5939,0.)); #492948=CARTESIAN_POINT('',(50.272928,24.5939,0.035)); #492949=CARTESIAN_POINT('',(50.272928,24.5939,0.035)); #492950=CARTESIAN_POINT('',(50.272928,24.5939,0.)); #492951=CARTESIAN_POINT('Origin',(49.537072,24.5939,0.)); #492952=CARTESIAN_POINT('',(49.537072,24.5939,0.)); #492953=CARTESIAN_POINT('',(49.537072,24.5939,0.)); #492954=CARTESIAN_POINT('',(49.537072,24.5939,0.035)); #492955=CARTESIAN_POINT('',(49.537072,24.5939,0.035)); #492956=CARTESIAN_POINT('',(49.537072,24.5939,0.)); #492957=CARTESIAN_POINT('Origin',(49.4478,24.504628,0.)); #492958=CARTESIAN_POINT('',(49.4478,24.504628,0.)); #492959=CARTESIAN_POINT('',(49.4478,24.504628,0.)); #492960=CARTESIAN_POINT('',(49.4478,24.504628,0.035)); #492961=CARTESIAN_POINT('',(49.4478,24.504628,0.035)); #492962=CARTESIAN_POINT('',(49.4478,24.504628,0.)); #492963=CARTESIAN_POINT('Origin',(49.4478,22.865153,0.)); #492964=CARTESIAN_POINT('',(49.4478,22.865153,0.)); #492965=CARTESIAN_POINT('',(49.4478,22.865153,0.)); #492966=CARTESIAN_POINT('',(49.4478,22.865153,0.035)); #492967=CARTESIAN_POINT('',(49.4478,22.865153,0.035)); #492968=CARTESIAN_POINT('',(49.4478,22.865153,0.)); #492969=CARTESIAN_POINT('Origin',(49.442231,22.836556,0.)); #492970=CARTESIAN_POINT('',(49.442231,22.836556,0.)); #492971=CARTESIAN_POINT('',(49.442231,22.836556,0.)); #492972=CARTESIAN_POINT('',(49.442231,22.836556,0.035)); #492973=CARTESIAN_POINT('',(49.442231,22.836556,0.035)); #492974=CARTESIAN_POINT('',(49.442231,22.836556,0.)); #492975=CARTESIAN_POINT('Origin',(49.425481,22.811272,0.)); #492976=CARTESIAN_POINT('',(49.425481,22.811272,0.)); #492977=CARTESIAN_POINT('',(49.425481,22.811272,0.)); #492978=CARTESIAN_POINT('',(49.425481,22.811272,0.035)); #492979=CARTESIAN_POINT('',(49.425481,22.811272,0.035)); #492980=CARTESIAN_POINT('',(49.425481,22.811272,0.)); #492981=CARTESIAN_POINT('Origin',(49.115128,22.500919,0.)); #492982=CARTESIAN_POINT('',(49.115128,22.500919,0.)); #492983=CARTESIAN_POINT('',(49.115128,22.500919,0.)); #492984=CARTESIAN_POINT('',(49.115128,22.500919,0.035)); #492985=CARTESIAN_POINT('',(49.115128,22.500919,0.035)); #492986=CARTESIAN_POINT('',(49.115128,22.500919,0.)); #492987=CARTESIAN_POINT('Origin',(49.090969,22.484634,0.)); #492988=CARTESIAN_POINT('',(49.090969,22.484634,0.)); #492989=CARTESIAN_POINT('',(49.090969,22.484634,0.)); #492990=CARTESIAN_POINT('',(49.090969,22.484634,0.035)); #492991=CARTESIAN_POINT('',(49.090969,22.484634,0.035)); #492992=CARTESIAN_POINT('',(49.090969,22.484634,0.)); #492993=CARTESIAN_POINT('Origin',(49.061247,22.4786,0.)); #492994=CARTESIAN_POINT('',(49.061247,22.4786,0.)); #492995=CARTESIAN_POINT('',(49.061247,22.4786,0.)); #492996=CARTESIAN_POINT('',(49.061247,22.4786,0.035)); #492997=CARTESIAN_POINT('',(49.061247,22.4786,0.035)); #492998=CARTESIAN_POINT('',(49.061247,22.4786,0.)); #492999=CARTESIAN_POINT('Origin',(40.8857,22.4786,0.)); #493000=CARTESIAN_POINT('',(40.8857,22.4786,0.)); #493001=CARTESIAN_POINT('',(40.8857,22.4786,0.)); #493002=CARTESIAN_POINT('',(40.8857,22.4786,0.035)); #493003=CARTESIAN_POINT('',(40.8857,22.4786,0.035)); #493004=CARTESIAN_POINT('',(40.8857,22.4786,0.)); #493005=CARTESIAN_POINT('Origin',(40.858231,22.483722,0.)); #493006=CARTESIAN_POINT('',(40.858231,22.483722,0.)); #493007=CARTESIAN_POINT('',(40.858231,22.483722,0.)); #493008=CARTESIAN_POINT('',(40.858231,22.483722,0.035)); #493009=CARTESIAN_POINT('',(40.858231,22.483722,0.035)); #493010=CARTESIAN_POINT('',(40.858231,22.483722,0.)); #493011=CARTESIAN_POINT('Origin',(40.832684,22.500066,0.)); #493012=CARTESIAN_POINT('',(40.832684,22.500066,0.)); #493013=CARTESIAN_POINT('',(40.832684,22.500066,0.)); #493014=CARTESIAN_POINT('',(40.832684,22.500066,0.035)); #493015=CARTESIAN_POINT('',(40.832684,22.500066,0.035)); #493016=CARTESIAN_POINT('',(40.832684,22.500066,0.)); #493017=CARTESIAN_POINT('Origin',(40.815534,22.525078,0.)); #493018=CARTESIAN_POINT('',(40.815534,22.525078,0.)); #493019=CARTESIAN_POINT('',(40.815534,22.525078,0.)); #493020=CARTESIAN_POINT('',(40.815534,22.525078,0.035)); #493021=CARTESIAN_POINT('',(40.815534,22.525078,0.035)); #493022=CARTESIAN_POINT('',(40.815534,22.525078,0.)); #493023=CARTESIAN_POINT('Origin',(40.8095,22.5548,0.)); #493024=CARTESIAN_POINT('',(40.8095,22.5548,0.)); #493025=CARTESIAN_POINT('',(40.8095,22.5548,0.)); #493026=CARTESIAN_POINT('',(40.8095,22.5548,0.035)); #493027=CARTESIAN_POINT('',(40.8095,22.5548,0.035)); #493028=CARTESIAN_POINT('',(40.8095,22.5548,0.)); #493029=CARTESIAN_POINT('Origin',(40.8095,23.507628,0.)); #493030=CARTESIAN_POINT('',(40.8095,23.507628,0.)); #493031=CARTESIAN_POINT('',(40.8095,23.507628,0.)); #493032=CARTESIAN_POINT('',(40.8095,23.507628,0.035)); #493033=CARTESIAN_POINT('',(40.8095,23.507628,0.035)); #493034=CARTESIAN_POINT('',(40.8095,23.507628,0.)); #493035=CARTESIAN_POINT('Origin',(40.720228,23.5969,0.)); #493036=CARTESIAN_POINT('',(40.720228,23.5969,0.)); #493037=CARTESIAN_POINT('',(40.720228,23.5969,0.)); #493038=CARTESIAN_POINT('',(40.720228,23.5969,0.035)); #493039=CARTESIAN_POINT('',(40.720228,23.5969,0.035)); #493040=CARTESIAN_POINT('',(40.720228,23.5969,0.)); #493041=CARTESIAN_POINT('Origin',(39.755772,23.5969,0.)); #493042=CARTESIAN_POINT('',(39.755772,23.5969,0.)); #493043=CARTESIAN_POINT('',(39.755772,23.5969,0.)); #493044=CARTESIAN_POINT('',(39.755772,23.5969,0.035)); #493045=CARTESIAN_POINT('',(39.755772,23.5969,0.035)); #493046=CARTESIAN_POINT('',(39.755772,23.5969,0.)); #493047=CARTESIAN_POINT('Origin',(39.6665,23.507628,0.)); #493048=CARTESIAN_POINT('',(39.6665,23.507628,0.)); #493049=CARTESIAN_POINT('',(39.6665,23.507628,0.)); #493050=CARTESIAN_POINT('',(39.6665,23.507628,0.035)); #493051=CARTESIAN_POINT('',(39.6665,23.507628,0.035)); #493052=CARTESIAN_POINT('',(39.6665,23.507628,0.)); #493053=CARTESIAN_POINT('Origin',(39.6665,23.3048,0.)); #493054=CARTESIAN_POINT('',(39.6665,23.3048,0.)); #493055=CARTESIAN_POINT('',(39.6665,23.3048,0.)); #493056=CARTESIAN_POINT('',(39.6665,23.3048,0.035)); #493057=CARTESIAN_POINT('',(39.6665,23.3048,0.035)); #493058=CARTESIAN_POINT('',(39.6665,23.3048,0.)); #493059=CARTESIAN_POINT('Origin',(39.661378,23.277331,0.)); #493060=CARTESIAN_POINT('',(39.661378,23.277331,0.)); #493061=CARTESIAN_POINT('',(39.661378,23.277331,0.)); #493062=CARTESIAN_POINT('',(39.661378,23.277331,0.035)); #493063=CARTESIAN_POINT('',(39.661378,23.277331,0.035)); #493064=CARTESIAN_POINT('',(39.661378,23.277331,0.)); #493065=CARTESIAN_POINT('Origin',(39.645034,23.251784,0.)); #493066=CARTESIAN_POINT('',(39.645034,23.251784,0.)); #493067=CARTESIAN_POINT('',(39.645034,23.251784,0.)); #493068=CARTESIAN_POINT('',(39.645034,23.251784,0.035)); #493069=CARTESIAN_POINT('',(39.645034,23.251784,0.035)); #493070=CARTESIAN_POINT('',(39.645034,23.251784,0.)); #493071=CARTESIAN_POINT('Origin',(39.620022,23.234634,0.)); #493072=CARTESIAN_POINT('',(39.620022,23.234634,0.)); #493073=CARTESIAN_POINT('',(39.620022,23.234634,0.)); #493074=CARTESIAN_POINT('',(39.620022,23.234634,0.035)); #493075=CARTESIAN_POINT('',(39.620022,23.234634,0.035)); #493076=CARTESIAN_POINT('',(39.620022,23.234634,0.)); #493077=CARTESIAN_POINT('Origin',(39.5903,23.2286,0.)); #493078=CARTESIAN_POINT('',(39.5903,23.2286,0.)); #493079=CARTESIAN_POINT('',(39.5903,23.2286,0.)); #493080=CARTESIAN_POINT('',(39.5903,23.2286,0.035)); #493081=CARTESIAN_POINT('',(39.5903,23.2286,0.035)); #493082=CARTESIAN_POINT('',(39.5903,23.2286,0.)); #493083=CARTESIAN_POINT('Origin',(37.626253,23.2286,0.)); #493084=CARTESIAN_POINT('',(37.626253,23.2286,0.)); #493085=CARTESIAN_POINT('',(37.626253,23.2286,0.)); #493086=CARTESIAN_POINT('',(37.626253,23.2286,0.035)); #493087=CARTESIAN_POINT('',(37.626253,23.2286,0.035)); #493088=CARTESIAN_POINT('',(37.626253,23.2286,0.)); #493089=CARTESIAN_POINT('Origin',(37.597656,23.234169,0.)); #493090=CARTESIAN_POINT('',(37.597656,23.234169,0.)); #493091=CARTESIAN_POINT('',(37.597656,23.234169,0.)); #493092=CARTESIAN_POINT('',(37.597656,23.234169,0.035)); #493093=CARTESIAN_POINT('',(37.597656,23.234169,0.035)); #493094=CARTESIAN_POINT('',(37.597656,23.234169,0.)); #493095=CARTESIAN_POINT('Origin',(37.572372,23.250919,0.)); #493096=CARTESIAN_POINT('',(37.572372,23.250919,0.)); #493097=CARTESIAN_POINT('',(37.572372,23.250919,0.)); #493098=CARTESIAN_POINT('',(37.572372,23.250919,0.035)); #493099=CARTESIAN_POINT('',(37.572372,23.250919,0.035)); #493100=CARTESIAN_POINT('',(37.572372,23.250919,0.)); #493101=CARTESIAN_POINT('Origin',(36.750919,24.072372,0.)); #493102=CARTESIAN_POINT('',(36.750919,24.072372,0.)); #493103=CARTESIAN_POINT('',(36.750919,24.072372,0.)); #493104=CARTESIAN_POINT('',(36.750919,24.072372,0.035)); #493105=CARTESIAN_POINT('',(36.750919,24.072372,0.035)); #493106=CARTESIAN_POINT('',(36.750919,24.072372,0.)); #493107=CARTESIAN_POINT('Origin',(36.734634,24.096531,0.)); #493108=CARTESIAN_POINT('',(36.734634,24.096531,0.)); #493109=CARTESIAN_POINT('',(36.734634,24.096531,0.)); #493110=CARTESIAN_POINT('',(36.734634,24.096531,0.035)); #493111=CARTESIAN_POINT('',(36.734634,24.096531,0.035)); #493112=CARTESIAN_POINT('',(36.734634,24.096531,0.)); #493113=CARTESIAN_POINT('Origin',(36.7286,24.126253,0.)); #493114=CARTESIAN_POINT('',(36.7286,24.126253,0.)); #493115=CARTESIAN_POINT('',(36.7286,24.126253,0.)); #493116=CARTESIAN_POINT('',(36.7286,24.126253,0.035)); #493117=CARTESIAN_POINT('',(36.7286,24.126253,0.035)); #493118=CARTESIAN_POINT('',(36.7286,24.126253,0.)); #493119=CARTESIAN_POINT('Origin',(36.7286,24.317025,0.)); #493120=CARTESIAN_POINT('',(36.7286,24.317025,0.)); #493121=CARTESIAN_POINT('',(36.7286,24.317025,0.)); #493122=CARTESIAN_POINT('',(36.7286,24.317025,0.035)); #493123=CARTESIAN_POINT('',(36.7286,24.317025,0.035)); #493124=CARTESIAN_POINT('',(36.7286,24.317025,0.)); #493125=CARTESIAN_POINT('Origin',(36.733944,24.345059,0.)); #493126=CARTESIAN_POINT('',(36.733944,24.345059,0.)); #493127=CARTESIAN_POINT('',(36.733944,24.345059,0.)); #493128=CARTESIAN_POINT('',(36.733944,24.345059,0.035)); #493129=CARTESIAN_POINT('',(36.733944,24.345059,0.035)); #493130=CARTESIAN_POINT('',(36.733944,24.345059,0.)); #493131=CARTESIAN_POINT('Origin',(36.750491,24.370475,0.)); #493132=CARTESIAN_POINT('',(36.750491,24.370475,0.)); #493133=CARTESIAN_POINT('',(36.750491,24.370475,0.)); #493134=CARTESIAN_POINT('',(36.750491,24.370475,0.035)); #493135=CARTESIAN_POINT('',(36.750491,24.370475,0.035)); #493136=CARTESIAN_POINT('',(36.750491,24.370475,0.)); #493137=CARTESIAN_POINT('Origin',(36.775641,24.387425,0.)); #493138=CARTESIAN_POINT('',(36.775641,24.387425,0.)); #493139=CARTESIAN_POINT('',(36.775641,24.387425,0.)); #493140=CARTESIAN_POINT('',(36.775641,24.387425,0.035)); #493141=CARTESIAN_POINT('',(36.775641,24.387425,0.035)); #493142=CARTESIAN_POINT('',(36.775641,24.387425,0.)); #493143=CARTESIAN_POINT('Origin',(37.011169,24.484984,0.)); #493144=CARTESIAN_POINT('',(37.011169,24.484984,0.)); #493145=CARTESIAN_POINT('',(37.011169,24.484984,0.)); #493146=CARTESIAN_POINT('',(37.011169,24.484984,0.035)); #493147=CARTESIAN_POINT('',(37.011169,24.484984,0.035)); #493148=CARTESIAN_POINT('',(37.011169,24.484984,0.)); #493149=CARTESIAN_POINT('Origin',(37.265016,24.738831,0.)); #493150=CARTESIAN_POINT('',(37.265016,24.738831,0.)); #493151=CARTESIAN_POINT('',(37.265016,24.738831,0.)); #493152=CARTESIAN_POINT('',(37.265016,24.738831,0.035)); #493153=CARTESIAN_POINT('',(37.265016,24.738831,0.035)); #493154=CARTESIAN_POINT('',(37.265016,24.738831,0.)); #493155=CARTESIAN_POINT('Origin',(37.4024,25.0705,0.)); #493156=CARTESIAN_POINT('',(37.4024,25.0705,0.)); #493157=CARTESIAN_POINT('',(37.4024,25.0705,0.)); #493158=CARTESIAN_POINT('',(37.4024,25.0705,0.035)); #493159=CARTESIAN_POINT('',(37.4024,25.0705,0.035)); #493160=CARTESIAN_POINT('',(37.4024,25.0705,0.)); #493161=CARTESIAN_POINT('Origin',(37.4024,25.4295,0.)); #493162=CARTESIAN_POINT('',(37.4024,25.4295,0.)); #493163=CARTESIAN_POINT('',(37.4024,25.4295,0.)); #493164=CARTESIAN_POINT('',(37.4024,25.4295,0.035)); #493165=CARTESIAN_POINT('',(37.4024,25.4295,0.035)); #493166=CARTESIAN_POINT('',(37.4024,25.4295,0.)); #493167=CARTESIAN_POINT('Origin',(37.265016,25.761169,0.)); #493168=CARTESIAN_POINT('',(37.265016,25.761169,0.)); #493169=CARTESIAN_POINT('',(37.265016,25.761169,0.)); #493170=CARTESIAN_POINT('',(37.265016,25.761169,0.035)); #493171=CARTESIAN_POINT('',(37.265016,25.761169,0.035)); #493172=CARTESIAN_POINT('',(37.265016,25.761169,0.)); #493173=CARTESIAN_POINT('Origin',(37.072366,25.953819,0.)); #493174=CARTESIAN_POINT('',(37.072366,25.953819,0.)); #493175=CARTESIAN_POINT('',(37.072366,25.953819,0.)); #493176=CARTESIAN_POINT('',(37.072366,25.953819,0.035)); #493177=CARTESIAN_POINT('',(37.072366,25.953819,0.035)); #493178=CARTESIAN_POINT('',(37.072366,25.953819,0.)); #493179=CARTESIAN_POINT('Origin',(37.057066,25.975756,0.)); #493180=CARTESIAN_POINT('',(37.057066,25.975756,0.)); #493181=CARTESIAN_POINT('',(37.057066,25.975756,0.)); #493182=CARTESIAN_POINT('',(37.057066,25.975756,0.035)); #493183=CARTESIAN_POINT('',(37.057066,25.975756,0.035)); #493184=CARTESIAN_POINT('',(37.057066,25.975756,0.)); #493185=CARTESIAN_POINT('Origin',(37.050084,26.005272,0.)); #493186=CARTESIAN_POINT('',(37.050084,26.005272,0.)); #493187=CARTESIAN_POINT('',(37.050084,26.005272,0.)); #493188=CARTESIAN_POINT('',(37.050084,26.005272,0.035)); #493189=CARTESIAN_POINT('',(37.050084,26.005272,0.035)); #493190=CARTESIAN_POINT('',(37.050084,26.005272,0.)); #493191=CARTESIAN_POINT('Origin',(37.055169,26.035169,0.)); #493192=CARTESIAN_POINT('',(37.055169,26.035169,0.)); #493193=CARTESIAN_POINT('',(37.055169,26.035169,0.)); #493194=CARTESIAN_POINT('',(37.055169,26.035169,0.035)); #493195=CARTESIAN_POINT('',(37.055169,26.035169,0.035)); #493196=CARTESIAN_POINT('',(37.055169,26.035169,0.)); #493197=CARTESIAN_POINT('Origin',(37.071513,26.060716,0.)); #493198=CARTESIAN_POINT('',(37.071513,26.060716,0.)); #493199=CARTESIAN_POINT('',(37.071513,26.060716,0.)); #493200=CARTESIAN_POINT('',(37.071513,26.060716,0.035)); #493201=CARTESIAN_POINT('',(37.071513,26.060716,0.035)); #493202=CARTESIAN_POINT('',(37.071513,26.060716,0.)); #493203=CARTESIAN_POINT('Origin',(37.096525,26.077866,0.)); #493204=CARTESIAN_POINT('',(37.096525,26.077866,0.)); #493205=CARTESIAN_POINT('',(37.096525,26.077866,0.)); #493206=CARTESIAN_POINT('',(37.096525,26.077866,0.035)); #493207=CARTESIAN_POINT('',(37.096525,26.077866,0.035)); #493208=CARTESIAN_POINT('',(37.096525,26.077866,0.)); #493209=CARTESIAN_POINT('Origin',(37.126247,26.0839,0.)); #493210=CARTESIAN_POINT('',(37.126247,26.0839,0.)); #493211=CARTESIAN_POINT('',(37.126247,26.0839,0.)); #493212=CARTESIAN_POINT('',(37.126247,26.0839,0.035)); #493213=CARTESIAN_POINT('',(37.126247,26.0839,0.035)); #493214=CARTESIAN_POINT('',(37.126247,26.0839,0.)); #493215=CARTESIAN_POINT('Origin',(37.719691,26.0839,0.)); #493216=CARTESIAN_POINT('',(37.719691,26.0839,0.)); #493217=CARTESIAN_POINT('',(37.719691,26.0839,0.)); #493218=CARTESIAN_POINT('',(37.719691,26.0839,0.035)); #493219=CARTESIAN_POINT('',(37.719691,26.0839,0.035)); #493220=CARTESIAN_POINT('',(37.719691,26.0839,0.)); #493221=CARTESIAN_POINT('Origin',(38.384872,26.749081,0.)); #493222=CARTESIAN_POINT('',(38.384872,26.749081,0.)); #493223=CARTESIAN_POINT('',(38.384872,26.749081,0.)); #493224=CARTESIAN_POINT('',(38.384872,26.749081,0.035)); #493225=CARTESIAN_POINT('',(38.384872,26.749081,0.035)); #493226=CARTESIAN_POINT('',(38.384872,26.749081,0.)); #493227=CARTESIAN_POINT('Origin',(38.409031,26.765366,0.)); #493228=CARTESIAN_POINT('',(38.409031,26.765366,0.)); #493229=CARTESIAN_POINT('',(38.409031,26.765366,0.)); #493230=CARTESIAN_POINT('',(38.409031,26.765366,0.035)); #493231=CARTESIAN_POINT('',(38.409031,26.765366,0.035)); #493232=CARTESIAN_POINT('',(38.409031,26.765366,0.)); #493233=CARTESIAN_POINT('Origin',(38.438753,26.7714,0.)); #493234=CARTESIAN_POINT('',(38.438753,26.7714,0.)); #493235=CARTESIAN_POINT('',(38.438753,26.7714,0.)); #493236=CARTESIAN_POINT('',(38.438753,26.7714,0.035)); #493237=CARTESIAN_POINT('',(38.438753,26.7714,0.035)); #493238=CARTESIAN_POINT('',(38.438753,26.7714,0.)); #493239=CARTESIAN_POINT('Origin',(40.342603,26.7714,0.)); #493240=CARTESIAN_POINT('',(40.342603,26.7714,0.)); #493241=CARTESIAN_POINT('',(40.342603,26.7714,0.)); #493242=CARTESIAN_POINT('',(40.342603,26.7714,0.035)); #493243=CARTESIAN_POINT('',(40.342603,26.7714,0.035)); #493244=CARTESIAN_POINT('',(40.342603,26.7714,0.)); #493245=CARTESIAN_POINT('Origin',(40.3712,26.765831,0.)); #493246=CARTESIAN_POINT('',(40.3712,26.765831,0.)); #493247=CARTESIAN_POINT('',(40.3712,26.765831,0.)); #493248=CARTESIAN_POINT('',(40.3712,26.765831,0.035)); #493249=CARTESIAN_POINT('',(40.3712,26.765831,0.035)); #493250=CARTESIAN_POINT('',(40.3712,26.765831,0.)); #493251=CARTESIAN_POINT('Origin',(40.396484,26.749081,0.)); #493252=CARTESIAN_POINT('',(40.396484,26.749081,0.)); #493253=CARTESIAN_POINT('',(40.396484,26.749081,0.)); #493254=CARTESIAN_POINT('',(40.396484,26.749081,0.035)); #493255=CARTESIAN_POINT('',(40.396484,26.749081,0.035)); #493256=CARTESIAN_POINT('',(40.396484,26.749081,0.)); #493257=CARTESIAN_POINT('Origin',(40.572966,26.5726,0.)); #493258=CARTESIAN_POINT('',(40.572966,26.5726,0.)); #493259=CARTESIAN_POINT('',(40.572966,26.5726,0.)); #493260=CARTESIAN_POINT('',(40.572966,26.5726,0.035)); #493261=CARTESIAN_POINT('',(40.572966,26.5726,0.035)); #493262=CARTESIAN_POINT('',(40.572966,26.5726,0.)); #493263=CARTESIAN_POINT('Origin',(40.927034,26.5726,0.)); #493264=CARTESIAN_POINT('',(40.927034,26.5726,0.)); #493265=CARTESIAN_POINT('',(40.927034,26.5726,0.)); #493266=CARTESIAN_POINT('',(40.927034,26.5726,0.035)); #493267=CARTESIAN_POINT('',(40.927034,26.5726,0.035)); #493268=CARTESIAN_POINT('',(40.927034,26.5726,0.)); #493269=CARTESIAN_POINT('Origin',(41.1774,26.822966,0.)); #493270=CARTESIAN_POINT('',(41.1774,26.822966,0.)); #493271=CARTESIAN_POINT('',(41.1774,26.822966,0.)); #493272=CARTESIAN_POINT('',(41.1774,26.822966,0.035)); #493273=CARTESIAN_POINT('',(41.1774,26.822966,0.035)); #493274=CARTESIAN_POINT('',(41.1774,26.822966,0.)); #493275=CARTESIAN_POINT('Origin',(41.1774,27.177034,0.)); #493276=CARTESIAN_POINT('',(41.1774,27.177034,0.)); #493277=CARTESIAN_POINT('',(41.1774,27.177034,0.)); #493278=CARTESIAN_POINT('',(41.1774,27.177034,0.035)); #493279=CARTESIAN_POINT('',(41.1774,27.177034,0.035)); #493280=CARTESIAN_POINT('',(41.1774,27.177034,0.)); #493281=CARTESIAN_POINT('Origin',(40.951116,27.403319,0.)); #493282=CARTESIAN_POINT('',(40.951116,27.403319,0.)); #493283=CARTESIAN_POINT('',(40.951116,27.403319,0.)); #493284=CARTESIAN_POINT('',(40.951116,27.403319,0.035)); #493285=CARTESIAN_POINT('',(40.951116,27.403319,0.035)); #493286=CARTESIAN_POINT('',(40.951116,27.403319,0.)); #493287=CARTESIAN_POINT('Origin',(40.935816,27.425256,0.)); #493288=CARTESIAN_POINT('',(40.935816,27.425256,0.)); #493289=CARTESIAN_POINT('',(40.935816,27.425256,0.)); #493290=CARTESIAN_POINT('',(40.935816,27.425256,0.035)); #493291=CARTESIAN_POINT('',(40.935816,27.425256,0.035)); #493292=CARTESIAN_POINT('',(40.935816,27.425256,0.)); #493293=CARTESIAN_POINT('Origin',(40.928834,27.454772,0.)); #493294=CARTESIAN_POINT('',(40.928834,27.454772,0.)); #493295=CARTESIAN_POINT('',(40.928834,27.454772,0.)); #493296=CARTESIAN_POINT('',(40.928834,27.454772,0.035)); #493297=CARTESIAN_POINT('',(40.928834,27.454772,0.035)); #493298=CARTESIAN_POINT('',(40.928834,27.454772,0.)); #493299=CARTESIAN_POINT('Origin',(40.933919,27.484669,0.)); #493300=CARTESIAN_POINT('',(40.933919,27.484669,0.)); #493301=CARTESIAN_POINT('',(40.933919,27.484669,0.)); #493302=CARTESIAN_POINT('',(40.933919,27.484669,0.035)); #493303=CARTESIAN_POINT('',(40.933919,27.484669,0.035)); #493304=CARTESIAN_POINT('',(40.933919,27.484669,0.)); #493305=CARTESIAN_POINT('Origin',(40.950263,27.510216,0.)); #493306=CARTESIAN_POINT('',(40.950263,27.510216,0.)); #493307=CARTESIAN_POINT('',(40.950263,27.510216,0.)); #493308=CARTESIAN_POINT('',(40.950263,27.510216,0.035)); #493309=CARTESIAN_POINT('',(40.950263,27.510216,0.035)); #493310=CARTESIAN_POINT('',(40.950263,27.510216,0.)); #493311=CARTESIAN_POINT('Origin',(40.975275,27.527366,0.)); #493312=CARTESIAN_POINT('',(40.975275,27.527366,0.)); #493313=CARTESIAN_POINT('',(40.975275,27.527366,0.)); #493314=CARTESIAN_POINT('',(40.975275,27.527366,0.035)); #493315=CARTESIAN_POINT('',(40.975275,27.527366,0.035)); #493316=CARTESIAN_POINT('',(40.975275,27.527366,0.)); #493317=CARTESIAN_POINT('Origin',(41.004997,27.5334,0.)); #493318=CARTESIAN_POINT('',(41.004997,27.5334,0.)); #493319=CARTESIAN_POINT('',(41.004997,27.5334,0.)); #493320=CARTESIAN_POINT('',(41.004997,27.5334,0.035)); #493321=CARTESIAN_POINT('',(41.004997,27.5334,0.035)); #493322=CARTESIAN_POINT('',(41.004997,27.5334,0.)); #493323=CARTESIAN_POINT('Origin',(46.0769,27.5334,0.)); #493324=CARTESIAN_POINT('',(46.0769,27.5334,0.)); #493325=CARTESIAN_POINT('',(46.0769,27.5334,0.)); #493326=CARTESIAN_POINT('',(46.0769,27.5334,0.035)); #493327=CARTESIAN_POINT('',(46.0769,27.5334,0.035)); #493328=CARTESIAN_POINT('',(46.0769,27.5334,0.)); #493329=CARTESIAN_POINT('Origin',(46.104369,27.528278,0.)); #493330=CARTESIAN_POINT('',(46.104369,27.528278,0.)); #493331=CARTESIAN_POINT('',(46.104369,27.528278,0.)); #493332=CARTESIAN_POINT('',(46.104369,27.528278,0.035)); #493333=CARTESIAN_POINT('',(46.104369,27.528278,0.035)); #493334=CARTESIAN_POINT('',(46.104369,27.528278,0.)); #493335=CARTESIAN_POINT('Origin',(46.129916,27.511934,0.)); #493336=CARTESIAN_POINT('',(46.129916,27.511934,0.)); #493337=CARTESIAN_POINT('',(46.129916,27.511934,0.)); #493338=CARTESIAN_POINT('',(46.129916,27.511934,0.035)); #493339=CARTESIAN_POINT('',(46.129916,27.511934,0.035)); #493340=CARTESIAN_POINT('',(46.129916,27.511934,0.)); #493341=CARTESIAN_POINT('Origin',(46.147066,27.486922,0.)); #493342=CARTESIAN_POINT('',(46.147066,27.486922,0.)); #493343=CARTESIAN_POINT('',(46.147066,27.486922,0.)); #493344=CARTESIAN_POINT('',(46.147066,27.486922,0.035)); #493345=CARTESIAN_POINT('',(46.147066,27.486922,0.035)); #493346=CARTESIAN_POINT('',(46.147066,27.486922,0.)); #493347=CARTESIAN_POINT('Origin',(46.1531,27.4572,0.)); #493348=CARTESIAN_POINT('',(46.1531,27.4572,0.)); #493349=CARTESIAN_POINT('',(46.1531,27.4572,0.)); #493350=CARTESIAN_POINT('',(46.1531,27.4572,0.035)); #493351=CARTESIAN_POINT('',(46.1531,27.4572,0.035)); #493352=CARTESIAN_POINT('',(46.1531,27.4572,0.)); #493353=CARTESIAN_POINT('Origin',(46.1531,27.279772,0.)); #493354=CARTESIAN_POINT('',(46.1531,27.279772,0.)); #493355=CARTESIAN_POINT('',(46.1531,27.279772,0.)); #493356=CARTESIAN_POINT('',(46.1531,27.279772,0.035)); #493357=CARTESIAN_POINT('',(46.1531,27.279772,0.035)); #493358=CARTESIAN_POINT('',(46.1531,27.279772,0.)); #493359=CARTESIAN_POINT('Origin',(46.242372,27.1905,0.)); #493360=CARTESIAN_POINT('',(46.242372,27.1905,0.)); #493361=CARTESIAN_POINT('',(46.242372,27.1905,0.)); #493362=CARTESIAN_POINT('',(46.242372,27.1905,0.035)); #493363=CARTESIAN_POINT('',(46.242372,27.1905,0.035)); #493364=CARTESIAN_POINT('',(46.242372,27.1905,0.)); #493365=CARTESIAN_POINT('Origin',(47.257628,27.1905,0.)); #493366=CARTESIAN_POINT('',(47.257628,27.1905,0.)); #493367=CARTESIAN_POINT('',(47.257628,27.1905,0.)); #493368=CARTESIAN_POINT('',(47.257628,27.1905,0.035)); #493369=CARTESIAN_POINT('',(47.257628,27.1905,0.035)); #493370=CARTESIAN_POINT('',(47.257628,27.1905,0.)); #493371=CARTESIAN_POINT('Origin',(47.3469,27.279772,0.)); #493372=CARTESIAN_POINT('',(47.3469,27.279772,0.)); #493373=CARTESIAN_POINT('',(47.3469,27.279772,0.)); #493374=CARTESIAN_POINT('',(47.3469,27.279772,0.035)); #493375=CARTESIAN_POINT('',(47.3469,27.279772,0.035)); #493376=CARTESIAN_POINT('',(47.3469,27.279772,0.)); #493377=CARTESIAN_POINT('Origin',(47.3469,27.4572,0.)); #493378=CARTESIAN_POINT('',(47.3469,27.4572,0.)); #493379=CARTESIAN_POINT('',(47.3469,27.4572,0.)); #493380=CARTESIAN_POINT('',(47.3469,27.4572,0.035)); #493381=CARTESIAN_POINT('',(47.3469,27.4572,0.035)); #493382=CARTESIAN_POINT('',(47.3469,27.4572,0.)); #493383=CARTESIAN_POINT('Origin',(47.352022,27.484669,0.)); #493384=CARTESIAN_POINT('',(47.352022,27.484669,0.)); #493385=CARTESIAN_POINT('',(47.352022,27.484669,0.)); #493386=CARTESIAN_POINT('',(47.352022,27.484669,0.035)); #493387=CARTESIAN_POINT('',(47.352022,27.484669,0.035)); #493388=CARTESIAN_POINT('',(47.352022,27.484669,0.)); #493389=CARTESIAN_POINT('Origin',(47.368366,27.510216,0.)); #493390=CARTESIAN_POINT('',(47.368366,27.510216,0.)); #493391=CARTESIAN_POINT('',(47.368366,27.510216,0.)); #493392=CARTESIAN_POINT('',(47.368366,27.510216,0.035)); #493393=CARTESIAN_POINT('',(47.368366,27.510216,0.035)); #493394=CARTESIAN_POINT('',(47.368366,27.510216,0.)); #493395=CARTESIAN_POINT('Origin',(47.393378,27.527366,0.)); #493396=CARTESIAN_POINT('',(47.393378,27.527366,0.)); #493397=CARTESIAN_POINT('',(47.393378,27.527366,0.)); #493398=CARTESIAN_POINT('',(47.393378,27.527366,0.035)); #493399=CARTESIAN_POINT('',(47.393378,27.527366,0.035)); #493400=CARTESIAN_POINT('',(47.393378,27.527366,0.)); #493401=CARTESIAN_POINT('Origin',(47.4231,27.5334,0.)); #493402=CARTESIAN_POINT('',(47.4231,27.5334,0.)); #493403=CARTESIAN_POINT('',(47.4231,27.5334,0.)); #493404=CARTESIAN_POINT('',(47.4231,27.5334,0.035)); #493405=CARTESIAN_POINT('',(47.4231,27.5334,0.035)); #493406=CARTESIAN_POINT('',(47.4231,27.5334,0.)); #493407=CARTESIAN_POINT('Origin',(48.5769,27.5334,0.)); #493408=CARTESIAN_POINT('',(48.5769,27.5334,0.)); #493409=CARTESIAN_POINT('',(48.5769,27.5334,0.)); #493410=CARTESIAN_POINT('',(48.5769,27.5334,0.035)); #493411=CARTESIAN_POINT('',(48.5769,27.5334,0.035)); #493412=CARTESIAN_POINT('',(48.5769,27.5334,0.)); #493413=CARTESIAN_POINT('Origin',(48.604369,27.528278,0.)); #493414=CARTESIAN_POINT('',(48.604369,27.528278,0.)); #493415=CARTESIAN_POINT('',(48.604369,27.528278,0.)); #493416=CARTESIAN_POINT('',(48.604369,27.528278,0.035)); #493417=CARTESIAN_POINT('',(48.604369,27.528278,0.035)); #493418=CARTESIAN_POINT('',(48.604369,27.528278,0.)); #493419=CARTESIAN_POINT('Origin',(48.629916,27.511934,0.)); #493420=CARTESIAN_POINT('',(48.629916,27.511934,0.)); #493421=CARTESIAN_POINT('',(48.629916,27.511934,0.)); #493422=CARTESIAN_POINT('',(48.629916,27.511934,0.035)); #493423=CARTESIAN_POINT('',(48.629916,27.511934,0.035)); #493424=CARTESIAN_POINT('',(48.629916,27.511934,0.)); #493425=CARTESIAN_POINT('Origin',(48.647066,27.486922,0.)); #493426=CARTESIAN_POINT('',(48.647066,27.486922,0.)); #493427=CARTESIAN_POINT('',(48.647066,27.486922,0.)); #493428=CARTESIAN_POINT('',(48.647066,27.486922,0.035)); #493429=CARTESIAN_POINT('',(48.647066,27.486922,0.035)); #493430=CARTESIAN_POINT('',(48.647066,27.486922,0.)); #493431=CARTESIAN_POINT('Origin',(48.6531,27.4572,0.)); #493432=CARTESIAN_POINT('',(48.6531,27.4572,0.)); #493433=CARTESIAN_POINT('',(48.6531,27.4572,0.)); #493434=CARTESIAN_POINT('',(48.6531,27.4572,0.035)); #493435=CARTESIAN_POINT('',(48.6531,27.4572,0.035)); #493436=CARTESIAN_POINT('',(48.6531,27.4572,0.)); #493437=CARTESIAN_POINT('Origin',(48.6531,27.279772,0.)); #493438=CARTESIAN_POINT('',(48.6531,27.279772,0.)); #493439=CARTESIAN_POINT('',(48.6531,27.279772,0.)); #493440=CARTESIAN_POINT('',(48.6531,27.279772,0.035)); #493441=CARTESIAN_POINT('',(48.6531,27.279772,0.035)); #493442=CARTESIAN_POINT('',(48.6531,27.279772,0.)); #493443=CARTESIAN_POINT('Origin',(48.742372,27.1905,0.)); #493444=CARTESIAN_POINT('',(48.742372,27.1905,0.)); #493445=CARTESIAN_POINT('',(48.742372,27.1905,0.)); #493446=CARTESIAN_POINT('',(48.742372,27.1905,0.035)); #493447=CARTESIAN_POINT('',(48.742372,27.1905,0.035)); #493448=CARTESIAN_POINT('',(48.742372,27.1905,0.)); #493449=CARTESIAN_POINT('Origin',(49.757628,27.1905,0.)); #493450=CARTESIAN_POINT('',(49.757628,27.1905,0.)); #493451=CARTESIAN_POINT('',(49.757628,27.1905,0.)); #493452=CARTESIAN_POINT('',(49.757628,27.1905,0.035)); #493453=CARTESIAN_POINT('',(49.757628,27.1905,0.035)); #493454=CARTESIAN_POINT('',(49.757628,27.1905,0.)); #493455=CARTESIAN_POINT('Origin',(49.8469,27.279772,0.)); #493456=CARTESIAN_POINT('',(49.8469,27.279772,0.)); #493457=CARTESIAN_POINT('',(49.8469,27.279772,0.)); #493458=CARTESIAN_POINT('',(49.8469,27.279772,0.035)); #493459=CARTESIAN_POINT('',(49.8469,27.279772,0.035)); #493460=CARTESIAN_POINT('',(49.8469,27.279772,0.)); #493461=CARTESIAN_POINT('Origin',(49.8469,28.244228,0.)); #493462=CARTESIAN_POINT('',(49.8469,28.244228,0.)); #493463=CARTESIAN_POINT('',(49.8469,28.244228,0.)); #493464=CARTESIAN_POINT('',(49.8469,28.244228,0.035)); #493465=CARTESIAN_POINT('',(49.8469,28.244228,0.035)); #493466=CARTESIAN_POINT('',(49.8469,28.244228,0.)); #493467=CARTESIAN_POINT('Origin',(49.757628,28.3335,0.)); #493468=CARTESIAN_POINT('',(49.757628,28.3335,0.)); #493469=CARTESIAN_POINT('',(49.757628,28.3335,0.)); #493470=CARTESIAN_POINT('',(49.757628,28.3335,0.035)); #493471=CARTESIAN_POINT('',(49.757628,28.3335,0.035)); #493472=CARTESIAN_POINT('',(49.757628,28.3335,0.)); #493473=CARTESIAN_POINT('Origin',(48.742372,28.3335,0.)); #493474=CARTESIAN_POINT('',(48.742372,28.3335,0.)); #493475=CARTESIAN_POINT('',(48.742372,28.3335,0.)); #493476=CARTESIAN_POINT('',(48.742372,28.3335,0.035)); #493477=CARTESIAN_POINT('',(48.742372,28.3335,0.035)); #493478=CARTESIAN_POINT('',(48.742372,28.3335,0.)); #493479=CARTESIAN_POINT('Origin',(48.6531,28.244228,0.)); #493480=CARTESIAN_POINT('',(48.6531,28.244228,0.)); #493481=CARTESIAN_POINT('',(48.6531,28.244228,0.)); #493482=CARTESIAN_POINT('',(48.6531,28.244228,0.035)); #493483=CARTESIAN_POINT('',(48.6531,28.244228,0.035)); #493484=CARTESIAN_POINT('',(48.6531,28.244228,0.)); #493485=CARTESIAN_POINT('Origin',(48.6531,28.0668,0.)); #493486=CARTESIAN_POINT('',(48.6531,28.0668,0.)); #493487=CARTESIAN_POINT('',(48.6531,28.0668,0.)); #493488=CARTESIAN_POINT('',(48.6531,28.0668,0.035)); #493489=CARTESIAN_POINT('',(48.6531,28.0668,0.035)); #493490=CARTESIAN_POINT('',(48.6531,28.0668,0.)); #493491=CARTESIAN_POINT('Origin',(48.647978,28.039331,0.)); #493492=CARTESIAN_POINT('',(48.647978,28.039331,0.)); #493493=CARTESIAN_POINT('',(48.647978,28.039331,0.)); #493494=CARTESIAN_POINT('',(48.647978,28.039331,0.035)); #493495=CARTESIAN_POINT('',(48.647978,28.039331,0.035)); #493496=CARTESIAN_POINT('',(48.647978,28.039331,0.)); #493497=CARTESIAN_POINT('Origin',(48.631634,28.013784,0.)); #493498=CARTESIAN_POINT('',(48.631634,28.013784,0.)); #493499=CARTESIAN_POINT('',(48.631634,28.013784,0.)); #493500=CARTESIAN_POINT('',(48.631634,28.013784,0.035)); #493501=CARTESIAN_POINT('',(48.631634,28.013784,0.035)); #493502=CARTESIAN_POINT('',(48.631634,28.013784,0.)); #493503=CARTESIAN_POINT('Origin',(48.606622,27.996634,0.)); #493504=CARTESIAN_POINT('',(48.606622,27.996634,0.)); #493505=CARTESIAN_POINT('',(48.606622,27.996634,0.)); #493506=CARTESIAN_POINT('',(48.606622,27.996634,0.035)); #493507=CARTESIAN_POINT('',(48.606622,27.996634,0.035)); #493508=CARTESIAN_POINT('',(48.606622,27.996634,0.)); #493509=CARTESIAN_POINT('Origin',(48.5769,27.9906,0.)); #493510=CARTESIAN_POINT('',(48.5769,27.9906,0.)); #493511=CARTESIAN_POINT('',(48.5769,27.9906,0.)); #493512=CARTESIAN_POINT('',(48.5769,27.9906,0.035)); #493513=CARTESIAN_POINT('',(48.5769,27.9906,0.035)); #493514=CARTESIAN_POINT('',(48.5769,27.9906,0.)); #493515=CARTESIAN_POINT('Origin',(47.4231,27.9906,0.)); #493516=CARTESIAN_POINT('',(47.4231,27.9906,0.)); #493517=CARTESIAN_POINT('',(47.4231,27.9906,0.)); #493518=CARTESIAN_POINT('',(47.4231,27.9906,0.035)); #493519=CARTESIAN_POINT('',(47.4231,27.9906,0.035)); #493520=CARTESIAN_POINT('',(47.4231,27.9906,0.)); #493521=CARTESIAN_POINT('Origin',(47.395631,27.995722,0.)); #493522=CARTESIAN_POINT('',(47.395631,27.995722,0.)); #493523=CARTESIAN_POINT('',(47.395631,27.995722,0.)); #493524=CARTESIAN_POINT('',(47.395631,27.995722,0.035)); #493525=CARTESIAN_POINT('',(47.395631,27.995722,0.035)); #493526=CARTESIAN_POINT('',(47.395631,27.995722,0.)); #493527=CARTESIAN_POINT('Origin',(47.370084,28.012066,0.)); #493528=CARTESIAN_POINT('',(47.370084,28.012066,0.)); #493529=CARTESIAN_POINT('',(47.370084,28.012066,0.)); #493530=CARTESIAN_POINT('',(47.370084,28.012066,0.035)); #493531=CARTESIAN_POINT('',(47.370084,28.012066,0.035)); #493532=CARTESIAN_POINT('',(47.370084,28.012066,0.)); #493533=CARTESIAN_POINT('Origin',(47.352934,28.037078,0.)); #493534=CARTESIAN_POINT('',(47.352934,28.037078,0.)); #493535=CARTESIAN_POINT('',(47.352934,28.037078,0.)); #493536=CARTESIAN_POINT('',(47.352934,28.037078,0.035)); #493537=CARTESIAN_POINT('',(47.352934,28.037078,0.035)); #493538=CARTESIAN_POINT('',(47.352934,28.037078,0.)); #493539=CARTESIAN_POINT('Origin',(47.3469,28.0668,0.)); #493540=CARTESIAN_POINT('',(47.3469,28.0668,0.)); #493541=CARTESIAN_POINT('',(47.3469,28.0668,0.)); #493542=CARTESIAN_POINT('',(47.3469,28.0668,0.035)); #493543=CARTESIAN_POINT('',(47.3469,28.0668,0.035)); #493544=CARTESIAN_POINT('',(47.3469,28.0668,0.)); #493545=CARTESIAN_POINT('Origin',(47.3469,28.244228,0.)); #493546=CARTESIAN_POINT('',(47.3469,28.244228,0.)); #493547=CARTESIAN_POINT('',(47.3469,28.244228,0.)); #493548=CARTESIAN_POINT('',(47.3469,28.244228,0.035)); #493549=CARTESIAN_POINT('',(47.3469,28.244228,0.035)); #493550=CARTESIAN_POINT('',(47.3469,28.244228,0.)); #493551=CARTESIAN_POINT('Origin',(47.257628,28.3335,0.)); #493552=CARTESIAN_POINT('',(47.257628,28.3335,0.)); #493553=CARTESIAN_POINT('',(47.257628,28.3335,0.)); #493554=CARTESIAN_POINT('',(47.257628,28.3335,0.035)); #493555=CARTESIAN_POINT('',(47.257628,28.3335,0.035)); #493556=CARTESIAN_POINT('',(47.257628,28.3335,0.)); #493557=CARTESIAN_POINT('Origin',(46.242372,28.3335,0.)); #493558=CARTESIAN_POINT('',(46.242372,28.3335,0.)); #493559=CARTESIAN_POINT('',(46.242372,28.3335,0.)); #493560=CARTESIAN_POINT('',(46.242372,28.3335,0.035)); #493561=CARTESIAN_POINT('',(46.242372,28.3335,0.035)); #493562=CARTESIAN_POINT('',(46.242372,28.3335,0.)); #493563=CARTESIAN_POINT('Origin',(46.1531,28.244228,0.)); #493564=CARTESIAN_POINT('',(46.1531,28.244228,0.)); #493565=CARTESIAN_POINT('',(46.1531,28.244228,0.)); #493566=CARTESIAN_POINT('',(46.1531,28.244228,0.035)); #493567=CARTESIAN_POINT('',(46.1531,28.244228,0.035)); #493568=CARTESIAN_POINT('',(46.1531,28.244228,0.)); #493569=CARTESIAN_POINT('Origin',(46.1531,28.0668,0.)); #493570=CARTESIAN_POINT('',(46.1531,28.0668,0.)); #493571=CARTESIAN_POINT('',(46.1531,28.0668,0.)); #493572=CARTESIAN_POINT('',(46.1531,28.0668,0.035)); #493573=CARTESIAN_POINT('',(46.1531,28.0668,0.035)); #493574=CARTESIAN_POINT('',(46.1531,28.0668,0.)); #493575=CARTESIAN_POINT('Origin',(46.147978,28.039331,0.)); #493576=CARTESIAN_POINT('',(46.147978,28.039331,0.)); #493577=CARTESIAN_POINT('',(46.147978,28.039331,0.)); #493578=CARTESIAN_POINT('',(46.147978,28.039331,0.035)); #493579=CARTESIAN_POINT('',(46.147978,28.039331,0.035)); #493580=CARTESIAN_POINT('',(46.147978,28.039331,0.)); #493581=CARTESIAN_POINT('Origin',(46.131634,28.013784,0.)); #493582=CARTESIAN_POINT('',(46.131634,28.013784,0.)); #493583=CARTESIAN_POINT('',(46.131634,28.013784,0.)); #493584=CARTESIAN_POINT('',(46.131634,28.013784,0.035)); #493585=CARTESIAN_POINT('',(46.131634,28.013784,0.035)); #493586=CARTESIAN_POINT('',(46.131634,28.013784,0.)); #493587=CARTESIAN_POINT('Origin',(46.106622,27.996634,0.)); #493588=CARTESIAN_POINT('',(46.106622,27.996634,0.)); #493589=CARTESIAN_POINT('',(46.106622,27.996634,0.)); #493590=CARTESIAN_POINT('',(46.106622,27.996634,0.035)); #493591=CARTESIAN_POINT('',(46.106622,27.996634,0.035)); #493592=CARTESIAN_POINT('',(46.106622,27.996634,0.)); #493593=CARTESIAN_POINT('Origin',(46.0769,27.9906,0.)); #493594=CARTESIAN_POINT('',(46.0769,27.9906,0.)); #493595=CARTESIAN_POINT('',(46.0769,27.9906,0.)); #493596=CARTESIAN_POINT('',(46.0769,27.9906,0.035)); #493597=CARTESIAN_POINT('',(46.0769,27.9906,0.035)); #493598=CARTESIAN_POINT('',(46.0769,27.9906,0.)); #493599=CARTESIAN_POINT('Origin',(42.528997,27.9906,0.)); #493600=CARTESIAN_POINT('',(42.528997,27.9906,0.)); #493601=CARTESIAN_POINT('',(42.528997,27.9906,0.)); #493602=CARTESIAN_POINT('',(42.528997,27.9906,0.035)); #493603=CARTESIAN_POINT('',(42.528997,27.9906,0.035)); #493604=CARTESIAN_POINT('',(42.528997,27.9906,0.)); #493605=CARTESIAN_POINT('Origin',(42.502666,27.995294,0.)); #493606=CARTESIAN_POINT('',(42.502666,27.995294,0.)); #493607=CARTESIAN_POINT('',(42.502666,27.995294,0.)); #493608=CARTESIAN_POINT('',(42.502666,27.995294,0.035)); #493609=CARTESIAN_POINT('',(42.502666,27.995294,0.035)); #493610=CARTESIAN_POINT('',(42.502666,27.995294,0.)); #493611=CARTESIAN_POINT('Origin',(42.476859,28.011228,0.)); #493612=CARTESIAN_POINT('',(42.476859,28.011228,0.)); #493613=CARTESIAN_POINT('',(42.476859,28.011228,0.)); #493614=CARTESIAN_POINT('',(42.476859,28.011228,0.035)); #493615=CARTESIAN_POINT('',(42.476859,28.011228,0.035)); #493616=CARTESIAN_POINT('',(42.476859,28.011228,0.)); #493617=CARTESIAN_POINT('Origin',(42.459316,28.035966,0.)); #493618=CARTESIAN_POINT('',(42.459316,28.035966,0.)); #493619=CARTESIAN_POINT('',(42.459316,28.035966,0.)); #493620=CARTESIAN_POINT('',(42.459316,28.035966,0.035)); #493621=CARTESIAN_POINT('',(42.459316,28.035966,0.035)); #493622=CARTESIAN_POINT('',(42.459316,28.035966,0.)); #493623=CARTESIAN_POINT('Origin',(42.452806,28.065584,0.)); #493624=CARTESIAN_POINT('',(42.452806,28.065584,0.)); #493625=CARTESIAN_POINT('',(42.452806,28.065584,0.)); #493626=CARTESIAN_POINT('',(42.452806,28.065584,0.035)); #493627=CARTESIAN_POINT('',(42.452806,28.065584,0.035)); #493628=CARTESIAN_POINT('',(42.452806,28.065584,0.)); #493629=CARTESIAN_POINT('Origin',(42.458366,28.095397,0.)); #493630=CARTESIAN_POINT('',(42.458366,28.095397,0.)); #493631=CARTESIAN_POINT('',(42.458366,28.095397,0.)); #493632=CARTESIAN_POINT('',(42.458366,28.095397,0.035)); #493633=CARTESIAN_POINT('',(42.458366,28.095397,0.035)); #493634=CARTESIAN_POINT('',(42.458366,28.095397,0.)); #493635=CARTESIAN_POINT('Origin',(42.475116,28.120681,0.)); #493636=CARTESIAN_POINT('',(42.475116,28.120681,0.)); #493637=CARTESIAN_POINT('',(42.475116,28.120681,0.)); #493638=CARTESIAN_POINT('',(42.475116,28.120681,0.035)); #493639=CARTESIAN_POINT('',(42.475116,28.120681,0.035)); #493640=CARTESIAN_POINT('',(42.475116,28.120681,0.)); #493641=CARTESIAN_POINT('Origin',(42.603516,28.249081,0.)); #493642=CARTESIAN_POINT('',(42.603516,28.249081,0.)); #493643=CARTESIAN_POINT('',(42.603516,28.249081,0.)); #493644=CARTESIAN_POINT('',(42.603516,28.249081,0.035)); #493645=CARTESIAN_POINT('',(42.603516,28.249081,0.035)); #493646=CARTESIAN_POINT('',(42.603516,28.249081,0.)); #493647=CARTESIAN_POINT('Origin',(42.627675,28.265366,0.)); #493648=CARTESIAN_POINT('',(42.627675,28.265366,0.)); #493649=CARTESIAN_POINT('',(42.627675,28.265366,0.)); #493650=CARTESIAN_POINT('',(42.627675,28.265366,0.035)); #493651=CARTESIAN_POINT('',(42.627675,28.265366,0.035)); #493652=CARTESIAN_POINT('',(42.627675,28.265366,0.)); #493653=CARTESIAN_POINT('Origin',(42.657397,28.2714,0.)); #493654=CARTESIAN_POINT('',(42.657397,28.2714,0.)); #493655=CARTESIAN_POINT('',(42.657397,28.2714,0.)); #493656=CARTESIAN_POINT('',(42.657397,28.2714,0.035)); #493657=CARTESIAN_POINT('',(42.657397,28.2714,0.035)); #493658=CARTESIAN_POINT('',(42.657397,28.2714,0.)); #493659=CARTESIAN_POINT('Origin',(45.094691,28.2714,0.)); #493660=CARTESIAN_POINT('',(45.094691,28.2714,0.)); #493661=CARTESIAN_POINT('',(45.094691,28.2714,0.)); #493662=CARTESIAN_POINT('',(45.094691,28.2714,0.035)); #493663=CARTESIAN_POINT('',(45.094691,28.2714,0.035)); #493664=CARTESIAN_POINT('',(45.094691,28.2714,0.)); #493665=CARTESIAN_POINT('Origin',(46.4786,29.655309,0.)); #493666=CARTESIAN_POINT('',(46.4786,29.655309,0.)); #493667=CARTESIAN_POINT('',(46.4786,29.655309,0.)); #493668=CARTESIAN_POINT('',(46.4786,29.655309,0.035)); #493669=CARTESIAN_POINT('',(46.4786,29.655309,0.035)); #493670=CARTESIAN_POINT('',(46.4786,29.655309,0.)); #493671=CARTESIAN_POINT('Origin',(46.4786,32.985844,0.)); #493672=CARTESIAN_POINT('',(46.4786,32.985844,0.)); #493673=CARTESIAN_POINT('',(46.4786,32.985844,0.)); #493674=CARTESIAN_POINT('',(46.4786,32.985844,0.035)); #493675=CARTESIAN_POINT('',(46.4786,32.985844,0.035)); #493676=CARTESIAN_POINT('',(46.4786,32.985844,0.)); #493677=CARTESIAN_POINT('Origin',(46.483944,33.013878,0.)); #493678=CARTESIAN_POINT('',(46.483944,33.013878,0.)); #493679=CARTESIAN_POINT('',(46.483944,33.013878,0.)); #493680=CARTESIAN_POINT('',(46.483944,33.013878,0.035)); #493681=CARTESIAN_POINT('',(46.483944,33.013878,0.035)); #493682=CARTESIAN_POINT('',(46.483944,33.013878,0.)); #493683=CARTESIAN_POINT('Origin',(46.500491,33.039294,0.)); #493684=CARTESIAN_POINT('',(46.500491,33.039294,0.)); #493685=CARTESIAN_POINT('',(46.500491,33.039294,0.)); #493686=CARTESIAN_POINT('',(46.500491,33.039294,0.035)); #493687=CARTESIAN_POINT('',(46.500491,33.039294,0.035)); #493688=CARTESIAN_POINT('',(46.500491,33.039294,0.)); #493689=CARTESIAN_POINT('Origin',(46.525641,33.056244,0.)); #493690=CARTESIAN_POINT('',(46.525641,33.056244,0.)); #493691=CARTESIAN_POINT('',(46.525641,33.056244,0.)); #493692=CARTESIAN_POINT('',(46.525641,33.056244,0.035)); #493693=CARTESIAN_POINT('',(46.525641,33.056244,0.035)); #493694=CARTESIAN_POINT('',(46.525641,33.056244,0.)); #493695=CARTESIAN_POINT('Origin',(46.803653,33.1714,0.)); #493696=CARTESIAN_POINT('',(46.803653,33.1714,0.)); #493697=CARTESIAN_POINT('',(46.803653,33.1714,0.)); #493698=CARTESIAN_POINT('',(46.803653,33.1714,0.035)); #493699=CARTESIAN_POINT('',(46.803653,33.1714,0.035)); #493700=CARTESIAN_POINT('',(46.803653,33.1714,0.)); #493701=CARTESIAN_POINT('Origin',(47.0786,33.446347,0.)); #493702=CARTESIAN_POINT('',(47.0786,33.446347,0.)); #493703=CARTESIAN_POINT('',(47.0786,33.446347,0.)); #493704=CARTESIAN_POINT('',(47.0786,33.446347,0.035)); #493705=CARTESIAN_POINT('',(47.0786,33.446347,0.035)); #493706=CARTESIAN_POINT('',(47.0786,33.446347,0.)); #493707=CARTESIAN_POINT('Origin',(47.2274,33.805581,0.)); #493708=CARTESIAN_POINT('',(47.2274,33.805581,0.)); #493709=CARTESIAN_POINT('',(47.2274,33.805581,0.)); #493710=CARTESIAN_POINT('',(47.2274,33.805581,0.035)); #493711=CARTESIAN_POINT('',(47.2274,33.805581,0.035)); #493712=CARTESIAN_POINT('',(47.2274,33.805581,0.)); #493713=CARTESIAN_POINT('Origin',(47.2274,34.194419,0.)); #493714=CARTESIAN_POINT('',(47.2274,34.194419,0.)); #493715=CARTESIAN_POINT('',(47.2274,34.194419,0.)); #493716=CARTESIAN_POINT('',(47.2274,34.194419,0.035)); #493717=CARTESIAN_POINT('',(47.2274,34.194419,0.035)); #493718=CARTESIAN_POINT('',(47.2274,34.194419,0.)); #493719=CARTESIAN_POINT('Origin',(47.0786,34.553653,0.)); #493720=CARTESIAN_POINT('',(47.0786,34.553653,0.)); #493721=CARTESIAN_POINT('',(47.0786,34.553653,0.)); #493722=CARTESIAN_POINT('',(47.0786,34.553653,0.035)); #493723=CARTESIAN_POINT('',(47.0786,34.553653,0.035)); #493724=CARTESIAN_POINT('',(47.0786,34.553653,0.)); #493725=CARTESIAN_POINT('Origin',(46.803653,34.8286,0.)); #493726=CARTESIAN_POINT('',(46.803653,34.8286,0.)); #493727=CARTESIAN_POINT('',(46.803653,34.8286,0.)); #493728=CARTESIAN_POINT('',(46.803653,34.8286,0.035)); #493729=CARTESIAN_POINT('',(46.803653,34.8286,0.035)); #493730=CARTESIAN_POINT('',(46.803653,34.8286,0.)); #493731=CARTESIAN_POINT('Origin',(46.444419,34.9774,0.)); #493732=CARTESIAN_POINT('',(46.444419,34.9774,0.)); #493733=CARTESIAN_POINT('',(46.444419,34.9774,0.)); #493734=CARTESIAN_POINT('',(46.444419,34.9774,0.035)); #493735=CARTESIAN_POINT('',(46.444419,34.9774,0.035)); #493736=CARTESIAN_POINT('',(46.444419,34.9774,0.)); #493737=CARTESIAN_POINT('Origin',(46.055581,34.9774,0.)); #493738=CARTESIAN_POINT('',(46.055581,34.9774,0.)); #493739=CARTESIAN_POINT('',(46.055581,34.9774,0.)); #493740=CARTESIAN_POINT('',(46.055581,34.9774,0.035)); #493741=CARTESIAN_POINT('',(46.055581,34.9774,0.035)); #493742=CARTESIAN_POINT('',(46.055581,34.9774,0.)); #493743=CARTESIAN_POINT('Origin',(45.696347,34.8286,0.)); #493744=CARTESIAN_POINT('',(45.696347,34.8286,0.)); #493745=CARTESIAN_POINT('',(45.696347,34.8286,0.)); #493746=CARTESIAN_POINT('',(45.696347,34.8286,0.035)); #493747=CARTESIAN_POINT('',(45.696347,34.8286,0.035)); #493748=CARTESIAN_POINT('',(45.696347,34.8286,0.)); #493749=CARTESIAN_POINT('Origin',(45.4214,34.553653,0.)); #493750=CARTESIAN_POINT('',(45.4214,34.553653,0.)); #493751=CARTESIAN_POINT('',(45.4214,34.553653,0.)); #493752=CARTESIAN_POINT('',(45.4214,34.553653,0.035)); #493753=CARTESIAN_POINT('',(45.4214,34.553653,0.035)); #493754=CARTESIAN_POINT('',(45.4214,34.553653,0.)); #493755=CARTESIAN_POINT('Origin',(45.2726,34.194419,0.)); #493756=CARTESIAN_POINT('',(45.2726,34.194419,0.)); #493757=CARTESIAN_POINT('',(45.2726,34.194419,0.)); #493758=CARTESIAN_POINT('',(45.2726,34.194419,0.035)); #493759=CARTESIAN_POINT('',(45.2726,34.194419,0.035)); #493760=CARTESIAN_POINT('',(45.2726,34.194419,0.)); #493761=CARTESIAN_POINT('Origin',(45.2726,33.805581,0.)); #493762=CARTESIAN_POINT('',(45.2726,33.805581,0.)); #493763=CARTESIAN_POINT('',(45.2726,33.805581,0.)); #493764=CARTESIAN_POINT('',(45.2726,33.805581,0.035)); #493765=CARTESIAN_POINT('',(45.2726,33.805581,0.035)); #493766=CARTESIAN_POINT('',(45.2726,33.805581,0.)); #493767=CARTESIAN_POINT('Origin',(45.4214,33.446347,0.)); #493768=CARTESIAN_POINT('',(45.4214,33.446347,0.)); #493769=CARTESIAN_POINT('',(45.4214,33.446347,0.)); #493770=CARTESIAN_POINT('',(45.4214,33.446347,0.035)); #493771=CARTESIAN_POINT('',(45.4214,33.446347,0.035)); #493772=CARTESIAN_POINT('',(45.4214,33.446347,0.)); #493773=CARTESIAN_POINT('Origin',(45.696347,33.1714,0.)); #493774=CARTESIAN_POINT('',(45.696347,33.1714,0.)); #493775=CARTESIAN_POINT('',(45.696347,33.1714,0.)); #493776=CARTESIAN_POINT('',(45.696347,33.1714,0.035)); #493777=CARTESIAN_POINT('',(45.696347,33.1714,0.035)); #493778=CARTESIAN_POINT('',(45.696347,33.1714,0.)); #493779=CARTESIAN_POINT('Origin',(45.974359,33.056244,0.)); #493780=CARTESIAN_POINT('',(45.974359,33.056244,0.)); #493781=CARTESIAN_POINT('',(45.974359,33.056244,0.)); #493782=CARTESIAN_POINT('',(45.974359,33.056244,0.035)); #493783=CARTESIAN_POINT('',(45.974359,33.056244,0.035)); #493784=CARTESIAN_POINT('',(45.974359,33.056244,0.)); #493785=CARTESIAN_POINT('Origin',(45.998216,33.040578,0.)); #493786=CARTESIAN_POINT('',(45.998216,33.040578,0.)); #493787=CARTESIAN_POINT('',(45.998216,33.040578,0.)); #493788=CARTESIAN_POINT('',(45.998216,33.040578,0.035)); #493789=CARTESIAN_POINT('',(45.998216,33.040578,0.035)); #493790=CARTESIAN_POINT('',(45.998216,33.040578,0.)); #493791=CARTESIAN_POINT('Origin',(46.015366,33.015566,0.)); #493792=CARTESIAN_POINT('',(46.015366,33.015566,0.)); #493793=CARTESIAN_POINT('',(46.015366,33.015566,0.)); #493794=CARTESIAN_POINT('',(46.015366,33.015566,0.035)); #493795=CARTESIAN_POINT('',(46.015366,33.015566,0.035)); #493796=CARTESIAN_POINT('',(46.015366,33.015566,0.)); #493797=CARTESIAN_POINT('Origin',(46.0214,32.985844,0.)); #493798=CARTESIAN_POINT('',(46.0214,32.985844,0.)); #493799=CARTESIAN_POINT('',(46.0214,32.985844,0.)); #493800=CARTESIAN_POINT('',(46.0214,32.985844,0.035)); #493801=CARTESIAN_POINT('',(46.0214,32.985844,0.035)); #493802=CARTESIAN_POINT('',(46.0214,32.985844,0.)); #493803=CARTESIAN_POINT('Origin',(46.0214,29.876253,0.)); #493804=CARTESIAN_POINT('',(46.0214,29.876253,0.)); #493805=CARTESIAN_POINT('',(46.0214,29.876253,0.)); #493806=CARTESIAN_POINT('',(46.0214,29.876253,0.035)); #493807=CARTESIAN_POINT('',(46.0214,29.876253,0.035)); #493808=CARTESIAN_POINT('',(46.0214,29.876253,0.)); #493809=CARTESIAN_POINT('Origin',(46.015831,29.847656,0.)); #493810=CARTESIAN_POINT('',(46.015831,29.847656,0.)); #493811=CARTESIAN_POINT('',(46.015831,29.847656,0.)); #493812=CARTESIAN_POINT('',(46.015831,29.847656,0.035)); #493813=CARTESIAN_POINT('',(46.015831,29.847656,0.035)); #493814=CARTESIAN_POINT('',(46.015831,29.847656,0.)); #493815=CARTESIAN_POINT('Origin',(45.999081,29.822372,0.)); #493816=CARTESIAN_POINT('',(45.999081,29.822372,0.)); #493817=CARTESIAN_POINT('',(45.999081,29.822372,0.)); #493818=CARTESIAN_POINT('',(45.999081,29.822372,0.035)); #493819=CARTESIAN_POINT('',(45.999081,29.822372,0.035)); #493820=CARTESIAN_POINT('',(45.999081,29.822372,0.)); #493821=CARTESIAN_POINT('Origin',(44.927628,28.750919,0.)); #493822=CARTESIAN_POINT('',(44.927628,28.750919,0.)); #493823=CARTESIAN_POINT('',(44.927628,28.750919,0.)); #493824=CARTESIAN_POINT('',(44.927628,28.750919,0.035)); #493825=CARTESIAN_POINT('',(44.927628,28.750919,0.035)); #493826=CARTESIAN_POINT('',(44.927628,28.750919,0.)); #493827=CARTESIAN_POINT('Origin',(44.903469,28.734634,0.)); #493828=CARTESIAN_POINT('',(44.903469,28.734634,0.)); #493829=CARTESIAN_POINT('',(44.903469,28.734634,0.)); #493830=CARTESIAN_POINT('',(44.903469,28.734634,0.035)); #493831=CARTESIAN_POINT('',(44.903469,28.734634,0.035)); #493832=CARTESIAN_POINT('',(44.903469,28.734634,0.)); #493833=CARTESIAN_POINT('Origin',(44.873747,28.7286,0.)); #493834=CARTESIAN_POINT('',(44.873747,28.7286,0.)); #493835=CARTESIAN_POINT('',(44.873747,28.7286,0.)); #493836=CARTESIAN_POINT('',(44.873747,28.7286,0.035)); #493837=CARTESIAN_POINT('',(44.873747,28.7286,0.035)); #493838=CARTESIAN_POINT('',(44.873747,28.7286,0.)); #493839=CARTESIAN_POINT('Origin',(42.657397,28.7286,0.)); #493840=CARTESIAN_POINT('',(42.657397,28.7286,0.)); #493841=CARTESIAN_POINT('',(42.657397,28.7286,0.)); #493842=CARTESIAN_POINT('',(42.657397,28.7286,0.035)); #493843=CARTESIAN_POINT('',(42.657397,28.7286,0.035)); #493844=CARTESIAN_POINT('',(42.657397,28.7286,0.)); #493845=CARTESIAN_POINT('Origin',(42.6288,28.734169,0.)); #493846=CARTESIAN_POINT('',(42.6288,28.734169,0.)); #493847=CARTESIAN_POINT('',(42.6288,28.734169,0.)); #493848=CARTESIAN_POINT('',(42.6288,28.734169,0.035)); #493849=CARTESIAN_POINT('',(42.6288,28.734169,0.035)); #493850=CARTESIAN_POINT('',(42.6288,28.734169,0.)); #493851=CARTESIAN_POINT('Origin',(42.603516,28.750919,0.)); #493852=CARTESIAN_POINT('',(42.603516,28.750919,0.)); #493853=CARTESIAN_POINT('',(42.603516,28.750919,0.)); #493854=CARTESIAN_POINT('',(42.603516,28.750919,0.035)); #493855=CARTESIAN_POINT('',(42.603516,28.750919,0.035)); #493856=CARTESIAN_POINT('',(42.603516,28.750919,0.)); #493857=CARTESIAN_POINT('Origin',(42.427034,28.9274,0.)); #493858=CARTESIAN_POINT('',(42.427034,28.9274,0.)); #493859=CARTESIAN_POINT('',(42.427034,28.9274,0.)); #493860=CARTESIAN_POINT('',(42.427034,28.9274,0.035)); #493861=CARTESIAN_POINT('',(42.427034,28.9274,0.035)); #493862=CARTESIAN_POINT('',(42.427034,28.9274,0.)); #493863=CARTESIAN_POINT('Origin',(42.072966,28.9274,0.)); #493864=CARTESIAN_POINT('',(42.072966,28.9274,0.)); #493865=CARTESIAN_POINT('',(42.072966,28.9274,0.)); #493866=CARTESIAN_POINT('',(42.072966,28.9274,0.035)); #493867=CARTESIAN_POINT('',(42.072966,28.9274,0.035)); #493868=CARTESIAN_POINT('',(42.072966,28.9274,0.)); #493869=CARTESIAN_POINT('Origin',(41.896484,28.750919,0.)); #493870=CARTESIAN_POINT('',(41.896484,28.750919,0.)); #493871=CARTESIAN_POINT('',(41.896484,28.750919,0.)); #493872=CARTESIAN_POINT('',(41.896484,28.750919,0.035)); #493873=CARTESIAN_POINT('',(41.896484,28.750919,0.035)); #493874=CARTESIAN_POINT('',(41.896484,28.750919,0.)); #493875=CARTESIAN_POINT('Origin',(41.872325,28.734634,0.)); #493876=CARTESIAN_POINT('',(41.872325,28.734634,0.)); #493877=CARTESIAN_POINT('',(41.872325,28.734634,0.)); #493878=CARTESIAN_POINT('',(41.872325,28.734634,0.035)); #493879=CARTESIAN_POINT('',(41.872325,28.734634,0.035)); #493880=CARTESIAN_POINT('',(41.872325,28.734634,0.)); #493881=CARTESIAN_POINT('Origin',(41.842603,28.7286,0.)); #493882=CARTESIAN_POINT('',(41.842603,28.7286,0.)); #493883=CARTESIAN_POINT('',(41.842603,28.7286,0.)); #493884=CARTESIAN_POINT('',(41.842603,28.7286,0.035)); #493885=CARTESIAN_POINT('',(41.842603,28.7286,0.035)); #493886=CARTESIAN_POINT('',(41.842603,28.7286,0.)); #493887=CARTESIAN_POINT('Origin',(38.1778,28.7286,0.)); #493888=CARTESIAN_POINT('',(38.1778,28.7286,0.)); #493889=CARTESIAN_POINT('',(38.1778,28.7286,0.)); #493890=CARTESIAN_POINT('',(38.1778,28.7286,0.035)); #493891=CARTESIAN_POINT('',(38.1778,28.7286,0.035)); #493892=CARTESIAN_POINT('',(38.1778,28.7286,0.)); #493893=CARTESIAN_POINT('Origin',(38.150331,28.733722,0.)); #493894=CARTESIAN_POINT('',(38.150331,28.733722,0.)); #493895=CARTESIAN_POINT('',(38.150331,28.733722,0.)); #493896=CARTESIAN_POINT('',(38.150331,28.733722,0.035)); #493897=CARTESIAN_POINT('',(38.150331,28.733722,0.035)); #493898=CARTESIAN_POINT('',(38.150331,28.733722,0.)); #493899=CARTESIAN_POINT('Origin',(38.124784,28.750066,0.)); #493900=CARTESIAN_POINT('',(38.124784,28.750066,0.)); #493901=CARTESIAN_POINT('',(38.124784,28.750066,0.)); #493902=CARTESIAN_POINT('',(38.124784,28.750066,0.035)); #493903=CARTESIAN_POINT('',(38.124784,28.750066,0.035)); #493904=CARTESIAN_POINT('',(38.124784,28.750066,0.)); #493905=CARTESIAN_POINT('Origin',(38.107634,28.775078,0.)); #493906=CARTESIAN_POINT('',(38.107634,28.775078,0.)); #493907=CARTESIAN_POINT('',(38.107634,28.775078,0.)); #493908=CARTESIAN_POINT('',(38.107634,28.775078,0.035)); #493909=CARTESIAN_POINT('',(38.107634,28.775078,0.035)); #493910=CARTESIAN_POINT('',(38.107634,28.775078,0.)); #493911=CARTESIAN_POINT('Origin',(38.1016,28.8048,0.)); #493912=CARTESIAN_POINT('',(38.1016,28.8048,0.)); #493913=CARTESIAN_POINT('',(38.1016,28.8048,0.)); #493914=CARTESIAN_POINT('',(38.1016,28.8048,0.035)); #493915=CARTESIAN_POINT('',(38.1016,28.8048,0.035)); #493916=CARTESIAN_POINT('',(38.1016,28.8048,0.)); #493917=CARTESIAN_POINT('Origin',(38.1016,32.745953,0.)); #493918=CARTESIAN_POINT('',(38.1016,32.745953,0.)); #493919=CARTESIAN_POINT('',(38.1016,32.745953,0.)); #493920=CARTESIAN_POINT('',(38.1016,32.745953,0.035)); #493921=CARTESIAN_POINT('',(38.1016,32.745953,0.035)); #493922=CARTESIAN_POINT('',(38.1016,32.745953,0.)); #493923=CARTESIAN_POINT('Origin',(38.0989,32.771309,0.)); #493924=CARTESIAN_POINT('',(38.0989,32.771309,0.)); #493925=CARTESIAN_POINT('',(38.0989,32.771309,0.)); #493926=CARTESIAN_POINT('',(38.0989,32.771309,0.035)); #493927=CARTESIAN_POINT('',(38.0989,32.771309,0.035)); #493928=CARTESIAN_POINT('',(38.0989,32.771309,0.)); #493929=CARTESIAN_POINT('Origin',(38.092194,32.791663,0.)); #493930=CARTESIAN_POINT('',(38.092194,32.791663,0.)); #493931=CARTESIAN_POINT('',(38.092194,32.791663,0.)); #493932=CARTESIAN_POINT('',(38.092194,32.791663,0.035)); #493933=CARTESIAN_POINT('',(38.092194,32.791663,0.035)); #493934=CARTESIAN_POINT('',(38.092194,32.791663,0.)); #493935=CARTESIAN_POINT('Origin',(38.080731,32.811197,0.)); #493936=CARTESIAN_POINT('',(38.080731,32.811197,0.)); #493937=CARTESIAN_POINT('',(38.080731,32.811197,0.)); #493938=CARTESIAN_POINT('',(38.080731,32.811197,0.035)); #493939=CARTESIAN_POINT('',(38.080731,32.811197,0.035)); #493940=CARTESIAN_POINT('',(38.080731,32.811197,0.)); #493941=CARTESIAN_POINT('Origin',(38.069822,32.823866,0.)); #493942=CARTESIAN_POINT('',(38.069822,32.823866,0.)); #493943=CARTESIAN_POINT('',(38.069822,32.823866,0.)); #493944=CARTESIAN_POINT('',(38.069822,32.823866,0.035)); #493945=CARTESIAN_POINT('',(38.069822,32.823866,0.035)); #493946=CARTESIAN_POINT('',(38.069822,32.823866,0.)); #493947=CARTESIAN_POINT('Origin',(37.311419,33.582269,0.)); #493948=CARTESIAN_POINT('',(37.311419,33.582269,0.)); #493949=CARTESIAN_POINT('',(37.311419,33.582269,0.)); #493950=CARTESIAN_POINT('',(37.311419,33.582269,0.035)); #493951=CARTESIAN_POINT('',(37.311419,33.582269,0.035)); #493952=CARTESIAN_POINT('',(37.311419,33.582269,0.)); #493953=CARTESIAN_POINT('Origin',(37.295134,33.606428,0.)); #493954=CARTESIAN_POINT('',(37.295134,33.606428,0.)); #493955=CARTESIAN_POINT('',(37.295134,33.606428,0.)); #493956=CARTESIAN_POINT('',(37.295134,33.606428,0.035)); #493957=CARTESIAN_POINT('',(37.295134,33.606428,0.035)); #493958=CARTESIAN_POINT('',(37.295134,33.606428,0.)); #493959=CARTESIAN_POINT('Origin',(37.2891,33.63615,0.)); #493960=CARTESIAN_POINT('',(37.2891,33.63615,0.)); #493961=CARTESIAN_POINT('',(37.2891,33.63615,0.)); #493962=CARTESIAN_POINT('',(37.2891,33.63615,0.035)); #493963=CARTESIAN_POINT('',(37.2891,33.63615,0.035)); #493964=CARTESIAN_POINT('',(37.2891,33.63615,0.)); #493965=CARTESIAN_POINT('Origin',(37.2891,36.2464,0.)); #493966=CARTESIAN_POINT('',(37.2891,36.2464,0.)); #493967=CARTESIAN_POINT('',(37.2891,36.2464,0.)); #493968=CARTESIAN_POINT('',(37.2891,36.2464,0.035)); #493969=CARTESIAN_POINT('',(37.2891,36.2464,0.035)); #493970=CARTESIAN_POINT('',(37.2891,36.2464,0.)); #493971=CARTESIAN_POINT('Origin',(37.294222,36.273869,0.)); #493972=CARTESIAN_POINT('',(37.294222,36.273869,0.)); #493973=CARTESIAN_POINT('',(37.294222,36.273869,0.)); #493974=CARTESIAN_POINT('',(37.294222,36.273869,0.035)); #493975=CARTESIAN_POINT('',(37.294222,36.273869,0.035)); #493976=CARTESIAN_POINT('',(37.294222,36.273869,0.)); #493977=CARTESIAN_POINT('Origin',(37.310566,36.299416,0.)); #493978=CARTESIAN_POINT('',(37.310566,36.299416,0.)); #493979=CARTESIAN_POINT('',(37.310566,36.299416,0.)); #493980=CARTESIAN_POINT('',(37.310566,36.299416,0.035)); #493981=CARTESIAN_POINT('',(37.310566,36.299416,0.035)); #493982=CARTESIAN_POINT('',(37.310566,36.299416,0.)); #493983=CARTESIAN_POINT('Origin',(37.335578,36.316566,0.)); #493984=CARTESIAN_POINT('',(37.335578,36.316566,0.)); #493985=CARTESIAN_POINT('',(37.335578,36.316566,0.)); #493986=CARTESIAN_POINT('',(37.335578,36.316566,0.035)); #493987=CARTESIAN_POINT('',(37.335578,36.316566,0.035)); #493988=CARTESIAN_POINT('',(37.335578,36.316566,0.)); #493989=CARTESIAN_POINT('Origin',(37.364341,36.322406,0.)); #493990=CARTESIAN_POINT('',(37.364341,36.322406,0.)); #493991=CARTESIAN_POINT('',(37.364341,36.322406,0.)); #493992=CARTESIAN_POINT('',(37.364341,36.322406,0.035)); #493993=CARTESIAN_POINT('',(37.364341,36.322406,0.035)); #493994=CARTESIAN_POINT('',(37.364341,36.322406,0.)); #493995=CARTESIAN_POINT('Origin',(37.541016,36.499081,0.)); #493996=CARTESIAN_POINT('',(37.541016,36.499081,0.)); #493997=CARTESIAN_POINT('',(37.541016,36.499081,0.)); #493998=CARTESIAN_POINT('',(37.541016,36.499081,0.035)); #493999=CARTESIAN_POINT('',(37.541016,36.499081,0.035)); #494000=CARTESIAN_POINT('',(37.541016,36.499081,0.)); #494001=CARTESIAN_POINT('Origin',(37.565175,36.515366,0.)); #494002=CARTESIAN_POINT('',(37.565175,36.515366,0.)); #494003=CARTESIAN_POINT('',(37.565175,36.515366,0.)); #494004=CARTESIAN_POINT('',(37.565175,36.515366,0.035)); #494005=CARTESIAN_POINT('',(37.565175,36.515366,0.035)); #494006=CARTESIAN_POINT('',(37.565175,36.515366,0.)); #494007=CARTESIAN_POINT('Origin',(37.594897,36.5214,0.)); #494008=CARTESIAN_POINT('',(37.594897,36.5214,0.)); #494009=CARTESIAN_POINT('',(37.594897,36.5214,0.)); #494010=CARTESIAN_POINT('',(37.594897,36.5214,0.035)); #494011=CARTESIAN_POINT('',(37.594897,36.5214,0.035)); #494012=CARTESIAN_POINT('',(37.594897,36.5214,0.)); #494013=CARTESIAN_POINT('Origin',(38.342603,36.5214,0.)); #494014=CARTESIAN_POINT('',(38.342603,36.5214,0.)); #494015=CARTESIAN_POINT('',(38.342603,36.5214,0.)); #494016=CARTESIAN_POINT('',(38.342603,36.5214,0.035)); #494017=CARTESIAN_POINT('',(38.342603,36.5214,0.035)); #494018=CARTESIAN_POINT('',(38.342603,36.5214,0.)); #494019=CARTESIAN_POINT('Origin',(38.3712,36.515831,0.)); #494020=CARTESIAN_POINT('',(38.3712,36.515831,0.)); #494021=CARTESIAN_POINT('',(38.3712,36.515831,0.)); #494022=CARTESIAN_POINT('',(38.3712,36.515831,0.035)); #494023=CARTESIAN_POINT('',(38.3712,36.515831,0.035)); #494024=CARTESIAN_POINT('',(38.3712,36.515831,0.)); #494025=CARTESIAN_POINT('Origin',(38.396484,36.499081,0.)); #494026=CARTESIAN_POINT('',(38.396484,36.499081,0.)); #494027=CARTESIAN_POINT('',(38.396484,36.499081,0.)); #494028=CARTESIAN_POINT('',(38.396484,36.499081,0.035)); #494029=CARTESIAN_POINT('',(38.396484,36.499081,0.035)); #494030=CARTESIAN_POINT('',(38.396484,36.499081,0.)); #494031=CARTESIAN_POINT('Origin',(38.572966,36.3226,0.)); #494032=CARTESIAN_POINT('',(38.572966,36.3226,0.)); #494033=CARTESIAN_POINT('',(38.572966,36.3226,0.)); #494034=CARTESIAN_POINT('',(38.572966,36.3226,0.035)); #494035=CARTESIAN_POINT('',(38.572966,36.3226,0.035)); #494036=CARTESIAN_POINT('',(38.572966,36.3226,0.)); #494037=CARTESIAN_POINT('Origin',(38.927034,36.3226,0.)); #494038=CARTESIAN_POINT('',(38.927034,36.3226,0.)); #494039=CARTESIAN_POINT('',(38.927034,36.3226,0.)); #494040=CARTESIAN_POINT('',(38.927034,36.3226,0.035)); #494041=CARTESIAN_POINT('',(38.927034,36.3226,0.035)); #494042=CARTESIAN_POINT('',(38.927034,36.3226,0.)); #494043=CARTESIAN_POINT('Origin',(39.1774,36.572966,0.)); #494044=CARTESIAN_POINT('',(39.1774,36.572966,0.)); #494045=CARTESIAN_POINT('',(39.1774,36.572966,0.)); #494046=CARTESIAN_POINT('',(39.1774,36.572966,0.035)); #494047=CARTESIAN_POINT('',(39.1774,36.572966,0.035)); #494048=CARTESIAN_POINT('',(39.1774,36.572966,0.)); #494049=CARTESIAN_POINT('Origin',(39.1774,36.927034,0.)); #494050=CARTESIAN_POINT('',(39.1774,36.927034,0.)); #494051=CARTESIAN_POINT('',(39.1774,36.927034,0.)); #494052=CARTESIAN_POINT('',(39.1774,36.927034,0.035)); #494053=CARTESIAN_POINT('',(39.1774,36.927034,0.035)); #494054=CARTESIAN_POINT('',(39.1774,36.927034,0.)); #494055=CARTESIAN_POINT('Origin',(38.927034,37.1774,0.)); #494056=CARTESIAN_POINT('',(38.927034,37.1774,0.)); #494057=CARTESIAN_POINT('',(38.927034,37.1774,0.)); #494058=CARTESIAN_POINT('',(38.927034,37.1774,0.035)); #494059=CARTESIAN_POINT('',(38.927034,37.1774,0.035)); #494060=CARTESIAN_POINT('',(38.927034,37.1774,0.)); #494061=CARTESIAN_POINT('Origin',(38.572966,37.1774,0.)); #494062=CARTESIAN_POINT('',(38.572966,37.1774,0.)); #494063=CARTESIAN_POINT('',(38.572966,37.1774,0.)); #494064=CARTESIAN_POINT('',(38.572966,37.1774,0.035)); #494065=CARTESIAN_POINT('',(38.572966,37.1774,0.035)); #494066=CARTESIAN_POINT('',(38.572966,37.1774,0.)); #494067=CARTESIAN_POINT('Origin',(38.396484,37.000919,0.)); #494068=CARTESIAN_POINT('',(38.396484,37.000919,0.)); #494069=CARTESIAN_POINT('',(38.396484,37.000919,0.)); #494070=CARTESIAN_POINT('',(38.396484,37.000919,0.035)); #494071=CARTESIAN_POINT('',(38.396484,37.000919,0.035)); #494072=CARTESIAN_POINT('',(38.396484,37.000919,0.)); #494073=CARTESIAN_POINT('Origin',(38.372325,36.984634,0.)); #494074=CARTESIAN_POINT('',(38.372325,36.984634,0.)); #494075=CARTESIAN_POINT('',(38.372325,36.984634,0.)); #494076=CARTESIAN_POINT('',(38.372325,36.984634,0.035)); #494077=CARTESIAN_POINT('',(38.372325,36.984634,0.035)); #494078=CARTESIAN_POINT('',(38.372325,36.984634,0.)); #494079=CARTESIAN_POINT('Origin',(38.342603,36.9786,0.)); #494080=CARTESIAN_POINT('',(38.342603,36.9786,0.)); #494081=CARTESIAN_POINT('',(38.342603,36.9786,0.)); #494082=CARTESIAN_POINT('',(38.342603,36.9786,0.035)); #494083=CARTESIAN_POINT('',(38.342603,36.9786,0.035)); #494084=CARTESIAN_POINT('',(38.342603,36.9786,0.)); #494085=CARTESIAN_POINT('Origin',(37.594897,36.9786,0.)); #494086=CARTESIAN_POINT('',(37.594897,36.9786,0.)); #494087=CARTESIAN_POINT('',(37.594897,36.9786,0.)); #494088=CARTESIAN_POINT('',(37.594897,36.9786,0.035)); #494089=CARTESIAN_POINT('',(37.594897,36.9786,0.035)); #494090=CARTESIAN_POINT('',(37.594897,36.9786,0.)); #494091=CARTESIAN_POINT('Origin',(37.5663,36.984169,0.)); #494092=CARTESIAN_POINT('',(37.5663,36.984169,0.)); #494093=CARTESIAN_POINT('',(37.5663,36.984169,0.)); #494094=CARTESIAN_POINT('',(37.5663,36.984169,0.035)); #494095=CARTESIAN_POINT('',(37.5663,36.984169,0.035)); #494096=CARTESIAN_POINT('',(37.5663,36.984169,0.)); #494097=CARTESIAN_POINT('Origin',(37.541016,37.000919,0.)); #494098=CARTESIAN_POINT('',(37.541016,37.000919,0.)); #494099=CARTESIAN_POINT('',(37.541016,37.000919,0.)); #494100=CARTESIAN_POINT('',(37.541016,37.000919,0.035)); #494101=CARTESIAN_POINT('',(37.541016,37.000919,0.035)); #494102=CARTESIAN_POINT('',(37.541016,37.000919,0.)); #494103=CARTESIAN_POINT('Origin',(37.364534,37.1774,0.)); #494104=CARTESIAN_POINT('',(37.364534,37.1774,0.)); #494105=CARTESIAN_POINT('',(37.364534,37.1774,0.)); #494106=CARTESIAN_POINT('',(37.364534,37.1774,0.035)); #494107=CARTESIAN_POINT('',(37.364534,37.1774,0.035)); #494108=CARTESIAN_POINT('',(37.364534,37.1774,0.)); #494109=CARTESIAN_POINT('Origin',(37.010216,37.1774,0.)); #494110=CARTESIAN_POINT('',(37.010216,37.1774,0.)); #494111=CARTESIAN_POINT('',(37.010216,37.1774,0.)); #494112=CARTESIAN_POINT('',(37.010216,37.1774,0.035)); #494113=CARTESIAN_POINT('',(37.010216,37.1774,0.035)); #494114=CARTESIAN_POINT('',(37.010216,37.1774,0.)); #494115=CARTESIAN_POINT('Origin',(36.987966,37.162144,0.)); #494116=CARTESIAN_POINT('',(36.987966,37.162144,0.)); #494117=CARTESIAN_POINT('',(36.987966,37.162144,0.)); #494118=CARTESIAN_POINT('',(36.987966,37.162144,0.035)); #494119=CARTESIAN_POINT('',(36.987966,37.162144,0.035)); #494120=CARTESIAN_POINT('',(36.987966,37.162144,0.)); #494121=CARTESIAN_POINT('Origin',(36.958344,37.155634,0.)); #494122=CARTESIAN_POINT('',(36.958344,37.155634,0.)); #494123=CARTESIAN_POINT('',(36.958344,37.155634,0.)); #494124=CARTESIAN_POINT('',(36.958344,37.155634,0.035)); #494125=CARTESIAN_POINT('',(36.958344,37.155634,0.035)); #494126=CARTESIAN_POINT('',(36.958344,37.155634,0.)); #494127=CARTESIAN_POINT('Origin',(36.928531,37.161194,0.)); #494128=CARTESIAN_POINT('',(36.928531,37.161194,0.)); #494129=CARTESIAN_POINT('',(36.928531,37.161194,0.)); #494130=CARTESIAN_POINT('',(36.928531,37.161194,0.035)); #494131=CARTESIAN_POINT('',(36.928531,37.161194,0.035)); #494132=CARTESIAN_POINT('',(36.928531,37.161194,0.)); #494133=CARTESIAN_POINT('Origin',(36.903247,37.177944,0.)); #494134=CARTESIAN_POINT('',(36.903247,37.177944,0.)); #494135=CARTESIAN_POINT('',(36.903247,37.177944,0.)); #494136=CARTESIAN_POINT('',(36.903247,37.177944,0.035)); #494137=CARTESIAN_POINT('',(36.903247,37.177944,0.035)); #494138=CARTESIAN_POINT('',(36.903247,37.177944,0.)); #494139=CARTESIAN_POINT('Origin',(36.748919,37.332269,0.)); #494140=CARTESIAN_POINT('',(36.748919,37.332269,0.)); #494141=CARTESIAN_POINT('',(36.748919,37.332269,0.)); #494142=CARTESIAN_POINT('',(36.748919,37.332269,0.035)); #494143=CARTESIAN_POINT('',(36.748919,37.332269,0.035)); #494144=CARTESIAN_POINT('',(36.748919,37.332269,0.)); #494145=CARTESIAN_POINT('Origin',(36.732634,37.356428,0.)); #494146=CARTESIAN_POINT('',(36.732634,37.356428,0.)); #494147=CARTESIAN_POINT('',(36.732634,37.356428,0.)); #494148=CARTESIAN_POINT('',(36.732634,37.356428,0.035)); #494149=CARTESIAN_POINT('',(36.732634,37.356428,0.035)); #494150=CARTESIAN_POINT('',(36.732634,37.356428,0.)); #494151=CARTESIAN_POINT('Origin',(36.7266,37.38615,0.)); #494152=CARTESIAN_POINT('',(36.7266,37.38615,0.)); #494153=CARTESIAN_POINT('',(36.7266,37.38615,0.)); #494154=CARTESIAN_POINT('',(36.7266,37.38615,0.035)); #494155=CARTESIAN_POINT('',(36.7266,37.38615,0.035)); #494156=CARTESIAN_POINT('',(36.7266,37.38615,0.)); #494157=CARTESIAN_POINT('Origin',(36.7266,45.995953,0.)); #494158=CARTESIAN_POINT('',(36.7266,45.995953,0.)); #494159=CARTESIAN_POINT('',(36.7266,45.995953,0.)); #494160=CARTESIAN_POINT('',(36.7266,45.995953,0.035)); #494161=CARTESIAN_POINT('',(36.7266,45.995953,0.035)); #494162=CARTESIAN_POINT('',(36.7266,45.995953,0.)); #494163=CARTESIAN_POINT('Origin',(36.7239,46.021309,0.)); #494164=CARTESIAN_POINT('',(36.7239,46.021309,0.)); #494165=CARTESIAN_POINT('',(36.7239,46.021309,0.)); #494166=CARTESIAN_POINT('',(36.7239,46.021309,0.035)); #494167=CARTESIAN_POINT('',(36.7239,46.021309,0.035)); #494168=CARTESIAN_POINT('',(36.7239,46.021309,0.)); #494169=CARTESIAN_POINT('Origin',(36.717194,46.041663,0.)); #494170=CARTESIAN_POINT('',(36.717194,46.041663,0.)); #494171=CARTESIAN_POINT('',(36.717194,46.041663,0.)); #494172=CARTESIAN_POINT('',(36.717194,46.041663,0.035)); #494173=CARTESIAN_POINT('',(36.717194,46.041663,0.035)); #494174=CARTESIAN_POINT('',(36.717194,46.041663,0.)); #494175=CARTESIAN_POINT('Origin',(36.705731,46.061197,0.)); #494176=CARTESIAN_POINT('',(36.705731,46.061197,0.)); #494177=CARTESIAN_POINT('',(36.705731,46.061197,0.)); #494178=CARTESIAN_POINT('',(36.705731,46.061197,0.035)); #494179=CARTESIAN_POINT('',(36.705731,46.061197,0.035)); #494180=CARTESIAN_POINT('',(36.705731,46.061197,0.)); #494181=CARTESIAN_POINT('Origin',(36.694822,46.073866,0.)); #494182=CARTESIAN_POINT('',(36.694822,46.073866,0.)); #494183=CARTESIAN_POINT('',(36.694822,46.073866,0.)); #494184=CARTESIAN_POINT('',(36.694822,46.073866,0.035)); #494185=CARTESIAN_POINT('',(36.694822,46.073866,0.035)); #494186=CARTESIAN_POINT('',(36.694822,46.073866,0.)); #494187=CARTESIAN_POINT('Origin',(36.199709,46.568978,0.)); #494188=CARTESIAN_POINT('',(36.199709,46.568978,0.)); #494189=CARTESIAN_POINT('',(36.199709,46.568978,0.)); #494190=CARTESIAN_POINT('',(36.199709,46.568978,0.035)); #494191=CARTESIAN_POINT('',(36.199709,46.568978,0.035)); #494192=CARTESIAN_POINT('',(36.199709,46.568978,0.)); #494193=CARTESIAN_POINT('Origin',(36.179869,46.584997,0.)); #494194=CARTESIAN_POINT('',(36.179869,46.584997,0.)); #494195=CARTESIAN_POINT('',(36.179869,46.584997,0.)); #494196=CARTESIAN_POINT('',(36.179869,46.584997,0.035)); #494197=CARTESIAN_POINT('',(36.179869,46.584997,0.035)); #494198=CARTESIAN_POINT('',(36.179869,46.584997,0.)); #494199=CARTESIAN_POINT('Origin',(36.160728,46.594647,0.)); #494200=CARTESIAN_POINT('',(36.160728,46.594647,0.)); #494201=CARTESIAN_POINT('',(36.160728,46.594647,0.)); #494202=CARTESIAN_POINT('',(36.160728,46.594647,0.035)); #494203=CARTESIAN_POINT('',(36.160728,46.594647,0.035)); #494204=CARTESIAN_POINT('',(36.160728,46.594647,0.)); #494205=CARTESIAN_POINT('Origin',(36.138816,46.600359,0.)); #494206=CARTESIAN_POINT('',(36.138816,46.600359,0.)); #494207=CARTESIAN_POINT('',(36.138816,46.600359,0.)); #494208=CARTESIAN_POINT('',(36.138816,46.600359,0.035)); #494209=CARTESIAN_POINT('',(36.138816,46.600359,0.035)); #494210=CARTESIAN_POINT('',(36.138816,46.600359,0.)); #494211=CARTESIAN_POINT('Origin',(36.122153,46.6016,0.)); #494212=CARTESIAN_POINT('',(36.122153,46.6016,0.)); #494213=CARTESIAN_POINT('',(36.122153,46.6016,0.)); #494214=CARTESIAN_POINT('',(36.122153,46.6016,0.035)); #494215=CARTESIAN_POINT('',(36.122153,46.6016,0.035)); #494216=CARTESIAN_POINT('',(36.122153,46.6016,0.)); #494217=CARTESIAN_POINT('Origin',(31.754047,46.6016,0.)); #494218=CARTESIAN_POINT('',(31.754047,46.6016,0.)); #494219=CARTESIAN_POINT('',(31.754047,46.6016,0.)); #494220=CARTESIAN_POINT('',(31.754047,46.6016,0.035)); #494221=CARTESIAN_POINT('',(31.754047,46.6016,0.035)); #494222=CARTESIAN_POINT('',(31.754047,46.6016,0.)); #494223=CARTESIAN_POINT('Origin',(31.728691,46.5989,0.)); #494224=CARTESIAN_POINT('',(31.728691,46.5989,0.)); #494225=CARTESIAN_POINT('',(31.728691,46.5989,0.)); #494226=CARTESIAN_POINT('',(31.728691,46.5989,0.035)); #494227=CARTESIAN_POINT('',(31.728691,46.5989,0.035)); #494228=CARTESIAN_POINT('',(31.728691,46.5989,0.)); #494229=CARTESIAN_POINT('Origin',(31.708338,46.592194,0.)); #494230=CARTESIAN_POINT('',(31.708338,46.592194,0.)); #494231=CARTESIAN_POINT('',(31.708338,46.592194,0.)); #494232=CARTESIAN_POINT('',(31.708338,46.592194,0.035)); #494233=CARTESIAN_POINT('',(31.708338,46.592194,0.035)); #494234=CARTESIAN_POINT('',(31.708338,46.592194,0.)); #494235=CARTESIAN_POINT('Origin',(31.688803,46.580731,0.)); #494236=CARTESIAN_POINT('',(31.688803,46.580731,0.)); #494237=CARTESIAN_POINT('',(31.688803,46.580731,0.)); #494238=CARTESIAN_POINT('',(31.688803,46.580731,0.035)); #494239=CARTESIAN_POINT('',(31.688803,46.580731,0.035)); #494240=CARTESIAN_POINT('',(31.688803,46.580731,0.)); #494241=CARTESIAN_POINT('Origin',(31.676134,46.569822,0.)); #494242=CARTESIAN_POINT('',(31.676134,46.569822,0.)); #494243=CARTESIAN_POINT('',(31.676134,46.569822,0.)); #494244=CARTESIAN_POINT('',(31.676134,46.569822,0.035)); #494245=CARTESIAN_POINT('',(31.676134,46.569822,0.035)); #494246=CARTESIAN_POINT('',(31.676134,46.569822,0.)); #494247=CARTESIAN_POINT('Origin',(31.181031,46.074719,0.)); #494248=CARTESIAN_POINT('',(31.181031,46.074719,0.)); #494249=CARTESIAN_POINT('',(31.181031,46.074719,0.)); #494250=CARTESIAN_POINT('',(31.181031,46.074719,0.035)); #494251=CARTESIAN_POINT('',(31.181031,46.074719,0.035)); #494252=CARTESIAN_POINT('',(31.181031,46.074719,0.)); #494253=CARTESIAN_POINT('Origin',(31.156872,46.058434,0.)); #494254=CARTESIAN_POINT('',(31.156872,46.058434,0.)); #494255=CARTESIAN_POINT('',(31.156872,46.058434,0.)); #494256=CARTESIAN_POINT('',(31.156872,46.058434,0.035)); #494257=CARTESIAN_POINT('',(31.156872,46.058434,0.035)); #494258=CARTESIAN_POINT('',(31.156872,46.058434,0.)); #494259=CARTESIAN_POINT('Origin',(31.12715,46.0524,0.)); #494260=CARTESIAN_POINT('',(31.12715,46.0524,0.)); #494261=CARTESIAN_POINT('',(31.12715,46.0524,0.)); #494262=CARTESIAN_POINT('',(31.12715,46.0524,0.035)); #494263=CARTESIAN_POINT('',(31.12715,46.0524,0.035)); #494264=CARTESIAN_POINT('',(31.12715,46.0524,0.)); #494265=CARTESIAN_POINT('Origin',(29.226872,46.0524,0.)); #494266=CARTESIAN_POINT('',(29.226872,46.0524,0.)); #494267=CARTESIAN_POINT('',(29.226872,46.0524,0.)); #494268=CARTESIAN_POINT('',(29.226872,46.0524,0.035)); #494269=CARTESIAN_POINT('',(29.226872,46.0524,0.035)); #494270=CARTESIAN_POINT('',(29.226872,46.0524,0.)); #494271=CARTESIAN_POINT('Origin',(29.1376,45.963128,0.)); #494272=CARTESIAN_POINT('',(29.1376,45.963128,0.)); #494273=CARTESIAN_POINT('',(29.1376,45.963128,0.)); #494274=CARTESIAN_POINT('',(29.1376,45.963128,0.035)); #494275=CARTESIAN_POINT('',(29.1376,45.963128,0.035)); #494276=CARTESIAN_POINT('',(29.1376,45.963128,0.)); #494277=CARTESIAN_POINT('Origin',(29.1376,44.036872,0.)); #494278=CARTESIAN_POINT('',(29.1376,44.036872,0.)); #494279=CARTESIAN_POINT('',(29.1376,44.036872,0.)); #494280=CARTESIAN_POINT('',(29.1376,44.036872,0.035)); #494281=CARTESIAN_POINT('',(29.1376,44.036872,0.035)); #494282=CARTESIAN_POINT('',(29.1376,44.036872,0.)); #494283=CARTESIAN_POINT('Origin',(29.226872,43.9476,0.)); #494284=CARTESIAN_POINT('',(29.226872,43.9476,0.)); #494285=CARTESIAN_POINT('',(29.226872,43.9476,0.)); #494286=CARTESIAN_POINT('',(29.226872,43.9476,0.035)); #494287=CARTESIAN_POINT('',(29.226872,43.9476,0.035)); #494288=CARTESIAN_POINT('',(29.226872,43.9476,0.)); #494289=CARTESIAN_POINT('Origin',(29.8852,43.9476,0.)); #494290=CARTESIAN_POINT('',(29.8852,43.9476,0.)); #494291=CARTESIAN_POINT('',(29.8852,43.9476,0.)); #494292=CARTESIAN_POINT('',(29.8852,43.9476,0.035)); #494293=CARTESIAN_POINT('',(29.8852,43.9476,0.035)); #494294=CARTESIAN_POINT('',(29.8852,43.9476,0.)); #494295=CARTESIAN_POINT('Origin',(29.912669,43.942478,0.)); #494296=CARTESIAN_POINT('',(29.912669,43.942478,0.)); #494297=CARTESIAN_POINT('',(29.912669,43.942478,0.)); #494298=CARTESIAN_POINT('',(29.912669,43.942478,0.035)); #494299=CARTESIAN_POINT('',(29.912669,43.942478,0.035)); #494300=CARTESIAN_POINT('',(29.912669,43.942478,0.)); #494301=CARTESIAN_POINT('Origin',(29.938216,43.926134,0.)); #494302=CARTESIAN_POINT('',(29.938216,43.926134,0.)); #494303=CARTESIAN_POINT('',(29.938216,43.926134,0.)); #494304=CARTESIAN_POINT('',(29.938216,43.926134,0.035)); #494305=CARTESIAN_POINT('',(29.938216,43.926134,0.035)); #494306=CARTESIAN_POINT('',(29.938216,43.926134,0.)); #494307=CARTESIAN_POINT('Origin',(29.955366,43.901122,0.)); #494308=CARTESIAN_POINT('',(29.955366,43.901122,0.)); #494309=CARTESIAN_POINT('',(29.955366,43.901122,0.)); #494310=CARTESIAN_POINT('',(29.955366,43.901122,0.035)); #494311=CARTESIAN_POINT('',(29.955366,43.901122,0.035)); #494312=CARTESIAN_POINT('',(29.955366,43.901122,0.)); #494313=CARTESIAN_POINT('Origin',(29.9614,43.8714,0.)); #494314=CARTESIAN_POINT('',(29.9614,43.8714,0.)); #494315=CARTESIAN_POINT('',(29.9614,43.8714,0.)); #494316=CARTESIAN_POINT('',(29.9614,43.8714,0.035)); #494317=CARTESIAN_POINT('',(29.9614,43.8714,0.035)); #494318=CARTESIAN_POINT('',(29.9614,43.8714,0.)); #494319=CARTESIAN_POINT('Origin',(29.9614,38.465309,0.)); #494320=CARTESIAN_POINT('',(29.9614,38.465309,0.)); #494321=CARTESIAN_POINT('',(29.9614,38.465309,0.)); #494322=CARTESIAN_POINT('',(29.9614,38.465309,0.035)); #494323=CARTESIAN_POINT('',(29.9614,38.465309,0.035)); #494324=CARTESIAN_POINT('',(29.9614,38.465309,0.)); #494325=CARTESIAN_POINT('Origin',(33.749081,34.677628,0.)); #494326=CARTESIAN_POINT('',(33.749081,34.677628,0.)); #494327=CARTESIAN_POINT('',(33.749081,34.677628,0.)); #494328=CARTESIAN_POINT('',(33.749081,34.677628,0.035)); #494329=CARTESIAN_POINT('',(33.749081,34.677628,0.035)); #494330=CARTESIAN_POINT('',(33.749081,34.677628,0.)); #494331=CARTESIAN_POINT('Origin',(33.765366,34.653469,0.)); #494332=CARTESIAN_POINT('',(33.765366,34.653469,0.)); #494333=CARTESIAN_POINT('',(33.765366,34.653469,0.)); #494334=CARTESIAN_POINT('',(33.765366,34.653469,0.035)); #494335=CARTESIAN_POINT('',(33.765366,34.653469,0.035)); #494336=CARTESIAN_POINT('',(33.765366,34.653469,0.)); #494337=CARTESIAN_POINT('Origin',(33.7714,34.623747,0.)); #494338=CARTESIAN_POINT('',(33.7714,34.623747,0.)); #494339=CARTESIAN_POINT('',(33.7714,34.623747,0.)); #494340=CARTESIAN_POINT('',(33.7714,34.623747,0.035)); #494341=CARTESIAN_POINT('',(33.7714,34.623747,0.035)); #494342=CARTESIAN_POINT('',(33.7714,34.623747,0.)); #494343=CARTESIAN_POINT('Origin',(33.7714,27.655309,0.)); #494344=CARTESIAN_POINT('',(33.7714,27.655309,0.)); #494345=CARTESIAN_POINT('',(33.7714,27.655309,0.)); #494346=CARTESIAN_POINT('',(33.7714,27.655309,0.035)); #494347=CARTESIAN_POINT('',(33.7714,27.655309,0.035)); #494348=CARTESIAN_POINT('',(33.7714,27.655309,0.)); #494349=CARTESIAN_POINT('Origin',(34.755528,26.671181,0.)); #494350=CARTESIAN_POINT('',(34.755528,26.671181,0.)); #494351=CARTESIAN_POINT('',(34.755528,26.671181,0.)); #494352=CARTESIAN_POINT('',(34.755528,26.671181,0.035)); #494353=CARTESIAN_POINT('',(34.755528,26.671181,0.035)); #494354=CARTESIAN_POINT('',(34.755528,26.671181,0.)); #494355=CARTESIAN_POINT('Origin',(34.770828,26.649244,0.)); #494356=CARTESIAN_POINT('',(34.770828,26.649244,0.)); #494357=CARTESIAN_POINT('',(34.770828,26.649244,0.)); #494358=CARTESIAN_POINT('',(34.770828,26.649244,0.035)); #494359=CARTESIAN_POINT('',(34.770828,26.649244,0.035)); #494360=CARTESIAN_POINT('',(34.770828,26.649244,0.)); #494361=CARTESIAN_POINT('Origin',(34.777809,26.619728,0.)); #494362=CARTESIAN_POINT('',(34.777809,26.619728,0.)); #494363=CARTESIAN_POINT('',(34.777809,26.619728,0.)); #494364=CARTESIAN_POINT('',(34.777809,26.619728,0.035)); #494365=CARTESIAN_POINT('',(34.777809,26.619728,0.035)); #494366=CARTESIAN_POINT('',(34.777809,26.619728,0.)); #494367=CARTESIAN_POINT('Origin',(34.772725,26.589831,0.)); #494368=CARTESIAN_POINT('',(34.772725,26.589831,0.)); #494369=CARTESIAN_POINT('',(34.772725,26.589831,0.)); #494370=CARTESIAN_POINT('',(34.772725,26.589831,0.035)); #494371=CARTESIAN_POINT('',(34.772725,26.589831,0.035)); #494372=CARTESIAN_POINT('',(34.772725,26.589831,0.)); #494373=CARTESIAN_POINT('Origin',(34.756381,26.564284,0.)); #494374=CARTESIAN_POINT('',(34.756381,26.564284,0.)); #494375=CARTESIAN_POINT('',(34.756381,26.564284,0.)); #494376=CARTESIAN_POINT('',(34.756381,26.564284,0.035)); #494377=CARTESIAN_POINT('',(34.756381,26.564284,0.035)); #494378=CARTESIAN_POINT('',(34.756381,26.564284,0.)); #494379=CARTESIAN_POINT('Origin',(34.731369,26.547134,0.)); #494380=CARTESIAN_POINT('',(34.731369,26.547134,0.)); #494381=CARTESIAN_POINT('',(34.731369,26.547134,0.)); #494382=CARTESIAN_POINT('',(34.731369,26.547134,0.035)); #494383=CARTESIAN_POINT('',(34.731369,26.547134,0.035)); #494384=CARTESIAN_POINT('',(34.731369,26.547134,0.)); #494385=CARTESIAN_POINT('Origin',(34.701647,26.5411,0.)); #494386=CARTESIAN_POINT('',(34.701647,26.5411,0.)); #494387=CARTESIAN_POINT('',(34.701647,26.5411,0.)); #494388=CARTESIAN_POINT('',(34.701647,26.5411,0.035)); #494389=CARTESIAN_POINT('',(34.701647,26.5411,0.035)); #494390=CARTESIAN_POINT('',(34.701647,26.5411,0.)); #494391=CARTESIAN_POINT('Origin',(32.6778,26.5411,0.)); #494392=CARTESIAN_POINT('',(32.6778,26.5411,0.)); #494393=CARTESIAN_POINT('',(32.6778,26.5411,0.)); #494394=CARTESIAN_POINT('',(32.6778,26.5411,0.035)); #494395=CARTESIAN_POINT('',(32.6778,26.5411,0.035)); #494396=CARTESIAN_POINT('',(32.6778,26.5411,0.)); #494397=CARTESIAN_POINT('Origin',(32.650331,26.546222,0.)); #494398=CARTESIAN_POINT('',(32.650331,26.546222,0.)); #494399=CARTESIAN_POINT('',(32.650331,26.546222,0.)); #494400=CARTESIAN_POINT('',(32.650331,26.546222,0.035)); #494401=CARTESIAN_POINT('',(32.650331,26.546222,0.035)); #494402=CARTESIAN_POINT('',(32.650331,26.546222,0.)); #494403=CARTESIAN_POINT('Origin',(32.624784,26.562566,0.)); #494404=CARTESIAN_POINT('',(32.624784,26.562566,0.)); #494405=CARTESIAN_POINT('',(32.624784,26.562566,0.)); #494406=CARTESIAN_POINT('',(32.624784,26.562566,0.035)); #494407=CARTESIAN_POINT('',(32.624784,26.562566,0.035)); #494408=CARTESIAN_POINT('',(32.624784,26.562566,0.)); #494409=CARTESIAN_POINT('Origin',(32.607634,26.587578,0.)); #494410=CARTESIAN_POINT('',(32.607634,26.587578,0.)); #494411=CARTESIAN_POINT('',(32.607634,26.587578,0.)); #494412=CARTESIAN_POINT('',(32.607634,26.587578,0.035)); #494413=CARTESIAN_POINT('',(32.607634,26.587578,0.035)); #494414=CARTESIAN_POINT('',(32.607634,26.587578,0.)); #494415=CARTESIAN_POINT('Origin',(32.6016,26.6173,0.)); #494416=CARTESIAN_POINT('',(32.6016,26.6173,0.)); #494417=CARTESIAN_POINT('',(32.6016,26.6173,0.)); #494418=CARTESIAN_POINT('',(32.6016,26.6173,0.035)); #494419=CARTESIAN_POINT('',(32.6016,26.6173,0.035)); #494420=CARTESIAN_POINT('',(32.6016,26.6173,0.)); #494421=CARTESIAN_POINT('Origin',(32.6016,28.745953,0.)); #494422=CARTESIAN_POINT('',(32.6016,28.745953,0.)); #494423=CARTESIAN_POINT('',(32.6016,28.745953,0.)); #494424=CARTESIAN_POINT('',(32.6016,28.745953,0.035)); #494425=CARTESIAN_POINT('',(32.6016,28.745953,0.035)); #494426=CARTESIAN_POINT('',(32.6016,28.745953,0.)); #494427=CARTESIAN_POINT('Origin',(32.5989,28.771309,0.)); #494428=CARTESIAN_POINT('',(32.5989,28.771309,0.)); #494429=CARTESIAN_POINT('',(32.5989,28.771309,0.)); #494430=CARTESIAN_POINT('',(32.5989,28.771309,0.035)); #494431=CARTESIAN_POINT('',(32.5989,28.771309,0.035)); #494432=CARTESIAN_POINT('',(32.5989,28.771309,0.)); #494433=CARTESIAN_POINT('Origin',(32.592194,28.791663,0.)); #494434=CARTESIAN_POINT('',(32.592194,28.791663,0.)); #494435=CARTESIAN_POINT('',(32.592194,28.791663,0.)); #494436=CARTESIAN_POINT('',(32.592194,28.791663,0.035)); #494437=CARTESIAN_POINT('',(32.592194,28.791663,0.035)); #494438=CARTESIAN_POINT('',(32.592194,28.791663,0.)); #494439=CARTESIAN_POINT('Origin',(32.580731,28.811197,0.)); #494440=CARTESIAN_POINT('',(32.580731,28.811197,0.)); #494441=CARTESIAN_POINT('',(32.580731,28.811197,0.)); #494442=CARTESIAN_POINT('',(32.580731,28.811197,0.035)); #494443=CARTESIAN_POINT('',(32.580731,28.811197,0.035)); #494444=CARTESIAN_POINT('',(32.580731,28.811197,0.)); #494445=CARTESIAN_POINT('Origin',(32.569822,28.823866,0.)); #494446=CARTESIAN_POINT('',(32.569822,28.823866,0.)); #494447=CARTESIAN_POINT('',(32.569822,28.823866,0.)); #494448=CARTESIAN_POINT('',(32.569822,28.823866,0.035)); #494449=CARTESIAN_POINT('',(32.569822,28.823866,0.035)); #494450=CARTESIAN_POINT('',(32.569822,28.823866,0.)); #494451=CARTESIAN_POINT('Origin',(30.324709,31.068978,0.)); #494452=CARTESIAN_POINT('',(30.324709,31.068978,0.)); #494453=CARTESIAN_POINT('',(30.324709,31.068978,0.)); #494454=CARTESIAN_POINT('',(30.324709,31.068978,0.035)); #494455=CARTESIAN_POINT('',(30.324709,31.068978,0.035)); #494456=CARTESIAN_POINT('',(30.324709,31.068978,0.)); #494457=CARTESIAN_POINT('Origin',(30.304869,31.084997,0.)); #494458=CARTESIAN_POINT('',(30.304869,31.084997,0.)); #494459=CARTESIAN_POINT('',(30.304869,31.084997,0.)); #494460=CARTESIAN_POINT('',(30.304869,31.084997,0.035)); #494461=CARTESIAN_POINT('',(30.304869,31.084997,0.035)); #494462=CARTESIAN_POINT('',(30.304869,31.084997,0.)); #494463=CARTESIAN_POINT('Origin',(30.285728,31.094647,0.)); #494464=CARTESIAN_POINT('',(30.285728,31.094647,0.)); #494465=CARTESIAN_POINT('',(30.285728,31.094647,0.)); #494466=CARTESIAN_POINT('',(30.285728,31.094647,0.035)); #494467=CARTESIAN_POINT('',(30.285728,31.094647,0.035)); #494468=CARTESIAN_POINT('',(30.285728,31.094647,0.)); #494469=CARTESIAN_POINT('Origin',(30.263816,31.100359,0.)); #494470=CARTESIAN_POINT('',(30.263816,31.100359,0.)); #494471=CARTESIAN_POINT('',(30.263816,31.100359,0.)); #494472=CARTESIAN_POINT('',(30.263816,31.100359,0.035)); #494473=CARTESIAN_POINT('',(30.263816,31.100359,0.035)); #494474=CARTESIAN_POINT('',(30.263816,31.100359,0.)); #494475=CARTESIAN_POINT('Origin',(30.247153,31.1016,0.)); #494476=CARTESIAN_POINT('',(30.247153,31.1016,0.)); #494477=CARTESIAN_POINT('',(30.247153,31.1016,0.)); #494478=CARTESIAN_POINT('',(30.247153,31.1016,0.035)); #494479=CARTESIAN_POINT('',(30.247153,31.1016,0.035)); #494480=CARTESIAN_POINT('',(30.247153,31.1016,0.)); #494481=CARTESIAN_POINT('Origin',(27.2536,31.1016,0.)); #494482=CARTESIAN_POINT('',(27.2536,31.1016,0.)); #494483=CARTESIAN_POINT('',(27.2536,31.1016,0.)); #494484=CARTESIAN_POINT('',(27.2536,31.1016,0.035)); #494485=CARTESIAN_POINT('',(27.2536,31.1016,0.035)); #494486=CARTESIAN_POINT('',(27.2536,31.1016,0.)); #494487=CARTESIAN_POINT('Origin',(27.226131,31.106722,0.)); #494488=CARTESIAN_POINT('',(27.226131,31.106722,0.)); #494489=CARTESIAN_POINT('',(27.226131,31.106722,0.)); #494490=CARTESIAN_POINT('',(27.226131,31.106722,0.035)); #494491=CARTESIAN_POINT('',(27.226131,31.106722,0.035)); #494492=CARTESIAN_POINT('',(27.226131,31.106722,0.)); #494493=CARTESIAN_POINT('Origin',(27.200584,31.123066,0.)); #494494=CARTESIAN_POINT('',(27.200584,31.123066,0.)); #494495=CARTESIAN_POINT('',(27.200584,31.123066,0.)); #494496=CARTESIAN_POINT('',(27.200584,31.123066,0.035)); #494497=CARTESIAN_POINT('',(27.200584,31.123066,0.035)); #494498=CARTESIAN_POINT('',(27.200584,31.123066,0.)); #494499=CARTESIAN_POINT('Origin',(27.183434,31.148078,0.)); #494500=CARTESIAN_POINT('',(27.183434,31.148078,0.)); #494501=CARTESIAN_POINT('',(27.183434,31.148078,0.)); #494502=CARTESIAN_POINT('',(27.183434,31.148078,0.035)); #494503=CARTESIAN_POINT('',(27.183434,31.148078,0.035)); #494504=CARTESIAN_POINT('',(27.183434,31.148078,0.)); #494505=CARTESIAN_POINT('Origin',(27.177594,31.176841,0.)); #494506=CARTESIAN_POINT('',(27.177594,31.176841,0.)); #494507=CARTESIAN_POINT('',(27.177594,31.176841,0.)); #494508=CARTESIAN_POINT('',(27.177594,31.176841,0.035)); #494509=CARTESIAN_POINT('',(27.177594,31.176841,0.035)); #494510=CARTESIAN_POINT('',(27.177594,31.176841,0.)); #494511=CARTESIAN_POINT('Origin',(27.000919,31.353516,0.)); #494512=CARTESIAN_POINT('',(27.000919,31.353516,0.)); #494513=CARTESIAN_POINT('',(27.000919,31.353516,0.)); #494514=CARTESIAN_POINT('',(27.000919,31.353516,0.035)); #494515=CARTESIAN_POINT('',(27.000919,31.353516,0.035)); #494516=CARTESIAN_POINT('',(27.000919,31.353516,0.)); #494517=CARTESIAN_POINT('Origin',(26.984634,31.377675,0.)); #494518=CARTESIAN_POINT('',(26.984634,31.377675,0.)); #494519=CARTESIAN_POINT('',(26.984634,31.377675,0.)); #494520=CARTESIAN_POINT('',(26.984634,31.377675,0.035)); #494521=CARTESIAN_POINT('',(26.984634,31.377675,0.035)); #494522=CARTESIAN_POINT('',(26.984634,31.377675,0.)); #494523=CARTESIAN_POINT('Origin',(26.9786,31.407397,0.)); #494524=CARTESIAN_POINT('',(26.9786,31.407397,0.)); #494525=CARTESIAN_POINT('',(26.9786,31.407397,0.)); #494526=CARTESIAN_POINT('',(26.9786,31.407397,0.035)); #494527=CARTESIAN_POINT('',(26.9786,31.407397,0.035)); #494528=CARTESIAN_POINT('',(26.9786,31.407397,0.)); #494529=CARTESIAN_POINT('Origin',(26.9786,35.592603,0.)); #494530=CARTESIAN_POINT('',(26.9786,35.592603,0.)); #494531=CARTESIAN_POINT('',(26.9786,35.592603,0.)); #494532=CARTESIAN_POINT('',(26.9786,35.592603,0.035)); #494533=CARTESIAN_POINT('',(26.9786,35.592603,0.035)); #494534=CARTESIAN_POINT('',(26.9786,35.592603,0.)); #494535=CARTESIAN_POINT('Origin',(26.984169,35.6212,0.)); #494536=CARTESIAN_POINT('',(26.984169,35.6212,0.)); #494537=CARTESIAN_POINT('',(26.984169,35.6212,0.)); #494538=CARTESIAN_POINT('',(26.984169,35.6212,0.035)); #494539=CARTESIAN_POINT('',(26.984169,35.6212,0.035)); #494540=CARTESIAN_POINT('',(26.984169,35.6212,0.)); #494541=CARTESIAN_POINT('Origin',(27.000919,35.646484,0.)); #494542=CARTESIAN_POINT('',(27.000919,35.646484,0.)); #494543=CARTESIAN_POINT('',(27.000919,35.646484,0.)); #494544=CARTESIAN_POINT('',(27.000919,35.646484,0.035)); #494545=CARTESIAN_POINT('',(27.000919,35.646484,0.035)); #494546=CARTESIAN_POINT('',(27.000919,35.646484,0.)); #494547=CARTESIAN_POINT('Origin',(27.1774,35.822966,0.)); #494548=CARTESIAN_POINT('',(27.1774,35.822966,0.)); #494549=CARTESIAN_POINT('',(27.1774,35.822966,0.)); #494550=CARTESIAN_POINT('',(27.1774,35.822966,0.035)); #494551=CARTESIAN_POINT('',(27.1774,35.822966,0.035)); #494552=CARTESIAN_POINT('',(27.1774,35.822966,0.)); #494553=CARTESIAN_POINT('Origin',(27.1774,36.177034,0.)); #494554=CARTESIAN_POINT('',(27.1774,36.177034,0.)); #494555=CARTESIAN_POINT('',(27.1774,36.177034,0.)); #494556=CARTESIAN_POINT('',(27.1774,36.177034,0.035)); #494557=CARTESIAN_POINT('',(27.1774,36.177034,0.035)); #494558=CARTESIAN_POINT('',(27.1774,36.177034,0.)); #494559=CARTESIAN_POINT('Origin',(26.927034,36.4274,0.)); #494560=CARTESIAN_POINT('',(26.927034,36.4274,0.)); #494561=CARTESIAN_POINT('',(26.927034,36.4274,0.)); #494562=CARTESIAN_POINT('',(26.927034,36.4274,0.035)); #494563=CARTESIAN_POINT('',(26.927034,36.4274,0.035)); #494564=CARTESIAN_POINT('',(26.927034,36.4274,0.)); #494565=CARTESIAN_POINT('Origin',(26.572966,36.4274,0.)); #494566=CARTESIAN_POINT('',(26.572966,36.4274,0.)); #494567=CARTESIAN_POINT('',(26.572966,36.4274,0.)); #494568=CARTESIAN_POINT('',(26.572966,36.4274,0.035)); #494569=CARTESIAN_POINT('',(26.572966,36.4274,0.035)); #494570=CARTESIAN_POINT('',(26.572966,36.4274,0.)); #494571=CARTESIAN_POINT('Origin',(26.428881,36.283316,0.)); #494572=CARTESIAN_POINT('',(26.428881,36.283316,0.)); #494573=CARTESIAN_POINT('',(26.428881,36.283316,0.)); #494574=CARTESIAN_POINT('',(26.428881,36.283316,0.035)); #494575=CARTESIAN_POINT('',(26.428881,36.283316,0.035)); #494576=CARTESIAN_POINT('',(26.428881,36.283316,0.)); #494577=CARTESIAN_POINT('Origin',(26.405834,36.267516,0.)); #494578=CARTESIAN_POINT('',(26.405834,36.267516,0.)); #494579=CARTESIAN_POINT('',(26.405834,36.267516,0.)); #494580=CARTESIAN_POINT('',(26.405834,36.267516,0.035)); #494581=CARTESIAN_POINT('',(26.405834,36.267516,0.035)); #494582=CARTESIAN_POINT('',(26.405834,36.267516,0.)); #494583=CARTESIAN_POINT('Origin',(26.376216,36.261006,0.)); #494584=CARTESIAN_POINT('',(26.376216,36.261006,0.)); #494585=CARTESIAN_POINT('',(26.376216,36.261006,0.)); #494586=CARTESIAN_POINT('',(26.376216,36.261006,0.035)); #494587=CARTESIAN_POINT('',(26.376216,36.261006,0.035)); #494588=CARTESIAN_POINT('',(26.376216,36.261006,0.)); #494589=CARTESIAN_POINT('Origin',(26.346403,36.266566,0.)); #494590=CARTESIAN_POINT('',(26.346403,36.266566,0.)); #494591=CARTESIAN_POINT('',(26.346403,36.266566,0.)); #494592=CARTESIAN_POINT('',(26.346403,36.266566,0.035)); #494593=CARTESIAN_POINT('',(26.346403,36.266566,0.035)); #494594=CARTESIAN_POINT('',(26.346403,36.266566,0.)); #494595=CARTESIAN_POINT('Origin',(26.321119,36.283316,0.)); #494596=CARTESIAN_POINT('',(26.321119,36.283316,0.)); #494597=CARTESIAN_POINT('',(26.321119,36.283316,0.)); #494598=CARTESIAN_POINT('',(26.321119,36.283316,0.035)); #494599=CARTESIAN_POINT('',(26.321119,36.283316,0.035)); #494600=CARTESIAN_POINT('',(26.321119,36.283316,0.)); #494601=CARTESIAN_POINT('Origin',(26.177034,36.4274,0.)); #494602=CARTESIAN_POINT('',(26.177034,36.4274,0.)); #494603=CARTESIAN_POINT('',(26.177034,36.4274,0.)); #494604=CARTESIAN_POINT('',(26.177034,36.4274,0.035)); #494605=CARTESIAN_POINT('',(26.177034,36.4274,0.035)); #494606=CARTESIAN_POINT('',(26.177034,36.4274,0.)); #494607=CARTESIAN_POINT('Origin',(25.822966,36.4274,0.)); #494608=CARTESIAN_POINT('',(25.822966,36.4274,0.)); #494609=CARTESIAN_POINT('',(25.822966,36.4274,0.)); #494610=CARTESIAN_POINT('',(25.822966,36.4274,0.035)); #494611=CARTESIAN_POINT('',(25.822966,36.4274,0.035)); #494612=CARTESIAN_POINT('',(25.822966,36.4274,0.)); #494613=CARTESIAN_POINT('Origin',(25.5726,36.177034,0.)); #494614=CARTESIAN_POINT('',(25.5726,36.177034,0.)); #494615=CARTESIAN_POINT('',(25.5726,36.177034,0.)); #494616=CARTESIAN_POINT('',(25.5726,36.177034,0.035)); #494617=CARTESIAN_POINT('',(25.5726,36.177034,0.035)); #494618=CARTESIAN_POINT('',(25.5726,36.177034,0.)); #494619=CARTESIAN_POINT('Origin',(25.5726,35.822966,0.)); #494620=CARTESIAN_POINT('',(25.5726,35.822966,0.)); #494621=CARTESIAN_POINT('',(25.5726,35.822966,0.)); #494622=CARTESIAN_POINT('',(25.5726,35.822966,0.035)); #494623=CARTESIAN_POINT('',(25.5726,35.822966,0.035)); #494624=CARTESIAN_POINT('',(25.5726,35.822966,0.)); #494625=CARTESIAN_POINT('Origin',(25.749081,35.646484,0.)); #494626=CARTESIAN_POINT('',(25.749081,35.646484,0.)); #494627=CARTESIAN_POINT('',(25.749081,35.646484,0.)); #494628=CARTESIAN_POINT('',(25.749081,35.646484,0.035)); #494629=CARTESIAN_POINT('',(25.749081,35.646484,0.035)); #494630=CARTESIAN_POINT('',(25.749081,35.646484,0.)); #494631=CARTESIAN_POINT('Origin',(25.765366,35.622325,0.)); #494632=CARTESIAN_POINT('',(25.765366,35.622325,0.)); #494633=CARTESIAN_POINT('',(25.765366,35.622325,0.)); #494634=CARTESIAN_POINT('',(25.765366,35.622325,0.035)); #494635=CARTESIAN_POINT('',(25.765366,35.622325,0.035)); #494636=CARTESIAN_POINT('',(25.765366,35.622325,0.)); #494637=CARTESIAN_POINT('Origin',(25.7714,35.592603,0.)); #494638=CARTESIAN_POINT('',(25.7714,35.592603,0.)); #494639=CARTESIAN_POINT('',(25.7714,35.592603,0.)); #494640=CARTESIAN_POINT('',(25.7714,35.592603,0.035)); #494641=CARTESIAN_POINT('',(25.7714,35.592603,0.035)); #494642=CARTESIAN_POINT('',(25.7714,35.592603,0.)); #494643=CARTESIAN_POINT('Origin',(25.7714,31.407397,0.)); #494644=CARTESIAN_POINT('',(25.7714,31.407397,0.)); #494645=CARTESIAN_POINT('',(25.7714,31.407397,0.)); #494646=CARTESIAN_POINT('',(25.7714,31.407397,0.035)); #494647=CARTESIAN_POINT('',(25.7714,31.407397,0.035)); #494648=CARTESIAN_POINT('',(25.7714,31.407397,0.)); #494649=CARTESIAN_POINT('Origin',(25.765831,31.3788,0.)); #494650=CARTESIAN_POINT('',(25.765831,31.3788,0.)); #494651=CARTESIAN_POINT('',(25.765831,31.3788,0.)); #494652=CARTESIAN_POINT('',(25.765831,31.3788,0.035)); #494653=CARTESIAN_POINT('',(25.765831,31.3788,0.035)); #494654=CARTESIAN_POINT('',(25.765831,31.3788,0.)); #494655=CARTESIAN_POINT('Origin',(25.749081,31.353516,0.)); #494656=CARTESIAN_POINT('',(25.749081,31.353516,0.)); #494657=CARTESIAN_POINT('',(25.749081,31.353516,0.)); #494658=CARTESIAN_POINT('',(25.749081,31.353516,0.035)); #494659=CARTESIAN_POINT('',(25.749081,31.353516,0.035)); #494660=CARTESIAN_POINT('',(25.749081,31.353516,0.)); #494661=CARTESIAN_POINT('Origin',(25.572425,31.176859,0.)); #494662=CARTESIAN_POINT('',(25.572425,31.176859,0.)); #494663=CARTESIAN_POINT('',(25.572425,31.176859,0.)); #494664=CARTESIAN_POINT('',(25.572425,31.176859,0.035)); #494665=CARTESIAN_POINT('',(25.572425,31.176859,0.035)); #494666=CARTESIAN_POINT('',(25.572425,31.176859,0.)); #494667=CARTESIAN_POINT('Origin',(25.567478,31.150331,0.)); #494668=CARTESIAN_POINT('',(25.567478,31.150331,0.)); #494669=CARTESIAN_POINT('',(25.567478,31.150331,0.)); #494670=CARTESIAN_POINT('',(25.567478,31.150331,0.035)); #494671=CARTESIAN_POINT('',(25.567478,31.150331,0.035)); #494672=CARTESIAN_POINT('',(25.567478,31.150331,0.)); #494673=CARTESIAN_POINT('Origin',(25.551134,31.124784,0.)); #494674=CARTESIAN_POINT('',(25.551134,31.124784,0.)); #494675=CARTESIAN_POINT('',(25.551134,31.124784,0.)); #494676=CARTESIAN_POINT('',(25.551134,31.124784,0.035)); #494677=CARTESIAN_POINT('',(25.551134,31.124784,0.035)); #494678=CARTESIAN_POINT('',(25.551134,31.124784,0.)); #494679=CARTESIAN_POINT('Origin',(25.526122,31.107634,0.)); #494680=CARTESIAN_POINT('',(25.526122,31.107634,0.)); #494681=CARTESIAN_POINT('',(25.526122,31.107634,0.)); #494682=CARTESIAN_POINT('',(25.526122,31.107634,0.035)); #494683=CARTESIAN_POINT('',(25.526122,31.107634,0.035)); #494684=CARTESIAN_POINT('',(25.526122,31.107634,0.)); #494685=CARTESIAN_POINT('Origin',(25.4964,31.1016,0.)); #494686=CARTESIAN_POINT('',(25.4964,31.1016,0.)); #494687=CARTESIAN_POINT('',(25.4964,31.1016,0.)); #494688=CARTESIAN_POINT('',(25.4964,31.1016,0.035)); #494689=CARTESIAN_POINT('',(25.4964,31.1016,0.035)); #494690=CARTESIAN_POINT('',(25.4964,31.1016,0.)); #494691=CARTESIAN_POINT('Origin',(25.32365,31.1016,0.)); #494692=CARTESIAN_POINT('',(25.32365,31.1016,0.)); #494693=CARTESIAN_POINT('',(25.32365,31.1016,0.)); #494694=CARTESIAN_POINT('',(25.32365,31.1016,0.035)); #494695=CARTESIAN_POINT('',(25.32365,31.1016,0.035)); #494696=CARTESIAN_POINT('',(25.32365,31.1016,0.)); #494697=CARTESIAN_POINT('Origin',(25.295053,31.107169,0.)); #494698=CARTESIAN_POINT('',(25.295053,31.107169,0.)); #494699=CARTESIAN_POINT('',(25.295053,31.107169,0.)); #494700=CARTESIAN_POINT('',(25.295053,31.107169,0.035)); #494701=CARTESIAN_POINT('',(25.295053,31.107169,0.035)); #494702=CARTESIAN_POINT('',(25.295053,31.107169,0.)); #494703=CARTESIAN_POINT('Origin',(25.269769,31.123919,0.)); #494704=CARTESIAN_POINT('',(25.269769,31.123919,0.)); #494705=CARTESIAN_POINT('',(25.269769,31.123919,0.)); #494706=CARTESIAN_POINT('',(25.269769,31.123919,0.035)); #494707=CARTESIAN_POINT('',(25.269769,31.123919,0.035)); #494708=CARTESIAN_POINT('',(25.269769,31.123919,0.)); #494709=CARTESIAN_POINT('Origin',(23.123919,33.269769,0.)); #494710=CARTESIAN_POINT('',(23.123919,33.269769,0.)); #494711=CARTESIAN_POINT('',(23.123919,33.269769,0.)); #494712=CARTESIAN_POINT('',(23.123919,33.269769,0.035)); #494713=CARTESIAN_POINT('',(23.123919,33.269769,0.035)); #494714=CARTESIAN_POINT('',(23.123919,33.269769,0.)); #494715=CARTESIAN_POINT('Origin',(23.107634,33.293928,0.)); #494716=CARTESIAN_POINT('',(23.107634,33.293928,0.)); #494717=CARTESIAN_POINT('',(23.107634,33.293928,0.)); #494718=CARTESIAN_POINT('',(23.107634,33.293928,0.035)); #494719=CARTESIAN_POINT('',(23.107634,33.293928,0.035)); #494720=CARTESIAN_POINT('',(23.107634,33.293928,0.)); #494721=CARTESIAN_POINT('Origin',(23.1016,33.32365,0.)); #494722=CARTESIAN_POINT('',(23.1016,33.32365,0.)); #494723=CARTESIAN_POINT('',(23.1016,33.32365,0.)); #494724=CARTESIAN_POINT('',(23.1016,33.32365,0.035)); #494725=CARTESIAN_POINT('',(23.1016,33.32365,0.035)); #494726=CARTESIAN_POINT('',(23.1016,33.32365,0.)); #494727=CARTESIAN_POINT('Origin',(23.1016,34.745953,0.)); #494728=CARTESIAN_POINT('',(23.1016,34.745953,0.)); #494729=CARTESIAN_POINT('',(23.1016,34.745953,0.)); #494730=CARTESIAN_POINT('',(23.1016,34.745953,0.035)); #494731=CARTESIAN_POINT('',(23.1016,34.745953,0.035)); #494732=CARTESIAN_POINT('',(23.1016,34.745953,0.)); #494733=CARTESIAN_POINT('Origin',(23.0989,34.771309,0.)); #494734=CARTESIAN_POINT('',(23.0989,34.771309,0.)); #494735=CARTESIAN_POINT('',(23.0989,34.771309,0.)); #494736=CARTESIAN_POINT('',(23.0989,34.771309,0.035)); #494737=CARTESIAN_POINT('',(23.0989,34.771309,0.035)); #494738=CARTESIAN_POINT('',(23.0989,34.771309,0.)); #494739=CARTESIAN_POINT('Origin',(23.092194,34.791663,0.)); #494740=CARTESIAN_POINT('',(23.092194,34.791663,0.)); #494741=CARTESIAN_POINT('',(23.092194,34.791663,0.)); #494742=CARTESIAN_POINT('',(23.092194,34.791663,0.035)); #494743=CARTESIAN_POINT('',(23.092194,34.791663,0.035)); #494744=CARTESIAN_POINT('',(23.092194,34.791663,0.)); #494745=CARTESIAN_POINT('Origin',(23.080731,34.811197,0.)); #494746=CARTESIAN_POINT('',(23.080731,34.811197,0.)); #494747=CARTESIAN_POINT('',(23.080731,34.811197,0.)); #494748=CARTESIAN_POINT('',(23.080731,34.811197,0.035)); #494749=CARTESIAN_POINT('',(23.080731,34.811197,0.035)); #494750=CARTESIAN_POINT('',(23.080731,34.811197,0.)); #494751=CARTESIAN_POINT('Origin',(23.069822,34.823866,0.)); #494752=CARTESIAN_POINT('',(23.069822,34.823866,0.)); #494753=CARTESIAN_POINT('',(23.069822,34.823866,0.)); #494754=CARTESIAN_POINT('',(23.069822,34.823866,0.035)); #494755=CARTESIAN_POINT('',(23.069822,34.823866,0.035)); #494756=CARTESIAN_POINT('',(23.069822,34.823866,0.)); #494757=CARTESIAN_POINT('Origin',(22.074709,35.818978,0.)); #494758=CARTESIAN_POINT('',(22.074709,35.818978,0.)); #494759=CARTESIAN_POINT('',(22.074709,35.818978,0.)); #494760=CARTESIAN_POINT('',(22.074709,35.818978,0.035)); #494761=CARTESIAN_POINT('',(22.074709,35.818978,0.035)); #494762=CARTESIAN_POINT('',(22.074709,35.818978,0.)); #494763=CARTESIAN_POINT('Origin',(22.054869,35.834997,0.)); #494764=CARTESIAN_POINT('',(22.054869,35.834997,0.)); #494765=CARTESIAN_POINT('',(22.054869,35.834997,0.)); #494766=CARTESIAN_POINT('',(22.054869,35.834997,0.035)); #494767=CARTESIAN_POINT('',(22.054869,35.834997,0.035)); #494768=CARTESIAN_POINT('',(22.054869,35.834997,0.)); #494769=CARTESIAN_POINT('Origin',(22.035728,35.844647,0.)); #494770=CARTESIAN_POINT('',(22.035728,35.844647,0.)); #494771=CARTESIAN_POINT('',(22.035728,35.844647,0.)); #494772=CARTESIAN_POINT('',(22.035728,35.844647,0.035)); #494773=CARTESIAN_POINT('',(22.035728,35.844647,0.035)); #494774=CARTESIAN_POINT('',(22.035728,35.844647,0.)); #494775=CARTESIAN_POINT('Origin',(22.013816,35.850359,0.)); #494776=CARTESIAN_POINT('',(22.013816,35.850359,0.)); #494777=CARTESIAN_POINT('',(22.013816,35.850359,0.)); #494778=CARTESIAN_POINT('',(22.013816,35.850359,0.035)); #494779=CARTESIAN_POINT('',(22.013816,35.850359,0.035)); #494780=CARTESIAN_POINT('',(22.013816,35.850359,0.)); #494781=CARTESIAN_POINT('Origin',(21.997153,35.8516,0.)); #494782=CARTESIAN_POINT('',(21.997153,35.8516,0.)); #494783=CARTESIAN_POINT('',(21.997153,35.8516,0.)); #494784=CARTESIAN_POINT('',(21.997153,35.8516,0.035)); #494785=CARTESIAN_POINT('',(21.997153,35.8516,0.035)); #494786=CARTESIAN_POINT('',(21.997153,35.8516,0.)); #494787=CARTESIAN_POINT('Origin',(15.004047,35.8516,0.)); #494788=CARTESIAN_POINT('',(15.004047,35.8516,0.)); #494789=CARTESIAN_POINT('',(15.004047,35.8516,0.)); #494790=CARTESIAN_POINT('',(15.004047,35.8516,0.035)); #494791=CARTESIAN_POINT('',(15.004047,35.8516,0.035)); #494792=CARTESIAN_POINT('',(15.004047,35.8516,0.)); #494793=CARTESIAN_POINT('Origin',(14.978691,35.8489,0.)); #494794=CARTESIAN_POINT('',(14.978691,35.8489,0.)); #494795=CARTESIAN_POINT('',(14.978691,35.8489,0.)); #494796=CARTESIAN_POINT('',(14.978691,35.8489,0.035)); #494797=CARTESIAN_POINT('',(14.978691,35.8489,0.035)); #494798=CARTESIAN_POINT('',(14.978691,35.8489,0.)); #494799=CARTESIAN_POINT('Origin',(14.958338,35.842194,0.)); #494800=CARTESIAN_POINT('',(14.958338,35.842194,0.)); #494801=CARTESIAN_POINT('',(14.958338,35.842194,0.)); #494802=CARTESIAN_POINT('',(14.958338,35.842194,0.035)); #494803=CARTESIAN_POINT('',(14.958338,35.842194,0.035)); #494804=CARTESIAN_POINT('',(14.958338,35.842194,0.)); #494805=CARTESIAN_POINT('Origin',(14.938803,35.830731,0.)); #494806=CARTESIAN_POINT('',(14.938803,35.830731,0.)); #494807=CARTESIAN_POINT('',(14.938803,35.830731,0.)); #494808=CARTESIAN_POINT('',(14.938803,35.830731,0.035)); #494809=CARTESIAN_POINT('',(14.938803,35.830731,0.035)); #494810=CARTESIAN_POINT('',(14.938803,35.830731,0.)); #494811=CARTESIAN_POINT('Origin',(14.926134,35.819822,0.)); #494812=CARTESIAN_POINT('',(14.926134,35.819822,0.)); #494813=CARTESIAN_POINT('',(14.926134,35.819822,0.)); #494814=CARTESIAN_POINT('',(14.926134,35.819822,0.035)); #494815=CARTESIAN_POINT('',(14.926134,35.819822,0.035)); #494816=CARTESIAN_POINT('',(14.926134,35.819822,0.)); #494817=CARTESIAN_POINT('Origin',(13.931022,34.824709,0.)); #494818=CARTESIAN_POINT('',(13.931022,34.824709,0.)); #494819=CARTESIAN_POINT('',(13.931022,34.824709,0.)); #494820=CARTESIAN_POINT('',(13.931022,34.824709,0.035)); #494821=CARTESIAN_POINT('',(13.931022,34.824709,0.035)); #494822=CARTESIAN_POINT('',(13.931022,34.824709,0.)); #494823=CARTESIAN_POINT('Origin',(13.915003,34.804869,0.)); #494824=CARTESIAN_POINT('',(13.915003,34.804869,0.)); #494825=CARTESIAN_POINT('',(13.915003,34.804869,0.)); #494826=CARTESIAN_POINT('',(13.915003,34.804869,0.035)); #494827=CARTESIAN_POINT('',(13.915003,34.804869,0.035)); #494828=CARTESIAN_POINT('',(13.915003,34.804869,0.)); #494829=CARTESIAN_POINT('Origin',(13.905353,34.785728,0.)); #494830=CARTESIAN_POINT('',(13.905353,34.785728,0.)); #494831=CARTESIAN_POINT('',(13.905353,34.785728,0.)); #494832=CARTESIAN_POINT('',(13.905353,34.785728,0.035)); #494833=CARTESIAN_POINT('',(13.905353,34.785728,0.035)); #494834=CARTESIAN_POINT('',(13.905353,34.785728,0.)); #494835=CARTESIAN_POINT('Origin',(13.899641,34.763816,0.)); #494836=CARTESIAN_POINT('',(13.899641,34.763816,0.)); #494837=CARTESIAN_POINT('',(13.899641,34.763816,0.)); #494838=CARTESIAN_POINT('',(13.899641,34.763816,0.035)); #494839=CARTESIAN_POINT('',(13.899641,34.763816,0.035)); #494840=CARTESIAN_POINT('',(13.899641,34.763816,0.)); #494841=CARTESIAN_POINT('Origin',(13.8984,34.747153,0.)); #494842=CARTESIAN_POINT('',(13.8984,34.747153,0.)); #494843=CARTESIAN_POINT('',(13.8984,34.747153,0.)); #494844=CARTESIAN_POINT('',(13.8984,34.747153,0.035)); #494845=CARTESIAN_POINT('',(13.8984,34.747153,0.035)); #494846=CARTESIAN_POINT('',(13.8984,34.747153,0.)); #494847=CARTESIAN_POINT('Origin',(13.8984,19.504047,0.)); #494848=CARTESIAN_POINT('',(13.8984,19.504047,0.)); #494849=CARTESIAN_POINT('',(13.8984,19.504047,0.)); #494850=CARTESIAN_POINT('',(13.8984,19.504047,0.035)); #494851=CARTESIAN_POINT('',(13.8984,19.504047,0.035)); #494852=CARTESIAN_POINT('',(13.8984,19.504047,0.)); #494853=CARTESIAN_POINT('Origin',(13.9011,19.478691,0.)); #494854=CARTESIAN_POINT('',(13.9011,19.478691,0.)); #494855=CARTESIAN_POINT('',(13.9011,19.478691,0.)); #494856=CARTESIAN_POINT('',(13.9011,19.478691,0.035)); #494857=CARTESIAN_POINT('',(13.9011,19.478691,0.035)); #494858=CARTESIAN_POINT('',(13.9011,19.478691,0.)); #494859=CARTESIAN_POINT('Origin',(13.907806,19.458338,0.)); #494860=CARTESIAN_POINT('',(13.907806,19.458338,0.)); #494861=CARTESIAN_POINT('',(13.907806,19.458338,0.)); #494862=CARTESIAN_POINT('',(13.907806,19.458338,0.035)); #494863=CARTESIAN_POINT('',(13.907806,19.458338,0.035)); #494864=CARTESIAN_POINT('',(13.907806,19.458338,0.)); #494865=CARTESIAN_POINT('Origin',(13.919269,19.438803,0.)); #494866=CARTESIAN_POINT('',(13.919269,19.438803,0.)); #494867=CARTESIAN_POINT('',(13.919269,19.438803,0.)); #494868=CARTESIAN_POINT('',(13.919269,19.438803,0.035)); #494869=CARTESIAN_POINT('',(13.919269,19.438803,0.035)); #494870=CARTESIAN_POINT('',(13.919269,19.438803,0.)); #494871=CARTESIAN_POINT('Origin',(13.930178,19.426134,0.)); #494872=CARTESIAN_POINT('',(13.930178,19.426134,0.)); #494873=CARTESIAN_POINT('',(13.930178,19.426134,0.)); #494874=CARTESIAN_POINT('',(13.930178,19.426134,0.035)); #494875=CARTESIAN_POINT('',(13.930178,19.426134,0.035)); #494876=CARTESIAN_POINT('',(13.930178,19.426134,0.)); #494877=CARTESIAN_POINT('Origin',(14.175291,19.181022,0.)); #494878=CARTESIAN_POINT('',(14.175291,19.181022,0.)); #494879=CARTESIAN_POINT('',(14.175291,19.181022,0.)); #494880=CARTESIAN_POINT('',(14.175291,19.181022,0.035)); #494881=CARTESIAN_POINT('',(14.175291,19.181022,0.035)); #494882=CARTESIAN_POINT('',(14.175291,19.181022,0.)); #494883=CARTESIAN_POINT('Origin',(14.195131,19.165003,0.)); #494884=CARTESIAN_POINT('',(14.195131,19.165003,0.)); #494885=CARTESIAN_POINT('',(14.195131,19.165003,0.)); #494886=CARTESIAN_POINT('',(14.195131,19.165003,0.035)); #494887=CARTESIAN_POINT('',(14.195131,19.165003,0.035)); #494888=CARTESIAN_POINT('',(14.195131,19.165003,0.)); #494889=CARTESIAN_POINT('Origin',(14.214272,19.155353,0.)); #494890=CARTESIAN_POINT('',(14.214272,19.155353,0.)); #494891=CARTESIAN_POINT('',(14.214272,19.155353,0.)); #494892=CARTESIAN_POINT('',(14.214272,19.155353,0.035)); #494893=CARTESIAN_POINT('',(14.214272,19.155353,0.035)); #494894=CARTESIAN_POINT('',(14.214272,19.155353,0.)); #494895=CARTESIAN_POINT('Origin',(14.236184,19.149641,0.)); #494896=CARTESIAN_POINT('',(14.236184,19.149641,0.)); #494897=CARTESIAN_POINT('',(14.236184,19.149641,0.)); #494898=CARTESIAN_POINT('',(14.236184,19.149641,0.035)); #494899=CARTESIAN_POINT('',(14.236184,19.149641,0.035)); #494900=CARTESIAN_POINT('',(14.236184,19.149641,0.)); #494901=CARTESIAN_POINT('Origin',(14.252847,19.1484,0.)); #494902=CARTESIAN_POINT('',(14.252847,19.1484,0.)); #494903=CARTESIAN_POINT('',(14.252847,19.1484,0.)); #494904=CARTESIAN_POINT('',(14.252847,19.1484,0.035)); #494905=CARTESIAN_POINT('',(14.252847,19.1484,0.035)); #494906=CARTESIAN_POINT('',(14.252847,19.1484,0.)); #494907=CARTESIAN_POINT('Origin',(16.495953,19.1484,0.)); #494908=CARTESIAN_POINT('',(16.495953,19.1484,0.)); #494909=CARTESIAN_POINT('',(16.495953,19.1484,0.)); #494910=CARTESIAN_POINT('',(16.495953,19.1484,0.035)); #494911=CARTESIAN_POINT('',(16.495953,19.1484,0.035)); #494912=CARTESIAN_POINT('',(16.495953,19.1484,0.)); #494913=CARTESIAN_POINT('Origin',(16.521309,19.1511,0.)); #494914=CARTESIAN_POINT('',(16.521309,19.1511,0.)); #494915=CARTESIAN_POINT('',(16.521309,19.1511,0.)); #494916=CARTESIAN_POINT('',(16.521309,19.1511,0.035)); #494917=CARTESIAN_POINT('',(16.521309,19.1511,0.035)); #494918=CARTESIAN_POINT('',(16.521309,19.1511,0.)); #494919=CARTESIAN_POINT('Origin',(16.541663,19.157806,0.)); #494920=CARTESIAN_POINT('',(16.541663,19.157806,0.)); #494921=CARTESIAN_POINT('',(16.541663,19.157806,0.)); #494922=CARTESIAN_POINT('',(16.541663,19.157806,0.035)); #494923=CARTESIAN_POINT('',(16.541663,19.157806,0.035)); #494924=CARTESIAN_POINT('',(16.541663,19.157806,0.)); #494925=CARTESIAN_POINT('Origin',(16.561197,19.169269,0.)); #494926=CARTESIAN_POINT('',(16.561197,19.169269,0.)); #494927=CARTESIAN_POINT('',(16.561197,19.169269,0.)); #494928=CARTESIAN_POINT('',(16.561197,19.169269,0.035)); #494929=CARTESIAN_POINT('',(16.561197,19.169269,0.035)); #494930=CARTESIAN_POINT('',(16.561197,19.169269,0.)); #494931=CARTESIAN_POINT('Origin',(16.573866,19.180178,0.)); #494932=CARTESIAN_POINT('',(16.573866,19.180178,0.)); #494933=CARTESIAN_POINT('',(16.573866,19.180178,0.)); #494934=CARTESIAN_POINT('',(16.573866,19.180178,0.035)); #494935=CARTESIAN_POINT('',(16.573866,19.180178,0.035)); #494936=CARTESIAN_POINT('',(16.573866,19.180178,0.)); #494937=CARTESIAN_POINT('Origin',(16.818978,19.425291,0.)); #494938=CARTESIAN_POINT('',(16.818978,19.425291,0.)); #494939=CARTESIAN_POINT('',(16.818978,19.425291,0.)); #494940=CARTESIAN_POINT('',(16.818978,19.425291,0.035)); #494941=CARTESIAN_POINT('',(16.818978,19.425291,0.035)); #494942=CARTESIAN_POINT('',(16.818978,19.425291,0.)); #494943=CARTESIAN_POINT('Origin',(16.834997,19.445131,0.)); #494944=CARTESIAN_POINT('',(16.834997,19.445131,0.)); #494945=CARTESIAN_POINT('',(16.834997,19.445131,0.)); #494946=CARTESIAN_POINT('',(16.834997,19.445131,0.035)); #494947=CARTESIAN_POINT('',(16.834997,19.445131,0.035)); #494948=CARTESIAN_POINT('',(16.834997,19.445131,0.)); #494949=CARTESIAN_POINT('Origin',(16.844647,19.464272,0.)); #494950=CARTESIAN_POINT('',(16.844647,19.464272,0.)); #494951=CARTESIAN_POINT('',(16.844647,19.464272,0.)); #494952=CARTESIAN_POINT('',(16.844647,19.464272,0.035)); #494953=CARTESIAN_POINT('',(16.844647,19.464272,0.035)); #494954=CARTESIAN_POINT('',(16.844647,19.464272,0.)); #494955=CARTESIAN_POINT('Origin',(16.850359,19.486184,0.)); #494956=CARTESIAN_POINT('',(16.850359,19.486184,0.)); #494957=CARTESIAN_POINT('',(16.850359,19.486184,0.)); #494958=CARTESIAN_POINT('',(16.850359,19.486184,0.035)); #494959=CARTESIAN_POINT('',(16.850359,19.486184,0.035)); #494960=CARTESIAN_POINT('',(16.850359,19.486184,0.)); #494961=CARTESIAN_POINT('Origin',(16.8516,19.502847,0.)); #494962=CARTESIAN_POINT('',(16.8516,19.502847,0.)); #494963=CARTESIAN_POINT('',(16.8516,19.502847,0.)); #494964=CARTESIAN_POINT('',(16.8516,19.502847,0.035)); #494965=CARTESIAN_POINT('',(16.8516,19.502847,0.035)); #494966=CARTESIAN_POINT('',(16.8516,19.502847,0.)); #494967=CARTESIAN_POINT('Origin',(16.8516,25.30135,0.)); #494968=CARTESIAN_POINT('',(16.8516,25.30135,0.)); #494969=CARTESIAN_POINT('',(16.8516,25.30135,0.)); #494970=CARTESIAN_POINT('',(16.8516,25.30135,0.035)); #494971=CARTESIAN_POINT('',(16.8516,25.30135,0.035)); #494972=CARTESIAN_POINT('',(16.8516,25.30135,0.)); #494973=CARTESIAN_POINT('Origin',(16.857169,25.329947,0.)); #494974=CARTESIAN_POINT('',(16.857169,25.329947,0.)); #494975=CARTESIAN_POINT('',(16.857169,25.329947,0.)); #494976=CARTESIAN_POINT('',(16.857169,25.329947,0.035)); #494977=CARTESIAN_POINT('',(16.857169,25.329947,0.035)); #494978=CARTESIAN_POINT('',(16.857169,25.329947,0.)); #494979=CARTESIAN_POINT('Origin',(16.873919,25.355231,0.)); #494980=CARTESIAN_POINT('',(16.873919,25.355231,0.)); #494981=CARTESIAN_POINT('',(16.873919,25.355231,0.)); #494982=CARTESIAN_POINT('',(16.873919,25.355231,0.035)); #494983=CARTESIAN_POINT('',(16.873919,25.355231,0.035)); #494984=CARTESIAN_POINT('',(16.873919,25.355231,0.)); #494985=CARTESIAN_POINT('Origin',(18.019769,26.501081,0.)); #494986=CARTESIAN_POINT('',(18.019769,26.501081,0.)); #494987=CARTESIAN_POINT('',(18.019769,26.501081,0.)); #494988=CARTESIAN_POINT('',(18.019769,26.501081,0.035)); #494989=CARTESIAN_POINT('',(18.019769,26.501081,0.035)); #494990=CARTESIAN_POINT('',(18.019769,26.501081,0.)); #494991=CARTESIAN_POINT('Origin',(18.043928,26.517366,0.)); #494992=CARTESIAN_POINT('',(18.043928,26.517366,0.)); #494993=CARTESIAN_POINT('',(18.043928,26.517366,0.)); #494994=CARTESIAN_POINT('',(18.043928,26.517366,0.035)); #494995=CARTESIAN_POINT('',(18.043928,26.517366,0.035)); #494996=CARTESIAN_POINT('',(18.043928,26.517366,0.)); #494997=CARTESIAN_POINT('Origin',(18.07365,26.5234,0.)); #494998=CARTESIAN_POINT('',(18.07365,26.5234,0.)); #494999=CARTESIAN_POINT('',(18.07365,26.5234,0.)); #495000=CARTESIAN_POINT('',(18.07365,26.5234,0.035)); #495001=CARTESIAN_POINT('',(18.07365,26.5234,0.035)); #495002=CARTESIAN_POINT('',(18.07365,26.5234,0.)); #495003=CARTESIAN_POINT('Origin',(20.1143,26.5234,0.)); #495004=CARTESIAN_POINT('',(20.1143,26.5234,0.)); #495005=CARTESIAN_POINT('',(20.1143,26.5234,0.)); #495006=CARTESIAN_POINT('',(20.1143,26.5234,0.035)); #495007=CARTESIAN_POINT('',(20.1143,26.5234,0.035)); #495008=CARTESIAN_POINT('',(20.1143,26.5234,0.)); #495009=CARTESIAN_POINT('Origin',(20.141769,26.518278,0.)); #495010=CARTESIAN_POINT('',(20.141769,26.518278,0.)); #495011=CARTESIAN_POINT('',(20.141769,26.518278,0.)); #495012=CARTESIAN_POINT('',(20.141769,26.518278,0.035)); #495013=CARTESIAN_POINT('',(20.141769,26.518278,0.035)); #495014=CARTESIAN_POINT('',(20.141769,26.518278,0.)); #495015=CARTESIAN_POINT('Origin',(20.167316,26.501934,0.)); #495016=CARTESIAN_POINT('',(20.167316,26.501934,0.)); #495017=CARTESIAN_POINT('',(20.167316,26.501934,0.)); #495018=CARTESIAN_POINT('',(20.167316,26.501934,0.035)); #495019=CARTESIAN_POINT('',(20.167316,26.501934,0.035)); #495020=CARTESIAN_POINT('',(20.167316,26.501934,0.)); #495021=CARTESIAN_POINT('Origin',(20.184466,26.476922,0.)); #495022=CARTESIAN_POINT('',(20.184466,26.476922,0.)); #495023=CARTESIAN_POINT('',(20.184466,26.476922,0.)); #495024=CARTESIAN_POINT('',(20.184466,26.476922,0.035)); #495025=CARTESIAN_POINT('',(20.184466,26.476922,0.035)); #495026=CARTESIAN_POINT('',(20.184466,26.476922,0.)); #495027=CARTESIAN_POINT('Origin',(20.1905,26.4472,0.)); #495028=CARTESIAN_POINT('',(20.1905,26.4472,0.)); #495029=CARTESIAN_POINT('',(20.1905,26.4472,0.)); #495030=CARTESIAN_POINT('',(20.1905,26.4472,0.035)); #495031=CARTESIAN_POINT('',(20.1905,26.4472,0.035)); #495032=CARTESIAN_POINT('',(20.1905,26.4472,0.)); #495033=CARTESIAN_POINT('Origin',(20.1905,25.492372,0.)); #495034=CARTESIAN_POINT('',(20.1905,25.492372,0.)); #495035=CARTESIAN_POINT('',(20.1905,25.492372,0.)); #495036=CARTESIAN_POINT('',(20.1905,25.492372,0.035)); #495037=CARTESIAN_POINT('',(20.1905,25.492372,0.035)); #495038=CARTESIAN_POINT('',(20.1905,25.492372,0.)); #495039=CARTESIAN_POINT('Origin',(20.279772,25.4031,0.)); #495040=CARTESIAN_POINT('',(20.279772,25.4031,0.)); #495041=CARTESIAN_POINT('',(20.279772,25.4031,0.)); #495042=CARTESIAN_POINT('',(20.279772,25.4031,0.035)); #495043=CARTESIAN_POINT('',(20.279772,25.4031,0.035)); #495044=CARTESIAN_POINT('',(20.279772,25.4031,0.)); #495045=CARTESIAN_POINT('Origin',(20.6452,25.4031,0.)); #495046=CARTESIAN_POINT('',(20.6452,25.4031,0.)); #495047=CARTESIAN_POINT('',(20.6452,25.4031,0.)); #495048=CARTESIAN_POINT('',(20.6452,25.4031,0.035)); #495049=CARTESIAN_POINT('',(20.6452,25.4031,0.035)); #495050=CARTESIAN_POINT('',(20.6452,25.4031,0.)); #495051=CARTESIAN_POINT('Origin',(20.672669,25.397978,0.)); #495052=CARTESIAN_POINT('',(20.672669,25.397978,0.)); #495053=CARTESIAN_POINT('',(20.672669,25.397978,0.)); #495054=CARTESIAN_POINT('',(20.672669,25.397978,0.035)); #495055=CARTESIAN_POINT('',(20.672669,25.397978,0.035)); #495056=CARTESIAN_POINT('',(20.672669,25.397978,0.)); #495057=CARTESIAN_POINT('Origin',(20.698216,25.381634,0.)); #495058=CARTESIAN_POINT('',(20.698216,25.381634,0.)); #495059=CARTESIAN_POINT('',(20.698216,25.381634,0.)); #495060=CARTESIAN_POINT('',(20.698216,25.381634,0.035)); #495061=CARTESIAN_POINT('',(20.698216,25.381634,0.035)); #495062=CARTESIAN_POINT('',(20.698216,25.381634,0.)); #495063=CARTESIAN_POINT('Origin',(20.715366,25.356622,0.)); #495064=CARTESIAN_POINT('',(20.715366,25.356622,0.)); #495065=CARTESIAN_POINT('',(20.715366,25.356622,0.)); #495066=CARTESIAN_POINT('',(20.715366,25.356622,0.035)); #495067=CARTESIAN_POINT('',(20.715366,25.356622,0.035)); #495068=CARTESIAN_POINT('',(20.715366,25.356622,0.)); #495069=CARTESIAN_POINT('Origin',(20.7214,25.3269,0.)); #495070=CARTESIAN_POINT('',(20.7214,25.3269,0.)); #495071=CARTESIAN_POINT('',(20.7214,25.3269,0.)); #495072=CARTESIAN_POINT('',(20.7214,25.3269,0.035)); #495073=CARTESIAN_POINT('',(20.7214,25.3269,0.035)); #495074=CARTESIAN_POINT('',(20.7214,25.3269,0.)); #495075=CARTESIAN_POINT('Origin',(20.7214,25.0136,0.)); #495076=CARTESIAN_POINT('',(20.7214,25.0136,0.)); #495077=CARTESIAN_POINT('',(20.7214,25.0136,0.)); #495078=CARTESIAN_POINT('',(20.7214,25.0136,0.035)); #495079=CARTESIAN_POINT('',(20.7214,25.0136,0.035)); #495080=CARTESIAN_POINT('',(20.7214,25.0136,0.)); #495081=CARTESIAN_POINT('Origin',(20.716278,24.986131,0.)); #495082=CARTESIAN_POINT('',(20.716278,24.986131,0.)); #495083=CARTESIAN_POINT('',(20.716278,24.986131,0.)); #495084=CARTESIAN_POINT('',(20.716278,24.986131,0.035)); #495085=CARTESIAN_POINT('',(20.716278,24.986131,0.035)); #495086=CARTESIAN_POINT('',(20.716278,24.986131,0.)); #495087=CARTESIAN_POINT('Origin',(20.699934,24.960584,0.)); #495088=CARTESIAN_POINT('',(20.699934,24.960584,0.)); #495089=CARTESIAN_POINT('',(20.699934,24.960584,0.)); #495090=CARTESIAN_POINT('',(20.699934,24.960584,0.035)); #495091=CARTESIAN_POINT('',(20.699934,24.960584,0.035)); #495092=CARTESIAN_POINT('',(20.699934,24.960584,0.)); #495093=CARTESIAN_POINT('Origin',(20.674922,24.943434,0.)); #495094=CARTESIAN_POINT('',(20.674922,24.943434,0.)); #495095=CARTESIAN_POINT('',(20.674922,24.943434,0.)); #495096=CARTESIAN_POINT('',(20.674922,24.943434,0.035)); #495097=CARTESIAN_POINT('',(20.674922,24.943434,0.035)); #495098=CARTESIAN_POINT('',(20.674922,24.943434,0.)); #495099=CARTESIAN_POINT('Origin',(20.6452,24.9374,0.)); #495100=CARTESIAN_POINT('',(20.6452,24.9374,0.)); #495101=CARTESIAN_POINT('',(20.6452,24.9374,0.)); #495102=CARTESIAN_POINT('',(20.6452,24.9374,0.035)); #495103=CARTESIAN_POINT('',(20.6452,24.9374,0.035)); #495104=CARTESIAN_POINT('',(20.6452,24.9374,0.)); #495105=CARTESIAN_POINT('Origin',(20.569372,24.9374,0.)); #495106=CARTESIAN_POINT('',(20.569372,24.9374,0.)); #495107=CARTESIAN_POINT('',(20.569372,24.9374,0.)); #495108=CARTESIAN_POINT('',(20.569372,24.9374,0.035)); #495109=CARTESIAN_POINT('',(20.569372,24.9374,0.035)); #495110=CARTESIAN_POINT('',(20.569372,24.9374,0.)); #495111=CARTESIAN_POINT('Origin',(20.4801,24.848128,0.)); #495112=CARTESIAN_POINT('',(20.4801,24.848128,0.)); #495113=CARTESIAN_POINT('',(20.4801,24.848128,0.)); #495114=CARTESIAN_POINT('',(20.4801,24.848128,0.035)); #495115=CARTESIAN_POINT('',(20.4801,24.848128,0.035)); #495116=CARTESIAN_POINT('',(20.4801,24.848128,0.)); #495117=CARTESIAN_POINT('Origin',(20.4801,23.577438,0.)); #495118=CARTESIAN_POINT('',(20.4801,23.577438,0.)); #495119=CARTESIAN_POINT('',(20.4801,23.577438,0.)); #495120=CARTESIAN_POINT('',(20.4801,23.577438,0.035)); #495121=CARTESIAN_POINT('',(20.4801,23.577438,0.035)); #495122=CARTESIAN_POINT('',(20.4801,23.577438,0.)); #495123=CARTESIAN_POINT('Origin',(20.474847,23.549638,0.)); #495124=CARTESIAN_POINT('',(20.474847,23.549638,0.)); #495125=CARTESIAN_POINT('',(20.474847,23.549638,0.)); #495126=CARTESIAN_POINT('',(20.474847,23.549638,0.035)); #495127=CARTESIAN_POINT('',(20.474847,23.549638,0.035)); #495128=CARTESIAN_POINT('',(20.474847,23.549638,0.)); #495129=CARTESIAN_POINT('Origin',(20.458384,23.524166,0.)); #495130=CARTESIAN_POINT('',(20.458384,23.524166,0.)); #495131=CARTESIAN_POINT('',(20.458384,23.524166,0.)); #495132=CARTESIAN_POINT('',(20.458384,23.524166,0.035)); #495133=CARTESIAN_POINT('',(20.458384,23.524166,0.035)); #495134=CARTESIAN_POINT('',(20.458384,23.524166,0.)); #495135=CARTESIAN_POINT('Origin',(20.411809,23.476528,0.)); #495136=CARTESIAN_POINT('',(20.411809,23.476528,0.)); #495137=CARTESIAN_POINT('',(20.411809,23.476528,0.)); #495138=CARTESIAN_POINT('',(20.411809,23.476528,0.035)); #495139=CARTESIAN_POINT('',(20.411809,23.476528,0.035)); #495140=CARTESIAN_POINT('',(20.411809,23.476528,0.)); #495141=CARTESIAN_POINT('Origin',(20.387047,23.459634,0.)); #495142=CARTESIAN_POINT('',(20.387047,23.459634,0.)); #495143=CARTESIAN_POINT('',(20.387047,23.459634,0.)); #495144=CARTESIAN_POINT('',(20.387047,23.459634,0.035)); #495145=CARTESIAN_POINT('',(20.387047,23.459634,0.035)); #495146=CARTESIAN_POINT('',(20.387047,23.459634,0.)); #495147=CARTESIAN_POINT('Origin',(20.357325,23.4536,0.)); #495148=CARTESIAN_POINT('',(20.357325,23.4536,0.)); #495149=CARTESIAN_POINT('',(20.357325,23.4536,0.)); #495150=CARTESIAN_POINT('',(20.357325,23.4536,0.035)); #495151=CARTESIAN_POINT('',(20.357325,23.4536,0.035)); #495152=CARTESIAN_POINT('',(20.357325,23.4536,0.)); #495153=CARTESIAN_POINT('Origin',(19.642675,23.4536,0.)); #495154=CARTESIAN_POINT('',(19.642675,23.4536,0.)); #495155=CARTESIAN_POINT('',(19.642675,23.4536,0.)); #495156=CARTESIAN_POINT('',(19.642675,23.4536,0.035)); #495157=CARTESIAN_POINT('',(19.642675,23.4536,0.035)); #495158=CARTESIAN_POINT('',(19.642675,23.4536,0.)); #495159=CARTESIAN_POINT('Origin',(19.613281,23.459497,0.)); #495160=CARTESIAN_POINT('',(19.613281,23.459497,0.)); #495161=CARTESIAN_POINT('',(19.613281,23.459497,0.)); #495162=CARTESIAN_POINT('',(19.613281,23.459497,0.035)); #495163=CARTESIAN_POINT('',(19.613281,23.459497,0.035)); #495164=CARTESIAN_POINT('',(19.613281,23.459497,0.)); #495165=CARTESIAN_POINT('Origin',(19.588191,23.476528,0.)); #495166=CARTESIAN_POINT('',(19.588191,23.476528,0.)); #495167=CARTESIAN_POINT('',(19.588191,23.476528,0.)); #495168=CARTESIAN_POINT('',(19.588191,23.476528,0.035)); #495169=CARTESIAN_POINT('',(19.588191,23.476528,0.035)); #495170=CARTESIAN_POINT('',(19.588191,23.476528,0.)); #495171=CARTESIAN_POINT('Origin',(19.541616,23.524166,0.)); #495172=CARTESIAN_POINT('',(19.541616,23.524166,0.)); #495173=CARTESIAN_POINT('',(19.541616,23.524166,0.)); #495174=CARTESIAN_POINT('',(19.541616,23.524166,0.035)); #495175=CARTESIAN_POINT('',(19.541616,23.524166,0.035)); #495176=CARTESIAN_POINT('',(19.541616,23.524166,0.)); #495177=CARTESIAN_POINT('Origin',(19.525934,23.547716,0.)); #495178=CARTESIAN_POINT('',(19.525934,23.547716,0.)); #495179=CARTESIAN_POINT('',(19.525934,23.547716,0.)); #495180=CARTESIAN_POINT('',(19.525934,23.547716,0.035)); #495181=CARTESIAN_POINT('',(19.525934,23.547716,0.035)); #495182=CARTESIAN_POINT('',(19.525934,23.547716,0.)); #495183=CARTESIAN_POINT('Origin',(19.5199,23.577438,0.)); #495184=CARTESIAN_POINT('',(19.5199,23.577438,0.)); #495185=CARTESIAN_POINT('',(19.5199,23.577438,0.)); #495186=CARTESIAN_POINT('',(19.5199,23.577438,0.035)); #495187=CARTESIAN_POINT('',(19.5199,23.577438,0.035)); #495188=CARTESIAN_POINT('',(19.5199,23.577438,0.)); #495189=CARTESIAN_POINT('Origin',(19.5199,24.848128,0.)); #495190=CARTESIAN_POINT('',(19.5199,24.848128,0.)); #495191=CARTESIAN_POINT('',(19.5199,24.848128,0.)); #495192=CARTESIAN_POINT('',(19.5199,24.848128,0.035)); #495193=CARTESIAN_POINT('',(19.5199,24.848128,0.035)); #495194=CARTESIAN_POINT('',(19.5199,24.848128,0.)); #495195=CARTESIAN_POINT('Origin',(19.430628,24.9374,0.)); #495196=CARTESIAN_POINT('',(19.430628,24.9374,0.)); #495197=CARTESIAN_POINT('',(19.430628,24.9374,0.)); #495198=CARTESIAN_POINT('',(19.430628,24.9374,0.035)); #495199=CARTESIAN_POINT('',(19.430628,24.9374,0.035)); #495200=CARTESIAN_POINT('',(19.430628,24.9374,0.)); #495201=CARTESIAN_POINT('Origin',(18.669372,24.9374,0.)); #495202=CARTESIAN_POINT('',(18.669372,24.9374,0.)); #495203=CARTESIAN_POINT('',(18.669372,24.9374,0.)); #495204=CARTESIAN_POINT('',(18.669372,24.9374,0.035)); #495205=CARTESIAN_POINT('',(18.669372,24.9374,0.035)); #495206=CARTESIAN_POINT('',(18.669372,24.9374,0.)); #495207=CARTESIAN_POINT('Origin',(18.5801,24.848128,0.)); #495208=CARTESIAN_POINT('',(18.5801,24.848128,0.)); #495209=CARTESIAN_POINT('',(18.5801,24.848128,0.)); #495210=CARTESIAN_POINT('',(18.5801,24.848128,0.035)); #495211=CARTESIAN_POINT('',(18.5801,24.848128,0.035)); #495212=CARTESIAN_POINT('',(18.5801,24.848128,0.)); #495213=CARTESIAN_POINT('Origin',(18.5801,23.451872,0.)); #495214=CARTESIAN_POINT('',(18.5801,23.451872,0.)); #495215=CARTESIAN_POINT('',(18.5801,23.451872,0.)); #495216=CARTESIAN_POINT('',(18.5801,23.451872,0.035)); #495217=CARTESIAN_POINT('',(18.5801,23.451872,0.035)); #495218=CARTESIAN_POINT('',(18.5801,23.451872,0.)); #495219=CARTESIAN_POINT('Origin',(18.669372,23.3626,0.)); #495220=CARTESIAN_POINT('',(18.669372,23.3626,0.)); #495221=CARTESIAN_POINT('',(18.669372,23.3626,0.)); #495222=CARTESIAN_POINT('',(18.669372,23.3626,0.035)); #495223=CARTESIAN_POINT('',(18.669372,23.3626,0.035)); #495224=CARTESIAN_POINT('',(18.669372,23.3626,0.)); #495225=CARTESIAN_POINT('Origin',(19.0281,23.3626,0.)); #495226=CARTESIAN_POINT('',(19.0281,23.3626,0.)); #495227=CARTESIAN_POINT('',(19.0281,23.3626,0.)); #495228=CARTESIAN_POINT('',(19.0281,23.3626,0.035)); #495229=CARTESIAN_POINT('',(19.0281,23.3626,0.035)); #495230=CARTESIAN_POINT('',(19.0281,23.3626,0.)); #495231=CARTESIAN_POINT('Origin',(19.057494,23.356703,0.)); #495232=CARTESIAN_POINT('',(19.057494,23.356703,0.)); #495233=CARTESIAN_POINT('',(19.057494,23.356703,0.)); #495234=CARTESIAN_POINT('',(19.057494,23.356703,0.035)); #495235=CARTESIAN_POINT('',(19.057494,23.356703,0.035)); #495236=CARTESIAN_POINT('',(19.057494,23.356703,0.)); #495237=CARTESIAN_POINT('Origin',(19.082588,23.339669,0.)); #495238=CARTESIAN_POINT('',(19.082588,23.339669,0.)); #495239=CARTESIAN_POINT('',(19.082588,23.339669,0.)); #495240=CARTESIAN_POINT('',(19.082588,23.339669,0.035)); #495241=CARTESIAN_POINT('',(19.082588,23.339669,0.035)); #495242=CARTESIAN_POINT('',(19.082588,23.339669,0.)); #495243=CARTESIAN_POINT('Origin',(19.264088,23.154025,0.)); #495244=CARTESIAN_POINT('',(19.264088,23.154025,0.)); #495245=CARTESIAN_POINT('',(19.264088,23.154025,0.)); #495246=CARTESIAN_POINT('',(19.264088,23.154025,0.035)); #495247=CARTESIAN_POINT('',(19.264088,23.154025,0.035)); #495248=CARTESIAN_POINT('',(19.264088,23.154025,0.)); #495249=CARTESIAN_POINT('Origin',(19.268078,23.148031,0.)); #495250=CARTESIAN_POINT('',(19.268078,23.148031,0.)); #495251=CARTESIAN_POINT('',(19.268078,23.148031,0.)); #495252=CARTESIAN_POINT('',(19.268078,23.148031,0.035)); #495253=CARTESIAN_POINT('',(19.268078,23.148031,0.035)); #495254=CARTESIAN_POINT('',(19.268078,23.148031,0.)); #495255=CARTESIAN_POINT('Origin',(19.351516,23.064594,0.)); #495256=CARTESIAN_POINT('',(19.351516,23.064594,0.)); #495257=CARTESIAN_POINT('',(19.351516,23.064594,0.)); #495258=CARTESIAN_POINT('',(19.351516,23.064594,0.035)); #495259=CARTESIAN_POINT('',(19.351516,23.064594,0.035)); #495260=CARTESIAN_POINT('',(19.351516,23.064594,0.)); #495261=CARTESIAN_POINT('Origin',(19.352125,23.063978,0.)); #495262=CARTESIAN_POINT('',(19.352125,23.063978,0.)); #495263=CARTESIAN_POINT('',(19.352125,23.063978,0.)); #495264=CARTESIAN_POINT('',(19.352125,23.063978,0.035)); #495265=CARTESIAN_POINT('',(19.352125,23.063978,0.035)); #495266=CARTESIAN_POINT('',(19.352125,23.063978,0.)); #495267=CARTESIAN_POINT('Origin',(19.362716,23.053144,0.)); #495268=CARTESIAN_POINT('',(19.362716,23.053144,0.)); #495269=CARTESIAN_POINT('',(19.362716,23.053144,0.)); #495270=CARTESIAN_POINT('',(19.362716,23.053144,0.035)); #495271=CARTESIAN_POINT('',(19.362716,23.053144,0.035)); #495272=CARTESIAN_POINT('',(19.362716,23.053144,0.)); #495273=CARTESIAN_POINT('Origin',(19.377906,23.030712,0.)); #495274=CARTESIAN_POINT('',(19.377906,23.030712,0.)); #495275=CARTESIAN_POINT('',(19.377906,23.030712,0.)); #495276=CARTESIAN_POINT('',(19.377906,23.030712,0.035)); #495277=CARTESIAN_POINT('',(19.377906,23.030712,0.035)); #495278=CARTESIAN_POINT('',(19.377906,23.030712,0.)); #495279=CARTESIAN_POINT('Origin',(19.384416,23.001094,0.)); #495280=CARTESIAN_POINT('',(19.384416,23.001094,0.)); #495281=CARTESIAN_POINT('',(19.384416,23.001094,0.)); #495282=CARTESIAN_POINT('',(19.384416,23.001094,0.035)); #495283=CARTESIAN_POINT('',(19.384416,23.001094,0.035)); #495284=CARTESIAN_POINT('',(19.384416,23.001094,0.)); #495285=CARTESIAN_POINT('Origin',(19.378856,22.971281,0.)); #495286=CARTESIAN_POINT('',(19.378856,22.971281,0.)); #495287=CARTESIAN_POINT('',(19.378856,22.971281,0.)); #495288=CARTESIAN_POINT('',(19.378856,22.971281,0.035)); #495289=CARTESIAN_POINT('',(19.378856,22.971281,0.035)); #495290=CARTESIAN_POINT('',(19.378856,22.971281,0.)); #495291=CARTESIAN_POINT('Origin',(19.362106,22.945997,0.)); #495292=CARTESIAN_POINT('',(19.362106,22.945997,0.)); #495293=CARTESIAN_POINT('',(19.362106,22.945997,0.)); #495294=CARTESIAN_POINT('',(19.362106,22.945997,0.035)); #495295=CARTESIAN_POINT('',(19.362106,22.945997,0.035)); #495296=CARTESIAN_POINT('',(19.362106,22.945997,0.)); #495297=CARTESIAN_POINT('Origin',(19.357516,22.941406,0.)); #495298=CARTESIAN_POINT('',(19.357516,22.941406,0.)); #495299=CARTESIAN_POINT('',(19.357516,22.941406,0.)); #495300=CARTESIAN_POINT('',(19.357516,22.941406,0.035)); #495301=CARTESIAN_POINT('',(19.357516,22.941406,0.035)); #495302=CARTESIAN_POINT('',(19.357516,22.941406,0.)); #495303=CARTESIAN_POINT('Origin',(19.355078,22.939075,0.)); #495304=CARTESIAN_POINT('',(19.355078,22.939075,0.)); #495305=CARTESIAN_POINT('',(19.355078,22.939075,0.)); #495306=CARTESIAN_POINT('',(19.355078,22.939075,0.035)); #495307=CARTESIAN_POINT('',(19.355078,22.939075,0.035)); #495308=CARTESIAN_POINT('',(19.355078,22.939075,0.)); #495309=CARTESIAN_POINT('Origin',(19.047278,22.657388,0.)); #495310=CARTESIAN_POINT('',(19.047278,22.657388,0.)); #495311=CARTESIAN_POINT('',(19.047278,22.657388,0.)); #495312=CARTESIAN_POINT('',(19.047278,22.657388,0.035)); #495313=CARTESIAN_POINT('',(19.047278,22.657388,0.035)); #495314=CARTESIAN_POINT('',(19.047278,22.657388,0.)); #495315=CARTESIAN_POINT('Origin',(19.025556,22.643434,0.)); #495316=CARTESIAN_POINT('',(19.025556,22.643434,0.)); #495317=CARTESIAN_POINT('',(19.025556,22.643434,0.)); #495318=CARTESIAN_POINT('',(19.025556,22.643434,0.035)); #495319=CARTESIAN_POINT('',(19.025556,22.643434,0.035)); #495320=CARTESIAN_POINT('',(19.025556,22.643434,0.)); #495321=CARTESIAN_POINT('Origin',(18.995834,22.6374,0.)); #495322=CARTESIAN_POINT('',(18.995834,22.6374,0.)); #495323=CARTESIAN_POINT('',(18.995834,22.6374,0.)); #495324=CARTESIAN_POINT('',(18.995834,22.6374,0.035)); #495325=CARTESIAN_POINT('',(18.995834,22.6374,0.035)); #495326=CARTESIAN_POINT('',(18.995834,22.6374,0.)); #495327=CARTESIAN_POINT('Origin',(18.669372,22.6374,0.)); #495328=CARTESIAN_POINT('',(18.669372,22.6374,0.)); #495329=CARTESIAN_POINT('',(18.669372,22.6374,0.)); #495330=CARTESIAN_POINT('',(18.669372,22.6374,0.035)); #495331=CARTESIAN_POINT('',(18.669372,22.6374,0.035)); #495332=CARTESIAN_POINT('',(18.669372,22.6374,0.)); #495333=CARTESIAN_POINT('Origin',(18.5801,22.548128,0.)); #495334=CARTESIAN_POINT('',(18.5801,22.548128,0.)); #495335=CARTESIAN_POINT('',(18.5801,22.548128,0.)); #495336=CARTESIAN_POINT('',(18.5801,22.548128,0.035)); #495337=CARTESIAN_POINT('',(18.5801,22.548128,0.035)); #495338=CARTESIAN_POINT('',(18.5801,22.548128,0.)); #495339=CARTESIAN_POINT('Origin',(18.5801,21.151872,0.)); #495340=CARTESIAN_POINT('',(18.5801,21.151872,0.)); #495341=CARTESIAN_POINT('',(18.5801,21.151872,0.)); #495342=CARTESIAN_POINT('',(18.5801,21.151872,0.035)); #495343=CARTESIAN_POINT('',(18.5801,21.151872,0.035)); #495344=CARTESIAN_POINT('',(18.5801,21.151872,0.)); #495345=CARTESIAN_POINT('Origin',(18.669372,21.0626,0.)); #495346=CARTESIAN_POINT('',(18.669372,21.0626,0.)); #495347=CARTESIAN_POINT('',(18.669372,21.0626,0.)); #495348=CARTESIAN_POINT('',(18.669372,21.0626,0.035)); #495349=CARTESIAN_POINT('',(18.669372,21.0626,0.035)); #495350=CARTESIAN_POINT('',(18.669372,21.0626,0.)); #495351=CARTESIAN_POINT('Origin',(18.6952,21.0626,0.)); #495352=CARTESIAN_POINT('',(18.6952,21.0626,0.)); #495353=CARTESIAN_POINT('',(18.6952,21.0626,0.)); #495354=CARTESIAN_POINT('',(18.6952,21.0626,0.035)); #495355=CARTESIAN_POINT('',(18.6952,21.0626,0.035)); #495356=CARTESIAN_POINT('',(18.6952,21.0626,0.)); #495357=CARTESIAN_POINT('Origin',(18.722669,21.057478,0.)); #495358=CARTESIAN_POINT('',(18.722669,21.057478,0.)); #495359=CARTESIAN_POINT('',(18.722669,21.057478,0.)); #495360=CARTESIAN_POINT('',(18.722669,21.057478,0.035)); #495361=CARTESIAN_POINT('',(18.722669,21.057478,0.035)); #495362=CARTESIAN_POINT('',(18.722669,21.057478,0.)); #495363=CARTESIAN_POINT('Origin',(18.748216,21.041134,0.)); #495364=CARTESIAN_POINT('',(18.748216,21.041134,0.)); #495365=CARTESIAN_POINT('',(18.748216,21.041134,0.)); #495366=CARTESIAN_POINT('',(18.748216,21.041134,0.035)); #495367=CARTESIAN_POINT('',(18.748216,21.041134,0.035)); #495368=CARTESIAN_POINT('',(18.748216,21.041134,0.)); #495369=CARTESIAN_POINT('Origin',(18.765366,21.016122,0.)); #495370=CARTESIAN_POINT('',(18.765366,21.016122,0.)); #495371=CARTESIAN_POINT('',(18.765366,21.016122,0.)); #495372=CARTESIAN_POINT('',(18.765366,21.016122,0.035)); #495373=CARTESIAN_POINT('',(18.765366,21.016122,0.035)); #495374=CARTESIAN_POINT('',(18.765366,21.016122,0.)); #495375=CARTESIAN_POINT('Origin',(18.7714,20.9864,0.)); #495376=CARTESIAN_POINT('',(18.7714,20.9864,0.)); #495377=CARTESIAN_POINT('',(18.7714,20.9864,0.)); #495378=CARTESIAN_POINT('',(18.7714,20.9864,0.035)); #495379=CARTESIAN_POINT('',(18.7714,20.9864,0.035)); #495380=CARTESIAN_POINT('',(18.7714,20.9864,0.)); #495381=CARTESIAN_POINT('Origin',(18.7714,20.842809,0.)); #495382=CARTESIAN_POINT('',(18.7714,20.842809,0.)); #495383=CARTESIAN_POINT('',(18.7714,20.842809,0.)); #495384=CARTESIAN_POINT('',(18.7714,20.842809,0.035)); #495385=CARTESIAN_POINT('',(18.7714,20.842809,0.035)); #495386=CARTESIAN_POINT('',(18.7714,20.842809,0.)); #495387=CARTESIAN_POINT('Origin',(18.887228,20.726981,0.)); #495388=CARTESIAN_POINT('',(18.887228,20.726981,0.)); #495389=CARTESIAN_POINT('',(18.887228,20.726981,0.)); #495390=CARTESIAN_POINT('',(18.887228,20.726981,0.035)); #495391=CARTESIAN_POINT('',(18.887228,20.726981,0.035)); #495392=CARTESIAN_POINT('',(18.887228,20.726981,0.)); #495393=CARTESIAN_POINT('Origin',(18.902528,20.705044,0.)); #495394=CARTESIAN_POINT('',(18.902528,20.705044,0.)); #495395=CARTESIAN_POINT('',(18.902528,20.705044,0.)); #495396=CARTESIAN_POINT('',(18.902528,20.705044,0.035)); #495397=CARTESIAN_POINT('',(18.902528,20.705044,0.035)); #495398=CARTESIAN_POINT('',(18.902528,20.705044,0.)); #495399=CARTESIAN_POINT('Origin',(18.909509,20.675528,0.)); #495400=CARTESIAN_POINT('',(18.909509,20.675528,0.)); #495401=CARTESIAN_POINT('',(18.909509,20.675528,0.)); #495402=CARTESIAN_POINT('',(18.909509,20.675528,0.035)); #495403=CARTESIAN_POINT('',(18.909509,20.675528,0.035)); #495404=CARTESIAN_POINT('',(18.909509,20.675528,0.)); #495405=CARTESIAN_POINT('Origin',(18.904425,20.645631,0.)); #495406=CARTESIAN_POINT('',(18.904425,20.645631,0.)); #495407=CARTESIAN_POINT('',(18.904425,20.645631,0.)); #495408=CARTESIAN_POINT('',(18.904425,20.645631,0.035)); #495409=CARTESIAN_POINT('',(18.904425,20.645631,0.035)); #495410=CARTESIAN_POINT('',(18.904425,20.645631,0.)); #495411=CARTESIAN_POINT('Origin',(18.888081,20.620084,0.)); #495412=CARTESIAN_POINT('',(18.888081,20.620084,0.)); #495413=CARTESIAN_POINT('',(18.888081,20.620084,0.)); #495414=CARTESIAN_POINT('',(18.888081,20.620084,0.035)); #495415=CARTESIAN_POINT('',(18.888081,20.620084,0.035)); #495416=CARTESIAN_POINT('',(18.888081,20.620084,0.)); #495417=CARTESIAN_POINT('Origin',(18.863069,20.602934,0.)); #495418=CARTESIAN_POINT('',(18.863069,20.602934,0.)); #495419=CARTESIAN_POINT('',(18.863069,20.602934,0.)); #495420=CARTESIAN_POINT('',(18.863069,20.602934,0.035)); #495421=CARTESIAN_POINT('',(18.863069,20.602934,0.035)); #495422=CARTESIAN_POINT('',(18.863069,20.602934,0.)); #495423=CARTESIAN_POINT('Origin',(18.833347,20.5969,0.)); #495424=CARTESIAN_POINT('',(18.833347,20.5969,0.)); #495425=CARTESIAN_POINT('',(18.833347,20.5969,0.)); #495426=CARTESIAN_POINT('',(18.833347,20.5969,0.035)); #495427=CARTESIAN_POINT('',(18.833347,20.5969,0.035)); #495428=CARTESIAN_POINT('',(18.833347,20.5969,0.)); #495429=CARTESIAN_POINT('Origin',(18.755772,20.5969,0.)); #495430=CARTESIAN_POINT('',(18.755772,20.5969,0.)); #495431=CARTESIAN_POINT('',(18.755772,20.5969,0.)); #495432=CARTESIAN_POINT('',(18.755772,20.5969,0.035)); #495433=CARTESIAN_POINT('',(18.755772,20.5969,0.035)); #495434=CARTESIAN_POINT('',(18.755772,20.5969,0.)); #495435=CARTESIAN_POINT('Origin',(18.6665,20.507628,0.)); #495436=CARTESIAN_POINT('',(18.6665,20.507628,0.)); #495437=CARTESIAN_POINT('',(18.6665,20.507628,0.)); #495438=CARTESIAN_POINT('',(18.6665,20.507628,0.035)); #495439=CARTESIAN_POINT('',(18.6665,20.507628,0.035)); #495440=CARTESIAN_POINT('',(18.6665,20.507628,0.)); #495441=CARTESIAN_POINT('Origin',(18.6665,19.492372,0.)); #495442=CARTESIAN_POINT('',(18.6665,19.492372,0.)); #495443=CARTESIAN_POINT('',(18.6665,19.492372,0.)); #495444=CARTESIAN_POINT('',(18.6665,19.492372,0.035)); #495445=CARTESIAN_POINT('',(18.6665,19.492372,0.035)); #495446=CARTESIAN_POINT('',(18.6665,19.492372,0.)); #495447=CARTESIAN_POINT('Origin',(18.755772,19.4031,0.)); #495448=CARTESIAN_POINT('',(18.755772,19.4031,0.)); #495449=CARTESIAN_POINT('',(18.755772,19.4031,0.)); #495450=CARTESIAN_POINT('',(18.755772,19.4031,0.035)); #495451=CARTESIAN_POINT('',(18.755772,19.4031,0.035)); #495452=CARTESIAN_POINT('',(18.755772,19.4031,0.)); #495453=CARTESIAN_POINT('Origin',(19.720228,19.4031,0.)); #495454=CARTESIAN_POINT('',(19.720228,19.4031,0.)); #495455=CARTESIAN_POINT('',(19.720228,19.4031,0.)); #495456=CARTESIAN_POINT('',(19.720228,19.4031,0.035)); #495457=CARTESIAN_POINT('',(19.720228,19.4031,0.035)); #495458=CARTESIAN_POINT('',(19.720228,19.4031,0.)); #495459=CARTESIAN_POINT('Origin',(19.8095,19.492372,0.)); #495460=CARTESIAN_POINT('',(19.8095,19.492372,0.)); #495461=CARTESIAN_POINT('',(19.8095,19.492372,0.)); #495462=CARTESIAN_POINT('',(19.8095,19.492372,0.035)); #495463=CARTESIAN_POINT('',(19.8095,19.492372,0.035)); #495464=CARTESIAN_POINT('',(19.8095,19.492372,0.)); #495465=CARTESIAN_POINT('Origin',(19.8095,20.507628,0.)); #495466=CARTESIAN_POINT('',(19.8095,20.507628,0.)); #495467=CARTESIAN_POINT('',(19.8095,20.507628,0.)); #495468=CARTESIAN_POINT('',(19.8095,20.507628,0.035)); #495469=CARTESIAN_POINT('',(19.8095,20.507628,0.035)); #495470=CARTESIAN_POINT('',(19.8095,20.507628,0.)); #495471=CARTESIAN_POINT('Origin',(19.720228,20.5969,0.)); #495472=CARTESIAN_POINT('',(19.720228,20.5969,0.)); #495473=CARTESIAN_POINT('',(19.720228,20.5969,0.)); #495474=CARTESIAN_POINT('',(19.720228,20.5969,0.035)); #495475=CARTESIAN_POINT('',(19.720228,20.5969,0.035)); #495476=CARTESIAN_POINT('',(19.720228,20.5969,0.)); #495477=CARTESIAN_POINT('Origin',(19.5428,20.5969,0.)); #495478=CARTESIAN_POINT('',(19.5428,20.5969,0.)); #495479=CARTESIAN_POINT('',(19.5428,20.5969,0.)); #495480=CARTESIAN_POINT('',(19.5428,20.5969,0.035)); #495481=CARTESIAN_POINT('',(19.5428,20.5969,0.035)); #495482=CARTESIAN_POINT('',(19.5428,20.5969,0.)); #495483=CARTESIAN_POINT('Origin',(19.515331,20.602022,0.)); #495484=CARTESIAN_POINT('',(19.515331,20.602022,0.)); #495485=CARTESIAN_POINT('',(19.515331,20.602022,0.)); #495486=CARTESIAN_POINT('',(19.515331,20.602022,0.035)); #495487=CARTESIAN_POINT('',(19.515331,20.602022,0.035)); #495488=CARTESIAN_POINT('',(19.515331,20.602022,0.)); #495489=CARTESIAN_POINT('Origin',(19.489784,20.618366,0.)); #495490=CARTESIAN_POINT('',(19.489784,20.618366,0.)); #495491=CARTESIAN_POINT('',(19.489784,20.618366,0.)); #495492=CARTESIAN_POINT('',(19.489784,20.618366,0.035)); #495493=CARTESIAN_POINT('',(19.489784,20.618366,0.035)); #495494=CARTESIAN_POINT('',(19.489784,20.618366,0.)); #495495=CARTESIAN_POINT('Origin',(19.472634,20.643378,0.)); #495496=CARTESIAN_POINT('',(19.472634,20.643378,0.)); #495497=CARTESIAN_POINT('',(19.472634,20.643378,0.)); #495498=CARTESIAN_POINT('',(19.472634,20.643378,0.035)); #495499=CARTESIAN_POINT('',(19.472634,20.643378,0.035)); #495500=CARTESIAN_POINT('',(19.472634,20.643378,0.)); #495501=CARTESIAN_POINT('Origin',(19.4666,20.6731,0.)); #495502=CARTESIAN_POINT('',(19.4666,20.6731,0.)); #495503=CARTESIAN_POINT('',(19.4666,20.6731,0.)); #495504=CARTESIAN_POINT('',(19.4666,20.6731,0.035)); #495505=CARTESIAN_POINT('',(19.4666,20.6731,0.035)); #495506=CARTESIAN_POINT('',(19.4666,20.6731,0.)); #495507=CARTESIAN_POINT('Origin',(19.4666,20.794191,0.)); #495508=CARTESIAN_POINT('',(19.4666,20.794191,0.)); #495509=CARTESIAN_POINT('',(19.4666,20.794191,0.)); #495510=CARTESIAN_POINT('',(19.4666,20.794191,0.035)); #495511=CARTESIAN_POINT('',(19.4666,20.794191,0.035)); #495512=CARTESIAN_POINT('',(19.4666,20.794191,0.)); #495513=CARTESIAN_POINT('Origin',(19.328272,20.932519,0.)); #495514=CARTESIAN_POINT('',(19.328272,20.932519,0.)); #495515=CARTESIAN_POINT('',(19.328272,20.932519,0.)); #495516=CARTESIAN_POINT('',(19.328272,20.932519,0.035)); #495517=CARTESIAN_POINT('',(19.328272,20.932519,0.035)); #495518=CARTESIAN_POINT('',(19.328272,20.932519,0.)); #495519=CARTESIAN_POINT('Origin',(19.312972,20.954456,0.)); #495520=CARTESIAN_POINT('',(19.312972,20.954456,0.)); #495521=CARTESIAN_POINT('',(19.312972,20.954456,0.)); #495522=CARTESIAN_POINT('',(19.312972,20.954456,0.035)); #495523=CARTESIAN_POINT('',(19.312972,20.954456,0.035)); #495524=CARTESIAN_POINT('',(19.312972,20.954456,0.)); #495525=CARTESIAN_POINT('Origin',(19.305991,20.983972,0.)); #495526=CARTESIAN_POINT('',(19.305991,20.983972,0.)); #495527=CARTESIAN_POINT('',(19.305991,20.983972,0.)); #495528=CARTESIAN_POINT('',(19.305991,20.983972,0.035)); #495529=CARTESIAN_POINT('',(19.305991,20.983972,0.035)); #495530=CARTESIAN_POINT('',(19.305991,20.983972,0.)); #495531=CARTESIAN_POINT('Origin',(19.311075,21.013869,0.)); #495532=CARTESIAN_POINT('',(19.311075,21.013869,0.)); #495533=CARTESIAN_POINT('',(19.311075,21.013869,0.)); #495534=CARTESIAN_POINT('',(19.311075,21.013869,0.035)); #495535=CARTESIAN_POINT('',(19.311075,21.013869,0.035)); #495536=CARTESIAN_POINT('',(19.311075,21.013869,0.)); #495537=CARTESIAN_POINT('Origin',(19.327419,21.039416,0.)); #495538=CARTESIAN_POINT('',(19.327419,21.039416,0.)); #495539=CARTESIAN_POINT('',(19.327419,21.039416,0.)); #495540=CARTESIAN_POINT('',(19.327419,21.039416,0.035)); #495541=CARTESIAN_POINT('',(19.327419,21.039416,0.035)); #495542=CARTESIAN_POINT('',(19.327419,21.039416,0.)); #495543=CARTESIAN_POINT('Origin',(19.352431,21.056566,0.)); #495544=CARTESIAN_POINT('',(19.352431,21.056566,0.)); #495545=CARTESIAN_POINT('',(19.352431,21.056566,0.)); #495546=CARTESIAN_POINT('',(19.352431,21.056566,0.035)); #495547=CARTESIAN_POINT('',(19.352431,21.056566,0.035)); #495548=CARTESIAN_POINT('',(19.352431,21.056566,0.)); #495549=CARTESIAN_POINT('Origin',(19.382153,21.0626,0.)); #495550=CARTESIAN_POINT('',(19.382153,21.0626,0.)); #495551=CARTESIAN_POINT('',(19.382153,21.0626,0.)); #495552=CARTESIAN_POINT('',(19.382153,21.0626,0.035)); #495553=CARTESIAN_POINT('',(19.382153,21.0626,0.035)); #495554=CARTESIAN_POINT('',(19.382153,21.0626,0.)); #495555=CARTESIAN_POINT('Origin',(19.430628,21.0626,0.)); #495556=CARTESIAN_POINT('',(19.430628,21.0626,0.)); #495557=CARTESIAN_POINT('',(19.430628,21.0626,0.)); #495558=CARTESIAN_POINT('',(19.430628,21.0626,0.035)); #495559=CARTESIAN_POINT('',(19.430628,21.0626,0.035)); #495560=CARTESIAN_POINT('',(19.430628,21.0626,0.)); #495561=CARTESIAN_POINT('Origin',(19.5199,21.151872,0.)); #495562=CARTESIAN_POINT('',(19.5199,21.151872,0.)); #495563=CARTESIAN_POINT('',(19.5199,21.151872,0.)); #495564=CARTESIAN_POINT('',(19.5199,21.151872,0.035)); #495565=CARTESIAN_POINT('',(19.5199,21.151872,0.035)); #495566=CARTESIAN_POINT('',(19.5199,21.151872,0.)); #495567=CARTESIAN_POINT('Origin',(19.5199,22.436597,0.)); #495568=CARTESIAN_POINT('',(19.5199,22.436597,0.)); #495569=CARTESIAN_POINT('',(19.5199,22.436597,0.)); #495570=CARTESIAN_POINT('',(19.5199,22.436597,0.035)); #495571=CARTESIAN_POINT('',(19.5199,22.436597,0.035)); #495572=CARTESIAN_POINT('',(19.5199,22.436597,0.)); #495573=CARTESIAN_POINT('Origin',(19.526806,22.468294,0.)); #495574=CARTESIAN_POINT('',(19.526806,22.468294,0.)); #495575=CARTESIAN_POINT('',(19.526806,22.468294,0.)); #495576=CARTESIAN_POINT('',(19.526806,22.468294,0.035)); #495577=CARTESIAN_POINT('',(19.526806,22.468294,0.035)); #495578=CARTESIAN_POINT('',(19.526806,22.468294,0.)); #495579=CARTESIAN_POINT('Origin',(19.544656,22.492809,0.)); #495580=CARTESIAN_POINT('',(19.544656,22.492809,0.)); #495581=CARTESIAN_POINT('',(19.544656,22.492809,0.)); #495582=CARTESIAN_POINT('',(19.544656,22.492809,0.035)); #495583=CARTESIAN_POINT('',(19.544656,22.492809,0.035)); #495584=CARTESIAN_POINT('',(19.544656,22.492809,0.)); #495585=CARTESIAN_POINT('Origin',(19.581375,22.526413,0.)); #495586=CARTESIAN_POINT('',(19.581375,22.526413,0.)); #495587=CARTESIAN_POINT('',(19.581375,22.526413,0.)); #495588=CARTESIAN_POINT('',(19.581375,22.526413,0.035)); #495589=CARTESIAN_POINT('',(19.581375,22.526413,0.035)); #495590=CARTESIAN_POINT('',(19.581375,22.526413,0.)); #495591=CARTESIAN_POINT('Origin',(19.603097,22.540366,0.)); #495592=CARTESIAN_POINT('',(19.603097,22.540366,0.)); #495593=CARTESIAN_POINT('',(19.603097,22.540366,0.)); #495594=CARTESIAN_POINT('',(19.603097,22.540366,0.035)); #495595=CARTESIAN_POINT('',(19.603097,22.540366,0.035)); #495596=CARTESIAN_POINT('',(19.603097,22.540366,0.)); #495597=CARTESIAN_POINT('Origin',(19.632819,22.5464,0.)); #495598=CARTESIAN_POINT('',(19.632819,22.5464,0.)); #495599=CARTESIAN_POINT('',(19.632819,22.5464,0.)); #495600=CARTESIAN_POINT('',(19.632819,22.5464,0.035)); #495601=CARTESIAN_POINT('',(19.632819,22.5464,0.035)); #495602=CARTESIAN_POINT('',(19.632819,22.5464,0.)); #495603=CARTESIAN_POINT('Origin',(20.4039,22.5464,0.)); #495604=CARTESIAN_POINT('',(20.4039,22.5464,0.)); #495605=CARTESIAN_POINT('',(20.4039,22.5464,0.)); #495606=CARTESIAN_POINT('',(20.4039,22.5464,0.035)); #495607=CARTESIAN_POINT('',(20.4039,22.5464,0.035)); #495608=CARTESIAN_POINT('',(20.4039,22.5464,0.)); #495609=CARTESIAN_POINT('Origin',(20.431369,22.541278,0.)); #495610=CARTESIAN_POINT('',(20.431369,22.541278,0.)); #495611=CARTESIAN_POINT('',(20.431369,22.541278,0.)); #495612=CARTESIAN_POINT('',(20.431369,22.541278,0.035)); #495613=CARTESIAN_POINT('',(20.431369,22.541278,0.035)); #495614=CARTESIAN_POINT('',(20.431369,22.541278,0.)); #495615=CARTESIAN_POINT('Origin',(20.456916,22.524934,0.)); #495616=CARTESIAN_POINT('',(20.456916,22.524934,0.)); #495617=CARTESIAN_POINT('',(20.456916,22.524934,0.)); #495618=CARTESIAN_POINT('',(20.456916,22.524934,0.035)); #495619=CARTESIAN_POINT('',(20.456916,22.524934,0.035)); #495620=CARTESIAN_POINT('',(20.456916,22.524934,0.)); #495621=CARTESIAN_POINT('Origin',(20.474066,22.499922,0.)); #495622=CARTESIAN_POINT('',(20.474066,22.499922,0.)); #495623=CARTESIAN_POINT('',(20.474066,22.499922,0.)); #495624=CARTESIAN_POINT('',(20.474066,22.499922,0.035)); #495625=CARTESIAN_POINT('',(20.474066,22.499922,0.035)); #495626=CARTESIAN_POINT('',(20.474066,22.499922,0.)); #495627=CARTESIAN_POINT('Origin',(20.4801,22.4702,0.)); #495628=CARTESIAN_POINT('',(20.4801,22.4702,0.)); #495629=CARTESIAN_POINT('',(20.4801,22.4702,0.)); #495630=CARTESIAN_POINT('',(20.4801,22.4702,0.035)); #495631=CARTESIAN_POINT('',(20.4801,22.4702,0.035)); #495632=CARTESIAN_POINT('',(20.4801,22.4702,0.)); #495633=CARTESIAN_POINT('Origin',(20.4801,21.151872,0.)); #495634=CARTESIAN_POINT('',(20.4801,21.151872,0.)); #495635=CARTESIAN_POINT('',(20.4801,21.151872,0.)); #495636=CARTESIAN_POINT('',(20.4801,21.151872,0.035)); #495637=CARTESIAN_POINT('',(20.4801,21.151872,0.035)); #495638=CARTESIAN_POINT('',(20.4801,21.151872,0.)); #495639=CARTESIAN_POINT('Origin',(20.569372,21.0626,0.)); #495640=CARTESIAN_POINT('',(20.569372,21.0626,0.)); #495641=CARTESIAN_POINT('',(20.569372,21.0626,0.)); #495642=CARTESIAN_POINT('',(20.569372,21.0626,0.035)); #495643=CARTESIAN_POINT('',(20.569372,21.0626,0.035)); #495644=CARTESIAN_POINT('',(20.569372,21.0626,0.)); #495645=CARTESIAN_POINT('Origin',(21.330628,21.0626,0.)); #495646=CARTESIAN_POINT('',(21.330628,21.0626,0.)); #495647=CARTESIAN_POINT('',(21.330628,21.0626,0.)); #495648=CARTESIAN_POINT('',(21.330628,21.0626,0.035)); #495649=CARTESIAN_POINT('',(21.330628,21.0626,0.035)); #495650=CARTESIAN_POINT('',(21.330628,21.0626,0.)); #495651=CARTESIAN_POINT('Origin',(21.4199,21.151872,0.)); #495652=CARTESIAN_POINT('',(21.4199,21.151872,0.)); #495653=CARTESIAN_POINT('',(21.4199,21.151872,0.)); #495654=CARTESIAN_POINT('',(21.4199,21.151872,0.035)); #495655=CARTESIAN_POINT('',(21.4199,21.151872,0.035)); #495656=CARTESIAN_POINT('',(21.4199,21.151872,0.)); #495657=CARTESIAN_POINT('Origin',(21.4199,22.548128,0.)); #495658=CARTESIAN_POINT('',(21.4199,22.548128,0.)); #495659=CARTESIAN_POINT('',(21.4199,22.548128,0.)); #495660=CARTESIAN_POINT('',(21.4199,22.548128,0.035)); #495661=CARTESIAN_POINT('',(21.4199,22.548128,0.035)); #495662=CARTESIAN_POINT('',(21.4199,22.548128,0.)); #495663=CARTESIAN_POINT('Origin',(21.326709,22.641319,0.)); #495664=CARTESIAN_POINT('',(21.326709,22.641319,0.)); #495665=CARTESIAN_POINT('',(21.326709,22.641319,0.)); #495666=CARTESIAN_POINT('',(21.326709,22.641319,0.035)); #495667=CARTESIAN_POINT('',(21.326709,22.641319,0.035)); #495668=CARTESIAN_POINT('',(21.326709,22.641319,0.)); #495669=CARTESIAN_POINT('Origin',(21.311409,22.663256,0.)); #495670=CARTESIAN_POINT('',(21.311409,22.663256,0.)); #495671=CARTESIAN_POINT('',(21.311409,22.663256,0.)); #495672=CARTESIAN_POINT('',(21.311409,22.663256,0.035)); #495673=CARTESIAN_POINT('',(21.311409,22.663256,0.035)); #495674=CARTESIAN_POINT('',(21.311409,22.663256,0.)); #495675=CARTESIAN_POINT('Origin',(21.304428,22.692772,0.)); #495676=CARTESIAN_POINT('',(21.304428,22.692772,0.)); #495677=CARTESIAN_POINT('',(21.304428,22.692772,0.)); #495678=CARTESIAN_POINT('',(21.304428,22.692772,0.035)); #495679=CARTESIAN_POINT('',(21.304428,22.692772,0.035)); #495680=CARTESIAN_POINT('',(21.304428,22.692772,0.)); #495681=CARTESIAN_POINT('Origin',(21.309513,22.722669,0.)); #495682=CARTESIAN_POINT('',(21.309513,22.722669,0.)); #495683=CARTESIAN_POINT('',(21.309513,22.722669,0.)); #495684=CARTESIAN_POINT('',(21.309513,22.722669,0.035)); #495685=CARTESIAN_POINT('',(21.309513,22.722669,0.035)); #495686=CARTESIAN_POINT('',(21.309513,22.722669,0.)); #495687=CARTESIAN_POINT('Origin',(21.325856,22.748216,0.)); #495688=CARTESIAN_POINT('',(21.325856,22.748216,0.)); #495689=CARTESIAN_POINT('',(21.325856,22.748216,0.)); #495690=CARTESIAN_POINT('',(21.325856,22.748216,0.035)); #495691=CARTESIAN_POINT('',(21.325856,22.748216,0.035)); #495692=CARTESIAN_POINT('',(21.325856,22.748216,0.)); #495693=CARTESIAN_POINT('Origin',(21.350869,22.765366,0.)); #495694=CARTESIAN_POINT('',(21.350869,22.765366,0.)); #495695=CARTESIAN_POINT('',(21.350869,22.765366,0.)); #495696=CARTESIAN_POINT('',(21.350869,22.765366,0.035)); #495697=CARTESIAN_POINT('',(21.350869,22.765366,0.035)); #495698=CARTESIAN_POINT('',(21.350869,22.765366,0.)); #495699=CARTESIAN_POINT('Origin',(21.380591,22.7714,0.)); #495700=CARTESIAN_POINT('',(21.380591,22.7714,0.)); #495701=CARTESIAN_POINT('',(21.380591,22.7714,0.)); #495702=CARTESIAN_POINT('',(21.380591,22.7714,0.035)); #495703=CARTESIAN_POINT('',(21.380591,22.7714,0.035)); #495704=CARTESIAN_POINT('',(21.380591,22.7714,0.)); #495705=CARTESIAN_POINT('Origin',(25.5903,22.7714,0.)); #495706=CARTESIAN_POINT('',(25.5903,22.7714,0.)); #495707=CARTESIAN_POINT('',(25.5903,22.7714,0.)); #495708=CARTESIAN_POINT('',(25.5903,22.7714,0.035)); #495709=CARTESIAN_POINT('',(25.5903,22.7714,0.035)); #495710=CARTESIAN_POINT('',(25.5903,22.7714,0.)); #495711=CARTESIAN_POINT('Origin',(25.617769,22.766278,0.)); #495712=CARTESIAN_POINT('',(25.617769,22.766278,0.)); #495713=CARTESIAN_POINT('',(25.617769,22.766278,0.)); #495714=CARTESIAN_POINT('',(25.617769,22.766278,0.035)); #495715=CARTESIAN_POINT('',(25.617769,22.766278,0.035)); #495716=CARTESIAN_POINT('',(25.617769,22.766278,0.)); #495717=CARTESIAN_POINT('Origin',(25.643316,22.749934,0.)); #495718=CARTESIAN_POINT('',(25.643316,22.749934,0.)); #495719=CARTESIAN_POINT('',(25.643316,22.749934,0.)); #495720=CARTESIAN_POINT('',(25.643316,22.749934,0.035)); #495721=CARTESIAN_POINT('',(25.643316,22.749934,0.035)); #495722=CARTESIAN_POINT('',(25.643316,22.749934,0.)); #495723=CARTESIAN_POINT('Origin',(25.660466,22.724922,0.)); #495724=CARTESIAN_POINT('',(25.660466,22.724922,0.)); #495725=CARTESIAN_POINT('',(25.660466,22.724922,0.)); #495726=CARTESIAN_POINT('',(25.660466,22.724922,0.035)); #495727=CARTESIAN_POINT('',(25.660466,22.724922,0.035)); #495728=CARTESIAN_POINT('',(25.660466,22.724922,0.)); #495729=CARTESIAN_POINT('Origin',(25.6665,22.6952,0.)); #495730=CARTESIAN_POINT('',(25.6665,22.6952,0.)); #495731=CARTESIAN_POINT('',(25.6665,22.6952,0.)); #495732=CARTESIAN_POINT('',(25.6665,22.6952,0.035)); #495733=CARTESIAN_POINT('',(25.6665,22.6952,0.035)); #495734=CARTESIAN_POINT('',(25.6665,22.6952,0.)); #495735=CARTESIAN_POINT('Origin',(25.6665,22.492372,0.)); #495736=CARTESIAN_POINT('',(25.6665,22.492372,0.)); #495737=CARTESIAN_POINT('',(25.6665,22.492372,0.)); #495738=CARTESIAN_POINT('',(25.6665,22.492372,0.035)); #495739=CARTESIAN_POINT('',(25.6665,22.492372,0.035)); #495740=CARTESIAN_POINT('',(25.6665,22.492372,0.)); #495741=CARTESIAN_POINT('Origin',(25.755772,22.4031,0.)); #495742=CARTESIAN_POINT('',(25.755772,22.4031,0.)); #495743=CARTESIAN_POINT('',(25.755772,22.4031,0.)); #495744=CARTESIAN_POINT('',(25.755772,22.4031,0.035)); #495745=CARTESIAN_POINT('',(25.755772,22.4031,0.035)); #495746=CARTESIAN_POINT('',(25.755772,22.4031,0.)); #495747=CARTESIAN_POINT('Origin',(26.464059,22.4031,0.)); #495748=CARTESIAN_POINT('',(26.464059,22.4031,0.)); #495749=CARTESIAN_POINT('',(26.464059,22.4031,0.)); #495750=CARTESIAN_POINT('',(26.464059,22.4031,0.035)); #495751=CARTESIAN_POINT('',(26.464059,22.4031,0.035)); #495752=CARTESIAN_POINT('',(26.464059,22.4031,0.)); #495753=CARTESIAN_POINT('Origin',(26.493903,22.397013,0.)); #495754=CARTESIAN_POINT('',(26.493903,22.397013,0.)); #495755=CARTESIAN_POINT('',(26.493903,22.397013,0.)); #495756=CARTESIAN_POINT('',(26.493903,22.397013,0.035)); #495757=CARTESIAN_POINT('',(26.493903,22.397013,0.035)); #495758=CARTESIAN_POINT('',(26.493903,22.397013,0.)); #495759=CARTESIAN_POINT('Origin',(26.518888,22.379819,0.)); #495760=CARTESIAN_POINT('',(26.518888,22.379819,0.)); #495761=CARTESIAN_POINT('',(26.518888,22.379819,0.)); #495762=CARTESIAN_POINT('',(26.518888,22.379819,0.035)); #495763=CARTESIAN_POINT('',(26.518888,22.379819,0.035)); #495764=CARTESIAN_POINT('',(26.518888,22.379819,0.)); #495765=CARTESIAN_POINT('Origin',(26.711928,22.179819,0.)); #495766=CARTESIAN_POINT('',(26.711928,22.179819,0.)); #495767=CARTESIAN_POINT('',(26.711928,22.179819,0.)); #495768=CARTESIAN_POINT('',(26.711928,22.179819,0.035)); #495769=CARTESIAN_POINT('',(26.711928,22.179819,0.035)); #495770=CARTESIAN_POINT('',(26.711928,22.179819,0.)); #495771=CARTESIAN_POINT('Origin',(26.71805,22.170559,0.)); #495772=CARTESIAN_POINT('',(26.71805,22.170559,0.)); #495773=CARTESIAN_POINT('',(26.71805,22.170559,0.)); #495774=CARTESIAN_POINT('',(26.71805,22.170559,0.035)); #495775=CARTESIAN_POINT('',(26.71805,22.170559,0.035)); #495776=CARTESIAN_POINT('',(26.71805,22.170559,0.)); #495777=CARTESIAN_POINT('Origin',(26.798431,22.090178,0.)); #495778=CARTESIAN_POINT('',(26.798431,22.090178,0.)); #495779=CARTESIAN_POINT('',(26.798431,22.090178,0.)); #495780=CARTESIAN_POINT('',(26.798431,22.090178,0.035)); #495781=CARTESIAN_POINT('',(26.798431,22.090178,0.035)); #495782=CARTESIAN_POINT('',(26.798431,22.090178,0.)); #495783=CARTESIAN_POINT('Origin',(26.799378,22.089216,0.)); #495784=CARTESIAN_POINT('',(26.799378,22.089216,0.)); #495785=CARTESIAN_POINT('',(26.799378,22.089216,0.)); #495786=CARTESIAN_POINT('',(26.799378,22.089216,0.035)); #495787=CARTESIAN_POINT('',(26.799378,22.089216,0.035)); #495788=CARTESIAN_POINT('',(26.799378,22.089216,0.)); #495789=CARTESIAN_POINT('Origin',(26.864834,22.0214,0.)); #495790=CARTESIAN_POINT('',(26.864834,22.0214,0.)); #495791=CARTESIAN_POINT('',(26.864834,22.0214,0.)); #495792=CARTESIAN_POINT('',(26.864834,22.0214,0.035)); #495793=CARTESIAN_POINT('',(26.864834,22.0214,0.035)); #495794=CARTESIAN_POINT('',(26.864834,22.0214,0.)); #495795=CARTESIAN_POINT('Origin',(26.959238,22.0214,0.)); #495796=CARTESIAN_POINT('',(26.959238,22.0214,0.)); #495797=CARTESIAN_POINT('',(26.959238,22.0214,0.)); #495798=CARTESIAN_POINT('',(26.959238,22.0214,0.035)); #495799=CARTESIAN_POINT('',(26.959238,22.0214,0.035)); #495800=CARTESIAN_POINT('',(26.959238,22.0214,0.)); #495801=CARTESIAN_POINT('Origin',(26.960588,22.021387,0.)); #495802=CARTESIAN_POINT('',(26.960588,22.021387,0.)); #495803=CARTESIAN_POINT('',(26.960588,22.021387,0.)); #495804=CARTESIAN_POINT('',(26.960588,22.021387,0.035)); #495805=CARTESIAN_POINT('',(26.960588,22.021387,0.035)); #495806=CARTESIAN_POINT('',(26.960588,22.021387,0.)); #495807=CARTESIAN_POINT('Origin',(27.074959,22.019362,0.)); #495808=CARTESIAN_POINT('',(27.074959,22.019362,0.)); #495809=CARTESIAN_POINT('',(27.074959,22.019362,0.)); #495810=CARTESIAN_POINT('',(27.074959,22.019362,0.035)); #495811=CARTESIAN_POINT('',(27.074959,22.019362,0.035)); #495812=CARTESIAN_POINT('',(27.074959,22.019362,0.)); #495813=CARTESIAN_POINT('Origin',(27.085,22.0214,0.)); #495814=CARTESIAN_POINT('',(27.085,22.0214,0.)); #495815=CARTESIAN_POINT('',(27.085,22.0214,0.)); #495816=CARTESIAN_POINT('',(27.085,22.0214,0.035)); #495817=CARTESIAN_POINT('',(27.085,22.0214,0.035)); #495818=CARTESIAN_POINT('',(27.085,22.0214,0.)); #495819=CARTESIAN_POINT('Origin',(27.639147,22.0214,0.)); #495820=CARTESIAN_POINT('',(27.639147,22.0214,0.)); #495821=CARTESIAN_POINT('',(27.639147,22.0214,0.)); #495822=CARTESIAN_POINT('',(27.639147,22.0214,0.035)); #495823=CARTESIAN_POINT('',(27.639147,22.0214,0.035)); #495824=CARTESIAN_POINT('',(27.639147,22.0214,0.)); #495825=CARTESIAN_POINT('Origin',(27.665478,22.016706,0.)); #495826=CARTESIAN_POINT('',(27.665478,22.016706,0.)); #495827=CARTESIAN_POINT('',(27.665478,22.016706,0.)); #495828=CARTESIAN_POINT('',(27.665478,22.016706,0.035)); #495829=CARTESIAN_POINT('',(27.665478,22.016706,0.035)); #495830=CARTESIAN_POINT('',(27.665478,22.016706,0.)); #495831=CARTESIAN_POINT('Origin',(27.691284,22.000772,0.)); #495832=CARTESIAN_POINT('',(27.691284,22.000772,0.)); #495833=CARTESIAN_POINT('',(27.691284,22.000772,0.)); #495834=CARTESIAN_POINT('',(27.691284,22.000772,0.035)); #495835=CARTESIAN_POINT('',(27.691284,22.000772,0.035)); #495836=CARTESIAN_POINT('',(27.691284,22.000772,0.)); #495837=CARTESIAN_POINT('Origin',(27.708828,21.976034,0.)); #495838=CARTESIAN_POINT('',(27.708828,21.976034,0.)); #495839=CARTESIAN_POINT('',(27.708828,21.976034,0.)); #495840=CARTESIAN_POINT('',(27.708828,21.976034,0.035)); #495841=CARTESIAN_POINT('',(27.708828,21.976034,0.035)); #495842=CARTESIAN_POINT('',(27.708828,21.976034,0.)); #495843=CARTESIAN_POINT('Origin',(27.715338,21.946416,0.)); #495844=CARTESIAN_POINT('',(27.715338,21.946416,0.)); #495845=CARTESIAN_POINT('',(27.715338,21.946416,0.)); #495846=CARTESIAN_POINT('',(27.715338,21.946416,0.035)); #495847=CARTESIAN_POINT('',(27.715338,21.946416,0.035)); #495848=CARTESIAN_POINT('',(27.715338,21.946416,0.)); #495849=CARTESIAN_POINT('Origin',(27.709778,21.916603,0.)); #495850=CARTESIAN_POINT('',(27.709778,21.916603,0.)); #495851=CARTESIAN_POINT('',(27.709778,21.916603,0.)); #495852=CARTESIAN_POINT('',(27.709778,21.916603,0.035)); #495853=CARTESIAN_POINT('',(27.709778,21.916603,0.035)); #495854=CARTESIAN_POINT('',(27.709778,21.916603,0.)); #495855=CARTESIAN_POINT('Origin',(27.693028,21.891319,0.)); #495856=CARTESIAN_POINT('',(27.693028,21.891319,0.)); #495857=CARTESIAN_POINT('',(27.693028,21.891319,0.)); #495858=CARTESIAN_POINT('',(27.693028,21.891319,0.035)); #495859=CARTESIAN_POINT('',(27.693028,21.891319,0.035)); #495860=CARTESIAN_POINT('',(27.693028,21.891319,0.)); #495861=CARTESIAN_POINT('Origin',(26.7714,20.969691,0.)); #495862=CARTESIAN_POINT('',(26.7714,20.969691,0.)); #495863=CARTESIAN_POINT('',(26.7714,20.969691,0.)); #495864=CARTESIAN_POINT('',(26.7714,20.969691,0.035)); #495865=CARTESIAN_POINT('',(26.7714,20.969691,0.035)); #495866=CARTESIAN_POINT('',(26.7714,20.969691,0.)); #495867=CARTESIAN_POINT('Origin',(26.7714,19.6731,0.)); #495868=CARTESIAN_POINT('',(26.7714,19.6731,0.)); #495869=CARTESIAN_POINT('',(26.7714,19.6731,0.)); #495870=CARTESIAN_POINT('',(26.7714,19.6731,0.035)); #495871=CARTESIAN_POINT('',(26.7714,19.6731,0.035)); #495872=CARTESIAN_POINT('',(26.7714,19.6731,0.)); #495873=CARTESIAN_POINT('Origin',(26.766278,19.645631,0.)); #495874=CARTESIAN_POINT('',(26.766278,19.645631,0.)); #495875=CARTESIAN_POINT('',(26.766278,19.645631,0.)); #495876=CARTESIAN_POINT('',(26.766278,19.645631,0.035)); #495877=CARTESIAN_POINT('',(26.766278,19.645631,0.035)); #495878=CARTESIAN_POINT('',(26.766278,19.645631,0.)); #495879=CARTESIAN_POINT('Origin',(26.749934,19.620084,0.)); #495880=CARTESIAN_POINT('',(26.749934,19.620084,0.)); #495881=CARTESIAN_POINT('',(26.749934,19.620084,0.)); #495882=CARTESIAN_POINT('',(26.749934,19.620084,0.035)); #495883=CARTESIAN_POINT('',(26.749934,19.620084,0.035)); #495884=CARTESIAN_POINT('',(26.749934,19.620084,0.)); #495885=CARTESIAN_POINT('Origin',(26.724922,19.602934,0.)); #495886=CARTESIAN_POINT('',(26.724922,19.602934,0.)); #495887=CARTESIAN_POINT('',(26.724922,19.602934,0.)); #495888=CARTESIAN_POINT('',(26.724922,19.602934,0.035)); #495889=CARTESIAN_POINT('',(26.724922,19.602934,0.035)); #495890=CARTESIAN_POINT('',(26.724922,19.602934,0.)); #495891=CARTESIAN_POINT('Origin',(26.6952,19.5969,0.)); #495892=CARTESIAN_POINT('',(26.6952,19.5969,0.)); #495893=CARTESIAN_POINT('',(26.6952,19.5969,0.)); #495894=CARTESIAN_POINT('',(26.6952,19.5969,0.035)); #495895=CARTESIAN_POINT('',(26.6952,19.5969,0.035)); #495896=CARTESIAN_POINT('',(26.6952,19.5969,0.)); #495897=CARTESIAN_POINT('Origin',(25.755772,19.5969,0.)); #495898=CARTESIAN_POINT('',(25.755772,19.5969,0.)); #495899=CARTESIAN_POINT('',(25.755772,19.5969,0.)); #495900=CARTESIAN_POINT('',(25.755772,19.5969,0.035)); #495901=CARTESIAN_POINT('',(25.755772,19.5969,0.035)); #495902=CARTESIAN_POINT('',(25.755772,19.5969,0.)); #495903=CARTESIAN_POINT('Origin',(25.6665,19.507628,0.)); #495904=CARTESIAN_POINT('',(25.6665,19.507628,0.)); #495905=CARTESIAN_POINT('',(25.6665,19.507628,0.)); #495906=CARTESIAN_POINT('',(25.6665,19.507628,0.035)); #495907=CARTESIAN_POINT('',(25.6665,19.507628,0.035)); #495908=CARTESIAN_POINT('',(25.6665,19.507628,0.)); #495909=CARTESIAN_POINT('Origin',(25.6665,18.492372,0.)); #495910=CARTESIAN_POINT('',(25.6665,18.492372,0.)); #495911=CARTESIAN_POINT('',(25.6665,18.492372,0.)); #495912=CARTESIAN_POINT('',(25.6665,18.492372,0.035)); #495913=CARTESIAN_POINT('',(25.6665,18.492372,0.035)); #495914=CARTESIAN_POINT('',(25.6665,18.492372,0.)); #495915=CARTESIAN_POINT('Origin',(25.755772,18.4031,0.)); #495916=CARTESIAN_POINT('',(25.755772,18.4031,0.)); #495917=CARTESIAN_POINT('',(25.755772,18.4031,0.)); #495918=CARTESIAN_POINT('',(25.755772,18.4031,0.035)); #495919=CARTESIAN_POINT('',(25.755772,18.4031,0.035)); #495920=CARTESIAN_POINT('',(25.755772,18.4031,0.)); #495921=CARTESIAN_POINT('Origin',(25.9332,18.4031,0.)); #495922=CARTESIAN_POINT('',(25.9332,18.4031,0.)); #495923=CARTESIAN_POINT('',(25.9332,18.4031,0.)); #495924=CARTESIAN_POINT('',(25.9332,18.4031,0.035)); #495925=CARTESIAN_POINT('',(25.9332,18.4031,0.035)); #495926=CARTESIAN_POINT('',(25.9332,18.4031,0.)); #495927=CARTESIAN_POINT('Origin',(25.960669,18.397978,0.)); #495928=CARTESIAN_POINT('',(25.960669,18.397978,0.)); #495929=CARTESIAN_POINT('',(25.960669,18.397978,0.)); #495930=CARTESIAN_POINT('',(25.960669,18.397978,0.035)); #495931=CARTESIAN_POINT('',(25.960669,18.397978,0.035)); #495932=CARTESIAN_POINT('',(25.960669,18.397978,0.)); #495933=CARTESIAN_POINT('Origin',(25.986216,18.381634,0.)); #495934=CARTESIAN_POINT('',(25.986216,18.381634,0.)); #495935=CARTESIAN_POINT('',(25.986216,18.381634,0.)); #495936=CARTESIAN_POINT('',(25.986216,18.381634,0.035)); #495937=CARTESIAN_POINT('',(25.986216,18.381634,0.035)); #495938=CARTESIAN_POINT('',(25.986216,18.381634,0.)); #495939=CARTESIAN_POINT('Origin',(26.003366,18.356622,0.)); #495940=CARTESIAN_POINT('',(26.003366,18.356622,0.)); #495941=CARTESIAN_POINT('',(26.003366,18.356622,0.)); #495942=CARTESIAN_POINT('',(26.003366,18.356622,0.035)); #495943=CARTESIAN_POINT('',(26.003366,18.356622,0.035)); #495944=CARTESIAN_POINT('',(26.003366,18.356622,0.)); #495945=CARTESIAN_POINT('Origin',(26.0094,18.3269,0.)); #495946=CARTESIAN_POINT('',(26.0094,18.3269,0.)); #495947=CARTESIAN_POINT('',(26.0094,18.3269,0.)); #495948=CARTESIAN_POINT('',(26.0094,18.3269,0.035)); #495949=CARTESIAN_POINT('',(26.0094,18.3269,0.035)); #495950=CARTESIAN_POINT('',(26.0094,18.3269,0.)); #495951=CARTESIAN_POINT('Origin',(26.0094,15.856647,0.)); #495952=CARTESIAN_POINT('',(26.0094,15.856647,0.)); #495953=CARTESIAN_POINT('',(26.0094,15.856647,0.)); #495954=CARTESIAN_POINT('',(26.0094,15.856647,0.035)); #495955=CARTESIAN_POINT('',(26.0094,15.856647,0.035)); #495956=CARTESIAN_POINT('',(26.0094,15.856647,0.)); #495957=CARTESIAN_POINT('Origin',(29.844647,12.0214,0.)); #495958=CARTESIAN_POINT('',(29.844647,12.0214,0.)); #495959=CARTESIAN_POINT('',(29.844647,12.0214,0.)); #495960=CARTESIAN_POINT('',(29.844647,12.0214,0.035)); #495961=CARTESIAN_POINT('',(29.844647,12.0214,0.035)); #495962=CARTESIAN_POINT('',(29.844647,12.0214,0.)); #495963=CARTESIAN_POINT('Origin',(33.655103,12.0214,0.)); #495964=CARTESIAN_POINT('',(33.655103,12.0214,0.)); #495965=CARTESIAN_POINT('',(33.655103,12.0214,0.)); #495966=CARTESIAN_POINT('',(33.655103,12.0214,0.035)); #495967=CARTESIAN_POINT('',(33.655103,12.0214,0.035)); #495968=CARTESIAN_POINT('',(33.655103,12.0214,0.)); #495969=CARTESIAN_POINT('Origin',(33.6837,12.015831,0.)); #495970=CARTESIAN_POINT('',(33.6837,12.015831,0.)); #495971=CARTESIAN_POINT('',(33.6837,12.015831,0.)); #495972=CARTESIAN_POINT('',(33.6837,12.015831,0.035)); #495973=CARTESIAN_POINT('',(33.6837,12.015831,0.035)); #495974=CARTESIAN_POINT('',(33.6837,12.015831,0.)); #495975=CARTESIAN_POINT('Origin',(33.708984,11.999081,0.)); #495976=CARTESIAN_POINT('',(33.708984,11.999081,0.)); #495977=CARTESIAN_POINT('',(33.708984,11.999081,0.)); #495978=CARTESIAN_POINT('',(33.708984,11.999081,0.035)); #495979=CARTESIAN_POINT('',(33.708984,11.999081,0.035)); #495980=CARTESIAN_POINT('',(33.708984,11.999081,0.)); #495981=CARTESIAN_POINT('Origin',(33.885466,11.8226,0.)); #495982=CARTESIAN_POINT('',(33.885466,11.8226,0.)); #495983=CARTESIAN_POINT('',(33.885466,11.8226,0.035)); #495984=CARTESIAN_POINT('Origin',(18.995953,40.6484,0.)); #495985=CARTESIAN_POINT('',(18.995953,40.6484,0.)); #495986=CARTESIAN_POINT('',(14.752847,40.6484,0.)); #495987=CARTESIAN_POINT('',(18.995953,40.6484,0.)); #495988=CARTESIAN_POINT('',(14.752847,40.6484,0.035)); #495989=CARTESIAN_POINT('',(14.752847,40.6484,0.)); #495990=CARTESIAN_POINT('',(18.995953,40.6484,0.035)); #495991=CARTESIAN_POINT('',(18.995953,40.6484,0.035)); #495992=CARTESIAN_POINT('',(18.995953,40.6484,0.)); #495993=CARTESIAN_POINT('Origin',(19.021309,40.6511,0.)); #495994=CARTESIAN_POINT('',(19.021309,40.6511,0.)); #495995=CARTESIAN_POINT('',(19.021309,40.6511,0.)); #495996=CARTESIAN_POINT('',(19.021309,40.6511,0.035)); #495997=CARTESIAN_POINT('',(19.021309,40.6511,0.035)); #495998=CARTESIAN_POINT('',(19.021309,40.6511,0.)); #495999=CARTESIAN_POINT('Origin',(19.041663,40.657806,0.)); #496000=CARTESIAN_POINT('',(19.041663,40.657806,0.)); #496001=CARTESIAN_POINT('',(19.041663,40.657806,0.)); #496002=CARTESIAN_POINT('',(19.041663,40.657806,0.035)); #496003=CARTESIAN_POINT('',(19.041663,40.657806,0.035)); #496004=CARTESIAN_POINT('',(19.041663,40.657806,0.)); #496005=CARTESIAN_POINT('Origin',(19.061197,40.669269,0.)); #496006=CARTESIAN_POINT('',(19.061197,40.669269,0.)); #496007=CARTESIAN_POINT('',(19.061197,40.669269,0.)); #496008=CARTESIAN_POINT('',(19.061197,40.669269,0.035)); #496009=CARTESIAN_POINT('',(19.061197,40.669269,0.035)); #496010=CARTESIAN_POINT('',(19.061197,40.669269,0.)); #496011=CARTESIAN_POINT('Origin',(19.073866,40.680178,0.)); #496012=CARTESIAN_POINT('',(19.073866,40.680178,0.)); #496013=CARTESIAN_POINT('',(19.073866,40.680178,0.)); #496014=CARTESIAN_POINT('',(19.073866,40.680178,0.035)); #496015=CARTESIAN_POINT('',(19.073866,40.680178,0.035)); #496016=CARTESIAN_POINT('',(19.073866,40.680178,0.)); #496017=CARTESIAN_POINT('Origin',(20.769769,42.376081,0.)); #496018=CARTESIAN_POINT('',(20.769769,42.376081,0.)); #496019=CARTESIAN_POINT('',(20.769769,42.376081,0.)); #496020=CARTESIAN_POINT('',(20.769769,42.376081,0.035)); #496021=CARTESIAN_POINT('',(20.769769,42.376081,0.035)); #496022=CARTESIAN_POINT('',(20.769769,42.376081,0.)); #496023=CARTESIAN_POINT('Origin',(20.793928,42.392366,0.)); #496024=CARTESIAN_POINT('',(20.793928,42.392366,0.)); #496025=CARTESIAN_POINT('',(20.793928,42.392366,0.)); #496026=CARTESIAN_POINT('',(20.793928,42.392366,0.035)); #496027=CARTESIAN_POINT('',(20.793928,42.392366,0.035)); #496028=CARTESIAN_POINT('',(20.793928,42.392366,0.)); #496029=CARTESIAN_POINT('Origin',(20.82365,42.3984,0.)); #496030=CARTESIAN_POINT('',(20.82365,42.3984,0.)); #496031=CARTESIAN_POINT('',(20.82365,42.3984,0.)); #496032=CARTESIAN_POINT('',(20.82365,42.3984,0.035)); #496033=CARTESIAN_POINT('',(20.82365,42.3984,0.035)); #496034=CARTESIAN_POINT('',(20.82365,42.3984,0.)); #496035=CARTESIAN_POINT('Origin',(24.245953,42.3984,0.)); #496036=CARTESIAN_POINT('',(24.245953,42.3984,0.)); #496037=CARTESIAN_POINT('',(24.245953,42.3984,0.)); #496038=CARTESIAN_POINT('',(24.245953,42.3984,0.035)); #496039=CARTESIAN_POINT('',(24.245953,42.3984,0.035)); #496040=CARTESIAN_POINT('',(24.245953,42.3984,0.)); #496041=CARTESIAN_POINT('Origin',(24.271309,42.4011,0.)); #496042=CARTESIAN_POINT('',(24.271309,42.4011,0.)); #496043=CARTESIAN_POINT('',(24.271309,42.4011,0.)); #496044=CARTESIAN_POINT('',(24.271309,42.4011,0.035)); #496045=CARTESIAN_POINT('',(24.271309,42.4011,0.035)); #496046=CARTESIAN_POINT('',(24.271309,42.4011,0.)); #496047=CARTESIAN_POINT('Origin',(24.291663,42.407806,0.)); #496048=CARTESIAN_POINT('',(24.291663,42.407806,0.)); #496049=CARTESIAN_POINT('',(24.291663,42.407806,0.)); #496050=CARTESIAN_POINT('',(24.291663,42.407806,0.035)); #496051=CARTESIAN_POINT('',(24.291663,42.407806,0.035)); #496052=CARTESIAN_POINT('',(24.291663,42.407806,0.)); #496053=CARTESIAN_POINT('Origin',(24.311197,42.419269,0.)); #496054=CARTESIAN_POINT('',(24.311197,42.419269,0.)); #496055=CARTESIAN_POINT('',(24.311197,42.419269,0.)); #496056=CARTESIAN_POINT('',(24.311197,42.419269,0.035)); #496057=CARTESIAN_POINT('',(24.311197,42.419269,0.035)); #496058=CARTESIAN_POINT('',(24.311197,42.419269,0.)); #496059=CARTESIAN_POINT('Origin',(24.323866,42.430178,0.)); #496060=CARTESIAN_POINT('',(24.323866,42.430178,0.)); #496061=CARTESIAN_POINT('',(24.323866,42.430178,0.)); #496062=CARTESIAN_POINT('',(24.323866,42.430178,0.035)); #496063=CARTESIAN_POINT('',(24.323866,42.430178,0.035)); #496064=CARTESIAN_POINT('',(24.323866,42.430178,0.)); #496065=CARTESIAN_POINT('Origin',(25.068978,43.175291,0.)); #496066=CARTESIAN_POINT('',(25.068978,43.175291,0.)); #496067=CARTESIAN_POINT('',(25.068978,43.175291,0.)); #496068=CARTESIAN_POINT('',(25.068978,43.175291,0.035)); #496069=CARTESIAN_POINT('',(25.068978,43.175291,0.035)); #496070=CARTESIAN_POINT('',(25.068978,43.175291,0.)); #496071=CARTESIAN_POINT('Origin',(25.084997,43.195131,0.)); #496072=CARTESIAN_POINT('',(25.084997,43.195131,0.)); #496073=CARTESIAN_POINT('',(25.084997,43.195131,0.)); #496074=CARTESIAN_POINT('',(25.084997,43.195131,0.035)); #496075=CARTESIAN_POINT('',(25.084997,43.195131,0.035)); #496076=CARTESIAN_POINT('',(25.084997,43.195131,0.)); #496077=CARTESIAN_POINT('Origin',(25.094647,43.214272,0.)); #496078=CARTESIAN_POINT('',(25.094647,43.214272,0.)); #496079=CARTESIAN_POINT('',(25.094647,43.214272,0.)); #496080=CARTESIAN_POINT('',(25.094647,43.214272,0.035)); #496081=CARTESIAN_POINT('',(25.094647,43.214272,0.035)); #496082=CARTESIAN_POINT('',(25.094647,43.214272,0.)); #496083=CARTESIAN_POINT('Origin',(25.100359,43.236184,0.)); #496084=CARTESIAN_POINT('',(25.100359,43.236184,0.)); #496085=CARTESIAN_POINT('',(25.100359,43.236184,0.)); #496086=CARTESIAN_POINT('',(25.100359,43.236184,0.035)); #496087=CARTESIAN_POINT('',(25.100359,43.236184,0.035)); #496088=CARTESIAN_POINT('',(25.100359,43.236184,0.)); #496089=CARTESIAN_POINT('Origin',(25.1016,43.252847,0.)); #496090=CARTESIAN_POINT('',(25.1016,43.252847,0.)); #496091=CARTESIAN_POINT('',(25.1016,43.252847,0.)); #496092=CARTESIAN_POINT('',(25.1016,43.252847,0.035)); #496093=CARTESIAN_POINT('',(25.1016,43.252847,0.035)); #496094=CARTESIAN_POINT('',(25.1016,43.252847,0.)); #496095=CARTESIAN_POINT('Origin',(25.1016,49.80135,0.)); #496096=CARTESIAN_POINT('',(25.1016,49.80135,0.)); #496097=CARTESIAN_POINT('',(25.1016,49.80135,0.)); #496098=CARTESIAN_POINT('',(25.1016,49.80135,0.035)); #496099=CARTESIAN_POINT('',(25.1016,49.80135,0.035)); #496100=CARTESIAN_POINT('',(25.1016,49.80135,0.)); #496101=CARTESIAN_POINT('Origin',(25.107169,49.829947,0.)); #496102=CARTESIAN_POINT('',(25.107169,49.829947,0.)); #496103=CARTESIAN_POINT('',(25.107169,49.829947,0.)); #496104=CARTESIAN_POINT('',(25.107169,49.829947,0.035)); #496105=CARTESIAN_POINT('',(25.107169,49.829947,0.035)); #496106=CARTESIAN_POINT('',(25.107169,49.829947,0.)); #496107=CARTESIAN_POINT('Origin',(25.123919,49.855231,0.)); #496108=CARTESIAN_POINT('',(25.123919,49.855231,0.)); #496109=CARTESIAN_POINT('',(25.123919,49.855231,0.)); #496110=CARTESIAN_POINT('',(25.123919,49.855231,0.035)); #496111=CARTESIAN_POINT('',(25.123919,49.855231,0.035)); #496112=CARTESIAN_POINT('',(25.123919,49.855231,0.)); #496113=CARTESIAN_POINT('Origin',(26.394769,51.126081,0.)); #496114=CARTESIAN_POINT('',(26.394769,51.126081,0.)); #496115=CARTESIAN_POINT('',(26.394769,51.126081,0.)); #496116=CARTESIAN_POINT('',(26.394769,51.126081,0.035)); #496117=CARTESIAN_POINT('',(26.394769,51.126081,0.035)); #496118=CARTESIAN_POINT('',(26.394769,51.126081,0.)); #496119=CARTESIAN_POINT('Origin',(26.418928,51.142366,0.)); #496120=CARTESIAN_POINT('',(26.418928,51.142366,0.)); #496121=CARTESIAN_POINT('',(26.418928,51.142366,0.)); #496122=CARTESIAN_POINT('',(26.418928,51.142366,0.035)); #496123=CARTESIAN_POINT('',(26.418928,51.142366,0.035)); #496124=CARTESIAN_POINT('',(26.418928,51.142366,0.)); #496125=CARTESIAN_POINT('Origin',(26.44865,51.1484,0.)); #496126=CARTESIAN_POINT('',(26.44865,51.1484,0.)); #496127=CARTESIAN_POINT('',(26.44865,51.1484,0.)); #496128=CARTESIAN_POINT('',(26.44865,51.1484,0.035)); #496129=CARTESIAN_POINT('',(26.44865,51.1484,0.035)); #496130=CARTESIAN_POINT('',(26.44865,51.1484,0.)); #496131=CARTESIAN_POINT('Origin',(30.245953,51.1484,0.)); #496132=CARTESIAN_POINT('',(30.245953,51.1484,0.)); #496133=CARTESIAN_POINT('',(30.245953,51.1484,0.)); #496134=CARTESIAN_POINT('',(30.245953,51.1484,0.035)); #496135=CARTESIAN_POINT('',(30.245953,51.1484,0.035)); #496136=CARTESIAN_POINT('',(30.245953,51.1484,0.)); #496137=CARTESIAN_POINT('Origin',(30.271309,51.1511,0.)); #496138=CARTESIAN_POINT('',(30.271309,51.1511,0.)); #496139=CARTESIAN_POINT('',(30.271309,51.1511,0.)); #496140=CARTESIAN_POINT('',(30.271309,51.1511,0.035)); #496141=CARTESIAN_POINT('',(30.271309,51.1511,0.035)); #496142=CARTESIAN_POINT('',(30.271309,51.1511,0.)); #496143=CARTESIAN_POINT('Origin',(30.291663,51.157806,0.)); #496144=CARTESIAN_POINT('',(30.291663,51.157806,0.)); #496145=CARTESIAN_POINT('',(30.291663,51.157806,0.)); #496146=CARTESIAN_POINT('',(30.291663,51.157806,0.035)); #496147=CARTESIAN_POINT('',(30.291663,51.157806,0.035)); #496148=CARTESIAN_POINT('',(30.291663,51.157806,0.)); #496149=CARTESIAN_POINT('Origin',(30.311197,51.169269,0.)); #496150=CARTESIAN_POINT('',(30.311197,51.169269,0.)); #496151=CARTESIAN_POINT('',(30.311197,51.169269,0.)); #496152=CARTESIAN_POINT('',(30.311197,51.169269,0.035)); #496153=CARTESIAN_POINT('',(30.311197,51.169269,0.035)); #496154=CARTESIAN_POINT('',(30.311197,51.169269,0.)); #496155=CARTESIAN_POINT('Origin',(30.323866,51.180178,0.)); #496156=CARTESIAN_POINT('',(30.323866,51.180178,0.)); #496157=CARTESIAN_POINT('',(30.323866,51.180178,0.)); #496158=CARTESIAN_POINT('',(30.323866,51.180178,0.035)); #496159=CARTESIAN_POINT('',(30.323866,51.180178,0.035)); #496160=CARTESIAN_POINT('',(30.323866,51.180178,0.)); #496161=CARTESIAN_POINT('Origin',(31.068978,51.925291,0.)); #496162=CARTESIAN_POINT('',(31.068978,51.925291,0.)); #496163=CARTESIAN_POINT('',(31.068978,51.925291,0.)); #496164=CARTESIAN_POINT('',(31.068978,51.925291,0.035)); #496165=CARTESIAN_POINT('',(31.068978,51.925291,0.035)); #496166=CARTESIAN_POINT('',(31.068978,51.925291,0.)); #496167=CARTESIAN_POINT('Origin',(31.084997,51.945131,0.)); #496168=CARTESIAN_POINT('',(31.084997,51.945131,0.)); #496169=CARTESIAN_POINT('',(31.084997,51.945131,0.)); #496170=CARTESIAN_POINT('',(31.084997,51.945131,0.035)); #496171=CARTESIAN_POINT('',(31.084997,51.945131,0.035)); #496172=CARTESIAN_POINT('',(31.084997,51.945131,0.)); #496173=CARTESIAN_POINT('Origin',(31.094647,51.964272,0.)); #496174=CARTESIAN_POINT('',(31.094647,51.964272,0.)); #496175=CARTESIAN_POINT('',(31.094647,51.964272,0.)); #496176=CARTESIAN_POINT('',(31.094647,51.964272,0.035)); #496177=CARTESIAN_POINT('',(31.094647,51.964272,0.035)); #496178=CARTESIAN_POINT('',(31.094647,51.964272,0.)); #496179=CARTESIAN_POINT('Origin',(31.100359,51.986184,0.)); #496180=CARTESIAN_POINT('',(31.100359,51.986184,0.)); #496181=CARTESIAN_POINT('',(31.100359,51.986184,0.)); #496182=CARTESIAN_POINT('',(31.100359,51.986184,0.035)); #496183=CARTESIAN_POINT('',(31.100359,51.986184,0.035)); #496184=CARTESIAN_POINT('',(31.100359,51.986184,0.)); #496185=CARTESIAN_POINT('Origin',(31.1016,52.002847,0.)); #496186=CARTESIAN_POINT('',(31.1016,52.002847,0.)); #496187=CARTESIAN_POINT('',(31.1016,52.002847,0.)); #496188=CARTESIAN_POINT('',(31.1016,52.002847,0.035)); #496189=CARTESIAN_POINT('',(31.1016,52.002847,0.035)); #496190=CARTESIAN_POINT('',(31.1016,52.002847,0.)); #496191=CARTESIAN_POINT('Origin',(31.1016,57.995953,0.)); #496192=CARTESIAN_POINT('',(31.1016,57.995953,0.)); #496193=CARTESIAN_POINT('',(31.1016,57.995953,0.)); #496194=CARTESIAN_POINT('',(31.1016,57.995953,0.035)); #496195=CARTESIAN_POINT('',(31.1016,57.995953,0.035)); #496196=CARTESIAN_POINT('',(31.1016,57.995953,0.)); #496197=CARTESIAN_POINT('Origin',(31.0989,58.021309,0.)); #496198=CARTESIAN_POINT('',(31.0989,58.021309,0.)); #496199=CARTESIAN_POINT('',(31.0989,58.021309,0.)); #496200=CARTESIAN_POINT('',(31.0989,58.021309,0.035)); #496201=CARTESIAN_POINT('',(31.0989,58.021309,0.035)); #496202=CARTESIAN_POINT('',(31.0989,58.021309,0.)); #496203=CARTESIAN_POINT('Origin',(31.092194,58.041663,0.)); #496204=CARTESIAN_POINT('',(31.092194,58.041663,0.)); #496205=CARTESIAN_POINT('',(31.092194,58.041663,0.)); #496206=CARTESIAN_POINT('',(31.092194,58.041663,0.035)); #496207=CARTESIAN_POINT('',(31.092194,58.041663,0.035)); #496208=CARTESIAN_POINT('',(31.092194,58.041663,0.)); #496209=CARTESIAN_POINT('Origin',(31.080731,58.061197,0.)); #496210=CARTESIAN_POINT('',(31.080731,58.061197,0.)); #496211=CARTESIAN_POINT('',(31.080731,58.061197,0.)); #496212=CARTESIAN_POINT('',(31.080731,58.061197,0.035)); #496213=CARTESIAN_POINT('',(31.080731,58.061197,0.035)); #496214=CARTESIAN_POINT('',(31.080731,58.061197,0.)); #496215=CARTESIAN_POINT('Origin',(31.069822,58.073866,0.)); #496216=CARTESIAN_POINT('',(31.069822,58.073866,0.)); #496217=CARTESIAN_POINT('',(31.069822,58.073866,0.)); #496218=CARTESIAN_POINT('',(31.069822,58.073866,0.035)); #496219=CARTESIAN_POINT('',(31.069822,58.073866,0.035)); #496220=CARTESIAN_POINT('',(31.069822,58.073866,0.)); #496221=CARTESIAN_POINT('Origin',(30.324709,58.818978,0.)); #496222=CARTESIAN_POINT('',(30.324709,58.818978,0.)); #496223=CARTESIAN_POINT('',(30.324709,58.818978,0.)); #496224=CARTESIAN_POINT('',(30.324709,58.818978,0.035)); #496225=CARTESIAN_POINT('',(30.324709,58.818978,0.035)); #496226=CARTESIAN_POINT('',(30.324709,58.818978,0.)); #496227=CARTESIAN_POINT('Origin',(30.304869,58.834997,0.)); #496228=CARTESIAN_POINT('',(30.304869,58.834997,0.)); #496229=CARTESIAN_POINT('',(30.304869,58.834997,0.)); #496230=CARTESIAN_POINT('',(30.304869,58.834997,0.035)); #496231=CARTESIAN_POINT('',(30.304869,58.834997,0.035)); #496232=CARTESIAN_POINT('',(30.304869,58.834997,0.)); #496233=CARTESIAN_POINT('Origin',(30.285728,58.844647,0.)); #496234=CARTESIAN_POINT('',(30.285728,58.844647,0.)); #496235=CARTESIAN_POINT('',(30.285728,58.844647,0.)); #496236=CARTESIAN_POINT('',(30.285728,58.844647,0.035)); #496237=CARTESIAN_POINT('',(30.285728,58.844647,0.035)); #496238=CARTESIAN_POINT('',(30.285728,58.844647,0.)); #496239=CARTESIAN_POINT('Origin',(30.263816,58.850359,0.)); #496240=CARTESIAN_POINT('',(30.263816,58.850359,0.)); #496241=CARTESIAN_POINT('',(30.263816,58.850359,0.)); #496242=CARTESIAN_POINT('',(30.263816,58.850359,0.035)); #496243=CARTESIAN_POINT('',(30.263816,58.850359,0.035)); #496244=CARTESIAN_POINT('',(30.263816,58.850359,0.)); #496245=CARTESIAN_POINT('Origin',(30.247153,58.8516,0.)); #496246=CARTESIAN_POINT('',(30.247153,58.8516,0.)); #496247=CARTESIAN_POINT('',(30.247153,58.8516,0.)); #496248=CARTESIAN_POINT('',(30.247153,58.8516,0.035)); #496249=CARTESIAN_POINT('',(30.247153,58.8516,0.035)); #496250=CARTESIAN_POINT('',(30.247153,58.8516,0.)); #496251=CARTESIAN_POINT('Origin',(25.254047,58.8516,0.)); #496252=CARTESIAN_POINT('',(25.254047,58.8516,0.)); #496253=CARTESIAN_POINT('',(25.254047,58.8516,0.)); #496254=CARTESIAN_POINT('',(25.254047,58.8516,0.035)); #496255=CARTESIAN_POINT('',(25.254047,58.8516,0.035)); #496256=CARTESIAN_POINT('',(25.254047,58.8516,0.)); #496257=CARTESIAN_POINT('Origin',(25.228691,58.8489,0.)); #496258=CARTESIAN_POINT('',(25.228691,58.8489,0.)); #496259=CARTESIAN_POINT('',(25.228691,58.8489,0.)); #496260=CARTESIAN_POINT('',(25.228691,58.8489,0.035)); #496261=CARTESIAN_POINT('',(25.228691,58.8489,0.035)); #496262=CARTESIAN_POINT('',(25.228691,58.8489,0.)); #496263=CARTESIAN_POINT('Origin',(25.208338,58.842194,0.)); #496264=CARTESIAN_POINT('',(25.208338,58.842194,0.)); #496265=CARTESIAN_POINT('',(25.208338,58.842194,0.)); #496266=CARTESIAN_POINT('',(25.208338,58.842194,0.035)); #496267=CARTESIAN_POINT('',(25.208338,58.842194,0.035)); #496268=CARTESIAN_POINT('',(25.208338,58.842194,0.)); #496269=CARTESIAN_POINT('Origin',(25.188803,58.830731,0.)); #496270=CARTESIAN_POINT('',(25.188803,58.830731,0.)); #496271=CARTESIAN_POINT('',(25.188803,58.830731,0.)); #496272=CARTESIAN_POINT('',(25.188803,58.830731,0.035)); #496273=CARTESIAN_POINT('',(25.188803,58.830731,0.035)); #496274=CARTESIAN_POINT('',(25.188803,58.830731,0.)); #496275=CARTESIAN_POINT('Origin',(25.176134,58.819822,0.)); #496276=CARTESIAN_POINT('',(25.176134,58.819822,0.)); #496277=CARTESIAN_POINT('',(25.176134,58.819822,0.)); #496278=CARTESIAN_POINT('',(25.176134,58.819822,0.035)); #496279=CARTESIAN_POINT('',(25.176134,58.819822,0.035)); #496280=CARTESIAN_POINT('',(25.176134,58.819822,0.)); #496281=CARTESIAN_POINT('Origin',(24.487481,58.131169,0.)); #496282=CARTESIAN_POINT('',(24.487481,58.131169,0.)); #496283=CARTESIAN_POINT('',(24.487481,58.131169,0.)); #496284=CARTESIAN_POINT('',(24.487481,58.131169,0.035)); #496285=CARTESIAN_POINT('',(24.487481,58.131169,0.035)); #496286=CARTESIAN_POINT('',(24.487481,58.131169,0.)); #496287=CARTESIAN_POINT('Origin',(24.465544,58.115869,0.)); #496288=CARTESIAN_POINT('',(24.465544,58.115869,0.)); #496289=CARTESIAN_POINT('',(24.465544,58.115869,0.)); #496290=CARTESIAN_POINT('',(24.465544,58.115869,0.035)); #496291=CARTESIAN_POINT('',(24.465544,58.115869,0.035)); #496292=CARTESIAN_POINT('',(24.465544,58.115869,0.)); #496293=CARTESIAN_POINT('Origin',(24.436028,58.108888,0.)); #496294=CARTESIAN_POINT('',(24.436028,58.108888,0.)); #496295=CARTESIAN_POINT('',(24.436028,58.108888,0.)); #496296=CARTESIAN_POINT('',(24.436028,58.108888,0.035)); #496297=CARTESIAN_POINT('',(24.436028,58.108888,0.035)); #496298=CARTESIAN_POINT('',(24.436028,58.108888,0.)); #496299=CARTESIAN_POINT('Origin',(24.406131,58.113972,0.)); #496300=CARTESIAN_POINT('',(24.406131,58.113972,0.)); #496301=CARTESIAN_POINT('',(24.406131,58.113972,0.)); #496302=CARTESIAN_POINT('',(24.406131,58.113972,0.035)); #496303=CARTESIAN_POINT('',(24.406131,58.113972,0.035)); #496304=CARTESIAN_POINT('',(24.406131,58.113972,0.)); #496305=CARTESIAN_POINT('Origin',(24.380584,58.130316,0.)); #496306=CARTESIAN_POINT('',(24.380584,58.130316,0.)); #496307=CARTESIAN_POINT('',(24.380584,58.130316,0.)); #496308=CARTESIAN_POINT('',(24.380584,58.130316,0.035)); #496309=CARTESIAN_POINT('',(24.380584,58.130316,0.035)); #496310=CARTESIAN_POINT('',(24.380584,58.130316,0.)); #496311=CARTESIAN_POINT('Origin',(24.363434,58.155328,0.)); #496312=CARTESIAN_POINT('',(24.363434,58.155328,0.)); #496313=CARTESIAN_POINT('',(24.363434,58.155328,0.)); #496314=CARTESIAN_POINT('',(24.363434,58.155328,0.035)); #496315=CARTESIAN_POINT('',(24.363434,58.155328,0.035)); #496316=CARTESIAN_POINT('',(24.363434,58.155328,0.)); #496317=CARTESIAN_POINT('Origin',(24.3574,58.18505,0.)); #496318=CARTESIAN_POINT('',(24.3574,58.18505,0.)); #496319=CARTESIAN_POINT('',(24.3574,58.18505,0.)); #496320=CARTESIAN_POINT('',(24.3574,58.18505,0.035)); #496321=CARTESIAN_POINT('',(24.3574,58.18505,0.035)); #496322=CARTESIAN_POINT('',(24.3574,58.18505,0.)); #496323=CARTESIAN_POINT('Origin',(24.3574,59.413128,0.)); #496324=CARTESIAN_POINT('',(24.3574,59.413128,0.)); #496325=CARTESIAN_POINT('',(24.3574,59.413128,0.)); #496326=CARTESIAN_POINT('',(24.3574,59.413128,0.035)); #496327=CARTESIAN_POINT('',(24.3574,59.413128,0.035)); #496328=CARTESIAN_POINT('',(24.3574,59.413128,0.)); #496329=CARTESIAN_POINT('Origin',(24.268128,59.5024,0.)); #496330=CARTESIAN_POINT('',(24.268128,59.5024,0.)); #496331=CARTESIAN_POINT('',(24.268128,59.5024,0.)); #496332=CARTESIAN_POINT('',(24.268128,59.5024,0.035)); #496333=CARTESIAN_POINT('',(24.268128,59.5024,0.035)); #496334=CARTESIAN_POINT('',(24.268128,59.5024,0.)); #496335=CARTESIAN_POINT('Origin',(23.541872,59.5024,0.)); #496336=CARTESIAN_POINT('',(23.541872,59.5024,0.)); #496337=CARTESIAN_POINT('',(23.541872,59.5024,0.)); #496338=CARTESIAN_POINT('',(23.541872,59.5024,0.035)); #496339=CARTESIAN_POINT('',(23.541872,59.5024,0.035)); #496340=CARTESIAN_POINT('',(23.541872,59.5024,0.)); #496341=CARTESIAN_POINT('Origin',(23.4526,59.413128,0.)); #496342=CARTESIAN_POINT('',(23.4526,59.413128,0.)); #496343=CARTESIAN_POINT('',(23.4526,59.413128,0.)); #496344=CARTESIAN_POINT('',(23.4526,59.413128,0.035)); #496345=CARTESIAN_POINT('',(23.4526,59.413128,0.035)); #496346=CARTESIAN_POINT('',(23.4526,59.413128,0.)); #496347=CARTESIAN_POINT('Origin',(23.4526,58.1778,0.)); #496348=CARTESIAN_POINT('',(23.4526,58.1778,0.)); #496349=CARTESIAN_POINT('',(23.4526,58.1778,0.)); #496350=CARTESIAN_POINT('',(23.4526,58.1778,0.035)); #496351=CARTESIAN_POINT('',(23.4526,58.1778,0.035)); #496352=CARTESIAN_POINT('',(23.4526,58.1778,0.)); #496353=CARTESIAN_POINT('Origin',(23.447478,58.150331,0.)); #496354=CARTESIAN_POINT('',(23.447478,58.150331,0.)); #496355=CARTESIAN_POINT('',(23.447478,58.150331,0.)); #496356=CARTESIAN_POINT('',(23.447478,58.150331,0.035)); #496357=CARTESIAN_POINT('',(23.447478,58.150331,0.035)); #496358=CARTESIAN_POINT('',(23.447478,58.150331,0.)); #496359=CARTESIAN_POINT('Origin',(23.431134,58.124784,0.)); #496360=CARTESIAN_POINT('',(23.431134,58.124784,0.)); #496361=CARTESIAN_POINT('',(23.431134,58.124784,0.)); #496362=CARTESIAN_POINT('',(23.431134,58.124784,0.035)); #496363=CARTESIAN_POINT('',(23.431134,58.124784,0.035)); #496364=CARTESIAN_POINT('',(23.431134,58.124784,0.)); #496365=CARTESIAN_POINT('Origin',(23.406122,58.107634,0.)); #496366=CARTESIAN_POINT('',(23.406122,58.107634,0.)); #496367=CARTESIAN_POINT('',(23.406122,58.107634,0.)); #496368=CARTESIAN_POINT('',(23.406122,58.107634,0.035)); #496369=CARTESIAN_POINT('',(23.406122,58.107634,0.035)); #496370=CARTESIAN_POINT('',(23.406122,58.107634,0.)); #496371=CARTESIAN_POINT('Origin',(23.3764,58.1016,0.)); #496372=CARTESIAN_POINT('',(23.3764,58.1016,0.)); #496373=CARTESIAN_POINT('',(23.3764,58.1016,0.)); #496374=CARTESIAN_POINT('',(23.3764,58.1016,0.035)); #496375=CARTESIAN_POINT('',(23.3764,58.1016,0.035)); #496376=CARTESIAN_POINT('',(23.3764,58.1016,0.)); #496377=CARTESIAN_POINT('Origin',(19.379047,58.1016,0.)); #496378=CARTESIAN_POINT('',(19.379047,58.1016,0.)); #496379=CARTESIAN_POINT('',(19.379047,58.1016,0.)); #496380=CARTESIAN_POINT('',(19.379047,58.1016,0.035)); #496381=CARTESIAN_POINT('',(19.379047,58.1016,0.035)); #496382=CARTESIAN_POINT('',(19.379047,58.1016,0.)); #496383=CARTESIAN_POINT('Origin',(19.353691,58.0989,0.)); #496384=CARTESIAN_POINT('',(19.353691,58.0989,0.)); #496385=CARTESIAN_POINT('',(19.353691,58.0989,0.)); #496386=CARTESIAN_POINT('',(19.353691,58.0989,0.035)); #496387=CARTESIAN_POINT('',(19.353691,58.0989,0.035)); #496388=CARTESIAN_POINT('',(19.353691,58.0989,0.)); #496389=CARTESIAN_POINT('Origin',(19.333338,58.092194,0.)); #496390=CARTESIAN_POINT('',(19.333338,58.092194,0.)); #496391=CARTESIAN_POINT('',(19.333338,58.092194,0.)); #496392=CARTESIAN_POINT('',(19.333338,58.092194,0.035)); #496393=CARTESIAN_POINT('',(19.333338,58.092194,0.035)); #496394=CARTESIAN_POINT('',(19.333338,58.092194,0.)); #496395=CARTESIAN_POINT('Origin',(19.313803,58.080731,0.)); #496396=CARTESIAN_POINT('',(19.313803,58.080731,0.)); #496397=CARTESIAN_POINT('',(19.313803,58.080731,0.)); #496398=CARTESIAN_POINT('',(19.313803,58.080731,0.035)); #496399=CARTESIAN_POINT('',(19.313803,58.080731,0.035)); #496400=CARTESIAN_POINT('',(19.313803,58.080731,0.)); #496401=CARTESIAN_POINT('Origin',(19.301134,58.069822,0.)); #496402=CARTESIAN_POINT('',(19.301134,58.069822,0.)); #496403=CARTESIAN_POINT('',(19.301134,58.069822,0.)); #496404=CARTESIAN_POINT('',(19.301134,58.069822,0.035)); #496405=CARTESIAN_POINT('',(19.301134,58.069822,0.035)); #496406=CARTESIAN_POINT('',(19.301134,58.069822,0.)); #496407=CARTESIAN_POINT('Origin',(18.306022,57.074709,0.)); #496408=CARTESIAN_POINT('',(18.306022,57.074709,0.)); #496409=CARTESIAN_POINT('',(18.306022,57.074709,0.)); #496410=CARTESIAN_POINT('',(18.306022,57.074709,0.035)); #496411=CARTESIAN_POINT('',(18.306022,57.074709,0.035)); #496412=CARTESIAN_POINT('',(18.306022,57.074709,0.)); #496413=CARTESIAN_POINT('Origin',(18.290003,57.054869,0.)); #496414=CARTESIAN_POINT('',(18.290003,57.054869,0.)); #496415=CARTESIAN_POINT('',(18.290003,57.054869,0.)); #496416=CARTESIAN_POINT('',(18.290003,57.054869,0.035)); #496417=CARTESIAN_POINT('',(18.290003,57.054869,0.035)); #496418=CARTESIAN_POINT('',(18.290003,57.054869,0.)); #496419=CARTESIAN_POINT('Origin',(18.280353,57.035728,0.)); #496420=CARTESIAN_POINT('',(18.280353,57.035728,0.)); #496421=CARTESIAN_POINT('',(18.280353,57.035728,0.)); #496422=CARTESIAN_POINT('',(18.280353,57.035728,0.035)); #496423=CARTESIAN_POINT('',(18.280353,57.035728,0.035)); #496424=CARTESIAN_POINT('',(18.280353,57.035728,0.)); #496425=CARTESIAN_POINT('Origin',(18.274641,57.013816,0.)); #496426=CARTESIAN_POINT('',(18.274641,57.013816,0.)); #496427=CARTESIAN_POINT('',(18.274641,57.013816,0.)); #496428=CARTESIAN_POINT('',(18.274641,57.013816,0.035)); #496429=CARTESIAN_POINT('',(18.274641,57.013816,0.035)); #496430=CARTESIAN_POINT('',(18.274641,57.013816,0.)); #496431=CARTESIAN_POINT('Origin',(18.2734,56.997153,0.)); #496432=CARTESIAN_POINT('',(18.2734,56.997153,0.)); #496433=CARTESIAN_POINT('',(18.2734,56.997153,0.)); #496434=CARTESIAN_POINT('',(18.2734,56.997153,0.035)); #496435=CARTESIAN_POINT('',(18.2734,56.997153,0.035)); #496436=CARTESIAN_POINT('',(18.2734,56.997153,0.)); #496437=CARTESIAN_POINT('Origin',(18.2734,49.1778,0.)); #496438=CARTESIAN_POINT('',(18.2734,49.1778,0.)); #496439=CARTESIAN_POINT('',(18.2734,49.1778,0.)); #496440=CARTESIAN_POINT('',(18.2734,49.1778,0.035)); #496441=CARTESIAN_POINT('',(18.2734,49.1778,0.035)); #496442=CARTESIAN_POINT('',(18.2734,49.1778,0.)); #496443=CARTESIAN_POINT('Origin',(18.268278,49.150331,0.)); #496444=CARTESIAN_POINT('',(18.268278,49.150331,0.)); #496445=CARTESIAN_POINT('',(18.268278,49.150331,0.)); #496446=CARTESIAN_POINT('',(18.268278,49.150331,0.035)); #496447=CARTESIAN_POINT('',(18.268278,49.150331,0.035)); #496448=CARTESIAN_POINT('',(18.268278,49.150331,0.)); #496449=CARTESIAN_POINT('Origin',(18.251934,49.124784,0.)); #496450=CARTESIAN_POINT('',(18.251934,49.124784,0.)); #496451=CARTESIAN_POINT('',(18.251934,49.124784,0.)); #496452=CARTESIAN_POINT('',(18.251934,49.124784,0.035)); #496453=CARTESIAN_POINT('',(18.251934,49.124784,0.035)); #496454=CARTESIAN_POINT('',(18.251934,49.124784,0.)); #496455=CARTESIAN_POINT('Origin',(18.226922,49.107634,0.)); #496456=CARTESIAN_POINT('',(18.226922,49.107634,0.)); #496457=CARTESIAN_POINT('',(18.226922,49.107634,0.)); #496458=CARTESIAN_POINT('',(18.226922,49.107634,0.035)); #496459=CARTESIAN_POINT('',(18.226922,49.107634,0.035)); #496460=CARTESIAN_POINT('',(18.226922,49.107634,0.)); #496461=CARTESIAN_POINT('Origin',(18.1972,49.1016,0.)); #496462=CARTESIAN_POINT('',(18.1972,49.1016,0.)); #496463=CARTESIAN_POINT('',(18.1972,49.1016,0.)); #496464=CARTESIAN_POINT('',(18.1972,49.1016,0.035)); #496465=CARTESIAN_POINT('',(18.1972,49.1016,0.035)); #496466=CARTESIAN_POINT('',(18.1972,49.1016,0.)); #496467=CARTESIAN_POINT('Origin',(14.754047,49.1016,0.)); #496468=CARTESIAN_POINT('',(14.754047,49.1016,0.)); #496469=CARTESIAN_POINT('',(14.754047,49.1016,0.)); #496470=CARTESIAN_POINT('',(14.754047,49.1016,0.035)); #496471=CARTESIAN_POINT('',(14.754047,49.1016,0.035)); #496472=CARTESIAN_POINT('',(14.754047,49.1016,0.)); #496473=CARTESIAN_POINT('Origin',(14.728691,49.0989,0.)); #496474=CARTESIAN_POINT('',(14.728691,49.0989,0.)); #496475=CARTESIAN_POINT('',(14.728691,49.0989,0.)); #496476=CARTESIAN_POINT('',(14.728691,49.0989,0.035)); #496477=CARTESIAN_POINT('',(14.728691,49.0989,0.035)); #496478=CARTESIAN_POINT('',(14.728691,49.0989,0.)); #496479=CARTESIAN_POINT('Origin',(14.708338,49.092194,0.)); #496480=CARTESIAN_POINT('',(14.708338,49.092194,0.)); #496481=CARTESIAN_POINT('',(14.708338,49.092194,0.)); #496482=CARTESIAN_POINT('',(14.708338,49.092194,0.035)); #496483=CARTESIAN_POINT('',(14.708338,49.092194,0.035)); #496484=CARTESIAN_POINT('',(14.708338,49.092194,0.)); #496485=CARTESIAN_POINT('Origin',(14.688803,49.080731,0.)); #496486=CARTESIAN_POINT('',(14.688803,49.080731,0.)); #496487=CARTESIAN_POINT('',(14.688803,49.080731,0.)); #496488=CARTESIAN_POINT('',(14.688803,49.080731,0.035)); #496489=CARTESIAN_POINT('',(14.688803,49.080731,0.035)); #496490=CARTESIAN_POINT('',(14.688803,49.080731,0.)); #496491=CARTESIAN_POINT('Origin',(14.676134,49.069822,0.)); #496492=CARTESIAN_POINT('',(14.676134,49.069822,0.)); #496493=CARTESIAN_POINT('',(14.676134,49.069822,0.)); #496494=CARTESIAN_POINT('',(14.676134,49.069822,0.035)); #496495=CARTESIAN_POINT('',(14.676134,49.069822,0.035)); #496496=CARTESIAN_POINT('',(14.676134,49.069822,0.)); #496497=CARTESIAN_POINT('Origin',(13.931022,48.324709,0.)); #496498=CARTESIAN_POINT('',(13.931022,48.324709,0.)); #496499=CARTESIAN_POINT('',(13.931022,48.324709,0.)); #496500=CARTESIAN_POINT('',(13.931022,48.324709,0.035)); #496501=CARTESIAN_POINT('',(13.931022,48.324709,0.035)); #496502=CARTESIAN_POINT('',(13.931022,48.324709,0.)); #496503=CARTESIAN_POINT('Origin',(13.915003,48.304869,0.)); #496504=CARTESIAN_POINT('',(13.915003,48.304869,0.)); #496505=CARTESIAN_POINT('',(13.915003,48.304869,0.)); #496506=CARTESIAN_POINT('',(13.915003,48.304869,0.035)); #496507=CARTESIAN_POINT('',(13.915003,48.304869,0.035)); #496508=CARTESIAN_POINT('',(13.915003,48.304869,0.)); #496509=CARTESIAN_POINT('Origin',(13.905353,48.285728,0.)); #496510=CARTESIAN_POINT('',(13.905353,48.285728,0.)); #496511=CARTESIAN_POINT('',(13.905353,48.285728,0.)); #496512=CARTESIAN_POINT('',(13.905353,48.285728,0.035)); #496513=CARTESIAN_POINT('',(13.905353,48.285728,0.035)); #496514=CARTESIAN_POINT('',(13.905353,48.285728,0.)); #496515=CARTESIAN_POINT('Origin',(13.899641,48.263816,0.)); #496516=CARTESIAN_POINT('',(13.899641,48.263816,0.)); #496517=CARTESIAN_POINT('',(13.899641,48.263816,0.)); #496518=CARTESIAN_POINT('',(13.899641,48.263816,0.035)); #496519=CARTESIAN_POINT('',(13.899641,48.263816,0.035)); #496520=CARTESIAN_POINT('',(13.899641,48.263816,0.)); #496521=CARTESIAN_POINT('Origin',(13.8984,48.247153,0.)); #496522=CARTESIAN_POINT('',(13.8984,48.247153,0.)); #496523=CARTESIAN_POINT('',(13.8984,48.247153,0.)); #496524=CARTESIAN_POINT('',(13.8984,48.247153,0.035)); #496525=CARTESIAN_POINT('',(13.8984,48.247153,0.035)); #496526=CARTESIAN_POINT('',(13.8984,48.247153,0.)); #496527=CARTESIAN_POINT('Origin',(13.8984,41.504047,0.)); #496528=CARTESIAN_POINT('',(13.8984,41.504047,0.)); #496529=CARTESIAN_POINT('',(13.8984,41.504047,0.)); #496530=CARTESIAN_POINT('',(13.8984,41.504047,0.035)); #496531=CARTESIAN_POINT('',(13.8984,41.504047,0.035)); #496532=CARTESIAN_POINT('',(13.8984,41.504047,0.)); #496533=CARTESIAN_POINT('Origin',(13.9011,41.478691,0.)); #496534=CARTESIAN_POINT('',(13.9011,41.478691,0.)); #496535=CARTESIAN_POINT('',(13.9011,41.478691,0.)); #496536=CARTESIAN_POINT('',(13.9011,41.478691,0.035)); #496537=CARTESIAN_POINT('',(13.9011,41.478691,0.035)); #496538=CARTESIAN_POINT('',(13.9011,41.478691,0.)); #496539=CARTESIAN_POINT('Origin',(13.907806,41.458337,0.)); #496540=CARTESIAN_POINT('',(13.907806,41.458337,0.)); #496541=CARTESIAN_POINT('',(13.907806,41.458337,0.)); #496542=CARTESIAN_POINT('',(13.907806,41.458337,0.035)); #496543=CARTESIAN_POINT('',(13.907806,41.458337,0.035)); #496544=CARTESIAN_POINT('',(13.907806,41.458337,0.)); #496545=CARTESIAN_POINT('Origin',(13.919269,41.438803,0.)); #496546=CARTESIAN_POINT('',(13.919269,41.438803,0.)); #496547=CARTESIAN_POINT('',(13.919269,41.438803,0.)); #496548=CARTESIAN_POINT('',(13.919269,41.438803,0.035)); #496549=CARTESIAN_POINT('',(13.919269,41.438803,0.035)); #496550=CARTESIAN_POINT('',(13.919269,41.438803,0.)); #496551=CARTESIAN_POINT('Origin',(13.930178,41.426134,0.)); #496552=CARTESIAN_POINT('',(13.930178,41.426134,0.)); #496553=CARTESIAN_POINT('',(13.930178,41.426134,0.)); #496554=CARTESIAN_POINT('',(13.930178,41.426134,0.035)); #496555=CARTESIAN_POINT('',(13.930178,41.426134,0.035)); #496556=CARTESIAN_POINT('',(13.930178,41.426134,0.)); #496557=CARTESIAN_POINT('Origin',(14.675291,40.681022,0.)); #496558=CARTESIAN_POINT('',(14.675291,40.681022,0.)); #496559=CARTESIAN_POINT('',(14.675291,40.681022,0.)); #496560=CARTESIAN_POINT('',(14.675291,40.681022,0.035)); #496561=CARTESIAN_POINT('',(14.675291,40.681022,0.035)); #496562=CARTESIAN_POINT('',(14.675291,40.681022,0.)); #496563=CARTESIAN_POINT('Origin',(14.695131,40.665003,0.)); #496564=CARTESIAN_POINT('',(14.695131,40.665003,0.)); #496565=CARTESIAN_POINT('',(14.695131,40.665003,0.)); #496566=CARTESIAN_POINT('',(14.695131,40.665003,0.035)); #496567=CARTESIAN_POINT('',(14.695131,40.665003,0.035)); #496568=CARTESIAN_POINT('',(14.695131,40.665003,0.)); #496569=CARTESIAN_POINT('Origin',(14.714272,40.655353,0.)); #496570=CARTESIAN_POINT('',(14.714272,40.655353,0.)); #496571=CARTESIAN_POINT('',(14.714272,40.655353,0.)); #496572=CARTESIAN_POINT('',(14.714272,40.655353,0.035)); #496573=CARTESIAN_POINT('',(14.714272,40.655353,0.035)); #496574=CARTESIAN_POINT('',(14.714272,40.655353,0.)); #496575=CARTESIAN_POINT('Origin',(14.736184,40.649641,0.)); #496576=CARTESIAN_POINT('',(14.736184,40.649641,0.)); #496577=CARTESIAN_POINT('',(14.736184,40.649641,0.)); #496578=CARTESIAN_POINT('',(14.736184,40.649641,0.035)); #496579=CARTESIAN_POINT('',(14.736184,40.649641,0.035)); #496580=CARTESIAN_POINT('',(14.736184,40.649641,0.)); #496581=CARTESIAN_POINT('Origin',(14.752847,40.6484,0.)); #496582=CARTESIAN_POINT('',(14.752847,40.6484,0.)); #496583=CARTESIAN_POINT('',(14.752847,40.6484,0.035)); #496584=CARTESIAN_POINT('Origin',(43.032191,13.3964,0.)); #496585=CARTESIAN_POINT('',(43.032191,13.3964,0.)); #496586=CARTESIAN_POINT('',(41.777409,13.3964,0.)); #496587=CARTESIAN_POINT('',(43.032191,13.3964,0.)); #496588=CARTESIAN_POINT('',(41.777409,13.3964,0.035)); #496589=CARTESIAN_POINT('',(41.777409,13.3964,0.)); #496590=CARTESIAN_POINT('',(43.032191,13.3964,0.035)); #496591=CARTESIAN_POINT('',(43.032191,13.3964,0.035)); #496592=CARTESIAN_POINT('',(43.032191,13.3964,0.)); #496593=CARTESIAN_POINT('Origin',(43.259872,13.624081,0.)); #496594=CARTESIAN_POINT('',(43.259872,13.624081,0.)); #496595=CARTESIAN_POINT('',(43.259872,13.624081,0.)); #496596=CARTESIAN_POINT('',(43.259872,13.624081,0.035)); #496597=CARTESIAN_POINT('',(43.259872,13.624081,0.035)); #496598=CARTESIAN_POINT('',(43.259872,13.624081,0.)); #496599=CARTESIAN_POINT('Origin',(43.284031,13.640366,0.)); #496600=CARTESIAN_POINT('',(43.284031,13.640366,0.)); #496601=CARTESIAN_POINT('',(43.284031,13.640366,0.)); #496602=CARTESIAN_POINT('',(43.284031,13.640366,0.035)); #496603=CARTESIAN_POINT('',(43.284031,13.640366,0.035)); #496604=CARTESIAN_POINT('',(43.284031,13.640366,0.)); #496605=CARTESIAN_POINT('Origin',(43.313753,13.6464,0.)); #496606=CARTESIAN_POINT('',(43.313753,13.6464,0.)); #496607=CARTESIAN_POINT('',(43.313753,13.6464,0.)); #496608=CARTESIAN_POINT('',(43.313753,13.6464,0.035)); #496609=CARTESIAN_POINT('',(43.313753,13.6464,0.035)); #496610=CARTESIAN_POINT('',(43.313753,13.6464,0.)); #496611=CARTESIAN_POINT('Origin',(54.780353,13.6464,0.)); #496612=CARTESIAN_POINT('',(54.780353,13.6464,0.)); #496613=CARTESIAN_POINT('',(54.780353,13.6464,0.)); #496614=CARTESIAN_POINT('',(54.780353,13.6464,0.035)); #496615=CARTESIAN_POINT('',(54.780353,13.6464,0.035)); #496616=CARTESIAN_POINT('',(54.780353,13.6464,0.)); #496617=CARTESIAN_POINT('Origin',(60.4786,19.344647,0.)); #496618=CARTESIAN_POINT('',(60.4786,19.344647,0.)); #496619=CARTESIAN_POINT('',(60.4786,19.344647,0.)); #496620=CARTESIAN_POINT('',(60.4786,19.344647,0.035)); #496621=CARTESIAN_POINT('',(60.4786,19.344647,0.035)); #496622=CARTESIAN_POINT('',(60.4786,19.344647,0.)); #496623=CARTESIAN_POINT('Origin',(60.4786,38.405353,0.)); #496624=CARTESIAN_POINT('',(60.4786,38.405353,0.)); #496625=CARTESIAN_POINT('',(60.4786,38.405353,0.)); #496626=CARTESIAN_POINT('',(60.4786,38.405353,0.035)); #496627=CARTESIAN_POINT('',(60.4786,38.405353,0.035)); #496628=CARTESIAN_POINT('',(60.4786,38.405353,0.)); #496629=CARTESIAN_POINT('Origin',(57.905353,40.9786,0.)); #496630=CARTESIAN_POINT('',(57.905353,40.9786,0.)); #496631=CARTESIAN_POINT('',(57.905353,40.9786,0.)); #496632=CARTESIAN_POINT('',(57.905353,40.9786,0.035)); #496633=CARTESIAN_POINT('',(57.905353,40.9786,0.035)); #496634=CARTESIAN_POINT('',(57.905353,40.9786,0.)); #496635=CARTESIAN_POINT('Origin',(53.55625,40.9786,0.)); #496636=CARTESIAN_POINT('',(53.55625,40.9786,0.)); #496637=CARTESIAN_POINT('',(53.55625,40.9786,0.)); #496638=CARTESIAN_POINT('',(53.55625,40.9786,0.035)); #496639=CARTESIAN_POINT('',(53.55625,40.9786,0.035)); #496640=CARTESIAN_POINT('',(53.55625,40.9786,0.)); #496641=CARTESIAN_POINT('Origin',(53.529919,40.983294,0.)); #496642=CARTESIAN_POINT('',(53.529919,40.983294,0.)); #496643=CARTESIAN_POINT('',(53.529919,40.983294,0.)); #496644=CARTESIAN_POINT('',(53.529919,40.983294,0.035)); #496645=CARTESIAN_POINT('',(53.529919,40.983294,0.035)); #496646=CARTESIAN_POINT('',(53.529919,40.983294,0.)); #496647=CARTESIAN_POINT('Origin',(53.504113,40.999228,0.)); #496648=CARTESIAN_POINT('',(53.504113,40.999228,0.)); #496649=CARTESIAN_POINT('',(53.504113,40.999228,0.)); #496650=CARTESIAN_POINT('',(53.504113,40.999228,0.035)); #496651=CARTESIAN_POINT('',(53.504113,40.999228,0.035)); #496652=CARTESIAN_POINT('',(53.504113,40.999228,0.)); #496653=CARTESIAN_POINT('Origin',(53.486569,41.023966,0.)); #496654=CARTESIAN_POINT('',(53.486569,41.023966,0.)); #496655=CARTESIAN_POINT('',(53.486569,41.023966,0.)); #496656=CARTESIAN_POINT('',(53.486569,41.023966,0.035)); #496657=CARTESIAN_POINT('',(53.486569,41.023966,0.035)); #496658=CARTESIAN_POINT('',(53.486569,41.023966,0.)); #496659=CARTESIAN_POINT('Origin',(53.480059,41.053584,0.)); #496660=CARTESIAN_POINT('',(53.480059,41.053584,0.)); #496661=CARTESIAN_POINT('',(53.480059,41.053584,0.)); #496662=CARTESIAN_POINT('',(53.480059,41.053584,0.035)); #496663=CARTESIAN_POINT('',(53.480059,41.053584,0.035)); #496664=CARTESIAN_POINT('',(53.480059,41.053584,0.)); #496665=CARTESIAN_POINT('Origin',(53.485619,41.083397,0.)); #496666=CARTESIAN_POINT('',(53.485619,41.083397,0.)); #496667=CARTESIAN_POINT('',(53.485619,41.083397,0.)); #496668=CARTESIAN_POINT('',(53.485619,41.083397,0.035)); #496669=CARTESIAN_POINT('',(53.485619,41.083397,0.035)); #496670=CARTESIAN_POINT('',(53.485619,41.083397,0.)); #496671=CARTESIAN_POINT('Origin',(53.502369,41.108681,0.)); #496672=CARTESIAN_POINT('',(53.502369,41.108681,0.)); #496673=CARTESIAN_POINT('',(53.502369,41.108681,0.)); #496674=CARTESIAN_POINT('',(53.502369,41.108681,0.035)); #496675=CARTESIAN_POINT('',(53.502369,41.108681,0.035)); #496676=CARTESIAN_POINT('',(53.502369,41.108681,0.)); #496677=CARTESIAN_POINT('Origin',(54.068978,41.675291,0.)); #496678=CARTESIAN_POINT('',(54.068978,41.675291,0.)); #496679=CARTESIAN_POINT('',(54.068978,41.675291,0.)); #496680=CARTESIAN_POINT('',(54.068978,41.675291,0.035)); #496681=CARTESIAN_POINT('',(54.068978,41.675291,0.035)); #496682=CARTESIAN_POINT('',(54.068978,41.675291,0.)); #496683=CARTESIAN_POINT('Origin',(54.084997,41.695131,0.)); #496684=CARTESIAN_POINT('',(54.084997,41.695131,0.)); #496685=CARTESIAN_POINT('',(54.084997,41.695131,0.)); #496686=CARTESIAN_POINT('',(54.084997,41.695131,0.035)); #496687=CARTESIAN_POINT('',(54.084997,41.695131,0.035)); #496688=CARTESIAN_POINT('',(54.084997,41.695131,0.)); #496689=CARTESIAN_POINT('Origin',(54.094647,41.714272,0.)); #496690=CARTESIAN_POINT('',(54.094647,41.714272,0.)); #496691=CARTESIAN_POINT('',(54.094647,41.714272,0.)); #496692=CARTESIAN_POINT('',(54.094647,41.714272,0.035)); #496693=CARTESIAN_POINT('',(54.094647,41.714272,0.035)); #496694=CARTESIAN_POINT('',(54.094647,41.714272,0.)); #496695=CARTESIAN_POINT('Origin',(54.100359,41.736184,0.)); #496696=CARTESIAN_POINT('',(54.100359,41.736184,0.)); #496697=CARTESIAN_POINT('',(54.100359,41.736184,0.)); #496698=CARTESIAN_POINT('',(54.100359,41.736184,0.035)); #496699=CARTESIAN_POINT('',(54.100359,41.736184,0.035)); #496700=CARTESIAN_POINT('',(54.100359,41.736184,0.)); #496701=CARTESIAN_POINT('Origin',(54.1016,41.752847,0.)); #496702=CARTESIAN_POINT('',(54.1016,41.752847,0.)); #496703=CARTESIAN_POINT('',(54.1016,41.752847,0.)); #496704=CARTESIAN_POINT('',(54.1016,41.752847,0.035)); #496705=CARTESIAN_POINT('',(54.1016,41.752847,0.035)); #496706=CARTESIAN_POINT('',(54.1016,41.752847,0.)); #496707=CARTESIAN_POINT('Origin',(54.1016,48.245953,0.)); #496708=CARTESIAN_POINT('',(54.1016,48.245953,0.)); #496709=CARTESIAN_POINT('',(54.1016,48.245953,0.)); #496710=CARTESIAN_POINT('',(54.1016,48.245953,0.035)); #496711=CARTESIAN_POINT('',(54.1016,48.245953,0.035)); #496712=CARTESIAN_POINT('',(54.1016,48.245953,0.)); #496713=CARTESIAN_POINT('Origin',(54.0989,48.271309,0.)); #496714=CARTESIAN_POINT('',(54.0989,48.271309,0.)); #496715=CARTESIAN_POINT('',(54.0989,48.271309,0.)); #496716=CARTESIAN_POINT('',(54.0989,48.271309,0.035)); #496717=CARTESIAN_POINT('',(54.0989,48.271309,0.035)); #496718=CARTESIAN_POINT('',(54.0989,48.271309,0.)); #496719=CARTESIAN_POINT('Origin',(54.092194,48.291663,0.)); #496720=CARTESIAN_POINT('',(54.092194,48.291663,0.)); #496721=CARTESIAN_POINT('',(54.092194,48.291663,0.)); #496722=CARTESIAN_POINT('',(54.092194,48.291663,0.035)); #496723=CARTESIAN_POINT('',(54.092194,48.291663,0.035)); #496724=CARTESIAN_POINT('',(54.092194,48.291663,0.)); #496725=CARTESIAN_POINT('Origin',(54.080731,48.311197,0.)); #496726=CARTESIAN_POINT('',(54.080731,48.311197,0.)); #496727=CARTESIAN_POINT('',(54.080731,48.311197,0.)); #496728=CARTESIAN_POINT('',(54.080731,48.311197,0.035)); #496729=CARTESIAN_POINT('',(54.080731,48.311197,0.035)); #496730=CARTESIAN_POINT('',(54.080731,48.311197,0.)); #496731=CARTESIAN_POINT('Origin',(54.069822,48.323866,0.)); #496732=CARTESIAN_POINT('',(54.069822,48.323866,0.)); #496733=CARTESIAN_POINT('',(54.069822,48.323866,0.)); #496734=CARTESIAN_POINT('',(54.069822,48.323866,0.035)); #496735=CARTESIAN_POINT('',(54.069822,48.323866,0.035)); #496736=CARTESIAN_POINT('',(54.069822,48.323866,0.)); #496737=CARTESIAN_POINT('Origin',(53.324709,49.068978,0.)); #496738=CARTESIAN_POINT('',(53.324709,49.068978,0.)); #496739=CARTESIAN_POINT('',(53.324709,49.068978,0.)); #496740=CARTESIAN_POINT('',(53.324709,49.068978,0.035)); #496741=CARTESIAN_POINT('',(53.324709,49.068978,0.035)); #496742=CARTESIAN_POINT('',(53.324709,49.068978,0.)); #496743=CARTESIAN_POINT('Origin',(53.304869,49.084997,0.)); #496744=CARTESIAN_POINT('',(53.304869,49.084997,0.)); #496745=CARTESIAN_POINT('',(53.304869,49.084997,0.)); #496746=CARTESIAN_POINT('',(53.304869,49.084997,0.035)); #496747=CARTESIAN_POINT('',(53.304869,49.084997,0.035)); #496748=CARTESIAN_POINT('',(53.304869,49.084997,0.)); #496749=CARTESIAN_POINT('Origin',(53.285728,49.094647,0.)); #496750=CARTESIAN_POINT('',(53.285728,49.094647,0.)); #496751=CARTESIAN_POINT('',(53.285728,49.094647,0.)); #496752=CARTESIAN_POINT('',(53.285728,49.094647,0.035)); #496753=CARTESIAN_POINT('',(53.285728,49.094647,0.035)); #496754=CARTESIAN_POINT('',(53.285728,49.094647,0.)); #496755=CARTESIAN_POINT('Origin',(53.263816,49.100359,0.)); #496756=CARTESIAN_POINT('',(53.263816,49.100359,0.)); #496757=CARTESIAN_POINT('',(53.263816,49.100359,0.)); #496758=CARTESIAN_POINT('',(53.263816,49.100359,0.035)); #496759=CARTESIAN_POINT('',(53.263816,49.100359,0.035)); #496760=CARTESIAN_POINT('',(53.263816,49.100359,0.)); #496761=CARTESIAN_POINT('Origin',(53.247153,49.1016,0.)); #496762=CARTESIAN_POINT('',(53.247153,49.1016,0.)); #496763=CARTESIAN_POINT('',(53.247153,49.1016,0.)); #496764=CARTESIAN_POINT('',(53.247153,49.1016,0.035)); #496765=CARTESIAN_POINT('',(53.247153,49.1016,0.035)); #496766=CARTESIAN_POINT('',(53.247153,49.1016,0.)); #496767=CARTESIAN_POINT('Origin',(49.8028,49.1016,0.)); #496768=CARTESIAN_POINT('',(49.8028,49.1016,0.)); #496769=CARTESIAN_POINT('',(49.8028,49.1016,0.)); #496770=CARTESIAN_POINT('',(49.8028,49.1016,0.035)); #496771=CARTESIAN_POINT('',(49.8028,49.1016,0.035)); #496772=CARTESIAN_POINT('',(49.8028,49.1016,0.)); #496773=CARTESIAN_POINT('Origin',(49.775331,49.106722,0.)); #496774=CARTESIAN_POINT('',(49.775331,49.106722,0.)); #496775=CARTESIAN_POINT('',(49.775331,49.106722,0.)); #496776=CARTESIAN_POINT('',(49.775331,49.106722,0.035)); #496777=CARTESIAN_POINT('',(49.775331,49.106722,0.035)); #496778=CARTESIAN_POINT('',(49.775331,49.106722,0.)); #496779=CARTESIAN_POINT('Origin',(49.749784,49.123066,0.)); #496780=CARTESIAN_POINT('',(49.749784,49.123066,0.)); #496781=CARTESIAN_POINT('',(49.749784,49.123066,0.)); #496782=CARTESIAN_POINT('',(49.749784,49.123066,0.035)); #496783=CARTESIAN_POINT('',(49.749784,49.123066,0.035)); #496784=CARTESIAN_POINT('',(49.749784,49.123066,0.)); #496785=CARTESIAN_POINT('Origin',(49.732634,49.148078,0.)); #496786=CARTESIAN_POINT('',(49.732634,49.148078,0.)); #496787=CARTESIAN_POINT('',(49.732634,49.148078,0.)); #496788=CARTESIAN_POINT('',(49.732634,49.148078,0.035)); #496789=CARTESIAN_POINT('',(49.732634,49.148078,0.035)); #496790=CARTESIAN_POINT('',(49.732634,49.148078,0.)); #496791=CARTESIAN_POINT('Origin',(49.7266,49.1778,0.)); #496792=CARTESIAN_POINT('',(49.7266,49.1778,0.)); #496793=CARTESIAN_POINT('',(49.7266,49.1778,0.)); #496794=CARTESIAN_POINT('',(49.7266,49.1778,0.035)); #496795=CARTESIAN_POINT('',(49.7266,49.1778,0.035)); #496796=CARTESIAN_POINT('',(49.7266,49.1778,0.)); #496797=CARTESIAN_POINT('Origin',(49.7266,56.995953,0.)); #496798=CARTESIAN_POINT('',(49.7266,56.995953,0.)); #496799=CARTESIAN_POINT('',(49.7266,56.995953,0.)); #496800=CARTESIAN_POINT('',(49.7266,56.995953,0.035)); #496801=CARTESIAN_POINT('',(49.7266,56.995953,0.035)); #496802=CARTESIAN_POINT('',(49.7266,56.995953,0.)); #496803=CARTESIAN_POINT('Origin',(49.7239,57.021309,0.)); #496804=CARTESIAN_POINT('',(49.7239,57.021309,0.)); #496805=CARTESIAN_POINT('',(49.7239,57.021309,0.)); #496806=CARTESIAN_POINT('',(49.7239,57.021309,0.035)); #496807=CARTESIAN_POINT('',(49.7239,57.021309,0.035)); #496808=CARTESIAN_POINT('',(49.7239,57.021309,0.)); #496809=CARTESIAN_POINT('Origin',(49.717194,57.041663,0.)); #496810=CARTESIAN_POINT('',(49.717194,57.041663,0.)); #496811=CARTESIAN_POINT('',(49.717194,57.041663,0.)); #496812=CARTESIAN_POINT('',(49.717194,57.041663,0.035)); #496813=CARTESIAN_POINT('',(49.717194,57.041663,0.035)); #496814=CARTESIAN_POINT('',(49.717194,57.041663,0.)); #496815=CARTESIAN_POINT('Origin',(49.705731,57.061197,0.)); #496816=CARTESIAN_POINT('',(49.705731,57.061197,0.)); #496817=CARTESIAN_POINT('',(49.705731,57.061197,0.)); #496818=CARTESIAN_POINT('',(49.705731,57.061197,0.035)); #496819=CARTESIAN_POINT('',(49.705731,57.061197,0.035)); #496820=CARTESIAN_POINT('',(49.705731,57.061197,0.)); #496821=CARTESIAN_POINT('Origin',(49.694822,57.073866,0.)); #496822=CARTESIAN_POINT('',(49.694822,57.073866,0.)); #496823=CARTESIAN_POINT('',(49.694822,57.073866,0.)); #496824=CARTESIAN_POINT('',(49.694822,57.073866,0.035)); #496825=CARTESIAN_POINT('',(49.694822,57.073866,0.035)); #496826=CARTESIAN_POINT('',(49.694822,57.073866,0.)); #496827=CARTESIAN_POINT('Origin',(48.699709,58.068978,0.)); #496828=CARTESIAN_POINT('',(48.699709,58.068978,0.)); #496829=CARTESIAN_POINT('',(48.699709,58.068978,0.)); #496830=CARTESIAN_POINT('',(48.699709,58.068978,0.035)); #496831=CARTESIAN_POINT('',(48.699709,58.068978,0.035)); #496832=CARTESIAN_POINT('',(48.699709,58.068978,0.)); #496833=CARTESIAN_POINT('Origin',(48.679869,58.084997,0.)); #496834=CARTESIAN_POINT('',(48.679869,58.084997,0.)); #496835=CARTESIAN_POINT('',(48.679869,58.084997,0.)); #496836=CARTESIAN_POINT('',(48.679869,58.084997,0.035)); #496837=CARTESIAN_POINT('',(48.679869,58.084997,0.035)); #496838=CARTESIAN_POINT('',(48.679869,58.084997,0.)); #496839=CARTESIAN_POINT('Origin',(48.660728,58.094647,0.)); #496840=CARTESIAN_POINT('',(48.660728,58.094647,0.)); #496841=CARTESIAN_POINT('',(48.660728,58.094647,0.)); #496842=CARTESIAN_POINT('',(48.660728,58.094647,0.035)); #496843=CARTESIAN_POINT('',(48.660728,58.094647,0.035)); #496844=CARTESIAN_POINT('',(48.660728,58.094647,0.)); #496845=CARTESIAN_POINT('Origin',(48.638816,58.100359,0.)); #496846=CARTESIAN_POINT('',(48.638816,58.100359,0.)); #496847=CARTESIAN_POINT('',(48.638816,58.100359,0.)); #496848=CARTESIAN_POINT('',(48.638816,58.100359,0.035)); #496849=CARTESIAN_POINT('',(48.638816,58.100359,0.035)); #496850=CARTESIAN_POINT('',(48.638816,58.100359,0.)); #496851=CARTESIAN_POINT('Origin',(48.622153,58.1016,0.)); #496852=CARTESIAN_POINT('',(48.622153,58.1016,0.)); #496853=CARTESIAN_POINT('',(48.622153,58.1016,0.)); #496854=CARTESIAN_POINT('',(48.622153,58.1016,0.035)); #496855=CARTESIAN_POINT('',(48.622153,58.1016,0.035)); #496856=CARTESIAN_POINT('',(48.622153,58.1016,0.)); #496857=CARTESIAN_POINT('Origin',(48.4336,58.1016,0.)); #496858=CARTESIAN_POINT('',(48.4336,58.1016,0.)); #496859=CARTESIAN_POINT('',(48.4336,58.1016,0.)); #496860=CARTESIAN_POINT('',(48.4336,58.1016,0.035)); #496861=CARTESIAN_POINT('',(48.4336,58.1016,0.035)); #496862=CARTESIAN_POINT('',(48.4336,58.1016,0.)); #496863=CARTESIAN_POINT('Origin',(48.406131,58.106722,0.)); #496864=CARTESIAN_POINT('',(48.406131,58.106722,0.)); #496865=CARTESIAN_POINT('',(48.406131,58.106722,0.)); #496866=CARTESIAN_POINT('',(48.406131,58.106722,0.035)); #496867=CARTESIAN_POINT('',(48.406131,58.106722,0.035)); #496868=CARTESIAN_POINT('',(48.406131,58.106722,0.)); #496869=CARTESIAN_POINT('Origin',(48.380584,58.123066,0.)); #496870=CARTESIAN_POINT('',(48.380584,58.123066,0.)); #496871=CARTESIAN_POINT('',(48.380584,58.123066,0.)); #496872=CARTESIAN_POINT('',(48.380584,58.123066,0.035)); #496873=CARTESIAN_POINT('',(48.380584,58.123066,0.035)); #496874=CARTESIAN_POINT('',(48.380584,58.123066,0.)); #496875=CARTESIAN_POINT('Origin',(48.363434,58.148078,0.)); #496876=CARTESIAN_POINT('',(48.363434,58.148078,0.)); #496877=CARTESIAN_POINT('',(48.363434,58.148078,0.)); #496878=CARTESIAN_POINT('',(48.363434,58.148078,0.035)); #496879=CARTESIAN_POINT('',(48.363434,58.148078,0.035)); #496880=CARTESIAN_POINT('',(48.363434,58.148078,0.)); #496881=CARTESIAN_POINT('Origin',(48.3574,58.1778,0.)); #496882=CARTESIAN_POINT('',(48.3574,58.1778,0.)); #496883=CARTESIAN_POINT('',(48.3574,58.1778,0.)); #496884=CARTESIAN_POINT('',(48.3574,58.1778,0.035)); #496885=CARTESIAN_POINT('',(48.3574,58.1778,0.035)); #496886=CARTESIAN_POINT('',(48.3574,58.1778,0.)); #496887=CARTESIAN_POINT('Origin',(48.3574,59.413128,0.)); #496888=CARTESIAN_POINT('',(48.3574,59.413128,0.)); #496889=CARTESIAN_POINT('',(48.3574,59.413128,0.)); #496890=CARTESIAN_POINT('',(48.3574,59.413128,0.035)); #496891=CARTESIAN_POINT('',(48.3574,59.413128,0.035)); #496892=CARTESIAN_POINT('',(48.3574,59.413128,0.)); #496893=CARTESIAN_POINT('Origin',(48.268128,59.5024,0.)); #496894=CARTESIAN_POINT('',(48.268128,59.5024,0.)); #496895=CARTESIAN_POINT('',(48.268128,59.5024,0.)); #496896=CARTESIAN_POINT('',(48.268128,59.5024,0.035)); #496897=CARTESIAN_POINT('',(48.268128,59.5024,0.035)); #496898=CARTESIAN_POINT('',(48.268128,59.5024,0.)); #496899=CARTESIAN_POINT('Origin',(47.541872,59.5024,0.)); #496900=CARTESIAN_POINT('',(47.541872,59.5024,0.)); #496901=CARTESIAN_POINT('',(47.541872,59.5024,0.)); #496902=CARTESIAN_POINT('',(47.541872,59.5024,0.035)); #496903=CARTESIAN_POINT('',(47.541872,59.5024,0.035)); #496904=CARTESIAN_POINT('',(47.541872,59.5024,0.)); #496905=CARTESIAN_POINT('Origin',(47.4526,59.413128,0.)); #496906=CARTESIAN_POINT('',(47.4526,59.413128,0.)); #496907=CARTESIAN_POINT('',(47.4526,59.413128,0.)); #496908=CARTESIAN_POINT('',(47.4526,59.413128,0.035)); #496909=CARTESIAN_POINT('',(47.4526,59.413128,0.035)); #496910=CARTESIAN_POINT('',(47.4526,59.413128,0.)); #496911=CARTESIAN_POINT('Origin',(47.4526,58.1778,0.)); #496912=CARTESIAN_POINT('',(47.4526,58.1778,0.)); #496913=CARTESIAN_POINT('',(47.4526,58.1778,0.)); #496914=CARTESIAN_POINT('',(47.4526,58.1778,0.035)); #496915=CARTESIAN_POINT('',(47.4526,58.1778,0.035)); #496916=CARTESIAN_POINT('',(47.4526,58.1778,0.)); #496917=CARTESIAN_POINT('Origin',(47.447478,58.150331,0.)); #496918=CARTESIAN_POINT('',(47.447478,58.150331,0.)); #496919=CARTESIAN_POINT('',(47.447478,58.150331,0.)); #496920=CARTESIAN_POINT('',(47.447478,58.150331,0.035)); #496921=CARTESIAN_POINT('',(47.447478,58.150331,0.035)); #496922=CARTESIAN_POINT('',(47.447478,58.150331,0.)); #496923=CARTESIAN_POINT('Origin',(47.431134,58.124784,0.)); #496924=CARTESIAN_POINT('',(47.431134,58.124784,0.)); #496925=CARTESIAN_POINT('',(47.431134,58.124784,0.)); #496926=CARTESIAN_POINT('',(47.431134,58.124784,0.035)); #496927=CARTESIAN_POINT('',(47.431134,58.124784,0.035)); #496928=CARTESIAN_POINT('',(47.431134,58.124784,0.)); #496929=CARTESIAN_POINT('Origin',(47.406122,58.107634,0.)); #496930=CARTESIAN_POINT('',(47.406122,58.107634,0.)); #496931=CARTESIAN_POINT('',(47.406122,58.107634,0.)); #496932=CARTESIAN_POINT('',(47.406122,58.107634,0.035)); #496933=CARTESIAN_POINT('',(47.406122,58.107634,0.035)); #496934=CARTESIAN_POINT('',(47.406122,58.107634,0.)); #496935=CARTESIAN_POINT('Origin',(47.3764,58.1016,0.)); #496936=CARTESIAN_POINT('',(47.3764,58.1016,0.)); #496937=CARTESIAN_POINT('',(47.3764,58.1016,0.)); #496938=CARTESIAN_POINT('',(47.3764,58.1016,0.035)); #496939=CARTESIAN_POINT('',(47.3764,58.1016,0.035)); #496940=CARTESIAN_POINT('',(47.3764,58.1016,0.)); #496941=CARTESIAN_POINT('Origin',(43.57365,58.1016,0.)); #496942=CARTESIAN_POINT('',(43.57365,58.1016,0.)); #496943=CARTESIAN_POINT('',(43.57365,58.1016,0.)); #496944=CARTESIAN_POINT('',(43.57365,58.1016,0.035)); #496945=CARTESIAN_POINT('',(43.57365,58.1016,0.035)); #496946=CARTESIAN_POINT('',(43.57365,58.1016,0.)); #496947=CARTESIAN_POINT('Origin',(43.545053,58.107169,0.)); #496948=CARTESIAN_POINT('',(43.545053,58.107169,0.)); #496949=CARTESIAN_POINT('',(43.545053,58.107169,0.)); #496950=CARTESIAN_POINT('',(43.545053,58.107169,0.035)); #496951=CARTESIAN_POINT('',(43.545053,58.107169,0.035)); #496952=CARTESIAN_POINT('',(43.545053,58.107169,0.)); #496953=CARTESIAN_POINT('Origin',(43.519769,58.123919,0.)); #496954=CARTESIAN_POINT('',(43.519769,58.123919,0.)); #496955=CARTESIAN_POINT('',(43.519769,58.123919,0.)); #496956=CARTESIAN_POINT('',(43.519769,58.123919,0.035)); #496957=CARTESIAN_POINT('',(43.519769,58.123919,0.035)); #496958=CARTESIAN_POINT('',(43.519769,58.123919,0.)); #496959=CARTESIAN_POINT('Origin',(42.824709,58.818978,0.)); #496960=CARTESIAN_POINT('',(42.824709,58.818978,0.)); #496961=CARTESIAN_POINT('',(42.824709,58.818978,0.)); #496962=CARTESIAN_POINT('',(42.824709,58.818978,0.035)); #496963=CARTESIAN_POINT('',(42.824709,58.818978,0.035)); #496964=CARTESIAN_POINT('',(42.824709,58.818978,0.)); #496965=CARTESIAN_POINT('Origin',(42.804869,58.834997,0.)); #496966=CARTESIAN_POINT('',(42.804869,58.834997,0.)); #496967=CARTESIAN_POINT('',(42.804869,58.834997,0.)); #496968=CARTESIAN_POINT('',(42.804869,58.834997,0.035)); #496969=CARTESIAN_POINT('',(42.804869,58.834997,0.035)); #496970=CARTESIAN_POINT('',(42.804869,58.834997,0.)); #496971=CARTESIAN_POINT('Origin',(42.785728,58.844647,0.)); #496972=CARTESIAN_POINT('',(42.785728,58.844647,0.)); #496973=CARTESIAN_POINT('',(42.785728,58.844647,0.)); #496974=CARTESIAN_POINT('',(42.785728,58.844647,0.035)); #496975=CARTESIAN_POINT('',(42.785728,58.844647,0.035)); #496976=CARTESIAN_POINT('',(42.785728,58.844647,0.)); #496977=CARTESIAN_POINT('Origin',(42.763816,58.850359,0.)); #496978=CARTESIAN_POINT('',(42.763816,58.850359,0.)); #496979=CARTESIAN_POINT('',(42.763816,58.850359,0.)); #496980=CARTESIAN_POINT('',(42.763816,58.850359,0.035)); #496981=CARTESIAN_POINT('',(42.763816,58.850359,0.035)); #496982=CARTESIAN_POINT('',(42.763816,58.850359,0.)); #496983=CARTESIAN_POINT('Origin',(42.747153,58.8516,0.)); #496984=CARTESIAN_POINT('',(42.747153,58.8516,0.)); #496985=CARTESIAN_POINT('',(42.747153,58.8516,0.)); #496986=CARTESIAN_POINT('',(42.747153,58.8516,0.035)); #496987=CARTESIAN_POINT('',(42.747153,58.8516,0.035)); #496988=CARTESIAN_POINT('',(42.747153,58.8516,0.)); #496989=CARTESIAN_POINT('Origin',(37.754047,58.8516,0.)); #496990=CARTESIAN_POINT('',(37.754047,58.8516,0.)); #496991=CARTESIAN_POINT('',(37.754047,58.8516,0.)); #496992=CARTESIAN_POINT('',(37.754047,58.8516,0.035)); #496993=CARTESIAN_POINT('',(37.754047,58.8516,0.035)); #496994=CARTESIAN_POINT('',(37.754047,58.8516,0.)); #496995=CARTESIAN_POINT('Origin',(37.728691,58.8489,0.)); #496996=CARTESIAN_POINT('',(37.728691,58.8489,0.)); #496997=CARTESIAN_POINT('',(37.728691,58.8489,0.)); #496998=CARTESIAN_POINT('',(37.728691,58.8489,0.035)); #496999=CARTESIAN_POINT('',(37.728691,58.8489,0.035)); #497000=CARTESIAN_POINT('',(37.728691,58.8489,0.)); #497001=CARTESIAN_POINT('Origin',(37.708337,58.842194,0.)); #497002=CARTESIAN_POINT('',(37.708337,58.842194,0.)); #497003=CARTESIAN_POINT('',(37.708337,58.842194,0.)); #497004=CARTESIAN_POINT('',(37.708337,58.842194,0.035)); #497005=CARTESIAN_POINT('',(37.708337,58.842194,0.035)); #497006=CARTESIAN_POINT('',(37.708337,58.842194,0.)); #497007=CARTESIAN_POINT('Origin',(37.688803,58.830731,0.)); #497008=CARTESIAN_POINT('',(37.688803,58.830731,0.)); #497009=CARTESIAN_POINT('',(37.688803,58.830731,0.)); #497010=CARTESIAN_POINT('',(37.688803,58.830731,0.035)); #497011=CARTESIAN_POINT('',(37.688803,58.830731,0.035)); #497012=CARTESIAN_POINT('',(37.688803,58.830731,0.)); #497013=CARTESIAN_POINT('Origin',(37.676134,58.819822,0.)); #497014=CARTESIAN_POINT('',(37.676134,58.819822,0.)); #497015=CARTESIAN_POINT('',(37.676134,58.819822,0.)); #497016=CARTESIAN_POINT('',(37.676134,58.819822,0.035)); #497017=CARTESIAN_POINT('',(37.676134,58.819822,0.035)); #497018=CARTESIAN_POINT('',(37.676134,58.819822,0.)); #497019=CARTESIAN_POINT('Origin',(36.931022,58.074709,0.)); #497020=CARTESIAN_POINT('',(36.931022,58.074709,0.)); #497021=CARTESIAN_POINT('',(36.931022,58.074709,0.)); #497022=CARTESIAN_POINT('',(36.931022,58.074709,0.035)); #497023=CARTESIAN_POINT('',(36.931022,58.074709,0.035)); #497024=CARTESIAN_POINT('',(36.931022,58.074709,0.)); #497025=CARTESIAN_POINT('Origin',(36.915003,58.054869,0.)); #497026=CARTESIAN_POINT('',(36.915003,58.054869,0.)); #497027=CARTESIAN_POINT('',(36.915003,58.054869,0.)); #497028=CARTESIAN_POINT('',(36.915003,58.054869,0.035)); #497029=CARTESIAN_POINT('',(36.915003,58.054869,0.035)); #497030=CARTESIAN_POINT('',(36.915003,58.054869,0.)); #497031=CARTESIAN_POINT('Origin',(36.905353,58.035728,0.)); #497032=CARTESIAN_POINT('',(36.905353,58.035728,0.)); #497033=CARTESIAN_POINT('',(36.905353,58.035728,0.)); #497034=CARTESIAN_POINT('',(36.905353,58.035728,0.035)); #497035=CARTESIAN_POINT('',(36.905353,58.035728,0.035)); #497036=CARTESIAN_POINT('',(36.905353,58.035728,0.)); #497037=CARTESIAN_POINT('Origin',(36.899641,58.013816,0.)); #497038=CARTESIAN_POINT('',(36.899641,58.013816,0.)); #497039=CARTESIAN_POINT('',(36.899641,58.013816,0.)); #497040=CARTESIAN_POINT('',(36.899641,58.013816,0.035)); #497041=CARTESIAN_POINT('',(36.899641,58.013816,0.035)); #497042=CARTESIAN_POINT('',(36.899641,58.013816,0.)); #497043=CARTESIAN_POINT('Origin',(36.8984,57.997153,0.)); #497044=CARTESIAN_POINT('',(36.8984,57.997153,0.)); #497045=CARTESIAN_POINT('',(36.8984,57.997153,0.)); #497046=CARTESIAN_POINT('',(36.8984,57.997153,0.035)); #497047=CARTESIAN_POINT('',(36.8984,57.997153,0.035)); #497048=CARTESIAN_POINT('',(36.8984,57.997153,0.)); #497049=CARTESIAN_POINT('Origin',(36.8984,52.004047,0.)); #497050=CARTESIAN_POINT('',(36.8984,52.004047,0.)); #497051=CARTESIAN_POINT('',(36.8984,52.004047,0.)); #497052=CARTESIAN_POINT('',(36.8984,52.004047,0.035)); #497053=CARTESIAN_POINT('',(36.8984,52.004047,0.035)); #497054=CARTESIAN_POINT('',(36.8984,52.004047,0.)); #497055=CARTESIAN_POINT('Origin',(36.9011,51.978691,0.)); #497056=CARTESIAN_POINT('',(36.9011,51.978691,0.)); #497057=CARTESIAN_POINT('',(36.9011,51.978691,0.)); #497058=CARTESIAN_POINT('',(36.9011,51.978691,0.035)); #497059=CARTESIAN_POINT('',(36.9011,51.978691,0.035)); #497060=CARTESIAN_POINT('',(36.9011,51.978691,0.)); #497061=CARTESIAN_POINT('Origin',(36.907806,51.958337,0.)); #497062=CARTESIAN_POINT('',(36.907806,51.958337,0.)); #497063=CARTESIAN_POINT('',(36.907806,51.958337,0.)); #497064=CARTESIAN_POINT('',(36.907806,51.958337,0.035)); #497065=CARTESIAN_POINT('',(36.907806,51.958337,0.035)); #497066=CARTESIAN_POINT('',(36.907806,51.958337,0.)); #497067=CARTESIAN_POINT('Origin',(36.919269,51.938803,0.)); #497068=CARTESIAN_POINT('',(36.919269,51.938803,0.)); #497069=CARTESIAN_POINT('',(36.919269,51.938803,0.)); #497070=CARTESIAN_POINT('',(36.919269,51.938803,0.035)); #497071=CARTESIAN_POINT('',(36.919269,51.938803,0.035)); #497072=CARTESIAN_POINT('',(36.919269,51.938803,0.)); #497073=CARTESIAN_POINT('Origin',(36.930178,51.926134,0.)); #497074=CARTESIAN_POINT('',(36.930178,51.926134,0.)); #497075=CARTESIAN_POINT('',(36.930178,51.926134,0.)); #497076=CARTESIAN_POINT('',(36.930178,51.926134,0.035)); #497077=CARTESIAN_POINT('',(36.930178,51.926134,0.035)); #497078=CARTESIAN_POINT('',(36.930178,51.926134,0.)); #497079=CARTESIAN_POINT('Origin',(37.497631,51.358681,0.)); #497080=CARTESIAN_POINT('',(37.497631,51.358681,0.)); #497081=CARTESIAN_POINT('',(37.497631,51.358681,0.)); #497082=CARTESIAN_POINT('',(37.497631,51.358681,0.035)); #497083=CARTESIAN_POINT('',(37.497631,51.358681,0.035)); #497084=CARTESIAN_POINT('',(37.497631,51.358681,0.)); #497085=CARTESIAN_POINT('Origin',(37.512931,51.336744,0.)); #497086=CARTESIAN_POINT('',(37.512931,51.336744,0.)); #497087=CARTESIAN_POINT('',(37.512931,51.336744,0.)); #497088=CARTESIAN_POINT('',(37.512931,51.336744,0.035)); #497089=CARTESIAN_POINT('',(37.512931,51.336744,0.035)); #497090=CARTESIAN_POINT('',(37.512931,51.336744,0.)); #497091=CARTESIAN_POINT('Origin',(37.519913,51.307228,0.)); #497092=CARTESIAN_POINT('',(37.519913,51.307228,0.)); #497093=CARTESIAN_POINT('',(37.519913,51.307228,0.)); #497094=CARTESIAN_POINT('',(37.519913,51.307228,0.035)); #497095=CARTESIAN_POINT('',(37.519913,51.307228,0.035)); #497096=CARTESIAN_POINT('',(37.519913,51.307228,0.)); #497097=CARTESIAN_POINT('Origin',(37.514828,51.277331,0.)); #497098=CARTESIAN_POINT('',(37.514828,51.277331,0.)); #497099=CARTESIAN_POINT('',(37.514828,51.277331,0.)); #497100=CARTESIAN_POINT('',(37.514828,51.277331,0.035)); #497101=CARTESIAN_POINT('',(37.514828,51.277331,0.035)); #497102=CARTESIAN_POINT('',(37.514828,51.277331,0.)); #497103=CARTESIAN_POINT('Origin',(37.498484,51.251784,0.)); #497104=CARTESIAN_POINT('',(37.498484,51.251784,0.)); #497105=CARTESIAN_POINT('',(37.498484,51.251784,0.)); #497106=CARTESIAN_POINT('',(37.498484,51.251784,0.035)); #497107=CARTESIAN_POINT('',(37.498484,51.251784,0.035)); #497108=CARTESIAN_POINT('',(37.498484,51.251784,0.)); #497109=CARTESIAN_POINT('Origin',(37.473472,51.234634,0.)); #497110=CARTESIAN_POINT('',(37.473472,51.234634,0.)); #497111=CARTESIAN_POINT('',(37.473472,51.234634,0.)); #497112=CARTESIAN_POINT('',(37.473472,51.234634,0.035)); #497113=CARTESIAN_POINT('',(37.473472,51.234634,0.035)); #497114=CARTESIAN_POINT('',(37.473472,51.234634,0.)); #497115=CARTESIAN_POINT('Origin',(37.44375,51.2286,0.)); #497116=CARTESIAN_POINT('',(37.44375,51.2286,0.)); #497117=CARTESIAN_POINT('',(37.44375,51.2286,0.)); #497118=CARTESIAN_POINT('',(37.44375,51.2286,0.035)); #497119=CARTESIAN_POINT('',(37.44375,51.2286,0.035)); #497120=CARTESIAN_POINT('',(37.44375,51.2286,0.)); #497121=CARTESIAN_POINT('Origin',(36.813753,51.2286,0.)); #497122=CARTESIAN_POINT('',(36.813753,51.2286,0.)); #497123=CARTESIAN_POINT('',(36.813753,51.2286,0.)); #497124=CARTESIAN_POINT('',(36.813753,51.2286,0.035)); #497125=CARTESIAN_POINT('',(36.813753,51.2286,0.035)); #497126=CARTESIAN_POINT('',(36.813753,51.2286,0.)); #497127=CARTESIAN_POINT('Origin',(36.785156,51.234169,0.)); #497128=CARTESIAN_POINT('',(36.785156,51.234169,0.)); #497129=CARTESIAN_POINT('',(36.785156,51.234169,0.)); #497130=CARTESIAN_POINT('',(36.785156,51.234169,0.035)); #497131=CARTESIAN_POINT('',(36.785156,51.234169,0.035)); #497132=CARTESIAN_POINT('',(36.785156,51.234169,0.)); #497133=CARTESIAN_POINT('Origin',(36.759872,51.250919,0.)); #497134=CARTESIAN_POINT('',(36.759872,51.250919,0.)); #497135=CARTESIAN_POINT('',(36.759872,51.250919,0.)); #497136=CARTESIAN_POINT('',(36.759872,51.250919,0.035)); #497137=CARTESIAN_POINT('',(36.759872,51.250919,0.035)); #497138=CARTESIAN_POINT('',(36.759872,51.250919,0.)); #497139=CARTESIAN_POINT('Origin',(34.625919,53.384872,0.)); #497140=CARTESIAN_POINT('',(34.625919,53.384872,0.)); #497141=CARTESIAN_POINT('',(34.625919,53.384872,0.)); #497142=CARTESIAN_POINT('',(34.625919,53.384872,0.035)); #497143=CARTESIAN_POINT('',(34.625919,53.384872,0.035)); #497144=CARTESIAN_POINT('',(34.625919,53.384872,0.)); #497145=CARTESIAN_POINT('Origin',(34.609634,53.409031,0.)); #497146=CARTESIAN_POINT('',(34.609634,53.409031,0.)); #497147=CARTESIAN_POINT('',(34.609634,53.409031,0.)); #497148=CARTESIAN_POINT('',(34.609634,53.409031,0.035)); #497149=CARTESIAN_POINT('',(34.609634,53.409031,0.035)); #497150=CARTESIAN_POINT('',(34.609634,53.409031,0.)); #497151=CARTESIAN_POINT('Origin',(34.6036,53.438753,0.)); #497152=CARTESIAN_POINT('',(34.6036,53.438753,0.)); #497153=CARTESIAN_POINT('',(34.6036,53.438753,0.)); #497154=CARTESIAN_POINT('',(34.6036,53.438753,0.035)); #497155=CARTESIAN_POINT('',(34.6036,53.438753,0.035)); #497156=CARTESIAN_POINT('',(34.6036,53.438753,0.)); #497157=CARTESIAN_POINT('Origin',(34.6036,53.873747,0.)); #497158=CARTESIAN_POINT('',(34.6036,53.873747,0.)); #497159=CARTESIAN_POINT('',(34.6036,53.873747,0.)); #497160=CARTESIAN_POINT('',(34.6036,53.873747,0.035)); #497161=CARTESIAN_POINT('',(34.6036,53.873747,0.035)); #497162=CARTESIAN_POINT('',(34.6036,53.873747,0.)); #497163=CARTESIAN_POINT('Origin',(34.609169,53.902344,0.)); #497164=CARTESIAN_POINT('',(34.609169,53.902344,0.)); #497165=CARTESIAN_POINT('',(34.609169,53.902344,0.)); #497166=CARTESIAN_POINT('',(34.609169,53.902344,0.035)); #497167=CARTESIAN_POINT('',(34.609169,53.902344,0.035)); #497168=CARTESIAN_POINT('',(34.609169,53.902344,0.)); #497169=CARTESIAN_POINT('Origin',(34.625919,53.927628,0.)); #497170=CARTESIAN_POINT('',(34.625919,53.927628,0.)); #497171=CARTESIAN_POINT('',(34.625919,53.927628,0.)); #497172=CARTESIAN_POINT('',(34.625919,53.927628,0.035)); #497173=CARTESIAN_POINT('',(34.625919,53.927628,0.035)); #497174=CARTESIAN_POINT('',(34.625919,53.927628,0.)); #497175=CARTESIAN_POINT('Origin',(34.9786,54.280309,0.)); #497176=CARTESIAN_POINT('',(34.9786,54.280309,0.)); #497177=CARTESIAN_POINT('',(34.9786,54.280309,0.)); #497178=CARTESIAN_POINT('',(34.9786,54.280309,0.035)); #497179=CARTESIAN_POINT('',(34.9786,54.280309,0.035)); #497180=CARTESIAN_POINT('',(34.9786,54.280309,0.)); #497181=CARTESIAN_POINT('Origin',(34.9786,54.592603,0.)); #497182=CARTESIAN_POINT('',(34.9786,54.592603,0.)); #497183=CARTESIAN_POINT('',(34.9786,54.592603,0.)); #497184=CARTESIAN_POINT('',(34.9786,54.592603,0.035)); #497185=CARTESIAN_POINT('',(34.9786,54.592603,0.035)); #497186=CARTESIAN_POINT('',(34.9786,54.592603,0.)); #497187=CARTESIAN_POINT('Origin',(34.984169,54.6212,0.)); #497188=CARTESIAN_POINT('',(34.984169,54.6212,0.)); #497189=CARTESIAN_POINT('',(34.984169,54.6212,0.)); #497190=CARTESIAN_POINT('',(34.984169,54.6212,0.035)); #497191=CARTESIAN_POINT('',(34.984169,54.6212,0.035)); #497192=CARTESIAN_POINT('',(34.984169,54.6212,0.)); #497193=CARTESIAN_POINT('Origin',(35.000919,54.646484,0.)); #497194=CARTESIAN_POINT('',(35.000919,54.646484,0.)); #497195=CARTESIAN_POINT('',(35.000919,54.646484,0.)); #497196=CARTESIAN_POINT('',(35.000919,54.646484,0.035)); #497197=CARTESIAN_POINT('',(35.000919,54.646484,0.035)); #497198=CARTESIAN_POINT('',(35.000919,54.646484,0.)); #497199=CARTESIAN_POINT('Origin',(35.1774,54.822966,0.)); #497200=CARTESIAN_POINT('',(35.1774,54.822966,0.)); #497201=CARTESIAN_POINT('',(35.1774,54.822966,0.)); #497202=CARTESIAN_POINT('',(35.1774,54.822966,0.035)); #497203=CARTESIAN_POINT('',(35.1774,54.822966,0.035)); #497204=CARTESIAN_POINT('',(35.1774,54.822966,0.)); #497205=CARTESIAN_POINT('Origin',(35.1774,55.177034,0.)); #497206=CARTESIAN_POINT('',(35.1774,55.177034,0.)); #497207=CARTESIAN_POINT('',(35.1774,55.177034,0.)); #497208=CARTESIAN_POINT('',(35.1774,55.177034,0.035)); #497209=CARTESIAN_POINT('',(35.1774,55.177034,0.035)); #497210=CARTESIAN_POINT('',(35.1774,55.177034,0.)); #497211=CARTESIAN_POINT('Origin',(34.927034,55.4274,0.)); #497212=CARTESIAN_POINT('',(34.927034,55.4274,0.)); #497213=CARTESIAN_POINT('',(34.927034,55.4274,0.)); #497214=CARTESIAN_POINT('',(34.927034,55.4274,0.035)); #497215=CARTESIAN_POINT('',(34.927034,55.4274,0.035)); #497216=CARTESIAN_POINT('',(34.927034,55.4274,0.)); #497217=CARTESIAN_POINT('Origin',(34.572966,55.4274,0.)); #497218=CARTESIAN_POINT('',(34.572966,55.4274,0.)); #497219=CARTESIAN_POINT('',(34.572966,55.4274,0.)); #497220=CARTESIAN_POINT('',(34.572966,55.4274,0.035)); #497221=CARTESIAN_POINT('',(34.572966,55.4274,0.035)); #497222=CARTESIAN_POINT('',(34.572966,55.4274,0.)); #497223=CARTESIAN_POINT('Origin',(34.428881,55.283316,0.)); #497224=CARTESIAN_POINT('',(34.428881,55.283316,0.)); #497225=CARTESIAN_POINT('',(34.428881,55.283316,0.)); #497226=CARTESIAN_POINT('',(34.428881,55.283316,0.035)); #497227=CARTESIAN_POINT('',(34.428881,55.283316,0.035)); #497228=CARTESIAN_POINT('',(34.428881,55.283316,0.)); #497229=CARTESIAN_POINT('Origin',(34.405834,55.267516,0.)); #497230=CARTESIAN_POINT('',(34.405834,55.267516,0.)); #497231=CARTESIAN_POINT('',(34.405834,55.267516,0.)); #497232=CARTESIAN_POINT('',(34.405834,55.267516,0.035)); #497233=CARTESIAN_POINT('',(34.405834,55.267516,0.035)); #497234=CARTESIAN_POINT('',(34.405834,55.267516,0.)); #497235=CARTESIAN_POINT('Origin',(34.376216,55.261006,0.)); #497236=CARTESIAN_POINT('',(34.376216,55.261006,0.)); #497237=CARTESIAN_POINT('',(34.376216,55.261006,0.)); #497238=CARTESIAN_POINT('',(34.376216,55.261006,0.035)); #497239=CARTESIAN_POINT('',(34.376216,55.261006,0.035)); #497240=CARTESIAN_POINT('',(34.376216,55.261006,0.)); #497241=CARTESIAN_POINT('Origin',(34.346403,55.266566,0.)); #497242=CARTESIAN_POINT('',(34.346403,55.266566,0.)); #497243=CARTESIAN_POINT('',(34.346403,55.266566,0.)); #497244=CARTESIAN_POINT('',(34.346403,55.266566,0.035)); #497245=CARTESIAN_POINT('',(34.346403,55.266566,0.035)); #497246=CARTESIAN_POINT('',(34.346403,55.266566,0.)); #497247=CARTESIAN_POINT('Origin',(34.321119,55.283316,0.)); #497248=CARTESIAN_POINT('',(34.321119,55.283316,0.)); #497249=CARTESIAN_POINT('',(34.321119,55.283316,0.)); #497250=CARTESIAN_POINT('',(34.321119,55.283316,0.035)); #497251=CARTESIAN_POINT('',(34.321119,55.283316,0.035)); #497252=CARTESIAN_POINT('',(34.321119,55.283316,0.)); #497253=CARTESIAN_POINT('Origin',(34.177034,55.4274,0.)); #497254=CARTESIAN_POINT('',(34.177034,55.4274,0.)); #497255=CARTESIAN_POINT('',(34.177034,55.4274,0.)); #497256=CARTESIAN_POINT('',(34.177034,55.4274,0.035)); #497257=CARTESIAN_POINT('',(34.177034,55.4274,0.035)); #497258=CARTESIAN_POINT('',(34.177034,55.4274,0.)); #497259=CARTESIAN_POINT('Origin',(33.822966,55.4274,0.)); #497260=CARTESIAN_POINT('',(33.822966,55.4274,0.)); #497261=CARTESIAN_POINT('',(33.822966,55.4274,0.)); #497262=CARTESIAN_POINT('',(33.822966,55.4274,0.035)); #497263=CARTESIAN_POINT('',(33.822966,55.4274,0.035)); #497264=CARTESIAN_POINT('',(33.822966,55.4274,0.)); #497265=CARTESIAN_POINT('Origin',(33.678881,55.283316,0.)); #497266=CARTESIAN_POINT('',(33.678881,55.283316,0.)); #497267=CARTESIAN_POINT('',(33.678881,55.283316,0.)); #497268=CARTESIAN_POINT('',(33.678881,55.283316,0.035)); #497269=CARTESIAN_POINT('',(33.678881,55.283316,0.035)); #497270=CARTESIAN_POINT('',(33.678881,55.283316,0.)); #497271=CARTESIAN_POINT('Origin',(33.655834,55.267516,0.)); #497272=CARTESIAN_POINT('',(33.655834,55.267516,0.)); #497273=CARTESIAN_POINT('',(33.655834,55.267516,0.)); #497274=CARTESIAN_POINT('',(33.655834,55.267516,0.035)); #497275=CARTESIAN_POINT('',(33.655834,55.267516,0.035)); #497276=CARTESIAN_POINT('',(33.655834,55.267516,0.)); #497277=CARTESIAN_POINT('Origin',(33.626216,55.261006,0.)); #497278=CARTESIAN_POINT('',(33.626216,55.261006,0.)); #497279=CARTESIAN_POINT('',(33.626216,55.261006,0.)); #497280=CARTESIAN_POINT('',(33.626216,55.261006,0.035)); #497281=CARTESIAN_POINT('',(33.626216,55.261006,0.035)); #497282=CARTESIAN_POINT('',(33.626216,55.261006,0.)); #497283=CARTESIAN_POINT('Origin',(33.596403,55.266566,0.)); #497284=CARTESIAN_POINT('',(33.596403,55.266566,0.)); #497285=CARTESIAN_POINT('',(33.596403,55.266566,0.)); #497286=CARTESIAN_POINT('',(33.596403,55.266566,0.035)); #497287=CARTESIAN_POINT('',(33.596403,55.266566,0.035)); #497288=CARTESIAN_POINT('',(33.596403,55.266566,0.)); #497289=CARTESIAN_POINT('Origin',(33.571119,55.283316,0.)); #497290=CARTESIAN_POINT('',(33.571119,55.283316,0.)); #497291=CARTESIAN_POINT('',(33.571119,55.283316,0.)); #497292=CARTESIAN_POINT('',(33.571119,55.283316,0.035)); #497293=CARTESIAN_POINT('',(33.571119,55.283316,0.035)); #497294=CARTESIAN_POINT('',(33.571119,55.283316,0.)); #497295=CARTESIAN_POINT('Origin',(33.427034,55.4274,0.)); #497296=CARTESIAN_POINT('',(33.427034,55.4274,0.)); #497297=CARTESIAN_POINT('',(33.427034,55.4274,0.)); #497298=CARTESIAN_POINT('',(33.427034,55.4274,0.035)); #497299=CARTESIAN_POINT('',(33.427034,55.4274,0.035)); #497300=CARTESIAN_POINT('',(33.427034,55.4274,0.)); #497301=CARTESIAN_POINT('Origin',(33.072966,55.4274,0.)); #497302=CARTESIAN_POINT('',(33.072966,55.4274,0.)); #497303=CARTESIAN_POINT('',(33.072966,55.4274,0.)); #497304=CARTESIAN_POINT('',(33.072966,55.4274,0.035)); #497305=CARTESIAN_POINT('',(33.072966,55.4274,0.035)); #497306=CARTESIAN_POINT('',(33.072966,55.4274,0.)); #497307=CARTESIAN_POINT('Origin',(32.8226,55.177034,0.)); #497308=CARTESIAN_POINT('',(32.8226,55.177034,0.)); #497309=CARTESIAN_POINT('',(32.8226,55.177034,0.)); #497310=CARTESIAN_POINT('',(32.8226,55.177034,0.035)); #497311=CARTESIAN_POINT('',(32.8226,55.177034,0.035)); #497312=CARTESIAN_POINT('',(32.8226,55.177034,0.)); #497313=CARTESIAN_POINT('Origin',(32.8226,54.822966,0.)); #497314=CARTESIAN_POINT('',(32.8226,54.822966,0.)); #497315=CARTESIAN_POINT('',(32.8226,54.822966,0.)); #497316=CARTESIAN_POINT('',(32.8226,54.822966,0.035)); #497317=CARTESIAN_POINT('',(32.8226,54.822966,0.035)); #497318=CARTESIAN_POINT('',(32.8226,54.822966,0.)); #497319=CARTESIAN_POINT('Origin',(32.999081,54.646484,0.)); #497320=CARTESIAN_POINT('',(32.999081,54.646484,0.)); #497321=CARTESIAN_POINT('',(32.999081,54.646484,0.)); #497322=CARTESIAN_POINT('',(32.999081,54.646484,0.035)); #497323=CARTESIAN_POINT('',(32.999081,54.646484,0.035)); #497324=CARTESIAN_POINT('',(32.999081,54.646484,0.)); #497325=CARTESIAN_POINT('Origin',(33.015366,54.622325,0.)); #497326=CARTESIAN_POINT('',(33.015366,54.622325,0.)); #497327=CARTESIAN_POINT('',(33.015366,54.622325,0.)); #497328=CARTESIAN_POINT('',(33.015366,54.622325,0.035)); #497329=CARTESIAN_POINT('',(33.015366,54.622325,0.035)); #497330=CARTESIAN_POINT('',(33.015366,54.622325,0.)); #497331=CARTESIAN_POINT('Origin',(33.0214,54.592603,0.)); #497332=CARTESIAN_POINT('',(33.0214,54.592603,0.)); #497333=CARTESIAN_POINT('',(33.0214,54.592603,0.)); #497334=CARTESIAN_POINT('',(33.0214,54.592603,0.035)); #497335=CARTESIAN_POINT('',(33.0214,54.592603,0.035)); #497336=CARTESIAN_POINT('',(33.0214,54.592603,0.)); #497337=CARTESIAN_POINT('Origin',(33.0214,54.280309,0.)); #497338=CARTESIAN_POINT('',(33.0214,54.280309,0.)); #497339=CARTESIAN_POINT('',(33.0214,54.280309,0.)); #497340=CARTESIAN_POINT('',(33.0214,54.280309,0.035)); #497341=CARTESIAN_POINT('',(33.0214,54.280309,0.035)); #497342=CARTESIAN_POINT('',(33.0214,54.280309,0.)); #497343=CARTESIAN_POINT('Origin',(33.374081,53.927628,0.)); #497344=CARTESIAN_POINT('',(33.374081,53.927628,0.)); #497345=CARTESIAN_POINT('',(33.374081,53.927628,0.)); #497346=CARTESIAN_POINT('',(33.374081,53.927628,0.035)); #497347=CARTESIAN_POINT('',(33.374081,53.927628,0.035)); #497348=CARTESIAN_POINT('',(33.374081,53.927628,0.)); #497349=CARTESIAN_POINT('Origin',(33.390366,53.903469,0.)); #497350=CARTESIAN_POINT('',(33.390366,53.903469,0.)); #497351=CARTESIAN_POINT('',(33.390366,53.903469,0.)); #497352=CARTESIAN_POINT('',(33.390366,53.903469,0.035)); #497353=CARTESIAN_POINT('',(33.390366,53.903469,0.035)); #497354=CARTESIAN_POINT('',(33.390366,53.903469,0.)); #497355=CARTESIAN_POINT('Origin',(33.3964,53.873747,0.)); #497356=CARTESIAN_POINT('',(33.3964,53.873747,0.)); #497357=CARTESIAN_POINT('',(33.3964,53.873747,0.)); #497358=CARTESIAN_POINT('',(33.3964,53.873747,0.035)); #497359=CARTESIAN_POINT('',(33.3964,53.873747,0.035)); #497360=CARTESIAN_POINT('',(33.3964,53.873747,0.)); #497361=CARTESIAN_POINT('Origin',(33.3964,52.842809,0.)); #497362=CARTESIAN_POINT('',(33.3964,52.842809,0.)); #497363=CARTESIAN_POINT('',(33.3964,52.842809,0.)); #497364=CARTESIAN_POINT('',(33.3964,52.842809,0.035)); #497365=CARTESIAN_POINT('',(33.3964,52.842809,0.035)); #497366=CARTESIAN_POINT('',(33.3964,52.842809,0.)); #497367=CARTESIAN_POINT('Origin',(36.217809,50.0214,0.)); #497368=CARTESIAN_POINT('',(36.217809,50.0214,0.)); #497369=CARTESIAN_POINT('',(36.217809,50.0214,0.)); #497370=CARTESIAN_POINT('',(36.217809,50.0214,0.035)); #497371=CARTESIAN_POINT('',(36.217809,50.0214,0.035)); #497372=CARTESIAN_POINT('',(36.217809,50.0214,0.)); #497373=CARTESIAN_POINT('Origin',(41.123747,50.0214,0.)); #497374=CARTESIAN_POINT('',(41.123747,50.0214,0.)); #497375=CARTESIAN_POINT('',(41.123747,50.0214,0.)); #497376=CARTESIAN_POINT('',(41.123747,50.0214,0.035)); #497377=CARTESIAN_POINT('',(41.123747,50.0214,0.035)); #497378=CARTESIAN_POINT('',(41.123747,50.0214,0.)); #497379=CARTESIAN_POINT('Origin',(41.152344,50.015831,0.)); #497380=CARTESIAN_POINT('',(41.152344,50.015831,0.)); #497381=CARTESIAN_POINT('',(41.152344,50.015831,0.)); #497382=CARTESIAN_POINT('',(41.152344,50.015831,0.035)); #497383=CARTESIAN_POINT('',(41.152344,50.015831,0.035)); #497384=CARTESIAN_POINT('',(41.152344,50.015831,0.)); #497385=CARTESIAN_POINT('Origin',(41.177628,49.999081,0.)); #497386=CARTESIAN_POINT('',(41.177628,49.999081,0.)); #497387=CARTESIAN_POINT('',(41.177628,49.999081,0.)); #497388=CARTESIAN_POINT('',(41.177628,49.999081,0.035)); #497389=CARTESIAN_POINT('',(41.177628,49.999081,0.035)); #497390=CARTESIAN_POINT('',(41.177628,49.999081,0.)); #497391=CARTESIAN_POINT('Origin',(41.749081,49.427628,0.)); #497392=CARTESIAN_POINT('',(41.749081,49.427628,0.)); #497393=CARTESIAN_POINT('',(41.749081,49.427628,0.)); #497394=CARTESIAN_POINT('',(41.749081,49.427628,0.035)); #497395=CARTESIAN_POINT('',(41.749081,49.427628,0.035)); #497396=CARTESIAN_POINT('',(41.749081,49.427628,0.)); #497397=CARTESIAN_POINT('Origin',(41.765366,49.403469,0.)); #497398=CARTESIAN_POINT('',(41.765366,49.403469,0.)); #497399=CARTESIAN_POINT('',(41.765366,49.403469,0.)); #497400=CARTESIAN_POINT('',(41.765366,49.403469,0.035)); #497401=CARTESIAN_POINT('',(41.765366,49.403469,0.035)); #497402=CARTESIAN_POINT('',(41.765366,49.403469,0.)); #497403=CARTESIAN_POINT('Origin',(41.7714,49.373747,0.)); #497404=CARTESIAN_POINT('',(41.7714,49.373747,0.)); #497405=CARTESIAN_POINT('',(41.7714,49.373747,0.)); #497406=CARTESIAN_POINT('',(41.7714,49.373747,0.035)); #497407=CARTESIAN_POINT('',(41.7714,49.373747,0.035)); #497408=CARTESIAN_POINT('',(41.7714,49.373747,0.)); #497409=CARTESIAN_POINT('Origin',(41.7714,42.655309,0.)); #497410=CARTESIAN_POINT('',(41.7714,42.655309,0.)); #497411=CARTESIAN_POINT('',(41.7714,42.655309,0.)); #497412=CARTESIAN_POINT('',(41.7714,42.655309,0.035)); #497413=CARTESIAN_POINT('',(41.7714,42.655309,0.035)); #497414=CARTESIAN_POINT('',(41.7714,42.655309,0.)); #497415=CARTESIAN_POINT('Origin',(43.155309,41.2714,0.)); #497416=CARTESIAN_POINT('',(43.155309,41.2714,0.)); #497417=CARTESIAN_POINT('',(43.155309,41.2714,0.)); #497418=CARTESIAN_POINT('',(43.155309,41.2714,0.035)); #497419=CARTESIAN_POINT('',(43.155309,41.2714,0.035)); #497420=CARTESIAN_POINT('',(43.155309,41.2714,0.)); #497421=CARTESIAN_POINT('Origin',(46.623747,41.2714,0.)); #497422=CARTESIAN_POINT('',(46.623747,41.2714,0.)); #497423=CARTESIAN_POINT('',(46.623747,41.2714,0.)); #497424=CARTESIAN_POINT('',(46.623747,41.2714,0.035)); #497425=CARTESIAN_POINT('',(46.623747,41.2714,0.035)); #497426=CARTESIAN_POINT('',(46.623747,41.2714,0.)); #497427=CARTESIAN_POINT('Origin',(46.652344,41.265831,0.)); #497428=CARTESIAN_POINT('',(46.652344,41.265831,0.)); #497429=CARTESIAN_POINT('',(46.652344,41.265831,0.)); #497430=CARTESIAN_POINT('',(46.652344,41.265831,0.035)); #497431=CARTESIAN_POINT('',(46.652344,41.265831,0.035)); #497432=CARTESIAN_POINT('',(46.652344,41.265831,0.)); #497433=CARTESIAN_POINT('Origin',(46.677628,41.249081,0.)); #497434=CARTESIAN_POINT('',(46.677628,41.249081,0.)); #497435=CARTESIAN_POINT('',(46.677628,41.249081,0.)); #497436=CARTESIAN_POINT('',(46.677628,41.249081,0.035)); #497437=CARTESIAN_POINT('',(46.677628,41.249081,0.035)); #497438=CARTESIAN_POINT('',(46.677628,41.249081,0.)); #497439=CARTESIAN_POINT('Origin',(48.155309,39.7714,0.)); #497440=CARTESIAN_POINT('',(48.155309,39.7714,0.)); #497441=CARTESIAN_POINT('',(48.155309,39.7714,0.)); #497442=CARTESIAN_POINT('',(48.155309,39.7714,0.035)); #497443=CARTESIAN_POINT('',(48.155309,39.7714,0.035)); #497444=CARTESIAN_POINT('',(48.155309,39.7714,0.)); #497445=CARTESIAN_POINT('Origin',(57.373747,39.7714,0.)); #497446=CARTESIAN_POINT('',(57.373747,39.7714,0.)); #497447=CARTESIAN_POINT('',(57.373747,39.7714,0.)); #497448=CARTESIAN_POINT('',(57.373747,39.7714,0.035)); #497449=CARTESIAN_POINT('',(57.373747,39.7714,0.035)); #497450=CARTESIAN_POINT('',(57.373747,39.7714,0.)); #497451=CARTESIAN_POINT('Origin',(57.402344,39.765831,0.)); #497452=CARTESIAN_POINT('',(57.402344,39.765831,0.)); #497453=CARTESIAN_POINT('',(57.402344,39.765831,0.)); #497454=CARTESIAN_POINT('',(57.402344,39.765831,0.035)); #497455=CARTESIAN_POINT('',(57.402344,39.765831,0.035)); #497456=CARTESIAN_POINT('',(57.402344,39.765831,0.)); #497457=CARTESIAN_POINT('Origin',(57.427628,39.749081,0.)); #497458=CARTESIAN_POINT('',(57.427628,39.749081,0.)); #497459=CARTESIAN_POINT('',(57.427628,39.749081,0.)); #497460=CARTESIAN_POINT('',(57.427628,39.749081,0.035)); #497461=CARTESIAN_POINT('',(57.427628,39.749081,0.035)); #497462=CARTESIAN_POINT('',(57.427628,39.749081,0.)); #497463=CARTESIAN_POINT('Origin',(59.249081,37.927628,0.)); #497464=CARTESIAN_POINT('',(59.249081,37.927628,0.)); #497465=CARTESIAN_POINT('',(59.249081,37.927628,0.)); #497466=CARTESIAN_POINT('',(59.249081,37.927628,0.035)); #497467=CARTESIAN_POINT('',(59.249081,37.927628,0.035)); #497468=CARTESIAN_POINT('',(59.249081,37.927628,0.)); #497469=CARTESIAN_POINT('Origin',(59.265366,37.903469,0.)); #497470=CARTESIAN_POINT('',(59.265366,37.903469,0.)); #497471=CARTESIAN_POINT('',(59.265366,37.903469,0.)); #497472=CARTESIAN_POINT('',(59.265366,37.903469,0.035)); #497473=CARTESIAN_POINT('',(59.265366,37.903469,0.035)); #497474=CARTESIAN_POINT('',(59.265366,37.903469,0.)); #497475=CARTESIAN_POINT('Origin',(59.2714,37.873747,0.)); #497476=CARTESIAN_POINT('',(59.2714,37.873747,0.)); #497477=CARTESIAN_POINT('',(59.2714,37.873747,0.)); #497478=CARTESIAN_POINT('',(59.2714,37.873747,0.035)); #497479=CARTESIAN_POINT('',(59.2714,37.873747,0.035)); #497480=CARTESIAN_POINT('',(59.2714,37.873747,0.)); #497481=CARTESIAN_POINT('Origin',(59.2714,19.876253,0.)); #497482=CARTESIAN_POINT('',(59.2714,19.876253,0.)); #497483=CARTESIAN_POINT('',(59.2714,19.876253,0.)); #497484=CARTESIAN_POINT('',(59.2714,19.876253,0.035)); #497485=CARTESIAN_POINT('',(59.2714,19.876253,0.035)); #497486=CARTESIAN_POINT('',(59.2714,19.876253,0.)); #497487=CARTESIAN_POINT('Origin',(59.265831,19.847656,0.)); #497488=CARTESIAN_POINT('',(59.265831,19.847656,0.)); #497489=CARTESIAN_POINT('',(59.265831,19.847656,0.)); #497490=CARTESIAN_POINT('',(59.265831,19.847656,0.035)); #497491=CARTESIAN_POINT('',(59.265831,19.847656,0.035)); #497492=CARTESIAN_POINT('',(59.265831,19.847656,0.)); #497493=CARTESIAN_POINT('Origin',(59.249081,19.822372,0.)); #497494=CARTESIAN_POINT('',(59.249081,19.822372,0.)); #497495=CARTESIAN_POINT('',(59.249081,19.822372,0.)); #497496=CARTESIAN_POINT('',(59.249081,19.822372,0.035)); #497497=CARTESIAN_POINT('',(59.249081,19.822372,0.035)); #497498=CARTESIAN_POINT('',(59.249081,19.822372,0.)); #497499=CARTESIAN_POINT('Origin',(54.302628,14.875919,0.)); #497500=CARTESIAN_POINT('',(54.302628,14.875919,0.)); #497501=CARTESIAN_POINT('',(54.302628,14.875919,0.)); #497502=CARTESIAN_POINT('',(54.302628,14.875919,0.035)); #497503=CARTESIAN_POINT('',(54.302628,14.875919,0.035)); #497504=CARTESIAN_POINT('',(54.302628,14.875919,0.)); #497505=CARTESIAN_POINT('Origin',(54.278469,14.859634,0.)); #497506=CARTESIAN_POINT('',(54.278469,14.859634,0.)); #497507=CARTESIAN_POINT('',(54.278469,14.859634,0.)); #497508=CARTESIAN_POINT('',(54.278469,14.859634,0.035)); #497509=CARTESIAN_POINT('',(54.278469,14.859634,0.035)); #497510=CARTESIAN_POINT('',(54.278469,14.859634,0.)); #497511=CARTESIAN_POINT('Origin',(54.248747,14.8536,0.)); #497512=CARTESIAN_POINT('',(54.248747,14.8536,0.)); #497513=CARTESIAN_POINT('',(54.248747,14.8536,0.)); #497514=CARTESIAN_POINT('',(54.248747,14.8536,0.035)); #497515=CARTESIAN_POINT('',(54.248747,14.8536,0.035)); #497516=CARTESIAN_POINT('',(54.248747,14.8536,0.)); #497517=CARTESIAN_POINT('Origin',(43.313753,14.8536,0.)); #497518=CARTESIAN_POINT('',(43.313753,14.8536,0.)); #497519=CARTESIAN_POINT('',(43.313753,14.8536,0.)); #497520=CARTESIAN_POINT('',(43.313753,14.8536,0.035)); #497521=CARTESIAN_POINT('',(43.313753,14.8536,0.035)); #497522=CARTESIAN_POINT('',(43.313753,14.8536,0.)); #497523=CARTESIAN_POINT('Origin',(43.285156,14.859169,0.)); #497524=CARTESIAN_POINT('',(43.285156,14.859169,0.)); #497525=CARTESIAN_POINT('',(43.285156,14.859169,0.)); #497526=CARTESIAN_POINT('',(43.285156,14.859169,0.035)); #497527=CARTESIAN_POINT('',(43.285156,14.859169,0.035)); #497528=CARTESIAN_POINT('',(43.285156,14.859169,0.)); #497529=CARTESIAN_POINT('Origin',(43.259872,14.875919,0.)); #497530=CARTESIAN_POINT('',(43.259872,14.875919,0.)); #497531=CARTESIAN_POINT('',(43.259872,14.875919,0.)); #497532=CARTESIAN_POINT('',(43.259872,14.875919,0.035)); #497533=CARTESIAN_POINT('',(43.259872,14.875919,0.035)); #497534=CARTESIAN_POINT('',(43.259872,14.875919,0.)); #497535=CARTESIAN_POINT('Origin',(43.032191,15.1036,0.)); #497536=CARTESIAN_POINT('',(43.032191,15.1036,0.)); #497537=CARTESIAN_POINT('',(43.032191,15.1036,0.)); #497538=CARTESIAN_POINT('',(43.032191,15.1036,0.035)); #497539=CARTESIAN_POINT('',(43.032191,15.1036,0.035)); #497540=CARTESIAN_POINT('',(43.032191,15.1036,0.)); #497541=CARTESIAN_POINT('Origin',(41.751253,15.1036,0.)); #497542=CARTESIAN_POINT('',(41.751253,15.1036,0.)); #497543=CARTESIAN_POINT('',(41.751253,15.1036,0.)); #497544=CARTESIAN_POINT('',(41.751253,15.1036,0.035)); #497545=CARTESIAN_POINT('',(41.751253,15.1036,0.035)); #497546=CARTESIAN_POINT('',(41.751253,15.1036,0.)); #497547=CARTESIAN_POINT('Origin',(41.722656,15.109169,0.)); #497548=CARTESIAN_POINT('',(41.722656,15.109169,0.)); #497549=CARTESIAN_POINT('',(41.722656,15.109169,0.)); #497550=CARTESIAN_POINT('',(41.722656,15.109169,0.035)); #497551=CARTESIAN_POINT('',(41.722656,15.109169,0.035)); #497552=CARTESIAN_POINT('',(41.722656,15.109169,0.)); #497553=CARTESIAN_POINT('Origin',(41.697372,15.125919,0.)); #497554=CARTESIAN_POINT('',(41.697372,15.125919,0.)); #497555=CARTESIAN_POINT('',(41.697372,15.125919,0.)); #497556=CARTESIAN_POINT('',(41.697372,15.125919,0.035)); #497557=CARTESIAN_POINT('',(41.697372,15.125919,0.035)); #497558=CARTESIAN_POINT('',(41.697372,15.125919,0.)); #497559=CARTESIAN_POINT('Origin',(40.094691,16.7286,0.)); #497560=CARTESIAN_POINT('',(40.094691,16.7286,0.)); #497561=CARTESIAN_POINT('',(40.094691,16.7286,0.)); #497562=CARTESIAN_POINT('',(40.094691,16.7286,0.035)); #497563=CARTESIAN_POINT('',(40.094691,16.7286,0.035)); #497564=CARTESIAN_POINT('',(40.094691,16.7286,0.)); #497565=CARTESIAN_POINT('Origin',(39.657397,16.7286,0.)); #497566=CARTESIAN_POINT('',(39.657397,16.7286,0.)); #497567=CARTESIAN_POINT('',(39.657397,16.7286,0.)); #497568=CARTESIAN_POINT('',(39.657397,16.7286,0.035)); #497569=CARTESIAN_POINT('',(39.657397,16.7286,0.035)); #497570=CARTESIAN_POINT('',(39.657397,16.7286,0.)); #497571=CARTESIAN_POINT('Origin',(39.6288,16.734169,0.)); #497572=CARTESIAN_POINT('',(39.6288,16.734169,0.)); #497573=CARTESIAN_POINT('',(39.6288,16.734169,0.)); #497574=CARTESIAN_POINT('',(39.6288,16.734169,0.035)); #497575=CARTESIAN_POINT('',(39.6288,16.734169,0.035)); #497576=CARTESIAN_POINT('',(39.6288,16.734169,0.)); #497577=CARTESIAN_POINT('Origin',(39.603516,16.750919,0.)); #497578=CARTESIAN_POINT('',(39.603516,16.750919,0.)); #497579=CARTESIAN_POINT('',(39.603516,16.750919,0.)); #497580=CARTESIAN_POINT('',(39.603516,16.750919,0.035)); #497581=CARTESIAN_POINT('',(39.603516,16.750919,0.035)); #497582=CARTESIAN_POINT('',(39.603516,16.750919,0.)); #497583=CARTESIAN_POINT('Origin',(39.408316,16.946119,0.)); #497584=CARTESIAN_POINT('',(39.408316,16.946119,0.)); #497585=CARTESIAN_POINT('',(39.408316,16.946119,0.)); #497586=CARTESIAN_POINT('',(39.408316,16.946119,0.035)); #497587=CARTESIAN_POINT('',(39.408316,16.946119,0.035)); #497588=CARTESIAN_POINT('',(39.408316,16.946119,0.)); #497589=CARTESIAN_POINT('Origin',(39.392516,16.969166,0.)); #497590=CARTESIAN_POINT('',(39.392516,16.969166,0.)); #497591=CARTESIAN_POINT('',(39.392516,16.969166,0.)); #497592=CARTESIAN_POINT('',(39.392516,16.969166,0.035)); #497593=CARTESIAN_POINT('',(39.392516,16.969166,0.035)); #497594=CARTESIAN_POINT('',(39.392516,16.969166,0.)); #497595=CARTESIAN_POINT('Origin',(39.386006,16.998784,0.)); #497596=CARTESIAN_POINT('',(39.386006,16.998784,0.)); #497597=CARTESIAN_POINT('',(39.386006,16.998784,0.)); #497598=CARTESIAN_POINT('',(39.386006,16.998784,0.035)); #497599=CARTESIAN_POINT('',(39.386006,16.998784,0.035)); #497600=CARTESIAN_POINT('',(39.386006,16.998784,0.)); #497601=CARTESIAN_POINT('Origin',(39.391566,17.028597,0.)); #497602=CARTESIAN_POINT('',(39.391566,17.028597,0.)); #497603=CARTESIAN_POINT('',(39.391566,17.028597,0.)); #497604=CARTESIAN_POINT('',(39.391566,17.028597,0.035)); #497605=CARTESIAN_POINT('',(39.391566,17.028597,0.035)); #497606=CARTESIAN_POINT('',(39.391566,17.028597,0.)); #497607=CARTESIAN_POINT('Origin',(39.408316,17.053881,0.)); #497608=CARTESIAN_POINT('',(39.408316,17.053881,0.)); #497609=CARTESIAN_POINT('',(39.408316,17.053881,0.)); #497610=CARTESIAN_POINT('',(39.408316,17.053881,0.035)); #497611=CARTESIAN_POINT('',(39.408316,17.053881,0.035)); #497612=CARTESIAN_POINT('',(39.408316,17.053881,0.)); #497613=CARTESIAN_POINT('Origin',(39.6774,17.322966,0.)); #497614=CARTESIAN_POINT('',(39.6774,17.322966,0.)); #497615=CARTESIAN_POINT('',(39.6774,17.322966,0.)); #497616=CARTESIAN_POINT('',(39.6774,17.322966,0.035)); #497617=CARTESIAN_POINT('',(39.6774,17.322966,0.035)); #497618=CARTESIAN_POINT('',(39.6774,17.322966,0.)); #497619=CARTESIAN_POINT('Origin',(39.6774,17.677034,0.)); #497620=CARTESIAN_POINT('',(39.6774,17.677034,0.)); #497621=CARTESIAN_POINT('',(39.6774,17.677034,0.)); #497622=CARTESIAN_POINT('',(39.6774,17.677034,0.035)); #497623=CARTESIAN_POINT('',(39.6774,17.677034,0.035)); #497624=CARTESIAN_POINT('',(39.6774,17.677034,0.)); #497625=CARTESIAN_POINT('Origin',(39.533316,17.821119,0.)); #497626=CARTESIAN_POINT('',(39.533316,17.821119,0.)); #497627=CARTESIAN_POINT('',(39.533316,17.821119,0.)); #497628=CARTESIAN_POINT('',(39.533316,17.821119,0.035)); #497629=CARTESIAN_POINT('',(39.533316,17.821119,0.035)); #497630=CARTESIAN_POINT('',(39.533316,17.821119,0.)); #497631=CARTESIAN_POINT('Origin',(39.517516,17.844166,0.)); #497632=CARTESIAN_POINT('',(39.517516,17.844166,0.)); #497633=CARTESIAN_POINT('',(39.517516,17.844166,0.)); #497634=CARTESIAN_POINT('',(39.517516,17.844166,0.035)); #497635=CARTESIAN_POINT('',(39.517516,17.844166,0.035)); #497636=CARTESIAN_POINT('',(39.517516,17.844166,0.)); #497637=CARTESIAN_POINT('Origin',(39.511006,17.873784,0.)); #497638=CARTESIAN_POINT('',(39.511006,17.873784,0.)); #497639=CARTESIAN_POINT('',(39.511006,17.873784,0.)); #497640=CARTESIAN_POINT('',(39.511006,17.873784,0.035)); #497641=CARTESIAN_POINT('',(39.511006,17.873784,0.035)); #497642=CARTESIAN_POINT('',(39.511006,17.873784,0.)); #497643=CARTESIAN_POINT('Origin',(39.516566,17.903597,0.)); #497644=CARTESIAN_POINT('',(39.516566,17.903597,0.)); #497645=CARTESIAN_POINT('',(39.516566,17.903597,0.)); #497646=CARTESIAN_POINT('',(39.516566,17.903597,0.035)); #497647=CARTESIAN_POINT('',(39.516566,17.903597,0.035)); #497648=CARTESIAN_POINT('',(39.516566,17.903597,0.)); #497649=CARTESIAN_POINT('Origin',(39.533316,17.928881,0.)); #497650=CARTESIAN_POINT('',(39.533316,17.928881,0.)); #497651=CARTESIAN_POINT('',(39.533316,17.928881,0.)); #497652=CARTESIAN_POINT('',(39.533316,17.928881,0.035)); #497653=CARTESIAN_POINT('',(39.533316,17.928881,0.035)); #497654=CARTESIAN_POINT('',(39.533316,17.928881,0.)); #497655=CARTESIAN_POINT('Origin',(39.603516,17.999081,0.)); #497656=CARTESIAN_POINT('',(39.603516,17.999081,0.)); #497657=CARTESIAN_POINT('',(39.603516,17.999081,0.)); #497658=CARTESIAN_POINT('',(39.603516,17.999081,0.035)); #497659=CARTESIAN_POINT('',(39.603516,17.999081,0.035)); #497660=CARTESIAN_POINT('',(39.603516,17.999081,0.)); #497661=CARTESIAN_POINT('Origin',(39.627675,18.015366,0.)); #497662=CARTESIAN_POINT('',(39.627675,18.015366,0.)); #497663=CARTESIAN_POINT('',(39.627675,18.015366,0.)); #497664=CARTESIAN_POINT('',(39.627675,18.015366,0.035)); #497665=CARTESIAN_POINT('',(39.627675,18.015366,0.035)); #497666=CARTESIAN_POINT('',(39.627675,18.015366,0.)); #497667=CARTESIAN_POINT('Origin',(39.657397,18.0214,0.)); #497668=CARTESIAN_POINT('',(39.657397,18.0214,0.)); #497669=CARTESIAN_POINT('',(39.657397,18.0214,0.)); #497670=CARTESIAN_POINT('',(39.657397,18.0214,0.035)); #497671=CARTESIAN_POINT('',(39.657397,18.0214,0.035)); #497672=CARTESIAN_POINT('',(39.657397,18.0214,0.)); #497673=CARTESIAN_POINT('Origin',(41.373747,18.0214,0.)); #497674=CARTESIAN_POINT('',(41.373747,18.0214,0.)); #497675=CARTESIAN_POINT('',(41.373747,18.0214,0.)); #497676=CARTESIAN_POINT('',(41.373747,18.0214,0.035)); #497677=CARTESIAN_POINT('',(41.373747,18.0214,0.035)); #497678=CARTESIAN_POINT('',(41.373747,18.0214,0.)); #497679=CARTESIAN_POINT('Origin',(41.402344,18.015831,0.)); #497680=CARTESIAN_POINT('',(41.402344,18.015831,0.)); #497681=CARTESIAN_POINT('',(41.402344,18.015831,0.)); #497682=CARTESIAN_POINT('',(41.402344,18.015831,0.035)); #497683=CARTESIAN_POINT('',(41.402344,18.015831,0.035)); #497684=CARTESIAN_POINT('',(41.402344,18.015831,0.)); #497685=CARTESIAN_POINT('Origin',(41.427628,17.999081,0.)); #497686=CARTESIAN_POINT('',(41.427628,17.999081,0.)); #497687=CARTESIAN_POINT('',(41.427628,17.999081,0.)); #497688=CARTESIAN_POINT('',(41.427628,17.999081,0.035)); #497689=CARTESIAN_POINT('',(41.427628,17.999081,0.035)); #497690=CARTESIAN_POINT('',(41.427628,17.999081,0.)); #497691=CARTESIAN_POINT('Origin',(42.249081,17.177628,0.)); #497692=CARTESIAN_POINT('',(42.249081,17.177628,0.)); #497693=CARTESIAN_POINT('',(42.249081,17.177628,0.)); #497694=CARTESIAN_POINT('',(42.249081,17.177628,0.035)); #497695=CARTESIAN_POINT('',(42.249081,17.177628,0.035)); #497696=CARTESIAN_POINT('',(42.249081,17.177628,0.)); #497697=CARTESIAN_POINT('Origin',(42.265366,17.153469,0.)); #497698=CARTESIAN_POINT('',(42.265366,17.153469,0.)); #497699=CARTESIAN_POINT('',(42.265366,17.153469,0.)); #497700=CARTESIAN_POINT('',(42.265366,17.153469,0.035)); #497701=CARTESIAN_POINT('',(42.265366,17.153469,0.035)); #497702=CARTESIAN_POINT('',(42.265366,17.153469,0.)); #497703=CARTESIAN_POINT('Origin',(42.2714,17.123747,0.)); #497704=CARTESIAN_POINT('',(42.2714,17.123747,0.)); #497705=CARTESIAN_POINT('',(42.2714,17.123747,0.)); #497706=CARTESIAN_POINT('',(42.2714,17.123747,0.035)); #497707=CARTESIAN_POINT('',(42.2714,17.123747,0.035)); #497708=CARTESIAN_POINT('',(42.2714,17.123747,0.)); #497709=CARTESIAN_POINT('Origin',(42.2714,16.407397,0.)); #497710=CARTESIAN_POINT('',(42.2714,16.407397,0.)); #497711=CARTESIAN_POINT('',(42.2714,16.407397,0.)); #497712=CARTESIAN_POINT('',(42.2714,16.407397,0.035)); #497713=CARTESIAN_POINT('',(42.2714,16.407397,0.035)); #497714=CARTESIAN_POINT('',(42.2714,16.407397,0.)); #497715=CARTESIAN_POINT('Origin',(42.265831,16.3788,0.)); #497716=CARTESIAN_POINT('',(42.265831,16.3788,0.)); #497717=CARTESIAN_POINT('',(42.265831,16.3788,0.)); #497718=CARTESIAN_POINT('',(42.265831,16.3788,0.035)); #497719=CARTESIAN_POINT('',(42.265831,16.3788,0.035)); #497720=CARTESIAN_POINT('',(42.265831,16.3788,0.)); #497721=CARTESIAN_POINT('Origin',(42.249081,16.353516,0.)); #497722=CARTESIAN_POINT('',(42.249081,16.353516,0.)); #497723=CARTESIAN_POINT('',(42.249081,16.353516,0.)); #497724=CARTESIAN_POINT('',(42.249081,16.353516,0.035)); #497725=CARTESIAN_POINT('',(42.249081,16.353516,0.035)); #497726=CARTESIAN_POINT('',(42.249081,16.353516,0.)); #497727=CARTESIAN_POINT('Origin',(42.0726,16.177034,0.)); #497728=CARTESIAN_POINT('',(42.0726,16.177034,0.)); #497729=CARTESIAN_POINT('',(42.0726,16.177034,0.)); #497730=CARTESIAN_POINT('',(42.0726,16.177034,0.035)); #497731=CARTESIAN_POINT('',(42.0726,16.177034,0.035)); #497732=CARTESIAN_POINT('',(42.0726,16.177034,0.)); #497733=CARTESIAN_POINT('Origin',(42.0726,15.822966,0.)); #497734=CARTESIAN_POINT('',(42.0726,15.822966,0.)); #497735=CARTESIAN_POINT('',(42.0726,15.822966,0.)); #497736=CARTESIAN_POINT('',(42.0726,15.822966,0.035)); #497737=CARTESIAN_POINT('',(42.0726,15.822966,0.035)); #497738=CARTESIAN_POINT('',(42.0726,15.822966,0.)); #497739=CARTESIAN_POINT('Origin',(42.322966,15.5726,0.)); #497740=CARTESIAN_POINT('',(42.322966,15.5726,0.)); #497741=CARTESIAN_POINT('',(42.322966,15.5726,0.)); #497742=CARTESIAN_POINT('',(42.322966,15.5726,0.035)); #497743=CARTESIAN_POINT('',(42.322966,15.5726,0.035)); #497744=CARTESIAN_POINT('',(42.322966,15.5726,0.)); #497745=CARTESIAN_POINT('Origin',(42.677034,15.5726,0.)); #497746=CARTESIAN_POINT('',(42.677034,15.5726,0.)); #497747=CARTESIAN_POINT('',(42.677034,15.5726,0.)); #497748=CARTESIAN_POINT('',(42.677034,15.5726,0.035)); #497749=CARTESIAN_POINT('',(42.677034,15.5726,0.035)); #497750=CARTESIAN_POINT('',(42.677034,15.5726,0.)); #497751=CARTESIAN_POINT('Origin',(42.9274,15.822966,0.)); #497752=CARTESIAN_POINT('',(42.9274,15.822966,0.)); #497753=CARTESIAN_POINT('',(42.9274,15.822966,0.)); #497754=CARTESIAN_POINT('',(42.9274,15.822966,0.035)); #497755=CARTESIAN_POINT('',(42.9274,15.822966,0.035)); #497756=CARTESIAN_POINT('',(42.9274,15.822966,0.)); #497757=CARTESIAN_POINT('Origin',(42.9274,16.177034,0.)); #497758=CARTESIAN_POINT('',(42.9274,16.177034,0.)); #497759=CARTESIAN_POINT('',(42.9274,16.177034,0.)); #497760=CARTESIAN_POINT('',(42.9274,16.177034,0.035)); #497761=CARTESIAN_POINT('',(42.9274,16.177034,0.035)); #497762=CARTESIAN_POINT('',(42.9274,16.177034,0.)); #497763=CARTESIAN_POINT('Origin',(42.750919,16.353516,0.)); #497764=CARTESIAN_POINT('',(42.750919,16.353516,0.)); #497765=CARTESIAN_POINT('',(42.750919,16.353516,0.)); #497766=CARTESIAN_POINT('',(42.750919,16.353516,0.035)); #497767=CARTESIAN_POINT('',(42.750919,16.353516,0.035)); #497768=CARTESIAN_POINT('',(42.750919,16.353516,0.)); #497769=CARTESIAN_POINT('Origin',(42.734634,16.377675,0.)); #497770=CARTESIAN_POINT('',(42.734634,16.377675,0.)); #497771=CARTESIAN_POINT('',(42.734634,16.377675,0.)); #497772=CARTESIAN_POINT('',(42.734634,16.377675,0.035)); #497773=CARTESIAN_POINT('',(42.734634,16.377675,0.035)); #497774=CARTESIAN_POINT('',(42.734634,16.377675,0.)); #497775=CARTESIAN_POINT('Origin',(42.7286,16.407397,0.)); #497776=CARTESIAN_POINT('',(42.7286,16.407397,0.)); #497777=CARTESIAN_POINT('',(42.7286,16.407397,0.)); #497778=CARTESIAN_POINT('',(42.7286,16.407397,0.035)); #497779=CARTESIAN_POINT('',(42.7286,16.407397,0.035)); #497780=CARTESIAN_POINT('',(42.7286,16.407397,0.)); #497781=CARTESIAN_POINT('Origin',(42.7286,17.344691,0.)); #497782=CARTESIAN_POINT('',(42.7286,17.344691,0.)); #497783=CARTESIAN_POINT('',(42.7286,17.344691,0.)); #497784=CARTESIAN_POINT('',(42.7286,17.344691,0.035)); #497785=CARTESIAN_POINT('',(42.7286,17.344691,0.035)); #497786=CARTESIAN_POINT('',(42.7286,17.344691,0.)); #497787=CARTESIAN_POINT('Origin',(41.594691,18.4786,0.)); #497788=CARTESIAN_POINT('',(41.594691,18.4786,0.)); #497789=CARTESIAN_POINT('',(41.594691,18.4786,0.)); #497790=CARTESIAN_POINT('',(41.594691,18.4786,0.035)); #497791=CARTESIAN_POINT('',(41.594691,18.4786,0.035)); #497792=CARTESIAN_POINT('',(41.594691,18.4786,0.)); #497793=CARTESIAN_POINT('Origin',(39.657397,18.4786,0.)); #497794=CARTESIAN_POINT('',(39.657397,18.4786,0.)); #497795=CARTESIAN_POINT('',(39.657397,18.4786,0.)); #497796=CARTESIAN_POINT('',(39.657397,18.4786,0.035)); #497797=CARTESIAN_POINT('',(39.657397,18.4786,0.035)); #497798=CARTESIAN_POINT('',(39.657397,18.4786,0.)); #497799=CARTESIAN_POINT('Origin',(39.6288,18.484169,0.)); #497800=CARTESIAN_POINT('',(39.6288,18.484169,0.)); #497801=CARTESIAN_POINT('',(39.6288,18.484169,0.)); #497802=CARTESIAN_POINT('',(39.6288,18.484169,0.035)); #497803=CARTESIAN_POINT('',(39.6288,18.484169,0.035)); #497804=CARTESIAN_POINT('',(39.6288,18.484169,0.)); #497805=CARTESIAN_POINT('Origin',(39.603516,18.500919,0.)); #497806=CARTESIAN_POINT('',(39.603516,18.500919,0.)); #497807=CARTESIAN_POINT('',(39.603516,18.500919,0.)); #497808=CARTESIAN_POINT('',(39.603516,18.500919,0.035)); #497809=CARTESIAN_POINT('',(39.603516,18.500919,0.035)); #497810=CARTESIAN_POINT('',(39.603516,18.500919,0.)); #497811=CARTESIAN_POINT('Origin',(39.533316,18.571119,0.)); #497812=CARTESIAN_POINT('',(39.533316,18.571119,0.)); #497813=CARTESIAN_POINT('',(39.533316,18.571119,0.)); #497814=CARTESIAN_POINT('',(39.533316,18.571119,0.035)); #497815=CARTESIAN_POINT('',(39.533316,18.571119,0.035)); #497816=CARTESIAN_POINT('',(39.533316,18.571119,0.)); #497817=CARTESIAN_POINT('Origin',(39.517516,18.594166,0.)); #497818=CARTESIAN_POINT('',(39.517516,18.594166,0.)); #497819=CARTESIAN_POINT('',(39.517516,18.594166,0.)); #497820=CARTESIAN_POINT('',(39.517516,18.594166,0.035)); #497821=CARTESIAN_POINT('',(39.517516,18.594166,0.035)); #497822=CARTESIAN_POINT('',(39.517516,18.594166,0.)); #497823=CARTESIAN_POINT('Origin',(39.511006,18.623784,0.)); #497824=CARTESIAN_POINT('',(39.511006,18.623784,0.)); #497825=CARTESIAN_POINT('',(39.511006,18.623784,0.)); #497826=CARTESIAN_POINT('',(39.511006,18.623784,0.035)); #497827=CARTESIAN_POINT('',(39.511006,18.623784,0.035)); #497828=CARTESIAN_POINT('',(39.511006,18.623784,0.)); #497829=CARTESIAN_POINT('Origin',(39.516566,18.653597,0.)); #497830=CARTESIAN_POINT('',(39.516566,18.653597,0.)); #497831=CARTESIAN_POINT('',(39.516566,18.653597,0.)); #497832=CARTESIAN_POINT('',(39.516566,18.653597,0.035)); #497833=CARTESIAN_POINT('',(39.516566,18.653597,0.035)); #497834=CARTESIAN_POINT('',(39.516566,18.653597,0.)); #497835=CARTESIAN_POINT('Origin',(39.533316,18.678881,0.)); #497836=CARTESIAN_POINT('',(39.533316,18.678881,0.)); #497837=CARTESIAN_POINT('',(39.533316,18.678881,0.)); #497838=CARTESIAN_POINT('',(39.533316,18.678881,0.035)); #497839=CARTESIAN_POINT('',(39.533316,18.678881,0.035)); #497840=CARTESIAN_POINT('',(39.533316,18.678881,0.)); #497841=CARTESIAN_POINT('Origin',(39.6774,18.822966,0.)); #497842=CARTESIAN_POINT('',(39.6774,18.822966,0.)); #497843=CARTESIAN_POINT('',(39.6774,18.822966,0.)); #497844=CARTESIAN_POINT('',(39.6774,18.822966,0.035)); #497845=CARTESIAN_POINT('',(39.6774,18.822966,0.035)); #497846=CARTESIAN_POINT('',(39.6774,18.822966,0.)); #497847=CARTESIAN_POINT('Origin',(39.6774,19.177034,0.)); #497848=CARTESIAN_POINT('',(39.6774,19.177034,0.)); #497849=CARTESIAN_POINT('',(39.6774,19.177034,0.)); #497850=CARTESIAN_POINT('',(39.6774,19.177034,0.035)); #497851=CARTESIAN_POINT('',(39.6774,19.177034,0.035)); #497852=CARTESIAN_POINT('',(39.6774,19.177034,0.)); #497853=CARTESIAN_POINT('Origin',(39.427034,19.4274,0.)); #497854=CARTESIAN_POINT('',(39.427034,19.4274,0.)); #497855=CARTESIAN_POINT('',(39.427034,19.4274,0.)); #497856=CARTESIAN_POINT('',(39.427034,19.4274,0.035)); #497857=CARTESIAN_POINT('',(39.427034,19.4274,0.035)); #497858=CARTESIAN_POINT('',(39.427034,19.4274,0.)); #497859=CARTESIAN_POINT('Origin',(39.072966,19.4274,0.)); #497860=CARTESIAN_POINT('',(39.072966,19.4274,0.)); #497861=CARTESIAN_POINT('',(39.072966,19.4274,0.)); #497862=CARTESIAN_POINT('',(39.072966,19.4274,0.035)); #497863=CARTESIAN_POINT('',(39.072966,19.4274,0.035)); #497864=CARTESIAN_POINT('',(39.072966,19.4274,0.)); #497865=CARTESIAN_POINT('Origin',(38.8226,19.177034,0.)); #497866=CARTESIAN_POINT('',(38.8226,19.177034,0.)); #497867=CARTESIAN_POINT('',(38.8226,19.177034,0.)); #497868=CARTESIAN_POINT('',(38.8226,19.177034,0.035)); #497869=CARTESIAN_POINT('',(38.8226,19.177034,0.035)); #497870=CARTESIAN_POINT('',(38.8226,19.177034,0.)); #497871=CARTESIAN_POINT('Origin',(38.8226,18.822966,0.)); #497872=CARTESIAN_POINT('',(38.8226,18.822966,0.)); #497873=CARTESIAN_POINT('',(38.8226,18.822966,0.)); #497874=CARTESIAN_POINT('',(38.8226,18.822966,0.035)); #497875=CARTESIAN_POINT('',(38.8226,18.822966,0.035)); #497876=CARTESIAN_POINT('',(38.8226,18.822966,0.)); #497877=CARTESIAN_POINT('Origin',(38.966684,18.678881,0.)); #497878=CARTESIAN_POINT('',(38.966684,18.678881,0.)); #497879=CARTESIAN_POINT('',(38.966684,18.678881,0.)); #497880=CARTESIAN_POINT('',(38.966684,18.678881,0.035)); #497881=CARTESIAN_POINT('',(38.966684,18.678881,0.035)); #497882=CARTESIAN_POINT('',(38.966684,18.678881,0.)); #497883=CARTESIAN_POINT('Origin',(38.982484,18.655834,0.)); #497884=CARTESIAN_POINT('',(38.982484,18.655834,0.)); #497885=CARTESIAN_POINT('',(38.982484,18.655834,0.)); #497886=CARTESIAN_POINT('',(38.982484,18.655834,0.035)); #497887=CARTESIAN_POINT('',(38.982484,18.655834,0.035)); #497888=CARTESIAN_POINT('',(38.982484,18.655834,0.)); #497889=CARTESIAN_POINT('Origin',(38.988994,18.626216,0.)); #497890=CARTESIAN_POINT('',(38.988994,18.626216,0.)); #497891=CARTESIAN_POINT('',(38.988994,18.626216,0.)); #497892=CARTESIAN_POINT('',(38.988994,18.626216,0.035)); #497893=CARTESIAN_POINT('',(38.988994,18.626216,0.035)); #497894=CARTESIAN_POINT('',(38.988994,18.626216,0.)); #497895=CARTESIAN_POINT('Origin',(38.983434,18.596403,0.)); #497896=CARTESIAN_POINT('',(38.983434,18.596403,0.)); #497897=CARTESIAN_POINT('',(38.983434,18.596403,0.)); #497898=CARTESIAN_POINT('',(38.983434,18.596403,0.035)); #497899=CARTESIAN_POINT('',(38.983434,18.596403,0.035)); #497900=CARTESIAN_POINT('',(38.983434,18.596403,0.)); #497901=CARTESIAN_POINT('Origin',(38.966684,18.571119,0.)); #497902=CARTESIAN_POINT('',(38.966684,18.571119,0.)); #497903=CARTESIAN_POINT('',(38.966684,18.571119,0.)); #497904=CARTESIAN_POINT('',(38.966684,18.571119,0.035)); #497905=CARTESIAN_POINT('',(38.966684,18.571119,0.035)); #497906=CARTESIAN_POINT('',(38.966684,18.571119,0.)); #497907=CARTESIAN_POINT('Origin',(38.8226,18.427034,0.)); #497908=CARTESIAN_POINT('',(38.8226,18.427034,0.)); #497909=CARTESIAN_POINT('',(38.8226,18.427034,0.)); #497910=CARTESIAN_POINT('',(38.8226,18.427034,0.035)); #497911=CARTESIAN_POINT('',(38.8226,18.427034,0.035)); #497912=CARTESIAN_POINT('',(38.8226,18.427034,0.)); #497913=CARTESIAN_POINT('Origin',(38.8226,18.072966,0.)); #497914=CARTESIAN_POINT('',(38.8226,18.072966,0.)); #497915=CARTESIAN_POINT('',(38.8226,18.072966,0.)); #497916=CARTESIAN_POINT('',(38.8226,18.072966,0.035)); #497917=CARTESIAN_POINT('',(38.8226,18.072966,0.035)); #497918=CARTESIAN_POINT('',(38.8226,18.072966,0.)); #497919=CARTESIAN_POINT('Origin',(38.966684,17.928881,0.)); #497920=CARTESIAN_POINT('',(38.966684,17.928881,0.)); #497921=CARTESIAN_POINT('',(38.966684,17.928881,0.)); #497922=CARTESIAN_POINT('',(38.966684,17.928881,0.035)); #497923=CARTESIAN_POINT('',(38.966684,17.928881,0.035)); #497924=CARTESIAN_POINT('',(38.966684,17.928881,0.)); #497925=CARTESIAN_POINT('Origin',(38.982484,17.905834,0.)); #497926=CARTESIAN_POINT('',(38.982484,17.905834,0.)); #497927=CARTESIAN_POINT('',(38.982484,17.905834,0.)); #497928=CARTESIAN_POINT('',(38.982484,17.905834,0.035)); #497929=CARTESIAN_POINT('',(38.982484,17.905834,0.035)); #497930=CARTESIAN_POINT('',(38.982484,17.905834,0.)); #497931=CARTESIAN_POINT('Origin',(38.988994,17.876216,0.)); #497932=CARTESIAN_POINT('',(38.988994,17.876216,0.)); #497933=CARTESIAN_POINT('',(38.988994,17.876216,0.)); #497934=CARTESIAN_POINT('',(38.988994,17.876216,0.035)); #497935=CARTESIAN_POINT('',(38.988994,17.876216,0.035)); #497936=CARTESIAN_POINT('',(38.988994,17.876216,0.)); #497937=CARTESIAN_POINT('Origin',(38.983434,17.846403,0.)); #497938=CARTESIAN_POINT('',(38.983434,17.846403,0.)); #497939=CARTESIAN_POINT('',(38.983434,17.846403,0.)); #497940=CARTESIAN_POINT('',(38.983434,17.846403,0.035)); #497941=CARTESIAN_POINT('',(38.983434,17.846403,0.035)); #497942=CARTESIAN_POINT('',(38.983434,17.846403,0.)); #497943=CARTESIAN_POINT('Origin',(38.966684,17.821119,0.)); #497944=CARTESIAN_POINT('',(38.966684,17.821119,0.)); #497945=CARTESIAN_POINT('',(38.966684,17.821119,0.)); #497946=CARTESIAN_POINT('',(38.966684,17.821119,0.035)); #497947=CARTESIAN_POINT('',(38.966684,17.821119,0.035)); #497948=CARTESIAN_POINT('',(38.966684,17.821119,0.)); #497949=CARTESIAN_POINT('Origin',(38.8226,17.677034,0.)); #497950=CARTESIAN_POINT('',(38.8226,17.677034,0.)); #497951=CARTESIAN_POINT('',(38.8226,17.677034,0.)); #497952=CARTESIAN_POINT('',(38.8226,17.677034,0.035)); #497953=CARTESIAN_POINT('',(38.8226,17.677034,0.035)); #497954=CARTESIAN_POINT('',(38.8226,17.677034,0.)); #497955=CARTESIAN_POINT('Origin',(38.8226,17.322966,0.)); #497956=CARTESIAN_POINT('',(38.8226,17.322966,0.)); #497957=CARTESIAN_POINT('',(38.8226,17.322966,0.)); #497958=CARTESIAN_POINT('',(38.8226,17.322966,0.035)); #497959=CARTESIAN_POINT('',(38.8226,17.322966,0.035)); #497960=CARTESIAN_POINT('',(38.8226,17.322966,0.)); #497961=CARTESIAN_POINT('Origin',(39.091684,17.053881,0.)); #497962=CARTESIAN_POINT('',(39.091684,17.053881,0.)); #497963=CARTESIAN_POINT('',(39.091684,17.053881,0.)); #497964=CARTESIAN_POINT('',(39.091684,17.053881,0.035)); #497965=CARTESIAN_POINT('',(39.091684,17.053881,0.035)); #497966=CARTESIAN_POINT('',(39.091684,17.053881,0.)); #497967=CARTESIAN_POINT('Origin',(39.107484,17.030834,0.)); #497968=CARTESIAN_POINT('',(39.107484,17.030834,0.)); #497969=CARTESIAN_POINT('',(39.107484,17.030834,0.)); #497970=CARTESIAN_POINT('',(39.107484,17.030834,0.035)); #497971=CARTESIAN_POINT('',(39.107484,17.030834,0.035)); #497972=CARTESIAN_POINT('',(39.107484,17.030834,0.)); #497973=CARTESIAN_POINT('Origin',(39.113994,17.001216,0.)); #497974=CARTESIAN_POINT('',(39.113994,17.001216,0.)); #497975=CARTESIAN_POINT('',(39.113994,17.001216,0.)); #497976=CARTESIAN_POINT('',(39.113994,17.001216,0.035)); #497977=CARTESIAN_POINT('',(39.113994,17.001216,0.035)); #497978=CARTESIAN_POINT('',(39.113994,17.001216,0.)); #497979=CARTESIAN_POINT('Origin',(39.108434,16.971403,0.)); #497980=CARTESIAN_POINT('',(39.108434,16.971403,0.)); #497981=CARTESIAN_POINT('',(39.108434,16.971403,0.)); #497982=CARTESIAN_POINT('',(39.108434,16.971403,0.035)); #497983=CARTESIAN_POINT('',(39.108434,16.971403,0.035)); #497984=CARTESIAN_POINT('',(39.108434,16.971403,0.)); #497985=CARTESIAN_POINT('Origin',(39.091684,16.946119,0.)); #497986=CARTESIAN_POINT('',(39.091684,16.946119,0.)); #497987=CARTESIAN_POINT('',(39.091684,16.946119,0.)); #497988=CARTESIAN_POINT('',(39.091684,16.946119,0.035)); #497989=CARTESIAN_POINT('',(39.091684,16.946119,0.035)); #497990=CARTESIAN_POINT('',(39.091684,16.946119,0.)); #497991=CARTESIAN_POINT('Origin',(38.8226,16.677034,0.)); #497992=CARTESIAN_POINT('',(38.8226,16.677034,0.)); #497993=CARTESIAN_POINT('',(38.8226,16.677034,0.)); #497994=CARTESIAN_POINT('',(38.8226,16.677034,0.035)); #497995=CARTESIAN_POINT('',(38.8226,16.677034,0.035)); #497996=CARTESIAN_POINT('',(38.8226,16.677034,0.)); #497997=CARTESIAN_POINT('Origin',(38.8226,16.322966,0.)); #497998=CARTESIAN_POINT('',(38.8226,16.322966,0.)); #497999=CARTESIAN_POINT('',(38.8226,16.322966,0.)); #498000=CARTESIAN_POINT('',(38.8226,16.322966,0.035)); #498001=CARTESIAN_POINT('',(38.8226,16.322966,0.035)); #498002=CARTESIAN_POINT('',(38.8226,16.322966,0.)); #498003=CARTESIAN_POINT('Origin',(38.966684,16.178881,0.)); #498004=CARTESIAN_POINT('',(38.966684,16.178881,0.)); #498005=CARTESIAN_POINT('',(38.966684,16.178881,0.)); #498006=CARTESIAN_POINT('',(38.966684,16.178881,0.035)); #498007=CARTESIAN_POINT('',(38.966684,16.178881,0.035)); #498008=CARTESIAN_POINT('',(38.966684,16.178881,0.)); #498009=CARTESIAN_POINT('Origin',(38.982484,16.155834,0.)); #498010=CARTESIAN_POINT('',(38.982484,16.155834,0.)); #498011=CARTESIAN_POINT('',(38.982484,16.155834,0.)); #498012=CARTESIAN_POINT('',(38.982484,16.155834,0.035)); #498013=CARTESIAN_POINT('',(38.982484,16.155834,0.035)); #498014=CARTESIAN_POINT('',(38.982484,16.155834,0.)); #498015=CARTESIAN_POINT('Origin',(38.988994,16.126216,0.)); #498016=CARTESIAN_POINT('',(38.988994,16.126216,0.)); #498017=CARTESIAN_POINT('',(38.988994,16.126216,0.)); #498018=CARTESIAN_POINT('',(38.988994,16.126216,0.035)); #498019=CARTESIAN_POINT('',(38.988994,16.126216,0.035)); #498020=CARTESIAN_POINT('',(38.988994,16.126216,0.)); #498021=CARTESIAN_POINT('Origin',(38.983434,16.096403,0.)); #498022=CARTESIAN_POINT('',(38.983434,16.096403,0.)); #498023=CARTESIAN_POINT('',(38.983434,16.096403,0.)); #498024=CARTESIAN_POINT('',(38.983434,16.096403,0.035)); #498025=CARTESIAN_POINT('',(38.983434,16.096403,0.035)); #498026=CARTESIAN_POINT('',(38.983434,16.096403,0.)); #498027=CARTESIAN_POINT('Origin',(38.966684,16.071119,0.)); #498028=CARTESIAN_POINT('',(38.966684,16.071119,0.)); #498029=CARTESIAN_POINT('',(38.966684,16.071119,0.)); #498030=CARTESIAN_POINT('',(38.966684,16.071119,0.035)); #498031=CARTESIAN_POINT('',(38.966684,16.071119,0.035)); #498032=CARTESIAN_POINT('',(38.966684,16.071119,0.)); #498033=CARTESIAN_POINT('Origin',(38.8226,15.927034,0.)); #498034=CARTESIAN_POINT('',(38.8226,15.927034,0.)); #498035=CARTESIAN_POINT('',(38.8226,15.927034,0.)); #498036=CARTESIAN_POINT('',(38.8226,15.927034,0.035)); #498037=CARTESIAN_POINT('',(38.8226,15.927034,0.035)); #498038=CARTESIAN_POINT('',(38.8226,15.927034,0.)); #498039=CARTESIAN_POINT('Origin',(38.8226,15.572966,0.)); #498040=CARTESIAN_POINT('',(38.8226,15.572966,0.)); #498041=CARTESIAN_POINT('',(38.8226,15.572966,0.)); #498042=CARTESIAN_POINT('',(38.8226,15.572966,0.035)); #498043=CARTESIAN_POINT('',(38.8226,15.572966,0.035)); #498044=CARTESIAN_POINT('',(38.8226,15.572966,0.)); #498045=CARTESIAN_POINT('Origin',(39.072966,15.3226,0.)); #498046=CARTESIAN_POINT('',(39.072966,15.3226,0.)); #498047=CARTESIAN_POINT('',(39.072966,15.3226,0.)); #498048=CARTESIAN_POINT('',(39.072966,15.3226,0.035)); #498049=CARTESIAN_POINT('',(39.072966,15.3226,0.035)); #498050=CARTESIAN_POINT('',(39.072966,15.3226,0.)); #498051=CARTESIAN_POINT('Origin',(39.427034,15.3226,0.)); #498052=CARTESIAN_POINT('',(39.427034,15.3226,0.)); #498053=CARTESIAN_POINT('',(39.427034,15.3226,0.)); #498054=CARTESIAN_POINT('',(39.427034,15.3226,0.035)); #498055=CARTESIAN_POINT('',(39.427034,15.3226,0.035)); #498056=CARTESIAN_POINT('',(39.427034,15.3226,0.)); #498057=CARTESIAN_POINT('Origin',(39.585241,15.480806,0.)); #498058=CARTESIAN_POINT('',(39.585241,15.480806,0.)); #498059=CARTESIAN_POINT('',(39.585241,15.480806,0.)); #498060=CARTESIAN_POINT('',(39.585241,15.480806,0.035)); #498061=CARTESIAN_POINT('',(39.585241,15.480806,0.035)); #498062=CARTESIAN_POINT('',(39.585241,15.480806,0.)); #498063=CARTESIAN_POINT('Origin',(39.608288,15.496606,0.)); #498064=CARTESIAN_POINT('',(39.608288,15.496606,0.)); #498065=CARTESIAN_POINT('',(39.608288,15.496606,0.)); #498066=CARTESIAN_POINT('',(39.608288,15.496606,0.035)); #498067=CARTESIAN_POINT('',(39.608288,15.496606,0.035)); #498068=CARTESIAN_POINT('',(39.608288,15.496606,0.)); #498069=CARTESIAN_POINT('Origin',(39.637906,15.503116,0.)); #498070=CARTESIAN_POINT('',(39.637906,15.503116,0.)); #498071=CARTESIAN_POINT('',(39.637906,15.503116,0.)); #498072=CARTESIAN_POINT('',(39.637906,15.503116,0.035)); #498073=CARTESIAN_POINT('',(39.637906,15.503116,0.035)); #498074=CARTESIAN_POINT('',(39.637906,15.503116,0.)); #498075=CARTESIAN_POINT('Origin',(39.667719,15.497556,0.)); #498076=CARTESIAN_POINT('',(39.667719,15.497556,0.)); #498077=CARTESIAN_POINT('',(39.667719,15.497556,0.)); #498078=CARTESIAN_POINT('',(39.667719,15.497556,0.035)); #498079=CARTESIAN_POINT('',(39.667719,15.497556,0.035)); #498080=CARTESIAN_POINT('',(39.667719,15.497556,0.)); #498081=CARTESIAN_POINT('Origin',(39.693003,15.480806,0.)); #498082=CARTESIAN_POINT('',(39.693003,15.480806,0.)); #498083=CARTESIAN_POINT('',(39.693003,15.480806,0.)); #498084=CARTESIAN_POINT('',(39.693003,15.480806,0.035)); #498085=CARTESIAN_POINT('',(39.693003,15.480806,0.035)); #498086=CARTESIAN_POINT('',(39.693003,15.480806,0.)); #498087=CARTESIAN_POINT('Origin',(41.777409,13.3964,0.)); #498088=CARTESIAN_POINT('',(41.777409,13.3964,0.)); #498089=CARTESIAN_POINT('',(41.777409,13.3964,0.035)); #498090=CARTESIAN_POINT('Origin',(30.939034,59.8226,0.)); #498091=CARTESIAN_POINT('',(30.939034,59.8226,0.)); #498092=CARTESIAN_POINT('',(30.584966,59.8226,0.)); #498093=CARTESIAN_POINT('',(30.939034,59.8226,0.)); #498094=CARTESIAN_POINT('',(30.584966,59.8226,0.035)); #498095=CARTESIAN_POINT('',(30.584966,59.8226,0.)); #498096=CARTESIAN_POINT('',(30.939034,59.8226,0.035)); #498097=CARTESIAN_POINT('',(30.939034,59.8226,0.035)); #498098=CARTESIAN_POINT('',(30.939034,59.8226,0.)); #498099=CARTESIAN_POINT('Origin',(31.1894,60.072966,0.)); #498100=CARTESIAN_POINT('',(31.1894,60.072966,0.)); #498101=CARTESIAN_POINT('',(31.1894,60.072966,0.)); #498102=CARTESIAN_POINT('',(31.1894,60.072966,0.035)); #498103=CARTESIAN_POINT('',(31.1894,60.072966,0.035)); #498104=CARTESIAN_POINT('',(31.1894,60.072966,0.)); #498105=CARTESIAN_POINT('Origin',(31.1894,60.427034,0.)); #498106=CARTESIAN_POINT('',(31.1894,60.427034,0.)); #498107=CARTESIAN_POINT('',(31.1894,60.427034,0.)); #498108=CARTESIAN_POINT('',(31.1894,60.427034,0.035)); #498109=CARTESIAN_POINT('',(31.1894,60.427034,0.035)); #498110=CARTESIAN_POINT('',(31.1894,60.427034,0.)); #498111=CARTESIAN_POINT('Origin',(31.012919,60.603516,0.)); #498112=CARTESIAN_POINT('',(31.012919,60.603516,0.)); #498113=CARTESIAN_POINT('',(31.012919,60.603516,0.)); #498114=CARTESIAN_POINT('',(31.012919,60.603516,0.035)); #498115=CARTESIAN_POINT('',(31.012919,60.603516,0.035)); #498116=CARTESIAN_POINT('',(31.012919,60.603516,0.)); #498117=CARTESIAN_POINT('Origin',(30.996634,60.627675,0.)); #498118=CARTESIAN_POINT('',(30.996634,60.627675,0.)); #498119=CARTESIAN_POINT('',(30.996634,60.627675,0.)); #498120=CARTESIAN_POINT('',(30.996634,60.627675,0.035)); #498121=CARTESIAN_POINT('',(30.996634,60.627675,0.035)); #498122=CARTESIAN_POINT('',(30.996634,60.627675,0.)); #498123=CARTESIAN_POINT('Origin',(30.9906,60.657397,0.)); #498124=CARTESIAN_POINT('',(30.9906,60.657397,0.)); #498125=CARTESIAN_POINT('',(30.9906,60.657397,0.)); #498126=CARTESIAN_POINT('',(30.9906,60.657397,0.035)); #498127=CARTESIAN_POINT('',(30.9906,60.657397,0.035)); #498128=CARTESIAN_POINT('',(30.9906,60.657397,0.)); #498129=CARTESIAN_POINT('Origin',(30.9906,65.3269,0.)); #498130=CARTESIAN_POINT('',(30.9906,65.3269,0.)); #498131=CARTESIAN_POINT('',(30.9906,65.3269,0.)); #498132=CARTESIAN_POINT('',(30.9906,65.3269,0.035)); #498133=CARTESIAN_POINT('',(30.9906,65.3269,0.035)); #498134=CARTESIAN_POINT('',(30.9906,65.3269,0.)); #498135=CARTESIAN_POINT('Origin',(30.995722,65.354369,0.)); #498136=CARTESIAN_POINT('',(30.995722,65.354369,0.)); #498137=CARTESIAN_POINT('',(30.995722,65.354369,0.)); #498138=CARTESIAN_POINT('',(30.995722,65.354369,0.035)); #498139=CARTESIAN_POINT('',(30.995722,65.354369,0.035)); #498140=CARTESIAN_POINT('',(30.995722,65.354369,0.)); #498141=CARTESIAN_POINT('Origin',(31.012066,65.379916,0.)); #498142=CARTESIAN_POINT('',(31.012066,65.379916,0.)); #498143=CARTESIAN_POINT('',(31.012066,65.379916,0.)); #498144=CARTESIAN_POINT('',(31.012066,65.379916,0.035)); #498145=CARTESIAN_POINT('',(31.012066,65.379916,0.035)); #498146=CARTESIAN_POINT('',(31.012066,65.379916,0.)); #498147=CARTESIAN_POINT('Origin',(31.037078,65.397066,0.)); #498148=CARTESIAN_POINT('',(31.037078,65.397066,0.)); #498149=CARTESIAN_POINT('',(31.037078,65.397066,0.)); #498150=CARTESIAN_POINT('',(31.037078,65.397066,0.035)); #498151=CARTESIAN_POINT('',(31.037078,65.397066,0.035)); #498152=CARTESIAN_POINT('',(31.037078,65.397066,0.)); #498153=CARTESIAN_POINT('Origin',(31.0668,65.4031,0.)); #498154=CARTESIAN_POINT('',(31.0668,65.4031,0.)); #498155=CARTESIAN_POINT('',(31.0668,65.4031,0.)); #498156=CARTESIAN_POINT('',(31.0668,65.4031,0.035)); #498157=CARTESIAN_POINT('',(31.0668,65.4031,0.035)); #498158=CARTESIAN_POINT('',(31.0668,65.4031,0.)); #498159=CARTESIAN_POINT('Origin',(31.244228,65.4031,0.)); #498160=CARTESIAN_POINT('',(31.244228,65.4031,0.)); #498161=CARTESIAN_POINT('',(31.244228,65.4031,0.)); #498162=CARTESIAN_POINT('',(31.244228,65.4031,0.035)); #498163=CARTESIAN_POINT('',(31.244228,65.4031,0.035)); #498164=CARTESIAN_POINT('',(31.244228,65.4031,0.)); #498165=CARTESIAN_POINT('Origin',(31.3335,65.492372,0.)); #498166=CARTESIAN_POINT('',(31.3335,65.492372,0.)); #498167=CARTESIAN_POINT('',(31.3335,65.492372,0.)); #498168=CARTESIAN_POINT('',(31.3335,65.492372,0.035)); #498169=CARTESIAN_POINT('',(31.3335,65.492372,0.035)); #498170=CARTESIAN_POINT('',(31.3335,65.492372,0.)); #498171=CARTESIAN_POINT('Origin',(31.3335,66.507628,0.)); #498172=CARTESIAN_POINT('',(31.3335,66.507628,0.)); #498173=CARTESIAN_POINT('',(31.3335,66.507628,0.)); #498174=CARTESIAN_POINT('',(31.3335,66.507628,0.035)); #498175=CARTESIAN_POINT('',(31.3335,66.507628,0.035)); #498176=CARTESIAN_POINT('',(31.3335,66.507628,0.)); #498177=CARTESIAN_POINT('Origin',(31.244228,66.5969,0.)); #498178=CARTESIAN_POINT('',(31.244228,66.5969,0.)); #498179=CARTESIAN_POINT('',(31.244228,66.5969,0.)); #498180=CARTESIAN_POINT('',(31.244228,66.5969,0.035)); #498181=CARTESIAN_POINT('',(31.244228,66.5969,0.035)); #498182=CARTESIAN_POINT('',(31.244228,66.5969,0.)); #498183=CARTESIAN_POINT('Origin',(30.279772,66.5969,0.)); #498184=CARTESIAN_POINT('',(30.279772,66.5969,0.)); #498185=CARTESIAN_POINT('',(30.279772,66.5969,0.)); #498186=CARTESIAN_POINT('',(30.279772,66.5969,0.035)); #498187=CARTESIAN_POINT('',(30.279772,66.5969,0.035)); #498188=CARTESIAN_POINT('',(30.279772,66.5969,0.)); #498189=CARTESIAN_POINT('Origin',(30.1905,66.507628,0.)); #498190=CARTESIAN_POINT('',(30.1905,66.507628,0.)); #498191=CARTESIAN_POINT('',(30.1905,66.507628,0.)); #498192=CARTESIAN_POINT('',(30.1905,66.507628,0.035)); #498193=CARTESIAN_POINT('',(30.1905,66.507628,0.035)); #498194=CARTESIAN_POINT('',(30.1905,66.507628,0.)); #498195=CARTESIAN_POINT('Origin',(30.1905,65.492372,0.)); #498196=CARTESIAN_POINT('',(30.1905,65.492372,0.)); #498197=CARTESIAN_POINT('',(30.1905,65.492372,0.)); #498198=CARTESIAN_POINT('',(30.1905,65.492372,0.035)); #498199=CARTESIAN_POINT('',(30.1905,65.492372,0.035)); #498200=CARTESIAN_POINT('',(30.1905,65.492372,0.)); #498201=CARTESIAN_POINT('Origin',(30.279772,65.4031,0.)); #498202=CARTESIAN_POINT('',(30.279772,65.4031,0.)); #498203=CARTESIAN_POINT('',(30.279772,65.4031,0.)); #498204=CARTESIAN_POINT('',(30.279772,65.4031,0.035)); #498205=CARTESIAN_POINT('',(30.279772,65.4031,0.035)); #498206=CARTESIAN_POINT('',(30.279772,65.4031,0.)); #498207=CARTESIAN_POINT('Origin',(30.4572,65.4031,0.)); #498208=CARTESIAN_POINT('',(30.4572,65.4031,0.)); #498209=CARTESIAN_POINT('',(30.4572,65.4031,0.)); #498210=CARTESIAN_POINT('',(30.4572,65.4031,0.035)); #498211=CARTESIAN_POINT('',(30.4572,65.4031,0.035)); #498212=CARTESIAN_POINT('',(30.4572,65.4031,0.)); #498213=CARTESIAN_POINT('Origin',(30.484669,65.397978,0.)); #498214=CARTESIAN_POINT('',(30.484669,65.397978,0.)); #498215=CARTESIAN_POINT('',(30.484669,65.397978,0.)); #498216=CARTESIAN_POINT('',(30.484669,65.397978,0.035)); #498217=CARTESIAN_POINT('',(30.484669,65.397978,0.035)); #498218=CARTESIAN_POINT('',(30.484669,65.397978,0.)); #498219=CARTESIAN_POINT('Origin',(30.510216,65.381634,0.)); #498220=CARTESIAN_POINT('',(30.510216,65.381634,0.)); #498221=CARTESIAN_POINT('',(30.510216,65.381634,0.)); #498222=CARTESIAN_POINT('',(30.510216,65.381634,0.035)); #498223=CARTESIAN_POINT('',(30.510216,65.381634,0.035)); #498224=CARTESIAN_POINT('',(30.510216,65.381634,0.)); #498225=CARTESIAN_POINT('Origin',(30.527366,65.356622,0.)); #498226=CARTESIAN_POINT('',(30.527366,65.356622,0.)); #498227=CARTESIAN_POINT('',(30.527366,65.356622,0.)); #498228=CARTESIAN_POINT('',(30.527366,65.356622,0.035)); #498229=CARTESIAN_POINT('',(30.527366,65.356622,0.035)); #498230=CARTESIAN_POINT('',(30.527366,65.356622,0.)); #498231=CARTESIAN_POINT('Origin',(30.5334,65.3269,0.)); #498232=CARTESIAN_POINT('',(30.5334,65.3269,0.)); #498233=CARTESIAN_POINT('',(30.5334,65.3269,0.)); #498234=CARTESIAN_POINT('',(30.5334,65.3269,0.035)); #498235=CARTESIAN_POINT('',(30.5334,65.3269,0.035)); #498236=CARTESIAN_POINT('',(30.5334,65.3269,0.)); #498237=CARTESIAN_POINT('Origin',(30.5334,60.657397,0.)); #498238=CARTESIAN_POINT('',(30.5334,60.657397,0.)); #498239=CARTESIAN_POINT('',(30.5334,60.657397,0.)); #498240=CARTESIAN_POINT('',(30.5334,60.657397,0.035)); #498241=CARTESIAN_POINT('',(30.5334,60.657397,0.035)); #498242=CARTESIAN_POINT('',(30.5334,60.657397,0.)); #498243=CARTESIAN_POINT('Origin',(30.527831,60.6288,0.)); #498244=CARTESIAN_POINT('',(30.527831,60.6288,0.)); #498245=CARTESIAN_POINT('',(30.527831,60.6288,0.)); #498246=CARTESIAN_POINT('',(30.527831,60.6288,0.035)); #498247=CARTESIAN_POINT('',(30.527831,60.6288,0.035)); #498248=CARTESIAN_POINT('',(30.527831,60.6288,0.)); #498249=CARTESIAN_POINT('Origin',(30.511081,60.603516,0.)); #498250=CARTESIAN_POINT('',(30.511081,60.603516,0.)); #498251=CARTESIAN_POINT('',(30.511081,60.603516,0.)); #498252=CARTESIAN_POINT('',(30.511081,60.603516,0.035)); #498253=CARTESIAN_POINT('',(30.511081,60.603516,0.035)); #498254=CARTESIAN_POINT('',(30.511081,60.603516,0.)); #498255=CARTESIAN_POINT('Origin',(30.3346,60.427034,0.)); #498256=CARTESIAN_POINT('',(30.3346,60.427034,0.)); #498257=CARTESIAN_POINT('',(30.3346,60.427034,0.)); #498258=CARTESIAN_POINT('',(30.3346,60.427034,0.035)); #498259=CARTESIAN_POINT('',(30.3346,60.427034,0.035)); #498260=CARTESIAN_POINT('',(30.3346,60.427034,0.)); #498261=CARTESIAN_POINT('Origin',(30.3346,60.072966,0.)); #498262=CARTESIAN_POINT('',(30.3346,60.072966,0.)); #498263=CARTESIAN_POINT('',(30.3346,60.072966,0.)); #498264=CARTESIAN_POINT('',(30.3346,60.072966,0.035)); #498265=CARTESIAN_POINT('',(30.3346,60.072966,0.035)); #498266=CARTESIAN_POINT('',(30.3346,60.072966,0.)); #498267=CARTESIAN_POINT('Origin',(30.584966,59.8226,0.)); #498268=CARTESIAN_POINT('',(30.584966,59.8226,0.)); #498269=CARTESIAN_POINT('',(30.584966,59.8226,0.035)); #498270=CARTESIAN_POINT('Origin',(34.939034,59.8226,0.)); #498271=CARTESIAN_POINT('',(34.939034,59.8226,0.)); #498272=CARTESIAN_POINT('',(34.584966,59.8226,0.)); #498273=CARTESIAN_POINT('',(34.939034,59.8226,0.)); #498274=CARTESIAN_POINT('',(34.584966,59.8226,0.035)); #498275=CARTESIAN_POINT('',(34.584966,59.8226,0.)); #498276=CARTESIAN_POINT('',(34.939034,59.8226,0.035)); #498277=CARTESIAN_POINT('',(34.939034,59.8226,0.035)); #498278=CARTESIAN_POINT('',(34.939034,59.8226,0.)); #498279=CARTESIAN_POINT('Origin',(35.1894,60.072966,0.)); #498280=CARTESIAN_POINT('',(35.1894,60.072966,0.)); #498281=CARTESIAN_POINT('',(35.1894,60.072966,0.)); #498282=CARTESIAN_POINT('',(35.1894,60.072966,0.035)); #498283=CARTESIAN_POINT('',(35.1894,60.072966,0.035)); #498284=CARTESIAN_POINT('',(35.1894,60.072966,0.)); #498285=CARTESIAN_POINT('Origin',(35.1894,60.427034,0.)); #498286=CARTESIAN_POINT('',(35.1894,60.427034,0.)); #498287=CARTESIAN_POINT('',(35.1894,60.427034,0.)); #498288=CARTESIAN_POINT('',(35.1894,60.427034,0.035)); #498289=CARTESIAN_POINT('',(35.1894,60.427034,0.035)); #498290=CARTESIAN_POINT('',(35.1894,60.427034,0.)); #498291=CARTESIAN_POINT('Origin',(35.012919,60.603516,0.)); #498292=CARTESIAN_POINT('',(35.012919,60.603516,0.)); #498293=CARTESIAN_POINT('',(35.012919,60.603516,0.)); #498294=CARTESIAN_POINT('',(35.012919,60.603516,0.035)); #498295=CARTESIAN_POINT('',(35.012919,60.603516,0.035)); #498296=CARTESIAN_POINT('',(35.012919,60.603516,0.)); #498297=CARTESIAN_POINT('Origin',(34.996634,60.627675,0.)); #498298=CARTESIAN_POINT('',(34.996634,60.627675,0.)); #498299=CARTESIAN_POINT('',(34.996634,60.627675,0.)); #498300=CARTESIAN_POINT('',(34.996634,60.627675,0.035)); #498301=CARTESIAN_POINT('',(34.996634,60.627675,0.035)); #498302=CARTESIAN_POINT('',(34.996634,60.627675,0.)); #498303=CARTESIAN_POINT('Origin',(34.9906,60.657397,0.)); #498304=CARTESIAN_POINT('',(34.9906,60.657397,0.)); #498305=CARTESIAN_POINT('',(34.9906,60.657397,0.)); #498306=CARTESIAN_POINT('',(34.9906,60.657397,0.035)); #498307=CARTESIAN_POINT('',(34.9906,60.657397,0.035)); #498308=CARTESIAN_POINT('',(34.9906,60.657397,0.)); #498309=CARTESIAN_POINT('Origin',(34.9906,65.3269,0.)); #498310=CARTESIAN_POINT('',(34.9906,65.3269,0.)); #498311=CARTESIAN_POINT('',(34.9906,65.3269,0.)); #498312=CARTESIAN_POINT('',(34.9906,65.3269,0.035)); #498313=CARTESIAN_POINT('',(34.9906,65.3269,0.035)); #498314=CARTESIAN_POINT('',(34.9906,65.3269,0.)); #498315=CARTESIAN_POINT('Origin',(34.995722,65.354369,0.)); #498316=CARTESIAN_POINT('',(34.995722,65.354369,0.)); #498317=CARTESIAN_POINT('',(34.995722,65.354369,0.)); #498318=CARTESIAN_POINT('',(34.995722,65.354369,0.035)); #498319=CARTESIAN_POINT('',(34.995722,65.354369,0.035)); #498320=CARTESIAN_POINT('',(34.995722,65.354369,0.)); #498321=CARTESIAN_POINT('Origin',(35.012066,65.379916,0.)); #498322=CARTESIAN_POINT('',(35.012066,65.379916,0.)); #498323=CARTESIAN_POINT('',(35.012066,65.379916,0.)); #498324=CARTESIAN_POINT('',(35.012066,65.379916,0.035)); #498325=CARTESIAN_POINT('',(35.012066,65.379916,0.035)); #498326=CARTESIAN_POINT('',(35.012066,65.379916,0.)); #498327=CARTESIAN_POINT('Origin',(35.037078,65.397066,0.)); #498328=CARTESIAN_POINT('',(35.037078,65.397066,0.)); #498329=CARTESIAN_POINT('',(35.037078,65.397066,0.)); #498330=CARTESIAN_POINT('',(35.037078,65.397066,0.035)); #498331=CARTESIAN_POINT('',(35.037078,65.397066,0.035)); #498332=CARTESIAN_POINT('',(35.037078,65.397066,0.)); #498333=CARTESIAN_POINT('Origin',(35.0668,65.4031,0.)); #498334=CARTESIAN_POINT('',(35.0668,65.4031,0.)); #498335=CARTESIAN_POINT('',(35.0668,65.4031,0.)); #498336=CARTESIAN_POINT('',(35.0668,65.4031,0.035)); #498337=CARTESIAN_POINT('',(35.0668,65.4031,0.035)); #498338=CARTESIAN_POINT('',(35.0668,65.4031,0.)); #498339=CARTESIAN_POINT('Origin',(35.244228,65.4031,0.)); #498340=CARTESIAN_POINT('',(35.244228,65.4031,0.)); #498341=CARTESIAN_POINT('',(35.244228,65.4031,0.)); #498342=CARTESIAN_POINT('',(35.244228,65.4031,0.035)); #498343=CARTESIAN_POINT('',(35.244228,65.4031,0.035)); #498344=CARTESIAN_POINT('',(35.244228,65.4031,0.)); #498345=CARTESIAN_POINT('Origin',(35.3335,65.492372,0.)); #498346=CARTESIAN_POINT('',(35.3335,65.492372,0.)); #498347=CARTESIAN_POINT('',(35.3335,65.492372,0.)); #498348=CARTESIAN_POINT('',(35.3335,65.492372,0.035)); #498349=CARTESIAN_POINT('',(35.3335,65.492372,0.035)); #498350=CARTESIAN_POINT('',(35.3335,65.492372,0.)); #498351=CARTESIAN_POINT('Origin',(35.3335,66.507628,0.)); #498352=CARTESIAN_POINT('',(35.3335,66.507628,0.)); #498353=CARTESIAN_POINT('',(35.3335,66.507628,0.)); #498354=CARTESIAN_POINT('',(35.3335,66.507628,0.035)); #498355=CARTESIAN_POINT('',(35.3335,66.507628,0.035)); #498356=CARTESIAN_POINT('',(35.3335,66.507628,0.)); #498357=CARTESIAN_POINT('Origin',(35.244228,66.5969,0.)); #498358=CARTESIAN_POINT('',(35.244228,66.5969,0.)); #498359=CARTESIAN_POINT('',(35.244228,66.5969,0.)); #498360=CARTESIAN_POINT('',(35.244228,66.5969,0.035)); #498361=CARTESIAN_POINT('',(35.244228,66.5969,0.035)); #498362=CARTESIAN_POINT('',(35.244228,66.5969,0.)); #498363=CARTESIAN_POINT('Origin',(34.279772,66.5969,0.)); #498364=CARTESIAN_POINT('',(34.279772,66.5969,0.)); #498365=CARTESIAN_POINT('',(34.279772,66.5969,0.)); #498366=CARTESIAN_POINT('',(34.279772,66.5969,0.035)); #498367=CARTESIAN_POINT('',(34.279772,66.5969,0.035)); #498368=CARTESIAN_POINT('',(34.279772,66.5969,0.)); #498369=CARTESIAN_POINT('Origin',(34.1905,66.507628,0.)); #498370=CARTESIAN_POINT('',(34.1905,66.507628,0.)); #498371=CARTESIAN_POINT('',(34.1905,66.507628,0.)); #498372=CARTESIAN_POINT('',(34.1905,66.507628,0.035)); #498373=CARTESIAN_POINT('',(34.1905,66.507628,0.035)); #498374=CARTESIAN_POINT('',(34.1905,66.507628,0.)); #498375=CARTESIAN_POINT('Origin',(34.1905,65.492372,0.)); #498376=CARTESIAN_POINT('',(34.1905,65.492372,0.)); #498377=CARTESIAN_POINT('',(34.1905,65.492372,0.)); #498378=CARTESIAN_POINT('',(34.1905,65.492372,0.035)); #498379=CARTESIAN_POINT('',(34.1905,65.492372,0.035)); #498380=CARTESIAN_POINT('',(34.1905,65.492372,0.)); #498381=CARTESIAN_POINT('Origin',(34.279772,65.4031,0.)); #498382=CARTESIAN_POINT('',(34.279772,65.4031,0.)); #498383=CARTESIAN_POINT('',(34.279772,65.4031,0.)); #498384=CARTESIAN_POINT('',(34.279772,65.4031,0.035)); #498385=CARTESIAN_POINT('',(34.279772,65.4031,0.035)); #498386=CARTESIAN_POINT('',(34.279772,65.4031,0.)); #498387=CARTESIAN_POINT('Origin',(34.4572,65.4031,0.)); #498388=CARTESIAN_POINT('',(34.4572,65.4031,0.)); #498389=CARTESIAN_POINT('',(34.4572,65.4031,0.)); #498390=CARTESIAN_POINT('',(34.4572,65.4031,0.035)); #498391=CARTESIAN_POINT('',(34.4572,65.4031,0.035)); #498392=CARTESIAN_POINT('',(34.4572,65.4031,0.)); #498393=CARTESIAN_POINT('Origin',(34.484669,65.397978,0.)); #498394=CARTESIAN_POINT('',(34.484669,65.397978,0.)); #498395=CARTESIAN_POINT('',(34.484669,65.397978,0.)); #498396=CARTESIAN_POINT('',(34.484669,65.397978,0.035)); #498397=CARTESIAN_POINT('',(34.484669,65.397978,0.035)); #498398=CARTESIAN_POINT('',(34.484669,65.397978,0.)); #498399=CARTESIAN_POINT('Origin',(34.510216,65.381634,0.)); #498400=CARTESIAN_POINT('',(34.510216,65.381634,0.)); #498401=CARTESIAN_POINT('',(34.510216,65.381634,0.)); #498402=CARTESIAN_POINT('',(34.510216,65.381634,0.035)); #498403=CARTESIAN_POINT('',(34.510216,65.381634,0.035)); #498404=CARTESIAN_POINT('',(34.510216,65.381634,0.)); #498405=CARTESIAN_POINT('Origin',(34.527366,65.356622,0.)); #498406=CARTESIAN_POINT('',(34.527366,65.356622,0.)); #498407=CARTESIAN_POINT('',(34.527366,65.356622,0.)); #498408=CARTESIAN_POINT('',(34.527366,65.356622,0.035)); #498409=CARTESIAN_POINT('',(34.527366,65.356622,0.035)); #498410=CARTESIAN_POINT('',(34.527366,65.356622,0.)); #498411=CARTESIAN_POINT('Origin',(34.5334,65.3269,0.)); #498412=CARTESIAN_POINT('',(34.5334,65.3269,0.)); #498413=CARTESIAN_POINT('',(34.5334,65.3269,0.)); #498414=CARTESIAN_POINT('',(34.5334,65.3269,0.035)); #498415=CARTESIAN_POINT('',(34.5334,65.3269,0.035)); #498416=CARTESIAN_POINT('',(34.5334,65.3269,0.)); #498417=CARTESIAN_POINT('Origin',(34.5334,60.657397,0.)); #498418=CARTESIAN_POINT('',(34.5334,60.657397,0.)); #498419=CARTESIAN_POINT('',(34.5334,60.657397,0.)); #498420=CARTESIAN_POINT('',(34.5334,60.657397,0.035)); #498421=CARTESIAN_POINT('',(34.5334,60.657397,0.035)); #498422=CARTESIAN_POINT('',(34.5334,60.657397,0.)); #498423=CARTESIAN_POINT('Origin',(34.527831,60.6288,0.)); #498424=CARTESIAN_POINT('',(34.527831,60.6288,0.)); #498425=CARTESIAN_POINT('',(34.527831,60.6288,0.)); #498426=CARTESIAN_POINT('',(34.527831,60.6288,0.035)); #498427=CARTESIAN_POINT('',(34.527831,60.6288,0.035)); #498428=CARTESIAN_POINT('',(34.527831,60.6288,0.)); #498429=CARTESIAN_POINT('Origin',(34.511081,60.603516,0.)); #498430=CARTESIAN_POINT('',(34.511081,60.603516,0.)); #498431=CARTESIAN_POINT('',(34.511081,60.603516,0.)); #498432=CARTESIAN_POINT('',(34.511081,60.603516,0.035)); #498433=CARTESIAN_POINT('',(34.511081,60.603516,0.035)); #498434=CARTESIAN_POINT('',(34.511081,60.603516,0.)); #498435=CARTESIAN_POINT('Origin',(34.3346,60.427034,0.)); #498436=CARTESIAN_POINT('',(34.3346,60.427034,0.)); #498437=CARTESIAN_POINT('',(34.3346,60.427034,0.)); #498438=CARTESIAN_POINT('',(34.3346,60.427034,0.035)); #498439=CARTESIAN_POINT('',(34.3346,60.427034,0.035)); #498440=CARTESIAN_POINT('',(34.3346,60.427034,0.)); #498441=CARTESIAN_POINT('Origin',(34.3346,60.072966,0.)); #498442=CARTESIAN_POINT('',(34.3346,60.072966,0.)); #498443=CARTESIAN_POINT('',(34.3346,60.072966,0.)); #498444=CARTESIAN_POINT('',(34.3346,60.072966,0.035)); #498445=CARTESIAN_POINT('',(34.3346,60.072966,0.035)); #498446=CARTESIAN_POINT('',(34.3346,60.072966,0.)); #498447=CARTESIAN_POINT('Origin',(34.584966,59.8226,0.)); #498448=CARTESIAN_POINT('',(34.584966,59.8226,0.)); #498449=CARTESIAN_POINT('',(34.584966,59.8226,0.035)); #498450=CARTESIAN_POINT('Origin',(38.939034,59.8226,0.)); #498451=CARTESIAN_POINT('',(38.939034,59.8226,0.)); #498452=CARTESIAN_POINT('',(38.584966,59.8226,0.)); #498453=CARTESIAN_POINT('',(38.939034,59.8226,0.)); #498454=CARTESIAN_POINT('',(38.584966,59.8226,0.035)); #498455=CARTESIAN_POINT('',(38.584966,59.8226,0.)); #498456=CARTESIAN_POINT('',(38.939034,59.8226,0.035)); #498457=CARTESIAN_POINT('',(38.939034,59.8226,0.035)); #498458=CARTESIAN_POINT('',(38.939034,59.8226,0.)); #498459=CARTESIAN_POINT('Origin',(39.1894,60.072966,0.)); #498460=CARTESIAN_POINT('',(39.1894,60.072966,0.)); #498461=CARTESIAN_POINT('',(39.1894,60.072966,0.)); #498462=CARTESIAN_POINT('',(39.1894,60.072966,0.035)); #498463=CARTESIAN_POINT('',(39.1894,60.072966,0.035)); #498464=CARTESIAN_POINT('',(39.1894,60.072966,0.)); #498465=CARTESIAN_POINT('Origin',(39.1894,60.427034,0.)); #498466=CARTESIAN_POINT('',(39.1894,60.427034,0.)); #498467=CARTESIAN_POINT('',(39.1894,60.427034,0.)); #498468=CARTESIAN_POINT('',(39.1894,60.427034,0.035)); #498469=CARTESIAN_POINT('',(39.1894,60.427034,0.035)); #498470=CARTESIAN_POINT('',(39.1894,60.427034,0.)); #498471=CARTESIAN_POINT('Origin',(39.012919,60.603516,0.)); #498472=CARTESIAN_POINT('',(39.012919,60.603516,0.)); #498473=CARTESIAN_POINT('',(39.012919,60.603516,0.)); #498474=CARTESIAN_POINT('',(39.012919,60.603516,0.035)); #498475=CARTESIAN_POINT('',(39.012919,60.603516,0.035)); #498476=CARTESIAN_POINT('',(39.012919,60.603516,0.)); #498477=CARTESIAN_POINT('Origin',(38.996634,60.627675,0.)); #498478=CARTESIAN_POINT('',(38.996634,60.627675,0.)); #498479=CARTESIAN_POINT('',(38.996634,60.627675,0.)); #498480=CARTESIAN_POINT('',(38.996634,60.627675,0.035)); #498481=CARTESIAN_POINT('',(38.996634,60.627675,0.035)); #498482=CARTESIAN_POINT('',(38.996634,60.627675,0.)); #498483=CARTESIAN_POINT('Origin',(38.9906,60.657397,0.)); #498484=CARTESIAN_POINT('',(38.9906,60.657397,0.)); #498485=CARTESIAN_POINT('',(38.9906,60.657397,0.)); #498486=CARTESIAN_POINT('',(38.9906,60.657397,0.035)); #498487=CARTESIAN_POINT('',(38.9906,60.657397,0.035)); #498488=CARTESIAN_POINT('',(38.9906,60.657397,0.)); #498489=CARTESIAN_POINT('Origin',(38.9906,65.3269,0.)); #498490=CARTESIAN_POINT('',(38.9906,65.3269,0.)); #498491=CARTESIAN_POINT('',(38.9906,65.3269,0.)); #498492=CARTESIAN_POINT('',(38.9906,65.3269,0.035)); #498493=CARTESIAN_POINT('',(38.9906,65.3269,0.035)); #498494=CARTESIAN_POINT('',(38.9906,65.3269,0.)); #498495=CARTESIAN_POINT('Origin',(38.995722,65.354369,0.)); #498496=CARTESIAN_POINT('',(38.995722,65.354369,0.)); #498497=CARTESIAN_POINT('',(38.995722,65.354369,0.)); #498498=CARTESIAN_POINT('',(38.995722,65.354369,0.035)); #498499=CARTESIAN_POINT('',(38.995722,65.354369,0.035)); #498500=CARTESIAN_POINT('',(38.995722,65.354369,0.)); #498501=CARTESIAN_POINT('Origin',(39.012066,65.379916,0.)); #498502=CARTESIAN_POINT('',(39.012066,65.379916,0.)); #498503=CARTESIAN_POINT('',(39.012066,65.379916,0.)); #498504=CARTESIAN_POINT('',(39.012066,65.379916,0.035)); #498505=CARTESIAN_POINT('',(39.012066,65.379916,0.035)); #498506=CARTESIAN_POINT('',(39.012066,65.379916,0.)); #498507=CARTESIAN_POINT('Origin',(39.037078,65.397066,0.)); #498508=CARTESIAN_POINT('',(39.037078,65.397066,0.)); #498509=CARTESIAN_POINT('',(39.037078,65.397066,0.)); #498510=CARTESIAN_POINT('',(39.037078,65.397066,0.035)); #498511=CARTESIAN_POINT('',(39.037078,65.397066,0.035)); #498512=CARTESIAN_POINT('',(39.037078,65.397066,0.)); #498513=CARTESIAN_POINT('Origin',(39.0668,65.4031,0.)); #498514=CARTESIAN_POINT('',(39.0668,65.4031,0.)); #498515=CARTESIAN_POINT('',(39.0668,65.4031,0.)); #498516=CARTESIAN_POINT('',(39.0668,65.4031,0.035)); #498517=CARTESIAN_POINT('',(39.0668,65.4031,0.035)); #498518=CARTESIAN_POINT('',(39.0668,65.4031,0.)); #498519=CARTESIAN_POINT('Origin',(39.244228,65.4031,0.)); #498520=CARTESIAN_POINT('',(39.244228,65.4031,0.)); #498521=CARTESIAN_POINT('',(39.244228,65.4031,0.)); #498522=CARTESIAN_POINT('',(39.244228,65.4031,0.035)); #498523=CARTESIAN_POINT('',(39.244228,65.4031,0.035)); #498524=CARTESIAN_POINT('',(39.244228,65.4031,0.)); #498525=CARTESIAN_POINT('Origin',(39.3335,65.492372,0.)); #498526=CARTESIAN_POINT('',(39.3335,65.492372,0.)); #498527=CARTESIAN_POINT('',(39.3335,65.492372,0.)); #498528=CARTESIAN_POINT('',(39.3335,65.492372,0.035)); #498529=CARTESIAN_POINT('',(39.3335,65.492372,0.035)); #498530=CARTESIAN_POINT('',(39.3335,65.492372,0.)); #498531=CARTESIAN_POINT('Origin',(39.3335,66.507628,0.)); #498532=CARTESIAN_POINT('',(39.3335,66.507628,0.)); #498533=CARTESIAN_POINT('',(39.3335,66.507628,0.)); #498534=CARTESIAN_POINT('',(39.3335,66.507628,0.035)); #498535=CARTESIAN_POINT('',(39.3335,66.507628,0.035)); #498536=CARTESIAN_POINT('',(39.3335,66.507628,0.)); #498537=CARTESIAN_POINT('Origin',(39.244228,66.5969,0.)); #498538=CARTESIAN_POINT('',(39.244228,66.5969,0.)); #498539=CARTESIAN_POINT('',(39.244228,66.5969,0.)); #498540=CARTESIAN_POINT('',(39.244228,66.5969,0.035)); #498541=CARTESIAN_POINT('',(39.244228,66.5969,0.035)); #498542=CARTESIAN_POINT('',(39.244228,66.5969,0.)); #498543=CARTESIAN_POINT('Origin',(38.279772,66.5969,0.)); #498544=CARTESIAN_POINT('',(38.279772,66.5969,0.)); #498545=CARTESIAN_POINT('',(38.279772,66.5969,0.)); #498546=CARTESIAN_POINT('',(38.279772,66.5969,0.035)); #498547=CARTESIAN_POINT('',(38.279772,66.5969,0.035)); #498548=CARTESIAN_POINT('',(38.279772,66.5969,0.)); #498549=CARTESIAN_POINT('Origin',(38.1905,66.507628,0.)); #498550=CARTESIAN_POINT('',(38.1905,66.507628,0.)); #498551=CARTESIAN_POINT('',(38.1905,66.507628,0.)); #498552=CARTESIAN_POINT('',(38.1905,66.507628,0.035)); #498553=CARTESIAN_POINT('',(38.1905,66.507628,0.035)); #498554=CARTESIAN_POINT('',(38.1905,66.507628,0.)); #498555=CARTESIAN_POINT('Origin',(38.1905,65.492372,0.)); #498556=CARTESIAN_POINT('',(38.1905,65.492372,0.)); #498557=CARTESIAN_POINT('',(38.1905,65.492372,0.)); #498558=CARTESIAN_POINT('',(38.1905,65.492372,0.035)); #498559=CARTESIAN_POINT('',(38.1905,65.492372,0.035)); #498560=CARTESIAN_POINT('',(38.1905,65.492372,0.)); #498561=CARTESIAN_POINT('Origin',(38.279772,65.4031,0.)); #498562=CARTESIAN_POINT('',(38.279772,65.4031,0.)); #498563=CARTESIAN_POINT('',(38.279772,65.4031,0.)); #498564=CARTESIAN_POINT('',(38.279772,65.4031,0.035)); #498565=CARTESIAN_POINT('',(38.279772,65.4031,0.035)); #498566=CARTESIAN_POINT('',(38.279772,65.4031,0.)); #498567=CARTESIAN_POINT('Origin',(38.4572,65.4031,0.)); #498568=CARTESIAN_POINT('',(38.4572,65.4031,0.)); #498569=CARTESIAN_POINT('',(38.4572,65.4031,0.)); #498570=CARTESIAN_POINT('',(38.4572,65.4031,0.035)); #498571=CARTESIAN_POINT('',(38.4572,65.4031,0.035)); #498572=CARTESIAN_POINT('',(38.4572,65.4031,0.)); #498573=CARTESIAN_POINT('Origin',(38.484669,65.397978,0.)); #498574=CARTESIAN_POINT('',(38.484669,65.397978,0.)); #498575=CARTESIAN_POINT('',(38.484669,65.397978,0.)); #498576=CARTESIAN_POINT('',(38.484669,65.397978,0.035)); #498577=CARTESIAN_POINT('',(38.484669,65.397978,0.035)); #498578=CARTESIAN_POINT('',(38.484669,65.397978,0.)); #498579=CARTESIAN_POINT('Origin',(38.510216,65.381634,0.)); #498580=CARTESIAN_POINT('',(38.510216,65.381634,0.)); #498581=CARTESIAN_POINT('',(38.510216,65.381634,0.)); #498582=CARTESIAN_POINT('',(38.510216,65.381634,0.035)); #498583=CARTESIAN_POINT('',(38.510216,65.381634,0.035)); #498584=CARTESIAN_POINT('',(38.510216,65.381634,0.)); #498585=CARTESIAN_POINT('Origin',(38.527366,65.356622,0.)); #498586=CARTESIAN_POINT('',(38.527366,65.356622,0.)); #498587=CARTESIAN_POINT('',(38.527366,65.356622,0.)); #498588=CARTESIAN_POINT('',(38.527366,65.356622,0.035)); #498589=CARTESIAN_POINT('',(38.527366,65.356622,0.035)); #498590=CARTESIAN_POINT('',(38.527366,65.356622,0.)); #498591=CARTESIAN_POINT('Origin',(38.5334,65.3269,0.)); #498592=CARTESIAN_POINT('',(38.5334,65.3269,0.)); #498593=CARTESIAN_POINT('',(38.5334,65.3269,0.)); #498594=CARTESIAN_POINT('',(38.5334,65.3269,0.035)); #498595=CARTESIAN_POINT('',(38.5334,65.3269,0.035)); #498596=CARTESIAN_POINT('',(38.5334,65.3269,0.)); #498597=CARTESIAN_POINT('Origin',(38.5334,60.657397,0.)); #498598=CARTESIAN_POINT('',(38.5334,60.657397,0.)); #498599=CARTESIAN_POINT('',(38.5334,60.657397,0.)); #498600=CARTESIAN_POINT('',(38.5334,60.657397,0.035)); #498601=CARTESIAN_POINT('',(38.5334,60.657397,0.035)); #498602=CARTESIAN_POINT('',(38.5334,60.657397,0.)); #498603=CARTESIAN_POINT('Origin',(38.527831,60.6288,0.)); #498604=CARTESIAN_POINT('',(38.527831,60.6288,0.)); #498605=CARTESIAN_POINT('',(38.527831,60.6288,0.)); #498606=CARTESIAN_POINT('',(38.527831,60.6288,0.035)); #498607=CARTESIAN_POINT('',(38.527831,60.6288,0.035)); #498608=CARTESIAN_POINT('',(38.527831,60.6288,0.)); #498609=CARTESIAN_POINT('Origin',(38.511081,60.603516,0.)); #498610=CARTESIAN_POINT('',(38.511081,60.603516,0.)); #498611=CARTESIAN_POINT('',(38.511081,60.603516,0.)); #498612=CARTESIAN_POINT('',(38.511081,60.603516,0.035)); #498613=CARTESIAN_POINT('',(38.511081,60.603516,0.035)); #498614=CARTESIAN_POINT('',(38.511081,60.603516,0.)); #498615=CARTESIAN_POINT('Origin',(38.3346,60.427034,0.)); #498616=CARTESIAN_POINT('',(38.3346,60.427034,0.)); #498617=CARTESIAN_POINT('',(38.3346,60.427034,0.)); #498618=CARTESIAN_POINT('',(38.3346,60.427034,0.035)); #498619=CARTESIAN_POINT('',(38.3346,60.427034,0.035)); #498620=CARTESIAN_POINT('',(38.3346,60.427034,0.)); #498621=CARTESIAN_POINT('Origin',(38.3346,60.072966,0.)); #498622=CARTESIAN_POINT('',(38.3346,60.072966,0.)); #498623=CARTESIAN_POINT('',(38.3346,60.072966,0.)); #498624=CARTESIAN_POINT('',(38.3346,60.072966,0.035)); #498625=CARTESIAN_POINT('',(38.3346,60.072966,0.035)); #498626=CARTESIAN_POINT('',(38.3346,60.072966,0.)); #498627=CARTESIAN_POINT('Origin',(38.584966,59.8226,0.)); #498628=CARTESIAN_POINT('',(38.584966,59.8226,0.)); #498629=CARTESIAN_POINT('',(38.584966,59.8226,0.035)); #498630=CARTESIAN_POINT('Origin',(34.003988,0.37630313,0.)); #498631=CARTESIAN_POINT('',(34.003988,0.37630313,0.)); #498632=CARTESIAN_POINT('',(37.510666,0.56008125,0.)); #498633=CARTESIAN_POINT('',(34.003988,0.37630313,0.)); #498634=CARTESIAN_POINT('',(37.510666,0.56008125,0.035)); #498635=CARTESIAN_POINT('',(37.510666,0.56008125,0.)); #498636=CARTESIAN_POINT('',(34.003988,0.37630313,0.035)); #498637=CARTESIAN_POINT('',(34.003988,0.37630313,0.035)); #498638=CARTESIAN_POINT('',(34.003988,0.37630313,0.)); #498639=CARTESIAN_POINT('Origin',(33.996012,0.37630313,0.)); #498640=CARTESIAN_POINT('',(33.996012,0.37630313,0.)); #498641=CARTESIAN_POINT('',(33.996012,0.37630313,0.)); #498642=CARTESIAN_POINT('',(33.996012,0.37630313,0.035)); #498643=CARTESIAN_POINT('',(33.996012,0.37630313,0.035)); #498644=CARTESIAN_POINT('',(33.996012,0.37630313,0.)); #498645=CARTESIAN_POINT('Origin',(30.489334,0.56008125,0.)); #498646=CARTESIAN_POINT('',(30.489334,0.56008125,0.)); #498647=CARTESIAN_POINT('',(30.489334,0.56008125,0.)); #498648=CARTESIAN_POINT('',(30.489334,0.56008125,0.035)); #498649=CARTESIAN_POINT('',(30.489334,0.56008125,0.035)); #498650=CARTESIAN_POINT('',(30.489334,0.56008125,0.)); #498651=CARTESIAN_POINT('Origin',(30.4814,0.56091563,0.)); #498652=CARTESIAN_POINT('',(30.4814,0.56091563,0.)); #498653=CARTESIAN_POINT('',(30.4814,0.56091563,0.)); #498654=CARTESIAN_POINT('',(30.4814,0.56091563,0.035)); #498655=CARTESIAN_POINT('',(30.4814,0.56091563,0.035)); #498656=CARTESIAN_POINT('',(30.4814,0.56091563,0.)); #498657=CARTESIAN_POINT('Origin',(27.013141,1.1102344,0.)); #498658=CARTESIAN_POINT('',(27.013141,1.1102344,0.)); #498659=CARTESIAN_POINT('',(27.013141,1.1102344,0.)); #498660=CARTESIAN_POINT('',(27.013141,1.1102344,0.035)); #498661=CARTESIAN_POINT('',(27.013141,1.1102344,0.035)); #498662=CARTESIAN_POINT('',(27.013141,1.1102344,0.)); #498663=CARTESIAN_POINT('Origin',(27.005341,1.1118938,0.)); #498664=CARTESIAN_POINT('',(27.005341,1.1118938,0.)); #498665=CARTESIAN_POINT('',(27.005341,1.1118938,0.)); #498666=CARTESIAN_POINT('',(27.005341,1.1118938,0.035)); #498667=CARTESIAN_POINT('',(27.005341,1.1118938,0.035)); #498668=CARTESIAN_POINT('',(27.005341,1.1118938,0.)); #498669=CARTESIAN_POINT('Origin',(23.6135,2.0207344,0.)); #498670=CARTESIAN_POINT('',(23.6135,2.0207344,0.)); #498671=CARTESIAN_POINT('',(23.6135,2.0207344,0.)); #498672=CARTESIAN_POINT('',(23.6135,2.0207344,0.035)); #498673=CARTESIAN_POINT('',(23.6135,2.0207344,0.035)); #498674=CARTESIAN_POINT('',(23.6135,2.0207344,0.)); #498675=CARTESIAN_POINT('Origin',(23.605916,2.0232,0.)); #498676=CARTESIAN_POINT('',(23.605916,2.0232,0.)); #498677=CARTESIAN_POINT('',(23.605916,2.0232,0.)); #498678=CARTESIAN_POINT('',(23.605916,2.0232,0.035)); #498679=CARTESIAN_POINT('',(23.605916,2.0232,0.035)); #498680=CARTESIAN_POINT('',(23.605916,2.0232,0.)); #498681=CARTESIAN_POINT('Origin',(20.327656,3.2816063,0.)); #498682=CARTESIAN_POINT('',(20.327656,3.2816063,0.)); #498683=CARTESIAN_POINT('',(20.327656,3.2816063,0.)); #498684=CARTESIAN_POINT('',(20.327656,3.2816063,0.035)); #498685=CARTESIAN_POINT('',(20.327656,3.2816063,0.035)); #498686=CARTESIAN_POINT('',(20.327656,3.2816063,0.)); #498687=CARTESIAN_POINT('Origin',(20.320369,3.28485,0.)); #498688=CARTESIAN_POINT('',(20.320369,3.28485,0.)); #498689=CARTESIAN_POINT('',(20.320369,3.28485,0.)); #498690=CARTESIAN_POINT('',(20.320369,3.28485,0.035)); #498691=CARTESIAN_POINT('',(20.320369,3.28485,0.035)); #498692=CARTESIAN_POINT('',(20.320369,3.28485,0.)); #498693=CARTESIAN_POINT('Origin',(17.191606,4.8790313,0.)); #498694=CARTESIAN_POINT('',(17.191606,4.8790313,0.)); #498695=CARTESIAN_POINT('',(17.191606,4.8790313,0.)); #498696=CARTESIAN_POINT('',(17.191606,4.8790313,0.035)); #498697=CARTESIAN_POINT('',(17.191606,4.8790313,0.035)); #498698=CARTESIAN_POINT('',(17.191606,4.8790313,0.)); #498699=CARTESIAN_POINT('Origin',(17.1847,4.8830188,0.)); #498700=CARTESIAN_POINT('',(17.1847,4.8830188,0.)); #498701=CARTESIAN_POINT('',(17.1847,4.8830188,0.)); #498702=CARTESIAN_POINT('',(17.1847,4.8830188,0.035)); #498703=CARTESIAN_POINT('',(17.1847,4.8830188,0.035)); #498704=CARTESIAN_POINT('',(17.1847,4.8830188,0.)); #498705=CARTESIAN_POINT('Origin',(14.239716,6.7955156,0.)); #498706=CARTESIAN_POINT('',(14.239716,6.7955156,0.)); #498707=CARTESIAN_POINT('',(14.239716,6.7955156,0.)); #498708=CARTESIAN_POINT('',(14.239716,6.7955156,0.035)); #498709=CARTESIAN_POINT('',(14.239716,6.7955156,0.035)); #498710=CARTESIAN_POINT('',(14.239716,6.7955156,0.)); #498711=CARTESIAN_POINT('Origin',(14.233263,6.8002031,0.)); #498712=CARTESIAN_POINT('',(14.233263,6.8002031,0.)); #498713=CARTESIAN_POINT('',(14.233263,6.8002031,0.)); #498714=CARTESIAN_POINT('',(14.233263,6.8002031,0.035)); #498715=CARTESIAN_POINT('',(14.233263,6.8002031,0.035)); #498716=CARTESIAN_POINT('',(14.233263,6.8002031,0.)); #498717=CARTESIAN_POINT('Origin',(11.504322,9.0100562,0.)); #498718=CARTESIAN_POINT('',(11.504322,9.0100562,0.)); #498719=CARTESIAN_POINT('',(11.504322,9.0100562,0.)); #498720=CARTESIAN_POINT('',(11.504322,9.0100562,0.035)); #498721=CARTESIAN_POINT('',(11.504322,9.0100562,0.035)); #498722=CARTESIAN_POINT('',(11.504322,9.0100562,0.)); #498723=CARTESIAN_POINT('Origin',(11.498394,9.0153938,0.)); #498724=CARTESIAN_POINT('',(11.498394,9.0153938,0.)); #498725=CARTESIAN_POINT('',(11.498394,9.0153938,0.)); #498726=CARTESIAN_POINT('',(11.498394,9.0153938,0.035)); #498727=CARTESIAN_POINT('',(11.498394,9.0153938,0.035)); #498728=CARTESIAN_POINT('',(11.498394,9.0153938,0.)); #498729=CARTESIAN_POINT('Origin',(9.0153938,11.498394,0.)); #498730=CARTESIAN_POINT('',(9.0153938,11.498394,0.)); #498731=CARTESIAN_POINT('',(9.0153938,11.498394,0.)); #498732=CARTESIAN_POINT('',(9.0153938,11.498394,0.035)); #498733=CARTESIAN_POINT('',(9.0153938,11.498394,0.035)); #498734=CARTESIAN_POINT('',(9.0153938,11.498394,0.)); #498735=CARTESIAN_POINT('Origin',(9.0100562,11.504322,0.)); #498736=CARTESIAN_POINT('',(9.0100562,11.504322,0.)); #498737=CARTESIAN_POINT('',(9.0100562,11.504322,0.)); #498738=CARTESIAN_POINT('',(9.0100562,11.504322,0.035)); #498739=CARTESIAN_POINT('',(9.0100562,11.504322,0.035)); #498740=CARTESIAN_POINT('',(9.0100562,11.504322,0.)); #498741=CARTESIAN_POINT('Origin',(6.8002031,14.233263,0.)); #498742=CARTESIAN_POINT('',(6.8002031,14.233263,0.)); #498743=CARTESIAN_POINT('',(6.8002031,14.233263,0.)); #498744=CARTESIAN_POINT('',(6.8002031,14.233263,0.035)); #498745=CARTESIAN_POINT('',(6.8002031,14.233263,0.035)); #498746=CARTESIAN_POINT('',(6.8002031,14.233263,0.)); #498747=CARTESIAN_POINT('Origin',(6.7955156,14.239716,0.)); #498748=CARTESIAN_POINT('',(6.7955156,14.239716,0.)); #498749=CARTESIAN_POINT('',(6.7955156,14.239716,0.)); #498750=CARTESIAN_POINT('',(6.7955156,14.239716,0.035)); #498751=CARTESIAN_POINT('',(6.7955156,14.239716,0.035)); #498752=CARTESIAN_POINT('',(6.7955156,14.239716,0.)); #498753=CARTESIAN_POINT('Origin',(4.8830188,17.1847,0.)); #498754=CARTESIAN_POINT('',(4.8830188,17.1847,0.)); #498755=CARTESIAN_POINT('',(4.8830188,17.1847,0.)); #498756=CARTESIAN_POINT('',(4.8830188,17.1847,0.035)); #498757=CARTESIAN_POINT('',(4.8830188,17.1847,0.035)); #498758=CARTESIAN_POINT('',(4.8830188,17.1847,0.)); #498759=CARTESIAN_POINT('Origin',(4.8790313,17.191606,0.)); #498760=CARTESIAN_POINT('',(4.8790313,17.191606,0.)); #498761=CARTESIAN_POINT('',(4.8790313,17.191606,0.)); #498762=CARTESIAN_POINT('',(4.8790313,17.191606,0.035)); #498763=CARTESIAN_POINT('',(4.8790313,17.191606,0.035)); #498764=CARTESIAN_POINT('',(4.8790313,17.191606,0.)); #498765=CARTESIAN_POINT('Origin',(3.28485,20.320369,0.)); #498766=CARTESIAN_POINT('',(3.28485,20.320369,0.)); #498767=CARTESIAN_POINT('',(3.28485,20.320369,0.)); #498768=CARTESIAN_POINT('',(3.28485,20.320369,0.035)); #498769=CARTESIAN_POINT('',(3.28485,20.320369,0.035)); #498770=CARTESIAN_POINT('',(3.28485,20.320369,0.)); #498771=CARTESIAN_POINT('Origin',(3.2816063,20.327656,0.)); #498772=CARTESIAN_POINT('',(3.2816063,20.327656,0.)); #498773=CARTESIAN_POINT('',(3.2816063,20.327656,0.)); #498774=CARTESIAN_POINT('',(3.2816063,20.327656,0.035)); #498775=CARTESIAN_POINT('',(3.2816063,20.327656,0.035)); #498776=CARTESIAN_POINT('',(3.2816063,20.327656,0.)); #498777=CARTESIAN_POINT('Origin',(2.0232,23.605916,0.)); #498778=CARTESIAN_POINT('',(2.0232,23.605916,0.)); #498779=CARTESIAN_POINT('',(2.0232,23.605916,0.)); #498780=CARTESIAN_POINT('',(2.0232,23.605916,0.035)); #498781=CARTESIAN_POINT('',(2.0232,23.605916,0.035)); #498782=CARTESIAN_POINT('',(2.0232,23.605916,0.)); #498783=CARTESIAN_POINT('Origin',(2.0207344,23.6135,0.)); #498784=CARTESIAN_POINT('',(2.0207344,23.6135,0.)); #498785=CARTESIAN_POINT('',(2.0207344,23.6135,0.)); #498786=CARTESIAN_POINT('',(2.0207344,23.6135,0.035)); #498787=CARTESIAN_POINT('',(2.0207344,23.6135,0.035)); #498788=CARTESIAN_POINT('',(2.0207344,23.6135,0.)); #498789=CARTESIAN_POINT('Origin',(1.1118938,27.005341,0.)); #498790=CARTESIAN_POINT('',(1.1118938,27.005341,0.)); #498791=CARTESIAN_POINT('',(1.1118938,27.005341,0.)); #498792=CARTESIAN_POINT('',(1.1118938,27.005341,0.035)); #498793=CARTESIAN_POINT('',(1.1118938,27.005341,0.035)); #498794=CARTESIAN_POINT('',(1.1118938,27.005341,0.)); #498795=CARTESIAN_POINT('Origin',(1.1102344,27.013141,0.)); #498796=CARTESIAN_POINT('',(1.1102344,27.013141,0.)); #498797=CARTESIAN_POINT('',(1.1102344,27.013141,0.)); #498798=CARTESIAN_POINT('',(1.1102344,27.013141,0.035)); #498799=CARTESIAN_POINT('',(1.1102344,27.013141,0.035)); #498800=CARTESIAN_POINT('',(1.1102344,27.013141,0.)); #498801=CARTESIAN_POINT('Origin',(0.56091563,30.4814,0.)); #498802=CARTESIAN_POINT('',(0.56091563,30.4814,0.)); #498803=CARTESIAN_POINT('',(0.56091563,30.4814,0.)); #498804=CARTESIAN_POINT('',(0.560915629999998,30.4814,0.035)); #498805=CARTESIAN_POINT('',(0.56091563,30.4814,0.035)); #498806=CARTESIAN_POINT('',(0.56091563,30.4814,0.)); #498807=CARTESIAN_POINT('Origin',(0.56008125,30.489334,0.)); #498808=CARTESIAN_POINT('',(0.56008125,30.489334,0.)); #498809=CARTESIAN_POINT('',(0.56008125,30.489334,0.)); #498810=CARTESIAN_POINT('',(0.56008125,30.489334,0.035)); #498811=CARTESIAN_POINT('',(0.56008125,30.489334,0.035)); #498812=CARTESIAN_POINT('',(0.56008125,30.489334,0.)); #498813=CARTESIAN_POINT('Origin',(0.37630313,33.996012,0.)); #498814=CARTESIAN_POINT('',(0.37630313,33.996012,0.)); #498815=CARTESIAN_POINT('',(0.37630313,33.996012,0.)); #498816=CARTESIAN_POINT('',(0.376303130000002,33.996012,0.035)); #498817=CARTESIAN_POINT('',(0.37630313,33.996012,0.035)); #498818=CARTESIAN_POINT('',(0.37630313,33.996012,0.)); #498819=CARTESIAN_POINT('Origin',(0.37630313,34.003988,0.)); #498820=CARTESIAN_POINT('',(0.37630313,34.003988,0.)); #498821=CARTESIAN_POINT('',(0.37630313,34.003988,0.)); #498822=CARTESIAN_POINT('',(0.376303130000002,34.003988,0.035)); #498823=CARTESIAN_POINT('',(0.37630313,34.003988,0.035)); #498824=CARTESIAN_POINT('',(0.37630313,34.003988,0.)); #498825=CARTESIAN_POINT('Origin',(0.56008125,37.510666,0.)); #498826=CARTESIAN_POINT('',(0.56008125,37.510666,0.)); #498827=CARTESIAN_POINT('',(0.56008125,37.510666,0.)); #498828=CARTESIAN_POINT('',(0.56008125,37.510666,0.035)); #498829=CARTESIAN_POINT('',(0.56008125,37.510666,0.035)); #498830=CARTESIAN_POINT('',(0.56008125,37.510666,0.)); #498831=CARTESIAN_POINT('Origin',(0.56091563,37.5186,0.)); #498832=CARTESIAN_POINT('',(0.56091563,37.5186,0.)); #498833=CARTESIAN_POINT('',(0.56091563,37.5186,0.)); #498834=CARTESIAN_POINT('',(0.560915629999998,37.5186,0.035)); #498835=CARTESIAN_POINT('',(0.56091563,37.5186,0.035)); #498836=CARTESIAN_POINT('',(0.56091563,37.5186,0.)); #498837=CARTESIAN_POINT('Origin',(1.1102344,40.986859,0.)); #498838=CARTESIAN_POINT('',(1.1102344,40.986859,0.)); #498839=CARTESIAN_POINT('',(1.1102344,40.986859,0.)); #498840=CARTESIAN_POINT('',(1.1102344,40.986859,0.035)); #498841=CARTESIAN_POINT('',(1.1102344,40.986859,0.035)); #498842=CARTESIAN_POINT('',(1.1102344,40.986859,0.)); #498843=CARTESIAN_POINT('Origin',(1.1118938,40.994659,0.)); #498844=CARTESIAN_POINT('',(1.1118938,40.994659,0.)); #498845=CARTESIAN_POINT('',(1.1118938,40.994659,0.)); #498846=CARTESIAN_POINT('',(1.1118938,40.994659,0.035)); #498847=CARTESIAN_POINT('',(1.1118938,40.994659,0.035)); #498848=CARTESIAN_POINT('',(1.1118938,40.994659,0.)); #498849=CARTESIAN_POINT('Origin',(2.0207344,44.3865,0.)); #498850=CARTESIAN_POINT('',(2.0207344,44.3865,0.)); #498851=CARTESIAN_POINT('',(2.0207344,44.3865,0.)); #498852=CARTESIAN_POINT('',(2.0207344,44.3865,0.035)); #498853=CARTESIAN_POINT('',(2.0207344,44.3865,0.035)); #498854=CARTESIAN_POINT('',(2.0207344,44.3865,0.)); #498855=CARTESIAN_POINT('Origin',(2.0232,44.394084,0.)); #498856=CARTESIAN_POINT('',(2.0232,44.394084,0.)); #498857=CARTESIAN_POINT('',(2.0232,44.394084,0.)); #498858=CARTESIAN_POINT('',(2.0232,44.394084,0.035)); #498859=CARTESIAN_POINT('',(2.0232,44.394084,0.035)); #498860=CARTESIAN_POINT('',(2.0232,44.394084,0.)); #498861=CARTESIAN_POINT('Origin',(3.2816063,47.672344,0.)); #498862=CARTESIAN_POINT('',(3.2816063,47.672344,0.)); #498863=CARTESIAN_POINT('',(3.2816063,47.672344,0.)); #498864=CARTESIAN_POINT('',(3.2816063,47.672344,0.035)); #498865=CARTESIAN_POINT('',(3.2816063,47.672344,0.035)); #498866=CARTESIAN_POINT('',(3.2816063,47.672344,0.)); #498867=CARTESIAN_POINT('Origin',(3.28485,47.679631,0.)); #498868=CARTESIAN_POINT('',(3.28485,47.679631,0.)); #498869=CARTESIAN_POINT('',(3.28485,47.679631,0.)); #498870=CARTESIAN_POINT('',(3.28485,47.679631,0.035)); #498871=CARTESIAN_POINT('',(3.28485,47.679631,0.035)); #498872=CARTESIAN_POINT('',(3.28485,47.679631,0.)); #498873=CARTESIAN_POINT('Origin',(4.8790313,50.808394,0.)); #498874=CARTESIAN_POINT('',(4.8790313,50.808394,0.)); #498875=CARTESIAN_POINT('',(4.8790313,50.808394,0.)); #498876=CARTESIAN_POINT('',(4.8790313,50.808394,0.035)); #498877=CARTESIAN_POINT('',(4.8790313,50.808394,0.035)); #498878=CARTESIAN_POINT('',(4.8790313,50.808394,0.)); #498879=CARTESIAN_POINT('Origin',(4.8830188,50.8153,0.)); #498880=CARTESIAN_POINT('',(4.8830188,50.8153,0.)); #498881=CARTESIAN_POINT('',(4.8830188,50.8153,0.)); #498882=CARTESIAN_POINT('',(4.8830188,50.8153,0.035)); #498883=CARTESIAN_POINT('',(4.8830188,50.8153,0.035)); #498884=CARTESIAN_POINT('',(4.8830188,50.8153,0.)); #498885=CARTESIAN_POINT('Origin',(6.7955156,53.760284,0.)); #498886=CARTESIAN_POINT('',(6.7955156,53.760284,0.)); #498887=CARTESIAN_POINT('',(6.7955156,53.760284,0.)); #498888=CARTESIAN_POINT('',(6.7955156,53.760284,0.035)); #498889=CARTESIAN_POINT('',(6.7955156,53.760284,0.035)); #498890=CARTESIAN_POINT('',(6.7955156,53.760284,0.)); #498891=CARTESIAN_POINT('Origin',(6.8002031,53.766738,0.)); #498892=CARTESIAN_POINT('',(6.8002031,53.766738,0.)); #498893=CARTESIAN_POINT('',(6.8002031,53.766738,0.)); #498894=CARTESIAN_POINT('',(6.8002031,53.766738,0.035)); #498895=CARTESIAN_POINT('',(6.8002031,53.766738,0.035)); #498896=CARTESIAN_POINT('',(6.8002031,53.766738,0.)); #498897=CARTESIAN_POINT('Origin',(9.0100562,56.495678,0.)); #498898=CARTESIAN_POINT('',(9.0100562,56.495678,0.)); #498899=CARTESIAN_POINT('',(9.0100562,56.495678,0.)); #498900=CARTESIAN_POINT('',(9.0100562,56.495678,0.035)); #498901=CARTESIAN_POINT('',(9.0100562,56.495678,0.035)); #498902=CARTESIAN_POINT('',(9.0100562,56.495678,0.)); #498903=CARTESIAN_POINT('Origin',(9.0153938,56.501606,0.)); #498904=CARTESIAN_POINT('',(9.0153938,56.501606,0.)); #498905=CARTESIAN_POINT('',(9.0153938,56.501606,0.)); #498906=CARTESIAN_POINT('',(9.0153938,56.501606,0.035)); #498907=CARTESIAN_POINT('',(9.0153938,56.501606,0.035)); #498908=CARTESIAN_POINT('',(9.0153938,56.501606,0.)); #498909=CARTESIAN_POINT('Origin',(11.498394,58.984606,0.)); #498910=CARTESIAN_POINT('',(11.498394,58.984606,0.)); #498911=CARTESIAN_POINT('',(11.498394,58.984606,0.)); #498912=CARTESIAN_POINT('',(11.498394,58.984606,0.035)); #498913=CARTESIAN_POINT('',(11.498394,58.984606,0.035)); #498914=CARTESIAN_POINT('',(11.498394,58.984606,0.)); #498915=CARTESIAN_POINT('Origin',(11.504322,58.989944,0.)); #498916=CARTESIAN_POINT('',(11.504322,58.989944,0.)); #498917=CARTESIAN_POINT('',(11.504322,58.989944,0.)); #498918=CARTESIAN_POINT('',(11.504322,58.989944,0.035)); #498919=CARTESIAN_POINT('',(11.504322,58.989944,0.035)); #498920=CARTESIAN_POINT('',(11.504322,58.989944,0.)); #498921=CARTESIAN_POINT('Origin',(14.233263,61.199797,0.)); #498922=CARTESIAN_POINT('',(14.233263,61.199797,0.)); #498923=CARTESIAN_POINT('',(14.233263,61.199797,0.)); #498924=CARTESIAN_POINT('',(14.233263,61.199797,0.035)); #498925=CARTESIAN_POINT('',(14.233263,61.199797,0.035)); #498926=CARTESIAN_POINT('',(14.233263,61.199797,0.)); #498927=CARTESIAN_POINT('Origin',(14.239716,61.204484,0.)); #498928=CARTESIAN_POINT('',(14.239716,61.204484,0.)); #498929=CARTESIAN_POINT('',(14.239716,61.204484,0.)); #498930=CARTESIAN_POINT('',(14.239716,61.204484,0.035)); #498931=CARTESIAN_POINT('',(14.239716,61.204484,0.035)); #498932=CARTESIAN_POINT('',(14.239716,61.204484,0.)); #498933=CARTESIAN_POINT('Origin',(17.1847,63.116981,0.)); #498934=CARTESIAN_POINT('',(17.1847,63.116981,0.)); #498935=CARTESIAN_POINT('',(17.1847,63.116981,0.)); #498936=CARTESIAN_POINT('',(17.1847,63.116981,0.035)); #498937=CARTESIAN_POINT('',(17.1847,63.116981,0.035)); #498938=CARTESIAN_POINT('',(17.1847,63.116981,0.)); #498939=CARTESIAN_POINT('Origin',(17.191606,63.120969,0.)); #498940=CARTESIAN_POINT('',(17.191606,63.120969,0.)); #498941=CARTESIAN_POINT('',(17.191606,63.120969,0.)); #498942=CARTESIAN_POINT('',(17.191606,63.120969,0.035)); #498943=CARTESIAN_POINT('',(17.191606,63.120969,0.035)); #498944=CARTESIAN_POINT('',(17.191606,63.120969,0.)); #498945=CARTESIAN_POINT('Origin',(20.320369,64.71515,0.)); #498946=CARTESIAN_POINT('',(20.320369,64.71515,0.)); #498947=CARTESIAN_POINT('',(20.320369,64.71515,0.)); #498948=CARTESIAN_POINT('',(20.320369,64.71515,0.035)); #498949=CARTESIAN_POINT('',(20.320369,64.71515,0.035)); #498950=CARTESIAN_POINT('',(20.320369,64.71515,0.)); #498951=CARTESIAN_POINT('Origin',(20.327656,64.718394,0.)); #498952=CARTESIAN_POINT('',(20.327656,64.718394,0.)); #498953=CARTESIAN_POINT('',(20.327656,64.718394,0.)); #498954=CARTESIAN_POINT('',(20.327656,64.718394,0.035)); #498955=CARTESIAN_POINT('',(20.327656,64.718394,0.035)); #498956=CARTESIAN_POINT('',(20.327656,64.718394,0.)); #498957=CARTESIAN_POINT('Origin',(23.605916,65.9768,0.)); #498958=CARTESIAN_POINT('',(23.605916,65.9768,0.)); #498959=CARTESIAN_POINT('',(23.605916,65.9768,0.)); #498960=CARTESIAN_POINT('',(23.605916,65.9768,0.035)); #498961=CARTESIAN_POINT('',(23.605916,65.9768,0.035)); #498962=CARTESIAN_POINT('',(23.605916,65.9768,0.)); #498963=CARTESIAN_POINT('Origin',(23.6135,65.979266,0.)); #498964=CARTESIAN_POINT('',(23.6135,65.979266,0.)); #498965=CARTESIAN_POINT('',(23.6135,65.979266,0.)); #498966=CARTESIAN_POINT('',(23.6135,65.979266,0.035)); #498967=CARTESIAN_POINT('',(23.6135,65.979266,0.035)); #498968=CARTESIAN_POINT('',(23.6135,65.979266,0.)); #498969=CARTESIAN_POINT('Origin',(27.005341,66.888106,0.)); #498970=CARTESIAN_POINT('',(27.005341,66.888106,0.)); #498971=CARTESIAN_POINT('',(27.005341,66.888106,0.)); #498972=CARTESIAN_POINT('',(27.005341,66.888106,0.035)); #498973=CARTESIAN_POINT('',(27.005341,66.888106,0.035)); #498974=CARTESIAN_POINT('',(27.005341,66.888106,0.)); #498975=CARTESIAN_POINT('Origin',(27.013141,66.889766,0.)); #498976=CARTESIAN_POINT('',(27.013141,66.889766,0.)); #498977=CARTESIAN_POINT('',(27.013141,66.889766,0.)); #498978=CARTESIAN_POINT('',(27.013141,66.889766,0.035)); #498979=CARTESIAN_POINT('',(27.013141,66.889766,0.035)); #498980=CARTESIAN_POINT('',(27.013141,66.889766,0.)); #498981=CARTESIAN_POINT('Origin',(30.4814,67.439084,0.)); #498982=CARTESIAN_POINT('',(30.4814,67.439084,0.)); #498983=CARTESIAN_POINT('',(30.4814,67.439084,0.)); #498984=CARTESIAN_POINT('',(30.4814,67.439084,0.035)); #498985=CARTESIAN_POINT('',(30.4814,67.439084,0.035)); #498986=CARTESIAN_POINT('',(30.4814,67.439084,0.)); #498987=CARTESIAN_POINT('Origin',(30.489334,67.439919,0.)); #498988=CARTESIAN_POINT('',(30.489334,67.439919,0.)); #498989=CARTESIAN_POINT('',(30.489334,67.439919,0.)); #498990=CARTESIAN_POINT('',(30.489334,67.439919,0.035)); #498991=CARTESIAN_POINT('',(30.489334,67.439919,0.035)); #498992=CARTESIAN_POINT('',(30.489334,67.439919,0.)); #498993=CARTESIAN_POINT('Origin',(33.996012,67.623697,0.)); #498994=CARTESIAN_POINT('',(33.996012,67.623697,0.)); #498995=CARTESIAN_POINT('',(33.996012,67.623697,0.)); #498996=CARTESIAN_POINT('',(33.996012,67.623697,0.035)); #498997=CARTESIAN_POINT('',(33.996012,67.623697,0.035)); #498998=CARTESIAN_POINT('',(33.996012,67.623697,0.)); #498999=CARTESIAN_POINT('Origin',(34.003988,67.623697,0.)); #499000=CARTESIAN_POINT('',(34.003988,67.623697,0.)); #499001=CARTESIAN_POINT('',(34.003988,67.623697,0.)); #499002=CARTESIAN_POINT('',(34.003988,67.623697,0.035)); #499003=CARTESIAN_POINT('',(34.003988,67.623697,0.035)); #499004=CARTESIAN_POINT('',(34.003988,67.623697,0.)); #499005=CARTESIAN_POINT('Origin',(37.510666,67.439919,0.)); #499006=CARTESIAN_POINT('',(37.510666,67.439919,0.)); #499007=CARTESIAN_POINT('',(37.510666,67.439919,0.)); #499008=CARTESIAN_POINT('',(37.510666,67.439919,0.035)); #499009=CARTESIAN_POINT('',(37.510666,67.439919,0.035)); #499010=CARTESIAN_POINT('',(37.510666,67.439919,0.)); #499011=CARTESIAN_POINT('Origin',(37.5186,67.439084,0.)); #499012=CARTESIAN_POINT('',(37.5186,67.439084,0.)); #499013=CARTESIAN_POINT('',(37.5186,67.439084,0.)); #499014=CARTESIAN_POINT('',(37.5186,67.439084,0.035)); #499015=CARTESIAN_POINT('',(37.5186,67.439084,0.035)); #499016=CARTESIAN_POINT('',(37.5186,67.439084,0.)); #499017=CARTESIAN_POINT('Origin',(40.986859,66.889766,0.)); #499018=CARTESIAN_POINT('',(40.986859,66.889766,0.)); #499019=CARTESIAN_POINT('',(40.986859,66.889766,0.)); #499020=CARTESIAN_POINT('',(40.986859,66.889766,0.035)); #499021=CARTESIAN_POINT('',(40.986859,66.889766,0.035)); #499022=CARTESIAN_POINT('',(40.986859,66.889766,0.)); #499023=CARTESIAN_POINT('Origin',(40.994659,66.888106,0.)); #499024=CARTESIAN_POINT('',(40.994659,66.888106,0.)); #499025=CARTESIAN_POINT('',(40.994659,66.888106,0.)); #499026=CARTESIAN_POINT('',(40.994659,66.888106,0.035)); #499027=CARTESIAN_POINT('',(40.994659,66.888106,0.035)); #499028=CARTESIAN_POINT('',(40.994659,66.888106,0.)); #499029=CARTESIAN_POINT('Origin',(44.3865,65.979266,0.)); #499030=CARTESIAN_POINT('',(44.3865,65.979266,0.)); #499031=CARTESIAN_POINT('',(44.3865,65.979266,0.)); #499032=CARTESIAN_POINT('',(44.3865,65.979266,0.035)); #499033=CARTESIAN_POINT('',(44.3865,65.979266,0.035)); #499034=CARTESIAN_POINT('',(44.3865,65.979266,0.)); #499035=CARTESIAN_POINT('Origin',(44.394084,65.9768,0.)); #499036=CARTESIAN_POINT('',(44.394084,65.9768,0.)); #499037=CARTESIAN_POINT('',(44.394084,65.9768,0.)); #499038=CARTESIAN_POINT('',(44.394084,65.9768,0.035)); #499039=CARTESIAN_POINT('',(44.394084,65.9768,0.035)); #499040=CARTESIAN_POINT('',(44.394084,65.9768,0.)); #499041=CARTESIAN_POINT('Origin',(47.672344,64.718394,0.)); #499042=CARTESIAN_POINT('',(47.672344,64.718394,0.)); #499043=CARTESIAN_POINT('',(47.672344,64.718394,0.)); #499044=CARTESIAN_POINT('',(47.672344,64.718394,0.035)); #499045=CARTESIAN_POINT('',(47.672344,64.718394,0.035)); #499046=CARTESIAN_POINT('',(47.672344,64.718394,0.)); #499047=CARTESIAN_POINT('Origin',(47.679631,64.71515,0.)); #499048=CARTESIAN_POINT('',(47.679631,64.71515,0.)); #499049=CARTESIAN_POINT('',(47.679631,64.71515,0.)); #499050=CARTESIAN_POINT('',(47.679631,64.71515,0.035)); #499051=CARTESIAN_POINT('',(47.679631,64.71515,0.035)); #499052=CARTESIAN_POINT('',(47.679631,64.71515,0.)); #499053=CARTESIAN_POINT('Origin',(50.808394,63.120969,0.)); #499054=CARTESIAN_POINT('',(50.808394,63.120969,0.)); #499055=CARTESIAN_POINT('',(50.808394,63.120969,0.)); #499056=CARTESIAN_POINT('',(50.808394,63.120969,0.035)); #499057=CARTESIAN_POINT('',(50.808394,63.120969,0.035)); #499058=CARTESIAN_POINT('',(50.808394,63.120969,0.)); #499059=CARTESIAN_POINT('Origin',(50.8153,63.116981,0.)); #499060=CARTESIAN_POINT('',(50.8153,63.116981,0.)); #499061=CARTESIAN_POINT('',(50.8153,63.116981,0.)); #499062=CARTESIAN_POINT('',(50.8153,63.116981,0.035)); #499063=CARTESIAN_POINT('',(50.8153,63.116981,0.035)); #499064=CARTESIAN_POINT('',(50.8153,63.116981,0.)); #499065=CARTESIAN_POINT('Origin',(53.760284,61.204484,0.)); #499066=CARTESIAN_POINT('',(53.760284,61.204484,0.)); #499067=CARTESIAN_POINT('',(53.760284,61.204484,0.)); #499068=CARTESIAN_POINT('',(53.760284,61.204484,0.035)); #499069=CARTESIAN_POINT('',(53.760284,61.204484,0.035)); #499070=CARTESIAN_POINT('',(53.760284,61.204484,0.)); #499071=CARTESIAN_POINT('Origin',(53.766738,61.199797,0.)); #499072=CARTESIAN_POINT('',(53.766738,61.199797,0.)); #499073=CARTESIAN_POINT('',(53.766738,61.199797,0.)); #499074=CARTESIAN_POINT('',(53.766738,61.199797,0.035)); #499075=CARTESIAN_POINT('',(53.766738,61.199797,0.035)); #499076=CARTESIAN_POINT('',(53.766738,61.199797,0.)); #499077=CARTESIAN_POINT('Origin',(56.495678,58.989944,0.)); #499078=CARTESIAN_POINT('',(56.495678,58.989944,0.)); #499079=CARTESIAN_POINT('',(56.495678,58.989944,0.)); #499080=CARTESIAN_POINT('',(56.495678,58.989944,0.035)); #499081=CARTESIAN_POINT('',(56.495678,58.989944,0.035)); #499082=CARTESIAN_POINT('',(56.495678,58.989944,0.)); #499083=CARTESIAN_POINT('Origin',(56.501606,58.984606,0.)); #499084=CARTESIAN_POINT('',(56.501606,58.984606,0.)); #499085=CARTESIAN_POINT('',(56.501606,58.984606,0.)); #499086=CARTESIAN_POINT('',(56.501606,58.984606,0.035)); #499087=CARTESIAN_POINT('',(56.501606,58.984606,0.035)); #499088=CARTESIAN_POINT('',(56.501606,58.984606,0.)); #499089=CARTESIAN_POINT('Origin',(58.984606,56.501606,0.)); #499090=CARTESIAN_POINT('',(58.984606,56.501606,0.)); #499091=CARTESIAN_POINT('',(58.984606,56.501606,0.)); #499092=CARTESIAN_POINT('',(58.984606,56.501606,0.035)); #499093=CARTESIAN_POINT('',(58.984606,56.501606,0.035)); #499094=CARTESIAN_POINT('',(58.984606,56.501606,0.)); #499095=CARTESIAN_POINT('Origin',(58.989944,56.495678,0.)); #499096=CARTESIAN_POINT('',(58.989944,56.495678,0.)); #499097=CARTESIAN_POINT('',(58.989944,56.495678,0.)); #499098=CARTESIAN_POINT('',(58.989944,56.495678,0.035)); #499099=CARTESIAN_POINT('',(58.989944,56.495678,0.035)); #499100=CARTESIAN_POINT('',(58.989944,56.495678,0.)); #499101=CARTESIAN_POINT('Origin',(61.199797,53.766738,0.)); #499102=CARTESIAN_POINT('',(61.199797,53.766738,0.)); #499103=CARTESIAN_POINT('',(61.199797,53.766738,0.)); #499104=CARTESIAN_POINT('',(61.199797,53.766738,0.035)); #499105=CARTESIAN_POINT('',(61.199797,53.766738,0.035)); #499106=CARTESIAN_POINT('',(61.199797,53.766738,0.)); #499107=CARTESIAN_POINT('Origin',(61.204484,53.760284,0.)); #499108=CARTESIAN_POINT('',(61.204484,53.760284,0.)); #499109=CARTESIAN_POINT('',(61.204484,53.760284,0.)); #499110=CARTESIAN_POINT('',(61.204484,53.760284,0.035)); #499111=CARTESIAN_POINT('',(61.204484,53.760284,0.035)); #499112=CARTESIAN_POINT('',(61.204484,53.760284,0.)); #499113=CARTESIAN_POINT('Origin',(63.116981,50.8153,0.)); #499114=CARTESIAN_POINT('',(63.116981,50.8153,0.)); #499115=CARTESIAN_POINT('',(63.116981,50.8153,0.)); #499116=CARTESIAN_POINT('',(63.116981,50.8153,0.035)); #499117=CARTESIAN_POINT('',(63.116981,50.8153,0.035)); #499118=CARTESIAN_POINT('',(63.116981,50.8153,0.)); #499119=CARTESIAN_POINT('Origin',(63.120969,50.808394,0.)); #499120=CARTESIAN_POINT('',(63.120969,50.808394,0.)); #499121=CARTESIAN_POINT('',(63.120969,50.808394,0.)); #499122=CARTESIAN_POINT('',(63.120969,50.808394,0.035)); #499123=CARTESIAN_POINT('',(63.120969,50.808394,0.035)); #499124=CARTESIAN_POINT('',(63.120969,50.808394,0.)); #499125=CARTESIAN_POINT('Origin',(64.71515,47.679631,0.)); #499126=CARTESIAN_POINT('',(64.71515,47.679631,0.)); #499127=CARTESIAN_POINT('',(64.71515,47.679631,0.)); #499128=CARTESIAN_POINT('',(64.71515,47.679631,0.035)); #499129=CARTESIAN_POINT('',(64.71515,47.679631,0.035)); #499130=CARTESIAN_POINT('',(64.71515,47.679631,0.)); #499131=CARTESIAN_POINT('Origin',(64.718394,47.672344,0.)); #499132=CARTESIAN_POINT('',(64.718394,47.672344,0.)); #499133=CARTESIAN_POINT('',(64.718394,47.672344,0.)); #499134=CARTESIAN_POINT('',(64.718394,47.672344,0.035)); #499135=CARTESIAN_POINT('',(64.718394,47.672344,0.035)); #499136=CARTESIAN_POINT('',(64.718394,47.672344,0.)); #499137=CARTESIAN_POINT('Origin',(65.9768,44.394084,0.)); #499138=CARTESIAN_POINT('',(65.9768,44.394084,0.)); #499139=CARTESIAN_POINT('',(65.9768,44.394084,0.)); #499140=CARTESIAN_POINT('',(65.9768,44.394084,0.035)); #499141=CARTESIAN_POINT('',(65.9768,44.394084,0.035)); #499142=CARTESIAN_POINT('',(65.9768,44.394084,0.)); #499143=CARTESIAN_POINT('Origin',(65.979266,44.3865,0.)); #499144=CARTESIAN_POINT('',(65.979266,44.3865,0.)); #499145=CARTESIAN_POINT('',(65.979266,44.3865,0.)); #499146=CARTESIAN_POINT('',(65.979266,44.3865,0.035)); #499147=CARTESIAN_POINT('',(65.979266,44.3865,0.035)); #499148=CARTESIAN_POINT('',(65.979266,44.3865,0.)); #499149=CARTESIAN_POINT('Origin',(66.888106,40.994659,0.)); #499150=CARTESIAN_POINT('',(66.888106,40.994659,0.)); #499151=CARTESIAN_POINT('',(66.888106,40.994659,0.)); #499152=CARTESIAN_POINT('',(66.888106,40.994659,0.035)); #499153=CARTESIAN_POINT('',(66.888106,40.994659,0.035)); #499154=CARTESIAN_POINT('',(66.888106,40.994659,0.)); #499155=CARTESIAN_POINT('Origin',(66.889766,40.986859,0.)); #499156=CARTESIAN_POINT('',(66.889766,40.986859,0.)); #499157=CARTESIAN_POINT('',(66.889766,40.986859,0.)); #499158=CARTESIAN_POINT('',(66.889766,40.986859,0.035)); #499159=CARTESIAN_POINT('',(66.889766,40.986859,0.035)); #499160=CARTESIAN_POINT('',(66.889766,40.986859,0.)); #499161=CARTESIAN_POINT('Origin',(67.439084,37.5186,0.)); #499162=CARTESIAN_POINT('',(67.439084,37.5186,0.)); #499163=CARTESIAN_POINT('',(67.439084,37.5186,0.)); #499164=CARTESIAN_POINT('',(67.439084,37.5186,0.035)); #499165=CARTESIAN_POINT('',(67.439084,37.5186,0.035)); #499166=CARTESIAN_POINT('',(67.439084,37.5186,0.)); #499167=CARTESIAN_POINT('Origin',(67.439919,37.510666,0.)); #499168=CARTESIAN_POINT('',(67.439919,37.510666,0.)); #499169=CARTESIAN_POINT('',(67.439919,37.510666,0.)); #499170=CARTESIAN_POINT('',(67.439919,37.510666,0.035)); #499171=CARTESIAN_POINT('',(67.439919,37.510666,0.035)); #499172=CARTESIAN_POINT('',(67.439919,37.510666,0.)); #499173=CARTESIAN_POINT('Origin',(67.623697,34.003988,0.)); #499174=CARTESIAN_POINT('',(67.623697,34.003988,0.)); #499175=CARTESIAN_POINT('',(67.623697,34.003988,0.)); #499176=CARTESIAN_POINT('',(67.623697,34.003988,0.035)); #499177=CARTESIAN_POINT('',(67.623697,34.003988,0.035)); #499178=CARTESIAN_POINT('',(67.623697,34.003988,0.)); #499179=CARTESIAN_POINT('Origin',(67.623697,33.996012,0.)); #499180=CARTESIAN_POINT('',(67.623697,33.996012,0.)); #499181=CARTESIAN_POINT('',(67.623697,33.996012,0.)); #499182=CARTESIAN_POINT('',(67.623697,33.996012,0.035)); #499183=CARTESIAN_POINT('',(67.623697,33.996012,0.035)); #499184=CARTESIAN_POINT('',(67.623697,33.996012,0.)); #499185=CARTESIAN_POINT('Origin',(67.439919,30.489334,0.)); #499186=CARTESIAN_POINT('',(67.439919,30.489334,0.)); #499187=CARTESIAN_POINT('',(67.439919,30.489334,0.)); #499188=CARTESIAN_POINT('',(67.439919,30.489334,0.035)); #499189=CARTESIAN_POINT('',(67.439919,30.489334,0.035)); #499190=CARTESIAN_POINT('',(67.439919,30.489334,0.)); #499191=CARTESIAN_POINT('Origin',(67.439084,30.4814,0.)); #499192=CARTESIAN_POINT('',(67.439084,30.4814,0.)); #499193=CARTESIAN_POINT('',(67.439084,30.4814,0.)); #499194=CARTESIAN_POINT('',(67.439084,30.4814,0.035)); #499195=CARTESIAN_POINT('',(67.439084,30.4814,0.035)); #499196=CARTESIAN_POINT('',(67.439084,30.4814,0.)); #499197=CARTESIAN_POINT('Origin',(66.889766,27.013141,0.)); #499198=CARTESIAN_POINT('',(66.889766,27.013141,0.)); #499199=CARTESIAN_POINT('',(66.889766,27.013141,0.)); #499200=CARTESIAN_POINT('',(66.889766,27.013141,0.035)); #499201=CARTESIAN_POINT('',(66.889766,27.013141,0.035)); #499202=CARTESIAN_POINT('',(66.889766,27.013141,0.)); #499203=CARTESIAN_POINT('Origin',(66.888106,27.005341,0.)); #499204=CARTESIAN_POINT('',(66.888106,27.005341,0.)); #499205=CARTESIAN_POINT('',(66.888106,27.005341,0.)); #499206=CARTESIAN_POINT('',(66.888106,27.005341,0.035)); #499207=CARTESIAN_POINT('',(66.888106,27.005341,0.035)); #499208=CARTESIAN_POINT('',(66.888106,27.005341,0.)); #499209=CARTESIAN_POINT('Origin',(65.979266,23.6135,0.)); #499210=CARTESIAN_POINT('',(65.979266,23.6135,0.)); #499211=CARTESIAN_POINT('',(65.979266,23.6135,0.)); #499212=CARTESIAN_POINT('',(65.979266,23.6135,0.035)); #499213=CARTESIAN_POINT('',(65.979266,23.6135,0.035)); #499214=CARTESIAN_POINT('',(65.979266,23.6135,0.)); #499215=CARTESIAN_POINT('Origin',(65.9768,23.605916,0.)); #499216=CARTESIAN_POINT('',(65.9768,23.605916,0.)); #499217=CARTESIAN_POINT('',(65.9768,23.605916,0.)); #499218=CARTESIAN_POINT('',(65.9768,23.605916,0.035)); #499219=CARTESIAN_POINT('',(65.9768,23.605916,0.035)); #499220=CARTESIAN_POINT('',(65.9768,23.605916,0.)); #499221=CARTESIAN_POINT('Origin',(64.718394,20.327656,0.)); #499222=CARTESIAN_POINT('',(64.718394,20.327656,0.)); #499223=CARTESIAN_POINT('',(64.718394,20.327656,0.)); #499224=CARTESIAN_POINT('',(64.718394,20.327656,0.035)); #499225=CARTESIAN_POINT('',(64.718394,20.327656,0.035)); #499226=CARTESIAN_POINT('',(64.718394,20.327656,0.)); #499227=CARTESIAN_POINT('Origin',(64.71515,20.320369,0.)); #499228=CARTESIAN_POINT('',(64.71515,20.320369,0.)); #499229=CARTESIAN_POINT('',(64.71515,20.320369,0.)); #499230=CARTESIAN_POINT('',(64.71515,20.320369,0.035)); #499231=CARTESIAN_POINT('',(64.71515,20.320369,0.035)); #499232=CARTESIAN_POINT('',(64.71515,20.320369,0.)); #499233=CARTESIAN_POINT('Origin',(63.120969,17.191606,0.)); #499234=CARTESIAN_POINT('',(63.120969,17.191606,0.)); #499235=CARTESIAN_POINT('',(63.120969,17.191606,0.)); #499236=CARTESIAN_POINT('',(63.120969,17.191606,0.035)); #499237=CARTESIAN_POINT('',(63.120969,17.191606,0.035)); #499238=CARTESIAN_POINT('',(63.120969,17.191606,0.)); #499239=CARTESIAN_POINT('Origin',(63.116981,17.1847,0.)); #499240=CARTESIAN_POINT('',(63.116981,17.1847,0.)); #499241=CARTESIAN_POINT('',(63.116981,17.1847,0.)); #499242=CARTESIAN_POINT('',(63.116981,17.1847,0.035)); #499243=CARTESIAN_POINT('',(63.116981,17.1847,0.035)); #499244=CARTESIAN_POINT('',(63.116981,17.1847,0.)); #499245=CARTESIAN_POINT('Origin',(61.204484,14.239716,0.)); #499246=CARTESIAN_POINT('',(61.204484,14.239716,0.)); #499247=CARTESIAN_POINT('',(61.204484,14.239716,0.)); #499248=CARTESIAN_POINT('',(61.204484,14.239716,0.035)); #499249=CARTESIAN_POINT('',(61.204484,14.239716,0.035)); #499250=CARTESIAN_POINT('',(61.204484,14.239716,0.)); #499251=CARTESIAN_POINT('Origin',(61.199797,14.233263,0.)); #499252=CARTESIAN_POINT('',(61.199797,14.233263,0.)); #499253=CARTESIAN_POINT('',(61.199797,14.233263,0.)); #499254=CARTESIAN_POINT('',(61.199797,14.233263,0.035)); #499255=CARTESIAN_POINT('',(61.199797,14.233263,0.035)); #499256=CARTESIAN_POINT('',(61.199797,14.233263,0.)); #499257=CARTESIAN_POINT('Origin',(58.989944,11.504322,0.)); #499258=CARTESIAN_POINT('',(58.989944,11.504322,0.)); #499259=CARTESIAN_POINT('',(58.989944,11.504322,0.)); #499260=CARTESIAN_POINT('',(58.989944,11.504322,0.035)); #499261=CARTESIAN_POINT('',(58.989944,11.504322,0.035)); #499262=CARTESIAN_POINT('',(58.989944,11.504322,0.)); #499263=CARTESIAN_POINT('Origin',(58.984606,11.498394,0.)); #499264=CARTESIAN_POINT('',(58.984606,11.498394,0.)); #499265=CARTESIAN_POINT('',(58.984606,11.498394,0.)); #499266=CARTESIAN_POINT('',(58.984606,11.498394,0.035)); #499267=CARTESIAN_POINT('',(58.984606,11.498394,0.035)); #499268=CARTESIAN_POINT('',(58.984606,11.498394,0.)); #499269=CARTESIAN_POINT('Origin',(56.501606,9.0153938,0.)); #499270=CARTESIAN_POINT('',(56.501606,9.0153938,0.)); #499271=CARTESIAN_POINT('',(56.501606,9.0153938,0.)); #499272=CARTESIAN_POINT('',(56.501606,9.0153938,0.035)); #499273=CARTESIAN_POINT('',(56.501606,9.0153938,0.035)); #499274=CARTESIAN_POINT('',(56.501606,9.0153938,0.)); #499275=CARTESIAN_POINT('Origin',(56.495678,9.0100562,0.)); #499276=CARTESIAN_POINT('',(56.495678,9.0100562,0.)); #499277=CARTESIAN_POINT('',(56.495678,9.0100562,0.)); #499278=CARTESIAN_POINT('',(56.495678,9.0100562,0.035)); #499279=CARTESIAN_POINT('',(56.495678,9.0100562,0.035)); #499280=CARTESIAN_POINT('',(56.495678,9.0100562,0.)); #499281=CARTESIAN_POINT('Origin',(53.766738,6.8002031,0.)); #499282=CARTESIAN_POINT('',(53.766738,6.8002031,0.)); #499283=CARTESIAN_POINT('',(53.766738,6.8002031,0.)); #499284=CARTESIAN_POINT('',(53.766738,6.8002031,0.035)); #499285=CARTESIAN_POINT('',(53.766738,6.8002031,0.035)); #499286=CARTESIAN_POINT('',(53.766738,6.8002031,0.)); #499287=CARTESIAN_POINT('Origin',(53.760284,6.7955156,0.)); #499288=CARTESIAN_POINT('',(53.760284,6.7955156,0.)); #499289=CARTESIAN_POINT('',(53.760284,6.7955156,0.)); #499290=CARTESIAN_POINT('',(53.760284,6.7955156,0.035)); #499291=CARTESIAN_POINT('',(53.760284,6.7955156,0.035)); #499292=CARTESIAN_POINT('',(53.760284,6.7955156,0.)); #499293=CARTESIAN_POINT('Origin',(50.8153,4.8830188,0.)); #499294=CARTESIAN_POINT('',(50.8153,4.8830188,0.)); #499295=CARTESIAN_POINT('',(50.8153,4.8830188,0.)); #499296=CARTESIAN_POINT('',(50.8153,4.8830188,0.035)); #499297=CARTESIAN_POINT('',(50.8153,4.8830188,0.035)); #499298=CARTESIAN_POINT('',(50.8153,4.8830188,0.)); #499299=CARTESIAN_POINT('Origin',(50.808394,4.8790313,0.)); #499300=CARTESIAN_POINT('',(50.808394,4.8790313,0.)); #499301=CARTESIAN_POINT('',(50.808394,4.8790313,0.)); #499302=CARTESIAN_POINT('',(50.808394,4.8790313,0.035)); #499303=CARTESIAN_POINT('',(50.808394,4.8790313,0.035)); #499304=CARTESIAN_POINT('',(50.808394,4.8790313,0.)); #499305=CARTESIAN_POINT('Origin',(47.679631,3.28485,0.)); #499306=CARTESIAN_POINT('',(47.679631,3.28485,0.)); #499307=CARTESIAN_POINT('',(47.679631,3.28485,0.)); #499308=CARTESIAN_POINT('',(47.679631,3.28485,0.035)); #499309=CARTESIAN_POINT('',(47.679631,3.28485,0.035)); #499310=CARTESIAN_POINT('',(47.679631,3.28485,0.)); #499311=CARTESIAN_POINT('Origin',(47.672344,3.2816063,0.)); #499312=CARTESIAN_POINT('',(47.672344,3.2816063,0.)); #499313=CARTESIAN_POINT('',(47.672344,3.2816063,0.)); #499314=CARTESIAN_POINT('',(47.672344,3.2816063,0.035)); #499315=CARTESIAN_POINT('',(47.672344,3.2816063,0.035)); #499316=CARTESIAN_POINT('',(47.672344,3.2816063,0.)); #499317=CARTESIAN_POINT('Origin',(44.394084,2.0232,0.)); #499318=CARTESIAN_POINT('',(44.394084,2.0232,0.)); #499319=CARTESIAN_POINT('',(44.394084,2.0232,0.)); #499320=CARTESIAN_POINT('',(44.394084,2.0232,0.035)); #499321=CARTESIAN_POINT('',(44.394084,2.0232,0.035)); #499322=CARTESIAN_POINT('',(44.394084,2.0232,0.)); #499323=CARTESIAN_POINT('Origin',(44.3865,2.0207344,0.)); #499324=CARTESIAN_POINT('',(44.3865,2.0207344,0.)); #499325=CARTESIAN_POINT('',(44.3865,2.0207344,0.)); #499326=CARTESIAN_POINT('',(44.3865,2.0207344,0.035)); #499327=CARTESIAN_POINT('',(44.3865,2.0207344,0.035)); #499328=CARTESIAN_POINT('',(44.3865,2.0207344,0.)); #499329=CARTESIAN_POINT('Origin',(40.994659,1.1118938,0.)); #499330=CARTESIAN_POINT('',(40.994659,1.1118938,0.)); #499331=CARTESIAN_POINT('',(40.994659,1.1118938,0.)); #499332=CARTESIAN_POINT('',(40.994659,1.1118938,0.035)); #499333=CARTESIAN_POINT('',(40.994659,1.1118938,0.035)); #499334=CARTESIAN_POINT('',(40.994659,1.1118938,0.)); #499335=CARTESIAN_POINT('Origin',(40.986859,1.1102344,0.)); #499336=CARTESIAN_POINT('',(40.986859,1.1102344,0.)); #499337=CARTESIAN_POINT('',(40.986859,1.1102344,0.)); #499338=CARTESIAN_POINT('',(40.986859,1.1102344,0.035)); #499339=CARTESIAN_POINT('',(40.986859,1.1102344,0.035)); #499340=CARTESIAN_POINT('',(40.986859,1.1102344,0.)); #499341=CARTESIAN_POINT('Origin',(37.5186,0.56091563,0.)); #499342=CARTESIAN_POINT('',(37.5186,0.56091563,0.)); #499343=CARTESIAN_POINT('',(37.5186,0.56091563,0.)); #499344=CARTESIAN_POINT('',(37.5186,0.56091563,0.035)); #499345=CARTESIAN_POINT('',(37.5186,0.56091563,0.035)); #499346=CARTESIAN_POINT('',(37.5186,0.56091563,0.)); #499347=CARTESIAN_POINT('Origin',(37.510666,0.56008125,0.)); #499348=CARTESIAN_POINT('',(37.510666,0.56008125,0.)); #499349=CARTESIAN_POINT('',(37.510666,0.56008125,0.035)); #499350=CARTESIAN_POINT('Origin',(34.0000000370592,34.0000000370592,0.035)); #499351=CARTESIAN_POINT('Origin',(34.0000000370592,34.0000000370592,0.)); #499352=CARTESIAN_POINT('Origin',(25.5903,23.2286,0.)); #499353=CARTESIAN_POINT('',(25.5903,23.2286,0.)); #499354=CARTESIAN_POINT('',(25.620022,23.234634,0.)); #499355=CARTESIAN_POINT('',(25.5903,23.2286,0.)); #499356=CARTESIAN_POINT('',(25.620022,23.234634,0.035)); #499357=CARTESIAN_POINT('',(25.620022,23.234634,0.)); #499358=CARTESIAN_POINT('',(25.5903,23.2286,0.035)); #499359=CARTESIAN_POINT('',(25.5903,23.2286,0.035)); #499360=CARTESIAN_POINT('',(25.5903,23.2286,0.)); #499361=CARTESIAN_POINT('Origin',(21.380591,23.2286,0.)); #499362=CARTESIAN_POINT('',(21.380591,23.2286,0.)); #499363=CARTESIAN_POINT('',(21.380591,23.2286,0.)); #499364=CARTESIAN_POINT('',(21.380591,23.2286,0.035)); #499365=CARTESIAN_POINT('',(21.380591,23.2286,0.035)); #499366=CARTESIAN_POINT('',(21.380591,23.2286,0.)); #499367=CARTESIAN_POINT('Origin',(21.354259,23.233294,0.)); #499368=CARTESIAN_POINT('',(21.354259,23.233294,0.)); #499369=CARTESIAN_POINT('',(21.354259,23.233294,0.)); #499370=CARTESIAN_POINT('',(21.354259,23.233294,0.035)); #499371=CARTESIAN_POINT('',(21.354259,23.233294,0.035)); #499372=CARTESIAN_POINT('',(21.354259,23.233294,0.)); #499373=CARTESIAN_POINT('Origin',(21.328453,23.249228,0.)); #499374=CARTESIAN_POINT('',(21.328453,23.249228,0.)); #499375=CARTESIAN_POINT('',(21.328453,23.249228,0.)); #499376=CARTESIAN_POINT('',(21.328453,23.249228,0.035)); #499377=CARTESIAN_POINT('',(21.328453,23.249228,0.035)); #499378=CARTESIAN_POINT('',(21.328453,23.249228,0.)); #499379=CARTESIAN_POINT('Origin',(21.310909,23.273966,0.)); #499380=CARTESIAN_POINT('',(21.310909,23.273966,0.)); #499381=CARTESIAN_POINT('',(21.310909,23.273966,0.)); #499382=CARTESIAN_POINT('',(21.310909,23.273966,0.035)); #499383=CARTESIAN_POINT('',(21.310909,23.273966,0.035)); #499384=CARTESIAN_POINT('',(21.310909,23.273966,0.)); #499385=CARTESIAN_POINT('Origin',(21.3044,23.303584,0.)); #499386=CARTESIAN_POINT('',(21.3044,23.303584,0.)); #499387=CARTESIAN_POINT('',(21.3044,23.303584,0.)); #499388=CARTESIAN_POINT('',(21.3044,23.303584,0.035)); #499389=CARTESIAN_POINT('',(21.3044,23.303584,0.035)); #499390=CARTESIAN_POINT('',(21.3044,23.303584,0.)); #499391=CARTESIAN_POINT('Origin',(21.309959,23.333397,0.)); #499392=CARTESIAN_POINT('',(21.309959,23.333397,0.)); #499393=CARTESIAN_POINT('',(21.309959,23.333397,0.)); #499394=CARTESIAN_POINT('',(21.309959,23.333397,0.035)); #499395=CARTESIAN_POINT('',(21.309959,23.333397,0.035)); #499396=CARTESIAN_POINT('',(21.309959,23.333397,0.)); #499397=CARTESIAN_POINT('Origin',(21.326709,23.358681,0.)); #499398=CARTESIAN_POINT('',(21.326709,23.358681,0.)); #499399=CARTESIAN_POINT('',(21.326709,23.358681,0.)); #499400=CARTESIAN_POINT('',(21.326709,23.358681,0.035)); #499401=CARTESIAN_POINT('',(21.326709,23.358681,0.035)); #499402=CARTESIAN_POINT('',(21.326709,23.358681,0.)); #499403=CARTESIAN_POINT('Origin',(21.4199,23.451872,0.)); #499404=CARTESIAN_POINT('',(21.4199,23.451872,0.)); #499405=CARTESIAN_POINT('',(21.4199,23.451872,0.)); #499406=CARTESIAN_POINT('',(21.4199,23.451872,0.035)); #499407=CARTESIAN_POINT('',(21.4199,23.451872,0.035)); #499408=CARTESIAN_POINT('',(21.4199,23.451872,0.)); #499409=CARTESIAN_POINT('Origin',(21.4199,23.8452,0.)); #499410=CARTESIAN_POINT('',(21.4199,23.8452,0.)); #499411=CARTESIAN_POINT('',(21.4199,23.8452,0.)); #499412=CARTESIAN_POINT('',(21.4199,23.8452,0.035)); #499413=CARTESIAN_POINT('',(21.4199,23.8452,0.035)); #499414=CARTESIAN_POINT('',(21.4199,23.8452,0.)); #499415=CARTESIAN_POINT('Origin',(21.425022,23.872669,0.)); #499416=CARTESIAN_POINT('',(21.425022,23.872669,0.)); #499417=CARTESIAN_POINT('',(21.425022,23.872669,0.)); #499418=CARTESIAN_POINT('',(21.425022,23.872669,0.035)); #499419=CARTESIAN_POINT('',(21.425022,23.872669,0.035)); #499420=CARTESIAN_POINT('',(21.425022,23.872669,0.)); #499421=CARTESIAN_POINT('Origin',(21.441366,23.898216,0.)); #499422=CARTESIAN_POINT('',(21.441366,23.898216,0.)); #499423=CARTESIAN_POINT('',(21.441366,23.898216,0.)); #499424=CARTESIAN_POINT('',(21.441366,23.898216,0.035)); #499425=CARTESIAN_POINT('',(21.441366,23.898216,0.035)); #499426=CARTESIAN_POINT('',(21.441366,23.898216,0.)); #499427=CARTESIAN_POINT('Origin',(21.466378,23.915366,0.)); #499428=CARTESIAN_POINT('',(21.466378,23.915366,0.)); #499429=CARTESIAN_POINT('',(21.466378,23.915366,0.)); #499430=CARTESIAN_POINT('',(21.466378,23.915366,0.035)); #499431=CARTESIAN_POINT('',(21.466378,23.915366,0.035)); #499432=CARTESIAN_POINT('',(21.466378,23.915366,0.)); #499433=CARTESIAN_POINT('Origin',(21.4961,23.9214,0.)); #499434=CARTESIAN_POINT('',(21.4961,23.9214,0.)); #499435=CARTESIAN_POINT('',(21.4961,23.9214,0.)); #499436=CARTESIAN_POINT('',(21.4961,23.9214,0.035)); #499437=CARTESIAN_POINT('',(21.4961,23.9214,0.035)); #499438=CARTESIAN_POINT('',(21.4961,23.9214,0.)); #499439=CARTESIAN_POINT('Origin',(23.223747,23.9214,0.)); #499440=CARTESIAN_POINT('',(23.223747,23.9214,0.)); #499441=CARTESIAN_POINT('',(23.223747,23.9214,0.)); #499442=CARTESIAN_POINT('',(23.223747,23.9214,0.035)); #499443=CARTESIAN_POINT('',(23.223747,23.9214,0.035)); #499444=CARTESIAN_POINT('',(23.223747,23.9214,0.)); #499445=CARTESIAN_POINT('Origin',(23.252344,23.915831,0.)); #499446=CARTESIAN_POINT('',(23.252344,23.915831,0.)); #499447=CARTESIAN_POINT('',(23.252344,23.915831,0.)); #499448=CARTESIAN_POINT('',(23.252344,23.915831,0.035)); #499449=CARTESIAN_POINT('',(23.252344,23.915831,0.035)); #499450=CARTESIAN_POINT('',(23.252344,23.915831,0.)); #499451=CARTESIAN_POINT('Origin',(23.277628,23.899081,0.)); #499452=CARTESIAN_POINT('',(23.277628,23.899081,0.)); #499453=CARTESIAN_POINT('',(23.277628,23.899081,0.)); #499454=CARTESIAN_POINT('',(23.277628,23.899081,0.035)); #499455=CARTESIAN_POINT('',(23.277628,23.899081,0.035)); #499456=CARTESIAN_POINT('',(23.277628,23.899081,0.)); #499457=CARTESIAN_POINT('Origin',(23.655309,23.5214,0.)); #499458=CARTESIAN_POINT('',(23.655309,23.5214,0.)); #499459=CARTESIAN_POINT('',(23.655309,23.5214,0.)); #499460=CARTESIAN_POINT('',(23.655309,23.5214,0.035)); #499461=CARTESIAN_POINT('',(23.655309,23.5214,0.035)); #499462=CARTESIAN_POINT('',(23.655309,23.5214,0.)); #499463=CARTESIAN_POINT('Origin',(25.5903,23.5214,0.)); #499464=CARTESIAN_POINT('',(25.5903,23.5214,0.)); #499465=CARTESIAN_POINT('',(25.5903,23.5214,0.)); #499466=CARTESIAN_POINT('',(25.5903,23.5214,0.035)); #499467=CARTESIAN_POINT('',(25.5903,23.5214,0.035)); #499468=CARTESIAN_POINT('',(25.5903,23.5214,0.)); #499469=CARTESIAN_POINT('Origin',(25.617769,23.516278,0.)); #499470=CARTESIAN_POINT('',(25.617769,23.516278,0.)); #499471=CARTESIAN_POINT('',(25.617769,23.516278,0.)); #499472=CARTESIAN_POINT('',(25.617769,23.516278,0.035)); #499473=CARTESIAN_POINT('',(25.617769,23.516278,0.035)); #499474=CARTESIAN_POINT('',(25.617769,23.516278,0.)); #499475=CARTESIAN_POINT('Origin',(25.643316,23.499934,0.)); #499476=CARTESIAN_POINT('',(25.643316,23.499934,0.)); #499477=CARTESIAN_POINT('',(25.643316,23.499934,0.)); #499478=CARTESIAN_POINT('',(25.643316,23.499934,0.035)); #499479=CARTESIAN_POINT('',(25.643316,23.499934,0.035)); #499480=CARTESIAN_POINT('',(25.643316,23.499934,0.)); #499481=CARTESIAN_POINT('Origin',(25.660466,23.474922,0.)); #499482=CARTESIAN_POINT('',(25.660466,23.474922,0.)); #499483=CARTESIAN_POINT('',(25.660466,23.474922,0.)); #499484=CARTESIAN_POINT('',(25.660466,23.474922,0.035)); #499485=CARTESIAN_POINT('',(25.660466,23.474922,0.035)); #499486=CARTESIAN_POINT('',(25.660466,23.474922,0.)); #499487=CARTESIAN_POINT('Origin',(25.6665,23.4452,0.)); #499488=CARTESIAN_POINT('',(25.6665,23.4452,0.)); #499489=CARTESIAN_POINT('',(25.6665,23.4452,0.)); #499490=CARTESIAN_POINT('',(25.6665,23.4452,0.035)); #499491=CARTESIAN_POINT('',(25.6665,23.4452,0.035)); #499492=CARTESIAN_POINT('',(25.6665,23.4452,0.)); #499493=CARTESIAN_POINT('Origin',(25.6665,23.3048,0.)); #499494=CARTESIAN_POINT('',(25.6665,23.3048,0.)); #499495=CARTESIAN_POINT('',(25.6665,23.3048,0.)); #499496=CARTESIAN_POINT('',(25.6665,23.3048,0.035)); #499497=CARTESIAN_POINT('',(25.6665,23.3048,0.035)); #499498=CARTESIAN_POINT('',(25.6665,23.3048,0.)); #499499=CARTESIAN_POINT('Origin',(25.661378,23.277331,0.)); #499500=CARTESIAN_POINT('',(25.661378,23.277331,0.)); #499501=CARTESIAN_POINT('',(25.661378,23.277331,0.)); #499502=CARTESIAN_POINT('',(25.661378,23.277331,0.035)); #499503=CARTESIAN_POINT('',(25.661378,23.277331,0.035)); #499504=CARTESIAN_POINT('',(25.661378,23.277331,0.)); #499505=CARTESIAN_POINT('Origin',(25.645034,23.251784,0.)); #499506=CARTESIAN_POINT('',(25.645034,23.251784,0.)); #499507=CARTESIAN_POINT('',(25.645034,23.251784,0.)); #499508=CARTESIAN_POINT('',(25.645034,23.251784,0.035)); #499509=CARTESIAN_POINT('',(25.645034,23.251784,0.035)); #499510=CARTESIAN_POINT('',(25.645034,23.251784,0.)); #499511=CARTESIAN_POINT('Origin',(25.620022,23.234634,0.)); #499512=CARTESIAN_POINT('',(25.620022,23.234634,0.)); #499513=CARTESIAN_POINT('',(25.620022,23.234634,0.035)); #499514=CARTESIAN_POINT('Origin',(23.4163650133717,23.4762405663441,0.035)); #499515=CARTESIAN_POINT('Origin',(23.4163650133717,23.4762405663441,0.)); #499516=CARTESIAN_POINT('Origin',(34.307228,54.284691,0.)); #499517=CARTESIAN_POINT('',(34.307228,54.284691,0.)); #499518=CARTESIAN_POINT('',(34.336744,54.291672,0.)); #499519=CARTESIAN_POINT('',(34.307228,54.284691,0.)); #499520=CARTESIAN_POINT('',(34.336744,54.291672,0.035)); #499521=CARTESIAN_POINT('',(34.336744,54.291672,0.)); #499522=CARTESIAN_POINT('',(34.307228,54.284691,0.035)); #499523=CARTESIAN_POINT('',(34.307228,54.284691,0.035)); #499524=CARTESIAN_POINT('',(34.307228,54.284691,0.)); #499525=CARTESIAN_POINT('Origin',(34.277331,54.289775,0.)); #499526=CARTESIAN_POINT('',(34.277331,54.289775,0.)); #499527=CARTESIAN_POINT('',(34.277331,54.289775,0.)); #499528=CARTESIAN_POINT('',(34.277331,54.289775,0.035)); #499529=CARTESIAN_POINT('',(34.277331,54.289775,0.035)); #499530=CARTESIAN_POINT('',(34.277331,54.289775,0.)); #499531=CARTESIAN_POINT('Origin',(34.251784,54.306119,0.)); #499532=CARTESIAN_POINT('',(34.251784,54.306119,0.)); #499533=CARTESIAN_POINT('',(34.251784,54.306119,0.)); #499534=CARTESIAN_POINT('',(34.251784,54.306119,0.035)); #499535=CARTESIAN_POINT('',(34.251784,54.306119,0.035)); #499536=CARTESIAN_POINT('',(34.251784,54.306119,0.)); #499537=CARTESIAN_POINT('Origin',(34.234634,54.331131,0.)); #499538=CARTESIAN_POINT('',(34.234634,54.331131,0.)); #499539=CARTESIAN_POINT('',(34.234634,54.331131,0.)); #499540=CARTESIAN_POINT('',(34.234634,54.331131,0.035)); #499541=CARTESIAN_POINT('',(34.234634,54.331131,0.035)); #499542=CARTESIAN_POINT('',(34.234634,54.331131,0.)); #499543=CARTESIAN_POINT('Origin',(34.2286,54.360853,0.)); #499544=CARTESIAN_POINT('',(34.2286,54.360853,0.)); #499545=CARTESIAN_POINT('',(34.2286,54.360853,0.)); #499546=CARTESIAN_POINT('',(34.2286,54.360853,0.035)); #499547=CARTESIAN_POINT('',(34.2286,54.360853,0.035)); #499548=CARTESIAN_POINT('',(34.2286,54.360853,0.)); #499549=CARTESIAN_POINT('Origin',(34.2286,54.592603,0.)); #499550=CARTESIAN_POINT('',(34.2286,54.592603,0.)); #499551=CARTESIAN_POINT('',(34.2286,54.592603,0.)); #499552=CARTESIAN_POINT('',(34.2286,54.592603,0.035)); #499553=CARTESIAN_POINT('',(34.2286,54.592603,0.035)); #499554=CARTESIAN_POINT('',(34.2286,54.592603,0.)); #499555=CARTESIAN_POINT('Origin',(34.234169,54.6212,0.)); #499556=CARTESIAN_POINT('',(34.234169,54.6212,0.)); #499557=CARTESIAN_POINT('',(34.234169,54.6212,0.)); #499558=CARTESIAN_POINT('',(34.234169,54.6212,0.035)); #499559=CARTESIAN_POINT('',(34.234169,54.6212,0.035)); #499560=CARTESIAN_POINT('',(34.234169,54.6212,0.)); #499561=CARTESIAN_POINT('Origin',(34.250919,54.646484,0.)); #499562=CARTESIAN_POINT('',(34.250919,54.646484,0.)); #499563=CARTESIAN_POINT('',(34.250919,54.646484,0.)); #499564=CARTESIAN_POINT('',(34.250919,54.646484,0.035)); #499565=CARTESIAN_POINT('',(34.250919,54.646484,0.035)); #499566=CARTESIAN_POINT('',(34.250919,54.646484,0.)); #499567=CARTESIAN_POINT('Origin',(34.321119,54.716684,0.)); #499568=CARTESIAN_POINT('',(34.321119,54.716684,0.)); #499569=CARTESIAN_POINT('',(34.321119,54.716684,0.)); #499570=CARTESIAN_POINT('',(34.321119,54.716684,0.035)); #499571=CARTESIAN_POINT('',(34.321119,54.716684,0.035)); #499572=CARTESIAN_POINT('',(34.321119,54.716684,0.)); #499573=CARTESIAN_POINT('Origin',(34.344166,54.732484,0.)); #499574=CARTESIAN_POINT('',(34.344166,54.732484,0.)); #499575=CARTESIAN_POINT('',(34.344166,54.732484,0.)); #499576=CARTESIAN_POINT('',(34.344166,54.732484,0.035)); #499577=CARTESIAN_POINT('',(34.344166,54.732484,0.035)); #499578=CARTESIAN_POINT('',(34.344166,54.732484,0.)); #499579=CARTESIAN_POINT('Origin',(34.373784,54.738994,0.)); #499580=CARTESIAN_POINT('',(34.373784,54.738994,0.)); #499581=CARTESIAN_POINT('',(34.373784,54.738994,0.)); #499582=CARTESIAN_POINT('',(34.373784,54.738994,0.035)); #499583=CARTESIAN_POINT('',(34.373784,54.738994,0.035)); #499584=CARTESIAN_POINT('',(34.373784,54.738994,0.)); #499585=CARTESIAN_POINT('Origin',(34.403597,54.733434,0.)); #499586=CARTESIAN_POINT('',(34.403597,54.733434,0.)); #499587=CARTESIAN_POINT('',(34.403597,54.733434,0.)); #499588=CARTESIAN_POINT('',(34.403597,54.733434,0.035)); #499589=CARTESIAN_POINT('',(34.403597,54.733434,0.035)); #499590=CARTESIAN_POINT('',(34.403597,54.733434,0.)); #499591=CARTESIAN_POINT('Origin',(34.428881,54.716684,0.)); #499592=CARTESIAN_POINT('',(34.428881,54.716684,0.)); #499593=CARTESIAN_POINT('',(34.428881,54.716684,0.)); #499594=CARTESIAN_POINT('',(34.428881,54.716684,0.035)); #499595=CARTESIAN_POINT('',(34.428881,54.716684,0.035)); #499596=CARTESIAN_POINT('',(34.428881,54.716684,0.)); #499597=CARTESIAN_POINT('Origin',(34.499081,54.646484,0.)); #499598=CARTESIAN_POINT('',(34.499081,54.646484,0.)); #499599=CARTESIAN_POINT('',(34.499081,54.646484,0.)); #499600=CARTESIAN_POINT('',(34.499081,54.646484,0.035)); #499601=CARTESIAN_POINT('',(34.499081,54.646484,0.035)); #499602=CARTESIAN_POINT('',(34.499081,54.646484,0.)); #499603=CARTESIAN_POINT('Origin',(34.515366,54.622325,0.)); #499604=CARTESIAN_POINT('',(34.515366,54.622325,0.)); #499605=CARTESIAN_POINT('',(34.515366,54.622325,0.)); #499606=CARTESIAN_POINT('',(34.515366,54.622325,0.035)); #499607=CARTESIAN_POINT('',(34.515366,54.622325,0.035)); #499608=CARTESIAN_POINT('',(34.515366,54.622325,0.)); #499609=CARTESIAN_POINT('Origin',(34.5214,54.592603,0.)); #499610=CARTESIAN_POINT('',(34.5214,54.592603,0.)); #499611=CARTESIAN_POINT('',(34.5214,54.592603,0.)); #499612=CARTESIAN_POINT('',(34.5214,54.592603,0.035)); #499613=CARTESIAN_POINT('',(34.5214,54.592603,0.035)); #499614=CARTESIAN_POINT('',(34.5214,54.592603,0.)); #499615=CARTESIAN_POINT('Origin',(34.5214,54.501253,0.)); #499616=CARTESIAN_POINT('',(34.5214,54.501253,0.)); #499617=CARTESIAN_POINT('',(34.5214,54.501253,0.)); #499618=CARTESIAN_POINT('',(34.5214,54.501253,0.035)); #499619=CARTESIAN_POINT('',(34.5214,54.501253,0.035)); #499620=CARTESIAN_POINT('',(34.5214,54.501253,0.)); #499621=CARTESIAN_POINT('Origin',(34.515831,54.472656,0.)); #499622=CARTESIAN_POINT('',(34.515831,54.472656,0.)); #499623=CARTESIAN_POINT('',(34.515831,54.472656,0.)); #499624=CARTESIAN_POINT('',(34.515831,54.472656,0.035)); #499625=CARTESIAN_POINT('',(34.515831,54.472656,0.035)); #499626=CARTESIAN_POINT('',(34.515831,54.472656,0.)); #499627=CARTESIAN_POINT('Origin',(34.499081,54.447372,0.)); #499628=CARTESIAN_POINT('',(34.499081,54.447372,0.)); #499629=CARTESIAN_POINT('',(34.499081,54.447372,0.)); #499630=CARTESIAN_POINT('',(34.499081,54.447372,0.035)); #499631=CARTESIAN_POINT('',(34.499081,54.447372,0.035)); #499632=CARTESIAN_POINT('',(34.499081,54.447372,0.)); #499633=CARTESIAN_POINT('Origin',(34.358681,54.306972,0.)); #499634=CARTESIAN_POINT('',(34.358681,54.306972,0.)); #499635=CARTESIAN_POINT('',(34.358681,54.306972,0.)); #499636=CARTESIAN_POINT('',(34.358681,54.306972,0.035)); #499637=CARTESIAN_POINT('',(34.358681,54.306972,0.035)); #499638=CARTESIAN_POINT('',(34.358681,54.306972,0.)); #499639=CARTESIAN_POINT('Origin',(34.336744,54.291672,0.)); #499640=CARTESIAN_POINT('',(34.336744,54.291672,0.)); #499641=CARTESIAN_POINT('',(34.336744,54.291672,0.035)); #499642=CARTESIAN_POINT('Origin',(34.3597813809394,54.5135348173605,0.035)); #499643=CARTESIAN_POINT('Origin',(34.3597813809394,54.5135348173605,0.)); #499644=CARTESIAN_POINT('Origin',(30.210778,22.4786,0.)); #499645=CARTESIAN_POINT('',(30.210778,22.4786,0.)); #499646=CARTESIAN_POINT('',(30.2405,22.484634,0.)); #499647=CARTESIAN_POINT('',(30.210778,22.4786,0.)); #499648=CARTESIAN_POINT('',(30.2405,22.484634,0.035)); #499649=CARTESIAN_POINT('',(30.2405,22.484634,0.)); #499650=CARTESIAN_POINT('',(30.210778,22.4786,0.035)); #499651=CARTESIAN_POINT('',(30.210778,22.4786,0.035)); #499652=CARTESIAN_POINT('',(30.210778,22.4786,0.)); #499653=CARTESIAN_POINT('Origin',(28.4097,22.4786,0.)); #499654=CARTESIAN_POINT('',(28.4097,22.4786,0.)); #499655=CARTESIAN_POINT('',(28.4097,22.4786,0.)); #499656=CARTESIAN_POINT('',(28.4097,22.4786,0.035)); #499657=CARTESIAN_POINT('',(28.4097,22.4786,0.035)); #499658=CARTESIAN_POINT('',(28.4097,22.4786,0.)); #499659=CARTESIAN_POINT('Origin',(28.382231,22.483722,0.)); #499660=CARTESIAN_POINT('',(28.382231,22.483722,0.)); #499661=CARTESIAN_POINT('',(28.382231,22.483722,0.)); #499662=CARTESIAN_POINT('',(28.382231,22.483722,0.035)); #499663=CARTESIAN_POINT('',(28.382231,22.483722,0.035)); #499664=CARTESIAN_POINT('',(28.382231,22.483722,0.)); #499665=CARTESIAN_POINT('Origin',(28.356684,22.500066,0.)); #499666=CARTESIAN_POINT('',(28.356684,22.500066,0.)); #499667=CARTESIAN_POINT('',(28.356684,22.500066,0.)); #499668=CARTESIAN_POINT('',(28.356684,22.500066,0.035)); #499669=CARTESIAN_POINT('',(28.356684,22.500066,0.035)); #499670=CARTESIAN_POINT('',(28.356684,22.500066,0.)); #499671=CARTESIAN_POINT('Origin',(28.339534,22.525078,0.)); #499672=CARTESIAN_POINT('',(28.339534,22.525078,0.)); #499673=CARTESIAN_POINT('',(28.339534,22.525078,0.)); #499674=CARTESIAN_POINT('',(28.339534,22.525078,0.035)); #499675=CARTESIAN_POINT('',(28.339534,22.525078,0.035)); #499676=CARTESIAN_POINT('',(28.339534,22.525078,0.)); #499677=CARTESIAN_POINT('Origin',(28.3335,22.5548,0.)); #499678=CARTESIAN_POINT('',(28.3335,22.5548,0.)); #499679=CARTESIAN_POINT('',(28.3335,22.5548,0.)); #499680=CARTESIAN_POINT('',(28.3335,22.5548,0.035)); #499681=CARTESIAN_POINT('',(28.3335,22.5548,0.035)); #499682=CARTESIAN_POINT('',(28.3335,22.5548,0.)); #499683=CARTESIAN_POINT('Origin',(28.3335,22.6952,0.)); #499684=CARTESIAN_POINT('',(28.3335,22.6952,0.)); #499685=CARTESIAN_POINT('',(28.3335,22.6952,0.)); #499686=CARTESIAN_POINT('',(28.3335,22.6952,0.035)); #499687=CARTESIAN_POINT('',(28.3335,22.6952,0.035)); #499688=CARTESIAN_POINT('',(28.3335,22.6952,0.)); #499689=CARTESIAN_POINT('Origin',(28.338622,22.722669,0.)); #499690=CARTESIAN_POINT('',(28.338622,22.722669,0.)); #499691=CARTESIAN_POINT('',(28.338622,22.722669,0.)); #499692=CARTESIAN_POINT('',(28.338622,22.722669,0.035)); #499693=CARTESIAN_POINT('',(28.338622,22.722669,0.035)); #499694=CARTESIAN_POINT('',(28.338622,22.722669,0.)); #499695=CARTESIAN_POINT('Origin',(28.354966,22.748216,0.)); #499696=CARTESIAN_POINT('',(28.354966,22.748216,0.)); #499697=CARTESIAN_POINT('',(28.354966,22.748216,0.)); #499698=CARTESIAN_POINT('',(28.354966,22.748216,0.035)); #499699=CARTESIAN_POINT('',(28.354966,22.748216,0.035)); #499700=CARTESIAN_POINT('',(28.354966,22.748216,0.)); #499701=CARTESIAN_POINT('Origin',(28.379978,22.765366,0.)); #499702=CARTESIAN_POINT('',(28.379978,22.765366,0.)); #499703=CARTESIAN_POINT('',(28.379978,22.765366,0.)); #499704=CARTESIAN_POINT('',(28.379978,22.765366,0.035)); #499705=CARTESIAN_POINT('',(28.379978,22.765366,0.035)); #499706=CARTESIAN_POINT('',(28.379978,22.765366,0.)); #499707=CARTESIAN_POINT('Origin',(28.4097,22.7714,0.)); #499708=CARTESIAN_POINT('',(28.4097,22.7714,0.)); #499709=CARTESIAN_POINT('',(28.4097,22.7714,0.)); #499710=CARTESIAN_POINT('',(28.4097,22.7714,0.035)); #499711=CARTESIAN_POINT('',(28.4097,22.7714,0.035)); #499712=CARTESIAN_POINT('',(28.4097,22.7714,0.)); #499713=CARTESIAN_POINT('Origin',(30.348734,22.7714,0.)); #499714=CARTESIAN_POINT('',(30.348734,22.7714,0.)); #499715=CARTESIAN_POINT('',(30.348734,22.7714,0.)); #499716=CARTESIAN_POINT('',(30.348734,22.7714,0.035)); #499717=CARTESIAN_POINT('',(30.348734,22.7714,0.035)); #499718=CARTESIAN_POINT('',(30.348734,22.7714,0.)); #499719=CARTESIAN_POINT('Origin',(30.374438,22.766934,0.)); #499720=CARTESIAN_POINT('',(30.374438,22.766934,0.)); #499721=CARTESIAN_POINT('',(30.374438,22.766934,0.)); #499722=CARTESIAN_POINT('',(30.374438,22.766934,0.035)); #499723=CARTESIAN_POINT('',(30.374438,22.766934,0.035)); #499724=CARTESIAN_POINT('',(30.374438,22.766934,0.)); #499725=CARTESIAN_POINT('Origin',(30.400381,22.751228,0.)); #499726=CARTESIAN_POINT('',(30.400381,22.751228,0.)); #499727=CARTESIAN_POINT('',(30.400381,22.751228,0.)); #499728=CARTESIAN_POINT('',(30.400381,22.751228,0.035)); #499729=CARTESIAN_POINT('',(30.400381,22.751228,0.035)); #499730=CARTESIAN_POINT('',(30.400381,22.751228,0.)); #499731=CARTESIAN_POINT('Origin',(30.418144,22.726647,0.)); #499732=CARTESIAN_POINT('',(30.418144,22.726647,0.)); #499733=CARTESIAN_POINT('',(30.418144,22.726647,0.)); #499734=CARTESIAN_POINT('',(30.418144,22.726647,0.035)); #499735=CARTESIAN_POINT('',(30.418144,22.726647,0.035)); #499736=CARTESIAN_POINT('',(30.418144,22.726647,0.)); #499737=CARTESIAN_POINT('Origin',(30.424913,22.697084,0.)); #499738=CARTESIAN_POINT('',(30.424913,22.697084,0.)); #499739=CARTESIAN_POINT('',(30.424913,22.697084,0.)); #499740=CARTESIAN_POINT('',(30.424913,22.697084,0.035)); #499741=CARTESIAN_POINT('',(30.424913,22.697084,0.035)); #499742=CARTESIAN_POINT('',(30.424913,22.697084,0.)); #499743=CARTESIAN_POINT('Origin',(30.419613,22.667222,0.)); #499744=CARTESIAN_POINT('',(30.419613,22.667222,0.)); #499745=CARTESIAN_POINT('',(30.419613,22.667222,0.)); #499746=CARTESIAN_POINT('',(30.419613,22.667222,0.035)); #499747=CARTESIAN_POINT('',(30.419613,22.667222,0.035)); #499748=CARTESIAN_POINT('',(30.419613,22.667222,0.)); #499749=CARTESIAN_POINT('Origin',(30.403088,22.641794,0.)); #499750=CARTESIAN_POINT('',(30.403088,22.641794,0.)); #499751=CARTESIAN_POINT('',(30.403088,22.641794,0.)); #499752=CARTESIAN_POINT('',(30.403088,22.641794,0.035)); #499753=CARTESIAN_POINT('',(30.403088,22.641794,0.035)); #499754=CARTESIAN_POINT('',(30.403088,22.641794,0.)); #499755=CARTESIAN_POINT('Origin',(30.265131,22.501394,0.)); #499756=CARTESIAN_POINT('',(30.265131,22.501394,0.)); #499757=CARTESIAN_POINT('',(30.265131,22.501394,0.)); #499758=CARTESIAN_POINT('',(30.265131,22.501394,0.035)); #499759=CARTESIAN_POINT('',(30.265131,22.501394,0.035)); #499760=CARTESIAN_POINT('',(30.265131,22.501394,0.)); #499761=CARTESIAN_POINT('Origin',(30.2405,22.484634,0.)); #499762=CARTESIAN_POINT('',(30.2405,22.484634,0.)); #499763=CARTESIAN_POINT('',(30.2405,22.484634,0.035)); #499764=CARTESIAN_POINT('Origin',(29.3591426155894,22.6289411396365,0.035)); #499765=CARTESIAN_POINT('Origin',(29.3591426155894,22.6289411396365,0.)); #499766=CARTESIAN_POINT('Origin',(26.858003,23.9786,0.)); #499767=CARTESIAN_POINT('',(26.858003,23.9786,0.)); #499768=CARTESIAN_POINT('',(26.887725,23.984634,0.)); #499769=CARTESIAN_POINT('',(26.858003,23.9786,0.)); #499770=CARTESIAN_POINT('',(26.887725,23.984634,0.035)); #499771=CARTESIAN_POINT('',(26.887725,23.984634,0.)); #499772=CARTESIAN_POINT('',(26.858003,23.9786,0.035)); #499773=CARTESIAN_POINT('',(26.858003,23.9786,0.035)); #499774=CARTESIAN_POINT('',(26.858003,23.9786,0.)); #499775=CARTESIAN_POINT('Origin',(23.876253,23.9786,0.)); #499776=CARTESIAN_POINT('',(23.876253,23.9786,0.)); #499777=CARTESIAN_POINT('',(23.876253,23.9786,0.)); #499778=CARTESIAN_POINT('',(23.876253,23.9786,0.035)); #499779=CARTESIAN_POINT('',(23.876253,23.9786,0.035)); #499780=CARTESIAN_POINT('',(23.876253,23.9786,0.)); #499781=CARTESIAN_POINT('Origin',(23.847656,23.984169,0.)); #499782=CARTESIAN_POINT('',(23.847656,23.984169,0.)); #499783=CARTESIAN_POINT('',(23.847656,23.984169,0.)); #499784=CARTESIAN_POINT('',(23.847656,23.984169,0.035)); #499785=CARTESIAN_POINT('',(23.847656,23.984169,0.035)); #499786=CARTESIAN_POINT('',(23.847656,23.984169,0.)); #499787=CARTESIAN_POINT('Origin',(23.822372,24.000919,0.)); #499788=CARTESIAN_POINT('',(23.822372,24.000919,0.)); #499789=CARTESIAN_POINT('',(23.822372,24.000919,0.)); #499790=CARTESIAN_POINT('',(23.822372,24.000919,0.035)); #499791=CARTESIAN_POINT('',(23.822372,24.000919,0.035)); #499792=CARTESIAN_POINT('',(23.822372,24.000919,0.)); #499793=CARTESIAN_POINT('Origin',(23.444691,24.3786,0.)); #499794=CARTESIAN_POINT('',(23.444691,24.3786,0.)); #499795=CARTESIAN_POINT('',(23.444691,24.3786,0.)); #499796=CARTESIAN_POINT('',(23.444691,24.3786,0.035)); #499797=CARTESIAN_POINT('',(23.444691,24.3786,0.035)); #499798=CARTESIAN_POINT('',(23.444691,24.3786,0.)); #499799=CARTESIAN_POINT('Origin',(21.4961,24.3786,0.)); #499800=CARTESIAN_POINT('',(21.4961,24.3786,0.)); #499801=CARTESIAN_POINT('',(21.4961,24.3786,0.)); #499802=CARTESIAN_POINT('',(21.4961,24.3786,0.035)); #499803=CARTESIAN_POINT('',(21.4961,24.3786,0.035)); #499804=CARTESIAN_POINT('',(21.4961,24.3786,0.)); #499805=CARTESIAN_POINT('Origin',(21.468631,24.383722,0.)); #499806=CARTESIAN_POINT('',(21.468631,24.383722,0.)); #499807=CARTESIAN_POINT('',(21.468631,24.383722,0.)); #499808=CARTESIAN_POINT('',(21.468631,24.383722,0.035)); #499809=CARTESIAN_POINT('',(21.468631,24.383722,0.035)); #499810=CARTESIAN_POINT('',(21.468631,24.383722,0.)); #499811=CARTESIAN_POINT('Origin',(21.443084,24.400066,0.)); #499812=CARTESIAN_POINT('',(21.443084,24.400066,0.)); #499813=CARTESIAN_POINT('',(21.443084,24.400066,0.)); #499814=CARTESIAN_POINT('',(21.443084,24.400066,0.035)); #499815=CARTESIAN_POINT('',(21.443084,24.400066,0.035)); #499816=CARTESIAN_POINT('',(21.443084,24.400066,0.)); #499817=CARTESIAN_POINT('Origin',(21.425934,24.425078,0.)); #499818=CARTESIAN_POINT('',(21.425934,24.425078,0.)); #499819=CARTESIAN_POINT('',(21.425934,24.425078,0.)); #499820=CARTESIAN_POINT('',(21.425934,24.425078,0.035)); #499821=CARTESIAN_POINT('',(21.425934,24.425078,0.035)); #499822=CARTESIAN_POINT('',(21.425934,24.425078,0.)); #499823=CARTESIAN_POINT('Origin',(21.4199,24.4548,0.)); #499824=CARTESIAN_POINT('',(21.4199,24.4548,0.)); #499825=CARTESIAN_POINT('',(21.4199,24.4548,0.)); #499826=CARTESIAN_POINT('',(21.4199,24.4548,0.035)); #499827=CARTESIAN_POINT('',(21.4199,24.4548,0.035)); #499828=CARTESIAN_POINT('',(21.4199,24.4548,0.)); #499829=CARTESIAN_POINT('Origin',(21.4199,24.848128,0.)); #499830=CARTESIAN_POINT('',(21.4199,24.848128,0.)); #499831=CARTESIAN_POINT('',(21.4199,24.848128,0.)); #499832=CARTESIAN_POINT('',(21.4199,24.848128,0.035)); #499833=CARTESIAN_POINT('',(21.4199,24.848128,0.035)); #499834=CARTESIAN_POINT('',(21.4199,24.848128,0.)); #499835=CARTESIAN_POINT('Origin',(21.330628,24.9374,0.)); #499836=CARTESIAN_POINT('',(21.330628,24.9374,0.)); #499837=CARTESIAN_POINT('',(21.330628,24.9374,0.)); #499838=CARTESIAN_POINT('',(21.330628,24.9374,0.035)); #499839=CARTESIAN_POINT('',(21.330628,24.9374,0.035)); #499840=CARTESIAN_POINT('',(21.330628,24.9374,0.)); #499841=CARTESIAN_POINT('Origin',(21.2548,24.9374,0.)); #499842=CARTESIAN_POINT('',(21.2548,24.9374,0.)); #499843=CARTESIAN_POINT('',(21.2548,24.9374,0.)); #499844=CARTESIAN_POINT('',(21.2548,24.9374,0.035)); #499845=CARTESIAN_POINT('',(21.2548,24.9374,0.035)); #499846=CARTESIAN_POINT('',(21.2548,24.9374,0.)); #499847=CARTESIAN_POINT('Origin',(21.227331,24.942522,0.)); #499848=CARTESIAN_POINT('',(21.227331,24.942522,0.)); #499849=CARTESIAN_POINT('',(21.227331,24.942522,0.)); #499850=CARTESIAN_POINT('',(21.227331,24.942522,0.035)); #499851=CARTESIAN_POINT('',(21.227331,24.942522,0.035)); #499852=CARTESIAN_POINT('',(21.227331,24.942522,0.)); #499853=CARTESIAN_POINT('Origin',(21.201784,24.958866,0.)); #499854=CARTESIAN_POINT('',(21.201784,24.958866,0.)); #499855=CARTESIAN_POINT('',(21.201784,24.958866,0.)); #499856=CARTESIAN_POINT('',(21.201784,24.958866,0.035)); #499857=CARTESIAN_POINT('',(21.201784,24.958866,0.035)); #499858=CARTESIAN_POINT('',(21.201784,24.958866,0.)); #499859=CARTESIAN_POINT('Origin',(21.184634,24.983878,0.)); #499860=CARTESIAN_POINT('',(21.184634,24.983878,0.)); #499861=CARTESIAN_POINT('',(21.184634,24.983878,0.)); #499862=CARTESIAN_POINT('',(21.184634,24.983878,0.035)); #499863=CARTESIAN_POINT('',(21.184634,24.983878,0.035)); #499864=CARTESIAN_POINT('',(21.184634,24.983878,0.)); #499865=CARTESIAN_POINT('Origin',(21.1786,25.0136,0.)); #499866=CARTESIAN_POINT('',(21.1786,25.0136,0.)); #499867=CARTESIAN_POINT('',(21.1786,25.0136,0.)); #499868=CARTESIAN_POINT('',(21.1786,25.0136,0.035)); #499869=CARTESIAN_POINT('',(21.1786,25.0136,0.035)); #499870=CARTESIAN_POINT('',(21.1786,25.0136,0.)); #499871=CARTESIAN_POINT('Origin',(21.1786,25.3269,0.)); #499872=CARTESIAN_POINT('',(21.1786,25.3269,0.)); #499873=CARTESIAN_POINT('',(21.1786,25.3269,0.)); #499874=CARTESIAN_POINT('',(21.1786,25.3269,0.035)); #499875=CARTESIAN_POINT('',(21.1786,25.3269,0.035)); #499876=CARTESIAN_POINT('',(21.1786,25.3269,0.)); #499877=CARTESIAN_POINT('Origin',(21.183722,25.354369,0.)); #499878=CARTESIAN_POINT('',(21.183722,25.354369,0.)); #499879=CARTESIAN_POINT('',(21.183722,25.354369,0.)); #499880=CARTESIAN_POINT('',(21.183722,25.354369,0.035)); #499881=CARTESIAN_POINT('',(21.183722,25.354369,0.035)); #499882=CARTESIAN_POINT('',(21.183722,25.354369,0.)); #499883=CARTESIAN_POINT('Origin',(21.200066,25.379916,0.)); #499884=CARTESIAN_POINT('',(21.200066,25.379916,0.)); #499885=CARTESIAN_POINT('',(21.200066,25.379916,0.)); #499886=CARTESIAN_POINT('',(21.200066,25.379916,0.035)); #499887=CARTESIAN_POINT('',(21.200066,25.379916,0.035)); #499888=CARTESIAN_POINT('',(21.200066,25.379916,0.)); #499889=CARTESIAN_POINT('Origin',(21.225078,25.397066,0.)); #499890=CARTESIAN_POINT('',(21.225078,25.397066,0.)); #499891=CARTESIAN_POINT('',(21.225078,25.397066,0.)); #499892=CARTESIAN_POINT('',(21.225078,25.397066,0.035)); #499893=CARTESIAN_POINT('',(21.225078,25.397066,0.035)); #499894=CARTESIAN_POINT('',(21.225078,25.397066,0.)); #499895=CARTESIAN_POINT('Origin',(21.241534,25.400406,0.)); #499896=CARTESIAN_POINT('',(21.241534,25.400406,0.)); #499897=CARTESIAN_POINT('',(21.241534,25.400406,0.)); #499898=CARTESIAN_POINT('',(21.241534,25.400406,0.035)); #499899=CARTESIAN_POINT('',(21.241534,25.400406,0.035)); #499900=CARTESIAN_POINT('',(21.241534,25.400406,0.)); #499901=CARTESIAN_POINT('Origin',(21.3335,25.492372,0.)); #499902=CARTESIAN_POINT('',(21.3335,25.492372,0.)); #499903=CARTESIAN_POINT('',(21.3335,25.492372,0.)); #499904=CARTESIAN_POINT('',(21.3335,25.492372,0.035)); #499905=CARTESIAN_POINT('',(21.3335,25.492372,0.035)); #499906=CARTESIAN_POINT('',(21.3335,25.492372,0.)); #499907=CARTESIAN_POINT('Origin',(21.3335,26.4472,0.)); #499908=CARTESIAN_POINT('',(21.3335,26.4472,0.)); #499909=CARTESIAN_POINT('',(21.3335,26.4472,0.)); #499910=CARTESIAN_POINT('',(21.3335,26.4472,0.035)); #499911=CARTESIAN_POINT('',(21.3335,26.4472,0.035)); #499912=CARTESIAN_POINT('',(21.3335,26.4472,0.)); #499913=CARTESIAN_POINT('Origin',(21.338622,26.474669,0.)); #499914=CARTESIAN_POINT('',(21.338622,26.474669,0.)); #499915=CARTESIAN_POINT('',(21.338622,26.474669,0.)); #499916=CARTESIAN_POINT('',(21.338622,26.474669,0.035)); #499917=CARTESIAN_POINT('',(21.338622,26.474669,0.035)); #499918=CARTESIAN_POINT('',(21.338622,26.474669,0.)); #499919=CARTESIAN_POINT('Origin',(21.354966,26.500216,0.)); #499920=CARTESIAN_POINT('',(21.354966,26.500216,0.)); #499921=CARTESIAN_POINT('',(21.354966,26.500216,0.)); #499922=CARTESIAN_POINT('',(21.354966,26.500216,0.035)); #499923=CARTESIAN_POINT('',(21.354966,26.500216,0.035)); #499924=CARTESIAN_POINT('',(21.354966,26.500216,0.)); #499925=CARTESIAN_POINT('Origin',(21.379978,26.517366,0.)); #499926=CARTESIAN_POINT('',(21.379978,26.517366,0.)); #499927=CARTESIAN_POINT('',(21.379978,26.517366,0.)); #499928=CARTESIAN_POINT('',(21.379978,26.517366,0.035)); #499929=CARTESIAN_POINT('',(21.379978,26.517366,0.035)); #499930=CARTESIAN_POINT('',(21.379978,26.517366,0.)); #499931=CARTESIAN_POINT('Origin',(21.4097,26.5234,0.)); #499932=CARTESIAN_POINT('',(21.4097,26.5234,0.)); #499933=CARTESIAN_POINT('',(21.4097,26.5234,0.)); #499934=CARTESIAN_POINT('',(21.4097,26.5234,0.035)); #499935=CARTESIAN_POINT('',(21.4097,26.5234,0.035)); #499936=CARTESIAN_POINT('',(21.4097,26.5234,0.)); #499937=CARTESIAN_POINT('Origin',(24.4964,26.5234,0.)); #499938=CARTESIAN_POINT('',(24.4964,26.5234,0.)); #499939=CARTESIAN_POINT('',(24.4964,26.5234,0.)); #499940=CARTESIAN_POINT('',(24.4964,26.5234,0.035)); #499941=CARTESIAN_POINT('',(24.4964,26.5234,0.035)); #499942=CARTESIAN_POINT('',(24.4964,26.5234,0.)); #499943=CARTESIAN_POINT('Origin',(24.523869,26.518278,0.)); #499944=CARTESIAN_POINT('',(24.523869,26.518278,0.)); #499945=CARTESIAN_POINT('',(24.523869,26.518278,0.)); #499946=CARTESIAN_POINT('',(24.523869,26.518278,0.035)); #499947=CARTESIAN_POINT('',(24.523869,26.518278,0.035)); #499948=CARTESIAN_POINT('',(24.523869,26.518278,0.)); #499949=CARTESIAN_POINT('Origin',(24.549416,26.501934,0.)); #499950=CARTESIAN_POINT('',(24.549416,26.501934,0.)); #499951=CARTESIAN_POINT('',(24.549416,26.501934,0.)); #499952=CARTESIAN_POINT('',(24.549416,26.501934,0.035)); #499953=CARTESIAN_POINT('',(24.549416,26.501934,0.035)); #499954=CARTESIAN_POINT('',(24.549416,26.501934,0.)); #499955=CARTESIAN_POINT('Origin',(24.566566,26.476922,0.)); #499956=CARTESIAN_POINT('',(24.566566,26.476922,0.)); #499957=CARTESIAN_POINT('',(24.566566,26.476922,0.)); #499958=CARTESIAN_POINT('',(24.566566,26.476922,0.035)); #499959=CARTESIAN_POINT('',(24.566566,26.476922,0.035)); #499960=CARTESIAN_POINT('',(24.566566,26.476922,0.)); #499961=CARTESIAN_POINT('Origin',(24.572406,26.448159,0.)); #499962=CARTESIAN_POINT('',(24.572406,26.448159,0.)); #499963=CARTESIAN_POINT('',(24.572406,26.448159,0.)); #499964=CARTESIAN_POINT('',(24.572406,26.448159,0.035)); #499965=CARTESIAN_POINT('',(24.572406,26.448159,0.035)); #499966=CARTESIAN_POINT('',(24.572406,26.448159,0.)); #499967=CARTESIAN_POINT('Origin',(24.822966,26.1976,0.)); #499968=CARTESIAN_POINT('',(24.822966,26.1976,0.)); #499969=CARTESIAN_POINT('',(24.822966,26.1976,0.)); #499970=CARTESIAN_POINT('',(24.822966,26.1976,0.035)); #499971=CARTESIAN_POINT('',(24.822966,26.1976,0.035)); #499972=CARTESIAN_POINT('',(24.822966,26.1976,0.)); #499973=CARTESIAN_POINT('Origin',(25.072547,26.1976,0.)); #499974=CARTESIAN_POINT('',(25.072547,26.1976,0.)); #499975=CARTESIAN_POINT('',(25.072547,26.1976,0.)); #499976=CARTESIAN_POINT('',(25.072547,26.1976,0.035)); #499977=CARTESIAN_POINT('',(25.072547,26.1976,0.035)); #499978=CARTESIAN_POINT('',(25.072547,26.1976,0.)); #499979=CARTESIAN_POINT('Origin',(25.101144,26.192031,0.)); #499980=CARTESIAN_POINT('',(25.101144,26.192031,0.)); #499981=CARTESIAN_POINT('',(25.101144,26.192031,0.)); #499982=CARTESIAN_POINT('',(25.101144,26.192031,0.035)); #499983=CARTESIAN_POINT('',(25.101144,26.192031,0.035)); #499984=CARTESIAN_POINT('',(25.101144,26.192031,0.)); #499985=CARTESIAN_POINT('Origin',(25.126428,26.175281,0.)); #499986=CARTESIAN_POINT('',(25.126428,26.175281,0.)); #499987=CARTESIAN_POINT('',(25.126428,26.175281,0.)); #499988=CARTESIAN_POINT('',(25.126428,26.175281,0.035)); #499989=CARTESIAN_POINT('',(25.126428,26.175281,0.035)); #499990=CARTESIAN_POINT('',(25.126428,26.175281,0.)); #499991=CARTESIAN_POINT('Origin',(26.800281,24.501428,0.)); #499992=CARTESIAN_POINT('',(26.800281,24.501428,0.)); #499993=CARTESIAN_POINT('',(26.800281,24.501428,0.)); #499994=CARTESIAN_POINT('',(26.800281,24.501428,0.035)); #499995=CARTESIAN_POINT('',(26.800281,24.501428,0.035)); #499996=CARTESIAN_POINT('',(26.800281,24.501428,0.)); #499997=CARTESIAN_POINT('Origin',(26.816566,24.477269,0.)); #499998=CARTESIAN_POINT('',(26.816566,24.477269,0.)); #499999=CARTESIAN_POINT('',(26.816566,24.477269,0.)); #500000=CARTESIAN_POINT('',(26.816566,24.477269,0.035)); #500001=CARTESIAN_POINT('',(26.816566,24.477269,0.035)); #500002=CARTESIAN_POINT('',(26.816566,24.477269,0.)); #500003=CARTESIAN_POINT('Origin',(26.8226,24.447547,0.)); #500004=CARTESIAN_POINT('',(26.8226,24.447547,0.)); #500005=CARTESIAN_POINT('',(26.8226,24.447547,0.)); #500006=CARTESIAN_POINT('',(26.8226,24.447547,0.035)); #500007=CARTESIAN_POINT('',(26.8226,24.447547,0.035)); #500008=CARTESIAN_POINT('',(26.8226,24.447547,0.)); #500009=CARTESIAN_POINT('Origin',(26.8226,24.197966,0.)); #500010=CARTESIAN_POINT('',(26.8226,24.197966,0.)); #500011=CARTESIAN_POINT('',(26.8226,24.197966,0.)); #500012=CARTESIAN_POINT('',(26.8226,24.197966,0.035)); #500013=CARTESIAN_POINT('',(26.8226,24.197966,0.035)); #500014=CARTESIAN_POINT('',(26.8226,24.197966,0.)); #500015=CARTESIAN_POINT('Origin',(26.911884,24.108681,0.)); #500016=CARTESIAN_POINT('',(26.911884,24.108681,0.)); #500017=CARTESIAN_POINT('',(26.911884,24.108681,0.)); #500018=CARTESIAN_POINT('',(26.911884,24.108681,0.035)); #500019=CARTESIAN_POINT('',(26.911884,24.108681,0.035)); #500020=CARTESIAN_POINT('',(26.911884,24.108681,0.)); #500021=CARTESIAN_POINT('Origin',(26.927184,24.086744,0.)); #500022=CARTESIAN_POINT('',(26.927184,24.086744,0.)); #500023=CARTESIAN_POINT('',(26.927184,24.086744,0.)); #500024=CARTESIAN_POINT('',(26.927184,24.086744,0.035)); #500025=CARTESIAN_POINT('',(26.927184,24.086744,0.035)); #500026=CARTESIAN_POINT('',(26.927184,24.086744,0.)); #500027=CARTESIAN_POINT('Origin',(26.934166,24.057228,0.)); #500028=CARTESIAN_POINT('',(26.934166,24.057228,0.)); #500029=CARTESIAN_POINT('',(26.934166,24.057228,0.)); #500030=CARTESIAN_POINT('',(26.934166,24.057228,0.035)); #500031=CARTESIAN_POINT('',(26.934166,24.057228,0.035)); #500032=CARTESIAN_POINT('',(26.934166,24.057228,0.)); #500033=CARTESIAN_POINT('Origin',(26.929081,24.027331,0.)); #500034=CARTESIAN_POINT('',(26.929081,24.027331,0.)); #500035=CARTESIAN_POINT('',(26.929081,24.027331,0.)); #500036=CARTESIAN_POINT('',(26.929081,24.027331,0.035)); #500037=CARTESIAN_POINT('',(26.929081,24.027331,0.035)); #500038=CARTESIAN_POINT('',(26.929081,24.027331,0.)); #500039=CARTESIAN_POINT('Origin',(26.912738,24.001784,0.)); #500040=CARTESIAN_POINT('',(26.912738,24.001784,0.)); #500041=CARTESIAN_POINT('',(26.912738,24.001784,0.)); #500042=CARTESIAN_POINT('',(26.912738,24.001784,0.035)); #500043=CARTESIAN_POINT('',(26.912738,24.001784,0.035)); #500044=CARTESIAN_POINT('',(26.912738,24.001784,0.)); #500045=CARTESIAN_POINT('Origin',(26.887725,23.984634,0.)); #500046=CARTESIAN_POINT('',(26.887725,23.984634,0.)); #500047=CARTESIAN_POINT('',(26.887725,23.984634,0.035)); #500048=CARTESIAN_POINT('Origin',(23.8715521276873,25.1559915956212,0.035)); #500049=CARTESIAN_POINT('Origin',(23.8715521276873,25.1559915956212,0.)); #500050=CARTESIAN_POINT('Origin',(36.764147,22.1036,0.)); #500051=CARTESIAN_POINT('',(36.764147,22.1036,0.)); #500052=CARTESIAN_POINT('',(36.793869,22.109634,0.)); #500053=CARTESIAN_POINT('',(36.764147,22.1036,0.)); #500054=CARTESIAN_POINT('',(36.793869,22.109634,0.035)); #500055=CARTESIAN_POINT('',(36.793869,22.109634,0.)); #500056=CARTESIAN_POINT('',(36.764147,22.1036,0.035)); #500057=CARTESIAN_POINT('',(36.764147,22.1036,0.035)); #500058=CARTESIAN_POINT('',(36.764147,22.1036,0.)); #500059=CARTESIAN_POINT('Origin',(30.696941,22.1036,0.)); #500060=CARTESIAN_POINT('',(30.696941,22.1036,0.)); #500061=CARTESIAN_POINT('',(30.696941,22.1036,0.)); #500062=CARTESIAN_POINT('',(30.696941,22.1036,0.035)); #500063=CARTESIAN_POINT('',(30.696941,22.1036,0.035)); #500064=CARTESIAN_POINT('',(30.696941,22.1036,0.)); #500065=CARTESIAN_POINT('Origin',(30.671238,22.108066,0.)); #500066=CARTESIAN_POINT('',(30.671238,22.108066,0.)); #500067=CARTESIAN_POINT('',(30.671238,22.108066,0.)); #500068=CARTESIAN_POINT('',(30.671238,22.108066,0.035)); #500069=CARTESIAN_POINT('',(30.671238,22.108066,0.035)); #500070=CARTESIAN_POINT('',(30.671238,22.108066,0.)); #500071=CARTESIAN_POINT('Origin',(30.645294,22.123772,0.)); #500072=CARTESIAN_POINT('',(30.645294,22.123772,0.)); #500073=CARTESIAN_POINT('',(30.645294,22.123772,0.)); #500074=CARTESIAN_POINT('',(30.645294,22.123772,0.035)); #500075=CARTESIAN_POINT('',(30.645294,22.123772,0.035)); #500076=CARTESIAN_POINT('',(30.645294,22.123772,0.)); #500077=CARTESIAN_POINT('Origin',(30.627531,22.148353,0.)); #500078=CARTESIAN_POINT('',(30.627531,22.148353,0.)); #500079=CARTESIAN_POINT('',(30.627531,22.148353,0.)); #500080=CARTESIAN_POINT('',(30.627531,22.148353,0.035)); #500081=CARTESIAN_POINT('',(30.627531,22.148353,0.035)); #500082=CARTESIAN_POINT('',(30.627531,22.148353,0.)); #500083=CARTESIAN_POINT('Origin',(30.620763,22.177916,0.)); #500084=CARTESIAN_POINT('',(30.620763,22.177916,0.)); #500085=CARTESIAN_POINT('',(30.620763,22.177916,0.)); #500086=CARTESIAN_POINT('',(30.620763,22.177916,0.035)); #500087=CARTESIAN_POINT('',(30.620763,22.177916,0.035)); #500088=CARTESIAN_POINT('',(30.620763,22.177916,0.)); #500089=CARTESIAN_POINT('Origin',(30.626062,22.207778,0.)); #500090=CARTESIAN_POINT('',(30.626062,22.207778,0.)); #500091=CARTESIAN_POINT('',(30.626062,22.207778,0.)); #500092=CARTESIAN_POINT('',(30.626062,22.207778,0.035)); #500093=CARTESIAN_POINT('',(30.626062,22.207778,0.035)); #500094=CARTESIAN_POINT('',(30.626062,22.207778,0.)); #500095=CARTESIAN_POINT('Origin',(30.642588,22.233206,0.)); #500096=CARTESIAN_POINT('',(30.642588,22.233206,0.)); #500097=CARTESIAN_POINT('',(30.642588,22.233206,0.)); #500098=CARTESIAN_POINT('',(30.642588,22.233206,0.035)); #500099=CARTESIAN_POINT('',(30.642588,22.233206,0.035)); #500100=CARTESIAN_POINT('',(30.642588,22.233206,0.)); #500101=CARTESIAN_POINT('Origin',(31.885969,23.498606,0.)); #500102=CARTESIAN_POINT('',(31.885969,23.498606,0.)); #500103=CARTESIAN_POINT('',(31.885969,23.498606,0.)); #500104=CARTESIAN_POINT('',(31.885969,23.498606,0.035)); #500105=CARTESIAN_POINT('',(31.885969,23.498606,0.035)); #500106=CARTESIAN_POINT('',(31.885969,23.498606,0.)); #500107=CARTESIAN_POINT('Origin',(31.9106,23.515366,0.)); #500108=CARTESIAN_POINT('',(31.9106,23.515366,0.)); #500109=CARTESIAN_POINT('',(31.9106,23.515366,0.)); #500110=CARTESIAN_POINT('',(31.9106,23.515366,0.035)); #500111=CARTESIAN_POINT('',(31.9106,23.515366,0.035)); #500112=CARTESIAN_POINT('',(31.9106,23.515366,0.)); #500113=CARTESIAN_POINT('Origin',(31.940322,23.5214,0.)); #500114=CARTESIAN_POINT('',(31.940322,23.5214,0.)); #500115=CARTESIAN_POINT('',(31.940322,23.5214,0.)); #500116=CARTESIAN_POINT('',(31.940322,23.5214,0.035)); #500117=CARTESIAN_POINT('',(31.940322,23.5214,0.035)); #500118=CARTESIAN_POINT('',(31.940322,23.5214,0.)); #500119=CARTESIAN_POINT('Origin',(33.608003,23.5214,0.)); #500120=CARTESIAN_POINT('',(33.608003,23.5214,0.)); #500121=CARTESIAN_POINT('',(33.608003,23.5214,0.)); #500122=CARTESIAN_POINT('',(33.608003,23.5214,0.035)); #500123=CARTESIAN_POINT('',(33.608003,23.5214,0.035)); #500124=CARTESIAN_POINT('',(33.608003,23.5214,0.)); #500125=CARTESIAN_POINT('Origin',(33.634334,23.516706,0.)); #500126=CARTESIAN_POINT('',(33.634334,23.516706,0.)); #500127=CARTESIAN_POINT('',(33.634334,23.516706,0.)); #500128=CARTESIAN_POINT('',(33.634334,23.516706,0.035)); #500129=CARTESIAN_POINT('',(33.634334,23.516706,0.035)); #500130=CARTESIAN_POINT('',(33.634334,23.516706,0.)); #500131=CARTESIAN_POINT('Origin',(33.660141,23.500772,0.)); #500132=CARTESIAN_POINT('',(33.660141,23.500772,0.)); #500133=CARTESIAN_POINT('',(33.660141,23.500772,0.)); #500134=CARTESIAN_POINT('',(33.660141,23.500772,0.035)); #500135=CARTESIAN_POINT('',(33.660141,23.500772,0.035)); #500136=CARTESIAN_POINT('',(33.660141,23.500772,0.)); #500137=CARTESIAN_POINT('Origin',(33.677684,23.476034,0.)); #500138=CARTESIAN_POINT('',(33.677684,23.476034,0.)); #500139=CARTESIAN_POINT('',(33.677684,23.476034,0.)); #500140=CARTESIAN_POINT('',(33.677684,23.476034,0.035)); #500141=CARTESIAN_POINT('',(33.677684,23.476034,0.035)); #500142=CARTESIAN_POINT('',(33.677684,23.476034,0.)); #500143=CARTESIAN_POINT('Origin',(33.684194,23.446416,0.)); #500144=CARTESIAN_POINT('',(33.684194,23.446416,0.)); #500145=CARTESIAN_POINT('',(33.684194,23.446416,0.)); #500146=CARTESIAN_POINT('',(33.684194,23.446416,0.035)); #500147=CARTESIAN_POINT('',(33.684194,23.446416,0.035)); #500148=CARTESIAN_POINT('',(33.684194,23.446416,0.)); #500149=CARTESIAN_POINT('Origin',(33.678634,23.416603,0.)); #500150=CARTESIAN_POINT('',(33.678634,23.416603,0.)); #500151=CARTESIAN_POINT('',(33.678634,23.416603,0.)); #500152=CARTESIAN_POINT('',(33.678634,23.416603,0.035)); #500153=CARTESIAN_POINT('',(33.678634,23.416603,0.035)); #500154=CARTESIAN_POINT('',(33.678634,23.416603,0.)); #500155=CARTESIAN_POINT('Origin',(33.661884,23.391319,0.)); #500156=CARTESIAN_POINT('',(33.661884,23.391319,0.)); #500157=CARTESIAN_POINT('',(33.661884,23.391319,0.)); #500158=CARTESIAN_POINT('',(33.661884,23.391319,0.035)); #500159=CARTESIAN_POINT('',(33.661884,23.391319,0.035)); #500160=CARTESIAN_POINT('',(33.661884,23.391319,0.)); #500161=CARTESIAN_POINT('Origin',(33.5726,23.302034,0.)); #500162=CARTESIAN_POINT('',(33.5726,23.302034,0.)); #500163=CARTESIAN_POINT('',(33.5726,23.302034,0.)); #500164=CARTESIAN_POINT('',(33.5726,23.302034,0.035)); #500165=CARTESIAN_POINT('',(33.5726,23.302034,0.035)); #500166=CARTESIAN_POINT('',(33.5726,23.302034,0.)); #500167=CARTESIAN_POINT('Origin',(33.5726,22.947966,0.)); #500168=CARTESIAN_POINT('',(33.5726,22.947966,0.)); #500169=CARTESIAN_POINT('',(33.5726,22.947966,0.)); #500170=CARTESIAN_POINT('',(33.5726,22.947966,0.035)); #500171=CARTESIAN_POINT('',(33.5726,22.947966,0.035)); #500172=CARTESIAN_POINT('',(33.5726,22.947966,0.)); #500173=CARTESIAN_POINT('Origin',(33.822966,22.6976,0.)); #500174=CARTESIAN_POINT('',(33.822966,22.6976,0.)); #500175=CARTESIAN_POINT('',(33.822966,22.6976,0.)); #500176=CARTESIAN_POINT('',(33.822966,22.6976,0.035)); #500177=CARTESIAN_POINT('',(33.822966,22.6976,0.035)); #500178=CARTESIAN_POINT('',(33.822966,22.6976,0.)); #500179=CARTESIAN_POINT('Origin',(34.177034,22.6976,0.)); #500180=CARTESIAN_POINT('',(34.177034,22.6976,0.)); #500181=CARTESIAN_POINT('',(34.177034,22.6976,0.)); #500182=CARTESIAN_POINT('',(34.177034,22.6976,0.035)); #500183=CARTESIAN_POINT('',(34.177034,22.6976,0.035)); #500184=CARTESIAN_POINT('',(34.177034,22.6976,0.)); #500185=CARTESIAN_POINT('Origin',(34.353516,22.874081,0.)); #500186=CARTESIAN_POINT('',(34.353516,22.874081,0.)); #500187=CARTESIAN_POINT('',(34.353516,22.874081,0.)); #500188=CARTESIAN_POINT('',(34.353516,22.874081,0.035)); #500189=CARTESIAN_POINT('',(34.353516,22.874081,0.035)); #500190=CARTESIAN_POINT('',(34.353516,22.874081,0.)); #500191=CARTESIAN_POINT('Origin',(34.377675,22.890366,0.)); #500192=CARTESIAN_POINT('',(34.377675,22.890366,0.)); #500193=CARTESIAN_POINT('',(34.377675,22.890366,0.)); #500194=CARTESIAN_POINT('',(34.377675,22.890366,0.035)); #500195=CARTESIAN_POINT('',(34.377675,22.890366,0.035)); #500196=CARTESIAN_POINT('',(34.377675,22.890366,0.)); #500197=CARTESIAN_POINT('Origin',(34.407397,22.8964,0.)); #500198=CARTESIAN_POINT('',(34.407397,22.8964,0.)); #500199=CARTESIAN_POINT('',(34.407397,22.8964,0.)); #500200=CARTESIAN_POINT('',(34.407397,22.8964,0.035)); #500201=CARTESIAN_POINT('',(34.407397,22.8964,0.035)); #500202=CARTESIAN_POINT('',(34.407397,22.8964,0.)); #500203=CARTESIAN_POINT('Origin',(36.123747,22.8964,0.)); #500204=CARTESIAN_POINT('',(36.123747,22.8964,0.)); #500205=CARTESIAN_POINT('',(36.123747,22.8964,0.)); #500206=CARTESIAN_POINT('',(36.123747,22.8964,0.035)); #500207=CARTESIAN_POINT('',(36.123747,22.8964,0.035)); #500208=CARTESIAN_POINT('',(36.123747,22.8964,0.)); #500209=CARTESIAN_POINT('Origin',(36.152344,22.890831,0.)); #500210=CARTESIAN_POINT('',(36.152344,22.890831,0.)); #500211=CARTESIAN_POINT('',(36.152344,22.890831,0.)); #500212=CARTESIAN_POINT('',(36.152344,22.890831,0.035)); #500213=CARTESIAN_POINT('',(36.152344,22.890831,0.035)); #500214=CARTESIAN_POINT('',(36.152344,22.890831,0.)); #500215=CARTESIAN_POINT('Origin',(36.177628,22.874081,0.)); #500216=CARTESIAN_POINT('',(36.177628,22.874081,0.)); #500217=CARTESIAN_POINT('',(36.177628,22.874081,0.)); #500218=CARTESIAN_POINT('',(36.177628,22.874081,0.035)); #500219=CARTESIAN_POINT('',(36.177628,22.874081,0.035)); #500220=CARTESIAN_POINT('',(36.177628,22.874081,0.)); #500221=CARTESIAN_POINT('Origin',(36.818028,22.233681,0.)); #500222=CARTESIAN_POINT('',(36.818028,22.233681,0.)); #500223=CARTESIAN_POINT('',(36.818028,22.233681,0.)); #500224=CARTESIAN_POINT('',(36.818028,22.233681,0.035)); #500225=CARTESIAN_POINT('',(36.818028,22.233681,0.035)); #500226=CARTESIAN_POINT('',(36.818028,22.233681,0.)); #500227=CARTESIAN_POINT('Origin',(36.833328,22.211744,0.)); #500228=CARTESIAN_POINT('',(36.833328,22.211744,0.)); #500229=CARTESIAN_POINT('',(36.833328,22.211744,0.)); #500230=CARTESIAN_POINT('',(36.833328,22.211744,0.035)); #500231=CARTESIAN_POINT('',(36.833328,22.211744,0.035)); #500232=CARTESIAN_POINT('',(36.833328,22.211744,0.)); #500233=CARTESIAN_POINT('Origin',(36.840309,22.182228,0.)); #500234=CARTESIAN_POINT('',(36.840309,22.182228,0.)); #500235=CARTESIAN_POINT('',(36.840309,22.182228,0.)); #500236=CARTESIAN_POINT('',(36.840309,22.182228,0.035)); #500237=CARTESIAN_POINT('',(36.840309,22.182228,0.035)); #500238=CARTESIAN_POINT('',(36.840309,22.182228,0.)); #500239=CARTESIAN_POINT('Origin',(36.835225,22.152331,0.)); #500240=CARTESIAN_POINT('',(36.835225,22.152331,0.)); #500241=CARTESIAN_POINT('',(36.835225,22.152331,0.)); #500242=CARTESIAN_POINT('',(36.835225,22.152331,0.035)); #500243=CARTESIAN_POINT('',(36.835225,22.152331,0.035)); #500244=CARTESIAN_POINT('',(36.835225,22.152331,0.)); #500245=CARTESIAN_POINT('Origin',(36.818881,22.126784,0.)); #500246=CARTESIAN_POINT('',(36.818881,22.126784,0.)); #500247=CARTESIAN_POINT('',(36.818881,22.126784,0.)); #500248=CARTESIAN_POINT('',(36.818881,22.126784,0.035)); #500249=CARTESIAN_POINT('',(36.818881,22.126784,0.035)); #500250=CARTESIAN_POINT('',(36.818881,22.126784,0.)); #500251=CARTESIAN_POINT('Origin',(36.793869,22.109634,0.)); #500252=CARTESIAN_POINT('',(36.793869,22.109634,0.)); #500253=CARTESIAN_POINT('',(36.793869,22.109634,0.035)); #500254=CARTESIAN_POINT('Origin',(33.6871918718647,22.5992793223719,0.035)); #500255=CARTESIAN_POINT('Origin',(33.6871918718647,22.5992793223719,0.)); #500256=CARTESIAN_POINT('Origin',(26.376216,31.261006,0.)); #500257=CARTESIAN_POINT('',(26.376216,31.261006,0.)); #500258=CARTESIAN_POINT('',(26.405834,31.267516,0.)); #500259=CARTESIAN_POINT('',(26.376216,31.261006,0.)); #500260=CARTESIAN_POINT('',(26.405834,31.267516,0.035)); #500261=CARTESIAN_POINT('',(26.405834,31.267516,0.)); #500262=CARTESIAN_POINT('',(26.376216,31.261006,0.035)); #500263=CARTESIAN_POINT('',(26.376216,31.261006,0.035)); #500264=CARTESIAN_POINT('',(26.376216,31.261006,0.)); #500265=CARTESIAN_POINT('Origin',(26.346403,31.266566,0.)); #500266=CARTESIAN_POINT('',(26.346403,31.266566,0.)); #500267=CARTESIAN_POINT('',(26.346403,31.266566,0.)); #500268=CARTESIAN_POINT('',(26.346403,31.266566,0.035)); #500269=CARTESIAN_POINT('',(26.346403,31.266566,0.035)); #500270=CARTESIAN_POINT('',(26.346403,31.266566,0.)); #500271=CARTESIAN_POINT('Origin',(26.321119,31.283316,0.)); #500272=CARTESIAN_POINT('',(26.321119,31.283316,0.)); #500273=CARTESIAN_POINT('',(26.321119,31.283316,0.)); #500274=CARTESIAN_POINT('',(26.321119,31.283316,0.035)); #500275=CARTESIAN_POINT('',(26.321119,31.283316,0.035)); #500276=CARTESIAN_POINT('',(26.321119,31.283316,0.)); #500277=CARTESIAN_POINT('Origin',(26.250919,31.353516,0.)); #500278=CARTESIAN_POINT('',(26.250919,31.353516,0.)); #500279=CARTESIAN_POINT('',(26.250919,31.353516,0.)); #500280=CARTESIAN_POINT('',(26.250919,31.353516,0.035)); #500281=CARTESIAN_POINT('',(26.250919,31.353516,0.035)); #500282=CARTESIAN_POINT('',(26.250919,31.353516,0.)); #500283=CARTESIAN_POINT('Origin',(26.234634,31.377675,0.)); #500284=CARTESIAN_POINT('',(26.234634,31.377675,0.)); #500285=CARTESIAN_POINT('',(26.234634,31.377675,0.)); #500286=CARTESIAN_POINT('',(26.234634,31.377675,0.035)); #500287=CARTESIAN_POINT('',(26.234634,31.377675,0.035)); #500288=CARTESIAN_POINT('',(26.234634,31.377675,0.)); #500289=CARTESIAN_POINT('Origin',(26.2286,31.407397,0.)); #500290=CARTESIAN_POINT('',(26.2286,31.407397,0.)); #500291=CARTESIAN_POINT('',(26.2286,31.407397,0.)); #500292=CARTESIAN_POINT('',(26.2286,31.407397,0.035)); #500293=CARTESIAN_POINT('',(26.2286,31.407397,0.035)); #500294=CARTESIAN_POINT('',(26.2286,31.407397,0.)); #500295=CARTESIAN_POINT('Origin',(26.2286,35.592603,0.)); #500296=CARTESIAN_POINT('',(26.2286,35.592603,0.)); #500297=CARTESIAN_POINT('',(26.2286,35.592603,0.)); #500298=CARTESIAN_POINT('',(26.2286,35.592603,0.035)); #500299=CARTESIAN_POINT('',(26.2286,35.592603,0.035)); #500300=CARTESIAN_POINT('',(26.2286,35.592603,0.)); #500301=CARTESIAN_POINT('Origin',(26.234169,35.6212,0.)); #500302=CARTESIAN_POINT('',(26.234169,35.6212,0.)); #500303=CARTESIAN_POINT('',(26.234169,35.6212,0.)); #500304=CARTESIAN_POINT('',(26.234169,35.6212,0.035)); #500305=CARTESIAN_POINT('',(26.234169,35.6212,0.035)); #500306=CARTESIAN_POINT('',(26.234169,35.6212,0.)); #500307=CARTESIAN_POINT('Origin',(26.250919,35.646484,0.)); #500308=CARTESIAN_POINT('',(26.250919,35.646484,0.)); #500309=CARTESIAN_POINT('',(26.250919,35.646484,0.)); #500310=CARTESIAN_POINT('',(26.250919,35.646484,0.035)); #500311=CARTESIAN_POINT('',(26.250919,35.646484,0.035)); #500312=CARTESIAN_POINT('',(26.250919,35.646484,0.)); #500313=CARTESIAN_POINT('Origin',(26.321119,35.716684,0.)); #500314=CARTESIAN_POINT('',(26.321119,35.716684,0.)); #500315=CARTESIAN_POINT('',(26.321119,35.716684,0.)); #500316=CARTESIAN_POINT('',(26.321119,35.716684,0.035)); #500317=CARTESIAN_POINT('',(26.321119,35.716684,0.035)); #500318=CARTESIAN_POINT('',(26.321119,35.716684,0.)); #500319=CARTESIAN_POINT('Origin',(26.344166,35.732484,0.)); #500320=CARTESIAN_POINT('',(26.344166,35.732484,0.)); #500321=CARTESIAN_POINT('',(26.344166,35.732484,0.)); #500322=CARTESIAN_POINT('',(26.344166,35.732484,0.035)); #500323=CARTESIAN_POINT('',(26.344166,35.732484,0.035)); #500324=CARTESIAN_POINT('',(26.344166,35.732484,0.)); #500325=CARTESIAN_POINT('Origin',(26.373784,35.738994,0.)); #500326=CARTESIAN_POINT('',(26.373784,35.738994,0.)); #500327=CARTESIAN_POINT('',(26.373784,35.738994,0.)); #500328=CARTESIAN_POINT('',(26.373784,35.738994,0.035)); #500329=CARTESIAN_POINT('',(26.373784,35.738994,0.035)); #500330=CARTESIAN_POINT('',(26.373784,35.738994,0.)); #500331=CARTESIAN_POINT('Origin',(26.403597,35.733434,0.)); #500332=CARTESIAN_POINT('',(26.403597,35.733434,0.)); #500333=CARTESIAN_POINT('',(26.403597,35.733434,0.)); #500334=CARTESIAN_POINT('',(26.403597,35.733434,0.035)); #500335=CARTESIAN_POINT('',(26.403597,35.733434,0.035)); #500336=CARTESIAN_POINT('',(26.403597,35.733434,0.)); #500337=CARTESIAN_POINT('Origin',(26.428881,35.716684,0.)); #500338=CARTESIAN_POINT('',(26.428881,35.716684,0.)); #500339=CARTESIAN_POINT('',(26.428881,35.716684,0.)); #500340=CARTESIAN_POINT('',(26.428881,35.716684,0.035)); #500341=CARTESIAN_POINT('',(26.428881,35.716684,0.035)); #500342=CARTESIAN_POINT('',(26.428881,35.716684,0.)); #500343=CARTESIAN_POINT('Origin',(26.499081,35.646484,0.)); #500344=CARTESIAN_POINT('',(26.499081,35.646484,0.)); #500345=CARTESIAN_POINT('',(26.499081,35.646484,0.)); #500346=CARTESIAN_POINT('',(26.499081,35.646484,0.035)); #500347=CARTESIAN_POINT('',(26.499081,35.646484,0.035)); #500348=CARTESIAN_POINT('',(26.499081,35.646484,0.)); #500349=CARTESIAN_POINT('Origin',(26.515366,35.622325,0.)); #500350=CARTESIAN_POINT('',(26.515366,35.622325,0.)); #500351=CARTESIAN_POINT('',(26.515366,35.622325,0.)); #500352=CARTESIAN_POINT('',(26.515366,35.622325,0.035)); #500353=CARTESIAN_POINT('',(26.515366,35.622325,0.035)); #500354=CARTESIAN_POINT('',(26.515366,35.622325,0.)); #500355=CARTESIAN_POINT('Origin',(26.5214,35.592603,0.)); #500356=CARTESIAN_POINT('',(26.5214,35.592603,0.)); #500357=CARTESIAN_POINT('',(26.5214,35.592603,0.)); #500358=CARTESIAN_POINT('',(26.5214,35.592603,0.035)); #500359=CARTESIAN_POINT('',(26.5214,35.592603,0.035)); #500360=CARTESIAN_POINT('',(26.5214,35.592603,0.)); #500361=CARTESIAN_POINT('Origin',(26.5214,31.407397,0.)); #500362=CARTESIAN_POINT('',(26.5214,31.407397,0.)); #500363=CARTESIAN_POINT('',(26.5214,31.407397,0.)); #500364=CARTESIAN_POINT('',(26.5214,31.407397,0.035)); #500365=CARTESIAN_POINT('',(26.5214,31.407397,0.035)); #500366=CARTESIAN_POINT('',(26.5214,31.407397,0.)); #500367=CARTESIAN_POINT('Origin',(26.515831,31.3788,0.)); #500368=CARTESIAN_POINT('',(26.515831,31.3788,0.)); #500369=CARTESIAN_POINT('',(26.515831,31.3788,0.)); #500370=CARTESIAN_POINT('',(26.515831,31.3788,0.035)); #500371=CARTESIAN_POINT('',(26.515831,31.3788,0.035)); #500372=CARTESIAN_POINT('',(26.515831,31.3788,0.)); #500373=CARTESIAN_POINT('Origin',(26.499081,31.353516,0.)); #500374=CARTESIAN_POINT('',(26.499081,31.353516,0.)); #500375=CARTESIAN_POINT('',(26.499081,31.353516,0.)); #500376=CARTESIAN_POINT('',(26.499081,31.353516,0.035)); #500377=CARTESIAN_POINT('',(26.499081,31.353516,0.035)); #500378=CARTESIAN_POINT('',(26.499081,31.353516,0.)); #500379=CARTESIAN_POINT('Origin',(26.428881,31.283316,0.)); #500380=CARTESIAN_POINT('',(26.428881,31.283316,0.)); #500381=CARTESIAN_POINT('',(26.428881,31.283316,0.)); #500382=CARTESIAN_POINT('',(26.428881,31.283316,0.035)); #500383=CARTESIAN_POINT('',(26.428881,31.283316,0.035)); #500384=CARTESIAN_POINT('',(26.428881,31.283316,0.)); #500385=CARTESIAN_POINT('Origin',(26.405834,31.267516,0.)); #500386=CARTESIAN_POINT('',(26.405834,31.267516,0.)); #500387=CARTESIAN_POINT('',(26.405834,31.267516,0.035)); #500388=CARTESIAN_POINT('Origin',(26.375,33.5,0.035)); #500389=CARTESIAN_POINT('Origin',(26.375,33.5,0.)); #500390=CARTESIAN_POINT('Origin',(39.5903,22.4786,0.)); #500391=CARTESIAN_POINT('',(39.5903,22.4786,0.)); #500392=CARTESIAN_POINT('',(39.620022,22.484634,0.)); #500393=CARTESIAN_POINT('',(39.5903,22.4786,0.)); #500394=CARTESIAN_POINT('',(39.620022,22.484634,0.035)); #500395=CARTESIAN_POINT('',(39.620022,22.484634,0.)); #500396=CARTESIAN_POINT('',(39.5903,22.4786,0.035)); #500397=CARTESIAN_POINT('',(39.5903,22.4786,0.035)); #500398=CARTESIAN_POINT('',(39.5903,22.4786,0.)); #500399=CARTESIAN_POINT('Origin',(37.813753,22.4786,0.)); #500400=CARTESIAN_POINT('',(37.813753,22.4786,0.)); #500401=CARTESIAN_POINT('',(37.813753,22.4786,0.)); #500402=CARTESIAN_POINT('',(37.813753,22.4786,0.035)); #500403=CARTESIAN_POINT('',(37.813753,22.4786,0.035)); #500404=CARTESIAN_POINT('',(37.813753,22.4786,0.)); #500405=CARTESIAN_POINT('Origin',(37.785156,22.484169,0.)); #500406=CARTESIAN_POINT('',(37.785156,22.484169,0.)); #500407=CARTESIAN_POINT('',(37.785156,22.484169,0.)); #500408=CARTESIAN_POINT('',(37.785156,22.484169,0.035)); #500409=CARTESIAN_POINT('',(37.785156,22.484169,0.035)); #500410=CARTESIAN_POINT('',(37.785156,22.484169,0.)); #500411=CARTESIAN_POINT('Origin',(37.759872,22.500919,0.)); #500412=CARTESIAN_POINT('',(37.759872,22.500919,0.)); #500413=CARTESIAN_POINT('',(37.759872,22.500919,0.)); #500414=CARTESIAN_POINT('',(37.759872,22.500919,0.035)); #500415=CARTESIAN_POINT('',(37.759872,22.500919,0.035)); #500416=CARTESIAN_POINT('',(37.759872,22.500919,0.)); #500417=CARTESIAN_POINT('Origin',(37.619472,22.641319,0.)); #500418=CARTESIAN_POINT('',(37.619472,22.641319,0.)); #500419=CARTESIAN_POINT('',(37.619472,22.641319,0.)); #500420=CARTESIAN_POINT('',(37.619472,22.641319,0.035)); #500421=CARTESIAN_POINT('',(37.619472,22.641319,0.035)); #500422=CARTESIAN_POINT('',(37.619472,22.641319,0.)); #500423=CARTESIAN_POINT('Origin',(37.604172,22.663256,0.)); #500424=CARTESIAN_POINT('',(37.604172,22.663256,0.)); #500425=CARTESIAN_POINT('',(37.604172,22.663256,0.)); #500426=CARTESIAN_POINT('',(37.604172,22.663256,0.035)); #500427=CARTESIAN_POINT('',(37.604172,22.663256,0.035)); #500428=CARTESIAN_POINT('',(37.604172,22.663256,0.)); #500429=CARTESIAN_POINT('Origin',(37.597191,22.692772,0.)); #500430=CARTESIAN_POINT('',(37.597191,22.692772,0.)); #500431=CARTESIAN_POINT('',(37.597191,22.692772,0.)); #500432=CARTESIAN_POINT('',(37.597191,22.692772,0.035)); #500433=CARTESIAN_POINT('',(37.597191,22.692772,0.035)); #500434=CARTESIAN_POINT('',(37.597191,22.692772,0.)); #500435=CARTESIAN_POINT('Origin',(37.602275,22.722669,0.)); #500436=CARTESIAN_POINT('',(37.602275,22.722669,0.)); #500437=CARTESIAN_POINT('',(37.602275,22.722669,0.)); #500438=CARTESIAN_POINT('',(37.602275,22.722669,0.035)); #500439=CARTESIAN_POINT('',(37.602275,22.722669,0.035)); #500440=CARTESIAN_POINT('',(37.602275,22.722669,0.)); #500441=CARTESIAN_POINT('Origin',(37.618619,22.748216,0.)); #500442=CARTESIAN_POINT('',(37.618619,22.748216,0.)); #500443=CARTESIAN_POINT('',(37.618619,22.748216,0.)); #500444=CARTESIAN_POINT('',(37.618619,22.748216,0.035)); #500445=CARTESIAN_POINT('',(37.618619,22.748216,0.035)); #500446=CARTESIAN_POINT('',(37.618619,22.748216,0.)); #500447=CARTESIAN_POINT('Origin',(37.643631,22.765366,0.)); #500448=CARTESIAN_POINT('',(37.643631,22.765366,0.)); #500449=CARTESIAN_POINT('',(37.643631,22.765366,0.)); #500450=CARTESIAN_POINT('',(37.643631,22.765366,0.035)); #500451=CARTESIAN_POINT('',(37.643631,22.765366,0.035)); #500452=CARTESIAN_POINT('',(37.643631,22.765366,0.)); #500453=CARTESIAN_POINT('Origin',(37.673353,22.7714,0.)); #500454=CARTESIAN_POINT('',(37.673353,22.7714,0.)); #500455=CARTESIAN_POINT('',(37.673353,22.7714,0.)); #500456=CARTESIAN_POINT('',(37.673353,22.7714,0.035)); #500457=CARTESIAN_POINT('',(37.673353,22.7714,0.035)); #500458=CARTESIAN_POINT('',(37.673353,22.7714,0.)); #500459=CARTESIAN_POINT('Origin',(39.5903,22.7714,0.)); #500460=CARTESIAN_POINT('',(39.5903,22.7714,0.)); #500461=CARTESIAN_POINT('',(39.5903,22.7714,0.)); #500462=CARTESIAN_POINT('',(39.5903,22.7714,0.035)); #500463=CARTESIAN_POINT('',(39.5903,22.7714,0.035)); #500464=CARTESIAN_POINT('',(39.5903,22.7714,0.)); #500465=CARTESIAN_POINT('Origin',(39.617769,22.766278,0.)); #500466=CARTESIAN_POINT('',(39.617769,22.766278,0.)); #500467=CARTESIAN_POINT('',(39.617769,22.766278,0.)); #500468=CARTESIAN_POINT('',(39.617769,22.766278,0.035)); #500469=CARTESIAN_POINT('',(39.617769,22.766278,0.035)); #500470=CARTESIAN_POINT('',(39.617769,22.766278,0.)); #500471=CARTESIAN_POINT('Origin',(39.643316,22.749934,0.)); #500472=CARTESIAN_POINT('',(39.643316,22.749934,0.)); #500473=CARTESIAN_POINT('',(39.643316,22.749934,0.)); #500474=CARTESIAN_POINT('',(39.643316,22.749934,0.035)); #500475=CARTESIAN_POINT('',(39.643316,22.749934,0.035)); #500476=CARTESIAN_POINT('',(39.643316,22.749934,0.)); #500477=CARTESIAN_POINT('Origin',(39.660466,22.724922,0.)); #500478=CARTESIAN_POINT('',(39.660466,22.724922,0.)); #500479=CARTESIAN_POINT('',(39.660466,22.724922,0.)); #500480=CARTESIAN_POINT('',(39.660466,22.724922,0.035)); #500481=CARTESIAN_POINT('',(39.660466,22.724922,0.035)); #500482=CARTESIAN_POINT('',(39.660466,22.724922,0.)); #500483=CARTESIAN_POINT('Origin',(39.6665,22.6952,0.)); #500484=CARTESIAN_POINT('',(39.6665,22.6952,0.)); #500485=CARTESIAN_POINT('',(39.6665,22.6952,0.)); #500486=CARTESIAN_POINT('',(39.6665,22.6952,0.035)); #500487=CARTESIAN_POINT('',(39.6665,22.6952,0.035)); #500488=CARTESIAN_POINT('',(39.6665,22.6952,0.)); #500489=CARTESIAN_POINT('Origin',(39.6665,22.5548,0.)); #500490=CARTESIAN_POINT('',(39.6665,22.5548,0.)); #500491=CARTESIAN_POINT('',(39.6665,22.5548,0.)); #500492=CARTESIAN_POINT('',(39.6665,22.5548,0.035)); #500493=CARTESIAN_POINT('',(39.6665,22.5548,0.035)); #500494=CARTESIAN_POINT('',(39.6665,22.5548,0.)); #500495=CARTESIAN_POINT('Origin',(39.661378,22.527331,0.)); #500496=CARTESIAN_POINT('',(39.661378,22.527331,0.)); #500497=CARTESIAN_POINT('',(39.661378,22.527331,0.)); #500498=CARTESIAN_POINT('',(39.661378,22.527331,0.035)); #500499=CARTESIAN_POINT('',(39.661378,22.527331,0.035)); #500500=CARTESIAN_POINT('',(39.661378,22.527331,0.)); #500501=CARTESIAN_POINT('Origin',(39.645034,22.501784,0.)); #500502=CARTESIAN_POINT('',(39.645034,22.501784,0.)); #500503=CARTESIAN_POINT('',(39.645034,22.501784,0.)); #500504=CARTESIAN_POINT('',(39.645034,22.501784,0.035)); #500505=CARTESIAN_POINT('',(39.645034,22.501784,0.035)); #500506=CARTESIAN_POINT('',(39.645034,22.501784,0.)); #500507=CARTESIAN_POINT('Origin',(39.620022,22.484634,0.)); #500508=CARTESIAN_POINT('',(39.620022,22.484634,0.)); #500509=CARTESIAN_POINT('',(39.620022,22.484634,0.035)); #500510=CARTESIAN_POINT('Origin',(38.6520704120613,22.6290374014677,0.035)); #500511=CARTESIAN_POINT('Origin',(38.6520704120613,22.6290374014677,0.)); #500512=CARTESIAN_POINT('Origin',(31.811072,23.9786,0.)); #500513=CARTESIAN_POINT('',(31.811072,23.9786,0.)); #500514=CARTESIAN_POINT('',(31.811741,23.978603,0.)); #500515=CARTESIAN_POINT('',(31.811072,23.9786,0.)); #500516=CARTESIAN_POINT('',(31.811741,23.978603,0.035)); #500517=CARTESIAN_POINT('',(31.811741,23.978603,0.)); #500518=CARTESIAN_POINT('',(31.811072,23.9786,0.035)); #500519=CARTESIAN_POINT('',(31.811072,23.9786,0.035)); #500520=CARTESIAN_POINT('',(31.811072,23.9786,0.)); #500521=CARTESIAN_POINT('Origin',(31.8048,23.9786,0.)); #500522=CARTESIAN_POINT('',(31.8048,23.9786,0.)); #500523=CARTESIAN_POINT('',(31.8048,23.9786,0.)); #500524=CARTESIAN_POINT('',(31.8048,23.9786,0.035)); #500525=CARTESIAN_POINT('',(31.8048,23.9786,0.035)); #500526=CARTESIAN_POINT('',(31.8048,23.9786,0.)); #500527=CARTESIAN_POINT('Origin',(31.777331,23.983722,0.)); #500528=CARTESIAN_POINT('',(31.777331,23.983722,0.)); #500529=CARTESIAN_POINT('',(31.777331,23.983722,0.)); #500530=CARTESIAN_POINT('',(31.777331,23.983722,0.035)); #500531=CARTESIAN_POINT('',(31.777331,23.983722,0.035)); #500532=CARTESIAN_POINT('',(31.777331,23.983722,0.)); #500533=CARTESIAN_POINT('Origin',(31.751784,24.000066,0.)); #500534=CARTESIAN_POINT('',(31.751784,24.000066,0.)); #500535=CARTESIAN_POINT('',(31.751784,24.000066,0.)); #500536=CARTESIAN_POINT('',(31.751784,24.000066,0.035)); #500537=CARTESIAN_POINT('',(31.751784,24.000066,0.035)); #500538=CARTESIAN_POINT('',(31.751784,24.000066,0.)); #500539=CARTESIAN_POINT('Origin',(31.734634,24.025078,0.)); #500540=CARTESIAN_POINT('',(31.734634,24.025078,0.)); #500541=CARTESIAN_POINT('',(31.734634,24.025078,0.)); #500542=CARTESIAN_POINT('',(31.734634,24.025078,0.035)); #500543=CARTESIAN_POINT('',(31.734634,24.025078,0.035)); #500544=CARTESIAN_POINT('',(31.734634,24.025078,0.)); #500545=CARTESIAN_POINT('Origin',(31.7286,24.0548,0.)); #500546=CARTESIAN_POINT('',(31.7286,24.0548,0.)); #500547=CARTESIAN_POINT('',(31.7286,24.0548,0.)); #500548=CARTESIAN_POINT('',(31.7286,24.0548,0.035)); #500549=CARTESIAN_POINT('',(31.7286,24.0548,0.035)); #500550=CARTESIAN_POINT('',(31.7286,24.0548,0.)); #500551=CARTESIAN_POINT('Origin',(31.7286,24.317025,0.)); #500552=CARTESIAN_POINT('',(31.7286,24.317025,0.)); #500553=CARTESIAN_POINT('',(31.7286,24.317025,0.)); #500554=CARTESIAN_POINT('',(31.7286,24.317025,0.035)); #500555=CARTESIAN_POINT('',(31.7286,24.317025,0.035)); #500556=CARTESIAN_POINT('',(31.7286,24.317025,0.)); #500557=CARTESIAN_POINT('Origin',(31.733944,24.345059,0.)); #500558=CARTESIAN_POINT('',(31.733944,24.345059,0.)); #500559=CARTESIAN_POINT('',(31.733944,24.345059,0.)); #500560=CARTESIAN_POINT('',(31.733944,24.345059,0.035)); #500561=CARTESIAN_POINT('',(31.733944,24.345059,0.035)); #500562=CARTESIAN_POINT('',(31.733944,24.345059,0.)); #500563=CARTESIAN_POINT('Origin',(31.750491,24.370475,0.)); #500564=CARTESIAN_POINT('',(31.750491,24.370475,0.)); #500565=CARTESIAN_POINT('',(31.750491,24.370475,0.)); #500566=CARTESIAN_POINT('',(31.750491,24.370475,0.035)); #500567=CARTESIAN_POINT('',(31.750491,24.370475,0.035)); #500568=CARTESIAN_POINT('',(31.750491,24.370475,0.)); #500569=CARTESIAN_POINT('Origin',(31.775641,24.387425,0.)); #500570=CARTESIAN_POINT('',(31.775641,24.387425,0.)); #500571=CARTESIAN_POINT('',(31.775641,24.387425,0.)); #500572=CARTESIAN_POINT('',(31.775641,24.387425,0.035)); #500573=CARTESIAN_POINT('',(31.775641,24.387425,0.035)); #500574=CARTESIAN_POINT('',(31.775641,24.387425,0.)); #500575=CARTESIAN_POINT('Origin',(32.011169,24.484984,0.)); #500576=CARTESIAN_POINT('',(32.011169,24.484984,0.)); #500577=CARTESIAN_POINT('',(32.011169,24.484984,0.)); #500578=CARTESIAN_POINT('',(32.011169,24.484984,0.035)); #500579=CARTESIAN_POINT('',(32.011169,24.484984,0.035)); #500580=CARTESIAN_POINT('',(32.011169,24.484984,0.)); #500581=CARTESIAN_POINT('Origin',(32.265016,24.738831,0.)); #500582=CARTESIAN_POINT('',(32.265016,24.738831,0.)); #500583=CARTESIAN_POINT('',(32.265016,24.738831,0.)); #500584=CARTESIAN_POINT('',(32.265016,24.738831,0.035)); #500585=CARTESIAN_POINT('',(32.265016,24.738831,0.035)); #500586=CARTESIAN_POINT('',(32.265016,24.738831,0.)); #500587=CARTESIAN_POINT('Origin',(32.4024,25.0705,0.)); #500588=CARTESIAN_POINT('',(32.4024,25.0705,0.)); #500589=CARTESIAN_POINT('',(32.4024,25.0705,0.)); #500590=CARTESIAN_POINT('',(32.4024,25.0705,0.035)); #500591=CARTESIAN_POINT('',(32.4024,25.0705,0.035)); #500592=CARTESIAN_POINT('',(32.4024,25.0705,0.)); #500593=CARTESIAN_POINT('Origin',(32.4024,25.4295,0.)); #500594=CARTESIAN_POINT('',(32.4024,25.4295,0.)); #500595=CARTESIAN_POINT('',(32.4024,25.4295,0.)); #500596=CARTESIAN_POINT('',(32.4024,25.4295,0.035)); #500597=CARTESIAN_POINT('',(32.4024,25.4295,0.035)); #500598=CARTESIAN_POINT('',(32.4024,25.4295,0.)); #500599=CARTESIAN_POINT('Origin',(32.265016,25.761169,0.)); #500600=CARTESIAN_POINT('',(32.265016,25.761169,0.)); #500601=CARTESIAN_POINT('',(32.265016,25.761169,0.)); #500602=CARTESIAN_POINT('',(32.265016,25.761169,0.035)); #500603=CARTESIAN_POINT('',(32.265016,25.761169,0.035)); #500604=CARTESIAN_POINT('',(32.265016,25.761169,0.)); #500605=CARTESIAN_POINT('Origin',(32.072366,25.953819,0.)); #500606=CARTESIAN_POINT('',(32.072366,25.953819,0.)); #500607=CARTESIAN_POINT('',(32.072366,25.953819,0.)); #500608=CARTESIAN_POINT('',(32.072366,25.953819,0.035)); #500609=CARTESIAN_POINT('',(32.072366,25.953819,0.035)); #500610=CARTESIAN_POINT('',(32.072366,25.953819,0.)); #500611=CARTESIAN_POINT('Origin',(32.057066,25.975756,0.)); #500612=CARTESIAN_POINT('',(32.057066,25.975756,0.)); #500613=CARTESIAN_POINT('',(32.057066,25.975756,0.)); #500614=CARTESIAN_POINT('',(32.057066,25.975756,0.035)); #500615=CARTESIAN_POINT('',(32.057066,25.975756,0.035)); #500616=CARTESIAN_POINT('',(32.057066,25.975756,0.)); #500617=CARTESIAN_POINT('Origin',(32.050084,26.005272,0.)); #500618=CARTESIAN_POINT('',(32.050084,26.005272,0.)); #500619=CARTESIAN_POINT('',(32.050084,26.005272,0.)); #500620=CARTESIAN_POINT('',(32.050084,26.005272,0.035)); #500621=CARTESIAN_POINT('',(32.050084,26.005272,0.035)); #500622=CARTESIAN_POINT('',(32.050084,26.005272,0.)); #500623=CARTESIAN_POINT('Origin',(32.055169,26.035169,0.)); #500624=CARTESIAN_POINT('',(32.055169,26.035169,0.)); #500625=CARTESIAN_POINT('',(32.055169,26.035169,0.)); #500626=CARTESIAN_POINT('',(32.055169,26.035169,0.035)); #500627=CARTESIAN_POINT('',(32.055169,26.035169,0.035)); #500628=CARTESIAN_POINT('',(32.055169,26.035169,0.)); #500629=CARTESIAN_POINT('Origin',(32.071513,26.060716,0.)); #500630=CARTESIAN_POINT('',(32.071513,26.060716,0.)); #500631=CARTESIAN_POINT('',(32.071513,26.060716,0.)); #500632=CARTESIAN_POINT('',(32.071513,26.060716,0.035)); #500633=CARTESIAN_POINT('',(32.071513,26.060716,0.035)); #500634=CARTESIAN_POINT('',(32.071513,26.060716,0.)); #500635=CARTESIAN_POINT('Origin',(32.096525,26.077866,0.)); #500636=CARTESIAN_POINT('',(32.096525,26.077866,0.)); #500637=CARTESIAN_POINT('',(32.096525,26.077866,0.)); #500638=CARTESIAN_POINT('',(32.096525,26.077866,0.035)); #500639=CARTESIAN_POINT('',(32.096525,26.077866,0.035)); #500640=CARTESIAN_POINT('',(32.096525,26.077866,0.)); #500641=CARTESIAN_POINT('Origin',(32.126247,26.0839,0.)); #500642=CARTESIAN_POINT('',(32.126247,26.0839,0.)); #500643=CARTESIAN_POINT('',(32.126247,26.0839,0.)); #500644=CARTESIAN_POINT('',(32.126247,26.0839,0.035)); #500645=CARTESIAN_POINT('',(32.126247,26.0839,0.035)); #500646=CARTESIAN_POINT('',(32.126247,26.0839,0.)); #500647=CARTESIAN_POINT('Origin',(33.373753,26.0839,0.)); #500648=CARTESIAN_POINT('',(33.373753,26.0839,0.)); #500649=CARTESIAN_POINT('',(33.373753,26.0839,0.)); #500650=CARTESIAN_POINT('',(33.373753,26.0839,0.035)); #500651=CARTESIAN_POINT('',(33.373753,26.0839,0.035)); #500652=CARTESIAN_POINT('',(33.373753,26.0839,0.)); #500653=CARTESIAN_POINT('Origin',(33.400084,26.079206,0.)); #500654=CARTESIAN_POINT('',(33.400084,26.079206,0.)); #500655=CARTESIAN_POINT('',(33.400084,26.079206,0.)); #500656=CARTESIAN_POINT('',(33.400084,26.079206,0.035)); #500657=CARTESIAN_POINT('',(33.400084,26.079206,0.035)); #500658=CARTESIAN_POINT('',(33.400084,26.079206,0.)); #500659=CARTESIAN_POINT('Origin',(33.425891,26.063272,0.)); #500660=CARTESIAN_POINT('',(33.425891,26.063272,0.)); #500661=CARTESIAN_POINT('',(33.425891,26.063272,0.)); #500662=CARTESIAN_POINT('',(33.425891,26.063272,0.035)); #500663=CARTESIAN_POINT('',(33.425891,26.063272,0.035)); #500664=CARTESIAN_POINT('',(33.425891,26.063272,0.)); #500665=CARTESIAN_POINT('Origin',(33.443434,26.038534,0.)); #500666=CARTESIAN_POINT('',(33.443434,26.038534,0.)); #500667=CARTESIAN_POINT('',(33.443434,26.038534,0.)); #500668=CARTESIAN_POINT('',(33.443434,26.038534,0.035)); #500669=CARTESIAN_POINT('',(33.443434,26.038534,0.035)); #500670=CARTESIAN_POINT('',(33.443434,26.038534,0.)); #500671=CARTESIAN_POINT('Origin',(33.449944,26.008916,0.)); #500672=CARTESIAN_POINT('',(33.449944,26.008916,0.)); #500673=CARTESIAN_POINT('',(33.449944,26.008916,0.)); #500674=CARTESIAN_POINT('',(33.449944,26.008916,0.035)); #500675=CARTESIAN_POINT('',(33.449944,26.008916,0.035)); #500676=CARTESIAN_POINT('',(33.449944,26.008916,0.)); #500677=CARTESIAN_POINT('Origin',(33.444384,25.979103,0.)); #500678=CARTESIAN_POINT('',(33.444384,25.979103,0.)); #500679=CARTESIAN_POINT('',(33.444384,25.979103,0.)); #500680=CARTESIAN_POINT('',(33.444384,25.979103,0.035)); #500681=CARTESIAN_POINT('',(33.444384,25.979103,0.035)); #500682=CARTESIAN_POINT('',(33.444384,25.979103,0.)); #500683=CARTESIAN_POINT('Origin',(33.427634,25.953819,0.)); #500684=CARTESIAN_POINT('',(33.427634,25.953819,0.)); #500685=CARTESIAN_POINT('',(33.427634,25.953819,0.)); #500686=CARTESIAN_POINT('',(33.427634,25.953819,0.035)); #500687=CARTESIAN_POINT('',(33.427634,25.953819,0.035)); #500688=CARTESIAN_POINT('',(33.427634,25.953819,0.)); #500689=CARTESIAN_POINT('Origin',(33.234984,25.761169,0.)); #500690=CARTESIAN_POINT('',(33.234984,25.761169,0.)); #500691=CARTESIAN_POINT('',(33.234984,25.761169,0.)); #500692=CARTESIAN_POINT('',(33.234984,25.761169,0.035)); #500693=CARTESIAN_POINT('',(33.234984,25.761169,0.035)); #500694=CARTESIAN_POINT('',(33.234984,25.761169,0.)); #500695=CARTESIAN_POINT('Origin',(33.0976,25.4295,0.)); #500696=CARTESIAN_POINT('',(33.0976,25.4295,0.)); #500697=CARTESIAN_POINT('',(33.0976,25.4295,0.)); #500698=CARTESIAN_POINT('',(33.0976,25.4295,0.035)); #500699=CARTESIAN_POINT('',(33.0976,25.4295,0.035)); #500700=CARTESIAN_POINT('',(33.0976,25.4295,0.)); #500701=CARTESIAN_POINT('Origin',(33.0976,25.0705,0.)); #500702=CARTESIAN_POINT('',(33.0976,25.0705,0.)); #500703=CARTESIAN_POINT('',(33.0976,25.0705,0.)); #500704=CARTESIAN_POINT('',(33.0976,25.0705,0.035)); #500705=CARTESIAN_POINT('',(33.0976,25.0705,0.035)); #500706=CARTESIAN_POINT('',(33.0976,25.0705,0.)); #500707=CARTESIAN_POINT('Origin',(33.234984,24.738831,0.)); #500708=CARTESIAN_POINT('',(33.234984,24.738831,0.)); #500709=CARTESIAN_POINT('',(33.234984,24.738831,0.)); #500710=CARTESIAN_POINT('',(33.234984,24.738831,0.035)); #500711=CARTESIAN_POINT('',(33.234984,24.738831,0.035)); #500712=CARTESIAN_POINT('',(33.234984,24.738831,0.)); #500713=CARTESIAN_POINT('Origin',(33.488831,24.484984,0.)); #500714=CARTESIAN_POINT('',(33.488831,24.484984,0.)); #500715=CARTESIAN_POINT('',(33.488831,24.484984,0.)); #500716=CARTESIAN_POINT('',(33.488831,24.484984,0.035)); #500717=CARTESIAN_POINT('',(33.488831,24.484984,0.035)); #500718=CARTESIAN_POINT('',(33.488831,24.484984,0.)); #500719=CARTESIAN_POINT('Origin',(33.8205,24.3476,0.)); #500720=CARTESIAN_POINT('',(33.8205,24.3476,0.)); #500721=CARTESIAN_POINT('',(33.8205,24.3476,0.)); #500722=CARTESIAN_POINT('',(33.8205,24.3476,0.035)); #500723=CARTESIAN_POINT('',(33.8205,24.3476,0.035)); #500724=CARTESIAN_POINT('',(33.8205,24.3476,0.)); #500725=CARTESIAN_POINT('Origin',(34.1795,24.3476,0.)); #500726=CARTESIAN_POINT('',(34.1795,24.3476,0.)); #500727=CARTESIAN_POINT('',(34.1795,24.3476,0.)); #500728=CARTESIAN_POINT('',(34.1795,24.3476,0.035)); #500729=CARTESIAN_POINT('',(34.1795,24.3476,0.035)); #500730=CARTESIAN_POINT('',(34.1795,24.3476,0.)); #500731=CARTESIAN_POINT('Origin',(34.511169,24.484984,0.)); #500732=CARTESIAN_POINT('',(34.511169,24.484984,0.)); #500733=CARTESIAN_POINT('',(34.511169,24.484984,0.)); #500734=CARTESIAN_POINT('',(34.511169,24.484984,0.035)); #500735=CARTESIAN_POINT('',(34.511169,24.484984,0.035)); #500736=CARTESIAN_POINT('',(34.511169,24.484984,0.)); #500737=CARTESIAN_POINT('Origin',(34.765016,24.738831,0.)); #500738=CARTESIAN_POINT('',(34.765016,24.738831,0.)); #500739=CARTESIAN_POINT('',(34.765016,24.738831,0.)); #500740=CARTESIAN_POINT('',(34.765016,24.738831,0.035)); #500741=CARTESIAN_POINT('',(34.765016,24.738831,0.035)); #500742=CARTESIAN_POINT('',(34.765016,24.738831,0.)); #500743=CARTESIAN_POINT('Origin',(34.9024,25.0705,0.)); #500744=CARTESIAN_POINT('',(34.9024,25.0705,0.)); #500745=CARTESIAN_POINT('',(34.9024,25.0705,0.)); #500746=CARTESIAN_POINT('',(34.9024,25.0705,0.035)); #500747=CARTESIAN_POINT('',(34.9024,25.0705,0.035)); #500748=CARTESIAN_POINT('',(34.9024,25.0705,0.)); #500749=CARTESIAN_POINT('Origin',(34.9024,25.4295,0.)); #500750=CARTESIAN_POINT('',(34.9024,25.4295,0.)); #500751=CARTESIAN_POINT('',(34.9024,25.4295,0.)); #500752=CARTESIAN_POINT('',(34.9024,25.4295,0.035)); #500753=CARTESIAN_POINT('',(34.9024,25.4295,0.035)); #500754=CARTESIAN_POINT('',(34.9024,25.4295,0.)); #500755=CARTESIAN_POINT('Origin',(34.765016,25.761169,0.)); #500756=CARTESIAN_POINT('',(34.765016,25.761169,0.)); #500757=CARTESIAN_POINT('',(34.765016,25.761169,0.)); #500758=CARTESIAN_POINT('',(34.765016,25.761169,0.035)); #500759=CARTESIAN_POINT('',(34.765016,25.761169,0.035)); #500760=CARTESIAN_POINT('',(34.765016,25.761169,0.)); #500761=CARTESIAN_POINT('Origin',(34.572366,25.953819,0.)); #500762=CARTESIAN_POINT('',(34.572366,25.953819,0.)); #500763=CARTESIAN_POINT('',(34.572366,25.953819,0.)); #500764=CARTESIAN_POINT('',(34.572366,25.953819,0.035)); #500765=CARTESIAN_POINT('',(34.572366,25.953819,0.035)); #500766=CARTESIAN_POINT('',(34.572366,25.953819,0.)); #500767=CARTESIAN_POINT('Origin',(34.557066,25.975756,0.)); #500768=CARTESIAN_POINT('',(34.557066,25.975756,0.)); #500769=CARTESIAN_POINT('',(34.557066,25.975756,0.)); #500770=CARTESIAN_POINT('',(34.557066,25.975756,0.035)); #500771=CARTESIAN_POINT('',(34.557066,25.975756,0.035)); #500772=CARTESIAN_POINT('',(34.557066,25.975756,0.)); #500773=CARTESIAN_POINT('Origin',(34.550084,26.005272,0.)); #500774=CARTESIAN_POINT('',(34.550084,26.005272,0.)); #500775=CARTESIAN_POINT('',(34.550084,26.005272,0.)); #500776=CARTESIAN_POINT('',(34.550084,26.005272,0.035)); #500777=CARTESIAN_POINT('',(34.550084,26.005272,0.035)); #500778=CARTESIAN_POINT('',(34.550084,26.005272,0.)); #500779=CARTESIAN_POINT('Origin',(34.555169,26.035169,0.)); #500780=CARTESIAN_POINT('',(34.555169,26.035169,0.)); #500781=CARTESIAN_POINT('',(34.555169,26.035169,0.)); #500782=CARTESIAN_POINT('',(34.555169,26.035169,0.035)); #500783=CARTESIAN_POINT('',(34.555169,26.035169,0.035)); #500784=CARTESIAN_POINT('',(34.555169,26.035169,0.)); #500785=CARTESIAN_POINT('Origin',(34.571513,26.060716,0.)); #500786=CARTESIAN_POINT('',(34.571513,26.060716,0.)); #500787=CARTESIAN_POINT('',(34.571513,26.060716,0.)); #500788=CARTESIAN_POINT('',(34.571513,26.060716,0.035)); #500789=CARTESIAN_POINT('',(34.571513,26.060716,0.035)); #500790=CARTESIAN_POINT('',(34.571513,26.060716,0.)); #500791=CARTESIAN_POINT('Origin',(34.596525,26.077866,0.)); #500792=CARTESIAN_POINT('',(34.596525,26.077866,0.)); #500793=CARTESIAN_POINT('',(34.596525,26.077866,0.)); #500794=CARTESIAN_POINT('',(34.596525,26.077866,0.035)); #500795=CARTESIAN_POINT('',(34.596525,26.077866,0.035)); #500796=CARTESIAN_POINT('',(34.596525,26.077866,0.)); #500797=CARTESIAN_POINT('Origin',(34.626247,26.0839,0.)); #500798=CARTESIAN_POINT('',(34.626247,26.0839,0.)); #500799=CARTESIAN_POINT('',(34.626247,26.0839,0.)); #500800=CARTESIAN_POINT('',(34.626247,26.0839,0.035)); #500801=CARTESIAN_POINT('',(34.626247,26.0839,0.035)); #500802=CARTESIAN_POINT('',(34.626247,26.0839,0.)); #500803=CARTESIAN_POINT('Origin',(35.873753,26.0839,0.)); #500804=CARTESIAN_POINT('',(35.873753,26.0839,0.)); #500805=CARTESIAN_POINT('',(35.873753,26.0839,0.)); #500806=CARTESIAN_POINT('',(35.873753,26.0839,0.035)); #500807=CARTESIAN_POINT('',(35.873753,26.0839,0.035)); #500808=CARTESIAN_POINT('',(35.873753,26.0839,0.)); #500809=CARTESIAN_POINT('Origin',(35.900084,26.079206,0.)); #500810=CARTESIAN_POINT('',(35.900084,26.079206,0.)); #500811=CARTESIAN_POINT('',(35.900084,26.079206,0.)); #500812=CARTESIAN_POINT('',(35.900084,26.079206,0.035)); #500813=CARTESIAN_POINT('',(35.900084,26.079206,0.035)); #500814=CARTESIAN_POINT('',(35.900084,26.079206,0.)); #500815=CARTESIAN_POINT('Origin',(35.925891,26.063272,0.)); #500816=CARTESIAN_POINT('',(35.925891,26.063272,0.)); #500817=CARTESIAN_POINT('',(35.925891,26.063272,0.)); #500818=CARTESIAN_POINT('',(35.925891,26.063272,0.035)); #500819=CARTESIAN_POINT('',(35.925891,26.063272,0.035)); #500820=CARTESIAN_POINT('',(35.925891,26.063272,0.)); #500821=CARTESIAN_POINT('Origin',(35.943434,26.038534,0.)); #500822=CARTESIAN_POINT('',(35.943434,26.038534,0.)); #500823=CARTESIAN_POINT('',(35.943434,26.038534,0.)); #500824=CARTESIAN_POINT('',(35.943434,26.038534,0.035)); #500825=CARTESIAN_POINT('',(35.943434,26.038534,0.035)); #500826=CARTESIAN_POINT('',(35.943434,26.038534,0.)); #500827=CARTESIAN_POINT('Origin',(35.949944,26.008916,0.)); #500828=CARTESIAN_POINT('',(35.949944,26.008916,0.)); #500829=CARTESIAN_POINT('',(35.949944,26.008916,0.)); #500830=CARTESIAN_POINT('',(35.949944,26.008916,0.035)); #500831=CARTESIAN_POINT('',(35.949944,26.008916,0.035)); #500832=CARTESIAN_POINT('',(35.949944,26.008916,0.)); #500833=CARTESIAN_POINT('Origin',(35.944384,25.979103,0.)); #500834=CARTESIAN_POINT('',(35.944384,25.979103,0.)); #500835=CARTESIAN_POINT('',(35.944384,25.979103,0.)); #500836=CARTESIAN_POINT('',(35.944384,25.979103,0.035)); #500837=CARTESIAN_POINT('',(35.944384,25.979103,0.035)); #500838=CARTESIAN_POINT('',(35.944384,25.979103,0.)); #500839=CARTESIAN_POINT('Origin',(35.927634,25.953819,0.)); #500840=CARTESIAN_POINT('',(35.927634,25.953819,0.)); #500841=CARTESIAN_POINT('',(35.927634,25.953819,0.)); #500842=CARTESIAN_POINT('',(35.927634,25.953819,0.035)); #500843=CARTESIAN_POINT('',(35.927634,25.953819,0.035)); #500844=CARTESIAN_POINT('',(35.927634,25.953819,0.)); #500845=CARTESIAN_POINT('Origin',(35.734984,25.761169,0.)); #500846=CARTESIAN_POINT('',(35.734984,25.761169,0.)); #500847=CARTESIAN_POINT('',(35.734984,25.761169,0.)); #500848=CARTESIAN_POINT('',(35.734984,25.761169,0.035)); #500849=CARTESIAN_POINT('',(35.734984,25.761169,0.035)); #500850=CARTESIAN_POINT('',(35.734984,25.761169,0.)); #500851=CARTESIAN_POINT('Origin',(35.5976,25.4295,0.)); #500852=CARTESIAN_POINT('',(35.5976,25.4295,0.)); #500853=CARTESIAN_POINT('',(35.5976,25.4295,0.)); #500854=CARTESIAN_POINT('',(35.5976,25.4295,0.035)); #500855=CARTESIAN_POINT('',(35.5976,25.4295,0.035)); #500856=CARTESIAN_POINT('',(35.5976,25.4295,0.)); #500857=CARTESIAN_POINT('Origin',(35.5976,25.0705,0.)); #500858=CARTESIAN_POINT('',(35.5976,25.0705,0.)); #500859=CARTESIAN_POINT('',(35.5976,25.0705,0.)); #500860=CARTESIAN_POINT('',(35.5976,25.0705,0.035)); #500861=CARTESIAN_POINT('',(35.5976,25.0705,0.035)); #500862=CARTESIAN_POINT('',(35.5976,25.0705,0.)); #500863=CARTESIAN_POINT('Origin',(35.734984,24.738831,0.)); #500864=CARTESIAN_POINT('',(35.734984,24.738831,0.)); #500865=CARTESIAN_POINT('',(35.734984,24.738831,0.)); #500866=CARTESIAN_POINT('',(35.734984,24.738831,0.035)); #500867=CARTESIAN_POINT('',(35.734984,24.738831,0.035)); #500868=CARTESIAN_POINT('',(35.734984,24.738831,0.)); #500869=CARTESIAN_POINT('Origin',(35.988831,24.484984,0.)); #500870=CARTESIAN_POINT('',(35.988831,24.484984,0.)); #500871=CARTESIAN_POINT('',(35.988831,24.484984,0.)); #500872=CARTESIAN_POINT('',(35.988831,24.484984,0.035)); #500873=CARTESIAN_POINT('',(35.988831,24.484984,0.035)); #500874=CARTESIAN_POINT('',(35.988831,24.484984,0.)); #500875=CARTESIAN_POINT('Origin',(36.224359,24.387425,0.)); #500876=CARTESIAN_POINT('',(36.224359,24.387425,0.)); #500877=CARTESIAN_POINT('',(36.224359,24.387425,0.)); #500878=CARTESIAN_POINT('',(36.224359,24.387425,0.035)); #500879=CARTESIAN_POINT('',(36.224359,24.387425,0.035)); #500880=CARTESIAN_POINT('',(36.224359,24.387425,0.)); #500881=CARTESIAN_POINT('Origin',(36.248216,24.371759,0.)); #500882=CARTESIAN_POINT('',(36.248216,24.371759,0.)); #500883=CARTESIAN_POINT('',(36.248216,24.371759,0.)); #500884=CARTESIAN_POINT('',(36.248216,24.371759,0.035)); #500885=CARTESIAN_POINT('',(36.248216,24.371759,0.035)); #500886=CARTESIAN_POINT('',(36.248216,24.371759,0.)); #500887=CARTESIAN_POINT('Origin',(36.265366,24.346747,0.)); #500888=CARTESIAN_POINT('',(36.265366,24.346747,0.)); #500889=CARTESIAN_POINT('',(36.265366,24.346747,0.)); #500890=CARTESIAN_POINT('',(36.265366,24.346747,0.035)); #500891=CARTESIAN_POINT('',(36.265366,24.346747,0.035)); #500892=CARTESIAN_POINT('',(36.265366,24.346747,0.)); #500893=CARTESIAN_POINT('Origin',(36.2714,24.317025,0.)); #500894=CARTESIAN_POINT('',(36.2714,24.317025,0.)); #500895=CARTESIAN_POINT('',(36.2714,24.317025,0.)); #500896=CARTESIAN_POINT('',(36.2714,24.317025,0.035)); #500897=CARTESIAN_POINT('',(36.2714,24.317025,0.035)); #500898=CARTESIAN_POINT('',(36.2714,24.317025,0.)); #500899=CARTESIAN_POINT('Origin',(36.2714,24.0548,0.)); #500900=CARTESIAN_POINT('',(36.2714,24.0548,0.)); #500901=CARTESIAN_POINT('',(36.2714,24.0548,0.)); #500902=CARTESIAN_POINT('',(36.2714,24.0548,0.035)); #500903=CARTESIAN_POINT('',(36.2714,24.0548,0.035)); #500904=CARTESIAN_POINT('',(36.2714,24.0548,0.)); #500905=CARTESIAN_POINT('Origin',(36.266278,24.027331,0.)); #500906=CARTESIAN_POINT('',(36.266278,24.027331,0.)); #500907=CARTESIAN_POINT('',(36.266278,24.027331,0.)); #500908=CARTESIAN_POINT('',(36.266278,24.027331,0.035)); #500909=CARTESIAN_POINT('',(36.266278,24.027331,0.035)); #500910=CARTESIAN_POINT('',(36.266278,24.027331,0.)); #500911=CARTESIAN_POINT('Origin',(36.249934,24.001784,0.)); #500912=CARTESIAN_POINT('',(36.249934,24.001784,0.)); #500913=CARTESIAN_POINT('',(36.249934,24.001784,0.)); #500914=CARTESIAN_POINT('',(36.249934,24.001784,0.035)); #500915=CARTESIAN_POINT('',(36.249934,24.001784,0.035)); #500916=CARTESIAN_POINT('',(36.249934,24.001784,0.)); #500917=CARTESIAN_POINT('Origin',(36.224922,23.984634,0.)); #500918=CARTESIAN_POINT('',(36.224922,23.984634,0.)); #500919=CARTESIAN_POINT('',(36.224922,23.984634,0.)); #500920=CARTESIAN_POINT('',(36.224922,23.984634,0.035)); #500921=CARTESIAN_POINT('',(36.224922,23.984634,0.035)); #500922=CARTESIAN_POINT('',(36.224922,23.984634,0.)); #500923=CARTESIAN_POINT('Origin',(36.1952,23.9786,0.)); #500924=CARTESIAN_POINT('',(36.1952,23.9786,0.)); #500925=CARTESIAN_POINT('',(36.1952,23.9786,0.)); #500926=CARTESIAN_POINT('',(36.1952,23.9786,0.035)); #500927=CARTESIAN_POINT('',(36.1952,23.9786,0.035)); #500928=CARTESIAN_POINT('',(36.1952,23.9786,0.)); #500929=CARTESIAN_POINT('Origin',(31.937188,23.9786,0.)); #500930=CARTESIAN_POINT('',(31.937188,23.9786,0.)); #500931=CARTESIAN_POINT('',(31.937188,23.9786,0.)); #500932=CARTESIAN_POINT('',(31.937188,23.9786,0.035)); #500933=CARTESIAN_POINT('',(31.937188,23.9786,0.035)); #500934=CARTESIAN_POINT('',(31.937188,23.9786,0.)); #500935=CARTESIAN_POINT('Origin',(31.931644,23.979656,0.)); #500936=CARTESIAN_POINT('',(31.931644,23.979656,0.)); #500937=CARTESIAN_POINT('',(31.931644,23.979656,0.)); #500938=CARTESIAN_POINT('',(31.931644,23.979656,0.035)); #500939=CARTESIAN_POINT('',(31.931644,23.979656,0.035)); #500940=CARTESIAN_POINT('',(31.931644,23.979656,0.)); #500941=CARTESIAN_POINT('Origin',(31.811741,23.978603,0.)); #500942=CARTESIAN_POINT('',(31.811741,23.978603,0.)); #500943=CARTESIAN_POINT('',(31.811741,23.978603,0.035)); #500944=CARTESIAN_POINT('Origin',(33.999986734692,24.9227932678856,0.035)); #500945=CARTESIAN_POINT('Origin',(33.999986734692,24.9227932678856,0.)); #500946=CARTESIAN_POINT('Origin',(30.733003,12.4786,0.)); #500947=CARTESIAN_POINT('',(30.733003,12.4786,0.)); #500948=CARTESIAN_POINT('',(30.762725,12.484634,0.)); #500949=CARTESIAN_POINT('',(30.733003,12.4786,0.)); #500950=CARTESIAN_POINT('',(30.762725,12.484634,0.035)); #500951=CARTESIAN_POINT('',(30.762725,12.484634,0.)); #500952=CARTESIAN_POINT('',(30.733003,12.4786,0.035)); #500953=CARTESIAN_POINT('',(30.733003,12.4786,0.035)); #500954=CARTESIAN_POINT('',(30.733003,12.4786,0.)); #500955=CARTESIAN_POINT('Origin',(30.065591,12.4786,0.)); #500956=CARTESIAN_POINT('',(30.065591,12.4786,0.)); #500957=CARTESIAN_POINT('',(30.065591,12.4786,0.)); #500958=CARTESIAN_POINT('',(30.065591,12.4786,0.035)); #500959=CARTESIAN_POINT('',(30.065591,12.4786,0.035)); #500960=CARTESIAN_POINT('',(30.065591,12.4786,0.)); #500961=CARTESIAN_POINT('Origin',(30.036994,12.484169,0.)); #500962=CARTESIAN_POINT('',(30.036994,12.484169,0.)); #500963=CARTESIAN_POINT('',(30.036994,12.484169,0.)); #500964=CARTESIAN_POINT('',(30.036994,12.484169,0.035)); #500965=CARTESIAN_POINT('',(30.036994,12.484169,0.035)); #500966=CARTESIAN_POINT('',(30.036994,12.484169,0.)); #500967=CARTESIAN_POINT('Origin',(30.011709,12.500919,0.)); #500968=CARTESIAN_POINT('',(30.011709,12.500919,0.)); #500969=CARTESIAN_POINT('',(30.011709,12.500919,0.)); #500970=CARTESIAN_POINT('',(30.011709,12.500919,0.035)); #500971=CARTESIAN_POINT('',(30.011709,12.500919,0.035)); #500972=CARTESIAN_POINT('',(30.011709,12.500919,0.)); #500973=CARTESIAN_POINT('Origin',(26.488919,16.023709,0.)); #500974=CARTESIAN_POINT('',(26.488919,16.023709,0.)); #500975=CARTESIAN_POINT('',(26.488919,16.023709,0.)); #500976=CARTESIAN_POINT('',(26.488919,16.023709,0.035)); #500977=CARTESIAN_POINT('',(26.488919,16.023709,0.035)); #500978=CARTESIAN_POINT('',(26.488919,16.023709,0.)); #500979=CARTESIAN_POINT('Origin',(26.472634,16.047869,0.)); #500980=CARTESIAN_POINT('',(26.472634,16.047869,0.)); #500981=CARTESIAN_POINT('',(26.472634,16.047869,0.)); #500982=CARTESIAN_POINT('',(26.472634,16.047869,0.035)); #500983=CARTESIAN_POINT('',(26.472634,16.047869,0.035)); #500984=CARTESIAN_POINT('',(26.472634,16.047869,0.)); #500985=CARTESIAN_POINT('Origin',(26.4666,16.077591,0.)); #500986=CARTESIAN_POINT('',(26.4666,16.077591,0.)); #500987=CARTESIAN_POINT('',(26.4666,16.077591,0.)); #500988=CARTESIAN_POINT('',(26.4666,16.077591,0.035)); #500989=CARTESIAN_POINT('',(26.4666,16.077591,0.035)); #500990=CARTESIAN_POINT('',(26.4666,16.077591,0.)); #500991=CARTESIAN_POINT('Origin',(26.4666,18.3269,0.)); #500992=CARTESIAN_POINT('',(26.4666,18.3269,0.)); #500993=CARTESIAN_POINT('',(26.4666,18.3269,0.)); #500994=CARTESIAN_POINT('',(26.4666,18.3269,0.035)); #500995=CARTESIAN_POINT('',(26.4666,18.3269,0.035)); #500996=CARTESIAN_POINT('',(26.4666,18.3269,0.)); #500997=CARTESIAN_POINT('Origin',(26.471722,18.354369,0.)); #500998=CARTESIAN_POINT('',(26.471722,18.354369,0.)); #500999=CARTESIAN_POINT('',(26.471722,18.354369,0.)); #501000=CARTESIAN_POINT('',(26.471722,18.354369,0.035)); #501001=CARTESIAN_POINT('',(26.471722,18.354369,0.035)); #501002=CARTESIAN_POINT('',(26.471722,18.354369,0.)); #501003=CARTESIAN_POINT('Origin',(26.488066,18.379916,0.)); #501004=CARTESIAN_POINT('',(26.488066,18.379916,0.)); #501005=CARTESIAN_POINT('',(26.488066,18.379916,0.)); #501006=CARTESIAN_POINT('',(26.488066,18.379916,0.035)); #501007=CARTESIAN_POINT('',(26.488066,18.379916,0.035)); #501008=CARTESIAN_POINT('',(26.488066,18.379916,0.)); #501009=CARTESIAN_POINT('Origin',(26.513078,18.397066,0.)); #501010=CARTESIAN_POINT('',(26.513078,18.397066,0.)); #501011=CARTESIAN_POINT('',(26.513078,18.397066,0.)); #501012=CARTESIAN_POINT('',(26.513078,18.397066,0.035)); #501013=CARTESIAN_POINT('',(26.513078,18.397066,0.035)); #501014=CARTESIAN_POINT('',(26.513078,18.397066,0.)); #501015=CARTESIAN_POINT('Origin',(26.5428,18.4031,0.)); #501016=CARTESIAN_POINT('',(26.5428,18.4031,0.)); #501017=CARTESIAN_POINT('',(26.5428,18.4031,0.)); #501018=CARTESIAN_POINT('',(26.5428,18.4031,0.035)); #501019=CARTESIAN_POINT('',(26.5428,18.4031,0.035)); #501020=CARTESIAN_POINT('',(26.5428,18.4031,0.)); #501021=CARTESIAN_POINT('Origin',(26.6952,18.4031,0.)); #501022=CARTESIAN_POINT('',(26.6952,18.4031,0.)); #501023=CARTESIAN_POINT('',(26.6952,18.4031,0.)); #501024=CARTESIAN_POINT('',(26.6952,18.4031,0.035)); #501025=CARTESIAN_POINT('',(26.6952,18.4031,0.035)); #501026=CARTESIAN_POINT('',(26.6952,18.4031,0.)); #501027=CARTESIAN_POINT('Origin',(26.722669,18.397978,0.)); #501028=CARTESIAN_POINT('',(26.722669,18.397978,0.)); #501029=CARTESIAN_POINT('',(26.722669,18.397978,0.)); #501030=CARTESIAN_POINT('',(26.722669,18.397978,0.035)); #501031=CARTESIAN_POINT('',(26.722669,18.397978,0.035)); #501032=CARTESIAN_POINT('',(26.722669,18.397978,0.)); #501033=CARTESIAN_POINT('Origin',(26.748216,18.381634,0.)); #501034=CARTESIAN_POINT('',(26.748216,18.381634,0.)); #501035=CARTESIAN_POINT('',(26.748216,18.381634,0.)); #501036=CARTESIAN_POINT('',(26.748216,18.381634,0.035)); #501037=CARTESIAN_POINT('',(26.748216,18.381634,0.035)); #501038=CARTESIAN_POINT('',(26.748216,18.381634,0.)); #501039=CARTESIAN_POINT('Origin',(26.765366,18.356622,0.)); #501040=CARTESIAN_POINT('',(26.765366,18.356622,0.)); #501041=CARTESIAN_POINT('',(26.765366,18.356622,0.)); #501042=CARTESIAN_POINT('',(26.765366,18.356622,0.035)); #501043=CARTESIAN_POINT('',(26.765366,18.356622,0.035)); #501044=CARTESIAN_POINT('',(26.765366,18.356622,0.)); #501045=CARTESIAN_POINT('Origin',(26.7714,18.3269,0.)); #501046=CARTESIAN_POINT('',(26.7714,18.3269,0.)); #501047=CARTESIAN_POINT('',(26.7714,18.3269,0.)); #501048=CARTESIAN_POINT('',(26.7714,18.3269,0.035)); #501049=CARTESIAN_POINT('',(26.7714,18.3269,0.035)); #501050=CARTESIAN_POINT('',(26.7714,18.3269,0.)); #501051=CARTESIAN_POINT('Origin',(26.7714,16.155309,0.)); #501052=CARTESIAN_POINT('',(26.7714,16.155309,0.)); #501053=CARTESIAN_POINT('',(26.7714,16.155309,0.)); #501054=CARTESIAN_POINT('',(26.7714,16.155309,0.035)); #501055=CARTESIAN_POINT('',(26.7714,16.155309,0.035)); #501056=CARTESIAN_POINT('',(26.7714,16.155309,0.)); #501057=CARTESIAN_POINT('Origin',(30.155309,12.7714,0.)); #501058=CARTESIAN_POINT('',(30.155309,12.7714,0.)); #501059=CARTESIAN_POINT('',(30.155309,12.7714,0.)); #501060=CARTESIAN_POINT('',(30.155309,12.7714,0.035)); #501061=CARTESIAN_POINT('',(30.155309,12.7714,0.035)); #501062=CARTESIAN_POINT('',(30.155309,12.7714,0.)); #501063=CARTESIAN_POINT('Origin',(30.592603,12.7714,0.)); #501064=CARTESIAN_POINT('',(30.592603,12.7714,0.)); #501065=CARTESIAN_POINT('',(30.592603,12.7714,0.)); #501066=CARTESIAN_POINT('',(30.592603,12.7714,0.035)); #501067=CARTESIAN_POINT('',(30.592603,12.7714,0.035)); #501068=CARTESIAN_POINT('',(30.592603,12.7714,0.)); #501069=CARTESIAN_POINT('Origin',(30.6212,12.765831,0.)); #501070=CARTESIAN_POINT('',(30.6212,12.765831,0.)); #501071=CARTESIAN_POINT('',(30.6212,12.765831,0.)); #501072=CARTESIAN_POINT('',(30.6212,12.765831,0.035)); #501073=CARTESIAN_POINT('',(30.6212,12.765831,0.035)); #501074=CARTESIAN_POINT('',(30.6212,12.765831,0.)); #501075=CARTESIAN_POINT('Origin',(30.646484,12.749081,0.)); #501076=CARTESIAN_POINT('',(30.646484,12.749081,0.)); #501077=CARTESIAN_POINT('',(30.646484,12.749081,0.)); #501078=CARTESIAN_POINT('',(30.646484,12.749081,0.035)); #501079=CARTESIAN_POINT('',(30.646484,12.749081,0.035)); #501080=CARTESIAN_POINT('',(30.646484,12.749081,0.)); #501081=CARTESIAN_POINT('Origin',(30.786884,12.608681,0.)); #501082=CARTESIAN_POINT('',(30.786884,12.608681,0.)); #501083=CARTESIAN_POINT('',(30.786884,12.608681,0.)); #501084=CARTESIAN_POINT('',(30.786884,12.608681,0.035)); #501085=CARTESIAN_POINT('',(30.786884,12.608681,0.035)); #501086=CARTESIAN_POINT('',(30.786884,12.608681,0.)); #501087=CARTESIAN_POINT('Origin',(30.802184,12.586744,0.)); #501088=CARTESIAN_POINT('',(30.802184,12.586744,0.)); #501089=CARTESIAN_POINT('',(30.802184,12.586744,0.)); #501090=CARTESIAN_POINT('',(30.802184,12.586744,0.035)); #501091=CARTESIAN_POINT('',(30.802184,12.586744,0.035)); #501092=CARTESIAN_POINT('',(30.802184,12.586744,0.)); #501093=CARTESIAN_POINT('Origin',(30.809166,12.557228,0.)); #501094=CARTESIAN_POINT('',(30.809166,12.557228,0.)); #501095=CARTESIAN_POINT('',(30.809166,12.557228,0.)); #501096=CARTESIAN_POINT('',(30.809166,12.557228,0.035)); #501097=CARTESIAN_POINT('',(30.809166,12.557228,0.035)); #501098=CARTESIAN_POINT('',(30.809166,12.557228,0.)); #501099=CARTESIAN_POINT('Origin',(30.804081,12.527331,0.)); #501100=CARTESIAN_POINT('',(30.804081,12.527331,0.)); #501101=CARTESIAN_POINT('',(30.804081,12.527331,0.)); #501102=CARTESIAN_POINT('',(30.804081,12.527331,0.035)); #501103=CARTESIAN_POINT('',(30.804081,12.527331,0.035)); #501104=CARTESIAN_POINT('',(30.804081,12.527331,0.)); #501105=CARTESIAN_POINT('Origin',(30.787738,12.501784,0.)); #501106=CARTESIAN_POINT('',(30.787738,12.501784,0.)); #501107=CARTESIAN_POINT('',(30.787738,12.501784,0.)); #501108=CARTESIAN_POINT('',(30.787738,12.501784,0.035)); #501109=CARTESIAN_POINT('',(30.787738,12.501784,0.035)); #501110=CARTESIAN_POINT('',(30.787738,12.501784,0.)); #501111=CARTESIAN_POINT('Origin',(30.762725,12.484634,0.)); #501112=CARTESIAN_POINT('',(30.762725,12.484634,0.)); #501113=CARTESIAN_POINT('',(30.762725,12.484634,0.035)); #501114=CARTESIAN_POINT('Origin',(28.0413110402851,15.0689612612709,0.035)); #501115=CARTESIAN_POINT('Origin',(28.0413110402851,15.0689612612709,0.)); #501116=CARTESIAN_POINT('Origin',(45.125,56.75,-200.)); #501117=CARTESIAN_POINT('',(44.985,56.75,0.)); #501118=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #501119=CARTESIAN_POINT('',(44.985,56.75,0.0349999999999895)); #501120=CARTESIAN_POINT('',(44.985,56.75,-200.)); #501121=CARTESIAN_POINT('Origin',(45.125,56.75,0.0349999999999895)); #501122=CARTESIAN_POINT('Origin',(47.75,56.75,-200.)); #501123=CARTESIAN_POINT('',(47.61,56.75,0.)); #501124=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #501125=CARTESIAN_POINT('',(47.61,56.75,0.0349999999999895)); #501126=CARTESIAN_POINT('',(47.61,56.75,-200.)); #501127=CARTESIAN_POINT('Origin',(47.75,56.75,0.0349999999999895)); #501128=CARTESIAN_POINT('Origin',(47.75,55.25,-200.)); #501129=CARTESIAN_POINT('',(47.61,55.25,0.)); #501130=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #501131=CARTESIAN_POINT('',(47.61,55.25,0.0349999999999895)); #501132=CARTESIAN_POINT('',(47.61,55.25,-200.)); #501133=CARTESIAN_POINT('Origin',(47.75,55.25,0.0349999999999895)); #501134=CARTESIAN_POINT('Origin',(47.75,56.,-200.)); #501135=CARTESIAN_POINT('',(47.61,56.,0.)); #501136=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #501137=CARTESIAN_POINT('',(47.61,56.,0.0349999999999895)); #501138=CARTESIAN_POINT('',(47.61,56.,-200.)); #501139=CARTESIAN_POINT('Origin',(47.75,56.,0.0349999999999895)); #501140=CARTESIAN_POINT('Origin',(46.,56.,-200.)); #501141=CARTESIAN_POINT('',(45.86,56.,0.)); #501142=CARTESIAN_POINT('Origin',(46.,56.,0.)); #501143=CARTESIAN_POINT('',(45.86,56.,0.0349999999999895)); #501144=CARTESIAN_POINT('',(45.86,56.,-200.)); #501145=CARTESIAN_POINT('Origin',(46.,56.,0.0349999999999895)); #501146=CARTESIAN_POINT('Origin',(44.25,56.,-200.)); #501147=CARTESIAN_POINT('',(44.11,56.,0.)); #501148=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #501149=CARTESIAN_POINT('',(44.11,56.,0.0349999999999895)); #501150=CARTESIAN_POINT('',(44.11,56.,-200.)); #501151=CARTESIAN_POINT('Origin',(44.25,56.,0.0349999999999895)); #501152=CARTESIAN_POINT('Origin',(46.,56.75,-200.)); #501153=CARTESIAN_POINT('',(45.86,56.75,0.)); #501154=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #501155=CARTESIAN_POINT('',(45.86,56.75,0.0349999999999895)); #501156=CARTESIAN_POINT('',(45.86,56.75,-200.)); #501157=CARTESIAN_POINT('Origin',(46.,56.75,0.0349999999999895)); #501158=CARTESIAN_POINT('Origin',(46.,55.25,-200.)); #501159=CARTESIAN_POINT('',(45.86,55.25,0.)); #501160=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #501161=CARTESIAN_POINT('',(45.86,55.25,0.0349999999999895)); #501162=CARTESIAN_POINT('',(45.86,55.25,-200.)); #501163=CARTESIAN_POINT('Origin',(46.,55.25,0.0349999999999895)); #501164=CARTESIAN_POINT('Origin',(44.25,56.75,-200.)); #501165=CARTESIAN_POINT('',(44.11,56.75,0.)); #501166=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #501167=CARTESIAN_POINT('',(44.11,56.75,0.0349999999999895)); #501168=CARTESIAN_POINT('',(44.11,56.75,-200.)); #501169=CARTESIAN_POINT('Origin',(44.25,56.75,0.0349999999999895)); #501170=CARTESIAN_POINT('Origin',(44.25,55.25,-200.)); #501171=CARTESIAN_POINT('',(44.11,55.25,0.)); #501172=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #501173=CARTESIAN_POINT('',(44.11,55.25,0.0349999999999895)); #501174=CARTESIAN_POINT('',(44.11,55.25,-200.)); #501175=CARTESIAN_POINT('Origin',(44.25,55.25,0.0349999999999895)); #501176=CARTESIAN_POINT('Origin',(46.875,56.,-200.)); #501177=CARTESIAN_POINT('',(46.735,56.,0.)); #501178=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #501179=CARTESIAN_POINT('',(46.735,56.,0.0349999999999895)); #501180=CARTESIAN_POINT('',(46.735,56.,-200.)); #501181=CARTESIAN_POINT('Origin',(46.875,56.,0.0349999999999895)); #501182=CARTESIAN_POINT('Origin',(46.875,55.25,-200.)); #501183=CARTESIAN_POINT('',(46.735,55.25,0.)); #501184=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #501185=CARTESIAN_POINT('',(46.735,55.25,0.0349999999999895)); #501186=CARTESIAN_POINT('',(46.735,55.25,-200.)); #501187=CARTESIAN_POINT('Origin',(46.875,55.25,0.0349999999999895)); #501188=CARTESIAN_POINT('Origin',(45.125,56.,-200.)); #501189=CARTESIAN_POINT('',(44.985,56.,0.)); #501190=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #501191=CARTESIAN_POINT('',(44.985,56.,0.0349999999999895)); #501192=CARTESIAN_POINT('',(44.985,56.,-200.)); #501193=CARTESIAN_POINT('Origin',(45.125,56.,0.0349999999999895)); #501194=CARTESIAN_POINT('Origin',(45.125,55.25,-200.)); #501195=CARTESIAN_POINT('',(44.985,55.25,0.)); #501196=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #501197=CARTESIAN_POINT('',(44.985,55.25,0.0349999999999895)); #501198=CARTESIAN_POINT('',(44.985,55.25,-200.)); #501199=CARTESIAN_POINT('Origin',(45.125,55.25,0.0349999999999895)); #501200=CARTESIAN_POINT('Origin',(38.512,53.,-200.)); #501201=CARTESIAN_POINT('',(38.372,53.,0.)); #501202=CARTESIAN_POINT('Origin',(38.512,53.,0.)); #501203=CARTESIAN_POINT('',(38.372,53.,0.0349999999999895)); #501204=CARTESIAN_POINT('',(38.372,53.,-200.)); #501205=CARTESIAN_POINT('Origin',(38.512,53.,0.0349999999999895)); #501206=CARTESIAN_POINT('Origin',(46.875,56.75,-200.)); #501207=CARTESIAN_POINT('',(46.735,56.75,0.)); #501208=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #501209=CARTESIAN_POINT('',(46.735,56.75,0.0349999999999895)); #501210=CARTESIAN_POINT('',(46.735,56.75,-200.)); #501211=CARTESIAN_POINT('Origin',(46.875,56.75,0.0349999999999895)); #501212=CARTESIAN_POINT('Origin',(47.3256,49.1016,0.)); #501213=CARTESIAN_POINT('',(47.3256,49.1016,0.)); #501214=CARTESIAN_POINT('',(47.375134,49.111659,0.)); #501215=CARTESIAN_POINT('',(47.3256,49.1016,0.)); #501216=CARTESIAN_POINT('',(47.375134,49.111659,0.035)); #501217=CARTESIAN_POINT('',(47.375134,49.111659,0.)); #501218=CARTESIAN_POINT('',(47.3256,49.1016,0.035)); #501219=CARTESIAN_POINT('',(47.3256,49.1016,0.035)); #501220=CARTESIAN_POINT('',(47.3256,49.1016,0.)); #501221=CARTESIAN_POINT('Origin',(43.127,49.1016,0.)); #501222=CARTESIAN_POINT('',(43.127,49.1016,0.)); #501223=CARTESIAN_POINT('',(43.127,49.1016,0.)); #501224=CARTESIAN_POINT('',(43.127,49.1016,0.035)); #501225=CARTESIAN_POINT('',(43.127,49.1016,0.035)); #501226=CARTESIAN_POINT('',(43.127,49.1016,0.)); #501227=CARTESIAN_POINT('Origin',(43.081219,49.110138,0.)); #501228=CARTESIAN_POINT('',(43.081219,49.110138,0.)); #501229=CARTESIAN_POINT('',(43.081219,49.110138,0.)); #501230=CARTESIAN_POINT('',(43.081219,49.110138,0.035)); #501231=CARTESIAN_POINT('',(43.081219,49.110138,0.035)); #501232=CARTESIAN_POINT('',(43.081219,49.110138,0.)); #501233=CARTESIAN_POINT('Origin',(43.038641,49.137378,0.)); #501234=CARTESIAN_POINT('',(43.038641,49.137378,0.)); #501235=CARTESIAN_POINT('',(43.038641,49.137378,0.)); #501236=CARTESIAN_POINT('',(43.038641,49.137378,0.035)); #501237=CARTESIAN_POINT('',(43.038641,49.137378,0.035)); #501238=CARTESIAN_POINT('',(43.038641,49.137378,0.)); #501239=CARTESIAN_POINT('Origin',(43.010059,49.179066,0.)); #501240=CARTESIAN_POINT('',(43.010059,49.179066,0.)); #501241=CARTESIAN_POINT('',(43.010059,49.179066,0.)); #501242=CARTESIAN_POINT('',(43.010059,49.179066,0.035)); #501243=CARTESIAN_POINT('',(43.010059,49.179066,0.035)); #501244=CARTESIAN_POINT('',(43.010059,49.179066,0.)); #501245=CARTESIAN_POINT('Origin',(43.,49.2286,0.)); #501246=CARTESIAN_POINT('',(43.,49.2286,0.)); #501247=CARTESIAN_POINT('',(43.,49.2286,0.)); #501248=CARTESIAN_POINT('',(43.,49.2286,0.035)); #501249=CARTESIAN_POINT('',(43.,49.2286,0.035)); #501250=CARTESIAN_POINT('',(43.,49.2286,0.)); #501251=CARTESIAN_POINT('Origin',(43.,49.866006,0.)); #501252=CARTESIAN_POINT('',(43.,49.866006,0.)); #501253=CARTESIAN_POINT('',(43.,49.866006,0.)); #501254=CARTESIAN_POINT('',(43.,49.866006,0.035)); #501255=CARTESIAN_POINT('',(43.,49.866006,0.035)); #501256=CARTESIAN_POINT('',(43.,49.866006,0.)); #501257=CARTESIAN_POINT('Origin',(42.998622,49.875663,0.)); #501258=CARTESIAN_POINT('',(42.998622,49.875663,0.)); #501259=CARTESIAN_POINT('',(42.998622,49.875663,0.)); #501260=CARTESIAN_POINT('',(42.998622,49.875663,0.035)); #501261=CARTESIAN_POINT('',(42.998622,49.875663,0.035)); #501262=CARTESIAN_POINT('',(42.998622,49.875663,0.)); #501263=CARTESIAN_POINT('Origin',(42.9949,49.884263,0.)); #501264=CARTESIAN_POINT('',(42.9949,49.884263,0.)); #501265=CARTESIAN_POINT('',(42.9949,49.884263,0.)); #501266=CARTESIAN_POINT('',(42.9949,49.884263,0.035)); #501267=CARTESIAN_POINT('',(42.9949,49.884263,0.035)); #501268=CARTESIAN_POINT('',(42.9949,49.884263,0.)); #501269=CARTESIAN_POINT('Origin',(42.988659,49.894906,0.)); #501270=CARTESIAN_POINT('',(42.988659,49.894906,0.)); #501271=CARTESIAN_POINT('',(42.988659,49.894906,0.)); #501272=CARTESIAN_POINT('',(42.988659,49.894906,0.035)); #501273=CARTESIAN_POINT('',(42.988659,49.894906,0.035)); #501274=CARTESIAN_POINT('',(42.988659,49.894906,0.)); #501275=CARTESIAN_POINT('Origin',(42.988559,49.895403,0.)); #501276=CARTESIAN_POINT('',(42.988559,49.895403,0.)); #501277=CARTESIAN_POINT('',(42.988559,49.895403,0.)); #501278=CARTESIAN_POINT('',(42.988559,49.895403,0.035)); #501279=CARTESIAN_POINT('',(42.988559,49.895403,0.035)); #501280=CARTESIAN_POINT('',(42.988559,49.895403,0.)); #501281=CARTESIAN_POINT('Origin',(41.641172,51.242791,0.)); #501282=CARTESIAN_POINT('',(41.641172,51.242791,0.)); #501283=CARTESIAN_POINT('',(41.641172,51.242791,0.)); #501284=CARTESIAN_POINT('',(41.641172,51.242791,0.035)); #501285=CARTESIAN_POINT('',(41.641172,51.242791,0.035)); #501286=CARTESIAN_POINT('',(41.641172,51.242791,0.)); #501287=CARTESIAN_POINT('Origin',(41.639556,51.243556,0.)); #501288=CARTESIAN_POINT('',(41.639556,51.243556,0.)); #501289=CARTESIAN_POINT('',(41.639556,51.243556,0.)); #501290=CARTESIAN_POINT('',(41.639556,51.243556,0.035)); #501291=CARTESIAN_POINT('',(41.639556,51.243556,0.035)); #501292=CARTESIAN_POINT('',(41.639556,51.243556,0.)); #501293=CARTESIAN_POINT('Origin',(41.639422,51.243219,0.)); #501294=CARTESIAN_POINT('',(41.639422,51.243219,0.)); #501295=CARTESIAN_POINT('',(41.639422,51.243219,0.)); #501296=CARTESIAN_POINT('',(41.639422,51.243219,0.035)); #501297=CARTESIAN_POINT('',(41.639422,51.243219,0.035)); #501298=CARTESIAN_POINT('',(41.639422,51.243219,0.)); #501299=CARTESIAN_POINT('Origin',(41.62475,51.249025,0.)); #501300=CARTESIAN_POINT('',(41.62475,51.249025,0.)); #501301=CARTESIAN_POINT('',(41.62475,51.249025,0.)); #501302=CARTESIAN_POINT('',(41.62475,51.249025,0.035)); #501303=CARTESIAN_POINT('',(41.62475,51.249025,0.035)); #501304=CARTESIAN_POINT('',(41.62475,51.249025,0.)); #501305=CARTESIAN_POINT('Origin',(41.617016,51.25,0.)); #501306=CARTESIAN_POINT('',(41.617016,51.25,0.)); #501307=CARTESIAN_POINT('',(41.617016,51.25,0.)); #501308=CARTESIAN_POINT('',(41.617016,51.25,0.035)); #501309=CARTESIAN_POINT('',(41.617016,51.25,0.035)); #501310=CARTESIAN_POINT('',(41.617016,51.25,0.)); #501311=CARTESIAN_POINT('Origin',(37.802606,51.25,0.)); #501312=CARTESIAN_POINT('',(37.802606,51.25,0.)); #501313=CARTESIAN_POINT('',(37.802606,51.25,0.)); #501314=CARTESIAN_POINT('',(37.802606,51.25,0.035)); #501315=CARTESIAN_POINT('',(37.802606,51.25,0.035)); #501316=CARTESIAN_POINT('',(37.802606,51.25,0.)); #501317=CARTESIAN_POINT('Origin',(37.754944,51.259284,0.)); #501318=CARTESIAN_POINT('',(37.754944,51.259284,0.)); #501319=CARTESIAN_POINT('',(37.754944,51.259284,0.)); #501320=CARTESIAN_POINT('',(37.754944,51.259284,0.035)); #501321=CARTESIAN_POINT('',(37.754944,51.259284,0.035)); #501322=CARTESIAN_POINT('',(37.754944,51.259284,0.)); #501323=CARTESIAN_POINT('Origin',(37.712803,51.287197,0.)); #501324=CARTESIAN_POINT('',(37.712803,51.287197,0.)); #501325=CARTESIAN_POINT('',(37.712803,51.287197,0.)); #501326=CARTESIAN_POINT('',(37.712803,51.287197,0.035)); #501327=CARTESIAN_POINT('',(37.712803,51.287197,0.035)); #501328=CARTESIAN_POINT('',(37.712803,51.287197,0.)); #501329=CARTESIAN_POINT('Origin',(37.037197,51.962803,0.)); #501330=CARTESIAN_POINT('',(37.037197,51.962803,0.)); #501331=CARTESIAN_POINT('',(37.037197,51.962803,0.)); #501332=CARTESIAN_POINT('',(37.037197,51.962803,0.035)); #501333=CARTESIAN_POINT('',(37.037197,51.962803,0.035)); #501334=CARTESIAN_POINT('',(37.037197,51.962803,0.)); #501335=CARTESIAN_POINT('Origin',(37.010059,52.003072,0.)); #501336=CARTESIAN_POINT('',(37.010059,52.003072,0.)); #501337=CARTESIAN_POINT('',(37.010059,52.003072,0.)); #501338=CARTESIAN_POINT('',(37.010059,52.003072,0.035)); #501339=CARTESIAN_POINT('',(37.010059,52.003072,0.035)); #501340=CARTESIAN_POINT('',(37.010059,52.003072,0.)); #501341=CARTESIAN_POINT('Origin',(37.,52.052606,0.)); #501342=CARTESIAN_POINT('',(37.,52.052606,0.)); #501343=CARTESIAN_POINT('',(37.,52.052606,0.)); #501344=CARTESIAN_POINT('',(37.,52.052606,0.035)); #501345=CARTESIAN_POINT('',(37.,52.052606,0.035)); #501346=CARTESIAN_POINT('',(37.,52.052606,0.)); #501347=CARTESIAN_POINT('Origin',(37.,57.947394,0.)); #501348=CARTESIAN_POINT('',(37.,57.947394,0.)); #501349=CARTESIAN_POINT('',(37.,57.947394,0.)); #501350=CARTESIAN_POINT('',(37.,57.947394,0.035)); #501351=CARTESIAN_POINT('',(37.,57.947394,0.035)); #501352=CARTESIAN_POINT('',(37.,57.947394,0.)); #501353=CARTESIAN_POINT('Origin',(37.009284,57.995056,0.)); #501354=CARTESIAN_POINT('',(37.009284,57.995056,0.)); #501355=CARTESIAN_POINT('',(37.009284,57.995056,0.)); #501356=CARTESIAN_POINT('',(37.009284,57.995056,0.035)); #501357=CARTESIAN_POINT('',(37.009284,57.995056,0.035)); #501358=CARTESIAN_POINT('',(37.009284,57.995056,0.)); #501359=CARTESIAN_POINT('Origin',(37.037197,58.037197,0.)); #501360=CARTESIAN_POINT('',(37.037197,58.037197,0.)); #501361=CARTESIAN_POINT('',(37.037197,58.037197,0.)); #501362=CARTESIAN_POINT('',(37.037197,58.037197,0.035)); #501363=CARTESIAN_POINT('',(37.037197,58.037197,0.035)); #501364=CARTESIAN_POINT('',(37.037197,58.037197,0.)); #501365=CARTESIAN_POINT('Origin',(37.712803,58.712803,0.)); #501366=CARTESIAN_POINT('',(37.712803,58.712803,0.)); #501367=CARTESIAN_POINT('',(37.712803,58.712803,0.)); #501368=CARTESIAN_POINT('',(37.712803,58.712803,0.035)); #501369=CARTESIAN_POINT('',(37.712803,58.712803,0.035)); #501370=CARTESIAN_POINT('',(37.712803,58.712803,0.)); #501371=CARTESIAN_POINT('Origin',(37.753072,58.739941,0.)); #501372=CARTESIAN_POINT('',(37.753072,58.739941,0.)); #501373=CARTESIAN_POINT('',(37.753072,58.739941,0.)); #501374=CARTESIAN_POINT('',(37.753072,58.739941,0.035)); #501375=CARTESIAN_POINT('',(37.753072,58.739941,0.035)); #501376=CARTESIAN_POINT('',(37.753072,58.739941,0.)); #501377=CARTESIAN_POINT('Origin',(37.802606,58.75,0.)); #501378=CARTESIAN_POINT('',(37.802606,58.75,0.)); #501379=CARTESIAN_POINT('',(37.802606,58.75,0.)); #501380=CARTESIAN_POINT('',(37.802606,58.75,0.035)); #501381=CARTESIAN_POINT('',(37.802606,58.75,0.035)); #501382=CARTESIAN_POINT('',(37.802606,58.75,0.)); #501383=CARTESIAN_POINT('Origin',(42.697394,58.75,0.)); #501384=CARTESIAN_POINT('',(42.697394,58.75,0.)); #501385=CARTESIAN_POINT('',(42.697394,58.75,0.)); #501386=CARTESIAN_POINT('',(42.697394,58.75,0.035)); #501387=CARTESIAN_POINT('',(42.697394,58.75,0.035)); #501388=CARTESIAN_POINT('',(42.697394,58.75,0.)); #501389=CARTESIAN_POINT('Origin',(42.745056,58.740716,0.)); #501390=CARTESIAN_POINT('',(42.745056,58.740716,0.)); #501391=CARTESIAN_POINT('',(42.745056,58.740716,0.)); #501392=CARTESIAN_POINT('',(42.745056,58.740716,0.035)); #501393=CARTESIAN_POINT('',(42.745056,58.740716,0.035)); #501394=CARTESIAN_POINT('',(42.745056,58.740716,0.)); #501395=CARTESIAN_POINT('Origin',(42.787197,58.712803,0.)); #501396=CARTESIAN_POINT('',(42.787197,58.712803,0.)); #501397=CARTESIAN_POINT('',(42.787197,58.712803,0.)); #501398=CARTESIAN_POINT('',(42.787197,58.712803,0.035)); #501399=CARTESIAN_POINT('',(42.787197,58.712803,0.035)); #501400=CARTESIAN_POINT('',(42.787197,58.712803,0.)); #501401=CARTESIAN_POINT('Origin',(43.493634,58.006366,0.)); #501402=CARTESIAN_POINT('',(43.493634,58.006366,0.)); #501403=CARTESIAN_POINT('',(43.493634,58.006366,0.)); #501404=CARTESIAN_POINT('',(43.493634,58.006366,0.035)); #501405=CARTESIAN_POINT('',(43.493634,58.006366,0.035)); #501406=CARTESIAN_POINT('',(43.493634,58.006366,0.)); #501407=CARTESIAN_POINT('Origin',(43.500928,58.000891,0.)); #501408=CARTESIAN_POINT('',(43.500928,58.000891,0.)); #501409=CARTESIAN_POINT('',(43.500928,58.000891,0.)); #501410=CARTESIAN_POINT('',(43.500928,58.000891,0.035)); #501411=CARTESIAN_POINT('',(43.500928,58.000891,0.035)); #501412=CARTESIAN_POINT('',(43.500928,58.000891,0.)); #501413=CARTESIAN_POINT('Origin',(43.507984,58.,0.)); #501414=CARTESIAN_POINT('',(43.507984,58.,0.)); #501415=CARTESIAN_POINT('',(43.507984,58.,0.)); #501416=CARTESIAN_POINT('',(43.507984,58.,0.035)); #501417=CARTESIAN_POINT('',(43.507984,58.,0.035)); #501418=CARTESIAN_POINT('',(43.507984,58.,0.)); #501419=CARTESIAN_POINT('Origin',(43.676869,58.,0.)); #501420=CARTESIAN_POINT('',(43.676869,58.,0.)); #501421=CARTESIAN_POINT('',(43.676869,58.,0.)); #501422=CARTESIAN_POINT('',(43.676869,58.,0.035)); #501423=CARTESIAN_POINT('',(43.676869,58.,0.035)); #501424=CARTESIAN_POINT('',(43.676869,58.,0.)); #501425=CARTESIAN_POINT('Origin',(43.689191,57.9976,0.)); #501426=CARTESIAN_POINT('',(43.689191,57.9976,0.)); #501427=CARTESIAN_POINT('',(43.689191,57.9976,0.)); #501428=CARTESIAN_POINT('',(43.689191,57.9976,0.035)); #501429=CARTESIAN_POINT('',(43.689191,57.9976,0.035)); #501430=CARTESIAN_POINT('',(43.689191,57.9976,0.)); #501431=CARTESIAN_POINT('Origin',(44.501313,57.9976,0.)); #501432=CARTESIAN_POINT('',(44.501313,57.9976,0.)); #501433=CARTESIAN_POINT('',(44.501313,57.9976,0.)); #501434=CARTESIAN_POINT('',(44.501313,57.9976,0.035)); #501435=CARTESIAN_POINT('',(44.501313,57.9976,0.035)); #501436=CARTESIAN_POINT('',(44.501313,57.9976,0.)); #501437=CARTESIAN_POINT('Origin',(44.513131,58.,0.)); #501438=CARTESIAN_POINT('',(44.513131,58.,0.)); #501439=CARTESIAN_POINT('',(44.513131,58.,0.)); #501440=CARTESIAN_POINT('',(44.513131,58.,0.035)); #501441=CARTESIAN_POINT('',(44.513131,58.,0.035)); #501442=CARTESIAN_POINT('',(44.513131,58.,0.)); #501443=CARTESIAN_POINT('Origin',(44.946869,58.,0.)); #501444=CARTESIAN_POINT('',(44.946869,58.,0.)); #501445=CARTESIAN_POINT('',(44.946869,58.,0.)); #501446=CARTESIAN_POINT('',(44.946869,58.,0.035)); #501447=CARTESIAN_POINT('',(44.946869,58.,0.035)); #501448=CARTESIAN_POINT('',(44.946869,58.,0.)); #501449=CARTESIAN_POINT('Origin',(44.959191,57.9976,0.)); #501450=CARTESIAN_POINT('',(44.959191,57.9976,0.)); #501451=CARTESIAN_POINT('',(44.959191,57.9976,0.)); #501452=CARTESIAN_POINT('',(44.959191,57.9976,0.035)); #501453=CARTESIAN_POINT('',(44.959191,57.9976,0.035)); #501454=CARTESIAN_POINT('',(44.959191,57.9976,0.)); #501455=CARTESIAN_POINT('Origin',(45.771313,57.9976,0.)); #501456=CARTESIAN_POINT('',(45.771313,57.9976,0.)); #501457=CARTESIAN_POINT('',(45.771313,57.9976,0.)); #501458=CARTESIAN_POINT('',(45.771313,57.9976,0.035)); #501459=CARTESIAN_POINT('',(45.771313,57.9976,0.035)); #501460=CARTESIAN_POINT('',(45.771313,57.9976,0.)); #501461=CARTESIAN_POINT('Origin',(45.783131,58.,0.)); #501462=CARTESIAN_POINT('',(45.783131,58.,0.)); #501463=CARTESIAN_POINT('',(45.783131,58.,0.)); #501464=CARTESIAN_POINT('',(45.783131,58.,0.035)); #501465=CARTESIAN_POINT('',(45.783131,58.,0.035)); #501466=CARTESIAN_POINT('',(45.783131,58.,0.)); #501467=CARTESIAN_POINT('Origin',(46.216869,58.,0.)); #501468=CARTESIAN_POINT('',(46.216869,58.,0.)); #501469=CARTESIAN_POINT('',(46.216869,58.,0.)); #501470=CARTESIAN_POINT('',(46.216869,58.,0.035)); #501471=CARTESIAN_POINT('',(46.216869,58.,0.035)); #501472=CARTESIAN_POINT('',(46.216869,58.,0.)); #501473=CARTESIAN_POINT('Origin',(46.229191,57.9976,0.)); #501474=CARTESIAN_POINT('',(46.229191,57.9976,0.)); #501475=CARTESIAN_POINT('',(46.229191,57.9976,0.)); #501476=CARTESIAN_POINT('',(46.229191,57.9976,0.035)); #501477=CARTESIAN_POINT('',(46.229191,57.9976,0.035)); #501478=CARTESIAN_POINT('',(46.229191,57.9976,0.)); #501479=CARTESIAN_POINT('Origin',(47.041313,57.9976,0.)); #501480=CARTESIAN_POINT('',(47.041313,57.9976,0.)); #501481=CARTESIAN_POINT('',(47.041313,57.9976,0.)); #501482=CARTESIAN_POINT('',(47.041313,57.9976,0.035)); #501483=CARTESIAN_POINT('',(47.041313,57.9976,0.035)); #501484=CARTESIAN_POINT('',(47.041313,57.9976,0.)); #501485=CARTESIAN_POINT('Origin',(47.053131,58.,0.)); #501486=CARTESIAN_POINT('',(47.053131,58.,0.)); #501487=CARTESIAN_POINT('',(47.053131,58.,0.)); #501488=CARTESIAN_POINT('',(47.053131,58.,0.035)); #501489=CARTESIAN_POINT('',(47.053131,58.,0.035)); #501490=CARTESIAN_POINT('',(47.053131,58.,0.)); #501491=CARTESIAN_POINT('Origin',(47.486869,58.,0.)); #501492=CARTESIAN_POINT('',(47.486869,58.,0.)); #501493=CARTESIAN_POINT('',(47.486869,58.,0.)); #501494=CARTESIAN_POINT('',(47.486869,58.,0.035)); #501495=CARTESIAN_POINT('',(47.486869,58.,0.035)); #501496=CARTESIAN_POINT('',(47.486869,58.,0.)); #501497=CARTESIAN_POINT('Origin',(47.499191,57.9976,0.)); #501498=CARTESIAN_POINT('',(47.499191,57.9976,0.)); #501499=CARTESIAN_POINT('',(47.499191,57.9976,0.)); #501500=CARTESIAN_POINT('',(47.499191,57.9976,0.035)); #501501=CARTESIAN_POINT('',(47.499191,57.9976,0.035)); #501502=CARTESIAN_POINT('',(47.499191,57.9976,0.)); #501503=CARTESIAN_POINT('Origin',(48.311312,57.9976,0.)); #501504=CARTESIAN_POINT('',(48.311312,57.9976,0.)); #501505=CARTESIAN_POINT('',(48.311312,57.9976,0.)); #501506=CARTESIAN_POINT('',(48.311312,57.9976,0.035)); #501507=CARTESIAN_POINT('',(48.311312,57.9976,0.035)); #501508=CARTESIAN_POINT('',(48.311312,57.9976,0.)); #501509=CARTESIAN_POINT('Origin',(48.323131,58.,0.)); #501510=CARTESIAN_POINT('',(48.323131,58.,0.)); #501511=CARTESIAN_POINT('',(48.323131,58.,0.)); #501512=CARTESIAN_POINT('',(48.323131,58.,0.035)); #501513=CARTESIAN_POINT('',(48.323131,58.,0.035)); #501514=CARTESIAN_POINT('',(48.323131,58.,0.)); #501515=CARTESIAN_POINT('Origin',(48.572394,58.,0.)); #501516=CARTESIAN_POINT('',(48.572394,58.,0.)); #501517=CARTESIAN_POINT('',(48.572394,58.,0.)); #501518=CARTESIAN_POINT('',(48.572394,58.,0.035)); #501519=CARTESIAN_POINT('',(48.572394,58.,0.035)); #501520=CARTESIAN_POINT('',(48.572394,58.,0.)); #501521=CARTESIAN_POINT('Origin',(48.620056,57.990716,0.)); #501522=CARTESIAN_POINT('',(48.620056,57.990716,0.)); #501523=CARTESIAN_POINT('',(48.620056,57.990716,0.)); #501524=CARTESIAN_POINT('',(48.620056,57.990716,0.035)); #501525=CARTESIAN_POINT('',(48.620056,57.990716,0.035)); #501526=CARTESIAN_POINT('',(48.620056,57.990716,0.)); #501527=CARTESIAN_POINT('Origin',(48.662197,57.962803,0.)); #501528=CARTESIAN_POINT('',(48.662197,57.962803,0.)); #501529=CARTESIAN_POINT('',(48.662197,57.962803,0.)); #501530=CARTESIAN_POINT('',(48.662197,57.962803,0.035)); #501531=CARTESIAN_POINT('',(48.662197,57.962803,0.035)); #501532=CARTESIAN_POINT('',(48.662197,57.962803,0.)); #501533=CARTESIAN_POINT('Origin',(49.587803,57.037197,0.)); #501534=CARTESIAN_POINT('',(49.587803,57.037197,0.)); #501535=CARTESIAN_POINT('',(49.587803,57.037197,0.)); #501536=CARTESIAN_POINT('',(49.587803,57.037197,0.035)); #501537=CARTESIAN_POINT('',(49.587803,57.037197,0.035)); #501538=CARTESIAN_POINT('',(49.587803,57.037197,0.)); #501539=CARTESIAN_POINT('Origin',(49.614941,56.996928,0.)); #501540=CARTESIAN_POINT('',(49.614941,56.996928,0.)); #501541=CARTESIAN_POINT('',(49.614941,56.996928,0.)); #501542=CARTESIAN_POINT('',(49.614941,56.996928,0.035)); #501543=CARTESIAN_POINT('',(49.614941,56.996928,0.035)); #501544=CARTESIAN_POINT('',(49.614941,56.996928,0.)); #501545=CARTESIAN_POINT('Origin',(49.625,56.947394,0.)); #501546=CARTESIAN_POINT('',(49.625,56.947394,0.)); #501547=CARTESIAN_POINT('',(49.625,56.947394,0.)); #501548=CARTESIAN_POINT('',(49.625,56.947394,0.035)); #501549=CARTESIAN_POINT('',(49.625,56.947394,0.035)); #501550=CARTESIAN_POINT('',(49.625,56.947394,0.)); #501551=CARTESIAN_POINT('Origin',(49.625,49.2286,0.)); #501552=CARTESIAN_POINT('',(49.625,49.2286,0.)); #501553=CARTESIAN_POINT('',(49.625,49.2286,0.)); #501554=CARTESIAN_POINT('',(49.625,49.2286,0.035)); #501555=CARTESIAN_POINT('',(49.625,49.2286,0.035)); #501556=CARTESIAN_POINT('',(49.625,49.2286,0.)); #501557=CARTESIAN_POINT('Origin',(49.616463,49.182819,0.)); #501558=CARTESIAN_POINT('',(49.616463,49.182819,0.)); #501559=CARTESIAN_POINT('',(49.616463,49.182819,0.)); #501560=CARTESIAN_POINT('',(49.616463,49.182819,0.035)); #501561=CARTESIAN_POINT('',(49.616463,49.182819,0.035)); #501562=CARTESIAN_POINT('',(49.616463,49.182819,0.)); #501563=CARTESIAN_POINT('Origin',(49.589222,49.140241,0.)); #501564=CARTESIAN_POINT('',(49.589222,49.140241,0.)); #501565=CARTESIAN_POINT('',(49.589222,49.140241,0.)); #501566=CARTESIAN_POINT('',(49.589222,49.140241,0.035)); #501567=CARTESIAN_POINT('',(49.589222,49.140241,0.035)); #501568=CARTESIAN_POINT('',(49.589222,49.140241,0.)); #501569=CARTESIAN_POINT('Origin',(49.547534,49.111659,0.)); #501570=CARTESIAN_POINT('',(49.547534,49.111659,0.)); #501571=CARTESIAN_POINT('',(49.547534,49.111659,0.)); #501572=CARTESIAN_POINT('',(49.547534,49.111659,0.035)); #501573=CARTESIAN_POINT('',(49.547534,49.111659,0.035)); #501574=CARTESIAN_POINT('',(49.547534,49.111659,0.)); #501575=CARTESIAN_POINT('Origin',(49.498,49.1016,0.)); #501576=CARTESIAN_POINT('',(49.498,49.1016,0.)); #501577=CARTESIAN_POINT('',(49.498,49.1016,0.)); #501578=CARTESIAN_POINT('',(49.498,49.1016,0.035)); #501579=CARTESIAN_POINT('',(49.498,49.1016,0.035)); #501580=CARTESIAN_POINT('',(49.498,49.1016,0.)); #501581=CARTESIAN_POINT('Origin',(48.4844,49.1016,0.)); #501582=CARTESIAN_POINT('',(48.4844,49.1016,0.)); #501583=CARTESIAN_POINT('',(48.4844,49.1016,0.)); #501584=CARTESIAN_POINT('',(48.4844,49.1016,0.035)); #501585=CARTESIAN_POINT('',(48.4844,49.1016,0.035)); #501586=CARTESIAN_POINT('',(48.4844,49.1016,0.)); #501587=CARTESIAN_POINT('Origin',(48.438619,49.110138,0.)); #501588=CARTESIAN_POINT('',(48.438619,49.110138,0.)); #501589=CARTESIAN_POINT('',(48.438619,49.110138,0.)); #501590=CARTESIAN_POINT('',(48.438619,49.110138,0.035)); #501591=CARTESIAN_POINT('',(48.438619,49.110138,0.035)); #501592=CARTESIAN_POINT('',(48.438619,49.110138,0.)); #501593=CARTESIAN_POINT('Origin',(48.396041,49.137378,0.)); #501594=CARTESIAN_POINT('',(48.396041,49.137378,0.)); #501595=CARTESIAN_POINT('',(48.396041,49.137378,0.)); #501596=CARTESIAN_POINT('',(48.396041,49.137378,0.035)); #501597=CARTESIAN_POINT('',(48.396041,49.137378,0.035)); #501598=CARTESIAN_POINT('',(48.396041,49.137378,0.)); #501599=CARTESIAN_POINT('Origin',(48.367459,49.179066,0.)); #501600=CARTESIAN_POINT('',(48.367459,49.179066,0.)); #501601=CARTESIAN_POINT('',(48.367459,49.179066,0.)); #501602=CARTESIAN_POINT('',(48.367459,49.179066,0.035)); #501603=CARTESIAN_POINT('',(48.367459,49.179066,0.035)); #501604=CARTESIAN_POINT('',(48.367459,49.179066,0.)); #501605=CARTESIAN_POINT('Origin',(48.3574,49.2286,0.)); #501606=CARTESIAN_POINT('',(48.3574,49.2286,0.)); #501607=CARTESIAN_POINT('',(48.3574,49.2286,0.)); #501608=CARTESIAN_POINT('',(48.3574,49.2286,0.035)); #501609=CARTESIAN_POINT('',(48.3574,49.2286,0.035)); #501610=CARTESIAN_POINT('',(48.3574,49.2286,0.)); #501611=CARTESIAN_POINT('Origin',(48.3574,50.413125,0.)); #501612=CARTESIAN_POINT('',(48.3574,50.413125,0.)); #501613=CARTESIAN_POINT('',(48.3574,50.413125,0.)); #501614=CARTESIAN_POINT('',(48.3574,50.413125,0.035)); #501615=CARTESIAN_POINT('',(48.3574,50.413125,0.035)); #501616=CARTESIAN_POINT('',(48.3574,50.413125,0.)); #501617=CARTESIAN_POINT('Origin',(48.268125,50.5024,0.)); #501618=CARTESIAN_POINT('',(48.268125,50.5024,0.)); #501619=CARTESIAN_POINT('',(48.268125,50.5024,0.)); #501620=CARTESIAN_POINT('',(48.268125,50.5024,0.035)); #501621=CARTESIAN_POINT('',(48.268125,50.5024,0.035)); #501622=CARTESIAN_POINT('',(48.268125,50.5024,0.)); #501623=CARTESIAN_POINT('Origin',(47.541875,50.5024,0.)); #501624=CARTESIAN_POINT('',(47.541875,50.5024,0.)); #501625=CARTESIAN_POINT('',(47.541875,50.5024,0.)); #501626=CARTESIAN_POINT('',(47.541875,50.5024,0.035)); #501627=CARTESIAN_POINT('',(47.541875,50.5024,0.035)); #501628=CARTESIAN_POINT('',(47.541875,50.5024,0.)); #501629=CARTESIAN_POINT('Origin',(47.4526,50.413125,0.)); #501630=CARTESIAN_POINT('',(47.4526,50.413125,0.)); #501631=CARTESIAN_POINT('',(47.4526,50.413125,0.)); #501632=CARTESIAN_POINT('',(47.4526,50.413125,0.035)); #501633=CARTESIAN_POINT('',(47.4526,50.413125,0.035)); #501634=CARTESIAN_POINT('',(47.4526,50.413125,0.)); #501635=CARTESIAN_POINT('Origin',(47.4526,49.2286,0.)); #501636=CARTESIAN_POINT('',(47.4526,49.2286,0.)); #501637=CARTESIAN_POINT('',(47.4526,49.2286,0.)); #501638=CARTESIAN_POINT('',(47.4526,49.2286,0.035)); #501639=CARTESIAN_POINT('',(47.4526,49.2286,0.035)); #501640=CARTESIAN_POINT('',(47.4526,49.2286,0.)); #501641=CARTESIAN_POINT('Origin',(47.444063,49.182819,0.)); #501642=CARTESIAN_POINT('',(47.444063,49.182819,0.)); #501643=CARTESIAN_POINT('',(47.444063,49.182819,0.)); #501644=CARTESIAN_POINT('',(47.444063,49.182819,0.035)); #501645=CARTESIAN_POINT('',(47.444063,49.182819,0.035)); #501646=CARTESIAN_POINT('',(47.444063,49.182819,0.)); #501647=CARTESIAN_POINT('Origin',(47.416822,49.140241,0.)); #501648=CARTESIAN_POINT('',(47.416822,49.140241,0.)); #501649=CARTESIAN_POINT('',(47.416822,49.140241,0.)); #501650=CARTESIAN_POINT('',(47.416822,49.140241,0.035)); #501651=CARTESIAN_POINT('',(47.416822,49.140241,0.035)); #501652=CARTESIAN_POINT('',(47.416822,49.140241,0.)); #501653=CARTESIAN_POINT('Origin',(47.375134,49.111659,0.)); #501654=CARTESIAN_POINT('',(47.375134,49.111659,0.)); #501655=CARTESIAN_POINT('',(47.375134,49.111659,0.035)); #501656=CARTESIAN_POINT('Origin',(43.7972549961377,53.8204819105947,0.035)); #501657=CARTESIAN_POINT('Origin',(43.7972549961377,53.8204819105947,0.)); #501658=CARTESIAN_POINT('Origin',(23.75,56.,-200.)); #501659=CARTESIAN_POINT('',(23.61,56.,0.)); #501660=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #501661=CARTESIAN_POINT('',(23.61,56.,0.0349999999999895)); #501662=CARTESIAN_POINT('',(23.61,56.,-200.)); #501663=CARTESIAN_POINT('Origin',(23.75,56.,0.0349999999999895)); #501664=CARTESIAN_POINT('Origin',(23.75,55.25,-200.)); #501665=CARTESIAN_POINT('',(23.61,55.25,0.)); #501666=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #501667=CARTESIAN_POINT('',(23.61,55.25,0.0349999999999895)); #501668=CARTESIAN_POINT('',(23.61,55.25,-200.)); #501669=CARTESIAN_POINT('Origin',(23.75,55.25,0.0349999999999895)); #501670=CARTESIAN_POINT('Origin',(22.,56.75,-200.)); #501671=CARTESIAN_POINT('',(21.86,56.75,0.)); #501672=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #501673=CARTESIAN_POINT('',(21.86,56.75,0.0349999999999895)); #501674=CARTESIAN_POINT('',(21.86,56.75,-200.)); #501675=CARTESIAN_POINT('Origin',(22.,56.75,0.0349999999999895)); #501676=CARTESIAN_POINT('Origin',(22.,55.25,-200.)); #501677=CARTESIAN_POINT('',(21.86,55.25,0.)); #501678=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #501679=CARTESIAN_POINT('',(21.86,55.25,0.0349999999999895)); #501680=CARTESIAN_POINT('',(21.86,55.25,-200.)); #501681=CARTESIAN_POINT('Origin',(22.,55.25,0.0349999999999895)); #501682=CARTESIAN_POINT('Origin',(20.25,55.25,-200.)); #501683=CARTESIAN_POINT('',(20.11,55.25,0.)); #501684=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #501685=CARTESIAN_POINT('',(20.11,55.25,0.0349999999999895)); #501686=CARTESIAN_POINT('',(20.11,55.25,-200.)); #501687=CARTESIAN_POINT('Origin',(20.25,55.25,0.0349999999999895)); #501688=CARTESIAN_POINT('Origin',(20.25,56.75,-200.)); #501689=CARTESIAN_POINT('',(20.11,56.75,0.)); #501690=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #501691=CARTESIAN_POINT('',(20.11,56.75,0.0349999999999895)); #501692=CARTESIAN_POINT('',(20.11,56.75,-200.)); #501693=CARTESIAN_POINT('Origin',(20.25,56.75,0.0349999999999895)); #501694=CARTESIAN_POINT('Origin',(20.25,56.,-200.)); #501695=CARTESIAN_POINT('',(20.11,56.,0.)); #501696=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #501697=CARTESIAN_POINT('',(20.11,56.,0.0349999999999895)); #501698=CARTESIAN_POINT('',(20.11,56.,-200.)); #501699=CARTESIAN_POINT('Origin',(20.25,56.,0.0349999999999895)); #501700=CARTESIAN_POINT('Origin',(22.,56.,-200.)); #501701=CARTESIAN_POINT('',(21.86,56.,0.)); #501702=CARTESIAN_POINT('Origin',(22.,56.,0.)); #501703=CARTESIAN_POINT('',(21.86,56.,0.0349999999999895)); #501704=CARTESIAN_POINT('',(21.86,56.,-200.)); #501705=CARTESIAN_POINT('Origin',(22.,56.,0.0349999999999895)); #501706=CARTESIAN_POINT('Origin',(29.488,53.,-200.)); #501707=CARTESIAN_POINT('',(29.348,53.,0.)); #501708=CARTESIAN_POINT('Origin',(29.488,53.,0.)); #501709=CARTESIAN_POINT('',(29.348,53.,0.0349999999999895)); #501710=CARTESIAN_POINT('',(29.348,53.,-200.)); #501711=CARTESIAN_POINT('Origin',(29.488,53.,0.0349999999999895)); #501712=CARTESIAN_POINT('Origin',(21.125,56.75,-200.)); #501713=CARTESIAN_POINT('',(20.985,56.75,0.)); #501714=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #501715=CARTESIAN_POINT('',(20.985,56.75,0.0349999999999895)); #501716=CARTESIAN_POINT('',(20.985,56.75,-200.)); #501717=CARTESIAN_POINT('Origin',(21.125,56.75,0.0349999999999895)); #501718=CARTESIAN_POINT('Origin',(21.125,55.25,-200.)); #501719=CARTESIAN_POINT('',(20.985,55.25,0.)); #501720=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #501721=CARTESIAN_POINT('',(20.985,55.25,0.0349999999999895)); #501722=CARTESIAN_POINT('',(20.985,55.25,-200.)); #501723=CARTESIAN_POINT('Origin',(21.125,55.25,0.0349999999999895)); #501724=CARTESIAN_POINT('Origin',(21.125,56.,-200.)); #501725=CARTESIAN_POINT('',(20.985,56.,0.)); #501726=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #501727=CARTESIAN_POINT('',(20.985,56.,0.0349999999999895)); #501728=CARTESIAN_POINT('',(20.985,56.,-200.)); #501729=CARTESIAN_POINT('Origin',(21.125,56.,0.0349999999999895)); #501730=CARTESIAN_POINT('Origin',(22.875,56.75,-200.)); #501731=CARTESIAN_POINT('',(22.735,56.75,0.)); #501732=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #501733=CARTESIAN_POINT('',(22.735,56.75,0.0349999999999895)); #501734=CARTESIAN_POINT('',(22.735,56.75,-200.)); #501735=CARTESIAN_POINT('Origin',(22.875,56.75,0.0349999999999895)); #501736=CARTESIAN_POINT('Origin',(23.75,56.75,-200.)); #501737=CARTESIAN_POINT('',(23.61,56.75,0.)); #501738=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #501739=CARTESIAN_POINT('',(23.61,56.75,0.0349999999999895)); #501740=CARTESIAN_POINT('',(23.61,56.75,-200.)); #501741=CARTESIAN_POINT('Origin',(23.75,56.75,0.0349999999999895)); #501742=CARTESIAN_POINT('Origin',(22.875,56.,-200.)); #501743=CARTESIAN_POINT('',(22.735,56.,0.)); #501744=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #501745=CARTESIAN_POINT('',(22.735,56.,0.0349999999999895)); #501746=CARTESIAN_POINT('',(22.735,56.,-200.)); #501747=CARTESIAN_POINT('Origin',(22.875,56.,0.0349999999999895)); #501748=CARTESIAN_POINT('Origin',(22.875,55.25,-200.)); #501749=CARTESIAN_POINT('',(22.735,55.25,0.)); #501750=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #501751=CARTESIAN_POINT('',(22.735,55.25,0.0349999999999895)); #501752=CARTESIAN_POINT('',(22.735,55.25,-200.)); #501753=CARTESIAN_POINT('Origin',(22.875,55.25,0.0349999999999895)); #501754=CARTESIAN_POINT('Origin',(23.3256,49.1016,0.)); #501755=CARTESIAN_POINT('',(23.3256,49.1016,0.)); #501756=CARTESIAN_POINT('',(23.375134,49.111659,0.)); #501757=CARTESIAN_POINT('',(23.3256,49.1016,0.)); #501758=CARTESIAN_POINT('',(23.375134,49.111659,0.035)); #501759=CARTESIAN_POINT('',(23.375134,49.111659,0.)); #501760=CARTESIAN_POINT('',(23.3256,49.1016,0.035)); #501761=CARTESIAN_POINT('',(23.3256,49.1016,0.035)); #501762=CARTESIAN_POINT('',(23.3256,49.1016,0.)); #501763=CARTESIAN_POINT('Origin',(18.502,49.1016,0.)); #501764=CARTESIAN_POINT('',(18.502,49.1016,0.)); #501765=CARTESIAN_POINT('',(18.502,49.1016,0.)); #501766=CARTESIAN_POINT('',(18.502,49.1016,0.035)); #501767=CARTESIAN_POINT('',(18.502,49.1016,0.035)); #501768=CARTESIAN_POINT('',(18.502,49.1016,0.)); #501769=CARTESIAN_POINT('Origin',(18.456219,49.110138,0.)); #501770=CARTESIAN_POINT('',(18.456219,49.110138,0.)); #501771=CARTESIAN_POINT('',(18.456219,49.110138,0.)); #501772=CARTESIAN_POINT('',(18.456219,49.110138,0.035)); #501773=CARTESIAN_POINT('',(18.456219,49.110138,0.035)); #501774=CARTESIAN_POINT('',(18.456219,49.110138,0.)); #501775=CARTESIAN_POINT('Origin',(18.413641,49.137378,0.)); #501776=CARTESIAN_POINT('',(18.413641,49.137378,0.)); #501777=CARTESIAN_POINT('',(18.413641,49.137378,0.)); #501778=CARTESIAN_POINT('',(18.413641,49.137378,0.035)); #501779=CARTESIAN_POINT('',(18.413641,49.137378,0.035)); #501780=CARTESIAN_POINT('',(18.413641,49.137378,0.)); #501781=CARTESIAN_POINT('Origin',(18.385059,49.179066,0.)); #501782=CARTESIAN_POINT('',(18.385059,49.179066,0.)); #501783=CARTESIAN_POINT('',(18.385059,49.179066,0.)); #501784=CARTESIAN_POINT('',(18.385059,49.179066,0.035)); #501785=CARTESIAN_POINT('',(18.385059,49.179066,0.035)); #501786=CARTESIAN_POINT('',(18.385059,49.179066,0.)); #501787=CARTESIAN_POINT('Origin',(18.375,49.2286,0.)); #501788=CARTESIAN_POINT('',(18.375,49.2286,0.)); #501789=CARTESIAN_POINT('',(18.375,49.2286,0.)); #501790=CARTESIAN_POINT('',(18.375,49.2286,0.035)); #501791=CARTESIAN_POINT('',(18.375,49.2286,0.035)); #501792=CARTESIAN_POINT('',(18.375,49.2286,0.)); #501793=CARTESIAN_POINT('Origin',(18.375,56.947394,0.)); #501794=CARTESIAN_POINT('',(18.375,56.947394,0.)); #501795=CARTESIAN_POINT('',(18.375,56.947394,0.)); #501796=CARTESIAN_POINT('',(18.375,56.947394,0.035)); #501797=CARTESIAN_POINT('',(18.375,56.947394,0.035)); #501798=CARTESIAN_POINT('',(18.375,56.947394,0.)); #501799=CARTESIAN_POINT('Origin',(18.384284,56.995056,0.)); #501800=CARTESIAN_POINT('',(18.384284,56.995056,0.)); #501801=CARTESIAN_POINT('',(18.384284,56.995056,0.)); #501802=CARTESIAN_POINT('',(18.384284,56.995056,0.035)); #501803=CARTESIAN_POINT('',(18.384284,56.995056,0.035)); #501804=CARTESIAN_POINT('',(18.384284,56.995056,0.)); #501805=CARTESIAN_POINT('Origin',(18.412197,57.037197,0.)); #501806=CARTESIAN_POINT('',(18.412197,57.037197,0.)); #501807=CARTESIAN_POINT('',(18.412197,57.037197,0.)); #501808=CARTESIAN_POINT('',(18.412197,57.037197,0.035)); #501809=CARTESIAN_POINT('',(18.412197,57.037197,0.035)); #501810=CARTESIAN_POINT('',(18.412197,57.037197,0.)); #501811=CARTESIAN_POINT('Origin',(19.337803,57.962803,0.)); #501812=CARTESIAN_POINT('',(19.337803,57.962803,0.)); #501813=CARTESIAN_POINT('',(19.337803,57.962803,0.)); #501814=CARTESIAN_POINT('',(19.337803,57.962803,0.035)); #501815=CARTESIAN_POINT('',(19.337803,57.962803,0.035)); #501816=CARTESIAN_POINT('',(19.337803,57.962803,0.)); #501817=CARTESIAN_POINT('Origin',(19.378072,57.989941,0.)); #501818=CARTESIAN_POINT('',(19.378072,57.989941,0.)); #501819=CARTESIAN_POINT('',(19.378072,57.989941,0.)); #501820=CARTESIAN_POINT('',(19.378072,57.989941,0.035)); #501821=CARTESIAN_POINT('',(19.378072,57.989941,0.035)); #501822=CARTESIAN_POINT('',(19.378072,57.989941,0.)); #501823=CARTESIAN_POINT('Origin',(19.427606,58.,0.)); #501824=CARTESIAN_POINT('',(19.427606,58.,0.)); #501825=CARTESIAN_POINT('',(19.427606,58.,0.)); #501826=CARTESIAN_POINT('',(19.427606,58.,0.035)); #501827=CARTESIAN_POINT('',(19.427606,58.,0.035)); #501828=CARTESIAN_POINT('',(19.427606,58.,0.)); #501829=CARTESIAN_POINT('Origin',(19.676869,58.,0.)); #501830=CARTESIAN_POINT('',(19.676869,58.,0.)); #501831=CARTESIAN_POINT('',(19.676869,58.,0.)); #501832=CARTESIAN_POINT('',(19.676869,58.,0.035)); #501833=CARTESIAN_POINT('',(19.676869,58.,0.035)); #501834=CARTESIAN_POINT('',(19.676869,58.,0.)); #501835=CARTESIAN_POINT('Origin',(19.689191,57.9976,0.)); #501836=CARTESIAN_POINT('',(19.689191,57.9976,0.)); #501837=CARTESIAN_POINT('',(19.689191,57.9976,0.)); #501838=CARTESIAN_POINT('',(19.689191,57.9976,0.035)); #501839=CARTESIAN_POINT('',(19.689191,57.9976,0.035)); #501840=CARTESIAN_POINT('',(19.689191,57.9976,0.)); #501841=CARTESIAN_POINT('Origin',(20.501313,57.9976,0.)); #501842=CARTESIAN_POINT('',(20.501313,57.9976,0.)); #501843=CARTESIAN_POINT('',(20.501313,57.9976,0.)); #501844=CARTESIAN_POINT('',(20.501313,57.9976,0.035)); #501845=CARTESIAN_POINT('',(20.501313,57.9976,0.035)); #501846=CARTESIAN_POINT('',(20.501313,57.9976,0.)); #501847=CARTESIAN_POINT('Origin',(20.513131,58.,0.)); #501848=CARTESIAN_POINT('',(20.513131,58.,0.)); #501849=CARTESIAN_POINT('',(20.513131,58.,0.)); #501850=CARTESIAN_POINT('',(20.513131,58.,0.035)); #501851=CARTESIAN_POINT('',(20.513131,58.,0.035)); #501852=CARTESIAN_POINT('',(20.513131,58.,0.)); #501853=CARTESIAN_POINT('Origin',(20.946869,58.,0.)); #501854=CARTESIAN_POINT('',(20.946869,58.,0.)); #501855=CARTESIAN_POINT('',(20.946869,58.,0.)); #501856=CARTESIAN_POINT('',(20.946869,58.,0.035)); #501857=CARTESIAN_POINT('',(20.946869,58.,0.035)); #501858=CARTESIAN_POINT('',(20.946869,58.,0.)); #501859=CARTESIAN_POINT('Origin',(20.959191,57.9976,0.)); #501860=CARTESIAN_POINT('',(20.959191,57.9976,0.)); #501861=CARTESIAN_POINT('',(20.959191,57.9976,0.)); #501862=CARTESIAN_POINT('',(20.959191,57.9976,0.035)); #501863=CARTESIAN_POINT('',(20.959191,57.9976,0.035)); #501864=CARTESIAN_POINT('',(20.959191,57.9976,0.)); #501865=CARTESIAN_POINT('Origin',(21.771313,57.9976,0.)); #501866=CARTESIAN_POINT('',(21.771313,57.9976,0.)); #501867=CARTESIAN_POINT('',(21.771313,57.9976,0.)); #501868=CARTESIAN_POINT('',(21.771313,57.9976,0.035)); #501869=CARTESIAN_POINT('',(21.771313,57.9976,0.035)); #501870=CARTESIAN_POINT('',(21.771313,57.9976,0.)); #501871=CARTESIAN_POINT('Origin',(21.783131,58.,0.)); #501872=CARTESIAN_POINT('',(21.783131,58.,0.)); #501873=CARTESIAN_POINT('',(21.783131,58.,0.)); #501874=CARTESIAN_POINT('',(21.783131,58.,0.035)); #501875=CARTESIAN_POINT('',(21.783131,58.,0.035)); #501876=CARTESIAN_POINT('',(21.783131,58.,0.)); #501877=CARTESIAN_POINT('Origin',(22.216869,58.,0.)); #501878=CARTESIAN_POINT('',(22.216869,58.,0.)); #501879=CARTESIAN_POINT('',(22.216869,58.,0.)); #501880=CARTESIAN_POINT('',(22.216869,58.,0.035)); #501881=CARTESIAN_POINT('',(22.216869,58.,0.035)); #501882=CARTESIAN_POINT('',(22.216869,58.,0.)); #501883=CARTESIAN_POINT('Origin',(22.229191,57.9976,0.)); #501884=CARTESIAN_POINT('',(22.229191,57.9976,0.)); #501885=CARTESIAN_POINT('',(22.229191,57.9976,0.)); #501886=CARTESIAN_POINT('',(22.229191,57.9976,0.035)); #501887=CARTESIAN_POINT('',(22.229191,57.9976,0.035)); #501888=CARTESIAN_POINT('',(22.229191,57.9976,0.)); #501889=CARTESIAN_POINT('Origin',(23.041313,57.9976,0.)); #501890=CARTESIAN_POINT('',(23.041313,57.9976,0.)); #501891=CARTESIAN_POINT('',(23.041313,57.9976,0.)); #501892=CARTESIAN_POINT('',(23.041313,57.9976,0.035)); #501893=CARTESIAN_POINT('',(23.041313,57.9976,0.035)); #501894=CARTESIAN_POINT('',(23.041313,57.9976,0.)); #501895=CARTESIAN_POINT('Origin',(23.053131,58.,0.)); #501896=CARTESIAN_POINT('',(23.053131,58.,0.)); #501897=CARTESIAN_POINT('',(23.053131,58.,0.)); #501898=CARTESIAN_POINT('',(23.053131,58.,0.035)); #501899=CARTESIAN_POINT('',(23.053131,58.,0.035)); #501900=CARTESIAN_POINT('',(23.053131,58.,0.)); #501901=CARTESIAN_POINT('Origin',(23.486869,58.,0.)); #501902=CARTESIAN_POINT('',(23.486869,58.,0.)); #501903=CARTESIAN_POINT('',(23.486869,58.,0.)); #501904=CARTESIAN_POINT('',(23.486869,58.,0.035)); #501905=CARTESIAN_POINT('',(23.486869,58.,0.035)); #501906=CARTESIAN_POINT('',(23.486869,58.,0.)); #501907=CARTESIAN_POINT('Origin',(23.499191,57.9976,0.)); #501908=CARTESIAN_POINT('',(23.499191,57.9976,0.)); #501909=CARTESIAN_POINT('',(23.499191,57.9976,0.)); #501910=CARTESIAN_POINT('',(23.499191,57.9976,0.035)); #501911=CARTESIAN_POINT('',(23.499191,57.9976,0.035)); #501912=CARTESIAN_POINT('',(23.499191,57.9976,0.)); #501913=CARTESIAN_POINT('Origin',(24.311312,57.9976,0.)); #501914=CARTESIAN_POINT('',(24.311312,57.9976,0.)); #501915=CARTESIAN_POINT('',(24.311312,57.9976,0.)); #501916=CARTESIAN_POINT('',(24.311312,57.9976,0.035)); #501917=CARTESIAN_POINT('',(24.311312,57.9976,0.035)); #501918=CARTESIAN_POINT('',(24.311312,57.9976,0.)); #501919=CARTESIAN_POINT('Origin',(24.323131,58.,0.)); #501920=CARTESIAN_POINT('',(24.323131,58.,0.)); #501921=CARTESIAN_POINT('',(24.323131,58.,0.)); #501922=CARTESIAN_POINT('',(24.323131,58.,0.035)); #501923=CARTESIAN_POINT('',(24.323131,58.,0.035)); #501924=CARTESIAN_POINT('',(24.323131,58.,0.)); #501925=CARTESIAN_POINT('Origin',(24.491006,58.,0.)); #501926=CARTESIAN_POINT('',(24.491006,58.,0.)); #501927=CARTESIAN_POINT('',(24.491006,58.,0.)); #501928=CARTESIAN_POINT('',(24.491006,58.,0.035)); #501929=CARTESIAN_POINT('',(24.491006,58.,0.035)); #501930=CARTESIAN_POINT('',(24.491006,58.,0.)); #501931=CARTESIAN_POINT('Origin',(24.500025,58.001284,0.)); #501932=CARTESIAN_POINT('',(24.500025,58.001284,0.)); #501933=CARTESIAN_POINT('',(24.500025,58.001284,0.)); #501934=CARTESIAN_POINT('',(24.500025,58.001284,0.035)); #501935=CARTESIAN_POINT('',(24.500025,58.001284,0.035)); #501936=CARTESIAN_POINT('',(24.500025,58.001284,0.)); #501937=CARTESIAN_POINT('Origin',(24.505653,58.005653,0.)); #501938=CARTESIAN_POINT('',(24.505653,58.005653,0.)); #501939=CARTESIAN_POINT('',(24.505653,58.005653,0.)); #501940=CARTESIAN_POINT('',(24.505653,58.005653,0.035)); #501941=CARTESIAN_POINT('',(24.505653,58.005653,0.035)); #501942=CARTESIAN_POINT('',(24.505653,58.005653,0.)); #501943=CARTESIAN_POINT('Origin',(25.212803,58.712803,0.)); #501944=CARTESIAN_POINT('',(25.212803,58.712803,0.)); #501945=CARTESIAN_POINT('',(25.212803,58.712803,0.)); #501946=CARTESIAN_POINT('',(25.212803,58.712803,0.035)); #501947=CARTESIAN_POINT('',(25.212803,58.712803,0.035)); #501948=CARTESIAN_POINT('',(25.212803,58.712803,0.)); #501949=CARTESIAN_POINT('Origin',(25.253072,58.739941,0.)); #501950=CARTESIAN_POINT('',(25.253072,58.739941,0.)); #501951=CARTESIAN_POINT('',(25.253072,58.739941,0.)); #501952=CARTESIAN_POINT('',(25.253072,58.739941,0.035)); #501953=CARTESIAN_POINT('',(25.253072,58.739941,0.035)); #501954=CARTESIAN_POINT('',(25.253072,58.739941,0.)); #501955=CARTESIAN_POINT('Origin',(25.302606,58.75,0.)); #501956=CARTESIAN_POINT('',(25.302606,58.75,0.)); #501957=CARTESIAN_POINT('',(25.302606,58.75,0.)); #501958=CARTESIAN_POINT('',(25.302606,58.75,0.035)); #501959=CARTESIAN_POINT('',(25.302606,58.75,0.035)); #501960=CARTESIAN_POINT('',(25.302606,58.75,0.)); #501961=CARTESIAN_POINT('Origin',(30.197394,58.75,0.)); #501962=CARTESIAN_POINT('',(30.197394,58.75,0.)); #501963=CARTESIAN_POINT('',(30.197394,58.75,0.)); #501964=CARTESIAN_POINT('',(30.197394,58.75,0.035)); #501965=CARTESIAN_POINT('',(30.197394,58.75,0.035)); #501966=CARTESIAN_POINT('',(30.197394,58.75,0.)); #501967=CARTESIAN_POINT('Origin',(30.245056,58.740716,0.)); #501968=CARTESIAN_POINT('',(30.245056,58.740716,0.)); #501969=CARTESIAN_POINT('',(30.245056,58.740716,0.)); #501970=CARTESIAN_POINT('',(30.245056,58.740716,0.035)); #501971=CARTESIAN_POINT('',(30.245056,58.740716,0.035)); #501972=CARTESIAN_POINT('',(30.245056,58.740716,0.)); #501973=CARTESIAN_POINT('Origin',(30.287197,58.712803,0.)); #501974=CARTESIAN_POINT('',(30.287197,58.712803,0.)); #501975=CARTESIAN_POINT('',(30.287197,58.712803,0.)); #501976=CARTESIAN_POINT('',(30.287197,58.712803,0.035)); #501977=CARTESIAN_POINT('',(30.287197,58.712803,0.035)); #501978=CARTESIAN_POINT('',(30.287197,58.712803,0.)); #501979=CARTESIAN_POINT('Origin',(30.962803,58.037197,0.)); #501980=CARTESIAN_POINT('',(30.962803,58.037197,0.)); #501981=CARTESIAN_POINT('',(30.962803,58.037197,0.)); #501982=CARTESIAN_POINT('',(30.962803,58.037197,0.035)); #501983=CARTESIAN_POINT('',(30.962803,58.037197,0.035)); #501984=CARTESIAN_POINT('',(30.962803,58.037197,0.)); #501985=CARTESIAN_POINT('Origin',(30.989941,57.996928,0.)); #501986=CARTESIAN_POINT('',(30.989941,57.996928,0.)); #501987=CARTESIAN_POINT('',(30.989941,57.996928,0.)); #501988=CARTESIAN_POINT('',(30.989941,57.996928,0.035)); #501989=CARTESIAN_POINT('',(30.989941,57.996928,0.035)); #501990=CARTESIAN_POINT('',(30.989941,57.996928,0.)); #501991=CARTESIAN_POINT('Origin',(31.,57.947394,0.)); #501992=CARTESIAN_POINT('',(31.,57.947394,0.)); #501993=CARTESIAN_POINT('',(31.,57.947394,0.)); #501994=CARTESIAN_POINT('',(31.,57.947394,0.035)); #501995=CARTESIAN_POINT('',(31.,57.947394,0.035)); #501996=CARTESIAN_POINT('',(31.,57.947394,0.)); #501997=CARTESIAN_POINT('Origin',(31.,52.052606,0.)); #501998=CARTESIAN_POINT('',(31.,52.052606,0.)); #501999=CARTESIAN_POINT('',(31.,52.052606,0.)); #502000=CARTESIAN_POINT('',(31.,52.052606,0.035)); #502001=CARTESIAN_POINT('',(31.,52.052606,0.035)); #502002=CARTESIAN_POINT('',(31.,52.052606,0.)); #502003=CARTESIAN_POINT('Origin',(30.990716,52.004944,0.)); #502004=CARTESIAN_POINT('',(30.990716,52.004944,0.)); #502005=CARTESIAN_POINT('',(30.990716,52.004944,0.)); #502006=CARTESIAN_POINT('',(30.990716,52.004944,0.035)); #502007=CARTESIAN_POINT('',(30.990716,52.004944,0.035)); #502008=CARTESIAN_POINT('',(30.990716,52.004944,0.)); #502009=CARTESIAN_POINT('Origin',(30.962803,51.962803,0.)); #502010=CARTESIAN_POINT('',(30.962803,51.962803,0.)); #502011=CARTESIAN_POINT('',(30.962803,51.962803,0.)); #502012=CARTESIAN_POINT('',(30.962803,51.962803,0.035)); #502013=CARTESIAN_POINT('',(30.962803,51.962803,0.035)); #502014=CARTESIAN_POINT('',(30.962803,51.962803,0.)); #502015=CARTESIAN_POINT('Origin',(30.287197,51.287197,0.)); #502016=CARTESIAN_POINT('',(30.287197,51.287197,0.)); #502017=CARTESIAN_POINT('',(30.287197,51.287197,0.)); #502018=CARTESIAN_POINT('',(30.287197,51.287197,0.035)); #502019=CARTESIAN_POINT('',(30.287197,51.287197,0.035)); #502020=CARTESIAN_POINT('',(30.287197,51.287197,0.)); #502021=CARTESIAN_POINT('Origin',(30.246928,51.260059,0.)); #502022=CARTESIAN_POINT('',(30.246928,51.260059,0.)); #502023=CARTESIAN_POINT('',(30.246928,51.260059,0.)); #502024=CARTESIAN_POINT('',(30.246928,51.260059,0.035)); #502025=CARTESIAN_POINT('',(30.246928,51.260059,0.035)); #502026=CARTESIAN_POINT('',(30.246928,51.260059,0.)); #502027=CARTESIAN_POINT('Origin',(30.197394,51.25,0.)); #502028=CARTESIAN_POINT('',(30.197394,51.25,0.)); #502029=CARTESIAN_POINT('',(30.197394,51.25,0.)); #502030=CARTESIAN_POINT('',(30.197394,51.25,0.035)); #502031=CARTESIAN_POINT('',(30.197394,51.25,0.035)); #502032=CARTESIAN_POINT('',(30.197394,51.25,0.)); #502033=CARTESIAN_POINT('Origin',(26.383994,51.25,0.)); #502034=CARTESIAN_POINT('',(26.383994,51.25,0.)); #502035=CARTESIAN_POINT('',(26.383994,51.25,0.)); #502036=CARTESIAN_POINT('',(26.383994,51.25,0.035)); #502037=CARTESIAN_POINT('',(26.383994,51.25,0.035)); #502038=CARTESIAN_POINT('',(26.383994,51.25,0.)); #502039=CARTESIAN_POINT('Origin',(26.374975,51.248716,0.)); #502040=CARTESIAN_POINT('',(26.374975,51.248716,0.)); #502041=CARTESIAN_POINT('',(26.374975,51.248716,0.)); #502042=CARTESIAN_POINT('',(26.374975,51.248716,0.035)); #502043=CARTESIAN_POINT('',(26.374975,51.248716,0.035)); #502044=CARTESIAN_POINT('',(26.374975,51.248716,0.)); #502045=CARTESIAN_POINT('Origin',(26.369347,51.244347,0.)); #502046=CARTESIAN_POINT('',(26.369347,51.244347,0.)); #502047=CARTESIAN_POINT('',(26.369347,51.244347,0.)); #502048=CARTESIAN_POINT('',(26.369347,51.244347,0.035)); #502049=CARTESIAN_POINT('',(26.369347,51.244347,0.035)); #502050=CARTESIAN_POINT('',(26.369347,51.244347,0.)); #502051=CARTESIAN_POINT('Origin',(25.006366,49.881366,0.)); #502052=CARTESIAN_POINT('',(25.006366,49.881366,0.)); #502053=CARTESIAN_POINT('',(25.006366,49.881366,0.)); #502054=CARTESIAN_POINT('',(25.006366,49.881366,0.035)); #502055=CARTESIAN_POINT('',(25.006366,49.881366,0.035)); #502056=CARTESIAN_POINT('',(25.006366,49.881366,0.)); #502057=CARTESIAN_POINT('Origin',(25.000891,49.874072,0.)); #502058=CARTESIAN_POINT('',(25.000891,49.874072,0.)); #502059=CARTESIAN_POINT('',(25.000891,49.874072,0.)); #502060=CARTESIAN_POINT('',(25.000891,49.874072,0.035)); #502061=CARTESIAN_POINT('',(25.000891,49.874072,0.035)); #502062=CARTESIAN_POINT('',(25.000891,49.874072,0.)); #502063=CARTESIAN_POINT('Origin',(25.,49.867016,0.)); #502064=CARTESIAN_POINT('',(25.,49.867016,0.)); #502065=CARTESIAN_POINT('',(25.,49.867016,0.)); #502066=CARTESIAN_POINT('',(25.,49.867016,0.035)); #502067=CARTESIAN_POINT('',(25.,49.867016,0.035)); #502068=CARTESIAN_POINT('',(25.,49.867016,0.)); #502069=CARTESIAN_POINT('Origin',(25.,49.2286,0.)); #502070=CARTESIAN_POINT('',(25.,49.2286,0.)); #502071=CARTESIAN_POINT('',(25.,49.2286,0.)); #502072=CARTESIAN_POINT('',(25.,49.2286,0.035)); #502073=CARTESIAN_POINT('',(25.,49.2286,0.035)); #502074=CARTESIAN_POINT('',(25.,49.2286,0.)); #502075=CARTESIAN_POINT('Origin',(24.991463,49.182819,0.)); #502076=CARTESIAN_POINT('',(24.991463,49.182819,0.)); #502077=CARTESIAN_POINT('',(24.991463,49.182819,0.)); #502078=CARTESIAN_POINT('',(24.991463,49.182819,0.035)); #502079=CARTESIAN_POINT('',(24.991463,49.182819,0.035)); #502080=CARTESIAN_POINT('',(24.991463,49.182819,0.)); #502081=CARTESIAN_POINT('Origin',(24.964222,49.140241,0.)); #502082=CARTESIAN_POINT('',(24.964222,49.140241,0.)); #502083=CARTESIAN_POINT('',(24.964222,49.140241,0.)); #502084=CARTESIAN_POINT('',(24.964222,49.140241,0.035)); #502085=CARTESIAN_POINT('',(24.964222,49.140241,0.035)); #502086=CARTESIAN_POINT('',(24.964222,49.140241,0.)); #502087=CARTESIAN_POINT('Origin',(24.922534,49.111659,0.)); #502088=CARTESIAN_POINT('',(24.922534,49.111659,0.)); #502089=CARTESIAN_POINT('',(24.922534,49.111659,0.)); #502090=CARTESIAN_POINT('',(24.922534,49.111659,0.035)); #502091=CARTESIAN_POINT('',(24.922534,49.111659,0.035)); #502092=CARTESIAN_POINT('',(24.922534,49.111659,0.)); #502093=CARTESIAN_POINT('Origin',(24.873,49.1016,0.)); #502094=CARTESIAN_POINT('',(24.873,49.1016,0.)); #502095=CARTESIAN_POINT('',(24.873,49.1016,0.)); #502096=CARTESIAN_POINT('',(24.873,49.1016,0.035)); #502097=CARTESIAN_POINT('',(24.873,49.1016,0.035)); #502098=CARTESIAN_POINT('',(24.873,49.1016,0.)); #502099=CARTESIAN_POINT('Origin',(24.4844,49.1016,0.)); #502100=CARTESIAN_POINT('',(24.4844,49.1016,0.)); #502101=CARTESIAN_POINT('',(24.4844,49.1016,0.)); #502102=CARTESIAN_POINT('',(24.4844,49.1016,0.035)); #502103=CARTESIAN_POINT('',(24.4844,49.1016,0.035)); #502104=CARTESIAN_POINT('',(24.4844,49.1016,0.)); #502105=CARTESIAN_POINT('Origin',(24.438619,49.110138,0.)); #502106=CARTESIAN_POINT('',(24.438619,49.110138,0.)); #502107=CARTESIAN_POINT('',(24.438619,49.110138,0.)); #502108=CARTESIAN_POINT('',(24.438619,49.110138,0.035)); #502109=CARTESIAN_POINT('',(24.438619,49.110138,0.035)); #502110=CARTESIAN_POINT('',(24.438619,49.110138,0.)); #502111=CARTESIAN_POINT('Origin',(24.396041,49.137378,0.)); #502112=CARTESIAN_POINT('',(24.396041,49.137378,0.)); #502113=CARTESIAN_POINT('',(24.396041,49.137378,0.)); #502114=CARTESIAN_POINT('',(24.396041,49.137378,0.035)); #502115=CARTESIAN_POINT('',(24.396041,49.137378,0.035)); #502116=CARTESIAN_POINT('',(24.396041,49.137378,0.)); #502117=CARTESIAN_POINT('Origin',(24.367459,49.179066,0.)); #502118=CARTESIAN_POINT('',(24.367459,49.179066,0.)); #502119=CARTESIAN_POINT('',(24.367459,49.179066,0.)); #502120=CARTESIAN_POINT('',(24.367459,49.179066,0.035)); #502121=CARTESIAN_POINT('',(24.367459,49.179066,0.035)); #502122=CARTESIAN_POINT('',(24.367459,49.179066,0.)); #502123=CARTESIAN_POINT('Origin',(24.3574,49.2286,0.)); #502124=CARTESIAN_POINT('',(24.3574,49.2286,0.)); #502125=CARTESIAN_POINT('',(24.3574,49.2286,0.)); #502126=CARTESIAN_POINT('',(24.3574,49.2286,0.035)); #502127=CARTESIAN_POINT('',(24.3574,49.2286,0.035)); #502128=CARTESIAN_POINT('',(24.3574,49.2286,0.)); #502129=CARTESIAN_POINT('Origin',(24.3574,50.413125,0.)); #502130=CARTESIAN_POINT('',(24.3574,50.413125,0.)); #502131=CARTESIAN_POINT('',(24.3574,50.413125,0.)); #502132=CARTESIAN_POINT('',(24.3574,50.413125,0.035)); #502133=CARTESIAN_POINT('',(24.3574,50.413125,0.035)); #502134=CARTESIAN_POINT('',(24.3574,50.413125,0.)); #502135=CARTESIAN_POINT('Origin',(24.268125,50.5024,0.)); #502136=CARTESIAN_POINT('',(24.268125,50.5024,0.)); #502137=CARTESIAN_POINT('',(24.268125,50.5024,0.)); #502138=CARTESIAN_POINT('',(24.268125,50.5024,0.035)); #502139=CARTESIAN_POINT('',(24.268125,50.5024,0.035)); #502140=CARTESIAN_POINT('',(24.268125,50.5024,0.)); #502141=CARTESIAN_POINT('Origin',(23.541875,50.5024,0.)); #502142=CARTESIAN_POINT('',(23.541875,50.5024,0.)); #502143=CARTESIAN_POINT('',(23.541875,50.5024,0.)); #502144=CARTESIAN_POINT('',(23.541875,50.5024,0.035)); #502145=CARTESIAN_POINT('',(23.541875,50.5024,0.035)); #502146=CARTESIAN_POINT('',(23.541875,50.5024,0.)); #502147=CARTESIAN_POINT('Origin',(23.4526,50.413125,0.)); #502148=CARTESIAN_POINT('',(23.4526,50.413125,0.)); #502149=CARTESIAN_POINT('',(23.4526,50.413125,0.)); #502150=CARTESIAN_POINT('',(23.4526,50.413125,0.035)); #502151=CARTESIAN_POINT('',(23.4526,50.413125,0.035)); #502152=CARTESIAN_POINT('',(23.4526,50.413125,0.)); #502153=CARTESIAN_POINT('Origin',(23.4526,49.2286,0.)); #502154=CARTESIAN_POINT('',(23.4526,49.2286,0.)); #502155=CARTESIAN_POINT('',(23.4526,49.2286,0.)); #502156=CARTESIAN_POINT('',(23.4526,49.2286,0.035)); #502157=CARTESIAN_POINT('',(23.4526,49.2286,0.035)); #502158=CARTESIAN_POINT('',(23.4526,49.2286,0.)); #502159=CARTESIAN_POINT('Origin',(23.444063,49.182819,0.)); #502160=CARTESIAN_POINT('',(23.444063,49.182819,0.)); #502161=CARTESIAN_POINT('',(23.444063,49.182819,0.)); #502162=CARTESIAN_POINT('',(23.444063,49.182819,0.035)); #502163=CARTESIAN_POINT('',(23.444063,49.182819,0.035)); #502164=CARTESIAN_POINT('',(23.444063,49.182819,0.)); #502165=CARTESIAN_POINT('Origin',(23.416822,49.140241,0.)); #502166=CARTESIAN_POINT('',(23.416822,49.140241,0.)); #502167=CARTESIAN_POINT('',(23.416822,49.140241,0.)); #502168=CARTESIAN_POINT('',(23.416822,49.140241,0.035)); #502169=CARTESIAN_POINT('',(23.416822,49.140241,0.035)); #502170=CARTESIAN_POINT('',(23.416822,49.140241,0.)); #502171=CARTESIAN_POINT('Origin',(23.375134,49.111659,0.)); #502172=CARTESIAN_POINT('',(23.375134,49.111659,0.)); #502173=CARTESIAN_POINT('',(23.375134,49.111659,0.035)); #502174=CARTESIAN_POINT('Origin',(24.4253411353723,53.8205093779368,0.035)); #502175=CARTESIAN_POINT('Origin',(24.4253411353723,53.8205093779368,0.)); #502176=CARTESIAN_POINT('Origin',(16.625,47.75,-200.)); #502177=CARTESIAN_POINT('',(16.485,47.75,0.)); #502178=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #502179=CARTESIAN_POINT('',(16.485,47.75,0.0349999999999895)); #502180=CARTESIAN_POINT('',(16.485,47.75,-200.)); #502181=CARTESIAN_POINT('Origin',(16.625,47.75,0.0349999999999895)); #502182=CARTESIAN_POINT('Origin',(15.75,47.75,-200.)); #502183=CARTESIAN_POINT('',(15.61,47.75,0.)); #502184=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #502185=CARTESIAN_POINT('',(15.61,47.75,0.0349999999999895)); #502186=CARTESIAN_POINT('',(15.61,47.75,-200.)); #502187=CARTESIAN_POINT('Origin',(15.75,47.75,0.0349999999999895)); #502188=CARTESIAN_POINT('Origin',(16.625,47.,-200.)); #502189=CARTESIAN_POINT('',(16.485,47.,0.)); #502190=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #502191=CARTESIAN_POINT('',(16.485,47.,0.0349999999999895)); #502192=CARTESIAN_POINT('',(16.485,47.,-200.)); #502193=CARTESIAN_POINT('Origin',(16.625,47.,0.0349999999999895)); #502194=CARTESIAN_POINT('Origin',(16.625,46.25,-200.)); #502195=CARTESIAN_POINT('',(16.485,46.25,0.)); #502196=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #502197=CARTESIAN_POINT('',(16.485,46.25,0.0349999999999895)); #502198=CARTESIAN_POINT('',(16.485,46.25,-200.)); #502199=CARTESIAN_POINT('Origin',(16.625,46.25,0.0349999999999895)); #502200=CARTESIAN_POINT('Origin',(17.5,47.75,-200.)); #502201=CARTESIAN_POINT('',(17.36,47.75,0.)); #502202=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #502203=CARTESIAN_POINT('',(17.36,47.75,0.0349999999999895)); #502204=CARTESIAN_POINT('',(17.36,47.75,-200.)); #502205=CARTESIAN_POINT('Origin',(17.5,47.75,0.0349999999999895)); #502206=CARTESIAN_POINT('Origin',(19.375,46.25,-200.)); #502207=CARTESIAN_POINT('',(19.235,46.25,0.)); #502208=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #502209=CARTESIAN_POINT('',(19.235,46.25,0.0349999999999895)); #502210=CARTESIAN_POINT('',(19.235,46.25,-200.)); #502211=CARTESIAN_POINT('Origin',(19.375,46.25,0.0349999999999895)); #502212=CARTESIAN_POINT('Origin',(19.375,47.75,-200.)); #502213=CARTESIAN_POINT('',(19.235,47.75,0.)); #502214=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #502215=CARTESIAN_POINT('',(19.235,47.75,0.0349999999999895)); #502216=CARTESIAN_POINT('',(19.235,47.75,-200.)); #502217=CARTESIAN_POINT('Origin',(19.375,47.75,0.0349999999999895)); #502218=CARTESIAN_POINT('Origin',(19.375,47.,-200.)); #502219=CARTESIAN_POINT('',(19.235,47.,0.)); #502220=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #502221=CARTESIAN_POINT('',(19.235,47.,0.0349999999999895)); #502222=CARTESIAN_POINT('',(19.235,47.,-200.)); #502223=CARTESIAN_POINT('Origin',(19.375,47.,0.0349999999999895)); #502224=CARTESIAN_POINT('Origin',(17.5,46.25,-200.)); #502225=CARTESIAN_POINT('',(17.36,46.25,0.)); #502226=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #502227=CARTESIAN_POINT('',(17.36,46.25,0.0349999999999895)); #502228=CARTESIAN_POINT('',(17.36,46.25,-200.)); #502229=CARTESIAN_POINT('Origin',(17.5,46.25,0.0349999999999895)); #502230=CARTESIAN_POINT('Origin',(17.5,47.,-200.)); #502231=CARTESIAN_POINT('',(17.36,47.,0.)); #502232=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #502233=CARTESIAN_POINT('',(17.36,47.,0.0349999999999895)); #502234=CARTESIAN_POINT('',(17.36,47.,-200.)); #502235=CARTESIAN_POINT('Origin',(17.5,47.,0.0349999999999895)); #502236=CARTESIAN_POINT('Origin',(15.75,46.25,-200.)); #502237=CARTESIAN_POINT('',(15.61,46.25,0.)); #502238=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #502239=CARTESIAN_POINT('',(15.61,46.25,0.0349999999999895)); #502240=CARTESIAN_POINT('',(15.61,46.25,-200.)); #502241=CARTESIAN_POINT('Origin',(15.75,46.25,0.0349999999999895)); #502242=CARTESIAN_POINT('Origin',(15.75,47.,-200.)); #502243=CARTESIAN_POINT('',(15.61,47.,0.)); #502244=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #502245=CARTESIAN_POINT('',(15.61,47.,0.0349999999999895)); #502246=CARTESIAN_POINT('',(15.61,47.,-200.)); #502247=CARTESIAN_POINT('Origin',(15.75,47.,0.0349999999999895)); #502248=CARTESIAN_POINT('Origin',(23.75,47.,-200.)); #502249=CARTESIAN_POINT('',(23.61,47.,0.)); #502250=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #502251=CARTESIAN_POINT('',(23.61,47.,0.0349999999999895)); #502252=CARTESIAN_POINT('',(23.61,47.,-200.)); #502253=CARTESIAN_POINT('Origin',(23.75,47.,0.0349999999999895)); #502254=CARTESIAN_POINT('Origin',(20.25,47.,-200.)); #502255=CARTESIAN_POINT('',(20.11,47.,0.)); #502256=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #502257=CARTESIAN_POINT('',(20.11,47.,0.0349999999999895)); #502258=CARTESIAN_POINT('',(20.11,47.,-200.)); #502259=CARTESIAN_POINT('Origin',(20.25,47.,0.0349999999999895)); #502260=CARTESIAN_POINT('Origin',(23.75,46.25,-200.)); #502261=CARTESIAN_POINT('',(23.61,46.25,0.)); #502262=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #502263=CARTESIAN_POINT('',(23.61,46.25,0.0349999999999895)); #502264=CARTESIAN_POINT('',(23.61,46.25,-200.)); #502265=CARTESIAN_POINT('Origin',(23.75,46.25,0.0349999999999895)); #502266=CARTESIAN_POINT('Origin',(22.,46.25,-200.)); #502267=CARTESIAN_POINT('',(21.86,46.25,0.)); #502268=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #502269=CARTESIAN_POINT('',(21.86,46.25,0.0349999999999895)); #502270=CARTESIAN_POINT('',(21.86,46.25,-200.)); #502271=CARTESIAN_POINT('Origin',(22.,46.25,0.0349999999999895)); #502272=CARTESIAN_POINT('Origin',(20.25,46.25,-200.)); #502273=CARTESIAN_POINT('',(20.11,46.25,0.)); #502274=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #502275=CARTESIAN_POINT('',(20.11,46.25,0.0349999999999895)); #502276=CARTESIAN_POINT('',(20.11,46.25,-200.)); #502277=CARTESIAN_POINT('Origin',(20.25,46.25,0.0349999999999895)); #502278=CARTESIAN_POINT('Origin',(18.5,47.75,-200.)); #502279=CARTESIAN_POINT('',(18.36,47.75,0.)); #502280=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #502281=CARTESIAN_POINT('',(18.36,47.75,0.0349999999999895)); #502282=CARTESIAN_POINT('',(18.36,47.75,-200.)); #502283=CARTESIAN_POINT('Origin',(18.5,47.75,0.0349999999999895)); #502284=CARTESIAN_POINT('Origin',(20.25,47.75,-200.)); #502285=CARTESIAN_POINT('',(20.11,47.75,0.)); #502286=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #502287=CARTESIAN_POINT('',(20.11,47.75,0.0349999999999895)); #502288=CARTESIAN_POINT('',(20.11,47.75,-200.)); #502289=CARTESIAN_POINT('Origin',(20.25,47.75,0.0349999999999895)); #502290=CARTESIAN_POINT('Origin',(23.75,47.75,-200.)); #502291=CARTESIAN_POINT('',(23.61,47.75,0.)); #502292=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #502293=CARTESIAN_POINT('',(23.61,47.75,0.0349999999999895)); #502294=CARTESIAN_POINT('',(23.61,47.75,-200.)); #502295=CARTESIAN_POINT('Origin',(23.75,47.75,0.0349999999999895)); #502296=CARTESIAN_POINT('Origin',(21.125,47.,-200.)); #502297=CARTESIAN_POINT('',(20.985,47.,0.)); #502298=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #502299=CARTESIAN_POINT('',(20.985,47.,0.0349999999999895)); #502300=CARTESIAN_POINT('',(20.985,47.,-200.)); #502301=CARTESIAN_POINT('Origin',(21.125,47.,0.0349999999999895)); #502302=CARTESIAN_POINT('Origin',(21.125,47.75,-200.)); #502303=CARTESIAN_POINT('',(20.985,47.75,0.)); #502304=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #502305=CARTESIAN_POINT('',(20.985,47.75,0.0349999999999895)); #502306=CARTESIAN_POINT('',(20.985,47.75,-200.)); #502307=CARTESIAN_POINT('Origin',(21.125,47.75,0.0349999999999895)); #502308=CARTESIAN_POINT('Origin',(22.,47.,-200.)); #502309=CARTESIAN_POINT('',(21.86,47.,0.)); #502310=CARTESIAN_POINT('Origin',(22.,47.,0.)); #502311=CARTESIAN_POINT('',(21.86,47.,0.0349999999999895)); #502312=CARTESIAN_POINT('',(21.86,47.,-200.)); #502313=CARTESIAN_POINT('Origin',(22.,47.,0.0349999999999895)); #502314=CARTESIAN_POINT('Origin',(22.,47.75,-200.)); #502315=CARTESIAN_POINT('',(21.86,47.75,0.)); #502316=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #502317=CARTESIAN_POINT('',(21.86,47.75,0.0349999999999895)); #502318=CARTESIAN_POINT('',(21.86,47.75,-200.)); #502319=CARTESIAN_POINT('Origin',(22.,47.75,0.0349999999999895)); #502320=CARTESIAN_POINT('Origin',(22.875,46.25,-200.)); #502321=CARTESIAN_POINT('',(22.735,46.25,0.)); #502322=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #502323=CARTESIAN_POINT('',(22.735,46.25,0.0349999999999895)); #502324=CARTESIAN_POINT('',(22.735,46.25,-200.)); #502325=CARTESIAN_POINT('Origin',(22.875,46.25,0.0349999999999895)); #502326=CARTESIAN_POINT('Origin',(21.125,46.25,-200.)); #502327=CARTESIAN_POINT('',(20.985,46.25,0.)); #502328=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #502329=CARTESIAN_POINT('',(20.985,46.25,0.0349999999999895)); #502330=CARTESIAN_POINT('',(20.985,46.25,-200.)); #502331=CARTESIAN_POINT('Origin',(21.125,46.25,0.0349999999999895)); #502332=CARTESIAN_POINT('Origin',(22.875,47.,-200.)); #502333=CARTESIAN_POINT('',(22.735,47.,0.)); #502334=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #502335=CARTESIAN_POINT('',(22.735,47.,0.0349999999999895)); #502336=CARTESIAN_POINT('',(22.735,47.,-200.)); #502337=CARTESIAN_POINT('Origin',(22.875,47.,0.0349999999999895)); #502338=CARTESIAN_POINT('Origin',(22.875,47.75,-200.)); #502339=CARTESIAN_POINT('',(22.735,47.75,0.)); #502340=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #502341=CARTESIAN_POINT('',(22.735,47.75,0.0349999999999895)); #502342=CARTESIAN_POINT('',(22.735,47.75,-200.)); #502343=CARTESIAN_POINT('Origin',(22.875,47.75,0.0349999999999895)); #502344=CARTESIAN_POINT('Origin',(18.5,47.,-200.)); #502345=CARTESIAN_POINT('',(18.36,47.,0.)); #502346=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #502347=CARTESIAN_POINT('',(18.36,47.,0.0349999999999895)); #502348=CARTESIAN_POINT('',(18.36,47.,-200.)); #502349=CARTESIAN_POINT('Origin',(18.5,47.,0.0349999999999895)); #502350=CARTESIAN_POINT('Origin',(18.5,46.25,-200.)); #502351=CARTESIAN_POINT('',(18.36,46.25,0.)); #502352=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #502353=CARTESIAN_POINT('',(18.36,46.25,0.0349999999999895)); #502354=CARTESIAN_POINT('',(18.36,46.25,-200.)); #502355=CARTESIAN_POINT('Origin',(18.5,46.25,0.0349999999999895)); #502356=CARTESIAN_POINT('Origin',(18.947394,40.75,0.)); #502357=CARTESIAN_POINT('',(18.947394,40.75,0.)); #502358=CARTESIAN_POINT('',(18.996928,40.760059,0.)); #502359=CARTESIAN_POINT('',(18.947394,40.75,0.)); #502360=CARTESIAN_POINT('',(18.996928,40.760059,0.035)); #502361=CARTESIAN_POINT('',(18.996928,40.760059,0.)); #502362=CARTESIAN_POINT('',(18.947394,40.75,0.035)); #502363=CARTESIAN_POINT('',(18.947394,40.75,0.035)); #502364=CARTESIAN_POINT('',(18.947394,40.75,0.)); #502365=CARTESIAN_POINT('Origin',(14.802606,40.75,0.)); #502366=CARTESIAN_POINT('',(14.802606,40.75,0.)); #502367=CARTESIAN_POINT('',(14.802606,40.75,0.)); #502368=CARTESIAN_POINT('',(14.802606,40.75,0.035)); #502369=CARTESIAN_POINT('',(14.802606,40.75,0.035)); #502370=CARTESIAN_POINT('',(14.802606,40.75,0.)); #502371=CARTESIAN_POINT('Origin',(14.754944,40.759284,0.)); #502372=CARTESIAN_POINT('',(14.754944,40.759284,0.)); #502373=CARTESIAN_POINT('',(14.754944,40.759284,0.)); #502374=CARTESIAN_POINT('',(14.754944,40.759284,0.035)); #502375=CARTESIAN_POINT('',(14.754944,40.759284,0.035)); #502376=CARTESIAN_POINT('',(14.754944,40.759284,0.)); #502377=CARTESIAN_POINT('Origin',(14.712803,40.787197,0.)); #502378=CARTESIAN_POINT('',(14.712803,40.787197,0.)); #502379=CARTESIAN_POINT('',(14.712803,40.787197,0.)); #502380=CARTESIAN_POINT('',(14.712803,40.787197,0.035)); #502381=CARTESIAN_POINT('',(14.712803,40.787197,0.035)); #502382=CARTESIAN_POINT('',(14.712803,40.787197,0.)); #502383=CARTESIAN_POINT('Origin',(14.037197,41.462803,0.)); #502384=CARTESIAN_POINT('',(14.037197,41.462803,0.)); #502385=CARTESIAN_POINT('',(14.037197,41.462803,0.)); #502386=CARTESIAN_POINT('',(14.037197,41.462803,0.035)); #502387=CARTESIAN_POINT('',(14.037197,41.462803,0.035)); #502388=CARTESIAN_POINT('',(14.037197,41.462803,0.)); #502389=CARTESIAN_POINT('Origin',(14.010059,41.503072,0.)); #502390=CARTESIAN_POINT('',(14.010059,41.503072,0.)); #502391=CARTESIAN_POINT('',(14.010059,41.503072,0.)); #502392=CARTESIAN_POINT('',(14.010059,41.503072,0.035)); #502393=CARTESIAN_POINT('',(14.010059,41.503072,0.035)); #502394=CARTESIAN_POINT('',(14.010059,41.503072,0.)); #502395=CARTESIAN_POINT('Origin',(14.,41.552606,0.)); #502396=CARTESIAN_POINT('',(14.,41.552606,0.)); #502397=CARTESIAN_POINT('',(14.,41.552606,0.)); #502398=CARTESIAN_POINT('',(14.,41.552606,0.035)); #502399=CARTESIAN_POINT('',(14.,41.552606,0.035)); #502400=CARTESIAN_POINT('',(14.,41.552606,0.)); #502401=CARTESIAN_POINT('Origin',(14.,48.197394,0.)); #502402=CARTESIAN_POINT('',(14.,48.197394,0.)); #502403=CARTESIAN_POINT('',(14.,48.197394,0.)); #502404=CARTESIAN_POINT('',(14.,48.197394,0.035)); #502405=CARTESIAN_POINT('',(14.,48.197394,0.035)); #502406=CARTESIAN_POINT('',(14.,48.197394,0.)); #502407=CARTESIAN_POINT('Origin',(14.009284,48.245056,0.)); #502408=CARTESIAN_POINT('',(14.009284,48.245056,0.)); #502409=CARTESIAN_POINT('',(14.009284,48.245056,0.)); #502410=CARTESIAN_POINT('',(14.009284,48.245056,0.035)); #502411=CARTESIAN_POINT('',(14.009284,48.245056,0.035)); #502412=CARTESIAN_POINT('',(14.009284,48.245056,0.)); #502413=CARTESIAN_POINT('Origin',(14.037197,48.287197,0.)); #502414=CARTESIAN_POINT('',(14.037197,48.287197,0.)); #502415=CARTESIAN_POINT('',(14.037197,48.287197,0.)); #502416=CARTESIAN_POINT('',(14.037197,48.287197,0.035)); #502417=CARTESIAN_POINT('',(14.037197,48.287197,0.035)); #502418=CARTESIAN_POINT('',(14.037197,48.287197,0.)); #502419=CARTESIAN_POINT('Origin',(14.712803,48.962803,0.)); #502420=CARTESIAN_POINT('',(14.712803,48.962803,0.)); #502421=CARTESIAN_POINT('',(14.712803,48.962803,0.)); #502422=CARTESIAN_POINT('',(14.712803,48.962803,0.035)); #502423=CARTESIAN_POINT('',(14.712803,48.962803,0.035)); #502424=CARTESIAN_POINT('',(14.712803,48.962803,0.)); #502425=CARTESIAN_POINT('Origin',(14.753072,48.989941,0.)); #502426=CARTESIAN_POINT('',(14.753072,48.989941,0.)); #502427=CARTESIAN_POINT('',(14.753072,48.989941,0.)); #502428=CARTESIAN_POINT('',(14.753072,48.989941,0.035)); #502429=CARTESIAN_POINT('',(14.753072,48.989941,0.035)); #502430=CARTESIAN_POINT('',(14.753072,48.989941,0.)); #502431=CARTESIAN_POINT('Origin',(14.802606,49.,0.)); #502432=CARTESIAN_POINT('',(14.802606,49.,0.)); #502433=CARTESIAN_POINT('',(14.802606,49.,0.)); #502434=CARTESIAN_POINT('',(14.802606,49.,0.035)); #502435=CARTESIAN_POINT('',(14.802606,49.,0.035)); #502436=CARTESIAN_POINT('',(14.802606,49.,0.)); #502437=CARTESIAN_POINT('Origin',(19.676869,49.,0.)); #502438=CARTESIAN_POINT('',(19.676869,49.,0.)); #502439=CARTESIAN_POINT('',(19.676869,49.,0.)); #502440=CARTESIAN_POINT('',(19.676869,49.,0.035)); #502441=CARTESIAN_POINT('',(19.676869,49.,0.035)); #502442=CARTESIAN_POINT('',(19.676869,49.,0.)); #502443=CARTESIAN_POINT('Origin',(19.689191,48.9976,0.)); #502444=CARTESIAN_POINT('',(19.689191,48.9976,0.)); #502445=CARTESIAN_POINT('',(19.689191,48.9976,0.)); #502446=CARTESIAN_POINT('',(19.689191,48.9976,0.035)); #502447=CARTESIAN_POINT('',(19.689191,48.9976,0.035)); #502448=CARTESIAN_POINT('',(19.689191,48.9976,0.)); #502449=CARTESIAN_POINT('Origin',(20.501313,48.9976,0.)); #502450=CARTESIAN_POINT('',(20.501313,48.9976,0.)); #502451=CARTESIAN_POINT('',(20.501313,48.9976,0.)); #502452=CARTESIAN_POINT('',(20.501313,48.9976,0.035)); #502453=CARTESIAN_POINT('',(20.501313,48.9976,0.035)); #502454=CARTESIAN_POINT('',(20.501313,48.9976,0.)); #502455=CARTESIAN_POINT('Origin',(20.513131,49.,0.)); #502456=CARTESIAN_POINT('',(20.513131,49.,0.)); #502457=CARTESIAN_POINT('',(20.513131,49.,0.)); #502458=CARTESIAN_POINT('',(20.513131,49.,0.035)); #502459=CARTESIAN_POINT('',(20.513131,49.,0.035)); #502460=CARTESIAN_POINT('',(20.513131,49.,0.)); #502461=CARTESIAN_POINT('Origin',(20.946869,49.,0.)); #502462=CARTESIAN_POINT('',(20.946869,49.,0.)); #502463=CARTESIAN_POINT('',(20.946869,49.,0.)); #502464=CARTESIAN_POINT('',(20.946869,49.,0.035)); #502465=CARTESIAN_POINT('',(20.946869,49.,0.035)); #502466=CARTESIAN_POINT('',(20.946869,49.,0.)); #502467=CARTESIAN_POINT('Origin',(20.959191,48.9976,0.)); #502468=CARTESIAN_POINT('',(20.959191,48.9976,0.)); #502469=CARTESIAN_POINT('',(20.959191,48.9976,0.)); #502470=CARTESIAN_POINT('',(20.959191,48.9976,0.035)); #502471=CARTESIAN_POINT('',(20.959191,48.9976,0.035)); #502472=CARTESIAN_POINT('',(20.959191,48.9976,0.)); #502473=CARTESIAN_POINT('Origin',(21.771313,48.9976,0.)); #502474=CARTESIAN_POINT('',(21.771313,48.9976,0.)); #502475=CARTESIAN_POINT('',(21.771313,48.9976,0.)); #502476=CARTESIAN_POINT('',(21.771313,48.9976,0.035)); #502477=CARTESIAN_POINT('',(21.771313,48.9976,0.035)); #502478=CARTESIAN_POINT('',(21.771313,48.9976,0.)); #502479=CARTESIAN_POINT('Origin',(21.783131,49.,0.)); #502480=CARTESIAN_POINT('',(21.783131,49.,0.)); #502481=CARTESIAN_POINT('',(21.783131,49.,0.)); #502482=CARTESIAN_POINT('',(21.783131,49.,0.035)); #502483=CARTESIAN_POINT('',(21.783131,49.,0.035)); #502484=CARTESIAN_POINT('',(21.783131,49.,0.)); #502485=CARTESIAN_POINT('Origin',(22.216869,49.,0.)); #502486=CARTESIAN_POINT('',(22.216869,49.,0.)); #502487=CARTESIAN_POINT('',(22.216869,49.,0.)); #502488=CARTESIAN_POINT('',(22.216869,49.,0.035)); #502489=CARTESIAN_POINT('',(22.216869,49.,0.035)); #502490=CARTESIAN_POINT('',(22.216869,49.,0.)); #502491=CARTESIAN_POINT('Origin',(22.229191,48.9976,0.)); #502492=CARTESIAN_POINT('',(22.229191,48.9976,0.)); #502493=CARTESIAN_POINT('',(22.229191,48.9976,0.)); #502494=CARTESIAN_POINT('',(22.229191,48.9976,0.035)); #502495=CARTESIAN_POINT('',(22.229191,48.9976,0.035)); #502496=CARTESIAN_POINT('',(22.229191,48.9976,0.)); #502497=CARTESIAN_POINT('Origin',(23.041313,48.9976,0.)); #502498=CARTESIAN_POINT('',(23.041313,48.9976,0.)); #502499=CARTESIAN_POINT('',(23.041313,48.9976,0.)); #502500=CARTESIAN_POINT('',(23.041313,48.9976,0.035)); #502501=CARTESIAN_POINT('',(23.041313,48.9976,0.035)); #502502=CARTESIAN_POINT('',(23.041313,48.9976,0.)); #502503=CARTESIAN_POINT('Origin',(23.053131,49.,0.)); #502504=CARTESIAN_POINT('',(23.053131,49.,0.)); #502505=CARTESIAN_POINT('',(23.053131,49.,0.)); #502506=CARTESIAN_POINT('',(23.053131,49.,0.035)); #502507=CARTESIAN_POINT('',(23.053131,49.,0.035)); #502508=CARTESIAN_POINT('',(23.053131,49.,0.)); #502509=CARTESIAN_POINT('Origin',(23.486869,49.,0.)); #502510=CARTESIAN_POINT('',(23.486869,49.,0.)); #502511=CARTESIAN_POINT('',(23.486869,49.,0.)); #502512=CARTESIAN_POINT('',(23.486869,49.,0.035)); #502513=CARTESIAN_POINT('',(23.486869,49.,0.035)); #502514=CARTESIAN_POINT('',(23.486869,49.,0.)); #502515=CARTESIAN_POINT('Origin',(23.499191,48.9976,0.)); #502516=CARTESIAN_POINT('',(23.499191,48.9976,0.)); #502517=CARTESIAN_POINT('',(23.499191,48.9976,0.)); #502518=CARTESIAN_POINT('',(23.499191,48.9976,0.035)); #502519=CARTESIAN_POINT('',(23.499191,48.9976,0.035)); #502520=CARTESIAN_POINT('',(23.499191,48.9976,0.)); #502521=CARTESIAN_POINT('Origin',(24.311312,48.9976,0.)); #502522=CARTESIAN_POINT('',(24.311312,48.9976,0.)); #502523=CARTESIAN_POINT('',(24.311312,48.9976,0.)); #502524=CARTESIAN_POINT('',(24.311312,48.9976,0.035)); #502525=CARTESIAN_POINT('',(24.311312,48.9976,0.035)); #502526=CARTESIAN_POINT('',(24.311312,48.9976,0.)); #502527=CARTESIAN_POINT('Origin',(24.323131,49.,0.)); #502528=CARTESIAN_POINT('',(24.323131,49.,0.)); #502529=CARTESIAN_POINT('',(24.323131,49.,0.)); #502530=CARTESIAN_POINT('',(24.323131,49.,0.035)); #502531=CARTESIAN_POINT('',(24.323131,49.,0.035)); #502532=CARTESIAN_POINT('',(24.323131,49.,0.)); #502533=CARTESIAN_POINT('Origin',(24.873,49.,0.)); #502534=CARTESIAN_POINT('',(24.873,49.,0.)); #502535=CARTESIAN_POINT('',(24.873,49.,0.)); #502536=CARTESIAN_POINT('',(24.873,49.,0.035)); #502537=CARTESIAN_POINT('',(24.873,49.,0.035)); #502538=CARTESIAN_POINT('',(24.873,49.,0.)); #502539=CARTESIAN_POINT('Origin',(24.918781,48.991463,0.)); #502540=CARTESIAN_POINT('',(24.918781,48.991463,0.)); #502541=CARTESIAN_POINT('',(24.918781,48.991463,0.)); #502542=CARTESIAN_POINT('',(24.918781,48.991463,0.035)); #502543=CARTESIAN_POINT('',(24.918781,48.991463,0.035)); #502544=CARTESIAN_POINT('',(24.918781,48.991463,0.)); #502545=CARTESIAN_POINT('Origin',(24.961359,48.964222,0.)); #502546=CARTESIAN_POINT('',(24.961359,48.964222,0.)); #502547=CARTESIAN_POINT('',(24.961359,48.964222,0.)); #502548=CARTESIAN_POINT('',(24.961359,48.964222,0.035)); #502549=CARTESIAN_POINT('',(24.961359,48.964222,0.035)); #502550=CARTESIAN_POINT('',(24.961359,48.964222,0.)); #502551=CARTESIAN_POINT('Origin',(24.989941,48.922534,0.)); #502552=CARTESIAN_POINT('',(24.989941,48.922534,0.)); #502553=CARTESIAN_POINT('',(24.989941,48.922534,0.)); #502554=CARTESIAN_POINT('',(24.989941,48.922534,0.035)); #502555=CARTESIAN_POINT('',(24.989941,48.922534,0.035)); #502556=CARTESIAN_POINT('',(24.989941,48.922534,0.)); #502557=CARTESIAN_POINT('Origin',(25.,48.873,0.)); #502558=CARTESIAN_POINT('',(25.,48.873,0.)); #502559=CARTESIAN_POINT('',(25.,48.873,0.)); #502560=CARTESIAN_POINT('',(25.,48.873,0.035)); #502561=CARTESIAN_POINT('',(25.,48.873,0.035)); #502562=CARTESIAN_POINT('',(25.,48.873,0.)); #502563=CARTESIAN_POINT('Origin',(25.,43.302606,0.)); #502564=CARTESIAN_POINT('',(25.,43.302606,0.)); #502565=CARTESIAN_POINT('',(25.,43.302606,0.)); #502566=CARTESIAN_POINT('',(25.,43.302606,0.035)); #502567=CARTESIAN_POINT('',(25.,43.302606,0.035)); #502568=CARTESIAN_POINT('',(25.,43.302606,0.)); #502569=CARTESIAN_POINT('Origin',(24.990716,43.254944,0.)); #502570=CARTESIAN_POINT('',(24.990716,43.254944,0.)); #502571=CARTESIAN_POINT('',(24.990716,43.254944,0.)); #502572=CARTESIAN_POINT('',(24.990716,43.254944,0.035)); #502573=CARTESIAN_POINT('',(24.990716,43.254944,0.035)); #502574=CARTESIAN_POINT('',(24.990716,43.254944,0.)); #502575=CARTESIAN_POINT('Origin',(24.962803,43.212803,0.)); #502576=CARTESIAN_POINT('',(24.962803,43.212803,0.)); #502577=CARTESIAN_POINT('',(24.962803,43.212803,0.)); #502578=CARTESIAN_POINT('',(24.962803,43.212803,0.035)); #502579=CARTESIAN_POINT('',(24.962803,43.212803,0.035)); #502580=CARTESIAN_POINT('',(24.962803,43.212803,0.)); #502581=CARTESIAN_POINT('Origin',(24.287197,42.537197,0.)); #502582=CARTESIAN_POINT('',(24.287197,42.537197,0.)); #502583=CARTESIAN_POINT('',(24.287197,42.537197,0.)); #502584=CARTESIAN_POINT('',(24.287197,42.537197,0.035)); #502585=CARTESIAN_POINT('',(24.287197,42.537197,0.035)); #502586=CARTESIAN_POINT('',(24.287197,42.537197,0.)); #502587=CARTESIAN_POINT('Origin',(24.246928,42.510059,0.)); #502588=CARTESIAN_POINT('',(24.246928,42.510059,0.)); #502589=CARTESIAN_POINT('',(24.246928,42.510059,0.)); #502590=CARTESIAN_POINT('',(24.246928,42.510059,0.035)); #502591=CARTESIAN_POINT('',(24.246928,42.510059,0.035)); #502592=CARTESIAN_POINT('',(24.246928,42.510059,0.)); #502593=CARTESIAN_POINT('Origin',(24.197394,42.5,0.)); #502594=CARTESIAN_POINT('',(24.197394,42.5,0.)); #502595=CARTESIAN_POINT('',(24.197394,42.5,0.)); #502596=CARTESIAN_POINT('',(24.197394,42.5,0.035)); #502597=CARTESIAN_POINT('',(24.197394,42.5,0.035)); #502598=CARTESIAN_POINT('',(24.197394,42.5,0.)); #502599=CARTESIAN_POINT('Origin',(20.758994,42.5,0.)); #502600=CARTESIAN_POINT('',(20.758994,42.5,0.)); #502601=CARTESIAN_POINT('',(20.758994,42.5,0.)); #502602=CARTESIAN_POINT('',(20.758994,42.5,0.035)); #502603=CARTESIAN_POINT('',(20.758994,42.5,0.035)); #502604=CARTESIAN_POINT('',(20.758994,42.5,0.)); #502605=CARTESIAN_POINT('Origin',(20.749975,42.498716,0.)); #502606=CARTESIAN_POINT('',(20.749975,42.498716,0.)); #502607=CARTESIAN_POINT('',(20.749975,42.498716,0.)); #502608=CARTESIAN_POINT('',(20.749975,42.498716,0.035)); #502609=CARTESIAN_POINT('',(20.749975,42.498716,0.035)); #502610=CARTESIAN_POINT('',(20.749975,42.498716,0.)); #502611=CARTESIAN_POINT('Origin',(20.744347,42.494347,0.)); #502612=CARTESIAN_POINT('',(20.744347,42.494347,0.)); #502613=CARTESIAN_POINT('',(20.744347,42.494347,0.)); #502614=CARTESIAN_POINT('',(20.744347,42.494347,0.035)); #502615=CARTESIAN_POINT('',(20.744347,42.494347,0.035)); #502616=CARTESIAN_POINT('',(20.744347,42.494347,0.)); #502617=CARTESIAN_POINT('Origin',(19.037197,40.787197,0.)); #502618=CARTESIAN_POINT('',(19.037197,40.787197,0.)); #502619=CARTESIAN_POINT('',(19.037197,40.787197,0.)); #502620=CARTESIAN_POINT('',(19.037197,40.787197,0.035)); #502621=CARTESIAN_POINT('',(19.037197,40.787197,0.035)); #502622=CARTESIAN_POINT('',(19.037197,40.787197,0.)); #502623=CARTESIAN_POINT('Origin',(18.996928,40.760059,0.)); #502624=CARTESIAN_POINT('',(18.996928,40.760059,0.)); #502625=CARTESIAN_POINT('',(18.996928,40.760059,0.035)); #502626=CARTESIAN_POINT('Origin',(19.3042511273999,45.2433140507534,0.035)); #502627=CARTESIAN_POINT('Origin',(19.3042511273999,45.2433140507534,0.)); #502628=CARTESIAN_POINT('Origin',(26.75,36.,-200.)); #502629=CARTESIAN_POINT('',(26.61,36.,0.)); #502630=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #502631=CARTESIAN_POINT('',(26.61,36.,0.0349999999999895)); #502632=CARTESIAN_POINT('',(26.61,36.,-200.)); #502633=CARTESIAN_POINT('Origin',(26.75,36.,0.0349999999999895)); #502634=CARTESIAN_POINT('Origin',(26.75,31.,-200.)); #502635=CARTESIAN_POINT('',(26.61,31.,0.)); #502636=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #502637=CARTESIAN_POINT('',(26.61,31.,0.0349999999999895)); #502638=CARTESIAN_POINT('',(26.61,31.,-200.)); #502639=CARTESIAN_POINT('Origin',(26.75,31.,0.0349999999999895)); #502640=CARTESIAN_POINT('Origin',(26.771644,30.725,0.)); #502641=CARTESIAN_POINT('',(26.771644,30.725,0.)); #502642=CARTESIAN_POINT('',(26.814397,30.731772,0.)); #502643=CARTESIAN_POINT('',(26.771644,30.725,0.)); #502644=CARTESIAN_POINT('',(26.814397,30.731772,0.035)); #502645=CARTESIAN_POINT('',(26.814397,30.731772,0.)); #502646=CARTESIAN_POINT('',(26.771644,30.725,0.035)); #502647=CARTESIAN_POINT('',(26.771644,30.725,0.035)); #502648=CARTESIAN_POINT('',(26.771644,30.725,0.)); #502649=CARTESIAN_POINT('Origin',(26.750118471131,30.9995872515008,0.)); #502650=CARTESIAN_POINT('',(26.623,31.243928,0.)); #502651=CARTESIAN_POINT('Origin',(26.750118471131,30.9995872515008,0.)); #502652=CARTESIAN_POINT('',(26.623,31.243928,0.035)); #502653=CARTESIAN_POINT('Origin',(26.750118471131,30.9995872515008,0.035)); #502654=CARTESIAN_POINT('',(26.623,31.243928,0.)); #502655=CARTESIAN_POINT('Origin',(26.623,35.756072,0.)); #502656=CARTESIAN_POINT('',(26.623,35.756072,0.)); #502657=CARTESIAN_POINT('',(26.623,35.756072,0.)); #502658=CARTESIAN_POINT('',(26.623,35.756072,0.035)); #502659=CARTESIAN_POINT('',(26.623,35.756072,0.035)); #502660=CARTESIAN_POINT('',(26.623,35.756072,0.)); #502661=CARTESIAN_POINT('Origin',(26.7500204601791,36.0004637716938,0.)); #502662=CARTESIAN_POINT('',(26.644438,36.254853,0.)); #502663=CARTESIAN_POINT('Origin',(26.7500204601791,36.0004637716938,0.)); #502664=CARTESIAN_POINT('',(26.644438,36.254853,0.035)); #502665=CARTESIAN_POINT('Origin',(26.7500204601791,36.0004637716938,0.035)); #502666=CARTESIAN_POINT('',(26.644438,36.254853,0.)); #502667=CARTESIAN_POINT('Origin',(26.7498222285952,36.000381763261,0.)); #502668=CARTESIAN_POINT('',(26.877,35.756072,0.)); #502669=CARTESIAN_POINT('Origin',(26.7498222285952,36.000381763261,0.)); #502670=CARTESIAN_POINT('',(26.877,35.756072,0.035)); #502671=CARTESIAN_POINT('Origin',(26.7498222285952,36.000381763261,0.035)); #502672=CARTESIAN_POINT('',(26.877,35.756072,0.)); #502673=CARTESIAN_POINT('Origin',(26.877,31.243928,0.)); #502674=CARTESIAN_POINT('',(26.877,31.243928,0.)); #502675=CARTESIAN_POINT('',(26.877,31.243928,0.)); #502676=CARTESIAN_POINT('',(26.877,31.243928,0.035)); #502677=CARTESIAN_POINT('',(26.877,31.243928,0.035)); #502678=CARTESIAN_POINT('',(26.877,31.243928,0.)); #502679=CARTESIAN_POINT('Origin',(26.7499453752955,30.999554310534,0.)); #502680=CARTESIAN_POINT('Origin',(26.7499453752955,30.999554310534,0.)); #502681=CARTESIAN_POINT('Origin',(26.7499453752955,30.999554310534,0.035)); #502682=CARTESIAN_POINT('Origin',(26.7496864506156,33.49980383149,0.035)); #502683=CARTESIAN_POINT('Origin',(26.7496864506156,33.49980383149,0.)); #502684=CARTESIAN_POINT('Origin',(53.5,20.25,-200.)); #502685=CARTESIAN_POINT('',(53.36,20.25,0.)); #502686=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #502687=CARTESIAN_POINT('',(53.36,20.25,0.0349999999999895)); #502688=CARTESIAN_POINT('',(53.36,20.25,-200.)); #502689=CARTESIAN_POINT('Origin',(53.5,20.25,0.0349999999999895)); #502690=CARTESIAN_POINT('Origin',(52.75,20.25,-200.)); #502691=CARTESIAN_POINT('',(52.61,20.25,0.)); #502692=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #502693=CARTESIAN_POINT('',(52.61,20.25,0.0349999999999895)); #502694=CARTESIAN_POINT('',(52.61,20.25,-200.)); #502695=CARTESIAN_POINT('Origin',(52.75,20.25,0.0349999999999895)); #502696=CARTESIAN_POINT('Origin',(52.,21.75,-200.)); #502697=CARTESIAN_POINT('',(51.86,21.75,0.)); #502698=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #502699=CARTESIAN_POINT('',(51.86,21.75,0.0349999999999895)); #502700=CARTESIAN_POINT('',(51.86,21.75,-200.)); #502701=CARTESIAN_POINT('Origin',(52.,21.75,0.0349999999999895)); #502702=CARTESIAN_POINT('Origin',(52.,20.25,-200.)); #502703=CARTESIAN_POINT('',(51.86,20.25,0.)); #502704=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #502705=CARTESIAN_POINT('',(51.86,20.25,0.0349999999999895)); #502706=CARTESIAN_POINT('',(51.86,20.25,-200.)); #502707=CARTESIAN_POINT('Origin',(52.,20.25,0.0349999999999895)); #502708=CARTESIAN_POINT('Origin',(52.75,21.,-200.)); #502709=CARTESIAN_POINT('',(52.61,21.,0.)); #502710=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #502711=CARTESIAN_POINT('',(52.61,21.,0.0349999999999895)); #502712=CARTESIAN_POINT('',(52.61,21.,-200.)); #502713=CARTESIAN_POINT('Origin',(52.75,21.,0.0349999999999895)); #502714=CARTESIAN_POINT('Origin',(53.5,21.,-200.)); #502715=CARTESIAN_POINT('',(53.36,21.,0.)); #502716=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #502717=CARTESIAN_POINT('',(53.36,21.,0.0349999999999895)); #502718=CARTESIAN_POINT('',(53.36,21.,-200.)); #502719=CARTESIAN_POINT('Origin',(53.5,21.,0.0349999999999895)); #502720=CARTESIAN_POINT('Origin',(52.,21.,-200.)); #502721=CARTESIAN_POINT('',(51.86,21.,0.)); #502722=CARTESIAN_POINT('Origin',(52.,21.,0.)); #502723=CARTESIAN_POINT('',(51.86,21.,0.0349999999999895)); #502724=CARTESIAN_POINT('',(51.86,21.,-200.)); #502725=CARTESIAN_POINT('Origin',(52.,21.,0.0349999999999895)); #502726=CARTESIAN_POINT('Origin',(53.5,21.75,-200.)); #502727=CARTESIAN_POINT('',(53.36,21.75,0.)); #502728=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #502729=CARTESIAN_POINT('',(53.36,21.75,0.0349999999999895)); #502730=CARTESIAN_POINT('',(53.36,21.75,-200.)); #502731=CARTESIAN_POINT('Origin',(53.5,21.75,0.0349999999999895)); #502732=CARTESIAN_POINT('Origin',(52.75,21.75,-200.)); #502733=CARTESIAN_POINT('',(52.61,21.75,0.)); #502734=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #502735=CARTESIAN_POINT('',(52.61,21.75,0.0349999999999895)); #502736=CARTESIAN_POINT('',(52.61,21.75,-200.)); #502737=CARTESIAN_POINT('Origin',(52.75,21.75,0.0349999999999895)); #502738=CARTESIAN_POINT('Origin',(52.25,34.,-200.)); #502739=CARTESIAN_POINT('',(51.785,34.,0.)); #502740=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #502741=CARTESIAN_POINT('',(51.785,34.,0.0349999999999895)); #502742=CARTESIAN_POINT('',(51.785,34.,-200.)); #502743=CARTESIAN_POINT('Origin',(52.25,34.,0.0349999999999895)); #502744=CARTESIAN_POINT('Origin',(53.697394,19.25,0.)); #502745=CARTESIAN_POINT('',(53.697394,19.25,0.)); #502746=CARTESIAN_POINT('',(53.746928,19.260059,0.)); #502747=CARTESIAN_POINT('',(53.697394,19.25,0.)); #502748=CARTESIAN_POINT('',(53.746928,19.260059,0.035)); #502749=CARTESIAN_POINT('',(53.746928,19.260059,0.)); #502750=CARTESIAN_POINT('',(53.697394,19.25,0.035)); #502751=CARTESIAN_POINT('',(53.697394,19.25,0.035)); #502752=CARTESIAN_POINT('',(53.697394,19.25,0.)); #502753=CARTESIAN_POINT('Origin',(51.552606,19.25,0.)); #502754=CARTESIAN_POINT('',(51.552606,19.25,0.)); #502755=CARTESIAN_POINT('',(51.552606,19.25,0.)); #502756=CARTESIAN_POINT('',(51.552606,19.25,0.035)); #502757=CARTESIAN_POINT('',(51.552606,19.25,0.035)); #502758=CARTESIAN_POINT('',(51.552606,19.25,0.)); #502759=CARTESIAN_POINT('Origin',(51.504944,19.259284,0.)); #502760=CARTESIAN_POINT('',(51.504944,19.259284,0.)); #502761=CARTESIAN_POINT('',(51.504944,19.259284,0.)); #502762=CARTESIAN_POINT('',(51.504944,19.259284,0.035)); #502763=CARTESIAN_POINT('',(51.504944,19.259284,0.035)); #502764=CARTESIAN_POINT('',(51.504944,19.259284,0.)); #502765=CARTESIAN_POINT('Origin',(51.462803,19.287197,0.)); #502766=CARTESIAN_POINT('',(51.462803,19.287197,0.)); #502767=CARTESIAN_POINT('',(51.462803,19.287197,0.)); #502768=CARTESIAN_POINT('',(51.462803,19.287197,0.035)); #502769=CARTESIAN_POINT('',(51.462803,19.287197,0.035)); #502770=CARTESIAN_POINT('',(51.462803,19.287197,0.)); #502771=CARTESIAN_POINT('Origin',(51.287197,19.462803,0.)); #502772=CARTESIAN_POINT('',(51.287197,19.462803,0.)); #502773=CARTESIAN_POINT('',(51.287197,19.462803,0.)); #502774=CARTESIAN_POINT('',(51.287197,19.462803,0.035)); #502775=CARTESIAN_POINT('',(51.287197,19.462803,0.035)); #502776=CARTESIAN_POINT('',(51.287197,19.462803,0.)); #502777=CARTESIAN_POINT('Origin',(51.260059,19.503072,0.)); #502778=CARTESIAN_POINT('',(51.260059,19.503072,0.)); #502779=CARTESIAN_POINT('',(51.260059,19.503072,0.)); #502780=CARTESIAN_POINT('',(51.260059,19.503072,0.035)); #502781=CARTESIAN_POINT('',(51.260059,19.503072,0.035)); #502782=CARTESIAN_POINT('',(51.260059,19.503072,0.)); #502783=CARTESIAN_POINT('Origin',(51.25,19.552606,0.)); #502784=CARTESIAN_POINT('',(51.25,19.552606,0.)); #502785=CARTESIAN_POINT('',(51.25,19.552606,0.)); #502786=CARTESIAN_POINT('',(51.25,19.552606,0.035)); #502787=CARTESIAN_POINT('',(51.25,19.552606,0.035)); #502788=CARTESIAN_POINT('',(51.25,19.552606,0.)); #502789=CARTESIAN_POINT('Origin',(51.25,35.447394,0.)); #502790=CARTESIAN_POINT('',(51.25,35.447394,0.)); #502791=CARTESIAN_POINT('',(51.25,35.447394,0.)); #502792=CARTESIAN_POINT('',(51.25,35.447394,0.035)); #502793=CARTESIAN_POINT('',(51.25,35.447394,0.035)); #502794=CARTESIAN_POINT('',(51.25,35.447394,0.)); #502795=CARTESIAN_POINT('Origin',(51.259284,35.495056,0.)); #502796=CARTESIAN_POINT('',(51.259284,35.495056,0.)); #502797=CARTESIAN_POINT('',(51.259284,35.495056,0.)); #502798=CARTESIAN_POINT('',(51.259284,35.495056,0.035)); #502799=CARTESIAN_POINT('',(51.259284,35.495056,0.035)); #502800=CARTESIAN_POINT('',(51.259284,35.495056,0.)); #502801=CARTESIAN_POINT('Origin',(51.287197,35.537197,0.)); #502802=CARTESIAN_POINT('',(51.287197,35.537197,0.)); #502803=CARTESIAN_POINT('',(51.287197,35.537197,0.)); #502804=CARTESIAN_POINT('',(51.287197,35.537197,0.035)); #502805=CARTESIAN_POINT('',(51.287197,35.537197,0.035)); #502806=CARTESIAN_POINT('',(51.287197,35.537197,0.)); #502807=CARTESIAN_POINT('Origin',(51.462803,35.712803,0.)); #502808=CARTESIAN_POINT('',(51.462803,35.712803,0.)); #502809=CARTESIAN_POINT('',(51.462803,35.712803,0.)); #502810=CARTESIAN_POINT('',(51.462803,35.712803,0.035)); #502811=CARTESIAN_POINT('',(51.462803,35.712803,0.035)); #502812=CARTESIAN_POINT('',(51.462803,35.712803,0.)); #502813=CARTESIAN_POINT('Origin',(51.503072,35.739941,0.)); #502814=CARTESIAN_POINT('',(51.503072,35.739941,0.)); #502815=CARTESIAN_POINT('',(51.503072,35.739941,0.)); #502816=CARTESIAN_POINT('',(51.503072,35.739941,0.035)); #502817=CARTESIAN_POINT('',(51.503072,35.739941,0.035)); #502818=CARTESIAN_POINT('',(51.503072,35.739941,0.)); #502819=CARTESIAN_POINT('Origin',(51.552606,35.75,0.)); #502820=CARTESIAN_POINT('',(51.552606,35.75,0.)); #502821=CARTESIAN_POINT('',(51.552606,35.75,0.)); #502822=CARTESIAN_POINT('',(51.552606,35.75,0.035)); #502823=CARTESIAN_POINT('',(51.552606,35.75,0.035)); #502824=CARTESIAN_POINT('',(51.552606,35.75,0.)); #502825=CARTESIAN_POINT('Origin',(53.697394,35.75,0.)); #502826=CARTESIAN_POINT('',(53.697394,35.75,0.)); #502827=CARTESIAN_POINT('',(53.697394,35.75,0.)); #502828=CARTESIAN_POINT('',(53.697394,35.75,0.035)); #502829=CARTESIAN_POINT('',(53.697394,35.75,0.035)); #502830=CARTESIAN_POINT('',(53.697394,35.75,0.)); #502831=CARTESIAN_POINT('Origin',(53.745056,35.740716,0.)); #502832=CARTESIAN_POINT('',(53.745056,35.740716,0.)); #502833=CARTESIAN_POINT('',(53.745056,35.740716,0.)); #502834=CARTESIAN_POINT('',(53.745056,35.740716,0.035)); #502835=CARTESIAN_POINT('',(53.745056,35.740716,0.035)); #502836=CARTESIAN_POINT('',(53.745056,35.740716,0.)); #502837=CARTESIAN_POINT('Origin',(53.787197,35.712803,0.)); #502838=CARTESIAN_POINT('',(53.787197,35.712803,0.)); #502839=CARTESIAN_POINT('',(53.787197,35.712803,0.)); #502840=CARTESIAN_POINT('',(53.787197,35.712803,0.035)); #502841=CARTESIAN_POINT('',(53.787197,35.712803,0.035)); #502842=CARTESIAN_POINT('',(53.787197,35.712803,0.)); #502843=CARTESIAN_POINT('Origin',(53.962803,35.537197,0.)); #502844=CARTESIAN_POINT('',(53.962803,35.537197,0.)); #502845=CARTESIAN_POINT('',(53.962803,35.537197,0.)); #502846=CARTESIAN_POINT('',(53.962803,35.537197,0.035)); #502847=CARTESIAN_POINT('',(53.962803,35.537197,0.035)); #502848=CARTESIAN_POINT('',(53.962803,35.537197,0.)); #502849=CARTESIAN_POINT('Origin',(53.989941,35.496928,0.)); #502850=CARTESIAN_POINT('',(53.989941,35.496928,0.)); #502851=CARTESIAN_POINT('',(53.989941,35.496928,0.)); #502852=CARTESIAN_POINT('',(53.989941,35.496928,0.035)); #502853=CARTESIAN_POINT('',(53.989941,35.496928,0.035)); #502854=CARTESIAN_POINT('',(53.989941,35.496928,0.)); #502855=CARTESIAN_POINT('Origin',(54.,35.447394,0.)); #502856=CARTESIAN_POINT('',(54.,35.447394,0.)); #502857=CARTESIAN_POINT('',(54.,35.447394,0.)); #502858=CARTESIAN_POINT('',(54.,35.447394,0.035)); #502859=CARTESIAN_POINT('',(54.,35.447394,0.035)); #502860=CARTESIAN_POINT('',(54.,35.447394,0.)); #502861=CARTESIAN_POINT('Origin',(54.,19.552606,0.)); #502862=CARTESIAN_POINT('',(54.,19.552606,0.)); #502863=CARTESIAN_POINT('',(54.,19.552606,0.)); #502864=CARTESIAN_POINT('',(54.,19.552606,0.035)); #502865=CARTESIAN_POINT('',(54.,19.552606,0.035)); #502866=CARTESIAN_POINT('',(54.,19.552606,0.)); #502867=CARTESIAN_POINT('Origin',(53.990716,19.504944,0.)); #502868=CARTESIAN_POINT('',(53.990716,19.504944,0.)); #502869=CARTESIAN_POINT('',(53.990716,19.504944,0.)); #502870=CARTESIAN_POINT('',(53.990716,19.504944,0.035)); #502871=CARTESIAN_POINT('',(53.990716,19.504944,0.035)); #502872=CARTESIAN_POINT('',(53.990716,19.504944,0.)); #502873=CARTESIAN_POINT('Origin',(53.962803,19.462803,0.)); #502874=CARTESIAN_POINT('',(53.962803,19.462803,0.)); #502875=CARTESIAN_POINT('',(53.962803,19.462803,0.)); #502876=CARTESIAN_POINT('',(53.962803,19.462803,0.035)); #502877=CARTESIAN_POINT('',(53.962803,19.462803,0.035)); #502878=CARTESIAN_POINT('',(53.962803,19.462803,0.)); #502879=CARTESIAN_POINT('Origin',(53.787197,19.287197,0.)); #502880=CARTESIAN_POINT('',(53.787197,19.287197,0.)); #502881=CARTESIAN_POINT('',(53.787197,19.287197,0.)); #502882=CARTESIAN_POINT('',(53.787197,19.287197,0.035)); #502883=CARTESIAN_POINT('',(53.787197,19.287197,0.035)); #502884=CARTESIAN_POINT('',(53.787197,19.287197,0.)); #502885=CARTESIAN_POINT('Origin',(53.746928,19.260059,0.)); #502886=CARTESIAN_POINT('',(53.746928,19.260059,0.)); #502887=CARTESIAN_POINT('',(53.746928,19.260059,0.035)); #502888=CARTESIAN_POINT('Origin',(52.625,27.5,0.035)); #502889=CARTESIAN_POINT('Origin',(52.625,27.5,0.)); #502890=CARTESIAN_POINT('Origin',(39.25,19.,-200.)); #502891=CARTESIAN_POINT('',(39.11,19.,0.)); #502892=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #502893=CARTESIAN_POINT('',(39.11,19.,0.0349999999999895)); #502894=CARTESIAN_POINT('',(39.11,19.,-200.)); #502895=CARTESIAN_POINT('Origin',(39.25,19.,0.0349999999999895)); #502896=CARTESIAN_POINT('Origin',(39.271644,18.725,0.)); #502897=CARTESIAN_POINT('',(39.271644,18.725,0.)); #502898=CARTESIAN_POINT('',(39.314397,18.731772,0.)); #502899=CARTESIAN_POINT('',(39.271644,18.725,0.)); #502900=CARTESIAN_POINT('',(39.314397,18.731772,0.035)); #502901=CARTESIAN_POINT('',(39.314397,18.731772,0.)); #502902=CARTESIAN_POINT('',(39.271644,18.725,0.035)); #502903=CARTESIAN_POINT('',(39.271644,18.725,0.035)); #502904=CARTESIAN_POINT('',(39.271644,18.725,0.)); #502905=CARTESIAN_POINT('Origin',(39.2500000802027,18.9999998017945,0.)); #502906=CARTESIAN_POINT('',(39.185603,19.268228,0.)); #502907=CARTESIAN_POINT('Origin',(39.2500000802027,18.9999998017945,0.)); #502908=CARTESIAN_POINT('',(39.185603,19.268228,0.035)); #502909=CARTESIAN_POINT('Origin',(39.2500000802027,18.9999998017945,0.035)); #502910=CARTESIAN_POINT('',(39.185603,19.268228,0.)); #502911=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #502912=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #502913=CARTESIAN_POINT('Origin',(39.25,19.,0.035)); #502914=CARTESIAN_POINT('Origin',(39.2491163162584,18.9991950794965,0.035)); #502915=CARTESIAN_POINT('Origin',(39.2491163162584,18.9991950794965,0.)); #502916=CARTESIAN_POINT('Origin',(45.125,47.75,-200.)); #502917=CARTESIAN_POINT('',(44.985,47.75,0.)); #502918=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #502919=CARTESIAN_POINT('',(44.985,47.75,0.0349999999999895)); #502920=CARTESIAN_POINT('',(44.985,47.75,-200.)); #502921=CARTESIAN_POINT('Origin',(45.125,47.75,0.0349999999999895)); #502922=CARTESIAN_POINT('Origin',(46.,47.,-200.)); #502923=CARTESIAN_POINT('',(45.86,47.,0.)); #502924=CARTESIAN_POINT('Origin',(46.,47.,0.)); #502925=CARTESIAN_POINT('',(45.86,47.,0.0349999999999895)); #502926=CARTESIAN_POINT('',(45.86,47.,-200.)); #502927=CARTESIAN_POINT('Origin',(46.,47.,0.0349999999999895)); #502928=CARTESIAN_POINT('Origin',(46.,47.75,-200.)); #502929=CARTESIAN_POINT('',(45.86,47.75,0.)); #502930=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #502931=CARTESIAN_POINT('',(45.86,47.75,0.0349999999999895)); #502932=CARTESIAN_POINT('',(45.86,47.75,-200.)); #502933=CARTESIAN_POINT('Origin',(46.,47.75,0.0349999999999895)); #502934=CARTESIAN_POINT('Origin',(47.75,47.75,-200.)); #502935=CARTESIAN_POINT('',(47.61,47.75,0.)); #502936=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #502937=CARTESIAN_POINT('',(47.61,47.75,0.0349999999999895)); #502938=CARTESIAN_POINT('',(47.61,47.75,-200.)); #502939=CARTESIAN_POINT('Origin',(47.75,47.75,0.0349999999999895)); #502940=CARTESIAN_POINT('Origin',(47.75,46.25,-200.)); #502941=CARTESIAN_POINT('',(47.61,46.25,0.)); #502942=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #502943=CARTESIAN_POINT('',(47.61,46.25,0.0349999999999895)); #502944=CARTESIAN_POINT('',(47.61,46.25,-200.)); #502945=CARTESIAN_POINT('Origin',(47.75,46.25,0.0349999999999895)); #502946=CARTESIAN_POINT('Origin',(46.,46.25,-200.)); #502947=CARTESIAN_POINT('',(45.86,46.25,0.)); #502948=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #502949=CARTESIAN_POINT('',(45.86,46.25,0.0349999999999895)); #502950=CARTESIAN_POINT('',(45.86,46.25,-200.)); #502951=CARTESIAN_POINT('Origin',(46.,46.25,0.0349999999999895)); #502952=CARTESIAN_POINT('Origin',(47.75,47.,-200.)); #502953=CARTESIAN_POINT('',(47.61,47.,0.)); #502954=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #502955=CARTESIAN_POINT('',(47.61,47.,0.0349999999999895)); #502956=CARTESIAN_POINT('',(47.61,47.,-200.)); #502957=CARTESIAN_POINT('Origin',(47.75,47.,0.0349999999999895)); #502958=CARTESIAN_POINT('Origin',(44.25,47.,-200.)); #502959=CARTESIAN_POINT('',(44.11,47.,0.)); #502960=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #502961=CARTESIAN_POINT('',(44.11,47.,0.0349999999999895)); #502962=CARTESIAN_POINT('',(44.11,47.,-200.)); #502963=CARTESIAN_POINT('Origin',(44.25,47.,0.0349999999999895)); #502964=CARTESIAN_POINT('Origin',(44.25,47.75,-200.)); #502965=CARTESIAN_POINT('',(44.11,47.75,0.)); #502966=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #502967=CARTESIAN_POINT('',(44.11,47.75,0.0349999999999895)); #502968=CARTESIAN_POINT('',(44.11,47.75,-200.)); #502969=CARTESIAN_POINT('Origin',(44.25,47.75,0.0349999999999895)); #502970=CARTESIAN_POINT('Origin',(44.25,46.25,-200.)); #502971=CARTESIAN_POINT('',(44.11,46.25,0.)); #502972=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #502973=CARTESIAN_POINT('',(44.11,46.25,0.0349999999999895)); #502974=CARTESIAN_POINT('',(44.11,46.25,-200.)); #502975=CARTESIAN_POINT('Origin',(44.25,46.25,0.0349999999999895)); #502976=CARTESIAN_POINT('Origin',(52.125,47.75,-200.)); #502977=CARTESIAN_POINT('',(51.985,47.75,0.)); #502978=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #502979=CARTESIAN_POINT('',(51.985,47.75,0.0349999999999895)); #502980=CARTESIAN_POINT('',(51.985,47.75,-200.)); #502981=CARTESIAN_POINT('Origin',(52.125,47.75,0.0349999999999895)); #502982=CARTESIAN_POINT('Origin',(52.125,47.,-200.)); #502983=CARTESIAN_POINT('',(51.985,47.,0.)); #502984=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #502985=CARTESIAN_POINT('',(51.985,47.,0.0349999999999895)); #502986=CARTESIAN_POINT('',(51.985,47.,-200.)); #502987=CARTESIAN_POINT('Origin',(52.125,47.,0.0349999999999895)); #502988=CARTESIAN_POINT('Origin',(51.25,46.25,-200.)); #502989=CARTESIAN_POINT('',(51.11,46.25,0.)); #502990=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #502991=CARTESIAN_POINT('',(51.11,46.25,0.0349999999999895)); #502992=CARTESIAN_POINT('',(51.11,46.25,-200.)); #502993=CARTESIAN_POINT('Origin',(51.25,46.25,0.0349999999999895)); #502994=CARTESIAN_POINT('Origin',(52.125,46.25,-200.)); #502995=CARTESIAN_POINT('',(51.985,46.25,0.)); #502996=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #502997=CARTESIAN_POINT('',(51.985,46.25,0.0349999999999895)); #502998=CARTESIAN_POINT('',(51.985,46.25,-200.)); #502999=CARTESIAN_POINT('Origin',(52.125,46.25,0.0349999999999895)); #503000=CARTESIAN_POINT('Origin',(48.625,47.75,-200.)); #503001=CARTESIAN_POINT('',(48.485,47.75,0.)); #503002=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #503003=CARTESIAN_POINT('',(48.485,47.75,0.0349999999999895)); #503004=CARTESIAN_POINT('',(48.485,47.75,-200.)); #503005=CARTESIAN_POINT('Origin',(48.625,47.75,0.0349999999999895)); #503006=CARTESIAN_POINT('Origin',(48.625,47.,-200.)); #503007=CARTESIAN_POINT('',(48.485,47.,0.)); #503008=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #503009=CARTESIAN_POINT('',(48.485,47.,0.0349999999999895)); #503010=CARTESIAN_POINT('',(48.485,47.,-200.)); #503011=CARTESIAN_POINT('Origin',(48.625,47.,0.0349999999999895)); #503012=CARTESIAN_POINT('Origin',(46.875,47.75,-200.)); #503013=CARTESIAN_POINT('',(46.735,47.75,0.)); #503014=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #503015=CARTESIAN_POINT('',(46.735,47.75,0.0349999999999895)); #503016=CARTESIAN_POINT('',(46.735,47.75,-200.)); #503017=CARTESIAN_POINT('Origin',(46.875,47.75,0.0349999999999895)); #503018=CARTESIAN_POINT('Origin',(48.625,46.25,-200.)); #503019=CARTESIAN_POINT('',(48.485,46.25,0.)); #503020=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #503021=CARTESIAN_POINT('',(48.485,46.25,0.0349999999999895)); #503022=CARTESIAN_POINT('',(48.485,46.25,-200.)); #503023=CARTESIAN_POINT('Origin',(48.625,46.25,0.0349999999999895)); #503024=CARTESIAN_POINT('Origin',(45.125,46.25,-200.)); #503025=CARTESIAN_POINT('',(44.985,46.25,0.)); #503026=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #503027=CARTESIAN_POINT('',(44.985,46.25,0.0349999999999895)); #503028=CARTESIAN_POINT('',(44.985,46.25,-200.)); #503029=CARTESIAN_POINT('Origin',(45.125,46.25,0.0349999999999895)); #503030=CARTESIAN_POINT('Origin',(45.125,47.,-200.)); #503031=CARTESIAN_POINT('',(44.985,47.,0.)); #503032=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #503033=CARTESIAN_POINT('',(44.985,47.,0.0349999999999895)); #503034=CARTESIAN_POINT('',(44.985,47.,-200.)); #503035=CARTESIAN_POINT('Origin',(45.125,47.,0.0349999999999895)); #503036=CARTESIAN_POINT('Origin',(46.875,46.25,-200.)); #503037=CARTESIAN_POINT('',(46.735,46.25,0.)); #503038=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #503039=CARTESIAN_POINT('',(46.735,46.25,0.0349999999999895)); #503040=CARTESIAN_POINT('',(46.735,46.25,-200.)); #503041=CARTESIAN_POINT('Origin',(46.875,46.25,0.0349999999999895)); #503042=CARTESIAN_POINT('Origin',(46.875,47.,-200.)); #503043=CARTESIAN_POINT('',(46.735,47.,0.)); #503044=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #503045=CARTESIAN_POINT('',(46.735,47.,0.0349999999999895)); #503046=CARTESIAN_POINT('',(46.735,47.,-200.)); #503047=CARTESIAN_POINT('Origin',(46.875,47.,0.0349999999999895)); #503048=CARTESIAN_POINT('Origin',(50.375,46.25,-200.)); #503049=CARTESIAN_POINT('',(50.235,46.25,0.)); #503050=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #503051=CARTESIAN_POINT('',(50.235,46.25,0.0349999999999895)); #503052=CARTESIAN_POINT('',(50.235,46.25,-200.)); #503053=CARTESIAN_POINT('Origin',(50.375,46.25,0.0349999999999895)); #503054=CARTESIAN_POINT('Origin',(49.5,46.25,-200.)); #503055=CARTESIAN_POINT('',(49.36,46.25,0.)); #503056=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #503057=CARTESIAN_POINT('',(49.36,46.25,0.0349999999999895)); #503058=CARTESIAN_POINT('',(49.36,46.25,-200.)); #503059=CARTESIAN_POINT('Origin',(49.5,46.25,0.0349999999999895)); #503060=CARTESIAN_POINT('Origin',(49.5,47.75,-200.)); #503061=CARTESIAN_POINT('',(49.36,47.75,0.)); #503062=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #503063=CARTESIAN_POINT('',(49.36,47.75,0.0349999999999895)); #503064=CARTESIAN_POINT('',(49.36,47.75,-200.)); #503065=CARTESIAN_POINT('Origin',(49.5,47.75,0.0349999999999895)); #503066=CARTESIAN_POINT('Origin',(49.5,47.,-200.)); #503067=CARTESIAN_POINT('',(49.36,47.,0.)); #503068=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #503069=CARTESIAN_POINT('',(49.36,47.,0.0349999999999895)); #503070=CARTESIAN_POINT('',(49.36,47.,-200.)); #503071=CARTESIAN_POINT('Origin',(49.5,47.,0.0349999999999895)); #503072=CARTESIAN_POINT('Origin',(50.375,47.75,-200.)); #503073=CARTESIAN_POINT('',(50.235,47.75,0.)); #503074=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #503075=CARTESIAN_POINT('',(50.235,47.75,0.0349999999999895)); #503076=CARTESIAN_POINT('',(50.235,47.75,-200.)); #503077=CARTESIAN_POINT('Origin',(50.375,47.75,0.0349999999999895)); #503078=CARTESIAN_POINT('Origin',(50.375,47.,-200.)); #503079=CARTESIAN_POINT('',(50.235,47.,0.)); #503080=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #503081=CARTESIAN_POINT('',(50.235,47.,0.0349999999999895)); #503082=CARTESIAN_POINT('',(50.235,47.,-200.)); #503083=CARTESIAN_POINT('Origin',(50.375,47.,0.0349999999999895)); #503084=CARTESIAN_POINT('Origin',(51.25,47.75,-200.)); #503085=CARTESIAN_POINT('',(51.11,47.75,0.)); #503086=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #503087=CARTESIAN_POINT('',(51.11,47.75,0.0349999999999895)); #503088=CARTESIAN_POINT('',(51.11,47.75,-200.)); #503089=CARTESIAN_POINT('Origin',(51.25,47.75,0.0349999999999895)); #503090=CARTESIAN_POINT('Origin',(51.25,47.,-200.)); #503091=CARTESIAN_POINT('',(51.11,47.,0.)); #503092=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #503093=CARTESIAN_POINT('',(51.11,47.,0.0349999999999895)); #503094=CARTESIAN_POINT('',(51.11,47.,-200.)); #503095=CARTESIAN_POINT('Origin',(51.25,47.,0.0349999999999895)); #503096=CARTESIAN_POINT('Origin',(53.197394,41.,0.)); #503097=CARTESIAN_POINT('',(53.197394,41.,0.)); #503098=CARTESIAN_POINT('',(53.246928,41.010059,0.)); #503099=CARTESIAN_POINT('',(53.197394,41.,0.)); #503100=CARTESIAN_POINT('',(53.246928,41.010059,0.035)); #503101=CARTESIAN_POINT('',(53.246928,41.010059,0.)); #503102=CARTESIAN_POINT('',(53.197394,41.,0.035)); #503103=CARTESIAN_POINT('',(53.197394,41.,0.035)); #503104=CARTESIAN_POINT('',(53.197394,41.,0.)); #503105=CARTESIAN_POINT('Origin',(48.802606,41.,0.)); #503106=CARTESIAN_POINT('',(48.802606,41.,0.)); #503107=CARTESIAN_POINT('',(48.802606,41.,0.)); #503108=CARTESIAN_POINT('',(48.802606,41.,0.035)); #503109=CARTESIAN_POINT('',(48.802606,41.,0.035)); #503110=CARTESIAN_POINT('',(48.802606,41.,0.)); #503111=CARTESIAN_POINT('Origin',(48.754944,41.009284,0.)); #503112=CARTESIAN_POINT('',(48.754944,41.009284,0.)); #503113=CARTESIAN_POINT('',(48.754944,41.009284,0.)); #503114=CARTESIAN_POINT('',(48.754944,41.009284,0.035)); #503115=CARTESIAN_POINT('',(48.754944,41.009284,0.035)); #503116=CARTESIAN_POINT('',(48.754944,41.009284,0.)); #503117=CARTESIAN_POINT('Origin',(48.712803,41.037197,0.)); #503118=CARTESIAN_POINT('',(48.712803,41.037197,0.)); #503119=CARTESIAN_POINT('',(48.712803,41.037197,0.)); #503120=CARTESIAN_POINT('',(48.712803,41.037197,0.035)); #503121=CARTESIAN_POINT('',(48.712803,41.037197,0.035)); #503122=CARTESIAN_POINT('',(48.712803,41.037197,0.)); #503123=CARTESIAN_POINT('Origin',(47.256366,42.493634,0.)); #503124=CARTESIAN_POINT('',(47.256366,42.493634,0.)); #503125=CARTESIAN_POINT('',(47.256366,42.493634,0.)); #503126=CARTESIAN_POINT('',(47.256366,42.493634,0.035)); #503127=CARTESIAN_POINT('',(47.256366,42.493634,0.035)); #503128=CARTESIAN_POINT('',(47.256366,42.493634,0.)); #503129=CARTESIAN_POINT('Origin',(47.249072,42.499109,0.)); #503130=CARTESIAN_POINT('',(47.249072,42.499109,0.)); #503131=CARTESIAN_POINT('',(47.249072,42.499109,0.)); #503132=CARTESIAN_POINT('',(47.249072,42.499109,0.035)); #503133=CARTESIAN_POINT('',(47.249072,42.499109,0.035)); #503134=CARTESIAN_POINT('',(47.249072,42.499109,0.)); #503135=CARTESIAN_POINT('Origin',(47.242016,42.5,0.)); #503136=CARTESIAN_POINT('',(47.242016,42.5,0.)); #503137=CARTESIAN_POINT('',(47.242016,42.5,0.)); #503138=CARTESIAN_POINT('',(47.242016,42.5,0.035)); #503139=CARTESIAN_POINT('',(47.242016,42.5,0.035)); #503140=CARTESIAN_POINT('',(47.242016,42.5,0.)); #503141=CARTESIAN_POINT('Origin',(43.802606,42.5,0.)); #503142=CARTESIAN_POINT('',(43.802606,42.5,0.)); #503143=CARTESIAN_POINT('',(43.802606,42.5,0.)); #503144=CARTESIAN_POINT('',(43.802606,42.5,0.035)); #503145=CARTESIAN_POINT('',(43.802606,42.5,0.035)); #503146=CARTESIAN_POINT('',(43.802606,42.5,0.)); #503147=CARTESIAN_POINT('Origin',(43.754944,42.509284,0.)); #503148=CARTESIAN_POINT('',(43.754944,42.509284,0.)); #503149=CARTESIAN_POINT('',(43.754944,42.509284,0.)); #503150=CARTESIAN_POINT('',(43.754944,42.509284,0.035)); #503151=CARTESIAN_POINT('',(43.754944,42.509284,0.035)); #503152=CARTESIAN_POINT('',(43.754944,42.509284,0.)); #503153=CARTESIAN_POINT('Origin',(43.712803,42.537197,0.)); #503154=CARTESIAN_POINT('',(43.712803,42.537197,0.)); #503155=CARTESIAN_POINT('',(43.712803,42.537197,0.)); #503156=CARTESIAN_POINT('',(43.712803,42.537197,0.035)); #503157=CARTESIAN_POINT('',(43.712803,42.537197,0.035)); #503158=CARTESIAN_POINT('',(43.712803,42.537197,0.)); #503159=CARTESIAN_POINT('Origin',(43.037197,43.212803,0.)); #503160=CARTESIAN_POINT('',(43.037197,43.212803,0.)); #503161=CARTESIAN_POINT('',(43.037197,43.212803,0.)); #503162=CARTESIAN_POINT('',(43.037197,43.212803,0.035)); #503163=CARTESIAN_POINT('',(43.037197,43.212803,0.035)); #503164=CARTESIAN_POINT('',(43.037197,43.212803,0.)); #503165=CARTESIAN_POINT('Origin',(43.010059,43.253072,0.)); #503166=CARTESIAN_POINT('',(43.010059,43.253072,0.)); #503167=CARTESIAN_POINT('',(43.010059,43.253072,0.)); #503168=CARTESIAN_POINT('',(43.010059,43.253072,0.035)); #503169=CARTESIAN_POINT('',(43.010059,43.253072,0.035)); #503170=CARTESIAN_POINT('',(43.010059,43.253072,0.)); #503171=CARTESIAN_POINT('Origin',(43.,43.302606,0.)); #503172=CARTESIAN_POINT('',(43.,43.302606,0.)); #503173=CARTESIAN_POINT('',(43.,43.302606,0.)); #503174=CARTESIAN_POINT('',(43.,43.302606,0.035)); #503175=CARTESIAN_POINT('',(43.,43.302606,0.035)); #503176=CARTESIAN_POINT('',(43.,43.302606,0.)); #503177=CARTESIAN_POINT('Origin',(43.,48.873,0.)); #503178=CARTESIAN_POINT('',(43.,48.873,0.)); #503179=CARTESIAN_POINT('',(43.,48.873,0.)); #503180=CARTESIAN_POINT('',(43.,48.873,0.035)); #503181=CARTESIAN_POINT('',(43.,48.873,0.035)); #503182=CARTESIAN_POINT('',(43.,48.873,0.)); #503183=CARTESIAN_POINT('Origin',(43.008538,48.918781,0.)); #503184=CARTESIAN_POINT('',(43.008538,48.918781,0.)); #503185=CARTESIAN_POINT('',(43.008538,48.918781,0.)); #503186=CARTESIAN_POINT('',(43.008538,48.918781,0.035)); #503187=CARTESIAN_POINT('',(43.008538,48.918781,0.035)); #503188=CARTESIAN_POINT('',(43.008538,48.918781,0.)); #503189=CARTESIAN_POINT('Origin',(43.035778,48.961359,0.)); #503190=CARTESIAN_POINT('',(43.035778,48.961359,0.)); #503191=CARTESIAN_POINT('',(43.035778,48.961359,0.)); #503192=CARTESIAN_POINT('',(43.035778,48.961359,0.035)); #503193=CARTESIAN_POINT('',(43.035778,48.961359,0.035)); #503194=CARTESIAN_POINT('',(43.035778,48.961359,0.)); #503195=CARTESIAN_POINT('Origin',(43.077466,48.989941,0.)); #503196=CARTESIAN_POINT('',(43.077466,48.989941,0.)); #503197=CARTESIAN_POINT('',(43.077466,48.989941,0.)); #503198=CARTESIAN_POINT('',(43.077466,48.989941,0.035)); #503199=CARTESIAN_POINT('',(43.077466,48.989941,0.035)); #503200=CARTESIAN_POINT('',(43.077466,48.989941,0.)); #503201=CARTESIAN_POINT('Origin',(43.127,49.,0.)); #503202=CARTESIAN_POINT('',(43.127,49.,0.)); #503203=CARTESIAN_POINT('',(43.127,49.,0.)); #503204=CARTESIAN_POINT('',(43.127,49.,0.035)); #503205=CARTESIAN_POINT('',(43.127,49.,0.035)); #503206=CARTESIAN_POINT('',(43.127,49.,0.)); #503207=CARTESIAN_POINT('Origin',(43.676869,49.,0.)); #503208=CARTESIAN_POINT('',(43.676869,49.,0.)); #503209=CARTESIAN_POINT('',(43.676869,49.,0.)); #503210=CARTESIAN_POINT('',(43.676869,49.,0.035)); #503211=CARTESIAN_POINT('',(43.676869,49.,0.035)); #503212=CARTESIAN_POINT('',(43.676869,49.,0.)); #503213=CARTESIAN_POINT('Origin',(43.689191,48.9976,0.)); #503214=CARTESIAN_POINT('',(43.689191,48.9976,0.)); #503215=CARTESIAN_POINT('',(43.689191,48.9976,0.)); #503216=CARTESIAN_POINT('',(43.689191,48.9976,0.035)); #503217=CARTESIAN_POINT('',(43.689191,48.9976,0.035)); #503218=CARTESIAN_POINT('',(43.689191,48.9976,0.)); #503219=CARTESIAN_POINT('Origin',(44.501313,48.9976,0.)); #503220=CARTESIAN_POINT('',(44.501313,48.9976,0.)); #503221=CARTESIAN_POINT('',(44.501313,48.9976,0.)); #503222=CARTESIAN_POINT('',(44.501313,48.9976,0.035)); #503223=CARTESIAN_POINT('',(44.501313,48.9976,0.035)); #503224=CARTESIAN_POINT('',(44.501313,48.9976,0.)); #503225=CARTESIAN_POINT('Origin',(44.513131,49.,0.)); #503226=CARTESIAN_POINT('',(44.513131,49.,0.)); #503227=CARTESIAN_POINT('',(44.513131,49.,0.)); #503228=CARTESIAN_POINT('',(44.513131,49.,0.035)); #503229=CARTESIAN_POINT('',(44.513131,49.,0.035)); #503230=CARTESIAN_POINT('',(44.513131,49.,0.)); #503231=CARTESIAN_POINT('Origin',(44.946869,49.,0.)); #503232=CARTESIAN_POINT('',(44.946869,49.,0.)); #503233=CARTESIAN_POINT('',(44.946869,49.,0.)); #503234=CARTESIAN_POINT('',(44.946869,49.,0.035)); #503235=CARTESIAN_POINT('',(44.946869,49.,0.035)); #503236=CARTESIAN_POINT('',(44.946869,49.,0.)); #503237=CARTESIAN_POINT('Origin',(44.959191,48.9976,0.)); #503238=CARTESIAN_POINT('',(44.959191,48.9976,0.)); #503239=CARTESIAN_POINT('',(44.959191,48.9976,0.)); #503240=CARTESIAN_POINT('',(44.959191,48.9976,0.035)); #503241=CARTESIAN_POINT('',(44.959191,48.9976,0.035)); #503242=CARTESIAN_POINT('',(44.959191,48.9976,0.)); #503243=CARTESIAN_POINT('Origin',(45.771313,48.9976,0.)); #503244=CARTESIAN_POINT('',(45.771313,48.9976,0.)); #503245=CARTESIAN_POINT('',(45.771313,48.9976,0.)); #503246=CARTESIAN_POINT('',(45.771313,48.9976,0.035)); #503247=CARTESIAN_POINT('',(45.771313,48.9976,0.035)); #503248=CARTESIAN_POINT('',(45.771313,48.9976,0.)); #503249=CARTESIAN_POINT('Origin',(45.783131,49.,0.)); #503250=CARTESIAN_POINT('',(45.783131,49.,0.)); #503251=CARTESIAN_POINT('',(45.783131,49.,0.)); #503252=CARTESIAN_POINT('',(45.783131,49.,0.035)); #503253=CARTESIAN_POINT('',(45.783131,49.,0.035)); #503254=CARTESIAN_POINT('',(45.783131,49.,0.)); #503255=CARTESIAN_POINT('Origin',(46.216869,49.,0.)); #503256=CARTESIAN_POINT('',(46.216869,49.,0.)); #503257=CARTESIAN_POINT('',(46.216869,49.,0.)); #503258=CARTESIAN_POINT('',(46.216869,49.,0.035)); #503259=CARTESIAN_POINT('',(46.216869,49.,0.035)); #503260=CARTESIAN_POINT('',(46.216869,49.,0.)); #503261=CARTESIAN_POINT('Origin',(46.229191,48.9976,0.)); #503262=CARTESIAN_POINT('',(46.229191,48.9976,0.)); #503263=CARTESIAN_POINT('',(46.229191,48.9976,0.)); #503264=CARTESIAN_POINT('',(46.229191,48.9976,0.035)); #503265=CARTESIAN_POINT('',(46.229191,48.9976,0.035)); #503266=CARTESIAN_POINT('',(46.229191,48.9976,0.)); #503267=CARTESIAN_POINT('Origin',(47.041313,48.9976,0.)); #503268=CARTESIAN_POINT('',(47.041313,48.9976,0.)); #503269=CARTESIAN_POINT('',(47.041313,48.9976,0.)); #503270=CARTESIAN_POINT('',(47.041313,48.9976,0.035)); #503271=CARTESIAN_POINT('',(47.041313,48.9976,0.035)); #503272=CARTESIAN_POINT('',(47.041313,48.9976,0.)); #503273=CARTESIAN_POINT('Origin',(47.053131,49.,0.)); #503274=CARTESIAN_POINT('',(47.053131,49.,0.)); #503275=CARTESIAN_POINT('',(47.053131,49.,0.)); #503276=CARTESIAN_POINT('',(47.053131,49.,0.035)); #503277=CARTESIAN_POINT('',(47.053131,49.,0.035)); #503278=CARTESIAN_POINT('',(47.053131,49.,0.)); #503279=CARTESIAN_POINT('Origin',(47.486869,49.,0.)); #503280=CARTESIAN_POINT('',(47.486869,49.,0.)); #503281=CARTESIAN_POINT('',(47.486869,49.,0.)); #503282=CARTESIAN_POINT('',(47.486869,49.,0.035)); #503283=CARTESIAN_POINT('',(47.486869,49.,0.035)); #503284=CARTESIAN_POINT('',(47.486869,49.,0.)); #503285=CARTESIAN_POINT('Origin',(47.499191,48.9976,0.)); #503286=CARTESIAN_POINT('',(47.499191,48.9976,0.)); #503287=CARTESIAN_POINT('',(47.499191,48.9976,0.)); #503288=CARTESIAN_POINT('',(47.499191,48.9976,0.035)); #503289=CARTESIAN_POINT('',(47.499191,48.9976,0.035)); #503290=CARTESIAN_POINT('',(47.499191,48.9976,0.)); #503291=CARTESIAN_POINT('Origin',(48.311312,48.9976,0.)); #503292=CARTESIAN_POINT('',(48.311312,48.9976,0.)); #503293=CARTESIAN_POINT('',(48.311312,48.9976,0.)); #503294=CARTESIAN_POINT('',(48.311312,48.9976,0.035)); #503295=CARTESIAN_POINT('',(48.311312,48.9976,0.035)); #503296=CARTESIAN_POINT('',(48.311312,48.9976,0.)); #503297=CARTESIAN_POINT('Origin',(48.323131,49.,0.)); #503298=CARTESIAN_POINT('',(48.323131,49.,0.)); #503299=CARTESIAN_POINT('',(48.323131,49.,0.)); #503300=CARTESIAN_POINT('',(48.323131,49.,0.035)); #503301=CARTESIAN_POINT('',(48.323131,49.,0.035)); #503302=CARTESIAN_POINT('',(48.323131,49.,0.)); #503303=CARTESIAN_POINT('Origin',(53.197394,49.,0.)); #503304=CARTESIAN_POINT('',(53.197394,49.,0.)); #503305=CARTESIAN_POINT('',(53.197394,49.,0.)); #503306=CARTESIAN_POINT('',(53.197394,49.,0.035)); #503307=CARTESIAN_POINT('',(53.197394,49.,0.035)); #503308=CARTESIAN_POINT('',(53.197394,49.,0.)); #503309=CARTESIAN_POINT('Origin',(53.245056,48.990716,0.)); #503310=CARTESIAN_POINT('',(53.245056,48.990716,0.)); #503311=CARTESIAN_POINT('',(53.245056,48.990716,0.)); #503312=CARTESIAN_POINT('',(53.245056,48.990716,0.035)); #503313=CARTESIAN_POINT('',(53.245056,48.990716,0.035)); #503314=CARTESIAN_POINT('',(53.245056,48.990716,0.)); #503315=CARTESIAN_POINT('Origin',(53.287197,48.962803,0.)); #503316=CARTESIAN_POINT('',(53.287197,48.962803,0.)); #503317=CARTESIAN_POINT('',(53.287197,48.962803,0.)); #503318=CARTESIAN_POINT('',(53.287197,48.962803,0.035)); #503319=CARTESIAN_POINT('',(53.287197,48.962803,0.035)); #503320=CARTESIAN_POINT('',(53.287197,48.962803,0.)); #503321=CARTESIAN_POINT('Origin',(53.962803,48.287197,0.)); #503322=CARTESIAN_POINT('',(53.962803,48.287197,0.)); #503323=CARTESIAN_POINT('',(53.962803,48.287197,0.)); #503324=CARTESIAN_POINT('',(53.962803,48.287197,0.035)); #503325=CARTESIAN_POINT('',(53.962803,48.287197,0.035)); #503326=CARTESIAN_POINT('',(53.962803,48.287197,0.)); #503327=CARTESIAN_POINT('Origin',(53.989941,48.246928,0.)); #503328=CARTESIAN_POINT('',(53.989941,48.246928,0.)); #503329=CARTESIAN_POINT('',(53.989941,48.246928,0.)); #503330=CARTESIAN_POINT('',(53.989941,48.246928,0.035)); #503331=CARTESIAN_POINT('',(53.989941,48.246928,0.035)); #503332=CARTESIAN_POINT('',(53.989941,48.246928,0.)); #503333=CARTESIAN_POINT('Origin',(54.,48.197394,0.)); #503334=CARTESIAN_POINT('',(54.,48.197394,0.)); #503335=CARTESIAN_POINT('',(54.,48.197394,0.)); #503336=CARTESIAN_POINT('',(54.,48.197394,0.035)); #503337=CARTESIAN_POINT('',(54.,48.197394,0.035)); #503338=CARTESIAN_POINT('',(54.,48.197394,0.)); #503339=CARTESIAN_POINT('Origin',(54.,41.802606,0.)); #503340=CARTESIAN_POINT('',(54.,41.802606,0.)); #503341=CARTESIAN_POINT('',(54.,41.802606,0.)); #503342=CARTESIAN_POINT('',(54.,41.802606,0.035)); #503343=CARTESIAN_POINT('',(54.,41.802606,0.035)); #503344=CARTESIAN_POINT('',(54.,41.802606,0.)); #503345=CARTESIAN_POINT('Origin',(53.990716,41.754944,0.)); #503346=CARTESIAN_POINT('',(53.990716,41.754944,0.)); #503347=CARTESIAN_POINT('',(53.990716,41.754944,0.)); #503348=CARTESIAN_POINT('',(53.990716,41.754944,0.035)); #503349=CARTESIAN_POINT('',(53.990716,41.754944,0.035)); #503350=CARTESIAN_POINT('',(53.990716,41.754944,0.)); #503351=CARTESIAN_POINT('Origin',(53.962803,41.712803,0.)); #503352=CARTESIAN_POINT('',(53.962803,41.712803,0.)); #503353=CARTESIAN_POINT('',(53.962803,41.712803,0.)); #503354=CARTESIAN_POINT('',(53.962803,41.712803,0.035)); #503355=CARTESIAN_POINT('',(53.962803,41.712803,0.035)); #503356=CARTESIAN_POINT('',(53.962803,41.712803,0.)); #503357=CARTESIAN_POINT('Origin',(53.287197,41.037197,0.)); #503358=CARTESIAN_POINT('',(53.287197,41.037197,0.)); #503359=CARTESIAN_POINT('',(53.287197,41.037197,0.)); #503360=CARTESIAN_POINT('',(53.287197,41.037197,0.035)); #503361=CARTESIAN_POINT('',(53.287197,41.037197,0.035)); #503362=CARTESIAN_POINT('',(53.287197,41.037197,0.)); #503363=CARTESIAN_POINT('Origin',(53.246928,41.010059,0.)); #503364=CARTESIAN_POINT('',(53.246928,41.010059,0.)); #503365=CARTESIAN_POINT('',(53.246928,41.010059,0.035)); #503366=CARTESIAN_POINT('Origin',(48.6581340874055,45.3192471184505,0.035)); #503367=CARTESIAN_POINT('Origin',(48.6581340874055,45.3192471184505,0.)); #503368=CARTESIAN_POINT('Origin',(41.25,31.,-200.)); #503369=CARTESIAN_POINT('',(41.11,31.,0.)); #503370=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #503371=CARTESIAN_POINT('',(41.11,31.,0.0349999999999895)); #503372=CARTESIAN_POINT('',(41.11,31.,-200.)); #503373=CARTESIAN_POINT('Origin',(41.25,31.,0.0349999999999895)); #503374=CARTESIAN_POINT('Origin',(41.25,36.,-200.)); #503375=CARTESIAN_POINT('',(41.11,36.,0.)); #503376=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #503377=CARTESIAN_POINT('',(41.11,36.,0.0349999999999895)); #503378=CARTESIAN_POINT('',(41.11,36.,-200.)); #503379=CARTESIAN_POINT('Origin',(41.25,36.,0.0349999999999895)); #503380=CARTESIAN_POINT('Origin',(41.271644,30.725,0.)); #503381=CARTESIAN_POINT('',(41.271644,30.725,0.)); #503382=CARTESIAN_POINT('',(41.314397,30.731772,0.)); #503383=CARTESIAN_POINT('',(41.271644,30.725,0.)); #503384=CARTESIAN_POINT('',(41.314397,30.731772,0.035)); #503385=CARTESIAN_POINT('',(41.314397,30.731772,0.)); #503386=CARTESIAN_POINT('',(41.271644,30.725,0.035)); #503387=CARTESIAN_POINT('',(41.271644,30.725,0.035)); #503388=CARTESIAN_POINT('',(41.271644,30.725,0.)); #503389=CARTESIAN_POINT('Origin',(41.250118471131,30.9995872515008,0.)); #503390=CARTESIAN_POINT('',(41.123,31.243928,0.)); #503391=CARTESIAN_POINT('Origin',(41.250118471131,30.9995872515008,0.)); #503392=CARTESIAN_POINT('',(41.123,31.243928,0.035)); #503393=CARTESIAN_POINT('Origin',(41.250118471131,30.9995872515008,0.035)); #503394=CARTESIAN_POINT('',(41.123,31.243928,0.)); #503395=CARTESIAN_POINT('Origin',(41.123,35.756072,0.)); #503396=CARTESIAN_POINT('',(41.123,35.756072,0.)); #503397=CARTESIAN_POINT('',(41.123,35.756072,0.)); #503398=CARTESIAN_POINT('',(41.123,35.756072,0.035)); #503399=CARTESIAN_POINT('',(41.123,35.756072,0.035)); #503400=CARTESIAN_POINT('',(41.123,35.756072,0.)); #503401=CARTESIAN_POINT('Origin',(41.2500204601791,36.0004637716938,0.)); #503402=CARTESIAN_POINT('',(41.144438,36.254853,0.)); #503403=CARTESIAN_POINT('Origin',(41.2500204601791,36.0004637716938,0.)); #503404=CARTESIAN_POINT('',(41.144438,36.254853,0.035)); #503405=CARTESIAN_POINT('Origin',(41.2500204601791,36.0004637716938,0.035)); #503406=CARTESIAN_POINT('',(41.144438,36.254853,0.)); #503407=CARTESIAN_POINT('Origin',(41.2498222285952,36.000381763261,0.)); #503408=CARTESIAN_POINT('',(41.377,35.756072,0.)); #503409=CARTESIAN_POINT('Origin',(41.2498222285952,36.000381763261,0.)); #503410=CARTESIAN_POINT('',(41.377,35.756072,0.035)); #503411=CARTESIAN_POINT('Origin',(41.2498222285952,36.000381763261,0.035)); #503412=CARTESIAN_POINT('',(41.377,35.756072,0.)); #503413=CARTESIAN_POINT('Origin',(41.377,31.243928,0.)); #503414=CARTESIAN_POINT('',(41.377,31.243928,0.)); #503415=CARTESIAN_POINT('',(41.377,31.243928,0.)); #503416=CARTESIAN_POINT('',(41.377,31.243928,0.035)); #503417=CARTESIAN_POINT('',(41.377,31.243928,0.035)); #503418=CARTESIAN_POINT('',(41.377,31.243928,0.)); #503419=CARTESIAN_POINT('Origin',(41.2499453752955,30.999554310534,0.)); #503420=CARTESIAN_POINT('Origin',(41.2499453752955,30.999554310534,0.)); #503421=CARTESIAN_POINT('Origin',(41.2499453752955,30.999554310534,0.035)); #503422=CARTESIAN_POINT('Origin',(41.2496864506156,33.49980383149,0.035)); #503423=CARTESIAN_POINT('Origin',(41.2496864506156,33.49980383149,0.)); #503424=CARTESIAN_POINT('Origin',(39.25,17.5,-200.)); #503425=CARTESIAN_POINT('',(39.11,17.5,0.)); #503426=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #503427=CARTESIAN_POINT('',(39.11,17.5,0.0349999999999895)); #503428=CARTESIAN_POINT('',(39.11,17.5,-200.)); #503429=CARTESIAN_POINT('Origin',(39.25,17.5,0.0349999999999895)); #503430=CARTESIAN_POINT('Origin',(39.271644,17.225,0.)); #503431=CARTESIAN_POINT('',(39.271644,17.225,0.)); #503432=CARTESIAN_POINT('',(39.314397,17.231772,0.)); #503433=CARTESIAN_POINT('',(39.271644,17.225,0.)); #503434=CARTESIAN_POINT('',(39.314397,17.231772,0.035)); #503435=CARTESIAN_POINT('',(39.314397,17.231772,0.)); #503436=CARTESIAN_POINT('',(39.271644,17.225,0.035)); #503437=CARTESIAN_POINT('',(39.271644,17.225,0.035)); #503438=CARTESIAN_POINT('',(39.271644,17.225,0.)); #503439=CARTESIAN_POINT('Origin',(39.2500000802027,17.4999998017945,0.)); #503440=CARTESIAN_POINT('',(39.185603,17.768228,0.)); #503441=CARTESIAN_POINT('Origin',(39.2500000802027,17.4999998017945,0.)); #503442=CARTESIAN_POINT('',(39.185603,17.768228,0.035)); #503443=CARTESIAN_POINT('Origin',(39.2500000802027,17.4999998017945,0.035)); #503444=CARTESIAN_POINT('',(39.185603,17.768228,0.)); #503445=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #503446=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #503447=CARTESIAN_POINT('Origin',(39.25,17.5,0.035)); #503448=CARTESIAN_POINT('Origin',(39.2491163162584,17.4991950794965,0.035)); #503449=CARTESIAN_POINT('Origin',(39.2491163162584,17.4991950794965,0.)); #503450=CARTESIAN_POINT('Origin',(33.25,5.5,-200.)); #503451=CARTESIAN_POINT('',(33.11,5.5,0.)); #503452=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #503453=CARTESIAN_POINT('',(33.11,5.5,0.0349999999999895)); #503454=CARTESIAN_POINT('',(33.11,5.5,-200.)); #503455=CARTESIAN_POINT('Origin',(33.25,5.5,0.0349999999999895)); #503456=CARTESIAN_POINT('Origin',(34.,5.5,-200.)); #503457=CARTESIAN_POINT('',(33.86,5.5,0.)); #503458=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #503459=CARTESIAN_POINT('',(33.86,5.5,0.0349999999999895)); #503460=CARTESIAN_POINT('',(33.86,5.5,-200.)); #503461=CARTESIAN_POINT('Origin',(34.,5.5,0.0349999999999895)); #503462=CARTESIAN_POINT('Origin',(37.25,8.75,-200.)); #503463=CARTESIAN_POINT('',(37.11,8.75,0.)); #503464=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #503465=CARTESIAN_POINT('',(37.11,8.75,0.0349999999999895)); #503466=CARTESIAN_POINT('',(37.11,8.75,-200.)); #503467=CARTESIAN_POINT('Origin',(37.25,8.75,0.0349999999999895)); #503468=CARTESIAN_POINT('Origin',(31.6,7.6,-200.)); #503469=CARTESIAN_POINT('',(31.485,7.6,0.)); #503470=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #503471=CARTESIAN_POINT('',(31.485,7.6,0.0349999999999895)); #503472=CARTESIAN_POINT('',(31.485,7.6,-200.)); #503473=CARTESIAN_POINT('Origin',(31.6,7.6,0.0349999999999895)); #503474=CARTESIAN_POINT('Origin',(36.4,7.1,-200.)); #503475=CARTESIAN_POINT('',(36.285,7.1,0.)); #503476=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #503477=CARTESIAN_POINT('',(36.285,7.1,0.0349999999999895)); #503478=CARTESIAN_POINT('',(36.285,7.1,-200.)); #503479=CARTESIAN_POINT('Origin',(36.4,7.1,0.0349999999999895)); #503480=CARTESIAN_POINT('Origin',(36.4,7.6,-200.)); #503481=CARTESIAN_POINT('',(36.285,7.6,0.)); #503482=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #503483=CARTESIAN_POINT('',(36.285,7.6,0.0349999999999895)); #503484=CARTESIAN_POINT('',(36.285,7.6,-200.)); #503485=CARTESIAN_POINT('Origin',(36.4,7.6,0.0349999999999895)); #503486=CARTESIAN_POINT('Origin',(31.6,7.1,-200.)); #503487=CARTESIAN_POINT('',(31.485,7.1,0.)); #503488=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #503489=CARTESIAN_POINT('',(31.485,7.1,0.0349999999999895)); #503490=CARTESIAN_POINT('',(31.485,7.1,-200.)); #503491=CARTESIAN_POINT('Origin',(31.6,7.1,0.0349999999999895)); #503492=CARTESIAN_POINT('Origin',(30.75,9.5,-200.)); #503493=CARTESIAN_POINT('',(30.61,9.5,0.)); #503494=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #503495=CARTESIAN_POINT('',(30.61,9.5,0.0349999999999895)); #503496=CARTESIAN_POINT('',(30.61,9.5,-200.)); #503497=CARTESIAN_POINT('Origin',(30.75,9.5,0.0349999999999895)); #503498=CARTESIAN_POINT('Origin',(30.75,8.75,-200.)); #503499=CARTESIAN_POINT('',(30.61,8.75,0.)); #503500=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #503501=CARTESIAN_POINT('',(30.61,8.75,0.0349999999999895)); #503502=CARTESIAN_POINT('',(30.61,8.75,-200.)); #503503=CARTESIAN_POINT('Origin',(30.75,8.75,0.0349999999999895)); #503504=CARTESIAN_POINT('Origin',(34.75,5.5,-200.)); #503505=CARTESIAN_POINT('',(34.61,5.5,0.)); #503506=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #503507=CARTESIAN_POINT('',(34.61,5.5,0.0349999999999895)); #503508=CARTESIAN_POINT('',(34.61,5.5,-200.)); #503509=CARTESIAN_POINT('Origin',(34.75,5.5,0.0349999999999895)); #503510=CARTESIAN_POINT('Origin',(31.5,8.75,-200.)); #503511=CARTESIAN_POINT('',(31.36,8.75,0.)); #503512=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #503513=CARTESIAN_POINT('',(31.36,8.75,0.0349999999999895)); #503514=CARTESIAN_POINT('',(31.36,8.75,-200.)); #503515=CARTESIAN_POINT('Origin',(31.5,8.75,0.0349999999999895)); #503516=CARTESIAN_POINT('Origin',(36.5,8.75,-200.)); #503517=CARTESIAN_POINT('',(36.36,8.75,0.)); #503518=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #503519=CARTESIAN_POINT('',(36.36,8.75,0.0349999999999895)); #503520=CARTESIAN_POINT('',(36.36,8.75,-200.)); #503521=CARTESIAN_POINT('Origin',(36.5,8.75,0.0349999999999895)); #503522=CARTESIAN_POINT('Origin',(31.5,9.5,-200.)); #503523=CARTESIAN_POINT('',(31.36,9.5,0.)); #503524=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #503525=CARTESIAN_POINT('',(31.36,9.5,0.0349999999999895)); #503526=CARTESIAN_POINT('',(31.36,9.5,-200.)); #503527=CARTESIAN_POINT('Origin',(31.5,9.5,0.0349999999999895)); #503528=CARTESIAN_POINT('Origin',(36.5,9.5,-200.)); #503529=CARTESIAN_POINT('',(36.36,9.5,0.)); #503530=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #503531=CARTESIAN_POINT('',(36.36,9.5,0.0349999999999895)); #503532=CARTESIAN_POINT('',(36.36,9.5,-200.)); #503533=CARTESIAN_POINT('Origin',(36.5,9.5,0.0349999999999895)); #503534=CARTESIAN_POINT('Origin',(37.25,9.5,-200.)); #503535=CARTESIAN_POINT('',(37.11,9.5,0.)); #503536=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #503537=CARTESIAN_POINT('',(37.11,9.5,0.0349999999999895)); #503538=CARTESIAN_POINT('',(37.11,9.5,-200.)); #503539=CARTESIAN_POINT('Origin',(37.25,9.5,0.0349999999999895)); #503540=CARTESIAN_POINT('Origin',(33.927034,5.8152,0.)); #503541=CARTESIAN_POINT('',(33.927034,5.8152,0.)); #503542=CARTESIAN_POINT('',(33.572966,5.8152,0.)); #503543=CARTESIAN_POINT('',(33.927034,5.8152,0.)); #503544=CARTESIAN_POINT('',(33.572966,5.8152,0.035)); #503545=CARTESIAN_POINT('',(33.572966,5.8152,0.)); #503546=CARTESIAN_POINT('',(33.927034,5.8152,0.035)); #503547=CARTESIAN_POINT('',(33.927034,5.8152,0.035)); #503548=CARTESIAN_POINT('',(33.927034,5.8152,0.)); #503549=CARTESIAN_POINT('Origin',(34.1808,6.0689656,0.)); #503550=CARTESIAN_POINT('',(34.1808,6.0689656,0.)); #503551=CARTESIAN_POINT('',(34.1808,6.0689656,0.)); #503552=CARTESIAN_POINT('',(34.1808,6.0689656,0.035)); #503553=CARTESIAN_POINT('',(34.1808,6.0689656,0.035)); #503554=CARTESIAN_POINT('',(34.1808,6.0689656,0.)); #503555=CARTESIAN_POINT('Origin',(34.186684,6.0991688,0.)); #503556=CARTESIAN_POINT('',(34.186684,6.0991688,0.)); #503557=CARTESIAN_POINT('',(34.186684,6.0991688,0.)); #503558=CARTESIAN_POINT('',(34.186684,6.0991688,0.035)); #503559=CARTESIAN_POINT('',(34.186684,6.0991688,0.035)); #503560=CARTESIAN_POINT('',(34.186684,6.0991688,0.)); #503561=CARTESIAN_POINT('Origin',(34.214597,6.1413094,0.)); #503562=CARTESIAN_POINT('',(34.214597,6.1413094,0.)); #503563=CARTESIAN_POINT('',(34.214597,6.1413094,0.)); #503564=CARTESIAN_POINT('',(34.214597,6.1413094,0.035)); #503565=CARTESIAN_POINT('',(34.214597,6.1413094,0.035)); #503566=CARTESIAN_POINT('',(34.214597,6.1413094,0.)); #503567=CARTESIAN_POINT('Origin',(34.481972,6.4086844,0.)); #503568=CARTESIAN_POINT('',(34.481972,6.4086844,0.)); #503569=CARTESIAN_POINT('',(34.481972,6.4086844,0.)); #503570=CARTESIAN_POINT('',(34.481972,6.4086844,0.035)); #503571=CARTESIAN_POINT('',(34.481972,6.4086844,0.035)); #503572=CARTESIAN_POINT('',(34.481972,6.4086844,0.)); #503573=CARTESIAN_POINT('Origin',(34.487138,6.4363813,0.)); #503574=CARTESIAN_POINT('',(34.487138,6.4363813,0.)); #503575=CARTESIAN_POINT('',(34.487138,6.4363813,0.)); #503576=CARTESIAN_POINT('',(34.487138,6.4363813,0.035)); #503577=CARTESIAN_POINT('',(34.487138,6.4363813,0.035)); #503578=CARTESIAN_POINT('',(34.487138,6.4363813,0.)); #503579=CARTESIAN_POINT('Origin',(34.514378,6.4789594,0.)); #503580=CARTESIAN_POINT('',(34.514378,6.4789594,0.)); #503581=CARTESIAN_POINT('',(34.514378,6.4789594,0.)); #503582=CARTESIAN_POINT('',(34.514378,6.4789594,0.035)); #503583=CARTESIAN_POINT('',(34.514378,6.4789594,0.035)); #503584=CARTESIAN_POINT('',(34.514378,6.4789594,0.)); #503585=CARTESIAN_POINT('Origin',(34.556066,6.5075406,0.)); #503586=CARTESIAN_POINT('',(34.556066,6.5075406,0.)); #503587=CARTESIAN_POINT('',(34.556066,6.5075406,0.)); #503588=CARTESIAN_POINT('',(34.556066,6.5075406,0.035)); #503589=CARTESIAN_POINT('',(34.556066,6.5075406,0.035)); #503590=CARTESIAN_POINT('',(34.556066,6.5075406,0.)); #503591=CARTESIAN_POINT('Origin',(34.6056,6.5176,0.)); #503592=CARTESIAN_POINT('',(34.6056,6.5176,0.)); #503593=CARTESIAN_POINT('',(34.6056,6.5176,0.)); #503594=CARTESIAN_POINT('',(34.6056,6.5176,0.035)); #503595=CARTESIAN_POINT('',(34.6056,6.5176,0.035)); #503596=CARTESIAN_POINT('',(34.6056,6.5176,0.)); #503597=CARTESIAN_POINT('Origin',(34.6956,6.5176,0.)); #503598=CARTESIAN_POINT('',(34.6956,6.5176,0.)); #503599=CARTESIAN_POINT('',(34.6956,6.5176,0.)); #503600=CARTESIAN_POINT('',(34.6956,6.5176,0.035)); #503601=CARTESIAN_POINT('',(34.6956,6.5176,0.035)); #503602=CARTESIAN_POINT('',(34.6956,6.5176,0.)); #503603=CARTESIAN_POINT('Origin',(34.741381,6.5090625,0.)); #503604=CARTESIAN_POINT('',(34.741381,6.5090625,0.)); #503605=CARTESIAN_POINT('',(34.741381,6.5090625,0.)); #503606=CARTESIAN_POINT('',(34.741381,6.5090625,0.035)); #503607=CARTESIAN_POINT('',(34.741381,6.5090625,0.035)); #503608=CARTESIAN_POINT('',(34.741381,6.5090625,0.)); #503609=CARTESIAN_POINT('Origin',(34.783959,6.4818219,0.)); #503610=CARTESIAN_POINT('',(34.783959,6.4818219,0.)); #503611=CARTESIAN_POINT('',(34.783959,6.4818219,0.)); #503612=CARTESIAN_POINT('',(34.783959,6.4818219,0.035)); #503613=CARTESIAN_POINT('',(34.783959,6.4818219,0.035)); #503614=CARTESIAN_POINT('',(34.783959,6.4818219,0.)); #503615=CARTESIAN_POINT('Origin',(34.812541,6.4401344,0.)); #503616=CARTESIAN_POINT('',(34.812541,6.4401344,0.)); #503617=CARTESIAN_POINT('',(34.812541,6.4401344,0.)); #503618=CARTESIAN_POINT('',(34.812541,6.4401344,0.035)); #503619=CARTESIAN_POINT('',(34.812541,6.4401344,0.035)); #503620=CARTESIAN_POINT('',(34.812541,6.4401344,0.)); #503621=CARTESIAN_POINT('Origin',(34.8226,6.3906,0.)); #503622=CARTESIAN_POINT('',(34.8226,6.3906,0.)); #503623=CARTESIAN_POINT('',(34.8226,6.3906,0.)); #503624=CARTESIAN_POINT('',(34.8226,6.3906,0.035)); #503625=CARTESIAN_POINT('',(34.8226,6.3906,0.035)); #503626=CARTESIAN_POINT('',(34.8226,6.3906,0.)); #503627=CARTESIAN_POINT('Origin',(34.8226,6.0729656,0.)); #503628=CARTESIAN_POINT('',(34.8226,6.0729656,0.)); #503629=CARTESIAN_POINT('',(34.8226,6.0729656,0.)); #503630=CARTESIAN_POINT('',(34.8226,6.0729656,0.035)); #503631=CARTESIAN_POINT('',(34.8226,6.0729656,0.035)); #503632=CARTESIAN_POINT('',(34.8226,6.0729656,0.)); #503633=CARTESIAN_POINT('Origin',(35.072966,5.8226,0.)); #503634=CARTESIAN_POINT('',(35.072966,5.8226,0.)); #503635=CARTESIAN_POINT('',(35.072966,5.8226,0.)); #503636=CARTESIAN_POINT('',(35.072966,5.8226,0.035)); #503637=CARTESIAN_POINT('',(35.072966,5.8226,0.035)); #503638=CARTESIAN_POINT('',(35.072966,5.8226,0.)); #503639=CARTESIAN_POINT('Origin',(35.427034,5.8226,0.)); #503640=CARTESIAN_POINT('',(35.427034,5.8226,0.)); #503641=CARTESIAN_POINT('',(35.427034,5.8226,0.)); #503642=CARTESIAN_POINT('',(35.427034,5.8226,0.035)); #503643=CARTESIAN_POINT('',(35.427034,5.8226,0.035)); #503644=CARTESIAN_POINT('',(35.427034,5.8226,0.)); #503645=CARTESIAN_POINT('Origin',(35.6774,6.0729656,0.)); #503646=CARTESIAN_POINT('',(35.6774,6.0729656,0.)); #503647=CARTESIAN_POINT('',(35.6774,6.0729656,0.)); #503648=CARTESIAN_POINT('',(35.6774,6.0729656,0.035)); #503649=CARTESIAN_POINT('',(35.6774,6.0729656,0.035)); #503650=CARTESIAN_POINT('',(35.6774,6.0729656,0.)); #503651=CARTESIAN_POINT('Origin',(35.6774,6.3906,0.)); #503652=CARTESIAN_POINT('',(35.6774,6.3906,0.)); #503653=CARTESIAN_POINT('',(35.6774,6.3906,0.)); #503654=CARTESIAN_POINT('',(35.6774,6.3906,0.035)); #503655=CARTESIAN_POINT('',(35.6774,6.3906,0.035)); #503656=CARTESIAN_POINT('',(35.6774,6.3906,0.)); #503657=CARTESIAN_POINT('Origin',(35.685938,6.4363813,0.)); #503658=CARTESIAN_POINT('',(35.685938,6.4363813,0.)); #503659=CARTESIAN_POINT('',(35.685938,6.4363813,0.)); #503660=CARTESIAN_POINT('',(35.685938,6.4363813,0.035)); #503661=CARTESIAN_POINT('',(35.685938,6.4363813,0.035)); #503662=CARTESIAN_POINT('',(35.685938,6.4363813,0.)); #503663=CARTESIAN_POINT('Origin',(35.713178,6.4789594,0.)); #503664=CARTESIAN_POINT('',(35.713178,6.4789594,0.)); #503665=CARTESIAN_POINT('',(35.713178,6.4789594,0.)); #503666=CARTESIAN_POINT('',(35.713178,6.4789594,0.035)); #503667=CARTESIAN_POINT('',(35.713178,6.4789594,0.035)); #503668=CARTESIAN_POINT('',(35.713178,6.4789594,0.)); #503669=CARTESIAN_POINT('Origin',(35.754866,6.5075406,0.)); #503670=CARTESIAN_POINT('',(35.754866,6.5075406,0.)); #503671=CARTESIAN_POINT('',(35.754866,6.5075406,0.)); #503672=CARTESIAN_POINT('',(35.754866,6.5075406,0.035)); #503673=CARTESIAN_POINT('',(35.754866,6.5075406,0.035)); #503674=CARTESIAN_POINT('',(35.754866,6.5075406,0.)); #503675=CARTESIAN_POINT('Origin',(35.8044,6.5176,0.)); #503676=CARTESIAN_POINT('',(35.8044,6.5176,0.)); #503677=CARTESIAN_POINT('',(35.8044,6.5176,0.)); #503678=CARTESIAN_POINT('',(35.8044,6.5176,0.035)); #503679=CARTESIAN_POINT('',(35.8044,6.5176,0.035)); #503680=CARTESIAN_POINT('',(35.8044,6.5176,0.)); #503681=CARTESIAN_POINT('Origin',(35.963125,6.5176,0.)); #503682=CARTESIAN_POINT('',(35.963125,6.5176,0.)); #503683=CARTESIAN_POINT('',(35.963125,6.5176,0.)); #503684=CARTESIAN_POINT('',(35.963125,6.5176,0.035)); #503685=CARTESIAN_POINT('',(35.963125,6.5176,0.035)); #503686=CARTESIAN_POINT('',(35.963125,6.5176,0.)); #503687=CARTESIAN_POINT('Origin',(36.0524,6.606875,0.)); #503688=CARTESIAN_POINT('',(36.0524,6.606875,0.)); #503689=CARTESIAN_POINT('',(36.0524,6.606875,0.)); #503690=CARTESIAN_POINT('',(36.0524,6.606875,0.035)); #503691=CARTESIAN_POINT('',(36.0524,6.606875,0.035)); #503692=CARTESIAN_POINT('',(36.0524,6.606875,0.)); #503693=CARTESIAN_POINT('Origin',(36.0524,7.883125,0.)); #503694=CARTESIAN_POINT('',(36.0524,7.883125,0.)); #503695=CARTESIAN_POINT('',(36.0524,7.883125,0.)); #503696=CARTESIAN_POINT('',(36.0524,7.883125,0.035)); #503697=CARTESIAN_POINT('',(36.0524,7.883125,0.035)); #503698=CARTESIAN_POINT('',(36.0524,7.883125,0.)); #503699=CARTESIAN_POINT('Origin',(35.963125,7.9724,0.)); #503700=CARTESIAN_POINT('',(35.963125,7.9724,0.)); #503701=CARTESIAN_POINT('',(35.963125,7.9724,0.)); #503702=CARTESIAN_POINT('',(35.963125,7.9724,0.035)); #503703=CARTESIAN_POINT('',(35.963125,7.9724,0.035)); #503704=CARTESIAN_POINT('',(35.963125,7.9724,0.)); #503705=CARTESIAN_POINT('Origin',(35.1056,7.9724,0.)); #503706=CARTESIAN_POINT('',(35.1056,7.9724,0.)); #503707=CARTESIAN_POINT('',(35.1056,7.9724,0.)); #503708=CARTESIAN_POINT('',(35.1056,7.9724,0.035)); #503709=CARTESIAN_POINT('',(35.1056,7.9724,0.035)); #503710=CARTESIAN_POINT('',(35.1056,7.9724,0.)); #503711=CARTESIAN_POINT('Origin',(35.059819,7.9809375,0.)); #503712=CARTESIAN_POINT('',(35.059819,7.9809375,0.)); #503713=CARTESIAN_POINT('',(35.059819,7.9809375,0.)); #503714=CARTESIAN_POINT('',(35.059819,7.9809375,0.035)); #503715=CARTESIAN_POINT('',(35.059819,7.9809375,0.035)); #503716=CARTESIAN_POINT('',(35.059819,7.9809375,0.)); #503717=CARTESIAN_POINT('Origin',(35.017241,8.0081781,0.)); #503718=CARTESIAN_POINT('',(35.017241,8.0081781,0.)); #503719=CARTESIAN_POINT('',(35.017241,8.0081781,0.)); #503720=CARTESIAN_POINT('',(35.017241,8.0081781,0.035)); #503721=CARTESIAN_POINT('',(35.017241,8.0081781,0.035)); #503722=CARTESIAN_POINT('',(35.017241,8.0081781,0.)); #503723=CARTESIAN_POINT('Origin',(34.988659,8.0498656,0.)); #503724=CARTESIAN_POINT('',(34.988659,8.0498656,0.)); #503725=CARTESIAN_POINT('',(34.988659,8.0498656,0.)); #503726=CARTESIAN_POINT('',(34.988659,8.0498656,0.035)); #503727=CARTESIAN_POINT('',(34.988659,8.0498656,0.035)); #503728=CARTESIAN_POINT('',(34.988659,8.0498656,0.)); #503729=CARTESIAN_POINT('Origin',(34.9798,8.0934875,0.)); #503730=CARTESIAN_POINT('',(34.9798,8.0934875,0.)); #503731=CARTESIAN_POINT('',(34.9798,8.0934875,0.)); #503732=CARTESIAN_POINT('',(34.9798,8.0934875,0.035)); #503733=CARTESIAN_POINT('',(34.9798,8.0934875,0.035)); #503734=CARTESIAN_POINT('',(34.9798,8.0934875,0.)); #503735=CARTESIAN_POINT('Origin',(34.714597,8.3586906,0.)); #503736=CARTESIAN_POINT('',(34.714597,8.3586906,0.)); #503737=CARTESIAN_POINT('',(34.714597,8.3586906,0.)); #503738=CARTESIAN_POINT('',(34.714597,8.3586906,0.035)); #503739=CARTESIAN_POINT('',(34.714597,8.3586906,0.035)); #503740=CARTESIAN_POINT('',(34.714597,8.3586906,0.)); #503741=CARTESIAN_POINT('Origin',(34.687459,8.3989594,0.)); #503742=CARTESIAN_POINT('',(34.687459,8.3989594,0.)); #503743=CARTESIAN_POINT('',(34.687459,8.3989594,0.)); #503744=CARTESIAN_POINT('',(34.687459,8.3989594,0.035)); #503745=CARTESIAN_POINT('',(34.687459,8.3989594,0.035)); #503746=CARTESIAN_POINT('',(34.687459,8.3989594,0.)); #503747=CARTESIAN_POINT('Origin',(34.682869,8.4215656,0.)); #503748=CARTESIAN_POINT('',(34.682869,8.4215656,0.)); #503749=CARTESIAN_POINT('',(34.682869,8.4215656,0.)); #503750=CARTESIAN_POINT('',(34.682869,8.4215656,0.035)); #503751=CARTESIAN_POINT('',(34.682869,8.4215656,0.035)); #503752=CARTESIAN_POINT('',(34.682869,8.4215656,0.)); #503753=CARTESIAN_POINT('Origin',(34.427034,8.6774,0.)); #503754=CARTESIAN_POINT('',(34.427034,8.6774,0.)); #503755=CARTESIAN_POINT('',(34.427034,8.6774,0.)); #503756=CARTESIAN_POINT('',(34.427034,8.6774,0.035)); #503757=CARTESIAN_POINT('',(34.427034,8.6774,0.035)); #503758=CARTESIAN_POINT('',(34.427034,8.6774,0.)); #503759=CARTESIAN_POINT('Origin',(34.072966,8.6774,0.)); #503760=CARTESIAN_POINT('',(34.072966,8.6774,0.)); #503761=CARTESIAN_POINT('',(34.072966,8.6774,0.)); #503762=CARTESIAN_POINT('',(34.072966,8.6774,0.035)); #503763=CARTESIAN_POINT('',(34.072966,8.6774,0.035)); #503764=CARTESIAN_POINT('',(34.072966,8.6774,0.)); #503765=CARTESIAN_POINT('Origin',(33.817409,8.4218438,0.)); #503766=CARTESIAN_POINT('',(33.817409,8.4218438,0.)); #503767=CARTESIAN_POINT('',(33.817409,8.4218438,0.)); #503768=CARTESIAN_POINT('',(33.817409,8.4218438,0.035)); #503769=CARTESIAN_POINT('',(33.817409,8.4218438,0.035)); #503770=CARTESIAN_POINT('',(33.817409,8.4218438,0.)); #503771=CARTESIAN_POINT('Origin',(33.813316,8.4008312,0.)); #503772=CARTESIAN_POINT('',(33.813316,8.4008312,0.)); #503773=CARTESIAN_POINT('',(33.813316,8.4008312,0.)); #503774=CARTESIAN_POINT('',(33.813316,8.4008312,0.035)); #503775=CARTESIAN_POINT('',(33.813316,8.4008312,0.035)); #503776=CARTESIAN_POINT('',(33.813316,8.4008312,0.)); #503777=CARTESIAN_POINT('Origin',(33.785403,8.3586906,0.)); #503778=CARTESIAN_POINT('',(33.785403,8.3586906,0.)); #503779=CARTESIAN_POINT('',(33.785403,8.3586906,0.)); #503780=CARTESIAN_POINT('',(33.785403,8.3586906,0.035)); #503781=CARTESIAN_POINT('',(33.785403,8.3586906,0.035)); #503782=CARTESIAN_POINT('',(33.785403,8.3586906,0.)); #503783=CARTESIAN_POINT('Origin',(33.520319,8.0936063,0.)); #503784=CARTESIAN_POINT('',(33.520319,8.0936063,0.)); #503785=CARTESIAN_POINT('',(33.520319,8.0936063,0.)); #503786=CARTESIAN_POINT('',(33.520319,8.0936063,0.035)); #503787=CARTESIAN_POINT('',(33.520319,8.0936063,0.035)); #503788=CARTESIAN_POINT('',(33.520319,8.0936063,0.)); #503789=CARTESIAN_POINT('Origin',(33.512863,8.0536188,0.)); #503790=CARTESIAN_POINT('',(33.512863,8.0536188,0.)); #503791=CARTESIAN_POINT('',(33.512863,8.0536188,0.)); #503792=CARTESIAN_POINT('',(33.512863,8.0536188,0.035)); #503793=CARTESIAN_POINT('',(33.512863,8.0536188,0.035)); #503794=CARTESIAN_POINT('',(33.512863,8.0536188,0.)); #503795=CARTESIAN_POINT('Origin',(33.485622,8.0110406,0.)); #503796=CARTESIAN_POINT('',(33.485622,8.0110406,0.)); #503797=CARTESIAN_POINT('',(33.485622,8.0110406,0.)); #503798=CARTESIAN_POINT('',(33.485622,8.0110406,0.035)); #503799=CARTESIAN_POINT('',(33.485622,8.0110406,0.035)); #503800=CARTESIAN_POINT('',(33.485622,8.0110406,0.)); #503801=CARTESIAN_POINT('Origin',(33.443934,7.9824594,0.)); #503802=CARTESIAN_POINT('',(33.443934,7.9824594,0.)); #503803=CARTESIAN_POINT('',(33.443934,7.9824594,0.)); #503804=CARTESIAN_POINT('',(33.443934,7.9824594,0.035)); #503805=CARTESIAN_POINT('',(33.443934,7.9824594,0.035)); #503806=CARTESIAN_POINT('',(33.443934,7.9824594,0.)); #503807=CARTESIAN_POINT('Origin',(33.3944,7.9724,0.)); #503808=CARTESIAN_POINT('',(33.3944,7.9724,0.)); #503809=CARTESIAN_POINT('',(33.3944,7.9724,0.)); #503810=CARTESIAN_POINT('',(33.3944,7.9724,0.035)); #503811=CARTESIAN_POINT('',(33.3944,7.9724,0.035)); #503812=CARTESIAN_POINT('',(33.3944,7.9724,0.)); #503813=CARTESIAN_POINT('Origin',(33.3044,7.9724,0.)); #503814=CARTESIAN_POINT('',(33.3044,7.9724,0.)); #503815=CARTESIAN_POINT('',(33.3044,7.9724,0.)); #503816=CARTESIAN_POINT('',(33.3044,7.9724,0.035)); #503817=CARTESIAN_POINT('',(33.3044,7.9724,0.035)); #503818=CARTESIAN_POINT('',(33.3044,7.9724,0.)); #503819=CARTESIAN_POINT('Origin',(33.258619,7.9809375,0.)); #503820=CARTESIAN_POINT('',(33.258619,7.9809375,0.)); #503821=CARTESIAN_POINT('',(33.258619,7.9809375,0.)); #503822=CARTESIAN_POINT('',(33.258619,7.9809375,0.035)); #503823=CARTESIAN_POINT('',(33.258619,7.9809375,0.035)); #503824=CARTESIAN_POINT('',(33.258619,7.9809375,0.)); #503825=CARTESIAN_POINT('Origin',(33.216041,8.0081781,0.)); #503826=CARTESIAN_POINT('',(33.216041,8.0081781,0.)); #503827=CARTESIAN_POINT('',(33.216041,8.0081781,0.)); #503828=CARTESIAN_POINT('',(33.216041,8.0081781,0.035)); #503829=CARTESIAN_POINT('',(33.216041,8.0081781,0.035)); #503830=CARTESIAN_POINT('',(33.216041,8.0081781,0.)); #503831=CARTESIAN_POINT('Origin',(33.187459,8.0498656,0.)); #503832=CARTESIAN_POINT('',(33.187459,8.0498656,0.)); #503833=CARTESIAN_POINT('',(33.187459,8.0498656,0.)); #503834=CARTESIAN_POINT('',(33.187459,8.0498656,0.035)); #503835=CARTESIAN_POINT('',(33.187459,8.0498656,0.035)); #503836=CARTESIAN_POINT('',(33.187459,8.0498656,0.)); #503837=CARTESIAN_POINT('Origin',(33.1774,8.0994,0.)); #503838=CARTESIAN_POINT('',(33.1774,8.0994,0.)); #503839=CARTESIAN_POINT('',(33.1774,8.0994,0.)); #503840=CARTESIAN_POINT('',(33.1774,8.0994,0.035)); #503841=CARTESIAN_POINT('',(33.1774,8.0994,0.035)); #503842=CARTESIAN_POINT('',(33.1774,8.0994,0.)); #503843=CARTESIAN_POINT('Origin',(33.1774,8.4270344,0.)); #503844=CARTESIAN_POINT('',(33.1774,8.4270344,0.)); #503845=CARTESIAN_POINT('',(33.1774,8.4270344,0.)); #503846=CARTESIAN_POINT('',(33.1774,8.4270344,0.035)); #503847=CARTESIAN_POINT('',(33.1774,8.4270344,0.035)); #503848=CARTESIAN_POINT('',(33.1774,8.4270344,0.)); #503849=CARTESIAN_POINT('Origin',(32.927034,8.6774,0.)); #503850=CARTESIAN_POINT('',(32.927034,8.6774,0.)); #503851=CARTESIAN_POINT('',(32.927034,8.6774,0.)); #503852=CARTESIAN_POINT('',(32.927034,8.6774,0.035)); #503853=CARTESIAN_POINT('',(32.927034,8.6774,0.035)); #503854=CARTESIAN_POINT('',(32.927034,8.6774,0.)); #503855=CARTESIAN_POINT('Origin',(32.572966,8.6774,0.)); #503856=CARTESIAN_POINT('',(32.572966,8.6774,0.)); #503857=CARTESIAN_POINT('',(32.572966,8.6774,0.)); #503858=CARTESIAN_POINT('',(32.572966,8.6774,0.035)); #503859=CARTESIAN_POINT('',(32.572966,8.6774,0.035)); #503860=CARTESIAN_POINT('',(32.572966,8.6774,0.)); #503861=CARTESIAN_POINT('Origin',(32.317409,8.4218438,0.)); #503862=CARTESIAN_POINT('',(32.317409,8.4218438,0.)); #503863=CARTESIAN_POINT('',(32.317409,8.4218438,0.)); #503864=CARTESIAN_POINT('',(32.317409,8.4218438,0.035)); #503865=CARTESIAN_POINT('',(32.317409,8.4218438,0.035)); #503866=CARTESIAN_POINT('',(32.317409,8.4218438,0.)); #503867=CARTESIAN_POINT('Origin',(32.313316,8.4008312,0.)); #503868=CARTESIAN_POINT('',(32.313316,8.4008312,0.)); #503869=CARTESIAN_POINT('',(32.313316,8.4008312,0.)); #503870=CARTESIAN_POINT('',(32.313316,8.4008312,0.035)); #503871=CARTESIAN_POINT('',(32.313316,8.4008312,0.035)); #503872=CARTESIAN_POINT('',(32.313316,8.4008312,0.)); #503873=CARTESIAN_POINT('Origin',(32.285403,8.3586906,0.)); #503874=CARTESIAN_POINT('',(32.285403,8.3586906,0.)); #503875=CARTESIAN_POINT('',(32.285403,8.3586906,0.)); #503876=CARTESIAN_POINT('',(32.285403,8.3586906,0.035)); #503877=CARTESIAN_POINT('',(32.285403,8.3586906,0.035)); #503878=CARTESIAN_POINT('',(32.285403,8.3586906,0.)); #503879=CARTESIAN_POINT('Origin',(32.0214,8.0946875,0.)); #503880=CARTESIAN_POINT('',(32.0214,8.0946875,0.)); #503881=CARTESIAN_POINT('',(32.0214,8.0946875,0.)); #503882=CARTESIAN_POINT('',(32.0214,8.0946875,0.035)); #503883=CARTESIAN_POINT('',(32.0214,8.0946875,0.035)); #503884=CARTESIAN_POINT('',(32.0214,8.0946875,0.)); #503885=CARTESIAN_POINT('Origin',(32.0214,8.0095313,0.)); #503886=CARTESIAN_POINT('',(32.0214,8.0095313,0.)); #503887=CARTESIAN_POINT('',(32.0214,8.0095313,0.)); #503888=CARTESIAN_POINT('',(32.0214,8.0095313,0.035)); #503889=CARTESIAN_POINT('',(32.0214,8.0095313,0.035)); #503890=CARTESIAN_POINT('',(32.0214,8.0095313,0.)); #503891=CARTESIAN_POINT('Origin',(32.012116,7.9618688,0.)); #503892=CARTESIAN_POINT('',(32.012116,7.9618688,0.)); #503893=CARTESIAN_POINT('',(32.012116,7.9618688,0.)); #503894=CARTESIAN_POINT('',(32.012116,7.9618688,0.035)); #503895=CARTESIAN_POINT('',(32.012116,7.9618688,0.035)); #503896=CARTESIAN_POINT('',(32.012116,7.9618688,0.)); #503897=CARTESIAN_POINT('Origin',(31.984203,7.9197281,0.)); #503898=CARTESIAN_POINT('',(31.984203,7.9197281,0.)); #503899=CARTESIAN_POINT('',(31.984203,7.9197281,0.)); #503900=CARTESIAN_POINT('',(31.984203,7.9197281,0.035)); #503901=CARTESIAN_POINT('',(31.984203,7.9197281,0.035)); #503902=CARTESIAN_POINT('',(31.984203,7.9197281,0.)); #503903=CARTESIAN_POINT('Origin',(31.9476,7.883125,0.)); #503904=CARTESIAN_POINT('',(31.9476,7.883125,0.)); #503905=CARTESIAN_POINT('',(31.9476,7.883125,0.)); #503906=CARTESIAN_POINT('',(31.9476,7.883125,0.035)); #503907=CARTESIAN_POINT('',(31.9476,7.883125,0.035)); #503908=CARTESIAN_POINT('',(31.9476,7.883125,0.)); #503909=CARTESIAN_POINT('Origin',(31.9476,6.606875,0.)); #503910=CARTESIAN_POINT('',(31.9476,6.606875,0.)); #503911=CARTESIAN_POINT('',(31.9476,6.606875,0.)); #503912=CARTESIAN_POINT('',(31.9476,6.606875,0.035)); #503913=CARTESIAN_POINT('',(31.9476,6.606875,0.035)); #503914=CARTESIAN_POINT('',(31.9476,6.606875,0.)); #503915=CARTESIAN_POINT('Origin',(32.036875,6.5176,0.)); #503916=CARTESIAN_POINT('',(32.036875,6.5176,0.)); #503917=CARTESIAN_POINT('',(32.036875,6.5176,0.)); #503918=CARTESIAN_POINT('',(32.036875,6.5176,0.035)); #503919=CARTESIAN_POINT('',(32.036875,6.5176,0.035)); #503920=CARTESIAN_POINT('',(32.036875,6.5176,0.)); #503921=CARTESIAN_POINT('Origin',(32.8944,6.5176,0.)); #503922=CARTESIAN_POINT('',(32.8944,6.5176,0.)); #503923=CARTESIAN_POINT('',(32.8944,6.5176,0.)); #503924=CARTESIAN_POINT('',(32.8944,6.5176,0.035)); #503925=CARTESIAN_POINT('',(32.8944,6.5176,0.035)); #503926=CARTESIAN_POINT('',(32.8944,6.5176,0.)); #503927=CARTESIAN_POINT('Origin',(32.940181,6.5090625,0.)); #503928=CARTESIAN_POINT('',(32.940181,6.5090625,0.)); #503929=CARTESIAN_POINT('',(32.940181,6.5090625,0.)); #503930=CARTESIAN_POINT('',(32.940181,6.5090625,0.035)); #503931=CARTESIAN_POINT('',(32.940181,6.5090625,0.035)); #503932=CARTESIAN_POINT('',(32.940181,6.5090625,0.)); #503933=CARTESIAN_POINT('Origin',(32.982759,6.4818219,0.)); #503934=CARTESIAN_POINT('',(32.982759,6.4818219,0.)); #503935=CARTESIAN_POINT('',(32.982759,6.4818219,0.)); #503936=CARTESIAN_POINT('',(32.982759,6.4818219,0.035)); #503937=CARTESIAN_POINT('',(32.982759,6.4818219,0.035)); #503938=CARTESIAN_POINT('',(32.982759,6.4818219,0.)); #503939=CARTESIAN_POINT('Origin',(33.011341,6.4401344,0.)); #503940=CARTESIAN_POINT('',(33.011341,6.4401344,0.)); #503941=CARTESIAN_POINT('',(33.011341,6.4401344,0.)); #503942=CARTESIAN_POINT('',(33.011341,6.4401344,0.035)); #503943=CARTESIAN_POINT('',(33.011341,6.4401344,0.035)); #503944=CARTESIAN_POINT('',(33.011341,6.4401344,0.)); #503945=CARTESIAN_POINT('Origin',(33.01765,6.4090625,0.)); #503946=CARTESIAN_POINT('',(33.01765,6.4090625,0.)); #503947=CARTESIAN_POINT('',(33.01765,6.4090625,0.)); #503948=CARTESIAN_POINT('',(33.01765,6.4090625,0.035)); #503949=CARTESIAN_POINT('',(33.01765,6.4090625,0.035)); #503950=CARTESIAN_POINT('',(33.01765,6.4090625,0.)); #503951=CARTESIAN_POINT('Origin',(33.285403,6.1413094,0.)); #503952=CARTESIAN_POINT('',(33.285403,6.1413094,0.)); #503953=CARTESIAN_POINT('',(33.285403,6.1413094,0.)); #503954=CARTESIAN_POINT('',(33.285403,6.1413094,0.035)); #503955=CARTESIAN_POINT('',(33.285403,6.1413094,0.035)); #503956=CARTESIAN_POINT('',(33.285403,6.1413094,0.)); #503957=CARTESIAN_POINT('Origin',(33.312541,6.1010406,0.)); #503958=CARTESIAN_POINT('',(33.312541,6.1010406,0.)); #503959=CARTESIAN_POINT('',(33.312541,6.1010406,0.)); #503960=CARTESIAN_POINT('',(33.312541,6.1010406,0.035)); #503961=CARTESIAN_POINT('',(33.312541,6.1010406,0.035)); #503962=CARTESIAN_POINT('',(33.312541,6.1010406,0.)); #503963=CARTESIAN_POINT('Origin',(33.319019,6.0691469,0.)); #503964=CARTESIAN_POINT('',(33.319019,6.0691469,0.)); #503965=CARTESIAN_POINT('',(33.319019,6.0691469,0.)); #503966=CARTESIAN_POINT('',(33.319019,6.0691469,0.035)); #503967=CARTESIAN_POINT('',(33.319019,6.0691469,0.035)); #503968=CARTESIAN_POINT('',(33.319019,6.0691469,0.)); #503969=CARTESIAN_POINT('Origin',(33.572966,5.8152,0.)); #503970=CARTESIAN_POINT('',(33.572966,5.8152,0.)); #503971=CARTESIAN_POINT('',(33.572966,5.8152,0.035)); #503972=CARTESIAN_POINT('Origin',(35.697394,5.,0.)); #503973=CARTESIAN_POINT('',(35.697394,5.,0.)); #503974=CARTESIAN_POINT('',(35.746928,5.0100594,0.)); #503975=CARTESIAN_POINT('',(35.697394,5.,0.)); #503976=CARTESIAN_POINT('',(35.746928,5.0100594,0.035)); #503977=CARTESIAN_POINT('',(35.746928,5.0100594,0.)); #503978=CARTESIAN_POINT('',(35.697394,5.,0.035)); #503979=CARTESIAN_POINT('',(35.697394,5.,0.035)); #503980=CARTESIAN_POINT('',(35.697394,5.,0.)); #503981=CARTESIAN_POINT('Origin',(32.302606,5.,0.)); #503982=CARTESIAN_POINT('',(32.302606,5.,0.)); #503983=CARTESIAN_POINT('',(32.302606,5.,0.)); #503984=CARTESIAN_POINT('',(32.302606,5.,0.035)); #503985=CARTESIAN_POINT('',(32.302606,5.,0.035)); #503986=CARTESIAN_POINT('',(32.302606,5.,0.)); #503987=CARTESIAN_POINT('Origin',(32.254941,5.0092844,0.)); #503988=CARTESIAN_POINT('',(32.254941,5.0092844,0.)); #503989=CARTESIAN_POINT('',(32.254941,5.0092844,0.)); #503990=CARTESIAN_POINT('',(32.254941,5.0092844,0.035)); #503991=CARTESIAN_POINT('',(32.254941,5.0092844,0.035)); #503992=CARTESIAN_POINT('',(32.254941,5.0092844,0.)); #503993=CARTESIAN_POINT('Origin',(32.212803,5.0371969,0.)); #503994=CARTESIAN_POINT('',(32.212803,5.0371969,0.)); #503995=CARTESIAN_POINT('',(32.212803,5.0371969,0.)); #503996=CARTESIAN_POINT('',(32.212803,5.0371969,0.035)); #503997=CARTESIAN_POINT('',(32.212803,5.0371969,0.035)); #503998=CARTESIAN_POINT('',(32.212803,5.0371969,0.)); #503999=CARTESIAN_POINT('Origin',(31.621747,5.6282563,0.)); #504000=CARTESIAN_POINT('',(31.621747,5.6282563,0.)); #504001=CARTESIAN_POINT('',(31.621747,5.6282563,0.)); #504002=CARTESIAN_POINT('',(31.621747,5.6282563,0.035)); #504003=CARTESIAN_POINT('',(31.621747,5.6282563,0.035)); #504004=CARTESIAN_POINT('',(31.621747,5.6282563,0.)); #504005=CARTESIAN_POINT('Origin',(31.595413,5.6666656,0.)); #504006=CARTESIAN_POINT('',(31.595413,5.6666656,0.)); #504007=CARTESIAN_POINT('',(31.595413,5.6666656,0.)); #504008=CARTESIAN_POINT('',(31.595413,5.6666656,0.035)); #504009=CARTESIAN_POINT('',(31.595413,5.6666656,0.035)); #504010=CARTESIAN_POINT('',(31.595413,5.6666656,0.)); #504011=CARTESIAN_POINT('Origin',(31.584566,5.7160344,0.)); #504012=CARTESIAN_POINT('',(31.584566,5.7160344,0.)); #504013=CARTESIAN_POINT('',(31.584566,5.7160344,0.)); #504014=CARTESIAN_POINT('',(31.584566,5.7160344,0.035)); #504015=CARTESIAN_POINT('',(31.584566,5.7160344,0.035)); #504016=CARTESIAN_POINT('',(31.584566,5.7160344,0.)); #504017=CARTESIAN_POINT('Origin',(31.593834,5.7657219,0.)); #504018=CARTESIAN_POINT('',(31.593834,5.7657219,0.)); #504019=CARTESIAN_POINT('',(31.593834,5.7657219,0.)); #504020=CARTESIAN_POINT('',(31.593834,5.7657219,0.035)); #504021=CARTESIAN_POINT('',(31.593834,5.7657219,0.035)); #504022=CARTESIAN_POINT('',(31.593834,5.7657219,0.)); #504023=CARTESIAN_POINT('Origin',(31.621747,5.8078625,0.)); #504024=CARTESIAN_POINT('',(31.621747,5.8078625,0.)); #504025=CARTESIAN_POINT('',(31.621747,5.8078625,0.)); #504026=CARTESIAN_POINT('',(31.621747,5.8078625,0.035)); #504027=CARTESIAN_POINT('',(31.621747,5.8078625,0.035)); #504028=CARTESIAN_POINT('',(31.621747,5.8078625,0.)); #504029=CARTESIAN_POINT('Origin',(31.63985,5.8259656,0.)); #504030=CARTESIAN_POINT('',(31.63985,5.8259656,0.)); #504031=CARTESIAN_POINT('',(31.63985,5.8259656,0.)); #504032=CARTESIAN_POINT('',(31.63985,5.8259656,0.035)); #504033=CARTESIAN_POINT('',(31.63985,5.8259656,0.035)); #504034=CARTESIAN_POINT('',(31.63985,5.8259656,0.)); #504035=CARTESIAN_POINT('Origin',(31.735,6.0556844,0.)); #504036=CARTESIAN_POINT('',(31.735,6.0556844,0.)); #504037=CARTESIAN_POINT('',(31.735,6.0556844,0.)); #504038=CARTESIAN_POINT('',(31.735,6.0556844,0.035)); #504039=CARTESIAN_POINT('',(31.735,6.0556844,0.035)); #504040=CARTESIAN_POINT('',(31.735,6.0556844,0.)); #504041=CARTESIAN_POINT('Origin',(31.735,6.3043156,0.)); #504042=CARTESIAN_POINT('',(31.735,6.3043156,0.)); #504043=CARTESIAN_POINT('',(31.735,6.3043156,0.)); #504044=CARTESIAN_POINT('',(31.735,6.3043156,0.035)); #504045=CARTESIAN_POINT('',(31.735,6.3043156,0.035)); #504046=CARTESIAN_POINT('',(31.735,6.3043156,0.)); #504047=CARTESIAN_POINT('Origin',(31.63985,6.5340344,0.)); #504048=CARTESIAN_POINT('',(31.63985,6.5340344,0.)); #504049=CARTESIAN_POINT('',(31.63985,6.5340344,0.)); #504050=CARTESIAN_POINT('',(31.63985,6.5340344,0.035)); #504051=CARTESIAN_POINT('',(31.63985,6.5340344,0.035)); #504052=CARTESIAN_POINT('',(31.63985,6.5340344,0.)); #504053=CARTESIAN_POINT('Origin',(31.503884,6.67,0.)); #504054=CARTESIAN_POINT('',(31.503884,6.67,0.)); #504055=CARTESIAN_POINT('',(31.503884,6.67,0.)); #504056=CARTESIAN_POINT('',(31.503884,6.67,0.035)); #504057=CARTESIAN_POINT('',(31.503884,6.67,0.035)); #504058=CARTESIAN_POINT('',(31.503884,6.67,0.)); #504059=CARTESIAN_POINT('Origin',(31.3,6.67,0.)); #504060=CARTESIAN_POINT('',(31.3,6.67,0.)); #504061=CARTESIAN_POINT('',(31.3,6.67,0.)); #504062=CARTESIAN_POINT('',(31.3,6.67,0.035)); #504063=CARTESIAN_POINT('',(31.3,6.67,0.035)); #504064=CARTESIAN_POINT('',(31.3,6.67,0.)); #504065=CARTESIAN_POINT('Origin',(31.3,6.7864781,0.)); #504066=CARTESIAN_POINT('',(31.3,6.7864781,0.)); #504067=CARTESIAN_POINT('',(31.3,6.7864781,0.)); #504068=CARTESIAN_POINT('',(31.3,6.7864781,0.035)); #504069=CARTESIAN_POINT('',(31.3,6.7864781,0.035)); #504070=CARTESIAN_POINT('',(31.3,6.7864781,0.)); #504071=CARTESIAN_POINT('Origin',(31.296041,6.7890781,0.)); #504072=CARTESIAN_POINT('',(31.296041,6.7890781,0.)); #504073=CARTESIAN_POINT('',(31.296041,6.7890781,0.)); #504074=CARTESIAN_POINT('',(31.296041,6.7890781,0.035)); #504075=CARTESIAN_POINT('',(31.296041,6.7890781,0.035)); #504076=CARTESIAN_POINT('',(31.296041,6.7890781,0.)); #504077=CARTESIAN_POINT('Origin',(31.267459,6.8307656,0.)); #504078=CARTESIAN_POINT('',(31.267459,6.8307656,0.)); #504079=CARTESIAN_POINT('',(31.267459,6.8307656,0.)); #504080=CARTESIAN_POINT('',(31.267459,6.8307656,0.035)); #504081=CARTESIAN_POINT('',(31.267459,6.8307656,0.035)); #504082=CARTESIAN_POINT('',(31.267459,6.8307656,0.)); #504083=CARTESIAN_POINT('Origin',(31.2574,6.8803,0.)); #504084=CARTESIAN_POINT('',(31.2574,6.8803,0.)); #504085=CARTESIAN_POINT('',(31.2574,6.8803,0.)); #504086=CARTESIAN_POINT('',(31.2574,6.8803,0.035)); #504087=CARTESIAN_POINT('',(31.2574,6.8803,0.035)); #504088=CARTESIAN_POINT('',(31.2574,6.8803,0.)); #504089=CARTESIAN_POINT('Origin',(31.2574,7.8196406,0.)); #504090=CARTESIAN_POINT('',(31.2574,7.8196406,0.)); #504091=CARTESIAN_POINT('',(31.2574,7.8196406,0.)); #504092=CARTESIAN_POINT('',(31.2574,7.8196406,0.035)); #504093=CARTESIAN_POINT('',(31.2574,7.8196406,0.035)); #504094=CARTESIAN_POINT('',(31.2574,7.8196406,0.)); #504095=CARTESIAN_POINT('Origin',(31.253863,7.8613281,0.)); #504096=CARTESIAN_POINT('',(31.253863,7.8613281,0.)); #504097=CARTESIAN_POINT('',(31.253863,7.8613281,0.)); #504098=CARTESIAN_POINT('',(31.253863,7.8613281,0.035)); #504099=CARTESIAN_POINT('',(31.253863,7.8613281,0.035)); #504100=CARTESIAN_POINT('',(31.253863,7.8613281,0.)); #504101=CARTESIAN_POINT('Origin',(31.254263,7.8613625,0.)); #504102=CARTESIAN_POINT('',(31.254263,7.8613625,0.)); #504103=CARTESIAN_POINT('',(31.254263,7.8613625,0.)); #504104=CARTESIAN_POINT('',(31.254263,7.8613625,0.035)); #504105=CARTESIAN_POINT('',(31.254263,7.8613625,0.035)); #504106=CARTESIAN_POINT('',(31.254263,7.8613625,0.)); #504107=CARTESIAN_POINT('Origin',(31.2524,7.8759438,0.)); #504108=CARTESIAN_POINT('',(31.2524,7.8759438,0.)); #504109=CARTESIAN_POINT('',(31.2524,7.8759438,0.)); #504110=CARTESIAN_POINT('',(31.2524,7.8759438,0.035)); #504111=CARTESIAN_POINT('',(31.2524,7.8759438,0.035)); #504112=CARTESIAN_POINT('',(31.2524,7.8759438,0.)); #504113=CARTESIAN_POINT('Origin',(31.2524,7.9263125,0.)); #504114=CARTESIAN_POINT('',(31.2524,7.9263125,0.)); #504115=CARTESIAN_POINT('',(31.2524,7.9263125,0.)); #504116=CARTESIAN_POINT('',(31.2524,7.9263125,0.035)); #504117=CARTESIAN_POINT('',(31.2524,7.9263125,0.035)); #504118=CARTESIAN_POINT('',(31.2524,7.9263125,0.)); #504119=CARTESIAN_POINT('Origin',(31.25,7.9381313,0.)); #504120=CARTESIAN_POINT('',(31.25,7.9381313,0.)); #504121=CARTESIAN_POINT('',(31.25,7.9381313,0.)); #504122=CARTESIAN_POINT('',(31.25,7.9381313,0.035)); #504123=CARTESIAN_POINT('',(31.25,7.9381313,0.035)); #504124=CARTESIAN_POINT('',(31.25,7.9381313,0.)); #504125=CARTESIAN_POINT('Origin',(31.25,7.9899781,0.)); #504126=CARTESIAN_POINT('',(31.25,7.9899781,0.)); #504127=CARTESIAN_POINT('',(31.25,7.9899781,0.)); #504128=CARTESIAN_POINT('',(31.25,7.9899781,0.035)); #504129=CARTESIAN_POINT('',(31.25,7.9899781,0.035)); #504130=CARTESIAN_POINT('',(31.25,7.9899781,0.)); #504131=CARTESIAN_POINT('Origin',(31.248541,7.999175,0.)); #504132=CARTESIAN_POINT('',(31.248541,7.999175,0.)); #504133=CARTESIAN_POINT('',(31.248541,7.999175,0.)); #504134=CARTESIAN_POINT('',(31.248541,7.999175,0.035)); #504135=CARTESIAN_POINT('',(31.248541,7.999175,0.035)); #504136=CARTESIAN_POINT('',(31.248541,7.999175,0.)); #504137=CARTESIAN_POINT('Origin',(31.242016,8.,0.)); #504138=CARTESIAN_POINT('',(31.242016,8.,0.)); #504139=CARTESIAN_POINT('',(31.242016,8.,0.)); #504140=CARTESIAN_POINT('',(31.242016,8.,0.035)); #504141=CARTESIAN_POINT('',(31.242016,8.,0.035)); #504142=CARTESIAN_POINT('',(31.242016,8.,0.)); #504143=CARTESIAN_POINT('Origin',(31.021684,8.,0.)); #504144=CARTESIAN_POINT('',(31.021684,8.,0.)); #504145=CARTESIAN_POINT('',(31.021684,8.,0.)); #504146=CARTESIAN_POINT('',(31.021684,8.,0.035)); #504147=CARTESIAN_POINT('',(31.021684,8.,0.035)); #504148=CARTESIAN_POINT('',(31.021684,8.,0.)); #504149=CARTESIAN_POINT('Origin',(31.009363,8.0024,0.)); #504150=CARTESIAN_POINT('',(31.009363,8.0024,0.)); #504151=CARTESIAN_POINT('',(31.009363,8.0024,0.)); #504152=CARTESIAN_POINT('',(31.009363,8.0024,0.035)); #504153=CARTESIAN_POINT('',(31.009363,8.0024,0.035)); #504154=CARTESIAN_POINT('',(31.009363,8.0024,0.)); #504155=CARTESIAN_POINT('Origin',(30.800206,8.0024,0.)); #504156=CARTESIAN_POINT('',(30.800206,8.0024,0.)); #504157=CARTESIAN_POINT('',(30.800206,8.0024,0.)); #504158=CARTESIAN_POINT('',(30.800206,8.0024,0.035)); #504159=CARTESIAN_POINT('',(30.800206,8.0024,0.035)); #504160=CARTESIAN_POINT('',(30.800206,8.0024,0.)); #504161=CARTESIAN_POINT('Origin',(30.752544,8.0116844,0.)); #504162=CARTESIAN_POINT('',(30.752544,8.0116844,0.)); #504163=CARTESIAN_POINT('',(30.752544,8.0116844,0.)); #504164=CARTESIAN_POINT('',(30.752544,8.0116844,0.035)); #504165=CARTESIAN_POINT('',(30.752544,8.0116844,0.035)); #504166=CARTESIAN_POINT('',(30.752544,8.0116844,0.)); #504167=CARTESIAN_POINT('Origin',(30.710403,8.0395969,0.)); #504168=CARTESIAN_POINT('',(30.710403,8.0395969,0.)); #504169=CARTESIAN_POINT('',(30.710403,8.0395969,0.)); #504170=CARTESIAN_POINT('',(30.710403,8.0395969,0.035)); #504171=CARTESIAN_POINT('',(30.710403,8.0395969,0.035)); #504172=CARTESIAN_POINT('',(30.710403,8.0395969,0.)); #504173=CARTESIAN_POINT('Origin',(30.287197,8.4628031,0.)); #504174=CARTESIAN_POINT('',(30.287197,8.4628031,0.)); #504175=CARTESIAN_POINT('',(30.287197,8.4628031,0.)); #504176=CARTESIAN_POINT('',(30.287197,8.4628031,0.035)); #504177=CARTESIAN_POINT('',(30.287197,8.4628031,0.035)); #504178=CARTESIAN_POINT('',(30.287197,8.4628031,0.)); #504179=CARTESIAN_POINT('Origin',(30.260059,8.5030719,0.)); #504180=CARTESIAN_POINT('',(30.260059,8.5030719,0.)); #504181=CARTESIAN_POINT('',(30.260059,8.5030719,0.)); #504182=CARTESIAN_POINT('',(30.260059,8.5030719,0.035)); #504183=CARTESIAN_POINT('',(30.260059,8.5030719,0.035)); #504184=CARTESIAN_POINT('',(30.260059,8.5030719,0.)); #504185=CARTESIAN_POINT('Origin',(30.25,8.5526063,0.)); #504186=CARTESIAN_POINT('',(30.25,8.5526063,0.)); #504187=CARTESIAN_POINT('',(30.25,8.5526063,0.)); #504188=CARTESIAN_POINT('',(30.25,8.5526063,0.035)); #504189=CARTESIAN_POINT('',(30.25,8.5526063,0.035)); #504190=CARTESIAN_POINT('',(30.25,8.5526063,0.)); #504191=CARTESIAN_POINT('Origin',(30.25,9.9456,0.)); #504192=CARTESIAN_POINT('',(30.25,9.9456,0.)); #504193=CARTESIAN_POINT('',(30.25,9.9456,0.)); #504194=CARTESIAN_POINT('',(30.25,9.9456,0.035)); #504195=CARTESIAN_POINT('',(30.25,9.9456,0.035)); #504196=CARTESIAN_POINT('',(30.25,9.9456,0.)); #504197=CARTESIAN_POINT('Origin',(30.258538,9.9913812,0.)); #504198=CARTESIAN_POINT('',(30.258538,9.9913812,0.)); #504199=CARTESIAN_POINT('',(30.258538,9.9913812,0.)); #504200=CARTESIAN_POINT('',(30.258538,9.9913812,0.035)); #504201=CARTESIAN_POINT('',(30.258538,9.9913812,0.035)); #504202=CARTESIAN_POINT('',(30.258538,9.9913812,0.)); #504203=CARTESIAN_POINT('Origin',(30.285778,10.033959,0.)); #504204=CARTESIAN_POINT('',(30.285778,10.033959,0.)); #504205=CARTESIAN_POINT('',(30.285778,10.033959,0.)); #504206=CARTESIAN_POINT('',(30.285778,10.033959,0.035)); #504207=CARTESIAN_POINT('',(30.285778,10.033959,0.035)); #504208=CARTESIAN_POINT('',(30.285778,10.033959,0.)); #504209=CARTESIAN_POINT('Origin',(30.327466,10.062541,0.)); #504210=CARTESIAN_POINT('',(30.327466,10.062541,0.)); #504211=CARTESIAN_POINT('',(30.327466,10.062541,0.)); #504212=CARTESIAN_POINT('',(30.327466,10.062541,0.035)); #504213=CARTESIAN_POINT('',(30.327466,10.062541,0.035)); #504214=CARTESIAN_POINT('',(30.327466,10.062541,0.)); #504215=CARTESIAN_POINT('Origin',(30.377,10.0726,0.)); #504216=CARTESIAN_POINT('',(30.377,10.0726,0.)); #504217=CARTESIAN_POINT('',(30.377,10.0726,0.)); #504218=CARTESIAN_POINT('',(30.377,10.0726,0.035)); #504219=CARTESIAN_POINT('',(30.377,10.0726,0.035)); #504220=CARTESIAN_POINT('',(30.377,10.0726,0.)); #504221=CARTESIAN_POINT('Origin',(30.677034,10.0726,0.)); #504222=CARTESIAN_POINT('',(30.677034,10.0726,0.)); #504223=CARTESIAN_POINT('',(30.677034,10.0726,0.)); #504224=CARTESIAN_POINT('',(30.677034,10.0726,0.035)); #504225=CARTESIAN_POINT('',(30.677034,10.0726,0.035)); #504226=CARTESIAN_POINT('',(30.677034,10.0726,0.)); #504227=CARTESIAN_POINT('Origin',(30.838638,10.234203,0.)); #504228=CARTESIAN_POINT('',(30.838638,10.234203,0.)); #504229=CARTESIAN_POINT('',(30.838638,10.234203,0.)); #504230=CARTESIAN_POINT('',(30.838638,10.234203,0.035)); #504231=CARTESIAN_POINT('',(30.838638,10.234203,0.035)); #504232=CARTESIAN_POINT('',(30.838638,10.234203,0.)); #504233=CARTESIAN_POINT('Origin',(30.878906,10.261341,0.)); #504234=CARTESIAN_POINT('',(30.878906,10.261341,0.)); #504235=CARTESIAN_POINT('',(30.878906,10.261341,0.)); #504236=CARTESIAN_POINT('',(30.878906,10.261341,0.035)); #504237=CARTESIAN_POINT('',(30.878906,10.261341,0.035)); #504238=CARTESIAN_POINT('',(30.878906,10.261341,0.)); #504239=CARTESIAN_POINT('Origin',(30.928441,10.2714,0.)); #504240=CARTESIAN_POINT('',(30.928441,10.2714,0.)); #504241=CARTESIAN_POINT('',(30.928441,10.2714,0.)); #504242=CARTESIAN_POINT('',(30.928441,10.2714,0.035)); #504243=CARTESIAN_POINT('',(30.928441,10.2714,0.035)); #504244=CARTESIAN_POINT('',(30.928441,10.2714,0.)); #504245=CARTESIAN_POINT('Origin',(36.071559,10.2714,0.)); #504246=CARTESIAN_POINT('',(36.071559,10.2714,0.)); #504247=CARTESIAN_POINT('',(36.071559,10.2714,0.)); #504248=CARTESIAN_POINT('',(36.071559,10.2714,0.035)); #504249=CARTESIAN_POINT('',(36.071559,10.2714,0.035)); #504250=CARTESIAN_POINT('',(36.071559,10.2714,0.)); #504251=CARTESIAN_POINT('Origin',(36.119222,10.262116,0.)); #504252=CARTESIAN_POINT('',(36.119222,10.262116,0.)); #504253=CARTESIAN_POINT('',(36.119222,10.262116,0.)); #504254=CARTESIAN_POINT('',(36.119222,10.262116,0.035)); #504255=CARTESIAN_POINT('',(36.119222,10.262116,0.035)); #504256=CARTESIAN_POINT('',(36.119222,10.262116,0.)); #504257=CARTESIAN_POINT('Origin',(36.161363,10.234203,0.)); #504258=CARTESIAN_POINT('',(36.161363,10.234203,0.)); #504259=CARTESIAN_POINT('',(36.161363,10.234203,0.)); #504260=CARTESIAN_POINT('',(36.161363,10.234203,0.035)); #504261=CARTESIAN_POINT('',(36.161363,10.234203,0.035)); #504262=CARTESIAN_POINT('',(36.161363,10.234203,0.)); #504263=CARTESIAN_POINT('Origin',(36.322966,10.0726,0.)); #504264=CARTESIAN_POINT('',(36.322966,10.0726,0.)); #504265=CARTESIAN_POINT('',(36.322966,10.0726,0.)); #504266=CARTESIAN_POINT('',(36.322966,10.0726,0.035)); #504267=CARTESIAN_POINT('',(36.322966,10.0726,0.035)); #504268=CARTESIAN_POINT('',(36.322966,10.0726,0.)); #504269=CARTESIAN_POINT('Origin',(36.677034,10.0726,0.)); #504270=CARTESIAN_POINT('',(36.677034,10.0726,0.)); #504271=CARTESIAN_POINT('',(36.677034,10.0726,0.)); #504272=CARTESIAN_POINT('',(36.677034,10.0726,0.035)); #504273=CARTESIAN_POINT('',(36.677034,10.0726,0.035)); #504274=CARTESIAN_POINT('',(36.677034,10.0726,0.)); #504275=CARTESIAN_POINT('Origin',(36.9274,10.322966,0.)); #504276=CARTESIAN_POINT('',(36.9274,10.322966,0.)); #504277=CARTESIAN_POINT('',(36.9274,10.322966,0.)); #504278=CARTESIAN_POINT('',(36.9274,10.322966,0.035)); #504279=CARTESIAN_POINT('',(36.9274,10.322966,0.035)); #504280=CARTESIAN_POINT('',(36.9274,10.322966,0.)); #504281=CARTESIAN_POINT('Origin',(36.9274,10.373,0.)); #504282=CARTESIAN_POINT('',(36.9274,10.373,0.)); #504283=CARTESIAN_POINT('',(36.9274,10.373,0.)); #504284=CARTESIAN_POINT('',(36.9274,10.373,0.035)); #504285=CARTESIAN_POINT('',(36.9274,10.373,0.035)); #504286=CARTESIAN_POINT('',(36.9274,10.373,0.)); #504287=CARTESIAN_POINT('Origin',(36.935938,10.418781,0.)); #504288=CARTESIAN_POINT('',(36.935938,10.418781,0.)); #504289=CARTESIAN_POINT('',(36.935938,10.418781,0.)); #504290=CARTESIAN_POINT('',(36.935938,10.418781,0.035)); #504291=CARTESIAN_POINT('',(36.935938,10.418781,0.035)); #504292=CARTESIAN_POINT('',(36.935938,10.418781,0.)); #504293=CARTESIAN_POINT('Origin',(36.963178,10.461359,0.)); #504294=CARTESIAN_POINT('',(36.963178,10.461359,0.)); #504295=CARTESIAN_POINT('',(36.963178,10.461359,0.)); #504296=CARTESIAN_POINT('',(36.963178,10.461359,0.035)); #504297=CARTESIAN_POINT('',(36.963178,10.461359,0.035)); #504298=CARTESIAN_POINT('',(36.963178,10.461359,0.)); #504299=CARTESIAN_POINT('Origin',(37.004866,10.489941,0.)); #504300=CARTESIAN_POINT('',(37.004866,10.489941,0.)); #504301=CARTESIAN_POINT('',(37.004866,10.489941,0.)); #504302=CARTESIAN_POINT('',(37.004866,10.489941,0.035)); #504303=CARTESIAN_POINT('',(37.004866,10.489941,0.035)); #504304=CARTESIAN_POINT('',(37.004866,10.489941,0.)); #504305=CARTESIAN_POINT('Origin',(37.0544,10.5,0.)); #504306=CARTESIAN_POINT('',(37.0544,10.5,0.)); #504307=CARTESIAN_POINT('',(37.0544,10.5,0.)); #504308=CARTESIAN_POINT('',(37.0544,10.5,0.035)); #504309=CARTESIAN_POINT('',(37.0544,10.5,0.035)); #504310=CARTESIAN_POINT('',(37.0544,10.5,0.)); #504311=CARTESIAN_POINT('Origin',(37.197394,10.5,0.)); #504312=CARTESIAN_POINT('',(37.197394,10.5,0.)); #504313=CARTESIAN_POINT('',(37.197394,10.5,0.)); #504314=CARTESIAN_POINT('',(37.197394,10.5,0.035)); #504315=CARTESIAN_POINT('',(37.197394,10.5,0.035)); #504316=CARTESIAN_POINT('',(37.197394,10.5,0.)); #504317=CARTESIAN_POINT('Origin',(37.245056,10.490716,0.)); #504318=CARTESIAN_POINT('',(37.245056,10.490716,0.)); #504319=CARTESIAN_POINT('',(37.245056,10.490716,0.)); #504320=CARTESIAN_POINT('',(37.245056,10.490716,0.035)); #504321=CARTESIAN_POINT('',(37.245056,10.490716,0.035)); #504322=CARTESIAN_POINT('',(37.245056,10.490716,0.)); #504323=CARTESIAN_POINT('Origin',(37.287197,10.462803,0.)); #504324=CARTESIAN_POINT('',(37.287197,10.462803,0.)); #504325=CARTESIAN_POINT('',(37.287197,10.462803,0.)); #504326=CARTESIAN_POINT('',(37.287197,10.462803,0.035)); #504327=CARTESIAN_POINT('',(37.287197,10.462803,0.035)); #504328=CARTESIAN_POINT('',(37.287197,10.462803,0.)); #504329=CARTESIAN_POINT('Origin',(37.712803,10.037197,0.)); #504330=CARTESIAN_POINT('',(37.712803,10.037197,0.)); #504331=CARTESIAN_POINT('',(37.712803,10.037197,0.)); #504332=CARTESIAN_POINT('',(37.712803,10.037197,0.035)); #504333=CARTESIAN_POINT('',(37.712803,10.037197,0.035)); #504334=CARTESIAN_POINT('',(37.712803,10.037197,0.)); #504335=CARTESIAN_POINT('Origin',(37.739941,9.9969281,0.)); #504336=CARTESIAN_POINT('',(37.739941,9.9969281,0.)); #504337=CARTESIAN_POINT('',(37.739941,9.9969281,0.)); #504338=CARTESIAN_POINT('',(37.739941,9.9969281,0.035)); #504339=CARTESIAN_POINT('',(37.739941,9.9969281,0.035)); #504340=CARTESIAN_POINT('',(37.739941,9.9969281,0.)); #504341=CARTESIAN_POINT('Origin',(37.75,9.9473937,0.)); #504342=CARTESIAN_POINT('',(37.75,9.9473937,0.)); #504343=CARTESIAN_POINT('',(37.75,9.9473937,0.)); #504344=CARTESIAN_POINT('',(37.75,9.9473937,0.035)); #504345=CARTESIAN_POINT('',(37.75,9.9473937,0.035)); #504346=CARTESIAN_POINT('',(37.75,9.9473937,0.)); #504347=CARTESIAN_POINT('Origin',(37.75,8.5526063,0.)); #504348=CARTESIAN_POINT('',(37.75,8.5526063,0.)); #504349=CARTESIAN_POINT('',(37.75,8.5526063,0.)); #504350=CARTESIAN_POINT('',(37.75,8.5526063,0.035)); #504351=CARTESIAN_POINT('',(37.75,8.5526063,0.035)); #504352=CARTESIAN_POINT('',(37.75,8.5526063,0.)); #504353=CARTESIAN_POINT('Origin',(37.740716,8.5049438,0.)); #504354=CARTESIAN_POINT('',(37.740716,8.5049438,0.)); #504355=CARTESIAN_POINT('',(37.740716,8.5049438,0.)); #504356=CARTESIAN_POINT('',(37.740716,8.5049438,0.035)); #504357=CARTESIAN_POINT('',(37.740716,8.5049438,0.035)); #504358=CARTESIAN_POINT('',(37.740716,8.5049438,0.)); #504359=CARTESIAN_POINT('Origin',(37.712803,8.4628031,0.)); #504360=CARTESIAN_POINT('',(37.712803,8.4628031,0.)); #504361=CARTESIAN_POINT('',(37.712803,8.4628031,0.)); #504362=CARTESIAN_POINT('',(37.712803,8.4628031,0.035)); #504363=CARTESIAN_POINT('',(37.712803,8.4628031,0.035)); #504364=CARTESIAN_POINT('',(37.712803,8.4628031,0.)); #504365=CARTESIAN_POINT('Origin',(37.289597,8.0395969,0.)); #504366=CARTESIAN_POINT('',(37.289597,8.0395969,0.)); #504367=CARTESIAN_POINT('',(37.289597,8.0395969,0.)); #504368=CARTESIAN_POINT('',(37.289597,8.0395969,0.035)); #504369=CARTESIAN_POINT('',(37.289597,8.0395969,0.035)); #504370=CARTESIAN_POINT('',(37.289597,8.0395969,0.)); #504371=CARTESIAN_POINT('Origin',(37.249328,8.0124594,0.)); #504372=CARTESIAN_POINT('',(37.249328,8.0124594,0.)); #504373=CARTESIAN_POINT('',(37.249328,8.0124594,0.)); #504374=CARTESIAN_POINT('',(37.249328,8.0124594,0.035)); #504375=CARTESIAN_POINT('',(37.249328,8.0124594,0.035)); #504376=CARTESIAN_POINT('',(37.249328,8.0124594,0.)); #504377=CARTESIAN_POINT('Origin',(37.199794,8.0024,0.)); #504378=CARTESIAN_POINT('',(37.199794,8.0024,0.)); #504379=CARTESIAN_POINT('',(37.199794,8.0024,0.)); #504380=CARTESIAN_POINT('',(37.199794,8.0024,0.035)); #504381=CARTESIAN_POINT('',(37.199794,8.0024,0.035)); #504382=CARTESIAN_POINT('',(37.199794,8.0024,0.)); #504383=CARTESIAN_POINT('Origin',(36.990134,8.0024,0.)); #504384=CARTESIAN_POINT('',(36.990134,8.0024,0.)); #504385=CARTESIAN_POINT('',(36.990134,8.0024,0.)); #504386=CARTESIAN_POINT('',(36.990134,8.0024,0.035)); #504387=CARTESIAN_POINT('',(36.990134,8.0024,0.035)); #504388=CARTESIAN_POINT('',(36.990134,8.0024,0.)); #504389=CARTESIAN_POINT('Origin',(36.978316,8.,0.)); #504390=CARTESIAN_POINT('',(36.978316,8.,0.)); #504391=CARTESIAN_POINT('',(36.978316,8.,0.)); #504392=CARTESIAN_POINT('',(36.978316,8.,0.035)); #504393=CARTESIAN_POINT('',(36.978316,8.,0.035)); #504394=CARTESIAN_POINT('',(36.978316,8.,0.)); #504395=CARTESIAN_POINT('Origin',(36.760022,8.,0.)); #504396=CARTESIAN_POINT('',(36.760022,8.,0.)); #504397=CARTESIAN_POINT('',(36.760022,8.,0.)); #504398=CARTESIAN_POINT('',(36.760022,8.,0.035)); #504399=CARTESIAN_POINT('',(36.760022,8.,0.035)); #504400=CARTESIAN_POINT('',(36.760022,8.,0.)); #504401=CARTESIAN_POINT('Origin',(36.750825,7.9985406,0.)); #504402=CARTESIAN_POINT('',(36.750825,7.9985406,0.)); #504403=CARTESIAN_POINT('',(36.750825,7.9985406,0.)); #504404=CARTESIAN_POINT('',(36.750825,7.9985406,0.035)); #504405=CARTESIAN_POINT('',(36.750825,7.9985406,0.035)); #504406=CARTESIAN_POINT('',(36.750825,7.9985406,0.)); #504407=CARTESIAN_POINT('Origin',(36.75,7.9920156,0.)); #504408=CARTESIAN_POINT('',(36.75,7.9920156,0.)); #504409=CARTESIAN_POINT('',(36.75,7.9920156,0.)); #504410=CARTESIAN_POINT('',(36.75,7.9920156,0.035)); #504411=CARTESIAN_POINT('',(36.75,7.9920156,0.035)); #504412=CARTESIAN_POINT('',(36.75,7.9920156,0.)); #504413=CARTESIAN_POINT('Origin',(36.75,7.9381313,0.)); #504414=CARTESIAN_POINT('',(36.75,7.9381313,0.)); #504415=CARTESIAN_POINT('',(36.75,7.9381313,0.)); #504416=CARTESIAN_POINT('',(36.75,7.9381313,0.035)); #504417=CARTESIAN_POINT('',(36.75,7.9381313,0.035)); #504418=CARTESIAN_POINT('',(36.75,7.9381313,0.)); #504419=CARTESIAN_POINT('Origin',(36.7476,7.9258094,0.)); #504420=CARTESIAN_POINT('',(36.7476,7.9258094,0.)); #504421=CARTESIAN_POINT('',(36.7476,7.9258094,0.)); #504422=CARTESIAN_POINT('',(36.7476,7.9258094,0.035)); #504423=CARTESIAN_POINT('',(36.7476,7.9258094,0.035)); #504424=CARTESIAN_POINT('',(36.7476,7.9258094,0.)); #504425=CARTESIAN_POINT('Origin',(36.7476,7.8709656,0.)); #504426=CARTESIAN_POINT('',(36.7476,7.8709656,0.)); #504427=CARTESIAN_POINT('',(36.7476,7.8709656,0.)); #504428=CARTESIAN_POINT('',(36.7476,7.8709656,0.035)); #504429=CARTESIAN_POINT('',(36.7476,7.8709656,0.035)); #504430=CARTESIAN_POINT('',(36.7476,7.8709656,0.)); #504431=CARTESIAN_POINT('Origin',(36.746856,7.8572313,0.)); #504432=CARTESIAN_POINT('',(36.746856,7.8572313,0.)); #504433=CARTESIAN_POINT('',(36.746856,7.8572313,0.)); #504434=CARTESIAN_POINT('',(36.746856,7.8572313,0.035)); #504435=CARTESIAN_POINT('',(36.746856,7.8572313,0.035)); #504436=CARTESIAN_POINT('',(36.746856,7.8572313,0.)); #504437=CARTESIAN_POINT('Origin',(36.7426,7.8181031,0.)); #504438=CARTESIAN_POINT('',(36.7426,7.8181031,0.)); #504439=CARTESIAN_POINT('',(36.7426,7.8181031,0.)); #504440=CARTESIAN_POINT('',(36.7426,7.8181031,0.035)); #504441=CARTESIAN_POINT('',(36.7426,7.8181031,0.035)); #504442=CARTESIAN_POINT('',(36.7426,7.8181031,0.)); #504443=CARTESIAN_POINT('Origin',(36.7426,6.8803,0.)); #504444=CARTESIAN_POINT('',(36.7426,6.8803,0.)); #504445=CARTESIAN_POINT('',(36.7426,6.8803,0.)); #504446=CARTESIAN_POINT('',(36.7426,6.8803,0.035)); #504447=CARTESIAN_POINT('',(36.7426,6.8803,0.035)); #504448=CARTESIAN_POINT('',(36.7426,6.8803,0.)); #504449=CARTESIAN_POINT('Origin',(36.733694,6.833575,0.)); #504450=CARTESIAN_POINT('',(36.733694,6.833575,0.)); #504451=CARTESIAN_POINT('',(36.733694,6.833575,0.)); #504452=CARTESIAN_POINT('',(36.733694,6.833575,0.035)); #504453=CARTESIAN_POINT('',(36.733694,6.833575,0.035)); #504454=CARTESIAN_POINT('',(36.733694,6.833575,0.)); #504455=CARTESIAN_POINT('Origin',(36.706116,6.7912156,0.)); #504456=CARTESIAN_POINT('',(36.706116,6.7912156,0.)); #504457=CARTESIAN_POINT('',(36.706116,6.7912156,0.)); #504458=CARTESIAN_POINT('',(36.706116,6.7912156,0.035)); #504459=CARTESIAN_POINT('',(36.706116,6.7912156,0.035)); #504460=CARTESIAN_POINT('',(36.706116,6.7912156,0.)); #504461=CARTESIAN_POINT('Origin',(36.7,6.7870938,0.)); #504462=CARTESIAN_POINT('',(36.7,6.7870938,0.)); #504463=CARTESIAN_POINT('',(36.7,6.7870938,0.)); #504464=CARTESIAN_POINT('',(36.7,6.7870938,0.035)); #504465=CARTESIAN_POINT('',(36.7,6.7870938,0.035)); #504466=CARTESIAN_POINT('',(36.7,6.7870938,0.)); #504467=CARTESIAN_POINT('Origin',(36.7,6.67,0.)); #504468=CARTESIAN_POINT('',(36.7,6.67,0.)); #504469=CARTESIAN_POINT('',(36.7,6.67,0.)); #504470=CARTESIAN_POINT('',(36.7,6.67,0.035)); #504471=CARTESIAN_POINT('',(36.7,6.67,0.035)); #504472=CARTESIAN_POINT('',(36.7,6.67,0.)); #504473=CARTESIAN_POINT('Origin',(36.496116,6.67,0.)); #504474=CARTESIAN_POINT('',(36.496116,6.67,0.)); #504475=CARTESIAN_POINT('',(36.496116,6.67,0.)); #504476=CARTESIAN_POINT('',(36.496116,6.67,0.035)); #504477=CARTESIAN_POINT('',(36.496116,6.67,0.035)); #504478=CARTESIAN_POINT('',(36.496116,6.67,0.)); #504479=CARTESIAN_POINT('Origin',(36.36015,6.5340344,0.)); #504480=CARTESIAN_POINT('',(36.36015,6.5340344,0.)); #504481=CARTESIAN_POINT('',(36.36015,6.5340344,0.)); #504482=CARTESIAN_POINT('',(36.36015,6.5340344,0.035)); #504483=CARTESIAN_POINT('',(36.36015,6.5340344,0.035)); #504484=CARTESIAN_POINT('',(36.36015,6.5340344,0.)); #504485=CARTESIAN_POINT('Origin',(36.265,6.3043156,0.)); #504486=CARTESIAN_POINT('',(36.265,6.3043156,0.)); #504487=CARTESIAN_POINT('',(36.265,6.3043156,0.)); #504488=CARTESIAN_POINT('',(36.265,6.3043156,0.035)); #504489=CARTESIAN_POINT('',(36.265,6.3043156,0.035)); #504490=CARTESIAN_POINT('',(36.265,6.3043156,0.)); #504491=CARTESIAN_POINT('Origin',(36.265,6.0556844,0.)); #504492=CARTESIAN_POINT('',(36.265,6.0556844,0.)); #504493=CARTESIAN_POINT('',(36.265,6.0556844,0.)); #504494=CARTESIAN_POINT('',(36.265,6.0556844,0.035)); #504495=CARTESIAN_POINT('',(36.265,6.0556844,0.035)); #504496=CARTESIAN_POINT('',(36.265,6.0556844,0.)); #504497=CARTESIAN_POINT('Origin',(36.36015,5.8259656,0.)); #504498=CARTESIAN_POINT('',(36.36015,5.8259656,0.)); #504499=CARTESIAN_POINT('',(36.36015,5.8259656,0.)); #504500=CARTESIAN_POINT('',(36.36015,5.8259656,0.035)); #504501=CARTESIAN_POINT('',(36.36015,5.8259656,0.035)); #504502=CARTESIAN_POINT('',(36.36015,5.8259656,0.)); #504503=CARTESIAN_POINT('Origin',(36.378253,5.8078656,0.)); #504504=CARTESIAN_POINT('',(36.378253,5.8078656,0.)); #504505=CARTESIAN_POINT('',(36.378253,5.8078656,0.)); #504506=CARTESIAN_POINT('',(36.378253,5.8078656,0.035)); #504507=CARTESIAN_POINT('',(36.378253,5.8078656,0.035)); #504508=CARTESIAN_POINT('',(36.378253,5.8078656,0.)); #504509=CARTESIAN_POINT('Origin',(36.404591,5.7694531,0.)); #504510=CARTESIAN_POINT('',(36.404591,5.7694531,0.)); #504511=CARTESIAN_POINT('',(36.404591,5.7694531,0.)); #504512=CARTESIAN_POINT('',(36.404591,5.7694531,0.035)); #504513=CARTESIAN_POINT('',(36.404591,5.7694531,0.035)); #504514=CARTESIAN_POINT('',(36.404591,5.7694531,0.)); #504515=CARTESIAN_POINT('Origin',(36.415438,5.7200844,0.)); #504516=CARTESIAN_POINT('',(36.415438,5.7200844,0.)); #504517=CARTESIAN_POINT('',(36.415438,5.7200844,0.)); #504518=CARTESIAN_POINT('',(36.415438,5.7200844,0.035)); #504519=CARTESIAN_POINT('',(36.415438,5.7200844,0.035)); #504520=CARTESIAN_POINT('',(36.415438,5.7200844,0.)); #504521=CARTESIAN_POINT('Origin',(36.406169,5.6703969,0.)); #504522=CARTESIAN_POINT('',(36.406169,5.6703969,0.)); #504523=CARTESIAN_POINT('',(36.406169,5.6703969,0.)); #504524=CARTESIAN_POINT('',(36.406169,5.6703969,0.035)); #504525=CARTESIAN_POINT('',(36.406169,5.6703969,0.035)); #504526=CARTESIAN_POINT('',(36.406169,5.6703969,0.)); #504527=CARTESIAN_POINT('Origin',(36.378256,5.6282563,0.)); #504528=CARTESIAN_POINT('',(36.378256,5.6282563,0.)); #504529=CARTESIAN_POINT('',(36.378256,5.6282563,0.)); #504530=CARTESIAN_POINT('',(36.378256,5.6282563,0.035)); #504531=CARTESIAN_POINT('',(36.378256,5.6282563,0.035)); #504532=CARTESIAN_POINT('',(36.378256,5.6282563,0.)); #504533=CARTESIAN_POINT('Origin',(35.787197,5.0371969,0.)); #504534=CARTESIAN_POINT('',(35.787197,5.0371969,0.)); #504535=CARTESIAN_POINT('',(35.787197,5.0371969,0.)); #504536=CARTESIAN_POINT('',(35.787197,5.0371969,0.035)); #504537=CARTESIAN_POINT('',(35.787197,5.0371969,0.035)); #504538=CARTESIAN_POINT('',(35.787197,5.0371969,0.)); #504539=CARTESIAN_POINT('Origin',(35.746928,5.0100594,0.)); #504540=CARTESIAN_POINT('',(35.746928,5.0100594,0.)); #504541=CARTESIAN_POINT('',(35.746928,5.0100594,0.035)); #504542=CARTESIAN_POINT('Origin',(34.0492692586076,8.01873236923598,0.035)); #504543=CARTESIAN_POINT('Origin',(34.0492692586076,8.01873236923598,0.)); #504544=CARTESIAN_POINT('Origin',(32.73,45.,-200.)); #504545=CARTESIAN_POINT('',(32.165,45.,-0.0349999999999895)); #504546=CARTESIAN_POINT('Origin',(32.73,45.,-0.0349999999999895)); #504547=CARTESIAN_POINT('',(32.165,45.,0.)); #504548=CARTESIAN_POINT('',(32.165,45.,-200.)); #504549=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #504550=CARTESIAN_POINT('Origin',(32.769294,44.1,-0.035)); #504551=CARTESIAN_POINT('',(32.769294,44.1,-0.035)); #504552=CARTESIAN_POINT('',(32.847584,44.10685,-0.035)); #504553=CARTESIAN_POINT('',(32.769294,44.1,-0.035)); #504554=CARTESIAN_POINT('',(32.847584,44.10685,0.)); #504555=CARTESIAN_POINT('',(32.847584,44.10685,-0.035)); #504556=CARTESIAN_POINT('',(32.769294,44.1,0.)); #504557=CARTESIAN_POINT('',(32.769294,44.1,0.)); #504558=CARTESIAN_POINT('',(32.769294,44.1,-0.035)); #504559=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,-0.035)); #504560=CARTESIAN_POINT('',(32.612416,45.89315,-0.035)); #504561=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,-0.035)); #504562=CARTESIAN_POINT('',(32.612416,45.89315,0.)); #504563=CARTESIAN_POINT('Origin',(32.730000340126,44.9999997233462,0.)); #504564=CARTESIAN_POINT('',(32.612416,45.89315,-0.035)); #504565=CARTESIAN_POINT('Origin',(32.73,45.,-0.035)); #504566=CARTESIAN_POINT('Origin',(32.73,45.,-0.035)); #504567=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #504568=CARTESIAN_POINT('Origin',(32.7282590237178,44.9986240251875,0.)); #504569=CARTESIAN_POINT('Origin',(32.7282590237178,44.9986240251875,-0.035)); #504570=CARTESIAN_POINT('Origin',(35.27,45.,-200.)); #504571=CARTESIAN_POINT('',(34.705,45.,-0.0349999999999895)); #504572=CARTESIAN_POINT('Origin',(35.27,45.,-0.0349999999999895)); #504573=CARTESIAN_POINT('',(34.705,45.,0.)); #504574=CARTESIAN_POINT('',(34.705,45.,-200.)); #504575=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #504576=CARTESIAN_POINT('Origin',(35.309294,44.1,-0.035)); #504577=CARTESIAN_POINT('',(35.309294,44.1,-0.035)); #504578=CARTESIAN_POINT('',(35.387584,44.10685,-0.035)); #504579=CARTESIAN_POINT('',(35.309294,44.1,-0.035)); #504580=CARTESIAN_POINT('',(35.387584,44.10685,0.)); #504581=CARTESIAN_POINT('',(35.387584,44.10685,-0.035)); #504582=CARTESIAN_POINT('',(35.309294,44.1,0.)); #504583=CARTESIAN_POINT('',(35.309294,44.1,0.)); #504584=CARTESIAN_POINT('',(35.309294,44.1,-0.035)); #504585=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,-0.035)); #504586=CARTESIAN_POINT('',(35.152416,45.89315,-0.035)); #504587=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,-0.035)); #504588=CARTESIAN_POINT('',(35.152416,45.89315,0.)); #504589=CARTESIAN_POINT('Origin',(35.270000340126,44.9999997233462,0.)); #504590=CARTESIAN_POINT('',(35.152416,45.89315,-0.035)); #504591=CARTESIAN_POINT('Origin',(35.27,45.,-0.035)); #504592=CARTESIAN_POINT('Origin',(35.27,45.,-0.035)); #504593=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #504594=CARTESIAN_POINT('Origin',(35.2682590237178,44.9986240251875,0.)); #504595=CARTESIAN_POINT('Origin',(35.2682590237178,44.9986240251875,-0.035)); #504596=CARTESIAN_POINT('Origin',(36.5,27.75,-200.)); #504597=CARTESIAN_POINT('',(36.035,27.75,-0.0349999999999895)); #504598=CARTESIAN_POINT('Origin',(36.5,27.75,-0.0349999999999895)); #504599=CARTESIAN_POINT('',(36.035,27.75,0.)); #504600=CARTESIAN_POINT('',(36.035,27.75,-200.)); #504601=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #504602=CARTESIAN_POINT('Origin',(36.536844,27.,-0.035)); #504603=CARTESIAN_POINT('',(36.536844,27.,-0.035)); #504604=CARTESIAN_POINT('',(36.610181,27.007222,-0.035)); #504605=CARTESIAN_POINT('',(36.536844,27.,-0.035)); #504606=CARTESIAN_POINT('',(36.610181,27.007222,0.)); #504607=CARTESIAN_POINT('',(36.610181,27.007222,-0.035)); #504608=CARTESIAN_POINT('',(36.536844,27.,0.)); #504609=CARTESIAN_POINT('',(36.536844,27.,0.)); #504610=CARTESIAN_POINT('',(36.536844,27.,-0.035)); #504611=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,-0.035)); #504612=CARTESIAN_POINT('',(36.389819,28.492778,-0.035)); #504613=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,-0.035)); #504614=CARTESIAN_POINT('',(36.389819,28.492778,0.)); #504615=CARTESIAN_POINT('Origin',(36.5000001874156,27.7500005308286,0.)); #504616=CARTESIAN_POINT('',(36.389819,28.492778,-0.035)); #504617=CARTESIAN_POINT('Origin',(36.5,27.75,-0.035)); #504618=CARTESIAN_POINT('Origin',(36.5,27.75,-0.035)); #504619=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #504620=CARTESIAN_POINT('Origin',(36.4983877860344,27.7486960162912,0.)); #504621=CARTESIAN_POINT('Origin',(36.4983877860344,27.7486960162912,-0.035)); #504622=CARTESIAN_POINT('Origin',(46.25,34.,-200.)); #504623=CARTESIAN_POINT('',(45.735,34.,-0.0349999999999895)); #504624=CARTESIAN_POINT('Origin',(46.25,34.,-0.0349999999999895)); #504625=CARTESIAN_POINT('',(45.735,34.,0.)); #504626=CARTESIAN_POINT('',(45.735,34.,-200.)); #504627=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #504628=CARTESIAN_POINT('Origin',(46.290528,33.175,-0.035)); #504629=CARTESIAN_POINT('',(46.290528,33.175,-0.035)); #504630=CARTESIAN_POINT('',(46.3712,33.182944,-0.035)); #504631=CARTESIAN_POINT('',(46.290528,33.175,-0.035)); #504632=CARTESIAN_POINT('',(46.3712,33.182944,0.)); #504633=CARTESIAN_POINT('',(46.3712,33.182944,-0.035)); #504634=CARTESIAN_POINT('',(46.290528,33.175,0.)); #504635=CARTESIAN_POINT('',(46.290528,33.175,0.)); #504636=CARTESIAN_POINT('',(46.290528,33.175,-0.035)); #504637=CARTESIAN_POINT('Origin',(46.24999984599,34.0000007242556,-0.035)); #504638=CARTESIAN_POINT('',(46.1288,34.817056,-0.035)); #504639=CARTESIAN_POINT('Origin',(46.24999984599,34.0000007242556,-0.035)); #504640=CARTESIAN_POINT('',(46.1288,34.817056,0.)); #504641=CARTESIAN_POINT('Origin',(46.24999984599,34.0000007242556,0.)); #504642=CARTESIAN_POINT('',(46.1288,34.817056,-0.035)); #504643=CARTESIAN_POINT('Origin',(46.25,34.,-0.035)); #504644=CARTESIAN_POINT('Origin',(46.25,34.,-0.035)); #504645=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #504646=CARTESIAN_POINT('Origin',(46.24822648407,33.9985656780324,0.)); #504647=CARTESIAN_POINT('Origin',(46.24822648407,33.9985656780324,-0.035)); #504648=CARTESIAN_POINT('Origin',(21.75,34.,-200.)); #504649=CARTESIAN_POINT('',(21.235,34.,-0.0349999999999895)); #504650=CARTESIAN_POINT('Origin',(21.75,34.,-0.0349999999999895)); #504651=CARTESIAN_POINT('',(21.235,34.,0.)); #504652=CARTESIAN_POINT('',(21.235,34.,-200.)); #504653=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #504654=CARTESIAN_POINT('Origin',(22.575,33.175,-0.035)); #504655=CARTESIAN_POINT('',(22.575,33.175,-0.035)); #504656=CARTESIAN_POINT('',(22.575,34.825,-0.035)); #504657=CARTESIAN_POINT('',(22.575,33.175,-0.035)); #504658=CARTESIAN_POINT('',(22.575,34.825,0.)); #504659=CARTESIAN_POINT('',(22.575,34.825,-0.035)); #504660=CARTESIAN_POINT('',(22.575,33.175,0.)); #504661=CARTESIAN_POINT('',(22.575,33.175,0.)); #504662=CARTESIAN_POINT('',(22.575,33.175,-0.035)); #504663=CARTESIAN_POINT('Origin',(20.925,33.175,-0.035)); #504664=CARTESIAN_POINT('',(20.925,33.175,-0.035)); #504665=CARTESIAN_POINT('',(20.925,33.175,-0.035)); #504666=CARTESIAN_POINT('',(20.925,33.175,0.)); #504667=CARTESIAN_POINT('',(20.925,33.175,0.)); #504668=CARTESIAN_POINT('',(20.925,33.175,-0.035)); #504669=CARTESIAN_POINT('Origin',(20.925,34.825,-0.035)); #504670=CARTESIAN_POINT('',(20.925,34.825,-0.035)); #504671=CARTESIAN_POINT('',(20.925,34.825,-0.035)); #504672=CARTESIAN_POINT('',(20.925,34.825,0.)); #504673=CARTESIAN_POINT('',(20.925,34.825,0.)); #504674=CARTESIAN_POINT('',(20.925,34.825,-0.035)); #504675=CARTESIAN_POINT('Origin',(22.575,34.825,-0.035)); #504676=CARTESIAN_POINT('',(22.575,34.825,-0.035)); #504677=CARTESIAN_POINT('',(22.575,34.825,0.)); #504678=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #504679=CARTESIAN_POINT('Origin',(21.75,34.,-0.035)); #504680=CARTESIAN_POINT('Origin',(31.5,27.75,-200.)); #504681=CARTESIAN_POINT('',(31.035,27.75,-0.0349999999999895)); #504682=CARTESIAN_POINT('Origin',(31.5,27.75,-0.0349999999999895)); #504683=CARTESIAN_POINT('',(31.035,27.75,0.)); #504684=CARTESIAN_POINT('',(31.035,27.75,-200.)); #504685=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #504686=CARTESIAN_POINT('Origin',(31.536844,27.,-0.035)); #504687=CARTESIAN_POINT('',(31.536844,27.,-0.035)); #504688=CARTESIAN_POINT('',(31.610181,27.007222,-0.035)); #504689=CARTESIAN_POINT('',(31.536844,27.,-0.035)); #504690=CARTESIAN_POINT('',(31.610181,27.007222,0.)); #504691=CARTESIAN_POINT('',(31.610181,27.007222,-0.035)); #504692=CARTESIAN_POINT('',(31.536844,27.,0.)); #504693=CARTESIAN_POINT('',(31.536844,27.,0.)); #504694=CARTESIAN_POINT('',(31.536844,27.,-0.035)); #504695=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,-0.035)); #504696=CARTESIAN_POINT('',(31.389819,28.492778,-0.035)); #504697=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,-0.035)); #504698=CARTESIAN_POINT('',(31.389819,28.492778,0.)); #504699=CARTESIAN_POINT('Origin',(31.5000001874156,27.7500005308286,0.)); #504700=CARTESIAN_POINT('',(31.389819,28.492778,-0.035)); #504701=CARTESIAN_POINT('Origin',(31.5,27.75,-0.035)); #504702=CARTESIAN_POINT('Origin',(31.5,27.75,-0.035)); #504703=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #504704=CARTESIAN_POINT('Origin',(31.4983877860344,27.7486960162912,0.)); #504705=CARTESIAN_POINT('Origin',(31.4983877860344,27.7486960162912,-0.035)); #504706=CARTESIAN_POINT('Origin',(15.75,34.,-200.)); #504707=CARTESIAN_POINT('',(15.285,34.,-0.0349999999999895)); #504708=CARTESIAN_POINT('Origin',(15.75,34.,-0.0349999999999895)); #504709=CARTESIAN_POINT('',(15.285,34.,0.)); #504710=CARTESIAN_POINT('',(15.285,34.,-200.)); #504711=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #504712=CARTESIAN_POINT('Origin',(15.786844,33.25,-0.035)); #504713=CARTESIAN_POINT('',(15.786844,33.25,-0.035)); #504714=CARTESIAN_POINT('',(15.860181,33.257222,-0.035)); #504715=CARTESIAN_POINT('',(15.786844,33.25,-0.035)); #504716=CARTESIAN_POINT('',(15.860181,33.257222,0.)); #504717=CARTESIAN_POINT('',(15.860181,33.257222,-0.035)); #504718=CARTESIAN_POINT('',(15.786844,33.25,0.)); #504719=CARTESIAN_POINT('',(15.786844,33.25,0.)); #504720=CARTESIAN_POINT('',(15.786844,33.25,-0.035)); #504721=CARTESIAN_POINT('Origin',(15.7500001874156,34.0000005308286,-0.035)); #504722=CARTESIAN_POINT('',(15.639819,34.742778,-0.035)); #504723=CARTESIAN_POINT('Origin',(15.7500001874156,34.0000005308286,-0.035)); #504724=CARTESIAN_POINT('',(15.639819,34.742778,0.)); #504725=CARTESIAN_POINT('Origin',(15.7500001874156,34.0000005308286,0.)); #504726=CARTESIAN_POINT('',(15.639819,34.742778,-0.035)); #504727=CARTESIAN_POINT('Origin',(15.75,34.,-0.035)); #504728=CARTESIAN_POINT('Origin',(15.75,34.,-0.035)); #504729=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #504730=CARTESIAN_POINT('Origin',(15.7483877860344,33.9986960162912,0.)); #504731=CARTESIAN_POINT('Origin',(15.7483877860344,33.9986960162912,-0.035)); #504732=CARTESIAN_POINT('Origin',(37.81,45.,-200.)); #504733=CARTESIAN_POINT('',(37.245,45.,-0.0349999999999895)); #504734=CARTESIAN_POINT('Origin',(37.81,45.,-0.0349999999999895)); #504735=CARTESIAN_POINT('',(37.245,45.,0.)); #504736=CARTESIAN_POINT('',(37.245,45.,-200.)); #504737=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #504738=CARTESIAN_POINT('Origin',(37.849294,44.1,-0.035)); #504739=CARTESIAN_POINT('',(37.849294,44.1,-0.035)); #504740=CARTESIAN_POINT('',(37.927584,44.10685,-0.035)); #504741=CARTESIAN_POINT('',(37.849294,44.1,-0.035)); #504742=CARTESIAN_POINT('',(37.927584,44.10685,0.)); #504743=CARTESIAN_POINT('',(37.927584,44.10685,-0.035)); #504744=CARTESIAN_POINT('',(37.849294,44.1,0.)); #504745=CARTESIAN_POINT('',(37.849294,44.1,0.)); #504746=CARTESIAN_POINT('',(37.849294,44.1,-0.035)); #504747=CARTESIAN_POINT('Origin',(37.810000340126,44.9999997233462,-0.035)); #504748=CARTESIAN_POINT('',(37.692416,45.89315,-0.035)); #504749=CARTESIAN_POINT('Origin',(37.810000340126,44.9999997233462,-0.035)); #504750=CARTESIAN_POINT('',(37.692416,45.89315,0.)); #504751=CARTESIAN_POINT('Origin',(37.810000340126,44.9999997233462,0.)); #504752=CARTESIAN_POINT('',(37.692416,45.89315,-0.035)); #504753=CARTESIAN_POINT('Origin',(37.81,45.,-0.035)); #504754=CARTESIAN_POINT('Origin',(37.81,45.,-0.035)); #504755=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #504756=CARTESIAN_POINT('Origin',(37.8082590237178,44.9986240251875,0.)); #504757=CARTESIAN_POINT('Origin',(37.8082590237178,44.9986240251875,-0.035)); #504758=CARTESIAN_POINT('Origin',(55.75,34.,-200.)); #504759=CARTESIAN_POINT('',(55.285,34.,-0.0349999999999895)); #504760=CARTESIAN_POINT('Origin',(55.75,34.,-0.0349999999999895)); #504761=CARTESIAN_POINT('',(55.285,34.,0.)); #504762=CARTESIAN_POINT('',(55.285,34.,-200.)); #504763=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #504764=CARTESIAN_POINT('Origin',(55.786844,33.25,-0.035)); #504765=CARTESIAN_POINT('',(55.786844,33.25,-0.035)); #504766=CARTESIAN_POINT('',(55.860181,33.257222,-0.035)); #504767=CARTESIAN_POINT('',(55.786844,33.25,-0.035)); #504768=CARTESIAN_POINT('',(55.860181,33.257222,0.)); #504769=CARTESIAN_POINT('',(55.860181,33.257222,-0.035)); #504770=CARTESIAN_POINT('',(55.786844,33.25,0.)); #504771=CARTESIAN_POINT('',(55.786844,33.25,0.)); #504772=CARTESIAN_POINT('',(55.786844,33.25,-0.035)); #504773=CARTESIAN_POINT('Origin',(55.7500001874156,34.0000005308286,-0.035)); #504774=CARTESIAN_POINT('',(55.639819,34.742778,-0.035)); #504775=CARTESIAN_POINT('Origin',(55.7500001874156,34.0000005308286,-0.035)); #504776=CARTESIAN_POINT('',(55.639819,34.742778,0.)); #504777=CARTESIAN_POINT('Origin',(55.7500001874156,34.0000005308286,0.)); #504778=CARTESIAN_POINT('',(55.639819,34.742778,-0.035)); #504779=CARTESIAN_POINT('Origin',(55.75,34.,-0.035)); #504780=CARTESIAN_POINT('Origin',(55.75,34.,-0.035)); #504781=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #504782=CARTESIAN_POINT('Origin',(55.7483877860344,33.9986960162912,0.)); #504783=CARTESIAN_POINT('Origin',(55.7483877860344,33.9986960162912,-0.035)); #504784=CARTESIAN_POINT('Origin',(38.635,2.09999997467882,-200.)); #504785=CARTESIAN_POINT('',(38.635,2.89999999155961,0.)); #504786=CARTESIAN_POINT('',(38.635,2.09999997467882,0.)); #504787=CARTESIAN_POINT('',(38.635,2.29999998733941,0.)); #504788=CARTESIAN_POINT('',(38.635,2.89999999155961,-0.0349999999999895)); #504789=CARTESIAN_POINT('',(38.635,2.89999999155961,-200.)); #504790=CARTESIAN_POINT('',(38.635,2.09999997467882,-0.0349999999999895)); #504791=CARTESIAN_POINT('',(38.635,2.29999998733941,-0.0350000000000072)); #504792=CARTESIAN_POINT('',(38.635,2.09999997467882,-200.)); #504793=CARTESIAN_POINT('Origin',(38.32,2.1,-200.)); #504794=CARTESIAN_POINT('',(38.005,2.10000000844039,0.)); #504795=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #504796=CARTESIAN_POINT('',(38.005,2.10000000844039,-0.0349999999999895)); #504797=CARTESIAN_POINT('Origin',(38.32,2.1,-0.0349999999999895)); #504798=CARTESIAN_POINT('',(38.005,2.10000000844039,-200.)); #504799=CARTESIAN_POINT('Origin',(38.005,2.90000002532118,-200.)); #504800=CARTESIAN_POINT('',(38.005,2.90000002532118,0.)); #504801=CARTESIAN_POINT('',(38.005,2.70000001266059,0.)); #504802=CARTESIAN_POINT('',(38.005,2.90000002532118,-0.0349999999999895)); #504803=CARTESIAN_POINT('',(38.005,2.70000001266059,-0.0350000000000072)); #504804=CARTESIAN_POINT('',(38.005,2.90000002532118,-200.)); #504805=CARTESIAN_POINT('Origin',(38.32,2.9,-200.)); #504806=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #504807=CARTESIAN_POINT('Origin',(38.32,2.9,-0.0349999999999895)); #504808=CARTESIAN_POINT('Origin',(38.350887,1.55,-0.035)); #504809=CARTESIAN_POINT('',(38.350887,1.55,-0.035)); #504810=CARTESIAN_POINT('',(38.412275,1.5569156,-0.035)); #504811=CARTESIAN_POINT('',(38.350887,1.55,-0.035)); #504812=CARTESIAN_POINT('',(38.412275,1.5569156,0.)); #504813=CARTESIAN_POINT('',(38.412275,1.5569156,-0.035)); #504814=CARTESIAN_POINT('',(38.350887,1.55,0.)); #504815=CARTESIAN_POINT('',(38.350887,1.55,0.)); #504816=CARTESIAN_POINT('',(38.350887,1.55,-0.035)); #504817=CARTESIAN_POINT('Origin',(38.289113,1.55,-0.035)); #504818=CARTESIAN_POINT('',(38.289113,1.55,-0.035)); #504819=CARTESIAN_POINT('',(38.289113,1.55,-0.035)); #504820=CARTESIAN_POINT('',(38.289113,1.55,0.)); #504821=CARTESIAN_POINT('',(38.289113,1.55,0.)); #504822=CARTESIAN_POINT('',(38.289113,1.55,-0.035)); #504823=CARTESIAN_POINT('Origin',(38.227725,1.5569156,-0.035)); #504824=CARTESIAN_POINT('',(38.227725,1.5569156,-0.035)); #504825=CARTESIAN_POINT('',(38.227725,1.5569156,-0.035)); #504826=CARTESIAN_POINT('',(38.227725,1.5569156,0.)); #504827=CARTESIAN_POINT('',(38.227725,1.5569156,0.)); #504828=CARTESIAN_POINT('',(38.227725,1.5569156,-0.035)); #504829=CARTESIAN_POINT('Origin',(38.1675,1.5706625,-0.035)); #504830=CARTESIAN_POINT('',(38.1675,1.5706625,-0.035)); #504831=CARTESIAN_POINT('',(38.1675,1.5706625,-0.035)); #504832=CARTESIAN_POINT('',(38.1675,1.5706625,0.)); #504833=CARTESIAN_POINT('',(38.1675,1.5706625,0.)); #504834=CARTESIAN_POINT('',(38.1675,1.5706625,-0.035)); #504835=CARTESIAN_POINT('Origin',(38.109194,1.5910656,-0.035)); #504836=CARTESIAN_POINT('',(38.109194,1.5910656,-0.035)); #504837=CARTESIAN_POINT('',(38.109194,1.5910656,-0.035)); #504838=CARTESIAN_POINT('',(38.109194,1.5910656,0.)); #504839=CARTESIAN_POINT('',(38.109194,1.5910656,0.)); #504840=CARTESIAN_POINT('',(38.109194,1.5910656,-0.035)); #504841=CARTESIAN_POINT('Origin',(38.053534,1.6178687,-0.035)); #504842=CARTESIAN_POINT('',(38.053534,1.6178687,-0.035)); #504843=CARTESIAN_POINT('',(38.053534,1.6178687,-0.035)); #504844=CARTESIAN_POINT('',(38.053534,1.6178687,0.)); #504845=CARTESIAN_POINT('',(38.053534,1.6178687,0.)); #504846=CARTESIAN_POINT('',(38.053534,1.6178687,-0.035)); #504847=CARTESIAN_POINT('Origin',(38.001228,1.6507344,-0.035)); #504848=CARTESIAN_POINT('',(38.001228,1.6507344,-0.035)); #504849=CARTESIAN_POINT('',(38.001228,1.6507344,-0.035)); #504850=CARTESIAN_POINT('',(38.001228,1.6507344,0.)); #504851=CARTESIAN_POINT('',(38.001228,1.6507344,0.)); #504852=CARTESIAN_POINT('',(38.001228,1.6507344,-0.035)); #504853=CARTESIAN_POINT('Origin',(37.952931,1.68925,-0.035)); #504854=CARTESIAN_POINT('',(37.952931,1.68925,-0.035)); #504855=CARTESIAN_POINT('',(37.952931,1.68925,-0.035)); #504856=CARTESIAN_POINT('',(37.952931,1.68925,0.)); #504857=CARTESIAN_POINT('',(37.952931,1.68925,0.)); #504858=CARTESIAN_POINT('',(37.952931,1.68925,-0.035)); #504859=CARTESIAN_POINT('Origin',(37.90925,1.7329313,-0.035)); #504860=CARTESIAN_POINT('',(37.90925,1.7329313,-0.035)); #504861=CARTESIAN_POINT('',(37.90925,1.7329313,-0.035)); #504862=CARTESIAN_POINT('',(37.90925,1.7329313,0.)); #504863=CARTESIAN_POINT('',(37.90925,1.7329313,0.)); #504864=CARTESIAN_POINT('',(37.90925,1.7329313,-0.035)); #504865=CARTESIAN_POINT('Origin',(37.870734,1.7812281,-0.035)); #504866=CARTESIAN_POINT('',(37.870734,1.7812281,-0.035)); #504867=CARTESIAN_POINT('',(37.870734,1.7812281,-0.035)); #504868=CARTESIAN_POINT('',(37.870734,1.7812281,0.)); #504869=CARTESIAN_POINT('',(37.870734,1.7812281,0.)); #504870=CARTESIAN_POINT('',(37.870734,1.7812281,-0.035)); #504871=CARTESIAN_POINT('Origin',(37.837869,1.8335344,-0.035)); #504872=CARTESIAN_POINT('',(37.837869,1.8335344,-0.035)); #504873=CARTESIAN_POINT('',(37.837869,1.8335344,-0.035)); #504874=CARTESIAN_POINT('',(37.837869,1.8335344,0.)); #504875=CARTESIAN_POINT('',(37.837869,1.8335344,0.)); #504876=CARTESIAN_POINT('',(37.837869,1.8335344,-0.035)); #504877=CARTESIAN_POINT('Origin',(37.811066,1.8891938,-0.035)); #504878=CARTESIAN_POINT('',(37.811066,1.8891938,-0.035)); #504879=CARTESIAN_POINT('',(37.811066,1.8891938,-0.035)); #504880=CARTESIAN_POINT('',(37.811066,1.8891938,0.)); #504881=CARTESIAN_POINT('',(37.811066,1.8891938,0.)); #504882=CARTESIAN_POINT('',(37.811066,1.8891938,-0.035)); #504883=CARTESIAN_POINT('Origin',(37.790663,1.9475,-0.035)); #504884=CARTESIAN_POINT('',(37.790663,1.9475,-0.035)); #504885=CARTESIAN_POINT('',(37.790663,1.9475,-0.035)); #504886=CARTESIAN_POINT('',(37.790663,1.9475,0.)); #504887=CARTESIAN_POINT('',(37.790663,1.9475,0.)); #504888=CARTESIAN_POINT('',(37.790663,1.9475,-0.035)); #504889=CARTESIAN_POINT('Origin',(37.776916,2.007725,-0.035)); #504890=CARTESIAN_POINT('',(37.776916,2.007725,-0.035)); #504891=CARTESIAN_POINT('',(37.776916,2.007725,-0.035)); #504892=CARTESIAN_POINT('',(37.776916,2.007725,0.)); #504893=CARTESIAN_POINT('',(37.776916,2.007725,0.)); #504894=CARTESIAN_POINT('',(37.776916,2.007725,-0.035)); #504895=CARTESIAN_POINT('Origin',(37.77,2.0691125,-0.035)); #504896=CARTESIAN_POINT('',(37.77,2.0691125,-0.035)); #504897=CARTESIAN_POINT('',(37.77,2.0691125,-0.035)); #504898=CARTESIAN_POINT('',(37.77,2.0691125,0.)); #504899=CARTESIAN_POINT('',(37.77,2.0691125,0.)); #504900=CARTESIAN_POINT('',(37.77,2.0691125,-0.035)); #504901=CARTESIAN_POINT('Origin',(37.77,2.9308875,-0.035)); #504902=CARTESIAN_POINT('',(37.77,2.9308875,-0.035)); #504903=CARTESIAN_POINT('',(37.77,2.9308875,-0.035)); #504904=CARTESIAN_POINT('',(37.77,2.9308875,0.)); #504905=CARTESIAN_POINT('',(37.77,2.9308875,0.)); #504906=CARTESIAN_POINT('',(37.77,2.9308875,-0.035)); #504907=CARTESIAN_POINT('Origin',(37.776916,2.992275,-0.035)); #504908=CARTESIAN_POINT('',(37.776916,2.992275,-0.035)); #504909=CARTESIAN_POINT('',(37.776916,2.992275,-0.035)); #504910=CARTESIAN_POINT('',(37.776916,2.992275,0.)); #504911=CARTESIAN_POINT('',(37.776916,2.992275,0.)); #504912=CARTESIAN_POINT('',(37.776916,2.992275,-0.035)); #504913=CARTESIAN_POINT('Origin',(37.790663,3.0525,-0.035)); #504914=CARTESIAN_POINT('',(37.790663,3.0525,-0.035)); #504915=CARTESIAN_POINT('',(37.790663,3.0525,-0.035)); #504916=CARTESIAN_POINT('',(37.790663,3.0525,0.)); #504917=CARTESIAN_POINT('',(37.790663,3.0525,0.)); #504918=CARTESIAN_POINT('',(37.790663,3.0525,-0.035)); #504919=CARTESIAN_POINT('Origin',(37.811066,3.1108062,-0.035)); #504920=CARTESIAN_POINT('',(37.811066,3.1108062,-0.035)); #504921=CARTESIAN_POINT('',(37.811066,3.1108062,-0.035)); #504922=CARTESIAN_POINT('',(37.811066,3.1108062,0.)); #504923=CARTESIAN_POINT('',(37.811066,3.1108062,0.)); #504924=CARTESIAN_POINT('',(37.811066,3.1108062,-0.035)); #504925=CARTESIAN_POINT('Origin',(37.837869,3.1664656,-0.035)); #504926=CARTESIAN_POINT('',(37.837869,3.1664656,-0.035)); #504927=CARTESIAN_POINT('',(37.837869,3.1664656,-0.035)); #504928=CARTESIAN_POINT('',(37.837869,3.1664656,0.)); #504929=CARTESIAN_POINT('',(37.837869,3.1664656,0.)); #504930=CARTESIAN_POINT('',(37.837869,3.1664656,-0.035)); #504931=CARTESIAN_POINT('Origin',(37.870734,3.2187719,-0.035)); #504932=CARTESIAN_POINT('',(37.870734,3.2187719,-0.035)); #504933=CARTESIAN_POINT('',(37.870734,3.2187719,-0.035)); #504934=CARTESIAN_POINT('',(37.870734,3.2187719,0.)); #504935=CARTESIAN_POINT('',(37.870734,3.2187719,0.)); #504936=CARTESIAN_POINT('',(37.870734,3.2187719,-0.035)); #504937=CARTESIAN_POINT('Origin',(37.90925,3.2670687,-0.035)); #504938=CARTESIAN_POINT('',(37.90925,3.2670687,-0.035)); #504939=CARTESIAN_POINT('',(37.90925,3.2670687,-0.035)); #504940=CARTESIAN_POINT('',(37.90925,3.2670687,0.)); #504941=CARTESIAN_POINT('',(37.90925,3.2670687,0.)); #504942=CARTESIAN_POINT('',(37.90925,3.2670687,-0.035)); #504943=CARTESIAN_POINT('Origin',(37.952931,3.31075,-0.035)); #504944=CARTESIAN_POINT('',(37.952931,3.31075,-0.035)); #504945=CARTESIAN_POINT('',(37.952931,3.31075,-0.035)); #504946=CARTESIAN_POINT('',(37.952931,3.31075,0.)); #504947=CARTESIAN_POINT('',(37.952931,3.31075,0.)); #504948=CARTESIAN_POINT('',(37.952931,3.31075,-0.035)); #504949=CARTESIAN_POINT('Origin',(38.001228,3.3492656,-0.035)); #504950=CARTESIAN_POINT('',(38.001228,3.3492656,-0.035)); #504951=CARTESIAN_POINT('',(38.001228,3.3492656,-0.035)); #504952=CARTESIAN_POINT('',(38.001228,3.3492656,0.)); #504953=CARTESIAN_POINT('',(38.001228,3.3492656,0.)); #504954=CARTESIAN_POINT('',(38.001228,3.3492656,-0.035)); #504955=CARTESIAN_POINT('Origin',(38.053534,3.3821313,-0.035)); #504956=CARTESIAN_POINT('',(38.053534,3.3821313,-0.035)); #504957=CARTESIAN_POINT('',(38.053534,3.3821313,-0.035)); #504958=CARTESIAN_POINT('',(38.053534,3.3821313,0.)); #504959=CARTESIAN_POINT('',(38.053534,3.3821313,0.)); #504960=CARTESIAN_POINT('',(38.053534,3.3821313,-0.035)); #504961=CARTESIAN_POINT('Origin',(38.109194,3.4089344,-0.035)); #504962=CARTESIAN_POINT('',(38.109194,3.4089344,-0.035)); #504963=CARTESIAN_POINT('',(38.109194,3.4089344,-0.035)); #504964=CARTESIAN_POINT('',(38.109194,3.4089344,0.)); #504965=CARTESIAN_POINT('',(38.109194,3.4089344,0.)); #504966=CARTESIAN_POINT('',(38.109194,3.4089344,-0.035)); #504967=CARTESIAN_POINT('Origin',(38.1675,3.4293375,-0.035)); #504968=CARTESIAN_POINT('',(38.1675,3.4293375,-0.035)); #504969=CARTESIAN_POINT('',(38.1675,3.4293375,-0.035)); #504970=CARTESIAN_POINT('',(38.1675,3.4293375,0.)); #504971=CARTESIAN_POINT('',(38.1675,3.4293375,0.)); #504972=CARTESIAN_POINT('',(38.1675,3.4293375,-0.035)); #504973=CARTESIAN_POINT('Origin',(38.227725,3.4430844,-0.035)); #504974=CARTESIAN_POINT('',(38.227725,3.4430844,-0.035)); #504975=CARTESIAN_POINT('',(38.227725,3.4430844,-0.035)); #504976=CARTESIAN_POINT('',(38.227725,3.4430844,0.)); #504977=CARTESIAN_POINT('',(38.227725,3.4430844,0.)); #504978=CARTESIAN_POINT('',(38.227725,3.4430844,-0.035)); #504979=CARTESIAN_POINT('Origin',(38.289113,3.45,-0.035)); #504980=CARTESIAN_POINT('',(38.289113,3.45,-0.035)); #504981=CARTESIAN_POINT('',(38.289113,3.45,-0.035)); #504982=CARTESIAN_POINT('',(38.289113,3.45,0.)); #504983=CARTESIAN_POINT('',(38.289113,3.45,0.)); #504984=CARTESIAN_POINT('',(38.289113,3.45,-0.035)); #504985=CARTESIAN_POINT('Origin',(38.350887,3.45,-0.035)); #504986=CARTESIAN_POINT('',(38.350887,3.45,-0.035)); #504987=CARTESIAN_POINT('',(38.350887,3.45,-0.035)); #504988=CARTESIAN_POINT('',(38.350887,3.45,0.)); #504989=CARTESIAN_POINT('',(38.350887,3.45,0.)); #504990=CARTESIAN_POINT('',(38.350887,3.45,-0.035)); #504991=CARTESIAN_POINT('Origin',(38.412275,3.4430844,-0.035)); #504992=CARTESIAN_POINT('',(38.412275,3.4430844,-0.035)); #504993=CARTESIAN_POINT('',(38.412275,3.4430844,-0.035)); #504994=CARTESIAN_POINT('',(38.412275,3.4430844,0.)); #504995=CARTESIAN_POINT('',(38.412275,3.4430844,0.)); #504996=CARTESIAN_POINT('',(38.412275,3.4430844,-0.035)); #504997=CARTESIAN_POINT('Origin',(38.4725,3.4293375,-0.035)); #504998=CARTESIAN_POINT('',(38.4725,3.4293375,-0.035)); #504999=CARTESIAN_POINT('',(38.4725,3.4293375,-0.035)); #505000=CARTESIAN_POINT('',(38.4725,3.4293375,0.)); #505001=CARTESIAN_POINT('',(38.4725,3.4293375,0.)); #505002=CARTESIAN_POINT('',(38.4725,3.4293375,-0.035)); #505003=CARTESIAN_POINT('Origin',(38.530806,3.4089344,-0.035)); #505004=CARTESIAN_POINT('',(38.530806,3.4089344,-0.035)); #505005=CARTESIAN_POINT('',(38.530806,3.4089344,-0.035)); #505006=CARTESIAN_POINT('',(38.530806,3.4089344,0.)); #505007=CARTESIAN_POINT('',(38.530806,3.4089344,0.)); #505008=CARTESIAN_POINT('',(38.530806,3.4089344,-0.035)); #505009=CARTESIAN_POINT('Origin',(38.586466,3.3821313,-0.035)); #505010=CARTESIAN_POINT('',(38.586466,3.3821313,-0.035)); #505011=CARTESIAN_POINT('',(38.586466,3.3821313,-0.035)); #505012=CARTESIAN_POINT('',(38.586466,3.3821313,0.)); #505013=CARTESIAN_POINT('',(38.586466,3.3821313,0.)); #505014=CARTESIAN_POINT('',(38.586466,3.3821313,-0.035)); #505015=CARTESIAN_POINT('Origin',(38.638772,3.3492656,-0.035)); #505016=CARTESIAN_POINT('',(38.638772,3.3492656,-0.035)); #505017=CARTESIAN_POINT('',(38.638772,3.3492656,-0.035)); #505018=CARTESIAN_POINT('',(38.638772,3.3492656,0.)); #505019=CARTESIAN_POINT('',(38.638772,3.3492656,0.)); #505020=CARTESIAN_POINT('',(38.638772,3.3492656,-0.035)); #505021=CARTESIAN_POINT('Origin',(38.687069,3.31075,-0.035)); #505022=CARTESIAN_POINT('',(38.687069,3.31075,-0.035)); #505023=CARTESIAN_POINT('',(38.687069,3.31075,-0.035)); #505024=CARTESIAN_POINT('',(38.687069,3.31075,0.)); #505025=CARTESIAN_POINT('',(38.687069,3.31075,0.)); #505026=CARTESIAN_POINT('',(38.687069,3.31075,-0.035)); #505027=CARTESIAN_POINT('Origin',(38.73075,3.2670687,-0.035)); #505028=CARTESIAN_POINT('',(38.73075,3.2670687,-0.035)); #505029=CARTESIAN_POINT('',(38.73075,3.2670687,-0.035)); #505030=CARTESIAN_POINT('',(38.73075,3.2670687,0.)); #505031=CARTESIAN_POINT('',(38.73075,3.2670687,0.)); #505032=CARTESIAN_POINT('',(38.73075,3.2670687,-0.035)); #505033=CARTESIAN_POINT('Origin',(38.769266,3.2187719,-0.035)); #505034=CARTESIAN_POINT('',(38.769266,3.2187719,-0.035)); #505035=CARTESIAN_POINT('',(38.769266,3.2187719,-0.035)); #505036=CARTESIAN_POINT('',(38.769266,3.2187719,0.)); #505037=CARTESIAN_POINT('',(38.769266,3.2187719,0.)); #505038=CARTESIAN_POINT('',(38.769266,3.2187719,-0.035)); #505039=CARTESIAN_POINT('Origin',(38.802131,3.1664656,-0.035)); #505040=CARTESIAN_POINT('',(38.802131,3.1664656,-0.035)); #505041=CARTESIAN_POINT('',(38.802131,3.1664656,-0.035)); #505042=CARTESIAN_POINT('',(38.802131,3.1664656,0.)); #505043=CARTESIAN_POINT('',(38.802131,3.1664656,0.)); #505044=CARTESIAN_POINT('',(38.802131,3.1664656,-0.035)); #505045=CARTESIAN_POINT('Origin',(38.828934,3.1108062,-0.035)); #505046=CARTESIAN_POINT('',(38.828934,3.1108062,-0.035)); #505047=CARTESIAN_POINT('',(38.828934,3.1108062,-0.035)); #505048=CARTESIAN_POINT('',(38.828934,3.1108062,0.)); #505049=CARTESIAN_POINT('',(38.828934,3.1108062,0.)); #505050=CARTESIAN_POINT('',(38.828934,3.1108062,-0.035)); #505051=CARTESIAN_POINT('Origin',(38.849338,3.0525,-0.035)); #505052=CARTESIAN_POINT('',(38.849338,3.0525,-0.035)); #505053=CARTESIAN_POINT('',(38.849338,3.0525,-0.035)); #505054=CARTESIAN_POINT('',(38.849338,3.0525,0.)); #505055=CARTESIAN_POINT('',(38.849338,3.0525,0.)); #505056=CARTESIAN_POINT('',(38.849338,3.0525,-0.035)); #505057=CARTESIAN_POINT('Origin',(38.863084,2.992275,-0.035)); #505058=CARTESIAN_POINT('',(38.863084,2.992275,-0.035)); #505059=CARTESIAN_POINT('',(38.863084,2.992275,-0.035)); #505060=CARTESIAN_POINT('',(38.863084,2.992275,0.)); #505061=CARTESIAN_POINT('',(38.863084,2.992275,0.)); #505062=CARTESIAN_POINT('',(38.863084,2.992275,-0.035)); #505063=CARTESIAN_POINT('Origin',(38.87,2.9308875,-0.035)); #505064=CARTESIAN_POINT('',(38.87,2.9308875,-0.035)); #505065=CARTESIAN_POINT('',(38.87,2.9308875,-0.035)); #505066=CARTESIAN_POINT('',(38.87,2.9308875,0.)); #505067=CARTESIAN_POINT('',(38.87,2.9308875,0.)); #505068=CARTESIAN_POINT('',(38.87,2.9308875,-0.035)); #505069=CARTESIAN_POINT('Origin',(38.87,2.0691125,-0.035)); #505070=CARTESIAN_POINT('',(38.87,2.0691125,-0.035)); #505071=CARTESIAN_POINT('',(38.87,2.0691125,-0.035)); #505072=CARTESIAN_POINT('',(38.87,2.0691125,0.)); #505073=CARTESIAN_POINT('',(38.87,2.0691125,0.)); #505074=CARTESIAN_POINT('',(38.87,2.0691125,-0.035)); #505075=CARTESIAN_POINT('Origin',(38.863084,2.007725,-0.035)); #505076=CARTESIAN_POINT('',(38.863084,2.007725,-0.035)); #505077=CARTESIAN_POINT('',(38.863084,2.007725,-0.035)); #505078=CARTESIAN_POINT('',(38.863084,2.007725,0.)); #505079=CARTESIAN_POINT('',(38.863084,2.007725,0.)); #505080=CARTESIAN_POINT('',(38.863084,2.007725,-0.035)); #505081=CARTESIAN_POINT('Origin',(38.849338,1.9475,-0.035)); #505082=CARTESIAN_POINT('',(38.849338,1.9475,-0.035)); #505083=CARTESIAN_POINT('',(38.849338,1.9475,-0.035)); #505084=CARTESIAN_POINT('',(38.849338,1.9475,0.)); #505085=CARTESIAN_POINT('',(38.849338,1.9475,0.)); #505086=CARTESIAN_POINT('',(38.849338,1.9475,-0.035)); #505087=CARTESIAN_POINT('Origin',(38.828934,1.8891938,-0.035)); #505088=CARTESIAN_POINT('',(38.828934,1.8891938,-0.035)); #505089=CARTESIAN_POINT('',(38.828934,1.8891938,-0.035)); #505090=CARTESIAN_POINT('',(38.828934,1.8891938,0.)); #505091=CARTESIAN_POINT('',(38.828934,1.8891938,0.)); #505092=CARTESIAN_POINT('',(38.828934,1.8891938,-0.035)); #505093=CARTESIAN_POINT('Origin',(38.802131,1.8335344,-0.035)); #505094=CARTESIAN_POINT('',(38.802131,1.8335344,-0.035)); #505095=CARTESIAN_POINT('',(38.802131,1.8335344,-0.035)); #505096=CARTESIAN_POINT('',(38.802131,1.8335344,0.)); #505097=CARTESIAN_POINT('',(38.802131,1.8335344,0.)); #505098=CARTESIAN_POINT('',(38.802131,1.8335344,-0.035)); #505099=CARTESIAN_POINT('Origin',(38.769266,1.7812281,-0.035)); #505100=CARTESIAN_POINT('',(38.769266,1.7812281,-0.035)); #505101=CARTESIAN_POINT('',(38.769266,1.7812281,-0.035)); #505102=CARTESIAN_POINT('',(38.769266,1.7812281,0.)); #505103=CARTESIAN_POINT('',(38.769266,1.7812281,0.)); #505104=CARTESIAN_POINT('',(38.769266,1.7812281,-0.035)); #505105=CARTESIAN_POINT('Origin',(38.73075,1.7329313,-0.035)); #505106=CARTESIAN_POINT('',(38.73075,1.7329313,-0.035)); #505107=CARTESIAN_POINT('',(38.73075,1.7329313,-0.035)); #505108=CARTESIAN_POINT('',(38.73075,1.7329313,0.)); #505109=CARTESIAN_POINT('',(38.73075,1.7329313,0.)); #505110=CARTESIAN_POINT('',(38.73075,1.7329313,-0.035)); #505111=CARTESIAN_POINT('Origin',(38.687069,1.68925,-0.035)); #505112=CARTESIAN_POINT('',(38.687069,1.68925,-0.035)); #505113=CARTESIAN_POINT('',(38.687069,1.68925,-0.035)); #505114=CARTESIAN_POINT('',(38.687069,1.68925,0.)); #505115=CARTESIAN_POINT('',(38.687069,1.68925,0.)); #505116=CARTESIAN_POINT('',(38.687069,1.68925,-0.035)); #505117=CARTESIAN_POINT('Origin',(38.638772,1.6507344,-0.035)); #505118=CARTESIAN_POINT('',(38.638772,1.6507344,-0.035)); #505119=CARTESIAN_POINT('',(38.638772,1.6507344,-0.035)); #505120=CARTESIAN_POINT('',(38.638772,1.6507344,0.)); #505121=CARTESIAN_POINT('',(38.638772,1.6507344,0.)); #505122=CARTESIAN_POINT('',(38.638772,1.6507344,-0.035)); #505123=CARTESIAN_POINT('Origin',(38.586466,1.6178687,-0.035)); #505124=CARTESIAN_POINT('',(38.586466,1.6178687,-0.035)); #505125=CARTESIAN_POINT('',(38.586466,1.6178687,-0.035)); #505126=CARTESIAN_POINT('',(38.586466,1.6178687,0.)); #505127=CARTESIAN_POINT('',(38.586466,1.6178687,0.)); #505128=CARTESIAN_POINT('',(38.586466,1.6178687,-0.035)); #505129=CARTESIAN_POINT('Origin',(38.530806,1.5910656,-0.035)); #505130=CARTESIAN_POINT('',(38.530806,1.5910656,-0.035)); #505131=CARTESIAN_POINT('',(38.530806,1.5910656,-0.035)); #505132=CARTESIAN_POINT('',(38.530806,1.5910656,0.)); #505133=CARTESIAN_POINT('',(38.530806,1.5910656,0.)); #505134=CARTESIAN_POINT('',(38.530806,1.5910656,-0.035)); #505135=CARTESIAN_POINT('Origin',(38.4725,1.5706625,-0.035)); #505136=CARTESIAN_POINT('',(38.4725,1.5706625,-0.035)); #505137=CARTESIAN_POINT('',(38.4725,1.5706625,-0.035)); #505138=CARTESIAN_POINT('',(38.4725,1.5706625,0.)); #505139=CARTESIAN_POINT('',(38.4725,1.5706625,0.)); #505140=CARTESIAN_POINT('',(38.4725,1.5706625,-0.035)); #505141=CARTESIAN_POINT('Origin',(38.412275,1.5569156,-0.035)); #505142=CARTESIAN_POINT('',(38.412275,1.5569156,-0.035)); #505143=CARTESIAN_POINT('',(38.412275,1.5569156,0.)); #505144=CARTESIAN_POINT('Origin',(38.3200000450332,2.5,0.)); #505145=CARTESIAN_POINT('Origin',(38.3200000450332,2.5,-0.035)); #505146=CARTESIAN_POINT('Origin',(29.4500000454174,34.565,-200.)); #505147=CARTESIAN_POINT('',(28.8500000151391,34.565,0.)); #505148=CARTESIAN_POINT('',(29.4500000454174,34.565,0.)); #505149=CARTESIAN_POINT('',(29.3000000471749,34.565,0.)); #505150=CARTESIAN_POINT('',(28.8500000151391,34.565,-0.0349999999999895)); #505151=CARTESIAN_POINT('',(28.8500000151391,34.565,-200.)); #505152=CARTESIAN_POINT('',(29.4500000454174,34.565,-0.0349999999999895)); #505153=CARTESIAN_POINT('',(29.3000000471749,34.565,-0.0350000000000072)); #505154=CARTESIAN_POINT('',(29.4500000454174,34.565,-200.)); #505155=CARTESIAN_POINT('Origin',(29.45,34.,-200.)); #505156=CARTESIAN_POINT('',(29.4499999848609,33.435,0.)); #505157=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #505158=CARTESIAN_POINT('',(29.4499999848609,33.435,-0.0349999999999895)); #505159=CARTESIAN_POINT('Origin',(29.45,34.,-0.0349999999999895)); #505160=CARTESIAN_POINT('',(29.4499999848609,33.435,-200.)); #505161=CARTESIAN_POINT('Origin',(28.8499999545827,33.435,-200.)); #505162=CARTESIAN_POINT('',(28.8499999545827,33.435,0.)); #505163=CARTESIAN_POINT('',(29.0000000017575,33.435,0.)); #505164=CARTESIAN_POINT('',(28.8499999545827,33.435,-0.0349999999999895)); #505165=CARTESIAN_POINT('',(29.0000000017575,33.435,-0.0350000000000072)); #505166=CARTESIAN_POINT('',(28.8499999545827,33.435,-200.)); #505167=CARTESIAN_POINT('Origin',(28.85,34.,-200.)); #505168=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #505169=CARTESIAN_POINT('Origin',(28.85,34.,-0.0349999999999895)); #505170=CARTESIAN_POINT('Origin',(29.489294,33.1,-0.035)); #505171=CARTESIAN_POINT('',(29.489294,33.1,-0.035)); #505172=CARTESIAN_POINT('',(29.567584,33.10685,-0.035)); #505173=CARTESIAN_POINT('',(29.489294,33.1,-0.035)); #505174=CARTESIAN_POINT('',(29.567584,33.10685,0.)); #505175=CARTESIAN_POINT('',(29.567584,33.10685,-0.035)); #505176=CARTESIAN_POINT('',(29.489294,33.1,0.)); #505177=CARTESIAN_POINT('',(29.489294,33.1,0.)); #505178=CARTESIAN_POINT('',(29.489294,33.1,-0.035)); #505179=CARTESIAN_POINT('Origin',(28.810706,33.1,-0.035)); #505180=CARTESIAN_POINT('',(28.810706,33.1,-0.035)); #505181=CARTESIAN_POINT('',(28.810706,33.1,-0.035)); #505182=CARTESIAN_POINT('',(28.810706,33.1,0.)); #505183=CARTESIAN_POINT('',(28.810706,33.1,0.)); #505184=CARTESIAN_POINT('',(28.810706,33.1,-0.035)); #505185=CARTESIAN_POINT('Origin',(28.732416,33.10685,-0.035)); #505186=CARTESIAN_POINT('',(28.732416,33.10685,-0.035)); #505187=CARTESIAN_POINT('',(28.732416,33.10685,-0.035)); #505188=CARTESIAN_POINT('',(28.732416,33.10685,0.)); #505189=CARTESIAN_POINT('',(28.732416,33.10685,0.)); #505190=CARTESIAN_POINT('',(28.732416,33.10685,-0.035)); #505191=CARTESIAN_POINT('Origin',(28.655019,33.120497,-0.035)); #505192=CARTESIAN_POINT('',(28.655019,33.120497,-0.035)); #505193=CARTESIAN_POINT('',(28.655019,33.120497,-0.035)); #505194=CARTESIAN_POINT('',(28.655019,33.120497,0.)); #505195=CARTESIAN_POINT('',(28.655019,33.120497,0.)); #505196=CARTESIAN_POINT('',(28.655019,33.120497,-0.035)); #505197=CARTESIAN_POINT('Origin',(28.579106,33.140838,-0.035)); #505198=CARTESIAN_POINT('',(28.579106,33.140838,-0.035)); #505199=CARTESIAN_POINT('',(28.579106,33.140838,-0.035)); #505200=CARTESIAN_POINT('',(28.579106,33.140838,0.)); #505201=CARTESIAN_POINT('',(28.579106,33.140838,0.)); #505202=CARTESIAN_POINT('',(28.579106,33.140838,-0.035)); #505203=CARTESIAN_POINT('Origin',(28.505256,33.167716,-0.035)); #505204=CARTESIAN_POINT('',(28.505256,33.167716,-0.035)); #505205=CARTESIAN_POINT('',(28.505256,33.167716,-0.035)); #505206=CARTESIAN_POINT('',(28.505256,33.167716,0.)); #505207=CARTESIAN_POINT('',(28.505256,33.167716,0.)); #505208=CARTESIAN_POINT('',(28.505256,33.167716,-0.035)); #505209=CARTESIAN_POINT('Origin',(28.434031,33.200931,-0.035)); #505210=CARTESIAN_POINT('',(28.434031,33.200931,-0.035)); #505211=CARTESIAN_POINT('',(28.434031,33.200931,-0.035)); #505212=CARTESIAN_POINT('',(28.434031,33.200931,0.)); #505213=CARTESIAN_POINT('',(28.434031,33.200931,0.)); #505214=CARTESIAN_POINT('',(28.434031,33.200931,-0.035)); #505215=CARTESIAN_POINT('Origin',(28.365969,33.240225,-0.035)); #505216=CARTESIAN_POINT('',(28.365969,33.240225,-0.035)); #505217=CARTESIAN_POINT('',(28.365969,33.240225,-0.035)); #505218=CARTESIAN_POINT('',(28.365969,33.240225,0.)); #505219=CARTESIAN_POINT('',(28.365969,33.240225,0.)); #505220=CARTESIAN_POINT('',(28.365969,33.240225,-0.035)); #505221=CARTESIAN_POINT('Origin',(28.301594,33.285303,-0.035)); #505222=CARTESIAN_POINT('',(28.301594,33.285303,-0.035)); #505223=CARTESIAN_POINT('',(28.301594,33.285303,-0.035)); #505224=CARTESIAN_POINT('',(28.301594,33.285303,0.)); #505225=CARTESIAN_POINT('',(28.301594,33.285303,0.)); #505226=CARTESIAN_POINT('',(28.301594,33.285303,-0.035)); #505227=CARTESIAN_POINT('Origin',(28.241391,33.335819,-0.035)); #505228=CARTESIAN_POINT('',(28.241391,33.335819,-0.035)); #505229=CARTESIAN_POINT('',(28.241391,33.335819,-0.035)); #505230=CARTESIAN_POINT('',(28.241391,33.335819,0.)); #505231=CARTESIAN_POINT('',(28.241391,33.335819,0.)); #505232=CARTESIAN_POINT('',(28.241391,33.335819,-0.035)); #505233=CARTESIAN_POINT('Origin',(28.185819,33.391391,-0.035)); #505234=CARTESIAN_POINT('',(28.185819,33.391391,-0.035)); #505235=CARTESIAN_POINT('',(28.185819,33.391391,-0.035)); #505236=CARTESIAN_POINT('',(28.185819,33.391391,0.)); #505237=CARTESIAN_POINT('',(28.185819,33.391391,0.)); #505238=CARTESIAN_POINT('',(28.185819,33.391391,-0.035)); #505239=CARTESIAN_POINT('Origin',(28.135303,33.451594,-0.035)); #505240=CARTESIAN_POINT('',(28.135303,33.451594,-0.035)); #505241=CARTESIAN_POINT('',(28.135303,33.451594,-0.035)); #505242=CARTESIAN_POINT('',(28.135303,33.451594,0.)); #505243=CARTESIAN_POINT('',(28.135303,33.451594,0.)); #505244=CARTESIAN_POINT('',(28.135303,33.451594,-0.035)); #505245=CARTESIAN_POINT('Origin',(28.090225,33.515969,-0.035)); #505246=CARTESIAN_POINT('',(28.090225,33.515969,-0.035)); #505247=CARTESIAN_POINT('',(28.090225,33.515969,-0.035)); #505248=CARTESIAN_POINT('',(28.090225,33.515969,0.)); #505249=CARTESIAN_POINT('',(28.090225,33.515969,0.)); #505250=CARTESIAN_POINT('',(28.090225,33.515969,-0.035)); #505251=CARTESIAN_POINT('Origin',(28.050931,33.584031,-0.035)); #505252=CARTESIAN_POINT('',(28.050931,33.584031,-0.035)); #505253=CARTESIAN_POINT('',(28.050931,33.584031,-0.035)); #505254=CARTESIAN_POINT('',(28.050931,33.584031,0.)); #505255=CARTESIAN_POINT('',(28.050931,33.584031,0.)); #505256=CARTESIAN_POINT('',(28.050931,33.584031,-0.035)); #505257=CARTESIAN_POINT('Origin',(28.017716,33.655256,-0.035)); #505258=CARTESIAN_POINT('',(28.017716,33.655256,-0.035)); #505259=CARTESIAN_POINT('',(28.017716,33.655256,-0.035)); #505260=CARTESIAN_POINT('',(28.017716,33.655256,0.)); #505261=CARTESIAN_POINT('',(28.017716,33.655256,0.)); #505262=CARTESIAN_POINT('',(28.017716,33.655256,-0.035)); #505263=CARTESIAN_POINT('Origin',(27.990838,33.729106,-0.035)); #505264=CARTESIAN_POINT('',(27.990838,33.729106,-0.035)); #505265=CARTESIAN_POINT('',(27.990838,33.729106,-0.035)); #505266=CARTESIAN_POINT('',(27.990838,33.729106,0.)); #505267=CARTESIAN_POINT('',(27.990838,33.729106,0.)); #505268=CARTESIAN_POINT('',(27.990838,33.729106,-0.035)); #505269=CARTESIAN_POINT('Origin',(27.970497,33.805019,-0.035)); #505270=CARTESIAN_POINT('',(27.970497,33.805019,-0.035)); #505271=CARTESIAN_POINT('',(27.970497,33.805019,-0.035)); #505272=CARTESIAN_POINT('',(27.970497,33.805019,0.)); #505273=CARTESIAN_POINT('',(27.970497,33.805019,0.)); #505274=CARTESIAN_POINT('',(27.970497,33.805019,-0.035)); #505275=CARTESIAN_POINT('Origin',(27.95685,33.882416,-0.035)); #505276=CARTESIAN_POINT('',(27.95685,33.882416,-0.035)); #505277=CARTESIAN_POINT('',(27.95685,33.882416,-0.035)); #505278=CARTESIAN_POINT('',(27.95685,33.882416,0.)); #505279=CARTESIAN_POINT('',(27.95685,33.882416,0.)); #505280=CARTESIAN_POINT('',(27.95685,33.882416,-0.035)); #505281=CARTESIAN_POINT('Origin',(27.95,33.960706,-0.035)); #505282=CARTESIAN_POINT('',(27.95,33.960706,-0.035)); #505283=CARTESIAN_POINT('',(27.95,33.960706,-0.035)); #505284=CARTESIAN_POINT('',(27.95,33.960706,0.)); #505285=CARTESIAN_POINT('',(27.95,33.960706,0.)); #505286=CARTESIAN_POINT('',(27.95,33.960706,-0.035)); #505287=CARTESIAN_POINT('Origin',(27.95,34.039294,-0.035)); #505288=CARTESIAN_POINT('',(27.95,34.039294,-0.035)); #505289=CARTESIAN_POINT('',(27.95,34.039294,-0.035)); #505290=CARTESIAN_POINT('',(27.95,34.039294,0.)); #505291=CARTESIAN_POINT('',(27.95,34.039294,0.)); #505292=CARTESIAN_POINT('',(27.95,34.039294,-0.035)); #505293=CARTESIAN_POINT('Origin',(27.95685,34.117584,-0.035)); #505294=CARTESIAN_POINT('',(27.95685,34.117584,-0.035)); #505295=CARTESIAN_POINT('',(27.95685,34.117584,-0.035)); #505296=CARTESIAN_POINT('',(27.95685,34.117584,0.)); #505297=CARTESIAN_POINT('',(27.95685,34.117584,0.)); #505298=CARTESIAN_POINT('',(27.95685,34.117584,-0.035)); #505299=CARTESIAN_POINT('Origin',(27.970497,34.194981,-0.035)); #505300=CARTESIAN_POINT('',(27.970497,34.194981,-0.035)); #505301=CARTESIAN_POINT('',(27.970497,34.194981,-0.035)); #505302=CARTESIAN_POINT('',(27.970497,34.194981,0.)); #505303=CARTESIAN_POINT('',(27.970497,34.194981,0.)); #505304=CARTESIAN_POINT('',(27.970497,34.194981,-0.035)); #505305=CARTESIAN_POINT('Origin',(27.990838,34.270894,-0.035)); #505306=CARTESIAN_POINT('',(27.990838,34.270894,-0.035)); #505307=CARTESIAN_POINT('',(27.990838,34.270894,-0.035)); #505308=CARTESIAN_POINT('',(27.990838,34.270894,0.)); #505309=CARTESIAN_POINT('',(27.990838,34.270894,0.)); #505310=CARTESIAN_POINT('',(27.990838,34.270894,-0.035)); #505311=CARTESIAN_POINT('Origin',(28.017716,34.344744,-0.035)); #505312=CARTESIAN_POINT('',(28.017716,34.344744,-0.035)); #505313=CARTESIAN_POINT('',(28.017716,34.344744,-0.035)); #505314=CARTESIAN_POINT('',(28.017716,34.344744,0.)); #505315=CARTESIAN_POINT('',(28.017716,34.344744,0.)); #505316=CARTESIAN_POINT('',(28.017716,34.344744,-0.035)); #505317=CARTESIAN_POINT('Origin',(28.050931,34.415969,-0.035)); #505318=CARTESIAN_POINT('',(28.050931,34.415969,-0.035)); #505319=CARTESIAN_POINT('',(28.050931,34.415969,-0.035)); #505320=CARTESIAN_POINT('',(28.050931,34.415969,0.)); #505321=CARTESIAN_POINT('',(28.050931,34.415969,0.)); #505322=CARTESIAN_POINT('',(28.050931,34.415969,-0.035)); #505323=CARTESIAN_POINT('Origin',(28.090225,34.484031,-0.035)); #505324=CARTESIAN_POINT('',(28.090225,34.484031,-0.035)); #505325=CARTESIAN_POINT('',(28.090225,34.484031,-0.035)); #505326=CARTESIAN_POINT('',(28.090225,34.484031,0.)); #505327=CARTESIAN_POINT('',(28.090225,34.484031,0.)); #505328=CARTESIAN_POINT('',(28.090225,34.484031,-0.035)); #505329=CARTESIAN_POINT('Origin',(28.135303,34.548406,-0.035)); #505330=CARTESIAN_POINT('',(28.135303,34.548406,-0.035)); #505331=CARTESIAN_POINT('',(28.135303,34.548406,-0.035)); #505332=CARTESIAN_POINT('',(28.135303,34.548406,0.)); #505333=CARTESIAN_POINT('',(28.135303,34.548406,0.)); #505334=CARTESIAN_POINT('',(28.135303,34.548406,-0.035)); #505335=CARTESIAN_POINT('Origin',(28.185819,34.608609,-0.035)); #505336=CARTESIAN_POINT('',(28.185819,34.608609,-0.035)); #505337=CARTESIAN_POINT('',(28.185819,34.608609,-0.035)); #505338=CARTESIAN_POINT('',(28.185819,34.608609,0.)); #505339=CARTESIAN_POINT('',(28.185819,34.608609,0.)); #505340=CARTESIAN_POINT('',(28.185819,34.608609,-0.035)); #505341=CARTESIAN_POINT('Origin',(28.241391,34.664181,-0.035)); #505342=CARTESIAN_POINT('',(28.241391,34.664181,-0.035)); #505343=CARTESIAN_POINT('',(28.241391,34.664181,-0.035)); #505344=CARTESIAN_POINT('',(28.241391,34.664181,0.)); #505345=CARTESIAN_POINT('',(28.241391,34.664181,0.)); #505346=CARTESIAN_POINT('',(28.241391,34.664181,-0.035)); #505347=CARTESIAN_POINT('Origin',(28.301594,34.714697,-0.035)); #505348=CARTESIAN_POINT('',(28.301594,34.714697,-0.035)); #505349=CARTESIAN_POINT('',(28.301594,34.714697,-0.035)); #505350=CARTESIAN_POINT('',(28.301594,34.714697,0.)); #505351=CARTESIAN_POINT('',(28.301594,34.714697,0.)); #505352=CARTESIAN_POINT('',(28.301594,34.714697,-0.035)); #505353=CARTESIAN_POINT('Origin',(28.365969,34.759775,-0.035)); #505354=CARTESIAN_POINT('',(28.365969,34.759775,-0.035)); #505355=CARTESIAN_POINT('',(28.365969,34.759775,-0.035)); #505356=CARTESIAN_POINT('',(28.365969,34.759775,0.)); #505357=CARTESIAN_POINT('',(28.365969,34.759775,0.)); #505358=CARTESIAN_POINT('',(28.365969,34.759775,-0.035)); #505359=CARTESIAN_POINT('Origin',(28.434031,34.799069,-0.035)); #505360=CARTESIAN_POINT('',(28.434031,34.799069,-0.035)); #505361=CARTESIAN_POINT('',(28.434031,34.799069,-0.035)); #505362=CARTESIAN_POINT('',(28.434031,34.799069,0.)); #505363=CARTESIAN_POINT('',(28.434031,34.799069,0.)); #505364=CARTESIAN_POINT('',(28.434031,34.799069,-0.035)); #505365=CARTESIAN_POINT('Origin',(28.505256,34.832284,-0.035)); #505366=CARTESIAN_POINT('',(28.505256,34.832284,-0.035)); #505367=CARTESIAN_POINT('',(28.505256,34.832284,-0.035)); #505368=CARTESIAN_POINT('',(28.505256,34.832284,0.)); #505369=CARTESIAN_POINT('',(28.505256,34.832284,0.)); #505370=CARTESIAN_POINT('',(28.505256,34.832284,-0.035)); #505371=CARTESIAN_POINT('Origin',(28.579106,34.859163,-0.035)); #505372=CARTESIAN_POINT('',(28.579106,34.859163,-0.035)); #505373=CARTESIAN_POINT('',(28.579106,34.859163,-0.035)); #505374=CARTESIAN_POINT('',(28.579106,34.859163,0.)); #505375=CARTESIAN_POINT('',(28.579106,34.859163,0.)); #505376=CARTESIAN_POINT('',(28.579106,34.859163,-0.035)); #505377=CARTESIAN_POINT('Origin',(28.655019,34.879503,-0.035)); #505378=CARTESIAN_POINT('',(28.655019,34.879503,-0.035)); #505379=CARTESIAN_POINT('',(28.655019,34.879503,-0.035)); #505380=CARTESIAN_POINT('',(28.655019,34.879503,0.)); #505381=CARTESIAN_POINT('',(28.655019,34.879503,0.)); #505382=CARTESIAN_POINT('',(28.655019,34.879503,-0.035)); #505383=CARTESIAN_POINT('Origin',(28.732416,34.89315,-0.035)); #505384=CARTESIAN_POINT('',(28.732416,34.89315,-0.035)); #505385=CARTESIAN_POINT('',(28.732416,34.89315,-0.035)); #505386=CARTESIAN_POINT('',(28.732416,34.89315,0.)); #505387=CARTESIAN_POINT('',(28.732416,34.89315,0.)); #505388=CARTESIAN_POINT('',(28.732416,34.89315,-0.035)); #505389=CARTESIAN_POINT('Origin',(28.810706,34.9,-0.035)); #505390=CARTESIAN_POINT('',(28.810706,34.9,-0.035)); #505391=CARTESIAN_POINT('',(28.810706,34.9,-0.035)); #505392=CARTESIAN_POINT('',(28.810706,34.9,0.)); #505393=CARTESIAN_POINT('',(28.810706,34.9,0.)); #505394=CARTESIAN_POINT('',(28.810706,34.9,-0.035)); #505395=CARTESIAN_POINT('Origin',(29.489294,34.9,-0.035)); #505396=CARTESIAN_POINT('',(29.489294,34.9,-0.035)); #505397=CARTESIAN_POINT('',(29.489294,34.9,-0.035)); #505398=CARTESIAN_POINT('',(29.489294,34.9,0.)); #505399=CARTESIAN_POINT('',(29.489294,34.9,0.)); #505400=CARTESIAN_POINT('',(29.489294,34.9,-0.035)); #505401=CARTESIAN_POINT('Origin',(29.567584,34.89315,-0.035)); #505402=CARTESIAN_POINT('',(29.567584,34.89315,-0.035)); #505403=CARTESIAN_POINT('',(29.567584,34.89315,-0.035)); #505404=CARTESIAN_POINT('',(29.567584,34.89315,0.)); #505405=CARTESIAN_POINT('',(29.567584,34.89315,0.)); #505406=CARTESIAN_POINT('',(29.567584,34.89315,-0.035)); #505407=CARTESIAN_POINT('Origin',(29.644981,34.879503,-0.035)); #505408=CARTESIAN_POINT('',(29.644981,34.879503,-0.035)); #505409=CARTESIAN_POINT('',(29.644981,34.879503,-0.035)); #505410=CARTESIAN_POINT('',(29.644981,34.879503,0.)); #505411=CARTESIAN_POINT('',(29.644981,34.879503,0.)); #505412=CARTESIAN_POINT('',(29.644981,34.879503,-0.035)); #505413=CARTESIAN_POINT('Origin',(29.720894,34.859163,-0.035)); #505414=CARTESIAN_POINT('',(29.720894,34.859163,-0.035)); #505415=CARTESIAN_POINT('',(29.720894,34.859163,-0.035)); #505416=CARTESIAN_POINT('',(29.720894,34.859163,0.)); #505417=CARTESIAN_POINT('',(29.720894,34.859163,0.)); #505418=CARTESIAN_POINT('',(29.720894,34.859163,-0.035)); #505419=CARTESIAN_POINT('Origin',(29.794744,34.832284,-0.035)); #505420=CARTESIAN_POINT('',(29.794744,34.832284,-0.035)); #505421=CARTESIAN_POINT('',(29.794744,34.832284,-0.035)); #505422=CARTESIAN_POINT('',(29.794744,34.832284,0.)); #505423=CARTESIAN_POINT('',(29.794744,34.832284,0.)); #505424=CARTESIAN_POINT('',(29.794744,34.832284,-0.035)); #505425=CARTESIAN_POINT('Origin',(29.865969,34.799069,-0.035)); #505426=CARTESIAN_POINT('',(29.865969,34.799069,-0.035)); #505427=CARTESIAN_POINT('',(29.865969,34.799069,-0.035)); #505428=CARTESIAN_POINT('',(29.865969,34.799069,0.)); #505429=CARTESIAN_POINT('',(29.865969,34.799069,0.)); #505430=CARTESIAN_POINT('',(29.865969,34.799069,-0.035)); #505431=CARTESIAN_POINT('Origin',(29.934031,34.759775,-0.035)); #505432=CARTESIAN_POINT('',(29.934031,34.759775,-0.035)); #505433=CARTESIAN_POINT('',(29.934031,34.759775,-0.035)); #505434=CARTESIAN_POINT('',(29.934031,34.759775,0.)); #505435=CARTESIAN_POINT('',(29.934031,34.759775,0.)); #505436=CARTESIAN_POINT('',(29.934031,34.759775,-0.035)); #505437=CARTESIAN_POINT('Origin',(29.998406,34.714697,-0.035)); #505438=CARTESIAN_POINT('',(29.998406,34.714697,-0.035)); #505439=CARTESIAN_POINT('',(29.998406,34.714697,-0.035)); #505440=CARTESIAN_POINT('',(29.998406,34.714697,0.)); #505441=CARTESIAN_POINT('',(29.998406,34.714697,0.)); #505442=CARTESIAN_POINT('',(29.998406,34.714697,-0.035)); #505443=CARTESIAN_POINT('Origin',(30.058609,34.664181,-0.035)); #505444=CARTESIAN_POINT('',(30.058609,34.664181,-0.035)); #505445=CARTESIAN_POINT('',(30.058609,34.664181,-0.035)); #505446=CARTESIAN_POINT('',(30.058609,34.664181,0.)); #505447=CARTESIAN_POINT('',(30.058609,34.664181,0.)); #505448=CARTESIAN_POINT('',(30.058609,34.664181,-0.035)); #505449=CARTESIAN_POINT('Origin',(30.114181,34.608609,-0.035)); #505450=CARTESIAN_POINT('',(30.114181,34.608609,-0.035)); #505451=CARTESIAN_POINT('',(30.114181,34.608609,-0.035)); #505452=CARTESIAN_POINT('',(30.114181,34.608609,0.)); #505453=CARTESIAN_POINT('',(30.114181,34.608609,0.)); #505454=CARTESIAN_POINT('',(30.114181,34.608609,-0.035)); #505455=CARTESIAN_POINT('Origin',(30.164697,34.548406,-0.035)); #505456=CARTESIAN_POINT('',(30.164697,34.548406,-0.035)); #505457=CARTESIAN_POINT('',(30.164697,34.548406,-0.035)); #505458=CARTESIAN_POINT('',(30.164697,34.548406,0.)); #505459=CARTESIAN_POINT('',(30.164697,34.548406,0.)); #505460=CARTESIAN_POINT('',(30.164697,34.548406,-0.035)); #505461=CARTESIAN_POINT('Origin',(30.209775,34.484031,-0.035)); #505462=CARTESIAN_POINT('',(30.209775,34.484031,-0.035)); #505463=CARTESIAN_POINT('',(30.209775,34.484031,-0.035)); #505464=CARTESIAN_POINT('',(30.209775,34.484031,0.)); #505465=CARTESIAN_POINT('',(30.209775,34.484031,0.)); #505466=CARTESIAN_POINT('',(30.209775,34.484031,-0.035)); #505467=CARTESIAN_POINT('Origin',(30.249069,34.415969,-0.035)); #505468=CARTESIAN_POINT('',(30.249069,34.415969,-0.035)); #505469=CARTESIAN_POINT('',(30.249069,34.415969,-0.035)); #505470=CARTESIAN_POINT('',(30.249069,34.415969,0.)); #505471=CARTESIAN_POINT('',(30.249069,34.415969,0.)); #505472=CARTESIAN_POINT('',(30.249069,34.415969,-0.035)); #505473=CARTESIAN_POINT('Origin',(30.282284,34.344744,-0.035)); #505474=CARTESIAN_POINT('',(30.282284,34.344744,-0.035)); #505475=CARTESIAN_POINT('',(30.282284,34.344744,-0.035)); #505476=CARTESIAN_POINT('',(30.282284,34.344744,0.)); #505477=CARTESIAN_POINT('',(30.282284,34.344744,0.)); #505478=CARTESIAN_POINT('',(30.282284,34.344744,-0.035)); #505479=CARTESIAN_POINT('Origin',(30.309163,34.270894,-0.035)); #505480=CARTESIAN_POINT('',(30.309163,34.270894,-0.035)); #505481=CARTESIAN_POINT('',(30.309163,34.270894,-0.035)); #505482=CARTESIAN_POINT('',(30.309163,34.270894,0.)); #505483=CARTESIAN_POINT('',(30.309163,34.270894,0.)); #505484=CARTESIAN_POINT('',(30.309163,34.270894,-0.035)); #505485=CARTESIAN_POINT('Origin',(30.329503,34.194981,-0.035)); #505486=CARTESIAN_POINT('',(30.329503,34.194981,-0.035)); #505487=CARTESIAN_POINT('',(30.329503,34.194981,-0.035)); #505488=CARTESIAN_POINT('',(30.329503,34.194981,0.)); #505489=CARTESIAN_POINT('',(30.329503,34.194981,0.)); #505490=CARTESIAN_POINT('',(30.329503,34.194981,-0.035)); #505491=CARTESIAN_POINT('Origin',(30.34315,34.117584,-0.035)); #505492=CARTESIAN_POINT('',(30.34315,34.117584,-0.035)); #505493=CARTESIAN_POINT('',(30.34315,34.117584,-0.035)); #505494=CARTESIAN_POINT('',(30.34315,34.117584,0.)); #505495=CARTESIAN_POINT('',(30.34315,34.117584,0.)); #505496=CARTESIAN_POINT('',(30.34315,34.117584,-0.035)); #505497=CARTESIAN_POINT('Origin',(30.35,34.039294,-0.035)); #505498=CARTESIAN_POINT('',(30.35,34.039294,-0.035)); #505499=CARTESIAN_POINT('',(30.35,34.039294,-0.035)); #505500=CARTESIAN_POINT('',(30.35,34.039294,0.)); #505501=CARTESIAN_POINT('',(30.35,34.039294,0.)); #505502=CARTESIAN_POINT('',(30.35,34.039294,-0.035)); #505503=CARTESIAN_POINT('Origin',(30.35,33.960706,-0.035)); #505504=CARTESIAN_POINT('',(30.35,33.960706,-0.035)); #505505=CARTESIAN_POINT('',(30.35,33.960706,-0.035)); #505506=CARTESIAN_POINT('',(30.35,33.960706,0.)); #505507=CARTESIAN_POINT('',(30.35,33.960706,0.)); #505508=CARTESIAN_POINT('',(30.35,33.960706,-0.035)); #505509=CARTESIAN_POINT('Origin',(30.34315,33.882416,-0.035)); #505510=CARTESIAN_POINT('',(30.34315,33.882416,-0.035)); #505511=CARTESIAN_POINT('',(30.34315,33.882416,-0.035)); #505512=CARTESIAN_POINT('',(30.34315,33.882416,0.)); #505513=CARTESIAN_POINT('',(30.34315,33.882416,0.)); #505514=CARTESIAN_POINT('',(30.34315,33.882416,-0.035)); #505515=CARTESIAN_POINT('Origin',(30.329503,33.805019,-0.035)); #505516=CARTESIAN_POINT('',(30.329503,33.805019,-0.035)); #505517=CARTESIAN_POINT('',(30.329503,33.805019,-0.035)); #505518=CARTESIAN_POINT('',(30.329503,33.805019,0.)); #505519=CARTESIAN_POINT('',(30.329503,33.805019,0.)); #505520=CARTESIAN_POINT('',(30.329503,33.805019,-0.035)); #505521=CARTESIAN_POINT('Origin',(30.309163,33.729106,-0.035)); #505522=CARTESIAN_POINT('',(30.309163,33.729106,-0.035)); #505523=CARTESIAN_POINT('',(30.309163,33.729106,-0.035)); #505524=CARTESIAN_POINT('',(30.309163,33.729106,0.)); #505525=CARTESIAN_POINT('',(30.309163,33.729106,0.)); #505526=CARTESIAN_POINT('',(30.309163,33.729106,-0.035)); #505527=CARTESIAN_POINT('Origin',(30.282284,33.655256,-0.035)); #505528=CARTESIAN_POINT('',(30.282284,33.655256,-0.035)); #505529=CARTESIAN_POINT('',(30.282284,33.655256,-0.035)); #505530=CARTESIAN_POINT('',(30.282284,33.655256,0.)); #505531=CARTESIAN_POINT('',(30.282284,33.655256,0.)); #505532=CARTESIAN_POINT('',(30.282284,33.655256,-0.035)); #505533=CARTESIAN_POINT('Origin',(30.249069,33.584031,-0.035)); #505534=CARTESIAN_POINT('',(30.249069,33.584031,-0.035)); #505535=CARTESIAN_POINT('',(30.249069,33.584031,-0.035)); #505536=CARTESIAN_POINT('',(30.249069,33.584031,0.)); #505537=CARTESIAN_POINT('',(30.249069,33.584031,0.)); #505538=CARTESIAN_POINT('',(30.249069,33.584031,-0.035)); #505539=CARTESIAN_POINT('Origin',(30.209775,33.515969,-0.035)); #505540=CARTESIAN_POINT('',(30.209775,33.515969,-0.035)); #505541=CARTESIAN_POINT('',(30.209775,33.515969,-0.035)); #505542=CARTESIAN_POINT('',(30.209775,33.515969,0.)); #505543=CARTESIAN_POINT('',(30.209775,33.515969,0.)); #505544=CARTESIAN_POINT('',(30.209775,33.515969,-0.035)); #505545=CARTESIAN_POINT('Origin',(30.164697,33.451594,-0.035)); #505546=CARTESIAN_POINT('',(30.164697,33.451594,-0.035)); #505547=CARTESIAN_POINT('',(30.164697,33.451594,-0.035)); #505548=CARTESIAN_POINT('',(30.164697,33.451594,0.)); #505549=CARTESIAN_POINT('',(30.164697,33.451594,0.)); #505550=CARTESIAN_POINT('',(30.164697,33.451594,-0.035)); #505551=CARTESIAN_POINT('Origin',(30.114181,33.391391,-0.035)); #505552=CARTESIAN_POINT('',(30.114181,33.391391,-0.035)); #505553=CARTESIAN_POINT('',(30.114181,33.391391,-0.035)); #505554=CARTESIAN_POINT('',(30.114181,33.391391,0.)); #505555=CARTESIAN_POINT('',(30.114181,33.391391,0.)); #505556=CARTESIAN_POINT('',(30.114181,33.391391,-0.035)); #505557=CARTESIAN_POINT('Origin',(30.058609,33.335819,-0.035)); #505558=CARTESIAN_POINT('',(30.058609,33.335819,-0.035)); #505559=CARTESIAN_POINT('',(30.058609,33.335819,-0.035)); #505560=CARTESIAN_POINT('',(30.058609,33.335819,0.)); #505561=CARTESIAN_POINT('',(30.058609,33.335819,0.)); #505562=CARTESIAN_POINT('',(30.058609,33.335819,-0.035)); #505563=CARTESIAN_POINT('Origin',(29.998406,33.285303,-0.035)); #505564=CARTESIAN_POINT('',(29.998406,33.285303,-0.035)); #505565=CARTESIAN_POINT('',(29.998406,33.285303,-0.035)); #505566=CARTESIAN_POINT('',(29.998406,33.285303,0.)); #505567=CARTESIAN_POINT('',(29.998406,33.285303,0.)); #505568=CARTESIAN_POINT('',(29.998406,33.285303,-0.035)); #505569=CARTESIAN_POINT('Origin',(29.934031,33.240225,-0.035)); #505570=CARTESIAN_POINT('',(29.934031,33.240225,-0.035)); #505571=CARTESIAN_POINT('',(29.934031,33.240225,-0.035)); #505572=CARTESIAN_POINT('',(29.934031,33.240225,0.)); #505573=CARTESIAN_POINT('',(29.934031,33.240225,0.)); #505574=CARTESIAN_POINT('',(29.934031,33.240225,-0.035)); #505575=CARTESIAN_POINT('Origin',(29.865969,33.200931,-0.035)); #505576=CARTESIAN_POINT('',(29.865969,33.200931,-0.035)); #505577=CARTESIAN_POINT('',(29.865969,33.200931,-0.035)); #505578=CARTESIAN_POINT('',(29.865969,33.200931,0.)); #505579=CARTESIAN_POINT('',(29.865969,33.200931,0.)); #505580=CARTESIAN_POINT('',(29.865969,33.200931,-0.035)); #505581=CARTESIAN_POINT('Origin',(29.794744,33.167716,-0.035)); #505582=CARTESIAN_POINT('',(29.794744,33.167716,-0.035)); #505583=CARTESIAN_POINT('',(29.794744,33.167716,-0.035)); #505584=CARTESIAN_POINT('',(29.794744,33.167716,0.)); #505585=CARTESIAN_POINT('',(29.794744,33.167716,0.)); #505586=CARTESIAN_POINT('',(29.794744,33.167716,-0.035)); #505587=CARTESIAN_POINT('Origin',(29.720894,33.140838,-0.035)); #505588=CARTESIAN_POINT('',(29.720894,33.140838,-0.035)); #505589=CARTESIAN_POINT('',(29.720894,33.140838,-0.035)); #505590=CARTESIAN_POINT('',(29.720894,33.140838,0.)); #505591=CARTESIAN_POINT('',(29.720894,33.140838,0.)); #505592=CARTESIAN_POINT('',(29.720894,33.140838,-0.035)); #505593=CARTESIAN_POINT('Origin',(29.644981,33.120497,-0.035)); #505594=CARTESIAN_POINT('',(29.644981,33.120497,-0.035)); #505595=CARTESIAN_POINT('',(29.644981,33.120497,-0.035)); #505596=CARTESIAN_POINT('',(29.644981,33.120497,0.)); #505597=CARTESIAN_POINT('',(29.644981,33.120497,0.)); #505598=CARTESIAN_POINT('',(29.644981,33.120497,-0.035)); #505599=CARTESIAN_POINT('Origin',(29.567584,33.10685,-0.035)); #505600=CARTESIAN_POINT('',(29.567584,33.10685,-0.035)); #505601=CARTESIAN_POINT('',(29.567584,33.10685,0.)); #505602=CARTESIAN_POINT('Origin',(29.1500000489324,34.000000041654,0.)); #505603=CARTESIAN_POINT('Origin',(29.1500000489324,34.000000041654,-0.035)); #505604=CARTESIAN_POINT('Origin',(29.995,6.27999997467882,-200.)); #505605=CARTESIAN_POINT('',(29.995,7.07999999155961,0.)); #505606=CARTESIAN_POINT('',(29.995,6.27999997467882,0.)); #505607=CARTESIAN_POINT('',(29.995,6.47999999235904,0.)); #505608=CARTESIAN_POINT('',(29.995,7.07999999155961,-0.0349999999999895)); #505609=CARTESIAN_POINT('',(29.995,7.07999999155961,-200.)); #505610=CARTESIAN_POINT('',(29.995,6.27999997467882,-0.0349999999999895)); #505611=CARTESIAN_POINT('',(29.995,6.47999999235904,-0.0350000000000072)); #505612=CARTESIAN_POINT('',(29.995,6.27999997467882,-200.)); #505613=CARTESIAN_POINT('Origin',(29.68,6.28,-200.)); #505614=CARTESIAN_POINT('',(29.365,6.28000000844039,0.)); #505615=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #505616=CARTESIAN_POINT('',(29.365,6.28000000844039,-0.0349999999999895)); #505617=CARTESIAN_POINT('Origin',(29.68,6.28,-0.0349999999999895)); #505618=CARTESIAN_POINT('',(29.365,6.28000000844039,-200.)); #505619=CARTESIAN_POINT('Origin',(29.365,7.08000002532118,-200.)); #505620=CARTESIAN_POINT('',(29.365,7.08000002532118,0.)); #505621=CARTESIAN_POINT('',(29.365,6.88000001768022,0.)); #505622=CARTESIAN_POINT('',(29.365,7.08000002532118,-0.0349999999999895)); #505623=CARTESIAN_POINT('',(29.365,6.88000001768022,-0.0350000000000072)); #505624=CARTESIAN_POINT('',(29.365,7.08000002532118,-200.)); #505625=CARTESIAN_POINT('Origin',(29.68,7.08,-200.)); #505626=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #505627=CARTESIAN_POINT('Origin',(29.68,7.08,-0.0349999999999895)); #505628=CARTESIAN_POINT('Origin',(29.710888,5.73,-0.035)); #505629=CARTESIAN_POINT('',(29.710888,5.73,-0.035)); #505630=CARTESIAN_POINT('',(29.772275,5.7369156,-0.035)); #505631=CARTESIAN_POINT('',(29.710888,5.73,-0.035)); #505632=CARTESIAN_POINT('',(29.772275,5.7369156,0.)); #505633=CARTESIAN_POINT('',(29.772275,5.7369156,-0.035)); #505634=CARTESIAN_POINT('',(29.710888,5.73,0.)); #505635=CARTESIAN_POINT('',(29.710888,5.73,0.)); #505636=CARTESIAN_POINT('',(29.710888,5.73,-0.035)); #505637=CARTESIAN_POINT('Origin',(29.649113,5.73,-0.035)); #505638=CARTESIAN_POINT('',(29.649113,5.73,-0.035)); #505639=CARTESIAN_POINT('',(29.649113,5.73,-0.035)); #505640=CARTESIAN_POINT('',(29.649113,5.73,0.)); #505641=CARTESIAN_POINT('',(29.649113,5.73,0.)); #505642=CARTESIAN_POINT('',(29.649113,5.73,-0.035)); #505643=CARTESIAN_POINT('Origin',(29.587725,5.7369156,-0.035)); #505644=CARTESIAN_POINT('',(29.587725,5.7369156,-0.035)); #505645=CARTESIAN_POINT('',(29.587725,5.7369156,-0.035)); #505646=CARTESIAN_POINT('',(29.587725,5.7369156,0.)); #505647=CARTESIAN_POINT('',(29.587725,5.7369156,0.)); #505648=CARTESIAN_POINT('',(29.587725,5.7369156,-0.035)); #505649=CARTESIAN_POINT('Origin',(29.5275,5.7506625,-0.035)); #505650=CARTESIAN_POINT('',(29.5275,5.7506625,-0.035)); #505651=CARTESIAN_POINT('',(29.5275,5.7506625,-0.035)); #505652=CARTESIAN_POINT('',(29.5275,5.7506625,0.)); #505653=CARTESIAN_POINT('',(29.5275,5.7506625,0.)); #505654=CARTESIAN_POINT('',(29.5275,5.7506625,-0.035)); #505655=CARTESIAN_POINT('Origin',(29.469194,5.7710656,-0.035)); #505656=CARTESIAN_POINT('',(29.469194,5.7710656,-0.035)); #505657=CARTESIAN_POINT('',(29.469194,5.7710656,-0.035)); #505658=CARTESIAN_POINT('',(29.469194,5.7710656,0.)); #505659=CARTESIAN_POINT('',(29.469194,5.7710656,0.)); #505660=CARTESIAN_POINT('',(29.469194,5.7710656,-0.035)); #505661=CARTESIAN_POINT('Origin',(29.413534,5.7978688,-0.035)); #505662=CARTESIAN_POINT('',(29.413534,5.7978688,-0.035)); #505663=CARTESIAN_POINT('',(29.413534,5.7978688,-0.035)); #505664=CARTESIAN_POINT('',(29.413534,5.7978688,0.)); #505665=CARTESIAN_POINT('',(29.413534,5.7978688,0.)); #505666=CARTESIAN_POINT('',(29.413534,5.7978688,-0.035)); #505667=CARTESIAN_POINT('Origin',(29.361228,5.8307344,-0.035)); #505668=CARTESIAN_POINT('',(29.361228,5.8307344,-0.035)); #505669=CARTESIAN_POINT('',(29.361228,5.8307344,-0.035)); #505670=CARTESIAN_POINT('',(29.361228,5.8307344,0.)); #505671=CARTESIAN_POINT('',(29.361228,5.8307344,0.)); #505672=CARTESIAN_POINT('',(29.361228,5.8307344,-0.035)); #505673=CARTESIAN_POINT('Origin',(29.312931,5.86925,-0.035)); #505674=CARTESIAN_POINT('',(29.312931,5.86925,-0.035)); #505675=CARTESIAN_POINT('',(29.312931,5.86925,-0.035)); #505676=CARTESIAN_POINT('',(29.312931,5.86925,0.)); #505677=CARTESIAN_POINT('',(29.312931,5.86925,0.)); #505678=CARTESIAN_POINT('',(29.312931,5.86925,-0.035)); #505679=CARTESIAN_POINT('Origin',(29.26925,5.9129313,-0.035)); #505680=CARTESIAN_POINT('',(29.26925,5.9129313,-0.035)); #505681=CARTESIAN_POINT('',(29.26925,5.9129313,-0.035)); #505682=CARTESIAN_POINT('',(29.26925,5.9129313,0.)); #505683=CARTESIAN_POINT('',(29.26925,5.9129313,0.)); #505684=CARTESIAN_POINT('',(29.26925,5.9129313,-0.035)); #505685=CARTESIAN_POINT('Origin',(29.230734,5.9612281,-0.035)); #505686=CARTESIAN_POINT('',(29.230734,5.9612281,-0.035)); #505687=CARTESIAN_POINT('',(29.230734,5.9612281,-0.035)); #505688=CARTESIAN_POINT('',(29.230734,5.9612281,0.)); #505689=CARTESIAN_POINT('',(29.230734,5.9612281,0.)); #505690=CARTESIAN_POINT('',(29.230734,5.9612281,-0.035)); #505691=CARTESIAN_POINT('Origin',(29.197869,6.0135344,-0.035)); #505692=CARTESIAN_POINT('',(29.197869,6.0135344,-0.035)); #505693=CARTESIAN_POINT('',(29.197869,6.0135344,-0.035)); #505694=CARTESIAN_POINT('',(29.197869,6.0135344,0.)); #505695=CARTESIAN_POINT('',(29.197869,6.0135344,0.)); #505696=CARTESIAN_POINT('',(29.197869,6.0135344,-0.035)); #505697=CARTESIAN_POINT('Origin',(29.171066,6.0691938,-0.035)); #505698=CARTESIAN_POINT('',(29.171066,6.0691938,-0.035)); #505699=CARTESIAN_POINT('',(29.171066,6.0691938,-0.035)); #505700=CARTESIAN_POINT('',(29.171066,6.0691938,0.)); #505701=CARTESIAN_POINT('',(29.171066,6.0691938,0.)); #505702=CARTESIAN_POINT('',(29.171066,6.0691938,-0.035)); #505703=CARTESIAN_POINT('Origin',(29.150663,6.1275,-0.035)); #505704=CARTESIAN_POINT('',(29.150663,6.1275,-0.035)); #505705=CARTESIAN_POINT('',(29.150663,6.1275,-0.035)); #505706=CARTESIAN_POINT('',(29.150663,6.1275,0.)); #505707=CARTESIAN_POINT('',(29.150663,6.1275,0.)); #505708=CARTESIAN_POINT('',(29.150663,6.1275,-0.035)); #505709=CARTESIAN_POINT('Origin',(29.136916,6.187725,-0.035)); #505710=CARTESIAN_POINT('',(29.136916,6.187725,-0.035)); #505711=CARTESIAN_POINT('',(29.136916,6.187725,-0.035)); #505712=CARTESIAN_POINT('',(29.136916,6.187725,0.)); #505713=CARTESIAN_POINT('',(29.136916,6.187725,0.)); #505714=CARTESIAN_POINT('',(29.136916,6.187725,-0.035)); #505715=CARTESIAN_POINT('Origin',(29.13,6.2491125,-0.035)); #505716=CARTESIAN_POINT('',(29.13,6.2491125,-0.035)); #505717=CARTESIAN_POINT('',(29.13,6.2491125,-0.035)); #505718=CARTESIAN_POINT('',(29.13,6.2491125,0.)); #505719=CARTESIAN_POINT('',(29.13,6.2491125,0.)); #505720=CARTESIAN_POINT('',(29.13,6.2491125,-0.035)); #505721=CARTESIAN_POINT('Origin',(29.13,7.1108875,-0.035)); #505722=CARTESIAN_POINT('',(29.13,7.1108875,-0.035)); #505723=CARTESIAN_POINT('',(29.13,7.1108875,-0.035)); #505724=CARTESIAN_POINT('',(29.13,7.1108875,0.)); #505725=CARTESIAN_POINT('',(29.13,7.1108875,0.)); #505726=CARTESIAN_POINT('',(29.13,7.1108875,-0.035)); #505727=CARTESIAN_POINT('Origin',(29.136916,7.172275,-0.035)); #505728=CARTESIAN_POINT('',(29.136916,7.172275,-0.035)); #505729=CARTESIAN_POINT('',(29.136916,7.172275,-0.035)); #505730=CARTESIAN_POINT('',(29.136916,7.172275,0.)); #505731=CARTESIAN_POINT('',(29.136916,7.172275,0.)); #505732=CARTESIAN_POINT('',(29.136916,7.172275,-0.035)); #505733=CARTESIAN_POINT('Origin',(29.150663,7.2325,-0.035)); #505734=CARTESIAN_POINT('',(29.150663,7.2325,-0.035)); #505735=CARTESIAN_POINT('',(29.150663,7.2325,-0.035)); #505736=CARTESIAN_POINT('',(29.150663,7.2325,0.)); #505737=CARTESIAN_POINT('',(29.150663,7.2325,0.)); #505738=CARTESIAN_POINT('',(29.150663,7.2325,-0.035)); #505739=CARTESIAN_POINT('Origin',(29.171066,7.2908063,-0.035)); #505740=CARTESIAN_POINT('',(29.171066,7.2908063,-0.035)); #505741=CARTESIAN_POINT('',(29.171066,7.2908063,-0.035)); #505742=CARTESIAN_POINT('',(29.171066,7.2908063,0.)); #505743=CARTESIAN_POINT('',(29.171066,7.2908063,0.)); #505744=CARTESIAN_POINT('',(29.171066,7.2908063,-0.035)); #505745=CARTESIAN_POINT('Origin',(29.197869,7.3464656,-0.035)); #505746=CARTESIAN_POINT('',(29.197869,7.3464656,-0.035)); #505747=CARTESIAN_POINT('',(29.197869,7.3464656,-0.035)); #505748=CARTESIAN_POINT('',(29.197869,7.3464656,0.)); #505749=CARTESIAN_POINT('',(29.197869,7.3464656,0.)); #505750=CARTESIAN_POINT('',(29.197869,7.3464656,-0.035)); #505751=CARTESIAN_POINT('Origin',(29.230734,7.3987719,-0.035)); #505752=CARTESIAN_POINT('',(29.230734,7.3987719,-0.035)); #505753=CARTESIAN_POINT('',(29.230734,7.3987719,-0.035)); #505754=CARTESIAN_POINT('',(29.230734,7.3987719,0.)); #505755=CARTESIAN_POINT('',(29.230734,7.3987719,0.)); #505756=CARTESIAN_POINT('',(29.230734,7.3987719,-0.035)); #505757=CARTESIAN_POINT('Origin',(29.26925,7.4470688,-0.035)); #505758=CARTESIAN_POINT('',(29.26925,7.4470688,-0.035)); #505759=CARTESIAN_POINT('',(29.26925,7.4470688,-0.035)); #505760=CARTESIAN_POINT('',(29.26925,7.4470688,0.)); #505761=CARTESIAN_POINT('',(29.26925,7.4470688,0.)); #505762=CARTESIAN_POINT('',(29.26925,7.4470688,-0.035)); #505763=CARTESIAN_POINT('Origin',(29.312931,7.49075,-0.035)); #505764=CARTESIAN_POINT('',(29.312931,7.49075,-0.035)); #505765=CARTESIAN_POINT('',(29.312931,7.49075,-0.035)); #505766=CARTESIAN_POINT('',(29.312931,7.49075,0.)); #505767=CARTESIAN_POINT('',(29.312931,7.49075,0.)); #505768=CARTESIAN_POINT('',(29.312931,7.49075,-0.035)); #505769=CARTESIAN_POINT('Origin',(29.361228,7.5292656,-0.035)); #505770=CARTESIAN_POINT('',(29.361228,7.5292656,-0.035)); #505771=CARTESIAN_POINT('',(29.361228,7.5292656,-0.035)); #505772=CARTESIAN_POINT('',(29.361228,7.5292656,0.)); #505773=CARTESIAN_POINT('',(29.361228,7.5292656,0.)); #505774=CARTESIAN_POINT('',(29.361228,7.5292656,-0.035)); #505775=CARTESIAN_POINT('Origin',(29.413534,7.5621313,-0.035)); #505776=CARTESIAN_POINT('',(29.413534,7.5621313,-0.035)); #505777=CARTESIAN_POINT('',(29.413534,7.5621313,-0.035)); #505778=CARTESIAN_POINT('',(29.413534,7.5621313,0.)); #505779=CARTESIAN_POINT('',(29.413534,7.5621313,0.)); #505780=CARTESIAN_POINT('',(29.413534,7.5621313,-0.035)); #505781=CARTESIAN_POINT('Origin',(29.469194,7.5889344,-0.035)); #505782=CARTESIAN_POINT('',(29.469194,7.5889344,-0.035)); #505783=CARTESIAN_POINT('',(29.469194,7.5889344,-0.035)); #505784=CARTESIAN_POINT('',(29.469194,7.5889344,0.)); #505785=CARTESIAN_POINT('',(29.469194,7.5889344,0.)); #505786=CARTESIAN_POINT('',(29.469194,7.5889344,-0.035)); #505787=CARTESIAN_POINT('Origin',(29.5275,7.6093375,-0.035)); #505788=CARTESIAN_POINT('',(29.5275,7.6093375,-0.035)); #505789=CARTESIAN_POINT('',(29.5275,7.6093375,-0.035)); #505790=CARTESIAN_POINT('',(29.5275,7.6093375,0.)); #505791=CARTESIAN_POINT('',(29.5275,7.6093375,0.)); #505792=CARTESIAN_POINT('',(29.5275,7.6093375,-0.035)); #505793=CARTESIAN_POINT('Origin',(29.587725,7.6230844,-0.035)); #505794=CARTESIAN_POINT('',(29.587725,7.6230844,-0.035)); #505795=CARTESIAN_POINT('',(29.587725,7.6230844,-0.035)); #505796=CARTESIAN_POINT('',(29.587725,7.6230844,0.)); #505797=CARTESIAN_POINT('',(29.587725,7.6230844,0.)); #505798=CARTESIAN_POINT('',(29.587725,7.6230844,-0.035)); #505799=CARTESIAN_POINT('Origin',(29.649113,7.63,-0.035)); #505800=CARTESIAN_POINT('',(29.649113,7.63,-0.035)); #505801=CARTESIAN_POINT('',(29.649113,7.63,-0.035)); #505802=CARTESIAN_POINT('',(29.649113,7.63,0.)); #505803=CARTESIAN_POINT('',(29.649113,7.63,0.)); #505804=CARTESIAN_POINT('',(29.649113,7.63,-0.035)); #505805=CARTESIAN_POINT('Origin',(29.710888,7.63,-0.035)); #505806=CARTESIAN_POINT('',(29.710888,7.63,-0.035)); #505807=CARTESIAN_POINT('',(29.710888,7.63,-0.035)); #505808=CARTESIAN_POINT('',(29.710888,7.63,0.)); #505809=CARTESIAN_POINT('',(29.710888,7.63,0.)); #505810=CARTESIAN_POINT('',(29.710888,7.63,-0.035)); #505811=CARTESIAN_POINT('Origin',(29.772275,7.6230844,-0.035)); #505812=CARTESIAN_POINT('',(29.772275,7.6230844,-0.035)); #505813=CARTESIAN_POINT('',(29.772275,7.6230844,-0.035)); #505814=CARTESIAN_POINT('',(29.772275,7.6230844,0.)); #505815=CARTESIAN_POINT('',(29.772275,7.6230844,0.)); #505816=CARTESIAN_POINT('',(29.772275,7.6230844,-0.035)); #505817=CARTESIAN_POINT('Origin',(29.8325,7.6093375,-0.035)); #505818=CARTESIAN_POINT('',(29.8325,7.6093375,-0.035)); #505819=CARTESIAN_POINT('',(29.8325,7.6093375,-0.035)); #505820=CARTESIAN_POINT('',(29.8325,7.6093375,0.)); #505821=CARTESIAN_POINT('',(29.8325,7.6093375,0.)); #505822=CARTESIAN_POINT('',(29.8325,7.6093375,-0.035)); #505823=CARTESIAN_POINT('Origin',(29.890806,7.5889344,-0.035)); #505824=CARTESIAN_POINT('',(29.890806,7.5889344,-0.035)); #505825=CARTESIAN_POINT('',(29.890806,7.5889344,-0.035)); #505826=CARTESIAN_POINT('',(29.890806,7.5889344,0.)); #505827=CARTESIAN_POINT('',(29.890806,7.5889344,0.)); #505828=CARTESIAN_POINT('',(29.890806,7.5889344,-0.035)); #505829=CARTESIAN_POINT('Origin',(29.946466,7.5621313,-0.035)); #505830=CARTESIAN_POINT('',(29.946466,7.5621313,-0.035)); #505831=CARTESIAN_POINT('',(29.946466,7.5621313,-0.035)); #505832=CARTESIAN_POINT('',(29.946466,7.5621313,0.)); #505833=CARTESIAN_POINT('',(29.946466,7.5621313,0.)); #505834=CARTESIAN_POINT('',(29.946466,7.5621313,-0.035)); #505835=CARTESIAN_POINT('Origin',(29.998772,7.5292656,-0.035)); #505836=CARTESIAN_POINT('',(29.998772,7.5292656,-0.035)); #505837=CARTESIAN_POINT('',(29.998772,7.5292656,-0.035)); #505838=CARTESIAN_POINT('',(29.998772,7.5292656,0.)); #505839=CARTESIAN_POINT('',(29.998772,7.5292656,0.)); #505840=CARTESIAN_POINT('',(29.998772,7.5292656,-0.035)); #505841=CARTESIAN_POINT('Origin',(30.047069,7.49075,-0.035)); #505842=CARTESIAN_POINT('',(30.047069,7.49075,-0.035)); #505843=CARTESIAN_POINT('',(30.047069,7.49075,-0.035)); #505844=CARTESIAN_POINT('',(30.047069,7.49075,0.)); #505845=CARTESIAN_POINT('',(30.047069,7.49075,0.)); #505846=CARTESIAN_POINT('',(30.047069,7.49075,-0.035)); #505847=CARTESIAN_POINT('Origin',(30.09075,7.4470688,-0.035)); #505848=CARTESIAN_POINT('',(30.09075,7.4470688,-0.035)); #505849=CARTESIAN_POINT('',(30.09075,7.4470688,-0.035)); #505850=CARTESIAN_POINT('',(30.09075,7.4470688,0.)); #505851=CARTESIAN_POINT('',(30.09075,7.4470688,0.)); #505852=CARTESIAN_POINT('',(30.09075,7.4470688,-0.035)); #505853=CARTESIAN_POINT('Origin',(30.129266,7.3987719,-0.035)); #505854=CARTESIAN_POINT('',(30.129266,7.3987719,-0.035)); #505855=CARTESIAN_POINT('',(30.129266,7.3987719,-0.035)); #505856=CARTESIAN_POINT('',(30.129266,7.3987719,0.)); #505857=CARTESIAN_POINT('',(30.129266,7.3987719,0.)); #505858=CARTESIAN_POINT('',(30.129266,7.3987719,-0.035)); #505859=CARTESIAN_POINT('Origin',(30.162131,7.3464656,-0.035)); #505860=CARTESIAN_POINT('',(30.162131,7.3464656,-0.035)); #505861=CARTESIAN_POINT('',(30.162131,7.3464656,-0.035)); #505862=CARTESIAN_POINT('',(30.162131,7.3464656,0.)); #505863=CARTESIAN_POINT('',(30.162131,7.3464656,0.)); #505864=CARTESIAN_POINT('',(30.162131,7.3464656,-0.035)); #505865=CARTESIAN_POINT('Origin',(30.188934,7.2908063,-0.035)); #505866=CARTESIAN_POINT('',(30.188934,7.2908063,-0.035)); #505867=CARTESIAN_POINT('',(30.188934,7.2908063,-0.035)); #505868=CARTESIAN_POINT('',(30.188934,7.2908063,0.)); #505869=CARTESIAN_POINT('',(30.188934,7.2908063,0.)); #505870=CARTESIAN_POINT('',(30.188934,7.2908063,-0.035)); #505871=CARTESIAN_POINT('Origin',(30.209338,7.2325,-0.035)); #505872=CARTESIAN_POINT('',(30.209338,7.2325,-0.035)); #505873=CARTESIAN_POINT('',(30.209338,7.2325,-0.035)); #505874=CARTESIAN_POINT('',(30.209338,7.2325,0.)); #505875=CARTESIAN_POINT('',(30.209338,7.2325,0.)); #505876=CARTESIAN_POINT('',(30.209338,7.2325,-0.035)); #505877=CARTESIAN_POINT('Origin',(30.223084,7.172275,-0.035)); #505878=CARTESIAN_POINT('',(30.223084,7.172275,-0.035)); #505879=CARTESIAN_POINT('',(30.223084,7.172275,-0.035)); #505880=CARTESIAN_POINT('',(30.223084,7.172275,0.)); #505881=CARTESIAN_POINT('',(30.223084,7.172275,0.)); #505882=CARTESIAN_POINT('',(30.223084,7.172275,-0.035)); #505883=CARTESIAN_POINT('Origin',(30.23,7.1108875,-0.035)); #505884=CARTESIAN_POINT('',(30.23,7.1108875,-0.035)); #505885=CARTESIAN_POINT('',(30.23,7.1108875,-0.035)); #505886=CARTESIAN_POINT('',(30.23,7.1108875,0.)); #505887=CARTESIAN_POINT('',(30.23,7.1108875,0.)); #505888=CARTESIAN_POINT('',(30.23,7.1108875,-0.035)); #505889=CARTESIAN_POINT('Origin',(30.23,6.2491125,-0.035)); #505890=CARTESIAN_POINT('',(30.23,6.2491125,-0.035)); #505891=CARTESIAN_POINT('',(30.23,6.2491125,-0.035)); #505892=CARTESIAN_POINT('',(30.23,6.2491125,0.)); #505893=CARTESIAN_POINT('',(30.23,6.2491125,0.)); #505894=CARTESIAN_POINT('',(30.23,6.2491125,-0.035)); #505895=CARTESIAN_POINT('Origin',(30.223084,6.187725,-0.035)); #505896=CARTESIAN_POINT('',(30.223084,6.187725,-0.035)); #505897=CARTESIAN_POINT('',(30.223084,6.187725,-0.035)); #505898=CARTESIAN_POINT('',(30.223084,6.187725,0.)); #505899=CARTESIAN_POINT('',(30.223084,6.187725,0.)); #505900=CARTESIAN_POINT('',(30.223084,6.187725,-0.035)); #505901=CARTESIAN_POINT('Origin',(30.209338,6.1275,-0.035)); #505902=CARTESIAN_POINT('',(30.209338,6.1275,-0.035)); #505903=CARTESIAN_POINT('',(30.209338,6.1275,-0.035)); #505904=CARTESIAN_POINT('',(30.209338,6.1275,0.)); #505905=CARTESIAN_POINT('',(30.209338,6.1275,0.)); #505906=CARTESIAN_POINT('',(30.209338,6.1275,-0.035)); #505907=CARTESIAN_POINT('Origin',(30.188934,6.0691938,-0.035)); #505908=CARTESIAN_POINT('',(30.188934,6.0691938,-0.035)); #505909=CARTESIAN_POINT('',(30.188934,6.0691938,-0.035)); #505910=CARTESIAN_POINT('',(30.188934,6.0691938,0.)); #505911=CARTESIAN_POINT('',(30.188934,6.0691938,0.)); #505912=CARTESIAN_POINT('',(30.188934,6.0691938,-0.035)); #505913=CARTESIAN_POINT('Origin',(30.162131,6.0135344,-0.035)); #505914=CARTESIAN_POINT('',(30.162131,6.0135344,-0.035)); #505915=CARTESIAN_POINT('',(30.162131,6.0135344,-0.035)); #505916=CARTESIAN_POINT('',(30.162131,6.0135344,0.)); #505917=CARTESIAN_POINT('',(30.162131,6.0135344,0.)); #505918=CARTESIAN_POINT('',(30.162131,6.0135344,-0.035)); #505919=CARTESIAN_POINT('Origin',(30.129266,5.9612281,-0.035)); #505920=CARTESIAN_POINT('',(30.129266,5.9612281,-0.035)); #505921=CARTESIAN_POINT('',(30.129266,5.9612281,-0.035)); #505922=CARTESIAN_POINT('',(30.129266,5.9612281,0.)); #505923=CARTESIAN_POINT('',(30.129266,5.9612281,0.)); #505924=CARTESIAN_POINT('',(30.129266,5.9612281,-0.035)); #505925=CARTESIAN_POINT('Origin',(30.09075,5.9129313,-0.035)); #505926=CARTESIAN_POINT('',(30.09075,5.9129313,-0.035)); #505927=CARTESIAN_POINT('',(30.09075,5.9129313,-0.035)); #505928=CARTESIAN_POINT('',(30.09075,5.9129313,0.)); #505929=CARTESIAN_POINT('',(30.09075,5.9129313,0.)); #505930=CARTESIAN_POINT('',(30.09075,5.9129313,-0.035)); #505931=CARTESIAN_POINT('Origin',(30.047069,5.86925,-0.035)); #505932=CARTESIAN_POINT('',(30.047069,5.86925,-0.035)); #505933=CARTESIAN_POINT('',(30.047069,5.86925,-0.035)); #505934=CARTESIAN_POINT('',(30.047069,5.86925,0.)); #505935=CARTESIAN_POINT('',(30.047069,5.86925,0.)); #505936=CARTESIAN_POINT('',(30.047069,5.86925,-0.035)); #505937=CARTESIAN_POINT('Origin',(29.998772,5.8307344,-0.035)); #505938=CARTESIAN_POINT('',(29.998772,5.8307344,-0.035)); #505939=CARTESIAN_POINT('',(29.998772,5.8307344,-0.035)); #505940=CARTESIAN_POINT('',(29.998772,5.8307344,0.)); #505941=CARTESIAN_POINT('',(29.998772,5.8307344,0.)); #505942=CARTESIAN_POINT('',(29.998772,5.8307344,-0.035)); #505943=CARTESIAN_POINT('Origin',(29.946466,5.7978688,-0.035)); #505944=CARTESIAN_POINT('',(29.946466,5.7978688,-0.035)); #505945=CARTESIAN_POINT('',(29.946466,5.7978688,-0.035)); #505946=CARTESIAN_POINT('',(29.946466,5.7978688,0.)); #505947=CARTESIAN_POINT('',(29.946466,5.7978688,0.)); #505948=CARTESIAN_POINT('',(29.946466,5.7978688,-0.035)); #505949=CARTESIAN_POINT('Origin',(29.890806,5.7710656,-0.035)); #505950=CARTESIAN_POINT('',(29.890806,5.7710656,-0.035)); #505951=CARTESIAN_POINT('',(29.890806,5.7710656,-0.035)); #505952=CARTESIAN_POINT('',(29.890806,5.7710656,0.)); #505953=CARTESIAN_POINT('',(29.890806,5.7710656,0.)); #505954=CARTESIAN_POINT('',(29.890806,5.7710656,-0.035)); #505955=CARTESIAN_POINT('Origin',(29.8325,5.7506625,-0.035)); #505956=CARTESIAN_POINT('',(29.8325,5.7506625,-0.035)); #505957=CARTESIAN_POINT('',(29.8325,5.7506625,-0.035)); #505958=CARTESIAN_POINT('',(29.8325,5.7506625,0.)); #505959=CARTESIAN_POINT('',(29.8325,5.7506625,0.)); #505960=CARTESIAN_POINT('',(29.8325,5.7506625,-0.035)); #505961=CARTESIAN_POINT('Origin',(29.772275,5.7369156,-0.035)); #505962=CARTESIAN_POINT('',(29.772275,5.7369156,-0.035)); #505963=CARTESIAN_POINT('',(29.772275,5.7369156,0.)); #505964=CARTESIAN_POINT('Origin',(29.680000045263,6.68000001003927,0.)); #505965=CARTESIAN_POINT('Origin',(29.680000045263,6.68000001003927,-0.035)); #505966=CARTESIAN_POINT('Origin',(43.75,34.,-200.)); #505967=CARTESIAN_POINT('',(43.235,34.,-0.0349999999999895)); #505968=CARTESIAN_POINT('Origin',(43.75,34.,-0.0349999999999895)); #505969=CARTESIAN_POINT('',(43.235,34.,0.)); #505970=CARTESIAN_POINT('',(43.235,34.,-200.)); #505971=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #505972=CARTESIAN_POINT('Origin',(44.575,33.175,-0.035)); #505973=CARTESIAN_POINT('',(44.575,33.175,-0.035)); #505974=CARTESIAN_POINT('',(44.575,34.825,-0.035)); #505975=CARTESIAN_POINT('',(44.575,33.175,-0.035)); #505976=CARTESIAN_POINT('',(44.575,34.825,0.)); #505977=CARTESIAN_POINT('',(44.575,34.825,-0.035)); #505978=CARTESIAN_POINT('',(44.575,33.175,0.)); #505979=CARTESIAN_POINT('',(44.575,33.175,0.)); #505980=CARTESIAN_POINT('',(44.575,33.175,-0.035)); #505981=CARTESIAN_POINT('Origin',(42.925,33.175,-0.035)); #505982=CARTESIAN_POINT('',(42.925,33.175,-0.035)); #505983=CARTESIAN_POINT('',(42.925,33.175,-0.035)); #505984=CARTESIAN_POINT('',(42.925,33.175,0.)); #505985=CARTESIAN_POINT('',(42.925,33.175,0.)); #505986=CARTESIAN_POINT('',(42.925,33.175,-0.035)); #505987=CARTESIAN_POINT('Origin',(42.925,34.825,-0.035)); #505988=CARTESIAN_POINT('',(42.925,34.825,-0.035)); #505989=CARTESIAN_POINT('',(42.925,34.825,-0.035)); #505990=CARTESIAN_POINT('',(42.925,34.825,0.)); #505991=CARTESIAN_POINT('',(42.925,34.825,0.)); #505992=CARTESIAN_POINT('',(42.925,34.825,-0.035)); #505993=CARTESIAN_POINT('Origin',(44.575,34.825,-0.035)); #505994=CARTESIAN_POINT('',(44.575,34.825,-0.035)); #505995=CARTESIAN_POINT('',(44.575,34.825,0.)); #505996=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #505997=CARTESIAN_POINT('Origin',(43.75,34.,-0.035)); #505998=CARTESIAN_POINT('Origin',(29.995,2.09999997467882,-200.)); #505999=CARTESIAN_POINT('',(29.995,2.89999999155961,0.)); #506000=CARTESIAN_POINT('',(29.995,2.09999997467882,0.)); #506001=CARTESIAN_POINT('',(29.995,2.29999998733941,0.)); #506002=CARTESIAN_POINT('',(29.995,2.89999999155961,-0.0349999999999895)); #506003=CARTESIAN_POINT('',(29.995,2.89999999155961,-200.)); #506004=CARTESIAN_POINT('',(29.995,2.09999997467882,-0.0349999999999895)); #506005=CARTESIAN_POINT('',(29.995,2.29999998733941,-0.0350000000000072)); #506006=CARTESIAN_POINT('',(29.995,2.09999997467882,-200.)); #506007=CARTESIAN_POINT('Origin',(29.68,2.1,-200.)); #506008=CARTESIAN_POINT('',(29.365,2.10000000844039,0.)); #506009=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #506010=CARTESIAN_POINT('',(29.365,2.10000000844039,-0.0349999999999895)); #506011=CARTESIAN_POINT('Origin',(29.68,2.1,-0.0349999999999895)); #506012=CARTESIAN_POINT('',(29.365,2.10000000844039,-200.)); #506013=CARTESIAN_POINT('Origin',(29.365,2.90000002532118,-200.)); #506014=CARTESIAN_POINT('',(29.365,2.90000002532118,0.)); #506015=CARTESIAN_POINT('',(29.365,2.70000001266059,0.)); #506016=CARTESIAN_POINT('',(29.365,2.90000002532118,-0.0349999999999895)); #506017=CARTESIAN_POINT('',(29.365,2.70000001266059,-0.0350000000000072)); #506018=CARTESIAN_POINT('',(29.365,2.90000002532118,-200.)); #506019=CARTESIAN_POINT('Origin',(29.68,2.9,-200.)); #506020=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #506021=CARTESIAN_POINT('Origin',(29.68,2.9,-0.0349999999999895)); #506022=CARTESIAN_POINT('Origin',(29.710888,1.55,-0.035)); #506023=CARTESIAN_POINT('',(29.710888,1.55,-0.035)); #506024=CARTESIAN_POINT('',(29.772275,1.5569156,-0.035)); #506025=CARTESIAN_POINT('',(29.710888,1.55,-0.035)); #506026=CARTESIAN_POINT('',(29.772275,1.5569156,0.)); #506027=CARTESIAN_POINT('',(29.772275,1.5569156,-0.035)); #506028=CARTESIAN_POINT('',(29.710888,1.55,0.)); #506029=CARTESIAN_POINT('',(29.710888,1.55,0.)); #506030=CARTESIAN_POINT('',(29.710888,1.55,-0.035)); #506031=CARTESIAN_POINT('Origin',(29.649113,1.55,-0.035)); #506032=CARTESIAN_POINT('',(29.649113,1.55,-0.035)); #506033=CARTESIAN_POINT('',(29.649113,1.55,-0.035)); #506034=CARTESIAN_POINT('',(29.649113,1.55,0.)); #506035=CARTESIAN_POINT('',(29.649113,1.55,0.)); #506036=CARTESIAN_POINT('',(29.649113,1.55,-0.035)); #506037=CARTESIAN_POINT('Origin',(29.587725,1.5569156,-0.035)); #506038=CARTESIAN_POINT('',(29.587725,1.5569156,-0.035)); #506039=CARTESIAN_POINT('',(29.587725,1.5569156,-0.035)); #506040=CARTESIAN_POINT('',(29.587725,1.5569156,0.)); #506041=CARTESIAN_POINT('',(29.587725,1.5569156,0.)); #506042=CARTESIAN_POINT('',(29.587725,1.5569156,-0.035)); #506043=CARTESIAN_POINT('Origin',(29.5275,1.5706625,-0.035)); #506044=CARTESIAN_POINT('',(29.5275,1.5706625,-0.035)); #506045=CARTESIAN_POINT('',(29.5275,1.5706625,-0.035)); #506046=CARTESIAN_POINT('',(29.5275,1.5706625,0.)); #506047=CARTESIAN_POINT('',(29.5275,1.5706625,0.)); #506048=CARTESIAN_POINT('',(29.5275,1.5706625,-0.035)); #506049=CARTESIAN_POINT('Origin',(29.469194,1.5910656,-0.035)); #506050=CARTESIAN_POINT('',(29.469194,1.5910656,-0.035)); #506051=CARTESIAN_POINT('',(29.469194,1.5910656,-0.035)); #506052=CARTESIAN_POINT('',(29.469194,1.5910656,0.)); #506053=CARTESIAN_POINT('',(29.469194,1.5910656,0.)); #506054=CARTESIAN_POINT('',(29.469194,1.5910656,-0.035)); #506055=CARTESIAN_POINT('Origin',(29.413534,1.6178687,-0.035)); #506056=CARTESIAN_POINT('',(29.413534,1.6178687,-0.035)); #506057=CARTESIAN_POINT('',(29.413534,1.6178687,-0.035)); #506058=CARTESIAN_POINT('',(29.413534,1.6178687,0.)); #506059=CARTESIAN_POINT('',(29.413534,1.6178687,0.)); #506060=CARTESIAN_POINT('',(29.413534,1.6178687,-0.035)); #506061=CARTESIAN_POINT('Origin',(29.361228,1.6507344,-0.035)); #506062=CARTESIAN_POINT('',(29.361228,1.6507344,-0.035)); #506063=CARTESIAN_POINT('',(29.361228,1.6507344,-0.035)); #506064=CARTESIAN_POINT('',(29.361228,1.6507344,0.)); #506065=CARTESIAN_POINT('',(29.361228,1.6507344,0.)); #506066=CARTESIAN_POINT('',(29.361228,1.6507344,-0.035)); #506067=CARTESIAN_POINT('Origin',(29.312931,1.68925,-0.035)); #506068=CARTESIAN_POINT('',(29.312931,1.68925,-0.035)); #506069=CARTESIAN_POINT('',(29.312931,1.68925,-0.035)); #506070=CARTESIAN_POINT('',(29.312931,1.68925,0.)); #506071=CARTESIAN_POINT('',(29.312931,1.68925,0.)); #506072=CARTESIAN_POINT('',(29.312931,1.68925,-0.035)); #506073=CARTESIAN_POINT('Origin',(29.26925,1.7329313,-0.035)); #506074=CARTESIAN_POINT('',(29.26925,1.7329313,-0.035)); #506075=CARTESIAN_POINT('',(29.26925,1.7329313,-0.035)); #506076=CARTESIAN_POINT('',(29.26925,1.7329313,0.)); #506077=CARTESIAN_POINT('',(29.26925,1.7329313,0.)); #506078=CARTESIAN_POINT('',(29.26925,1.7329313,-0.035)); #506079=CARTESIAN_POINT('Origin',(29.230734,1.7812281,-0.035)); #506080=CARTESIAN_POINT('',(29.230734,1.7812281,-0.035)); #506081=CARTESIAN_POINT('',(29.230734,1.7812281,-0.035)); #506082=CARTESIAN_POINT('',(29.230734,1.7812281,0.)); #506083=CARTESIAN_POINT('',(29.230734,1.7812281,0.)); #506084=CARTESIAN_POINT('',(29.230734,1.7812281,-0.035)); #506085=CARTESIAN_POINT('Origin',(29.197869,1.8335344,-0.035)); #506086=CARTESIAN_POINT('',(29.197869,1.8335344,-0.035)); #506087=CARTESIAN_POINT('',(29.197869,1.8335344,-0.035)); #506088=CARTESIAN_POINT('',(29.197869,1.8335344,0.)); #506089=CARTESIAN_POINT('',(29.197869,1.8335344,0.)); #506090=CARTESIAN_POINT('',(29.197869,1.8335344,-0.035)); #506091=CARTESIAN_POINT('Origin',(29.171066,1.8891938,-0.035)); #506092=CARTESIAN_POINT('',(29.171066,1.8891938,-0.035)); #506093=CARTESIAN_POINT('',(29.171066,1.8891938,-0.035)); #506094=CARTESIAN_POINT('',(29.171066,1.8891938,0.)); #506095=CARTESIAN_POINT('',(29.171066,1.8891938,0.)); #506096=CARTESIAN_POINT('',(29.171066,1.8891938,-0.035)); #506097=CARTESIAN_POINT('Origin',(29.150663,1.9475,-0.035)); #506098=CARTESIAN_POINT('',(29.150663,1.9475,-0.035)); #506099=CARTESIAN_POINT('',(29.150663,1.9475,-0.035)); #506100=CARTESIAN_POINT('',(29.150663,1.9475,0.)); #506101=CARTESIAN_POINT('',(29.150663,1.9475,0.)); #506102=CARTESIAN_POINT('',(29.150663,1.9475,-0.035)); #506103=CARTESIAN_POINT('Origin',(29.136916,2.007725,-0.035)); #506104=CARTESIAN_POINT('',(29.136916,2.007725,-0.035)); #506105=CARTESIAN_POINT('',(29.136916,2.007725,-0.035)); #506106=CARTESIAN_POINT('',(29.136916,2.007725,0.)); #506107=CARTESIAN_POINT('',(29.136916,2.007725,0.)); #506108=CARTESIAN_POINT('',(29.136916,2.007725,-0.035)); #506109=CARTESIAN_POINT('Origin',(29.13,2.0691125,-0.035)); #506110=CARTESIAN_POINT('',(29.13,2.0691125,-0.035)); #506111=CARTESIAN_POINT('',(29.13,2.0691125,-0.035)); #506112=CARTESIAN_POINT('',(29.13,2.0691125,0.)); #506113=CARTESIAN_POINT('',(29.13,2.0691125,0.)); #506114=CARTESIAN_POINT('',(29.13,2.0691125,-0.035)); #506115=CARTESIAN_POINT('Origin',(29.13,2.9308875,-0.035)); #506116=CARTESIAN_POINT('',(29.13,2.9308875,-0.035)); #506117=CARTESIAN_POINT('',(29.13,2.9308875,-0.035)); #506118=CARTESIAN_POINT('',(29.13,2.9308875,0.)); #506119=CARTESIAN_POINT('',(29.13,2.9308875,0.)); #506120=CARTESIAN_POINT('',(29.13,2.9308875,-0.035)); #506121=CARTESIAN_POINT('Origin',(29.136916,2.992275,-0.035)); #506122=CARTESIAN_POINT('',(29.136916,2.992275,-0.035)); #506123=CARTESIAN_POINT('',(29.136916,2.992275,-0.035)); #506124=CARTESIAN_POINT('',(29.136916,2.992275,0.)); #506125=CARTESIAN_POINT('',(29.136916,2.992275,0.)); #506126=CARTESIAN_POINT('',(29.136916,2.992275,-0.035)); #506127=CARTESIAN_POINT('Origin',(29.150663,3.0525,-0.035)); #506128=CARTESIAN_POINT('',(29.150663,3.0525,-0.035)); #506129=CARTESIAN_POINT('',(29.150663,3.0525,-0.035)); #506130=CARTESIAN_POINT('',(29.150663,3.0525,0.)); #506131=CARTESIAN_POINT('',(29.150663,3.0525,0.)); #506132=CARTESIAN_POINT('',(29.150663,3.0525,-0.035)); #506133=CARTESIAN_POINT('Origin',(29.171066,3.1108062,-0.035)); #506134=CARTESIAN_POINT('',(29.171066,3.1108062,-0.035)); #506135=CARTESIAN_POINT('',(29.171066,3.1108062,-0.035)); #506136=CARTESIAN_POINT('',(29.171066,3.1108062,0.)); #506137=CARTESIAN_POINT('',(29.171066,3.1108062,0.)); #506138=CARTESIAN_POINT('',(29.171066,3.1108062,-0.035)); #506139=CARTESIAN_POINT('Origin',(29.197869,3.1664656,-0.035)); #506140=CARTESIAN_POINT('',(29.197869,3.1664656,-0.035)); #506141=CARTESIAN_POINT('',(29.197869,3.1664656,-0.035)); #506142=CARTESIAN_POINT('',(29.197869,3.1664656,0.)); #506143=CARTESIAN_POINT('',(29.197869,3.1664656,0.)); #506144=CARTESIAN_POINT('',(29.197869,3.1664656,-0.035)); #506145=CARTESIAN_POINT('Origin',(29.230734,3.2187719,-0.035)); #506146=CARTESIAN_POINT('',(29.230734,3.2187719,-0.035)); #506147=CARTESIAN_POINT('',(29.230734,3.2187719,-0.035)); #506148=CARTESIAN_POINT('',(29.230734,3.2187719,0.)); #506149=CARTESIAN_POINT('',(29.230734,3.2187719,0.)); #506150=CARTESIAN_POINT('',(29.230734,3.2187719,-0.035)); #506151=CARTESIAN_POINT('Origin',(29.26925,3.2670687,-0.035)); #506152=CARTESIAN_POINT('',(29.26925,3.2670687,-0.035)); #506153=CARTESIAN_POINT('',(29.26925,3.2670687,-0.035)); #506154=CARTESIAN_POINT('',(29.26925,3.2670687,0.)); #506155=CARTESIAN_POINT('',(29.26925,3.2670687,0.)); #506156=CARTESIAN_POINT('',(29.26925,3.2670687,-0.035)); #506157=CARTESIAN_POINT('Origin',(29.312931,3.31075,-0.035)); #506158=CARTESIAN_POINT('',(29.312931,3.31075,-0.035)); #506159=CARTESIAN_POINT('',(29.312931,3.31075,-0.035)); #506160=CARTESIAN_POINT('',(29.312931,3.31075,0.)); #506161=CARTESIAN_POINT('',(29.312931,3.31075,0.)); #506162=CARTESIAN_POINT('',(29.312931,3.31075,-0.035)); #506163=CARTESIAN_POINT('Origin',(29.361228,3.3492656,-0.035)); #506164=CARTESIAN_POINT('',(29.361228,3.3492656,-0.035)); #506165=CARTESIAN_POINT('',(29.361228,3.3492656,-0.035)); #506166=CARTESIAN_POINT('',(29.361228,3.3492656,0.)); #506167=CARTESIAN_POINT('',(29.361228,3.3492656,0.)); #506168=CARTESIAN_POINT('',(29.361228,3.3492656,-0.035)); #506169=CARTESIAN_POINT('Origin',(29.413534,3.3821313,-0.035)); #506170=CARTESIAN_POINT('',(29.413534,3.3821313,-0.035)); #506171=CARTESIAN_POINT('',(29.413534,3.3821313,-0.035)); #506172=CARTESIAN_POINT('',(29.413534,3.3821313,0.)); #506173=CARTESIAN_POINT('',(29.413534,3.3821313,0.)); #506174=CARTESIAN_POINT('',(29.413534,3.3821313,-0.035)); #506175=CARTESIAN_POINT('Origin',(29.469194,3.4089344,-0.035)); #506176=CARTESIAN_POINT('',(29.469194,3.4089344,-0.035)); #506177=CARTESIAN_POINT('',(29.469194,3.4089344,-0.035)); #506178=CARTESIAN_POINT('',(29.469194,3.4089344,0.)); #506179=CARTESIAN_POINT('',(29.469194,3.4089344,0.)); #506180=CARTESIAN_POINT('',(29.469194,3.4089344,-0.035)); #506181=CARTESIAN_POINT('Origin',(29.5275,3.4293375,-0.035)); #506182=CARTESIAN_POINT('',(29.5275,3.4293375,-0.035)); #506183=CARTESIAN_POINT('',(29.5275,3.4293375,-0.035)); #506184=CARTESIAN_POINT('',(29.5275,3.4293375,0.)); #506185=CARTESIAN_POINT('',(29.5275,3.4293375,0.)); #506186=CARTESIAN_POINT('',(29.5275,3.4293375,-0.035)); #506187=CARTESIAN_POINT('Origin',(29.587725,3.4430844,-0.035)); #506188=CARTESIAN_POINT('',(29.587725,3.4430844,-0.035)); #506189=CARTESIAN_POINT('',(29.587725,3.4430844,-0.035)); #506190=CARTESIAN_POINT('',(29.587725,3.4430844,0.)); #506191=CARTESIAN_POINT('',(29.587725,3.4430844,0.)); #506192=CARTESIAN_POINT('',(29.587725,3.4430844,-0.035)); #506193=CARTESIAN_POINT('Origin',(29.649113,3.45,-0.035)); #506194=CARTESIAN_POINT('',(29.649113,3.45,-0.035)); #506195=CARTESIAN_POINT('',(29.649113,3.45,-0.035)); #506196=CARTESIAN_POINT('',(29.649113,3.45,0.)); #506197=CARTESIAN_POINT('',(29.649113,3.45,0.)); #506198=CARTESIAN_POINT('',(29.649113,3.45,-0.035)); #506199=CARTESIAN_POINT('Origin',(29.710888,3.45,-0.035)); #506200=CARTESIAN_POINT('',(29.710888,3.45,-0.035)); #506201=CARTESIAN_POINT('',(29.710888,3.45,-0.035)); #506202=CARTESIAN_POINT('',(29.710888,3.45,0.)); #506203=CARTESIAN_POINT('',(29.710888,3.45,0.)); #506204=CARTESIAN_POINT('',(29.710888,3.45,-0.035)); #506205=CARTESIAN_POINT('Origin',(29.772275,3.4430844,-0.035)); #506206=CARTESIAN_POINT('',(29.772275,3.4430844,-0.035)); #506207=CARTESIAN_POINT('',(29.772275,3.4430844,-0.035)); #506208=CARTESIAN_POINT('',(29.772275,3.4430844,0.)); #506209=CARTESIAN_POINT('',(29.772275,3.4430844,0.)); #506210=CARTESIAN_POINT('',(29.772275,3.4430844,-0.035)); #506211=CARTESIAN_POINT('Origin',(29.8325,3.4293375,-0.035)); #506212=CARTESIAN_POINT('',(29.8325,3.4293375,-0.035)); #506213=CARTESIAN_POINT('',(29.8325,3.4293375,-0.035)); #506214=CARTESIAN_POINT('',(29.8325,3.4293375,0.)); #506215=CARTESIAN_POINT('',(29.8325,3.4293375,0.)); #506216=CARTESIAN_POINT('',(29.8325,3.4293375,-0.035)); #506217=CARTESIAN_POINT('Origin',(29.890806,3.4089344,-0.035)); #506218=CARTESIAN_POINT('',(29.890806,3.4089344,-0.035)); #506219=CARTESIAN_POINT('',(29.890806,3.4089344,-0.035)); #506220=CARTESIAN_POINT('',(29.890806,3.4089344,0.)); #506221=CARTESIAN_POINT('',(29.890806,3.4089344,0.)); #506222=CARTESIAN_POINT('',(29.890806,3.4089344,-0.035)); #506223=CARTESIAN_POINT('Origin',(29.946466,3.3821313,-0.035)); #506224=CARTESIAN_POINT('',(29.946466,3.3821313,-0.035)); #506225=CARTESIAN_POINT('',(29.946466,3.3821313,-0.035)); #506226=CARTESIAN_POINT('',(29.946466,3.3821313,0.)); #506227=CARTESIAN_POINT('',(29.946466,3.3821313,0.)); #506228=CARTESIAN_POINT('',(29.946466,3.3821313,-0.035)); #506229=CARTESIAN_POINT('Origin',(29.998772,3.3492656,-0.035)); #506230=CARTESIAN_POINT('',(29.998772,3.3492656,-0.035)); #506231=CARTESIAN_POINT('',(29.998772,3.3492656,-0.035)); #506232=CARTESIAN_POINT('',(29.998772,3.3492656,0.)); #506233=CARTESIAN_POINT('',(29.998772,3.3492656,0.)); #506234=CARTESIAN_POINT('',(29.998772,3.3492656,-0.035)); #506235=CARTESIAN_POINT('Origin',(30.047069,3.31075,-0.035)); #506236=CARTESIAN_POINT('',(30.047069,3.31075,-0.035)); #506237=CARTESIAN_POINT('',(30.047069,3.31075,-0.035)); #506238=CARTESIAN_POINT('',(30.047069,3.31075,0.)); #506239=CARTESIAN_POINT('',(30.047069,3.31075,0.)); #506240=CARTESIAN_POINT('',(30.047069,3.31075,-0.035)); #506241=CARTESIAN_POINT('Origin',(30.09075,3.2670687,-0.035)); #506242=CARTESIAN_POINT('',(30.09075,3.2670687,-0.035)); #506243=CARTESIAN_POINT('',(30.09075,3.2670687,-0.035)); #506244=CARTESIAN_POINT('',(30.09075,3.2670687,0.)); #506245=CARTESIAN_POINT('',(30.09075,3.2670687,0.)); #506246=CARTESIAN_POINT('',(30.09075,3.2670687,-0.035)); #506247=CARTESIAN_POINT('Origin',(30.129266,3.2187719,-0.035)); #506248=CARTESIAN_POINT('',(30.129266,3.2187719,-0.035)); #506249=CARTESIAN_POINT('',(30.129266,3.2187719,-0.035)); #506250=CARTESIAN_POINT('',(30.129266,3.2187719,0.)); #506251=CARTESIAN_POINT('',(30.129266,3.2187719,0.)); #506252=CARTESIAN_POINT('',(30.129266,3.2187719,-0.035)); #506253=CARTESIAN_POINT('Origin',(30.162131,3.1664656,-0.035)); #506254=CARTESIAN_POINT('',(30.162131,3.1664656,-0.035)); #506255=CARTESIAN_POINT('',(30.162131,3.1664656,-0.035)); #506256=CARTESIAN_POINT('',(30.162131,3.1664656,0.)); #506257=CARTESIAN_POINT('',(30.162131,3.1664656,0.)); #506258=CARTESIAN_POINT('',(30.162131,3.1664656,-0.035)); #506259=CARTESIAN_POINT('Origin',(30.188934,3.1108062,-0.035)); #506260=CARTESIAN_POINT('',(30.188934,3.1108062,-0.035)); #506261=CARTESIAN_POINT('',(30.188934,3.1108062,-0.035)); #506262=CARTESIAN_POINT('',(30.188934,3.1108062,0.)); #506263=CARTESIAN_POINT('',(30.188934,3.1108062,0.)); #506264=CARTESIAN_POINT('',(30.188934,3.1108062,-0.035)); #506265=CARTESIAN_POINT('Origin',(30.209338,3.0525,-0.035)); #506266=CARTESIAN_POINT('',(30.209338,3.0525,-0.035)); #506267=CARTESIAN_POINT('',(30.209338,3.0525,-0.035)); #506268=CARTESIAN_POINT('',(30.209338,3.0525,0.)); #506269=CARTESIAN_POINT('',(30.209338,3.0525,0.)); #506270=CARTESIAN_POINT('',(30.209338,3.0525,-0.035)); #506271=CARTESIAN_POINT('Origin',(30.223084,2.992275,-0.035)); #506272=CARTESIAN_POINT('',(30.223084,2.992275,-0.035)); #506273=CARTESIAN_POINT('',(30.223084,2.992275,-0.035)); #506274=CARTESIAN_POINT('',(30.223084,2.992275,0.)); #506275=CARTESIAN_POINT('',(30.223084,2.992275,0.)); #506276=CARTESIAN_POINT('',(30.223084,2.992275,-0.035)); #506277=CARTESIAN_POINT('Origin',(30.23,2.9308875,-0.035)); #506278=CARTESIAN_POINT('',(30.23,2.9308875,-0.035)); #506279=CARTESIAN_POINT('',(30.23,2.9308875,-0.035)); #506280=CARTESIAN_POINT('',(30.23,2.9308875,0.)); #506281=CARTESIAN_POINT('',(30.23,2.9308875,0.)); #506282=CARTESIAN_POINT('',(30.23,2.9308875,-0.035)); #506283=CARTESIAN_POINT('Origin',(30.23,2.0691125,-0.035)); #506284=CARTESIAN_POINT('',(30.23,2.0691125,-0.035)); #506285=CARTESIAN_POINT('',(30.23,2.0691125,-0.035)); #506286=CARTESIAN_POINT('',(30.23,2.0691125,0.)); #506287=CARTESIAN_POINT('',(30.23,2.0691125,0.)); #506288=CARTESIAN_POINT('',(30.23,2.0691125,-0.035)); #506289=CARTESIAN_POINT('Origin',(30.223084,2.007725,-0.035)); #506290=CARTESIAN_POINT('',(30.223084,2.007725,-0.035)); #506291=CARTESIAN_POINT('',(30.223084,2.007725,-0.035)); #506292=CARTESIAN_POINT('',(30.223084,2.007725,0.)); #506293=CARTESIAN_POINT('',(30.223084,2.007725,0.)); #506294=CARTESIAN_POINT('',(30.223084,2.007725,-0.035)); #506295=CARTESIAN_POINT('Origin',(30.209338,1.9475,-0.035)); #506296=CARTESIAN_POINT('',(30.209338,1.9475,-0.035)); #506297=CARTESIAN_POINT('',(30.209338,1.9475,-0.035)); #506298=CARTESIAN_POINT('',(30.209338,1.9475,0.)); #506299=CARTESIAN_POINT('',(30.209338,1.9475,0.)); #506300=CARTESIAN_POINT('',(30.209338,1.9475,-0.035)); #506301=CARTESIAN_POINT('Origin',(30.188934,1.8891938,-0.035)); #506302=CARTESIAN_POINT('',(30.188934,1.8891938,-0.035)); #506303=CARTESIAN_POINT('',(30.188934,1.8891938,-0.035)); #506304=CARTESIAN_POINT('',(30.188934,1.8891938,0.)); #506305=CARTESIAN_POINT('',(30.188934,1.8891938,0.)); #506306=CARTESIAN_POINT('',(30.188934,1.8891938,-0.035)); #506307=CARTESIAN_POINT('Origin',(30.162131,1.8335344,-0.035)); #506308=CARTESIAN_POINT('',(30.162131,1.8335344,-0.035)); #506309=CARTESIAN_POINT('',(30.162131,1.8335344,-0.035)); #506310=CARTESIAN_POINT('',(30.162131,1.8335344,0.)); #506311=CARTESIAN_POINT('',(30.162131,1.8335344,0.)); #506312=CARTESIAN_POINT('',(30.162131,1.8335344,-0.035)); #506313=CARTESIAN_POINT('Origin',(30.129266,1.7812281,-0.035)); #506314=CARTESIAN_POINT('',(30.129266,1.7812281,-0.035)); #506315=CARTESIAN_POINT('',(30.129266,1.7812281,-0.035)); #506316=CARTESIAN_POINT('',(30.129266,1.7812281,0.)); #506317=CARTESIAN_POINT('',(30.129266,1.7812281,0.)); #506318=CARTESIAN_POINT('',(30.129266,1.7812281,-0.035)); #506319=CARTESIAN_POINT('Origin',(30.09075,1.7329313,-0.035)); #506320=CARTESIAN_POINT('',(30.09075,1.7329313,-0.035)); #506321=CARTESIAN_POINT('',(30.09075,1.7329313,-0.035)); #506322=CARTESIAN_POINT('',(30.09075,1.7329313,0.)); #506323=CARTESIAN_POINT('',(30.09075,1.7329313,0.)); #506324=CARTESIAN_POINT('',(30.09075,1.7329313,-0.035)); #506325=CARTESIAN_POINT('Origin',(30.047069,1.68925,-0.035)); #506326=CARTESIAN_POINT('',(30.047069,1.68925,-0.035)); #506327=CARTESIAN_POINT('',(30.047069,1.68925,-0.035)); #506328=CARTESIAN_POINT('',(30.047069,1.68925,0.)); #506329=CARTESIAN_POINT('',(30.047069,1.68925,0.)); #506330=CARTESIAN_POINT('',(30.047069,1.68925,-0.035)); #506331=CARTESIAN_POINT('Origin',(29.998772,1.6507344,-0.035)); #506332=CARTESIAN_POINT('',(29.998772,1.6507344,-0.035)); #506333=CARTESIAN_POINT('',(29.998772,1.6507344,-0.035)); #506334=CARTESIAN_POINT('',(29.998772,1.6507344,0.)); #506335=CARTESIAN_POINT('',(29.998772,1.6507344,0.)); #506336=CARTESIAN_POINT('',(29.998772,1.6507344,-0.035)); #506337=CARTESIAN_POINT('Origin',(29.946466,1.6178687,-0.035)); #506338=CARTESIAN_POINT('',(29.946466,1.6178687,-0.035)); #506339=CARTESIAN_POINT('',(29.946466,1.6178687,-0.035)); #506340=CARTESIAN_POINT('',(29.946466,1.6178687,0.)); #506341=CARTESIAN_POINT('',(29.946466,1.6178687,0.)); #506342=CARTESIAN_POINT('',(29.946466,1.6178687,-0.035)); #506343=CARTESIAN_POINT('Origin',(29.890806,1.5910656,-0.035)); #506344=CARTESIAN_POINT('',(29.890806,1.5910656,-0.035)); #506345=CARTESIAN_POINT('',(29.890806,1.5910656,-0.035)); #506346=CARTESIAN_POINT('',(29.890806,1.5910656,0.)); #506347=CARTESIAN_POINT('',(29.890806,1.5910656,0.)); #506348=CARTESIAN_POINT('',(29.890806,1.5910656,-0.035)); #506349=CARTESIAN_POINT('Origin',(29.8325,1.5706625,-0.035)); #506350=CARTESIAN_POINT('',(29.8325,1.5706625,-0.035)); #506351=CARTESIAN_POINT('',(29.8325,1.5706625,-0.035)); #506352=CARTESIAN_POINT('',(29.8325,1.5706625,0.)); #506353=CARTESIAN_POINT('',(29.8325,1.5706625,0.)); #506354=CARTESIAN_POINT('',(29.8325,1.5706625,-0.035)); #506355=CARTESIAN_POINT('Origin',(29.772275,1.5569156,-0.035)); #506356=CARTESIAN_POINT('',(29.772275,1.5569156,-0.035)); #506357=CARTESIAN_POINT('',(29.772275,1.5569156,0.)); #506358=CARTESIAN_POINT('Origin',(29.680000045263,2.5,0.)); #506359=CARTESIAN_POINT('Origin',(29.680000045263,2.5,-0.035)); #506360=CARTESIAN_POINT('Origin',(39.1500000454174,34.565,-200.)); #506361=CARTESIAN_POINT('',(38.5500000151391,34.565,0.)); #506362=CARTESIAN_POINT('',(39.1500000454174,34.565,0.)); #506363=CARTESIAN_POINT('',(39.0000000471749,34.565,0.)); #506364=CARTESIAN_POINT('',(38.5500000151391,34.565,-0.0349999999999895)); #506365=CARTESIAN_POINT('',(38.5500000151391,34.565,-200.)); #506366=CARTESIAN_POINT('',(39.1500000454174,34.565,-0.0349999999999895)); #506367=CARTESIAN_POINT('',(39.0000000471749,34.565,-0.0350000000000072)); #506368=CARTESIAN_POINT('',(39.1500000454174,34.565,-200.)); #506369=CARTESIAN_POINT('Origin',(39.15,34.,-200.)); #506370=CARTESIAN_POINT('',(39.1499999848609,33.435,0.)); #506371=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #506372=CARTESIAN_POINT('',(39.1499999848609,33.435,-0.0349999999999895)); #506373=CARTESIAN_POINT('Origin',(39.15,34.,-0.0349999999999895)); #506374=CARTESIAN_POINT('',(39.1499999848609,33.435,-200.)); #506375=CARTESIAN_POINT('Origin',(38.5499999545827,33.435,-200.)); #506376=CARTESIAN_POINT('',(38.5499999545827,33.435,0.)); #506377=CARTESIAN_POINT('',(38.7000000017575,33.435,0.)); #506378=CARTESIAN_POINT('',(38.5499999545827,33.435,-0.0349999999999895)); #506379=CARTESIAN_POINT('',(38.7000000017575,33.435,-0.0350000000000072)); #506380=CARTESIAN_POINT('',(38.5499999545827,33.435,-200.)); #506381=CARTESIAN_POINT('Origin',(38.55,34.,-200.)); #506382=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #506383=CARTESIAN_POINT('Origin',(38.55,34.,-0.0349999999999895)); #506384=CARTESIAN_POINT('Origin',(39.189294,33.1,-0.035)); #506385=CARTESIAN_POINT('',(39.189294,33.1,-0.035)); #506386=CARTESIAN_POINT('',(39.267584,33.10685,-0.035)); #506387=CARTESIAN_POINT('',(39.189294,33.1,-0.035)); #506388=CARTESIAN_POINT('',(39.267584,33.10685,0.)); #506389=CARTESIAN_POINT('',(39.267584,33.10685,-0.035)); #506390=CARTESIAN_POINT('',(39.189294,33.1,0.)); #506391=CARTESIAN_POINT('',(39.189294,33.1,0.)); #506392=CARTESIAN_POINT('',(39.189294,33.1,-0.035)); #506393=CARTESIAN_POINT('Origin',(38.510706,33.1,-0.035)); #506394=CARTESIAN_POINT('',(38.510706,33.1,-0.035)); #506395=CARTESIAN_POINT('',(38.510706,33.1,-0.035)); #506396=CARTESIAN_POINT('',(38.510706,33.1,0.)); #506397=CARTESIAN_POINT('',(38.510706,33.1,0.)); #506398=CARTESIAN_POINT('',(38.510706,33.1,-0.035)); #506399=CARTESIAN_POINT('Origin',(38.432416,33.10685,-0.035)); #506400=CARTESIAN_POINT('',(38.432416,33.10685,-0.035)); #506401=CARTESIAN_POINT('',(38.432416,33.10685,-0.035)); #506402=CARTESIAN_POINT('',(38.432416,33.10685,0.)); #506403=CARTESIAN_POINT('',(38.432416,33.10685,0.)); #506404=CARTESIAN_POINT('',(38.432416,33.10685,-0.035)); #506405=CARTESIAN_POINT('Origin',(38.355019,33.120497,-0.035)); #506406=CARTESIAN_POINT('',(38.355019,33.120497,-0.035)); #506407=CARTESIAN_POINT('',(38.355019,33.120497,-0.035)); #506408=CARTESIAN_POINT('',(38.355019,33.120497,0.)); #506409=CARTESIAN_POINT('',(38.355019,33.120497,0.)); #506410=CARTESIAN_POINT('',(38.355019,33.120497,-0.035)); #506411=CARTESIAN_POINT('Origin',(38.279106,33.140838,-0.035)); #506412=CARTESIAN_POINT('',(38.279106,33.140838,-0.035)); #506413=CARTESIAN_POINT('',(38.279106,33.140838,-0.035)); #506414=CARTESIAN_POINT('',(38.279106,33.140838,0.)); #506415=CARTESIAN_POINT('',(38.279106,33.140838,0.)); #506416=CARTESIAN_POINT('',(38.279106,33.140838,-0.035)); #506417=CARTESIAN_POINT('Origin',(38.205256,33.167716,-0.035)); #506418=CARTESIAN_POINT('',(38.205256,33.167716,-0.035)); #506419=CARTESIAN_POINT('',(38.205256,33.167716,-0.035)); #506420=CARTESIAN_POINT('',(38.205256,33.167716,0.)); #506421=CARTESIAN_POINT('',(38.205256,33.167716,0.)); #506422=CARTESIAN_POINT('',(38.205256,33.167716,-0.035)); #506423=CARTESIAN_POINT('Origin',(38.134031,33.200931,-0.035)); #506424=CARTESIAN_POINT('',(38.134031,33.200931,-0.035)); #506425=CARTESIAN_POINT('',(38.134031,33.200931,-0.035)); #506426=CARTESIAN_POINT('',(38.134031,33.200931,0.)); #506427=CARTESIAN_POINT('',(38.134031,33.200931,0.)); #506428=CARTESIAN_POINT('',(38.134031,33.200931,-0.035)); #506429=CARTESIAN_POINT('Origin',(38.065969,33.240225,-0.035)); #506430=CARTESIAN_POINT('',(38.065969,33.240225,-0.035)); #506431=CARTESIAN_POINT('',(38.065969,33.240225,-0.035)); #506432=CARTESIAN_POINT('',(38.065969,33.240225,0.)); #506433=CARTESIAN_POINT('',(38.065969,33.240225,0.)); #506434=CARTESIAN_POINT('',(38.065969,33.240225,-0.035)); #506435=CARTESIAN_POINT('Origin',(38.001594,33.285303,-0.035)); #506436=CARTESIAN_POINT('',(38.001594,33.285303,-0.035)); #506437=CARTESIAN_POINT('',(38.001594,33.285303,-0.035)); #506438=CARTESIAN_POINT('',(38.001594,33.285303,0.)); #506439=CARTESIAN_POINT('',(38.001594,33.285303,0.)); #506440=CARTESIAN_POINT('',(38.001594,33.285303,-0.035)); #506441=CARTESIAN_POINT('Origin',(37.941391,33.335819,-0.035)); #506442=CARTESIAN_POINT('',(37.941391,33.335819,-0.035)); #506443=CARTESIAN_POINT('',(37.941391,33.335819,-0.035)); #506444=CARTESIAN_POINT('',(37.941391,33.335819,0.)); #506445=CARTESIAN_POINT('',(37.941391,33.335819,0.)); #506446=CARTESIAN_POINT('',(37.941391,33.335819,-0.035)); #506447=CARTESIAN_POINT('Origin',(37.885819,33.391391,-0.035)); #506448=CARTESIAN_POINT('',(37.885819,33.391391,-0.035)); #506449=CARTESIAN_POINT('',(37.885819,33.391391,-0.035)); #506450=CARTESIAN_POINT('',(37.885819,33.391391,0.)); #506451=CARTESIAN_POINT('',(37.885819,33.391391,0.)); #506452=CARTESIAN_POINT('',(37.885819,33.391391,-0.035)); #506453=CARTESIAN_POINT('Origin',(37.835303,33.451594,-0.035)); #506454=CARTESIAN_POINT('',(37.835303,33.451594,-0.035)); #506455=CARTESIAN_POINT('',(37.835303,33.451594,-0.035)); #506456=CARTESIAN_POINT('',(37.835303,33.451594,0.)); #506457=CARTESIAN_POINT('',(37.835303,33.451594,0.)); #506458=CARTESIAN_POINT('',(37.835303,33.451594,-0.035)); #506459=CARTESIAN_POINT('Origin',(37.790225,33.515969,-0.035)); #506460=CARTESIAN_POINT('',(37.790225,33.515969,-0.035)); #506461=CARTESIAN_POINT('',(37.790225,33.515969,-0.035)); #506462=CARTESIAN_POINT('',(37.790225,33.515969,0.)); #506463=CARTESIAN_POINT('',(37.790225,33.515969,0.)); #506464=CARTESIAN_POINT('',(37.790225,33.515969,-0.035)); #506465=CARTESIAN_POINT('Origin',(37.750931,33.584031,-0.035)); #506466=CARTESIAN_POINT('',(37.750931,33.584031,-0.035)); #506467=CARTESIAN_POINT('',(37.750931,33.584031,-0.035)); #506468=CARTESIAN_POINT('',(37.750931,33.584031,0.)); #506469=CARTESIAN_POINT('',(37.750931,33.584031,0.)); #506470=CARTESIAN_POINT('',(37.750931,33.584031,-0.035)); #506471=CARTESIAN_POINT('Origin',(37.717716,33.655256,-0.035)); #506472=CARTESIAN_POINT('',(37.717716,33.655256,-0.035)); #506473=CARTESIAN_POINT('',(37.717716,33.655256,-0.035)); #506474=CARTESIAN_POINT('',(37.717716,33.655256,0.)); #506475=CARTESIAN_POINT('',(37.717716,33.655256,0.)); #506476=CARTESIAN_POINT('',(37.717716,33.655256,-0.035)); #506477=CARTESIAN_POINT('Origin',(37.690838,33.729106,-0.035)); #506478=CARTESIAN_POINT('',(37.690838,33.729106,-0.035)); #506479=CARTESIAN_POINT('',(37.690838,33.729106,-0.035)); #506480=CARTESIAN_POINT('',(37.690838,33.729106,0.)); #506481=CARTESIAN_POINT('',(37.690838,33.729106,0.)); #506482=CARTESIAN_POINT('',(37.690838,33.729106,-0.035)); #506483=CARTESIAN_POINT('Origin',(37.670497,33.805019,-0.035)); #506484=CARTESIAN_POINT('',(37.670497,33.805019,-0.035)); #506485=CARTESIAN_POINT('',(37.670497,33.805019,-0.035)); #506486=CARTESIAN_POINT('',(37.670497,33.805019,0.)); #506487=CARTESIAN_POINT('',(37.670497,33.805019,0.)); #506488=CARTESIAN_POINT('',(37.670497,33.805019,-0.035)); #506489=CARTESIAN_POINT('Origin',(37.65685,33.882416,-0.035)); #506490=CARTESIAN_POINT('',(37.65685,33.882416,-0.035)); #506491=CARTESIAN_POINT('',(37.65685,33.882416,-0.035)); #506492=CARTESIAN_POINT('',(37.65685,33.882416,0.)); #506493=CARTESIAN_POINT('',(37.65685,33.882416,0.)); #506494=CARTESIAN_POINT('',(37.65685,33.882416,-0.035)); #506495=CARTESIAN_POINT('Origin',(37.65,33.960706,-0.035)); #506496=CARTESIAN_POINT('',(37.65,33.960706,-0.035)); #506497=CARTESIAN_POINT('',(37.65,33.960706,-0.035)); #506498=CARTESIAN_POINT('',(37.65,33.960706,0.)); #506499=CARTESIAN_POINT('',(37.65,33.960706,0.)); #506500=CARTESIAN_POINT('',(37.65,33.960706,-0.035)); #506501=CARTESIAN_POINT('Origin',(37.65,34.039294,-0.035)); #506502=CARTESIAN_POINT('',(37.65,34.039294,-0.035)); #506503=CARTESIAN_POINT('',(37.65,34.039294,-0.035)); #506504=CARTESIAN_POINT('',(37.65,34.039294,0.)); #506505=CARTESIAN_POINT('',(37.65,34.039294,0.)); #506506=CARTESIAN_POINT('',(37.65,34.039294,-0.035)); #506507=CARTESIAN_POINT('Origin',(37.65685,34.117584,-0.035)); #506508=CARTESIAN_POINT('',(37.65685,34.117584,-0.035)); #506509=CARTESIAN_POINT('',(37.65685,34.117584,-0.035)); #506510=CARTESIAN_POINT('',(37.65685,34.117584,0.)); #506511=CARTESIAN_POINT('',(37.65685,34.117584,0.)); #506512=CARTESIAN_POINT('',(37.65685,34.117584,-0.035)); #506513=CARTESIAN_POINT('Origin',(37.670497,34.194981,-0.035)); #506514=CARTESIAN_POINT('',(37.670497,34.194981,-0.035)); #506515=CARTESIAN_POINT('',(37.670497,34.194981,-0.035)); #506516=CARTESIAN_POINT('',(37.670497,34.194981,0.)); #506517=CARTESIAN_POINT('',(37.670497,34.194981,0.)); #506518=CARTESIAN_POINT('',(37.670497,34.194981,-0.035)); #506519=CARTESIAN_POINT('Origin',(37.690838,34.270894,-0.035)); #506520=CARTESIAN_POINT('',(37.690838,34.270894,-0.035)); #506521=CARTESIAN_POINT('',(37.690838,34.270894,-0.035)); #506522=CARTESIAN_POINT('',(37.690838,34.270894,0.)); #506523=CARTESIAN_POINT('',(37.690838,34.270894,0.)); #506524=CARTESIAN_POINT('',(37.690838,34.270894,-0.035)); #506525=CARTESIAN_POINT('Origin',(37.717716,34.344744,-0.035)); #506526=CARTESIAN_POINT('',(37.717716,34.344744,-0.035)); #506527=CARTESIAN_POINT('',(37.717716,34.344744,-0.035)); #506528=CARTESIAN_POINT('',(37.717716,34.344744,0.)); #506529=CARTESIAN_POINT('',(37.717716,34.344744,0.)); #506530=CARTESIAN_POINT('',(37.717716,34.344744,-0.035)); #506531=CARTESIAN_POINT('Origin',(37.750931,34.415969,-0.035)); #506532=CARTESIAN_POINT('',(37.750931,34.415969,-0.035)); #506533=CARTESIAN_POINT('',(37.750931,34.415969,-0.035)); #506534=CARTESIAN_POINT('',(37.750931,34.415969,0.)); #506535=CARTESIAN_POINT('',(37.750931,34.415969,0.)); #506536=CARTESIAN_POINT('',(37.750931,34.415969,-0.035)); #506537=CARTESIAN_POINT('Origin',(37.790225,34.484031,-0.035)); #506538=CARTESIAN_POINT('',(37.790225,34.484031,-0.035)); #506539=CARTESIAN_POINT('',(37.790225,34.484031,-0.035)); #506540=CARTESIAN_POINT('',(37.790225,34.484031,0.)); #506541=CARTESIAN_POINT('',(37.790225,34.484031,0.)); #506542=CARTESIAN_POINT('',(37.790225,34.484031,-0.035)); #506543=CARTESIAN_POINT('Origin',(37.835303,34.548406,-0.035)); #506544=CARTESIAN_POINT('',(37.835303,34.548406,-0.035)); #506545=CARTESIAN_POINT('',(37.835303,34.548406,-0.035)); #506546=CARTESIAN_POINT('',(37.835303,34.548406,0.)); #506547=CARTESIAN_POINT('',(37.835303,34.548406,0.)); #506548=CARTESIAN_POINT('',(37.835303,34.548406,-0.035)); #506549=CARTESIAN_POINT('Origin',(37.885819,34.608609,-0.035)); #506550=CARTESIAN_POINT('',(37.885819,34.608609,-0.035)); #506551=CARTESIAN_POINT('',(37.885819,34.608609,-0.035)); #506552=CARTESIAN_POINT('',(37.885819,34.608609,0.)); #506553=CARTESIAN_POINT('',(37.885819,34.608609,0.)); #506554=CARTESIAN_POINT('',(37.885819,34.608609,-0.035)); #506555=CARTESIAN_POINT('Origin',(37.941391,34.664181,-0.035)); #506556=CARTESIAN_POINT('',(37.941391,34.664181,-0.035)); #506557=CARTESIAN_POINT('',(37.941391,34.664181,-0.035)); #506558=CARTESIAN_POINT('',(37.941391,34.664181,0.)); #506559=CARTESIAN_POINT('',(37.941391,34.664181,0.)); #506560=CARTESIAN_POINT('',(37.941391,34.664181,-0.035)); #506561=CARTESIAN_POINT('Origin',(38.001594,34.714697,-0.035)); #506562=CARTESIAN_POINT('',(38.001594,34.714697,-0.035)); #506563=CARTESIAN_POINT('',(38.001594,34.714697,-0.035)); #506564=CARTESIAN_POINT('',(38.001594,34.714697,0.)); #506565=CARTESIAN_POINT('',(38.001594,34.714697,0.)); #506566=CARTESIAN_POINT('',(38.001594,34.714697,-0.035)); #506567=CARTESIAN_POINT('Origin',(38.065969,34.759775,-0.035)); #506568=CARTESIAN_POINT('',(38.065969,34.759775,-0.035)); #506569=CARTESIAN_POINT('',(38.065969,34.759775,-0.035)); #506570=CARTESIAN_POINT('',(38.065969,34.759775,0.)); #506571=CARTESIAN_POINT('',(38.065969,34.759775,0.)); #506572=CARTESIAN_POINT('',(38.065969,34.759775,-0.035)); #506573=CARTESIAN_POINT('Origin',(38.134031,34.799069,-0.035)); #506574=CARTESIAN_POINT('',(38.134031,34.799069,-0.035)); #506575=CARTESIAN_POINT('',(38.134031,34.799069,-0.035)); #506576=CARTESIAN_POINT('',(38.134031,34.799069,0.)); #506577=CARTESIAN_POINT('',(38.134031,34.799069,0.)); #506578=CARTESIAN_POINT('',(38.134031,34.799069,-0.035)); #506579=CARTESIAN_POINT('Origin',(38.205256,34.832284,-0.035)); #506580=CARTESIAN_POINT('',(38.205256,34.832284,-0.035)); #506581=CARTESIAN_POINT('',(38.205256,34.832284,-0.035)); #506582=CARTESIAN_POINT('',(38.205256,34.832284,0.)); #506583=CARTESIAN_POINT('',(38.205256,34.832284,0.)); #506584=CARTESIAN_POINT('',(38.205256,34.832284,-0.035)); #506585=CARTESIAN_POINT('Origin',(38.279106,34.859163,-0.035)); #506586=CARTESIAN_POINT('',(38.279106,34.859163,-0.035)); #506587=CARTESIAN_POINT('',(38.279106,34.859163,-0.035)); #506588=CARTESIAN_POINT('',(38.279106,34.859163,0.)); #506589=CARTESIAN_POINT('',(38.279106,34.859163,0.)); #506590=CARTESIAN_POINT('',(38.279106,34.859163,-0.035)); #506591=CARTESIAN_POINT('Origin',(38.355019,34.879503,-0.035)); #506592=CARTESIAN_POINT('',(38.355019,34.879503,-0.035)); #506593=CARTESIAN_POINT('',(38.355019,34.879503,-0.035)); #506594=CARTESIAN_POINT('',(38.355019,34.879503,0.)); #506595=CARTESIAN_POINT('',(38.355019,34.879503,0.)); #506596=CARTESIAN_POINT('',(38.355019,34.879503,-0.035)); #506597=CARTESIAN_POINT('Origin',(38.432416,34.89315,-0.035)); #506598=CARTESIAN_POINT('',(38.432416,34.89315,-0.035)); #506599=CARTESIAN_POINT('',(38.432416,34.89315,-0.035)); #506600=CARTESIAN_POINT('',(38.432416,34.89315,0.)); #506601=CARTESIAN_POINT('',(38.432416,34.89315,0.)); #506602=CARTESIAN_POINT('',(38.432416,34.89315,-0.035)); #506603=CARTESIAN_POINT('Origin',(38.510706,34.9,-0.035)); #506604=CARTESIAN_POINT('',(38.510706,34.9,-0.035)); #506605=CARTESIAN_POINT('',(38.510706,34.9,-0.035)); #506606=CARTESIAN_POINT('',(38.510706,34.9,0.)); #506607=CARTESIAN_POINT('',(38.510706,34.9,0.)); #506608=CARTESIAN_POINT('',(38.510706,34.9,-0.035)); #506609=CARTESIAN_POINT('Origin',(39.189294,34.9,-0.035)); #506610=CARTESIAN_POINT('',(39.189294,34.9,-0.035)); #506611=CARTESIAN_POINT('',(39.189294,34.9,-0.035)); #506612=CARTESIAN_POINT('',(39.189294,34.9,0.)); #506613=CARTESIAN_POINT('',(39.189294,34.9,0.)); #506614=CARTESIAN_POINT('',(39.189294,34.9,-0.035)); #506615=CARTESIAN_POINT('Origin',(39.267584,34.89315,-0.035)); #506616=CARTESIAN_POINT('',(39.267584,34.89315,-0.035)); #506617=CARTESIAN_POINT('',(39.267584,34.89315,-0.035)); #506618=CARTESIAN_POINT('',(39.267584,34.89315,0.)); #506619=CARTESIAN_POINT('',(39.267584,34.89315,0.)); #506620=CARTESIAN_POINT('',(39.267584,34.89315,-0.035)); #506621=CARTESIAN_POINT('Origin',(39.344981,34.879503,-0.035)); #506622=CARTESIAN_POINT('',(39.344981,34.879503,-0.035)); #506623=CARTESIAN_POINT('',(39.344981,34.879503,-0.035)); #506624=CARTESIAN_POINT('',(39.344981,34.879503,0.)); #506625=CARTESIAN_POINT('',(39.344981,34.879503,0.)); #506626=CARTESIAN_POINT('',(39.344981,34.879503,-0.035)); #506627=CARTESIAN_POINT('Origin',(39.420894,34.859163,-0.035)); #506628=CARTESIAN_POINT('',(39.420894,34.859163,-0.035)); #506629=CARTESIAN_POINT('',(39.420894,34.859163,-0.035)); #506630=CARTESIAN_POINT('',(39.420894,34.859163,0.)); #506631=CARTESIAN_POINT('',(39.420894,34.859163,0.)); #506632=CARTESIAN_POINT('',(39.420894,34.859163,-0.035)); #506633=CARTESIAN_POINT('Origin',(39.494744,34.832284,-0.035)); #506634=CARTESIAN_POINT('',(39.494744,34.832284,-0.035)); #506635=CARTESIAN_POINT('',(39.494744,34.832284,-0.035)); #506636=CARTESIAN_POINT('',(39.494744,34.832284,0.)); #506637=CARTESIAN_POINT('',(39.494744,34.832284,0.)); #506638=CARTESIAN_POINT('',(39.494744,34.832284,-0.035)); #506639=CARTESIAN_POINT('Origin',(39.565969,34.799069,-0.035)); #506640=CARTESIAN_POINT('',(39.565969,34.799069,-0.035)); #506641=CARTESIAN_POINT('',(39.565969,34.799069,-0.035)); #506642=CARTESIAN_POINT('',(39.565969,34.799069,0.)); #506643=CARTESIAN_POINT('',(39.565969,34.799069,0.)); #506644=CARTESIAN_POINT('',(39.565969,34.799069,-0.035)); #506645=CARTESIAN_POINT('Origin',(39.634031,34.759775,-0.035)); #506646=CARTESIAN_POINT('',(39.634031,34.759775,-0.035)); #506647=CARTESIAN_POINT('',(39.634031,34.759775,-0.035)); #506648=CARTESIAN_POINT('',(39.634031,34.759775,0.)); #506649=CARTESIAN_POINT('',(39.634031,34.759775,0.)); #506650=CARTESIAN_POINT('',(39.634031,34.759775,-0.035)); #506651=CARTESIAN_POINT('Origin',(39.698406,34.714697,-0.035)); #506652=CARTESIAN_POINT('',(39.698406,34.714697,-0.035)); #506653=CARTESIAN_POINT('',(39.698406,34.714697,-0.035)); #506654=CARTESIAN_POINT('',(39.698406,34.714697,0.)); #506655=CARTESIAN_POINT('',(39.698406,34.714697,0.)); #506656=CARTESIAN_POINT('',(39.698406,34.714697,-0.035)); #506657=CARTESIAN_POINT('Origin',(39.758609,34.664181,-0.035)); #506658=CARTESIAN_POINT('',(39.758609,34.664181,-0.035)); #506659=CARTESIAN_POINT('',(39.758609,34.664181,-0.035)); #506660=CARTESIAN_POINT('',(39.758609,34.664181,0.)); #506661=CARTESIAN_POINT('',(39.758609,34.664181,0.)); #506662=CARTESIAN_POINT('',(39.758609,34.664181,-0.035)); #506663=CARTESIAN_POINT('Origin',(39.814181,34.608609,-0.035)); #506664=CARTESIAN_POINT('',(39.814181,34.608609,-0.035)); #506665=CARTESIAN_POINT('',(39.814181,34.608609,-0.035)); #506666=CARTESIAN_POINT('',(39.814181,34.608609,0.)); #506667=CARTESIAN_POINT('',(39.814181,34.608609,0.)); #506668=CARTESIAN_POINT('',(39.814181,34.608609,-0.035)); #506669=CARTESIAN_POINT('Origin',(39.864697,34.548406,-0.035)); #506670=CARTESIAN_POINT('',(39.864697,34.548406,-0.035)); #506671=CARTESIAN_POINT('',(39.864697,34.548406,-0.035)); #506672=CARTESIAN_POINT('',(39.864697,34.548406,0.)); #506673=CARTESIAN_POINT('',(39.864697,34.548406,0.)); #506674=CARTESIAN_POINT('',(39.864697,34.548406,-0.035)); #506675=CARTESIAN_POINT('Origin',(39.909775,34.484031,-0.035)); #506676=CARTESIAN_POINT('',(39.909775,34.484031,-0.035)); #506677=CARTESIAN_POINT('',(39.909775,34.484031,-0.035)); #506678=CARTESIAN_POINT('',(39.909775,34.484031,0.)); #506679=CARTESIAN_POINT('',(39.909775,34.484031,0.)); #506680=CARTESIAN_POINT('',(39.909775,34.484031,-0.035)); #506681=CARTESIAN_POINT('Origin',(39.949069,34.415969,-0.035)); #506682=CARTESIAN_POINT('',(39.949069,34.415969,-0.035)); #506683=CARTESIAN_POINT('',(39.949069,34.415969,-0.035)); #506684=CARTESIAN_POINT('',(39.949069,34.415969,0.)); #506685=CARTESIAN_POINT('',(39.949069,34.415969,0.)); #506686=CARTESIAN_POINT('',(39.949069,34.415969,-0.035)); #506687=CARTESIAN_POINT('Origin',(39.982284,34.344744,-0.035)); #506688=CARTESIAN_POINT('',(39.982284,34.344744,-0.035)); #506689=CARTESIAN_POINT('',(39.982284,34.344744,-0.035)); #506690=CARTESIAN_POINT('',(39.982284,34.344744,0.)); #506691=CARTESIAN_POINT('',(39.982284,34.344744,0.)); #506692=CARTESIAN_POINT('',(39.982284,34.344744,-0.035)); #506693=CARTESIAN_POINT('Origin',(40.009163,34.270894,-0.035)); #506694=CARTESIAN_POINT('',(40.009163,34.270894,-0.035)); #506695=CARTESIAN_POINT('',(40.009163,34.270894,-0.035)); #506696=CARTESIAN_POINT('',(40.009163,34.270894,0.)); #506697=CARTESIAN_POINT('',(40.009163,34.270894,0.)); #506698=CARTESIAN_POINT('',(40.009163,34.270894,-0.035)); #506699=CARTESIAN_POINT('Origin',(40.029503,34.194981,-0.035)); #506700=CARTESIAN_POINT('',(40.029503,34.194981,-0.035)); #506701=CARTESIAN_POINT('',(40.029503,34.194981,-0.035)); #506702=CARTESIAN_POINT('',(40.029503,34.194981,0.)); #506703=CARTESIAN_POINT('',(40.029503,34.194981,0.)); #506704=CARTESIAN_POINT('',(40.029503,34.194981,-0.035)); #506705=CARTESIAN_POINT('Origin',(40.04315,34.117584,-0.035)); #506706=CARTESIAN_POINT('',(40.04315,34.117584,-0.035)); #506707=CARTESIAN_POINT('',(40.04315,34.117584,-0.035)); #506708=CARTESIAN_POINT('',(40.04315,34.117584,0.)); #506709=CARTESIAN_POINT('',(40.04315,34.117584,0.)); #506710=CARTESIAN_POINT('',(40.04315,34.117584,-0.035)); #506711=CARTESIAN_POINT('Origin',(40.05,34.039294,-0.035)); #506712=CARTESIAN_POINT('',(40.05,34.039294,-0.035)); #506713=CARTESIAN_POINT('',(40.05,34.039294,-0.035)); #506714=CARTESIAN_POINT('',(40.05,34.039294,0.)); #506715=CARTESIAN_POINT('',(40.05,34.039294,0.)); #506716=CARTESIAN_POINT('',(40.05,34.039294,-0.035)); #506717=CARTESIAN_POINT('Origin',(40.05,33.960706,-0.035)); #506718=CARTESIAN_POINT('',(40.05,33.960706,-0.035)); #506719=CARTESIAN_POINT('',(40.05,33.960706,-0.035)); #506720=CARTESIAN_POINT('',(40.05,33.960706,0.)); #506721=CARTESIAN_POINT('',(40.05,33.960706,0.)); #506722=CARTESIAN_POINT('',(40.05,33.960706,-0.035)); #506723=CARTESIAN_POINT('Origin',(40.04315,33.882416,-0.035)); #506724=CARTESIAN_POINT('',(40.04315,33.882416,-0.035)); #506725=CARTESIAN_POINT('',(40.04315,33.882416,-0.035)); #506726=CARTESIAN_POINT('',(40.04315,33.882416,0.)); #506727=CARTESIAN_POINT('',(40.04315,33.882416,0.)); #506728=CARTESIAN_POINT('',(40.04315,33.882416,-0.035)); #506729=CARTESIAN_POINT('Origin',(40.029503,33.805019,-0.035)); #506730=CARTESIAN_POINT('',(40.029503,33.805019,-0.035)); #506731=CARTESIAN_POINT('',(40.029503,33.805019,-0.035)); #506732=CARTESIAN_POINT('',(40.029503,33.805019,0.)); #506733=CARTESIAN_POINT('',(40.029503,33.805019,0.)); #506734=CARTESIAN_POINT('',(40.029503,33.805019,-0.035)); #506735=CARTESIAN_POINT('Origin',(40.009163,33.729106,-0.035)); #506736=CARTESIAN_POINT('',(40.009163,33.729106,-0.035)); #506737=CARTESIAN_POINT('',(40.009163,33.729106,-0.035)); #506738=CARTESIAN_POINT('',(40.009163,33.729106,0.)); #506739=CARTESIAN_POINT('',(40.009163,33.729106,0.)); #506740=CARTESIAN_POINT('',(40.009163,33.729106,-0.035)); #506741=CARTESIAN_POINT('Origin',(39.982284,33.655256,-0.035)); #506742=CARTESIAN_POINT('',(39.982284,33.655256,-0.035)); #506743=CARTESIAN_POINT('',(39.982284,33.655256,-0.035)); #506744=CARTESIAN_POINT('',(39.982284,33.655256,0.)); #506745=CARTESIAN_POINT('',(39.982284,33.655256,0.)); #506746=CARTESIAN_POINT('',(39.982284,33.655256,-0.035)); #506747=CARTESIAN_POINT('Origin',(39.949069,33.584031,-0.035)); #506748=CARTESIAN_POINT('',(39.949069,33.584031,-0.035)); #506749=CARTESIAN_POINT('',(39.949069,33.584031,-0.035)); #506750=CARTESIAN_POINT('',(39.949069,33.584031,0.)); #506751=CARTESIAN_POINT('',(39.949069,33.584031,0.)); #506752=CARTESIAN_POINT('',(39.949069,33.584031,-0.035)); #506753=CARTESIAN_POINT('Origin',(39.909775,33.515969,-0.035)); #506754=CARTESIAN_POINT('',(39.909775,33.515969,-0.035)); #506755=CARTESIAN_POINT('',(39.909775,33.515969,-0.035)); #506756=CARTESIAN_POINT('',(39.909775,33.515969,0.)); #506757=CARTESIAN_POINT('',(39.909775,33.515969,0.)); #506758=CARTESIAN_POINT('',(39.909775,33.515969,-0.035)); #506759=CARTESIAN_POINT('Origin',(39.864697,33.451594,-0.035)); #506760=CARTESIAN_POINT('',(39.864697,33.451594,-0.035)); #506761=CARTESIAN_POINT('',(39.864697,33.451594,-0.035)); #506762=CARTESIAN_POINT('',(39.864697,33.451594,0.)); #506763=CARTESIAN_POINT('',(39.864697,33.451594,0.)); #506764=CARTESIAN_POINT('',(39.864697,33.451594,-0.035)); #506765=CARTESIAN_POINT('Origin',(39.814181,33.391391,-0.035)); #506766=CARTESIAN_POINT('',(39.814181,33.391391,-0.035)); #506767=CARTESIAN_POINT('',(39.814181,33.391391,-0.035)); #506768=CARTESIAN_POINT('',(39.814181,33.391391,0.)); #506769=CARTESIAN_POINT('',(39.814181,33.391391,0.)); #506770=CARTESIAN_POINT('',(39.814181,33.391391,-0.035)); #506771=CARTESIAN_POINT('Origin',(39.758609,33.335819,-0.035)); #506772=CARTESIAN_POINT('',(39.758609,33.335819,-0.035)); #506773=CARTESIAN_POINT('',(39.758609,33.335819,-0.035)); #506774=CARTESIAN_POINT('',(39.758609,33.335819,0.)); #506775=CARTESIAN_POINT('',(39.758609,33.335819,0.)); #506776=CARTESIAN_POINT('',(39.758609,33.335819,-0.035)); #506777=CARTESIAN_POINT('Origin',(39.698406,33.285303,-0.035)); #506778=CARTESIAN_POINT('',(39.698406,33.285303,-0.035)); #506779=CARTESIAN_POINT('',(39.698406,33.285303,-0.035)); #506780=CARTESIAN_POINT('',(39.698406,33.285303,0.)); #506781=CARTESIAN_POINT('',(39.698406,33.285303,0.)); #506782=CARTESIAN_POINT('',(39.698406,33.285303,-0.035)); #506783=CARTESIAN_POINT('Origin',(39.634031,33.240225,-0.035)); #506784=CARTESIAN_POINT('',(39.634031,33.240225,-0.035)); #506785=CARTESIAN_POINT('',(39.634031,33.240225,-0.035)); #506786=CARTESIAN_POINT('',(39.634031,33.240225,0.)); #506787=CARTESIAN_POINT('',(39.634031,33.240225,0.)); #506788=CARTESIAN_POINT('',(39.634031,33.240225,-0.035)); #506789=CARTESIAN_POINT('Origin',(39.565969,33.200931,-0.035)); #506790=CARTESIAN_POINT('',(39.565969,33.200931,-0.035)); #506791=CARTESIAN_POINT('',(39.565969,33.200931,-0.035)); #506792=CARTESIAN_POINT('',(39.565969,33.200931,0.)); #506793=CARTESIAN_POINT('',(39.565969,33.200931,0.)); #506794=CARTESIAN_POINT('',(39.565969,33.200931,-0.035)); #506795=CARTESIAN_POINT('Origin',(39.494744,33.167716,-0.035)); #506796=CARTESIAN_POINT('',(39.494744,33.167716,-0.035)); #506797=CARTESIAN_POINT('',(39.494744,33.167716,-0.035)); #506798=CARTESIAN_POINT('',(39.494744,33.167716,0.)); #506799=CARTESIAN_POINT('',(39.494744,33.167716,0.)); #506800=CARTESIAN_POINT('',(39.494744,33.167716,-0.035)); #506801=CARTESIAN_POINT('Origin',(39.420894,33.140838,-0.035)); #506802=CARTESIAN_POINT('',(39.420894,33.140838,-0.035)); #506803=CARTESIAN_POINT('',(39.420894,33.140838,-0.035)); #506804=CARTESIAN_POINT('',(39.420894,33.140838,0.)); #506805=CARTESIAN_POINT('',(39.420894,33.140838,0.)); #506806=CARTESIAN_POINT('',(39.420894,33.140838,-0.035)); #506807=CARTESIAN_POINT('Origin',(39.344981,33.120497,-0.035)); #506808=CARTESIAN_POINT('',(39.344981,33.120497,-0.035)); #506809=CARTESIAN_POINT('',(39.344981,33.120497,-0.035)); #506810=CARTESIAN_POINT('',(39.344981,33.120497,0.)); #506811=CARTESIAN_POINT('',(39.344981,33.120497,0.)); #506812=CARTESIAN_POINT('',(39.344981,33.120497,-0.035)); #506813=CARTESIAN_POINT('Origin',(39.267584,33.10685,-0.035)); #506814=CARTESIAN_POINT('',(39.267584,33.10685,-0.035)); #506815=CARTESIAN_POINT('',(39.267584,33.10685,0.)); #506816=CARTESIAN_POINT('Origin',(38.8500000489324,34.0000000416541,0.)); #506817=CARTESIAN_POINT('Origin',(38.8500000489324,34.0000000416541,-0.035)); #506818=CARTESIAN_POINT('Origin',(12.25,34.,-200.)); #506819=CARTESIAN_POINT('',(11.785,34.,-0.0349999999999895)); #506820=CARTESIAN_POINT('Origin',(12.25,34.,-0.0349999999999895)); #506821=CARTESIAN_POINT('',(11.785,34.,0.)); #506822=CARTESIAN_POINT('',(11.785,34.,-200.)); #506823=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #506824=CARTESIAN_POINT('Origin',(12.286844,33.25,-0.035)); #506825=CARTESIAN_POINT('',(12.286844,33.25,-0.035)); #506826=CARTESIAN_POINT('',(12.360181,33.257222,-0.035)); #506827=CARTESIAN_POINT('',(12.286844,33.25,-0.035)); #506828=CARTESIAN_POINT('',(12.360181,33.257222,0.)); #506829=CARTESIAN_POINT('',(12.360181,33.257222,-0.035)); #506830=CARTESIAN_POINT('',(12.286844,33.25,0.)); #506831=CARTESIAN_POINT('',(12.286844,33.25,0.)); #506832=CARTESIAN_POINT('',(12.286844,33.25,-0.035)); #506833=CARTESIAN_POINT('Origin',(12.2500001874156,34.0000005308286,-0.035)); #506834=CARTESIAN_POINT('',(12.139819,34.742778,-0.035)); #506835=CARTESIAN_POINT('Origin',(12.2500001874156,34.0000005308286,-0.035)); #506836=CARTESIAN_POINT('',(12.139819,34.742778,0.)); #506837=CARTESIAN_POINT('Origin',(12.2500001874156,34.0000005308286,0.)); #506838=CARTESIAN_POINT('',(12.139819,34.742778,-0.035)); #506839=CARTESIAN_POINT('Origin',(12.25,34.,-0.035)); #506840=CARTESIAN_POINT('Origin',(12.25,34.,-0.035)); #506841=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #506842=CARTESIAN_POINT('Origin',(12.2483877860344,33.9986960162912,0.)); #506843=CARTESIAN_POINT('Origin',(12.2483877860344,33.9986960162912,-0.035)); #506844=CARTESIAN_POINT('Origin',(24.25,34.,-200.)); #506845=CARTESIAN_POINT('',(23.735,34.,-0.0349999999999895)); #506846=CARTESIAN_POINT('Origin',(24.25,34.,-0.0349999999999895)); #506847=CARTESIAN_POINT('',(23.735,34.,0.)); #506848=CARTESIAN_POINT('',(23.735,34.,-200.)); #506849=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #506850=CARTESIAN_POINT('Origin',(24.290528,33.175,-0.035)); #506851=CARTESIAN_POINT('',(24.290528,33.175,-0.035)); #506852=CARTESIAN_POINT('',(24.3712,33.182944,-0.035)); #506853=CARTESIAN_POINT('',(24.290528,33.175,-0.035)); #506854=CARTESIAN_POINT('',(24.3712,33.182944,0.)); #506855=CARTESIAN_POINT('',(24.3712,33.182944,-0.035)); #506856=CARTESIAN_POINT('',(24.290528,33.175,0.)); #506857=CARTESIAN_POINT('',(24.290528,33.175,0.)); #506858=CARTESIAN_POINT('',(24.290528,33.175,-0.035)); #506859=CARTESIAN_POINT('Origin',(24.24999984599,34.0000007242556,-0.035)); #506860=CARTESIAN_POINT('',(24.1288,34.817056,-0.035)); #506861=CARTESIAN_POINT('Origin',(24.24999984599,34.0000007242556,-0.035)); #506862=CARTESIAN_POINT('',(24.1288,34.817056,0.)); #506863=CARTESIAN_POINT('Origin',(24.24999984599,34.0000007242556,0.)); #506864=CARTESIAN_POINT('',(24.1288,34.817056,-0.035)); #506865=CARTESIAN_POINT('Origin',(24.25,34.,-0.035)); #506866=CARTESIAN_POINT('Origin',(24.25,34.,-0.035)); #506867=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #506868=CARTESIAN_POINT('Origin',(24.24822648407,33.9985656780324,0.)); #506869=CARTESIAN_POINT('Origin',(24.24822648407,33.9985656780324,-0.035)); #506870=CARTESIAN_POINT('Origin',(38.635,6.27999997467882,-200.)); #506871=CARTESIAN_POINT('',(38.635,7.07999999155961,0.)); #506872=CARTESIAN_POINT('',(38.635,6.27999997467882,0.)); #506873=CARTESIAN_POINT('',(38.635,6.47999999235904,0.)); #506874=CARTESIAN_POINT('',(38.635,7.07999999155961,-0.0349999999999895)); #506875=CARTESIAN_POINT('',(38.635,7.07999999155961,-200.)); #506876=CARTESIAN_POINT('',(38.635,6.27999997467882,-0.0349999999999895)); #506877=CARTESIAN_POINT('',(38.635,6.47999999235904,-0.0350000000000072)); #506878=CARTESIAN_POINT('',(38.635,6.27999997467882,-200.)); #506879=CARTESIAN_POINT('Origin',(38.32,6.28,-200.)); #506880=CARTESIAN_POINT('',(38.005,6.28000000844039,0.)); #506881=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #506882=CARTESIAN_POINT('',(38.005,6.28000000844039,-0.0349999999999895)); #506883=CARTESIAN_POINT('Origin',(38.32,6.28,-0.0349999999999895)); #506884=CARTESIAN_POINT('',(38.005,6.28000000844039,-200.)); #506885=CARTESIAN_POINT('Origin',(38.005,7.08000002532118,-200.)); #506886=CARTESIAN_POINT('',(38.005,7.08000002532118,0.)); #506887=CARTESIAN_POINT('',(38.005,6.88000001768022,0.)); #506888=CARTESIAN_POINT('',(38.005,7.08000002532118,-0.0349999999999895)); #506889=CARTESIAN_POINT('',(38.005,6.88000001768022,-0.0350000000000072)); #506890=CARTESIAN_POINT('',(38.005,7.08000002532118,-200.)); #506891=CARTESIAN_POINT('Origin',(38.32,7.08,-200.)); #506892=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #506893=CARTESIAN_POINT('Origin',(38.32,7.08,-0.0349999999999895)); #506894=CARTESIAN_POINT('Origin',(38.350887,5.73,-0.035)); #506895=CARTESIAN_POINT('',(38.350887,5.73,-0.035)); #506896=CARTESIAN_POINT('',(38.412275,5.7369156,-0.035)); #506897=CARTESIAN_POINT('',(38.350887,5.73,-0.035)); #506898=CARTESIAN_POINT('',(38.412275,5.7369156,0.)); #506899=CARTESIAN_POINT('',(38.412275,5.7369156,-0.035)); #506900=CARTESIAN_POINT('',(38.350887,5.73,0.)); #506901=CARTESIAN_POINT('',(38.350887,5.73,0.)); #506902=CARTESIAN_POINT('',(38.350887,5.73,-0.035)); #506903=CARTESIAN_POINT('Origin',(38.289113,5.73,-0.035)); #506904=CARTESIAN_POINT('',(38.289113,5.73,-0.035)); #506905=CARTESIAN_POINT('',(38.289113,5.73,-0.035)); #506906=CARTESIAN_POINT('',(38.289113,5.73,0.)); #506907=CARTESIAN_POINT('',(38.289113,5.73,0.)); #506908=CARTESIAN_POINT('',(38.289113,5.73,-0.035)); #506909=CARTESIAN_POINT('Origin',(38.227725,5.7369156,-0.035)); #506910=CARTESIAN_POINT('',(38.227725,5.7369156,-0.035)); #506911=CARTESIAN_POINT('',(38.227725,5.7369156,-0.035)); #506912=CARTESIAN_POINT('',(38.227725,5.7369156,0.)); #506913=CARTESIAN_POINT('',(38.227725,5.7369156,0.)); #506914=CARTESIAN_POINT('',(38.227725,5.7369156,-0.035)); #506915=CARTESIAN_POINT('Origin',(38.1675,5.7506625,-0.035)); #506916=CARTESIAN_POINT('',(38.1675,5.7506625,-0.035)); #506917=CARTESIAN_POINT('',(38.1675,5.7506625,-0.035)); #506918=CARTESIAN_POINT('',(38.1675,5.7506625,0.)); #506919=CARTESIAN_POINT('',(38.1675,5.7506625,0.)); #506920=CARTESIAN_POINT('',(38.1675,5.7506625,-0.035)); #506921=CARTESIAN_POINT('Origin',(38.109194,5.7710656,-0.035)); #506922=CARTESIAN_POINT('',(38.109194,5.7710656,-0.035)); #506923=CARTESIAN_POINT('',(38.109194,5.7710656,-0.035)); #506924=CARTESIAN_POINT('',(38.109194,5.7710656,0.)); #506925=CARTESIAN_POINT('',(38.109194,5.7710656,0.)); #506926=CARTESIAN_POINT('',(38.109194,5.7710656,-0.035)); #506927=CARTESIAN_POINT('Origin',(38.053534,5.7978688,-0.035)); #506928=CARTESIAN_POINT('',(38.053534,5.7978688,-0.035)); #506929=CARTESIAN_POINT('',(38.053534,5.7978688,-0.035)); #506930=CARTESIAN_POINT('',(38.053534,5.7978688,0.)); #506931=CARTESIAN_POINT('',(38.053534,5.7978688,0.)); #506932=CARTESIAN_POINT('',(38.053534,5.7978688,-0.035)); #506933=CARTESIAN_POINT('Origin',(38.001228,5.8307344,-0.035)); #506934=CARTESIAN_POINT('',(38.001228,5.8307344,-0.035)); #506935=CARTESIAN_POINT('',(38.001228,5.8307344,-0.035)); #506936=CARTESIAN_POINT('',(38.001228,5.8307344,0.)); #506937=CARTESIAN_POINT('',(38.001228,5.8307344,0.)); #506938=CARTESIAN_POINT('',(38.001228,5.8307344,-0.035)); #506939=CARTESIAN_POINT('Origin',(37.952931,5.86925,-0.035)); #506940=CARTESIAN_POINT('',(37.952931,5.86925,-0.035)); #506941=CARTESIAN_POINT('',(37.952931,5.86925,-0.035)); #506942=CARTESIAN_POINT('',(37.952931,5.86925,0.)); #506943=CARTESIAN_POINT('',(37.952931,5.86925,0.)); #506944=CARTESIAN_POINT('',(37.952931,5.86925,-0.035)); #506945=CARTESIAN_POINT('Origin',(37.90925,5.9129313,-0.035)); #506946=CARTESIAN_POINT('',(37.90925,5.9129313,-0.035)); #506947=CARTESIAN_POINT('',(37.90925,5.9129313,-0.035)); #506948=CARTESIAN_POINT('',(37.90925,5.9129313,0.)); #506949=CARTESIAN_POINT('',(37.90925,5.9129313,0.)); #506950=CARTESIAN_POINT('',(37.90925,5.9129313,-0.035)); #506951=CARTESIAN_POINT('Origin',(37.870734,5.9612281,-0.035)); #506952=CARTESIAN_POINT('',(37.870734,5.9612281,-0.035)); #506953=CARTESIAN_POINT('',(37.870734,5.9612281,-0.035)); #506954=CARTESIAN_POINT('',(37.870734,5.9612281,0.)); #506955=CARTESIAN_POINT('',(37.870734,5.9612281,0.)); #506956=CARTESIAN_POINT('',(37.870734,5.9612281,-0.035)); #506957=CARTESIAN_POINT('Origin',(37.837869,6.0135344,-0.035)); #506958=CARTESIAN_POINT('',(37.837869,6.0135344,-0.035)); #506959=CARTESIAN_POINT('',(37.837869,6.0135344,-0.035)); #506960=CARTESIAN_POINT('',(37.837869,6.0135344,0.)); #506961=CARTESIAN_POINT('',(37.837869,6.0135344,0.)); #506962=CARTESIAN_POINT('',(37.837869,6.0135344,-0.035)); #506963=CARTESIAN_POINT('Origin',(37.811066,6.0691938,-0.035)); #506964=CARTESIAN_POINT('',(37.811066,6.0691938,-0.035)); #506965=CARTESIAN_POINT('',(37.811066,6.0691938,-0.035)); #506966=CARTESIAN_POINT('',(37.811066,6.0691938,0.)); #506967=CARTESIAN_POINT('',(37.811066,6.0691938,0.)); #506968=CARTESIAN_POINT('',(37.811066,6.0691938,-0.035)); #506969=CARTESIAN_POINT('Origin',(37.790663,6.1275,-0.035)); #506970=CARTESIAN_POINT('',(37.790663,6.1275,-0.035)); #506971=CARTESIAN_POINT('',(37.790663,6.1275,-0.035)); #506972=CARTESIAN_POINT('',(37.790663,6.1275,0.)); #506973=CARTESIAN_POINT('',(37.790663,6.1275,0.)); #506974=CARTESIAN_POINT('',(37.790663,6.1275,-0.035)); #506975=CARTESIAN_POINT('Origin',(37.776916,6.187725,-0.035)); #506976=CARTESIAN_POINT('',(37.776916,6.187725,-0.035)); #506977=CARTESIAN_POINT('',(37.776916,6.187725,-0.035)); #506978=CARTESIAN_POINT('',(37.776916,6.187725,0.)); #506979=CARTESIAN_POINT('',(37.776916,6.187725,0.)); #506980=CARTESIAN_POINT('',(37.776916,6.187725,-0.035)); #506981=CARTESIAN_POINT('Origin',(37.77,6.2491125,-0.035)); #506982=CARTESIAN_POINT('',(37.77,6.2491125,-0.035)); #506983=CARTESIAN_POINT('',(37.77,6.2491125,-0.035)); #506984=CARTESIAN_POINT('',(37.77,6.2491125,0.)); #506985=CARTESIAN_POINT('',(37.77,6.2491125,0.)); #506986=CARTESIAN_POINT('',(37.77,6.2491125,-0.035)); #506987=CARTESIAN_POINT('Origin',(37.77,7.1108875,-0.035)); #506988=CARTESIAN_POINT('',(37.77,7.1108875,-0.035)); #506989=CARTESIAN_POINT('',(37.77,7.1108875,-0.035)); #506990=CARTESIAN_POINT('',(37.77,7.1108875,0.)); #506991=CARTESIAN_POINT('',(37.77,7.1108875,0.)); #506992=CARTESIAN_POINT('',(37.77,7.1108875,-0.035)); #506993=CARTESIAN_POINT('Origin',(37.776916,7.172275,-0.035)); #506994=CARTESIAN_POINT('',(37.776916,7.172275,-0.035)); #506995=CARTESIAN_POINT('',(37.776916,7.172275,-0.035)); #506996=CARTESIAN_POINT('',(37.776916,7.172275,0.)); #506997=CARTESIAN_POINT('',(37.776916,7.172275,0.)); #506998=CARTESIAN_POINT('',(37.776916,7.172275,-0.035)); #506999=CARTESIAN_POINT('Origin',(37.790663,7.2325,-0.035)); #507000=CARTESIAN_POINT('',(37.790663,7.2325,-0.035)); #507001=CARTESIAN_POINT('',(37.790663,7.2325,-0.035)); #507002=CARTESIAN_POINT('',(37.790663,7.2325,0.)); #507003=CARTESIAN_POINT('',(37.790663,7.2325,0.)); #507004=CARTESIAN_POINT('',(37.790663,7.2325,-0.035)); #507005=CARTESIAN_POINT('Origin',(37.811066,7.2908063,-0.035)); #507006=CARTESIAN_POINT('',(37.811066,7.2908063,-0.035)); #507007=CARTESIAN_POINT('',(37.811066,7.2908063,-0.035)); #507008=CARTESIAN_POINT('',(37.811066,7.2908063,0.)); #507009=CARTESIAN_POINT('',(37.811066,7.2908063,0.)); #507010=CARTESIAN_POINT('',(37.811066,7.2908063,-0.035)); #507011=CARTESIAN_POINT('Origin',(37.837869,7.3464656,-0.035)); #507012=CARTESIAN_POINT('',(37.837869,7.3464656,-0.035)); #507013=CARTESIAN_POINT('',(37.837869,7.3464656,-0.035)); #507014=CARTESIAN_POINT('',(37.837869,7.3464656,0.)); #507015=CARTESIAN_POINT('',(37.837869,7.3464656,0.)); #507016=CARTESIAN_POINT('',(37.837869,7.3464656,-0.035)); #507017=CARTESIAN_POINT('Origin',(37.870734,7.3987719,-0.035)); #507018=CARTESIAN_POINT('',(37.870734,7.3987719,-0.035)); #507019=CARTESIAN_POINT('',(37.870734,7.3987719,-0.035)); #507020=CARTESIAN_POINT('',(37.870734,7.3987719,0.)); #507021=CARTESIAN_POINT('',(37.870734,7.3987719,0.)); #507022=CARTESIAN_POINT('',(37.870734,7.3987719,-0.035)); #507023=CARTESIAN_POINT('Origin',(37.90925,7.4470688,-0.035)); #507024=CARTESIAN_POINT('',(37.90925,7.4470688,-0.035)); #507025=CARTESIAN_POINT('',(37.90925,7.4470688,-0.035)); #507026=CARTESIAN_POINT('',(37.90925,7.4470688,0.)); #507027=CARTESIAN_POINT('',(37.90925,7.4470688,0.)); #507028=CARTESIAN_POINT('',(37.90925,7.4470688,-0.035)); #507029=CARTESIAN_POINT('Origin',(37.952931,7.49075,-0.035)); #507030=CARTESIAN_POINT('',(37.952931,7.49075,-0.035)); #507031=CARTESIAN_POINT('',(37.952931,7.49075,-0.035)); #507032=CARTESIAN_POINT('',(37.952931,7.49075,0.)); #507033=CARTESIAN_POINT('',(37.952931,7.49075,0.)); #507034=CARTESIAN_POINT('',(37.952931,7.49075,-0.035)); #507035=CARTESIAN_POINT('Origin',(38.001228,7.5292656,-0.035)); #507036=CARTESIAN_POINT('',(38.001228,7.5292656,-0.035)); #507037=CARTESIAN_POINT('',(38.001228,7.5292656,-0.035)); #507038=CARTESIAN_POINT('',(38.001228,7.5292656,0.)); #507039=CARTESIAN_POINT('',(38.001228,7.5292656,0.)); #507040=CARTESIAN_POINT('',(38.001228,7.5292656,-0.035)); #507041=CARTESIAN_POINT('Origin',(38.053534,7.5621313,-0.035)); #507042=CARTESIAN_POINT('',(38.053534,7.5621313,-0.035)); #507043=CARTESIAN_POINT('',(38.053534,7.5621313,-0.035)); #507044=CARTESIAN_POINT('',(38.053534,7.5621313,0.)); #507045=CARTESIAN_POINT('',(38.053534,7.5621313,0.)); #507046=CARTESIAN_POINT('',(38.053534,7.5621313,-0.035)); #507047=CARTESIAN_POINT('Origin',(38.109194,7.5889344,-0.035)); #507048=CARTESIAN_POINT('',(38.109194,7.5889344,-0.035)); #507049=CARTESIAN_POINT('',(38.109194,7.5889344,-0.035)); #507050=CARTESIAN_POINT('',(38.109194,7.5889344,0.)); #507051=CARTESIAN_POINT('',(38.109194,7.5889344,0.)); #507052=CARTESIAN_POINT('',(38.109194,7.5889344,-0.035)); #507053=CARTESIAN_POINT('Origin',(38.1675,7.6093375,-0.035)); #507054=CARTESIAN_POINT('',(38.1675,7.6093375,-0.035)); #507055=CARTESIAN_POINT('',(38.1675,7.6093375,-0.035)); #507056=CARTESIAN_POINT('',(38.1675,7.6093375,0.)); #507057=CARTESIAN_POINT('',(38.1675,7.6093375,0.)); #507058=CARTESIAN_POINT('',(38.1675,7.6093375,-0.035)); #507059=CARTESIAN_POINT('Origin',(38.227725,7.6230844,-0.035)); #507060=CARTESIAN_POINT('',(38.227725,7.6230844,-0.035)); #507061=CARTESIAN_POINT('',(38.227725,7.6230844,-0.035)); #507062=CARTESIAN_POINT('',(38.227725,7.6230844,0.)); #507063=CARTESIAN_POINT('',(38.227725,7.6230844,0.)); #507064=CARTESIAN_POINT('',(38.227725,7.6230844,-0.035)); #507065=CARTESIAN_POINT('Origin',(38.289113,7.63,-0.035)); #507066=CARTESIAN_POINT('',(38.289113,7.63,-0.035)); #507067=CARTESIAN_POINT('',(38.289113,7.63,-0.035)); #507068=CARTESIAN_POINT('',(38.289113,7.63,0.)); #507069=CARTESIAN_POINT('',(38.289113,7.63,0.)); #507070=CARTESIAN_POINT('',(38.289113,7.63,-0.035)); #507071=CARTESIAN_POINT('Origin',(38.350887,7.63,-0.035)); #507072=CARTESIAN_POINT('',(38.350887,7.63,-0.035)); #507073=CARTESIAN_POINT('',(38.350887,7.63,-0.035)); #507074=CARTESIAN_POINT('',(38.350887,7.63,0.)); #507075=CARTESIAN_POINT('',(38.350887,7.63,0.)); #507076=CARTESIAN_POINT('',(38.350887,7.63,-0.035)); #507077=CARTESIAN_POINT('Origin',(38.412275,7.6230844,-0.035)); #507078=CARTESIAN_POINT('',(38.412275,7.6230844,-0.035)); #507079=CARTESIAN_POINT('',(38.412275,7.6230844,-0.035)); #507080=CARTESIAN_POINT('',(38.412275,7.6230844,0.)); #507081=CARTESIAN_POINT('',(38.412275,7.6230844,0.)); #507082=CARTESIAN_POINT('',(38.412275,7.6230844,-0.035)); #507083=CARTESIAN_POINT('Origin',(38.4725,7.6093375,-0.035)); #507084=CARTESIAN_POINT('',(38.4725,7.6093375,-0.035)); #507085=CARTESIAN_POINT('',(38.4725,7.6093375,-0.035)); #507086=CARTESIAN_POINT('',(38.4725,7.6093375,0.)); #507087=CARTESIAN_POINT('',(38.4725,7.6093375,0.)); #507088=CARTESIAN_POINT('',(38.4725,7.6093375,-0.035)); #507089=CARTESIAN_POINT('Origin',(38.530806,7.5889344,-0.035)); #507090=CARTESIAN_POINT('',(38.530806,7.5889344,-0.035)); #507091=CARTESIAN_POINT('',(38.530806,7.5889344,-0.035)); #507092=CARTESIAN_POINT('',(38.530806,7.5889344,0.)); #507093=CARTESIAN_POINT('',(38.530806,7.5889344,0.)); #507094=CARTESIAN_POINT('',(38.530806,7.5889344,-0.035)); #507095=CARTESIAN_POINT('Origin',(38.586466,7.5621313,-0.035)); #507096=CARTESIAN_POINT('',(38.586466,7.5621313,-0.035)); #507097=CARTESIAN_POINT('',(38.586466,7.5621313,-0.035)); #507098=CARTESIAN_POINT('',(38.586466,7.5621313,0.)); #507099=CARTESIAN_POINT('',(38.586466,7.5621313,0.)); #507100=CARTESIAN_POINT('',(38.586466,7.5621313,-0.035)); #507101=CARTESIAN_POINT('Origin',(38.638772,7.5292656,-0.035)); #507102=CARTESIAN_POINT('',(38.638772,7.5292656,-0.035)); #507103=CARTESIAN_POINT('',(38.638772,7.5292656,-0.035)); #507104=CARTESIAN_POINT('',(38.638772,7.5292656,0.)); #507105=CARTESIAN_POINT('',(38.638772,7.5292656,0.)); #507106=CARTESIAN_POINT('',(38.638772,7.5292656,-0.035)); #507107=CARTESIAN_POINT('Origin',(38.687069,7.49075,-0.035)); #507108=CARTESIAN_POINT('',(38.687069,7.49075,-0.035)); #507109=CARTESIAN_POINT('',(38.687069,7.49075,-0.035)); #507110=CARTESIAN_POINT('',(38.687069,7.49075,0.)); #507111=CARTESIAN_POINT('',(38.687069,7.49075,0.)); #507112=CARTESIAN_POINT('',(38.687069,7.49075,-0.035)); #507113=CARTESIAN_POINT('Origin',(38.73075,7.4470688,-0.035)); #507114=CARTESIAN_POINT('',(38.73075,7.4470688,-0.035)); #507115=CARTESIAN_POINT('',(38.73075,7.4470688,-0.035)); #507116=CARTESIAN_POINT('',(38.73075,7.4470688,0.)); #507117=CARTESIAN_POINT('',(38.73075,7.4470688,0.)); #507118=CARTESIAN_POINT('',(38.73075,7.4470688,-0.035)); #507119=CARTESIAN_POINT('Origin',(38.769266,7.3987719,-0.035)); #507120=CARTESIAN_POINT('',(38.769266,7.3987719,-0.035)); #507121=CARTESIAN_POINT('',(38.769266,7.3987719,-0.035)); #507122=CARTESIAN_POINT('',(38.769266,7.3987719,0.)); #507123=CARTESIAN_POINT('',(38.769266,7.3987719,0.)); #507124=CARTESIAN_POINT('',(38.769266,7.3987719,-0.035)); #507125=CARTESIAN_POINT('Origin',(38.802131,7.3464656,-0.035)); #507126=CARTESIAN_POINT('',(38.802131,7.3464656,-0.035)); #507127=CARTESIAN_POINT('',(38.802131,7.3464656,-0.035)); #507128=CARTESIAN_POINT('',(38.802131,7.3464656,0.)); #507129=CARTESIAN_POINT('',(38.802131,7.3464656,0.)); #507130=CARTESIAN_POINT('',(38.802131,7.3464656,-0.035)); #507131=CARTESIAN_POINT('Origin',(38.828934,7.2908063,-0.035)); #507132=CARTESIAN_POINT('',(38.828934,7.2908063,-0.035)); #507133=CARTESIAN_POINT('',(38.828934,7.2908063,-0.035)); #507134=CARTESIAN_POINT('',(38.828934,7.2908063,0.)); #507135=CARTESIAN_POINT('',(38.828934,7.2908063,0.)); #507136=CARTESIAN_POINT('',(38.828934,7.2908063,-0.035)); #507137=CARTESIAN_POINT('Origin',(38.849338,7.2325,-0.035)); #507138=CARTESIAN_POINT('',(38.849338,7.2325,-0.035)); #507139=CARTESIAN_POINT('',(38.849338,7.2325,-0.035)); #507140=CARTESIAN_POINT('',(38.849338,7.2325,0.)); #507141=CARTESIAN_POINT('',(38.849338,7.2325,0.)); #507142=CARTESIAN_POINT('',(38.849338,7.2325,-0.035)); #507143=CARTESIAN_POINT('Origin',(38.863084,7.172275,-0.035)); #507144=CARTESIAN_POINT('',(38.863084,7.172275,-0.035)); #507145=CARTESIAN_POINT('',(38.863084,7.172275,-0.035)); #507146=CARTESIAN_POINT('',(38.863084,7.172275,0.)); #507147=CARTESIAN_POINT('',(38.863084,7.172275,0.)); #507148=CARTESIAN_POINT('',(38.863084,7.172275,-0.035)); #507149=CARTESIAN_POINT('Origin',(38.87,7.1108875,-0.035)); #507150=CARTESIAN_POINT('',(38.87,7.1108875,-0.035)); #507151=CARTESIAN_POINT('',(38.87,7.1108875,-0.035)); #507152=CARTESIAN_POINT('',(38.87,7.1108875,0.)); #507153=CARTESIAN_POINT('',(38.87,7.1108875,0.)); #507154=CARTESIAN_POINT('',(38.87,7.1108875,-0.035)); #507155=CARTESIAN_POINT('Origin',(38.87,6.2491125,-0.035)); #507156=CARTESIAN_POINT('',(38.87,6.2491125,-0.035)); #507157=CARTESIAN_POINT('',(38.87,6.2491125,-0.035)); #507158=CARTESIAN_POINT('',(38.87,6.2491125,0.)); #507159=CARTESIAN_POINT('',(38.87,6.2491125,0.)); #507160=CARTESIAN_POINT('',(38.87,6.2491125,-0.035)); #507161=CARTESIAN_POINT('Origin',(38.863084,6.187725,-0.035)); #507162=CARTESIAN_POINT('',(38.863084,6.187725,-0.035)); #507163=CARTESIAN_POINT('',(38.863084,6.187725,-0.035)); #507164=CARTESIAN_POINT('',(38.863084,6.187725,0.)); #507165=CARTESIAN_POINT('',(38.863084,6.187725,0.)); #507166=CARTESIAN_POINT('',(38.863084,6.187725,-0.035)); #507167=CARTESIAN_POINT('Origin',(38.849338,6.1275,-0.035)); #507168=CARTESIAN_POINT('',(38.849338,6.1275,-0.035)); #507169=CARTESIAN_POINT('',(38.849338,6.1275,-0.035)); #507170=CARTESIAN_POINT('',(38.849338,6.1275,0.)); #507171=CARTESIAN_POINT('',(38.849338,6.1275,0.)); #507172=CARTESIAN_POINT('',(38.849338,6.1275,-0.035)); #507173=CARTESIAN_POINT('Origin',(38.828934,6.0691938,-0.035)); #507174=CARTESIAN_POINT('',(38.828934,6.0691938,-0.035)); #507175=CARTESIAN_POINT('',(38.828934,6.0691938,-0.035)); #507176=CARTESIAN_POINT('',(38.828934,6.0691938,0.)); #507177=CARTESIAN_POINT('',(38.828934,6.0691938,0.)); #507178=CARTESIAN_POINT('',(38.828934,6.0691938,-0.035)); #507179=CARTESIAN_POINT('Origin',(38.802131,6.0135344,-0.035)); #507180=CARTESIAN_POINT('',(38.802131,6.0135344,-0.035)); #507181=CARTESIAN_POINT('',(38.802131,6.0135344,-0.035)); #507182=CARTESIAN_POINT('',(38.802131,6.0135344,0.)); #507183=CARTESIAN_POINT('',(38.802131,6.0135344,0.)); #507184=CARTESIAN_POINT('',(38.802131,6.0135344,-0.035)); #507185=CARTESIAN_POINT('Origin',(38.769266,5.9612281,-0.035)); #507186=CARTESIAN_POINT('',(38.769266,5.9612281,-0.035)); #507187=CARTESIAN_POINT('',(38.769266,5.9612281,-0.035)); #507188=CARTESIAN_POINT('',(38.769266,5.9612281,0.)); #507189=CARTESIAN_POINT('',(38.769266,5.9612281,0.)); #507190=CARTESIAN_POINT('',(38.769266,5.9612281,-0.035)); #507191=CARTESIAN_POINT('Origin',(38.73075,5.9129313,-0.035)); #507192=CARTESIAN_POINT('',(38.73075,5.9129313,-0.035)); #507193=CARTESIAN_POINT('',(38.73075,5.9129313,-0.035)); #507194=CARTESIAN_POINT('',(38.73075,5.9129313,0.)); #507195=CARTESIAN_POINT('',(38.73075,5.9129313,0.)); #507196=CARTESIAN_POINT('',(38.73075,5.9129313,-0.035)); #507197=CARTESIAN_POINT('Origin',(38.687069,5.86925,-0.035)); #507198=CARTESIAN_POINT('',(38.687069,5.86925,-0.035)); #507199=CARTESIAN_POINT('',(38.687069,5.86925,-0.035)); #507200=CARTESIAN_POINT('',(38.687069,5.86925,0.)); #507201=CARTESIAN_POINT('',(38.687069,5.86925,0.)); #507202=CARTESIAN_POINT('',(38.687069,5.86925,-0.035)); #507203=CARTESIAN_POINT('Origin',(38.638772,5.8307344,-0.035)); #507204=CARTESIAN_POINT('',(38.638772,5.8307344,-0.035)); #507205=CARTESIAN_POINT('',(38.638772,5.8307344,-0.035)); #507206=CARTESIAN_POINT('',(38.638772,5.8307344,0.)); #507207=CARTESIAN_POINT('',(38.638772,5.8307344,0.)); #507208=CARTESIAN_POINT('',(38.638772,5.8307344,-0.035)); #507209=CARTESIAN_POINT('Origin',(38.586466,5.7978688,-0.035)); #507210=CARTESIAN_POINT('',(38.586466,5.7978688,-0.035)); #507211=CARTESIAN_POINT('',(38.586466,5.7978688,-0.035)); #507212=CARTESIAN_POINT('',(38.586466,5.7978688,0.)); #507213=CARTESIAN_POINT('',(38.586466,5.7978688,0.)); #507214=CARTESIAN_POINT('',(38.586466,5.7978688,-0.035)); #507215=CARTESIAN_POINT('Origin',(38.530806,5.7710656,-0.035)); #507216=CARTESIAN_POINT('',(38.530806,5.7710656,-0.035)); #507217=CARTESIAN_POINT('',(38.530806,5.7710656,-0.035)); #507218=CARTESIAN_POINT('',(38.530806,5.7710656,0.)); #507219=CARTESIAN_POINT('',(38.530806,5.7710656,0.)); #507220=CARTESIAN_POINT('',(38.530806,5.7710656,-0.035)); #507221=CARTESIAN_POINT('Origin',(38.4725,5.7506625,-0.035)); #507222=CARTESIAN_POINT('',(38.4725,5.7506625,-0.035)); #507223=CARTESIAN_POINT('',(38.4725,5.7506625,-0.035)); #507224=CARTESIAN_POINT('',(38.4725,5.7506625,0.)); #507225=CARTESIAN_POINT('',(38.4725,5.7506625,0.)); #507226=CARTESIAN_POINT('',(38.4725,5.7506625,-0.035)); #507227=CARTESIAN_POINT('Origin',(38.412275,5.7369156,-0.035)); #507228=CARTESIAN_POINT('',(38.412275,5.7369156,-0.035)); #507229=CARTESIAN_POINT('',(38.412275,5.7369156,0.)); #507230=CARTESIAN_POINT('Origin',(38.3200000450332,6.68000001003927,0.)); #507231=CARTESIAN_POINT('Origin',(38.3200000450332,6.68000001003927,-0.035)); #507232=CARTESIAN_POINT('Origin',(31.5,25.25,-200.)); #507233=CARTESIAN_POINT('',(31.035,25.25,-0.0349999999999895)); #507234=CARTESIAN_POINT('Origin',(31.5,25.25,-0.0349999999999895)); #507235=CARTESIAN_POINT('',(31.035,25.25,0.)); #507236=CARTESIAN_POINT('',(31.035,25.25,-200.)); #507237=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #507238=CARTESIAN_POINT('Origin',(31.536844,24.5,-0.035)); #507239=CARTESIAN_POINT('',(31.536844,24.5,-0.035)); #507240=CARTESIAN_POINT('',(31.610181,24.507222,-0.035)); #507241=CARTESIAN_POINT('',(31.536844,24.5,-0.035)); #507242=CARTESIAN_POINT('',(31.610181,24.507222,0.)); #507243=CARTESIAN_POINT('',(31.610181,24.507222,-0.035)); #507244=CARTESIAN_POINT('',(31.536844,24.5,0.)); #507245=CARTESIAN_POINT('',(31.536844,24.5,0.)); #507246=CARTESIAN_POINT('',(31.536844,24.5,-0.035)); #507247=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,-0.035)); #507248=CARTESIAN_POINT('',(31.389819,25.992778,-0.035)); #507249=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,-0.035)); #507250=CARTESIAN_POINT('',(31.389819,25.992778,0.)); #507251=CARTESIAN_POINT('Origin',(31.5000001874156,25.2500005308286,0.)); #507252=CARTESIAN_POINT('',(31.389819,25.992778,-0.035)); #507253=CARTESIAN_POINT('Origin',(31.5,25.25,-0.035)); #507254=CARTESIAN_POINT('Origin',(31.5,25.25,-0.035)); #507255=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #507256=CARTESIAN_POINT('Origin',(31.4983877860344,25.2486960162912,0.)); #507257=CARTESIAN_POINT('Origin',(31.4983877860344,25.2486960162912,-0.035)); #507258=CARTESIAN_POINT('Origin',(34.,25.25,-200.)); #507259=CARTESIAN_POINT('',(33.535,25.25,-0.0349999999999895)); #507260=CARTESIAN_POINT('Origin',(34.,25.25,-0.0349999999999895)); #507261=CARTESIAN_POINT('',(33.535,25.25,0.)); #507262=CARTESIAN_POINT('',(33.535,25.25,-200.)); #507263=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #507264=CARTESIAN_POINT('Origin',(34.036844,24.5,-0.035)); #507265=CARTESIAN_POINT('',(34.036844,24.5,-0.035)); #507266=CARTESIAN_POINT('',(34.110181,24.507222,-0.035)); #507267=CARTESIAN_POINT('',(34.036844,24.5,-0.035)); #507268=CARTESIAN_POINT('',(34.110181,24.507222,0.)); #507269=CARTESIAN_POINT('',(34.110181,24.507222,-0.035)); #507270=CARTESIAN_POINT('',(34.036844,24.5,0.)); #507271=CARTESIAN_POINT('',(34.036844,24.5,0.)); #507272=CARTESIAN_POINT('',(34.036844,24.5,-0.035)); #507273=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,-0.035)); #507274=CARTESIAN_POINT('',(33.889819,25.992778,-0.035)); #507275=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,-0.035)); #507276=CARTESIAN_POINT('',(33.889819,25.992778,0.)); #507277=CARTESIAN_POINT('Origin',(34.0000001874156,25.2500005308286,0.)); #507278=CARTESIAN_POINT('',(33.889819,25.992778,-0.035)); #507279=CARTESIAN_POINT('Origin',(34.,25.25,-0.035)); #507280=CARTESIAN_POINT('Origin',(34.,25.25,-0.035)); #507281=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #507282=CARTESIAN_POINT('Origin',(33.9983877860344,25.2486960162912,0.)); #507283=CARTESIAN_POINT('Origin',(33.9983877860344,25.2486960162912,-0.035)); #507284=CARTESIAN_POINT('Origin',(36.5,25.25,-200.)); #507285=CARTESIAN_POINT('',(36.035,25.25,-0.0349999999999895)); #507286=CARTESIAN_POINT('Origin',(36.5,25.25,-0.0349999999999895)); #507287=CARTESIAN_POINT('',(36.035,25.25,0.)); #507288=CARTESIAN_POINT('',(36.035,25.25,-200.)); #507289=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #507290=CARTESIAN_POINT('Origin',(36.536844,24.5,-0.035)); #507291=CARTESIAN_POINT('',(36.536844,24.5,-0.035)); #507292=CARTESIAN_POINT('',(36.610181,24.507222,-0.035)); #507293=CARTESIAN_POINT('',(36.536844,24.5,-0.035)); #507294=CARTESIAN_POINT('',(36.610181,24.507222,0.)); #507295=CARTESIAN_POINT('',(36.610181,24.507222,-0.035)); #507296=CARTESIAN_POINT('',(36.536844,24.5,0.)); #507297=CARTESIAN_POINT('',(36.536844,24.5,0.)); #507298=CARTESIAN_POINT('',(36.536844,24.5,-0.035)); #507299=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,-0.035)); #507300=CARTESIAN_POINT('',(36.389819,25.992778,-0.035)); #507301=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,-0.035)); #507302=CARTESIAN_POINT('',(36.389819,25.992778,0.)); #507303=CARTESIAN_POINT('Origin',(36.5000001874156,25.2500005308286,0.)); #507304=CARTESIAN_POINT('',(36.389819,25.992778,-0.035)); #507305=CARTESIAN_POINT('Origin',(36.5,25.25,-0.035)); #507306=CARTESIAN_POINT('Origin',(36.5,25.25,-0.035)); #507307=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #507308=CARTESIAN_POINT('Origin',(36.4983877860344,25.2486960162912,0.)); #507309=CARTESIAN_POINT('Origin',(36.4983877860344,25.2486960162912,-0.035)); #507310=CARTESIAN_POINT('Origin',(30.19,45.,-200.)); #507311=CARTESIAN_POINT('',(29.625,45.,-0.0349999999999895)); #507312=CARTESIAN_POINT('Origin',(30.19,45.,-0.0349999999999895)); #507313=CARTESIAN_POINT('',(29.625,45.,0.)); #507314=CARTESIAN_POINT('',(29.625,45.,-200.)); #507315=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #507316=CARTESIAN_POINT('Origin',(31.09,44.1,-0.035)); #507317=CARTESIAN_POINT('',(31.09,44.1,-0.035)); #507318=CARTESIAN_POINT('',(31.09,45.9,-0.035)); #507319=CARTESIAN_POINT('',(31.09,44.1,-0.035)); #507320=CARTESIAN_POINT('',(31.09,45.9,0.)); #507321=CARTESIAN_POINT('',(31.09,45.9,-0.035)); #507322=CARTESIAN_POINT('',(31.09,44.1,0.)); #507323=CARTESIAN_POINT('',(31.09,44.1,0.)); #507324=CARTESIAN_POINT('',(31.09,44.1,-0.035)); #507325=CARTESIAN_POINT('Origin',(29.29,44.1,-0.035)); #507326=CARTESIAN_POINT('',(29.29,44.1,-0.035)); #507327=CARTESIAN_POINT('',(29.29,44.1,-0.035)); #507328=CARTESIAN_POINT('',(29.29,44.1,0.)); #507329=CARTESIAN_POINT('',(29.29,44.1,0.)); #507330=CARTESIAN_POINT('',(29.29,44.1,-0.035)); #507331=CARTESIAN_POINT('Origin',(29.29,45.9,-0.035)); #507332=CARTESIAN_POINT('',(29.29,45.9,-0.035)); #507333=CARTESIAN_POINT('',(29.29,45.9,-0.035)); #507334=CARTESIAN_POINT('',(29.29,45.9,0.)); #507335=CARTESIAN_POINT('',(29.29,45.9,0.)); #507336=CARTESIAN_POINT('',(29.29,45.9,-0.035)); #507337=CARTESIAN_POINT('Origin',(31.09,45.9,-0.035)); #507338=CARTESIAN_POINT('',(31.09,45.9,-0.035)); #507339=CARTESIAN_POINT('',(31.09,45.9,0.)); #507340=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #507341=CARTESIAN_POINT('Origin',(30.19,45.,-0.035)); #507342=CARTESIAN_POINT('Origin',(52.25,34.,-200.)); #507343=CARTESIAN_POINT('',(51.785,34.,-0.0349999999999895)); #507344=CARTESIAN_POINT('Origin',(52.25,34.,-0.0349999999999895)); #507345=CARTESIAN_POINT('',(51.785,34.,0.)); #507346=CARTESIAN_POINT('',(51.785,34.,-200.)); #507347=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #507348=CARTESIAN_POINT('Origin',(52.286844,33.25,-0.035)); #507349=CARTESIAN_POINT('',(52.286844,33.25,-0.035)); #507350=CARTESIAN_POINT('',(52.360181,33.257222,-0.035)); #507351=CARTESIAN_POINT('',(52.286844,33.25,-0.035)); #507352=CARTESIAN_POINT('',(52.360181,33.257222,0.)); #507353=CARTESIAN_POINT('',(52.360181,33.257222,-0.035)); #507354=CARTESIAN_POINT('',(52.286844,33.25,0.)); #507355=CARTESIAN_POINT('',(52.286844,33.25,0.)); #507356=CARTESIAN_POINT('',(52.286844,33.25,-0.035)); #507357=CARTESIAN_POINT('Origin',(52.2500001874156,34.0000005308286,-0.035)); #507358=CARTESIAN_POINT('',(52.139819,34.742778,-0.035)); #507359=CARTESIAN_POINT('Origin',(52.2500001874156,34.0000005308286,-0.035)); #507360=CARTESIAN_POINT('',(52.139819,34.742778,0.)); #507361=CARTESIAN_POINT('Origin',(52.2500001874156,34.0000005308286,0.)); #507362=CARTESIAN_POINT('',(52.139819,34.742778,-0.035)); #507363=CARTESIAN_POINT('Origin',(52.25,34.,-0.035)); #507364=CARTESIAN_POINT('Origin',(52.25,34.,-0.035)); #507365=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #507366=CARTESIAN_POINT('Origin',(52.2483877860344,33.9986960162912,0.)); #507367=CARTESIAN_POINT('Origin',(52.2483877860344,33.9986960162912,-0.035)); #507368=CARTESIAN_POINT('Origin',(21.2675,21.215,-0.035)); #507369=CARTESIAN_POINT('',(21.2675,21.215,-0.035)); #507370=CARTESIAN_POINT('',(21.2675,22.485,-0.035)); #507371=CARTESIAN_POINT('',(21.2675,21.215,-0.035)); #507372=CARTESIAN_POINT('',(21.2675,22.485,0.)); #507373=CARTESIAN_POINT('',(21.2675,22.485,-0.035)); #507374=CARTESIAN_POINT('',(21.2675,21.215,0.)); #507375=CARTESIAN_POINT('',(21.2675,21.215,0.)); #507376=CARTESIAN_POINT('',(21.2675,21.215,-0.035)); #507377=CARTESIAN_POINT('Origin',(20.6325,21.215,-0.035)); #507378=CARTESIAN_POINT('',(20.6325,21.215,-0.035)); #507379=CARTESIAN_POINT('',(20.6325,21.215,-0.035)); #507380=CARTESIAN_POINT('',(20.6325,21.215,0.)); #507381=CARTESIAN_POINT('',(20.6325,21.215,0.)); #507382=CARTESIAN_POINT('',(20.6325,21.215,-0.035)); #507383=CARTESIAN_POINT('Origin',(20.6325,22.485,-0.035)); #507384=CARTESIAN_POINT('',(20.6325,22.485,-0.035)); #507385=CARTESIAN_POINT('',(20.6325,22.485,-0.035)); #507386=CARTESIAN_POINT('',(20.6325,22.485,0.)); #507387=CARTESIAN_POINT('',(20.6325,22.485,0.)); #507388=CARTESIAN_POINT('',(20.6325,22.485,-0.035)); #507389=CARTESIAN_POINT('Origin',(21.2675,22.485,-0.035)); #507390=CARTESIAN_POINT('',(21.2675,22.485,-0.035)); #507391=CARTESIAN_POINT('',(21.2675,22.485,0.)); #507392=CARTESIAN_POINT('Origin',(20.95,21.85,0.)); #507393=CARTESIAN_POINT('Origin',(20.95,21.85,-0.035)); #507394=CARTESIAN_POINT('Origin',(32.9,6.67,-0.035)); #507395=CARTESIAN_POINT('',(32.9,6.67,-0.035)); #507396=CARTESIAN_POINT('',(32.9,7.82,-0.035)); #507397=CARTESIAN_POINT('',(32.9,6.67,-0.035)); #507398=CARTESIAN_POINT('',(32.9,7.82,0.)); #507399=CARTESIAN_POINT('',(32.9,7.82,-0.035)); #507400=CARTESIAN_POINT('',(32.9,6.67,0.)); #507401=CARTESIAN_POINT('',(32.9,6.67,0.)); #507402=CARTESIAN_POINT('',(32.9,6.67,-0.035)); #507403=CARTESIAN_POINT('Origin',(32.6,6.67,-0.035)); #507404=CARTESIAN_POINT('',(32.6,6.67,-0.035)); #507405=CARTESIAN_POINT('',(32.6,6.67,-0.035)); #507406=CARTESIAN_POINT('',(32.6,6.67,0.)); #507407=CARTESIAN_POINT('',(32.6,6.67,0.)); #507408=CARTESIAN_POINT('',(32.6,6.67,-0.035)); #507409=CARTESIAN_POINT('Origin',(32.6,7.82,-0.035)); #507410=CARTESIAN_POINT('',(32.6,7.82,-0.035)); #507411=CARTESIAN_POINT('',(32.6,7.82,-0.035)); #507412=CARTESIAN_POINT('',(32.6,7.82,0.)); #507413=CARTESIAN_POINT('',(32.6,7.82,0.)); #507414=CARTESIAN_POINT('',(32.6,7.82,-0.035)); #507415=CARTESIAN_POINT('Origin',(32.9,7.82,-0.035)); #507416=CARTESIAN_POINT('',(32.9,7.82,-0.035)); #507417=CARTESIAN_POINT('',(32.9,7.82,0.)); #507418=CARTESIAN_POINT('Origin',(32.75,7.245,0.)); #507419=CARTESIAN_POINT('Origin',(32.75,7.245,-0.035)); #507420=CARTESIAN_POINT('Origin',(48.9398,17.5585,-0.035)); #507421=CARTESIAN_POINT('',(48.9398,17.5585,-0.035)); #507422=CARTESIAN_POINT('',(48.9398,19.2095,-0.035)); #507423=CARTESIAN_POINT('',(48.9398,17.5585,-0.035)); #507424=CARTESIAN_POINT('',(48.9398,19.2095,0.)); #507425=CARTESIAN_POINT('',(48.9398,19.2095,-0.035)); #507426=CARTESIAN_POINT('',(48.9398,17.5585,0.)); #507427=CARTESIAN_POINT('',(48.9398,17.5585,0.)); #507428=CARTESIAN_POINT('',(48.9398,17.5585,-0.035)); #507429=CARTESIAN_POINT('Origin',(48.3302,17.5585,-0.035)); #507430=CARTESIAN_POINT('',(48.3302,17.5585,-0.035)); #507431=CARTESIAN_POINT('',(48.3302,17.5585,-0.035)); #507432=CARTESIAN_POINT('',(48.3302,17.5585,0.)); #507433=CARTESIAN_POINT('',(48.3302,17.5585,0.)); #507434=CARTESIAN_POINT('',(48.3302,17.5585,-0.035)); #507435=CARTESIAN_POINT('Origin',(48.3302,19.2095,-0.035)); #507436=CARTESIAN_POINT('',(48.3302,19.2095,-0.035)); #507437=CARTESIAN_POINT('',(48.3302,19.2095,-0.035)); #507438=CARTESIAN_POINT('',(48.3302,19.2095,0.)); #507439=CARTESIAN_POINT('',(48.3302,19.2095,0.)); #507440=CARTESIAN_POINT('',(48.3302,19.2095,-0.035)); #507441=CARTESIAN_POINT('Origin',(48.9398,19.2095,-0.035)); #507442=CARTESIAN_POINT('',(48.9398,19.2095,-0.035)); #507443=CARTESIAN_POINT('',(48.9398,19.2095,0.)); #507444=CARTESIAN_POINT('Origin',(48.635,18.384,0.)); #507445=CARTESIAN_POINT('Origin',(48.635,18.384,-0.035)); #507446=CARTESIAN_POINT('Origin',(35.9,6.67,-0.035)); #507447=CARTESIAN_POINT('',(35.9,6.67,-0.035)); #507448=CARTESIAN_POINT('',(35.9,7.82,-0.035)); #507449=CARTESIAN_POINT('',(35.9,6.67,-0.035)); #507450=CARTESIAN_POINT('',(35.9,7.82,0.)); #507451=CARTESIAN_POINT('',(35.9,7.82,-0.035)); #507452=CARTESIAN_POINT('',(35.9,6.67,0.)); #507453=CARTESIAN_POINT('',(35.9,6.67,0.)); #507454=CARTESIAN_POINT('',(35.9,6.67,-0.035)); #507455=CARTESIAN_POINT('Origin',(35.6,6.67,-0.035)); #507456=CARTESIAN_POINT('',(35.6,6.67,-0.035)); #507457=CARTESIAN_POINT('',(35.6,6.67,-0.035)); #507458=CARTESIAN_POINT('',(35.6,6.67,0.)); #507459=CARTESIAN_POINT('',(35.6,6.67,0.)); #507460=CARTESIAN_POINT('',(35.6,6.67,-0.035)); #507461=CARTESIAN_POINT('Origin',(35.6,7.82,-0.035)); #507462=CARTESIAN_POINT('',(35.6,7.82,-0.035)); #507463=CARTESIAN_POINT('',(35.6,7.82,-0.035)); #507464=CARTESIAN_POINT('',(35.6,7.82,0.)); #507465=CARTESIAN_POINT('',(35.6,7.82,0.)); #507466=CARTESIAN_POINT('',(35.6,7.82,-0.035)); #507467=CARTESIAN_POINT('Origin',(35.9,7.82,-0.035)); #507468=CARTESIAN_POINT('',(35.9,7.82,-0.035)); #507469=CARTESIAN_POINT('',(35.9,7.82,0.)); #507470=CARTESIAN_POINT('Origin',(35.75,7.245,0.)); #507471=CARTESIAN_POINT('Origin',(35.75,7.245,-0.035)); #507472=CARTESIAN_POINT('Origin',(50.2098,17.5585,-0.035)); #507473=CARTESIAN_POINT('',(50.2098,17.5585,-0.035)); #507474=CARTESIAN_POINT('',(50.2098,19.2095,-0.035)); #507475=CARTESIAN_POINT('',(50.2098,17.5585,-0.035)); #507476=CARTESIAN_POINT('',(50.2098,19.2095,0.)); #507477=CARTESIAN_POINT('',(50.2098,19.2095,-0.035)); #507478=CARTESIAN_POINT('',(50.2098,17.5585,0.)); #507479=CARTESIAN_POINT('',(50.2098,17.5585,0.)); #507480=CARTESIAN_POINT('',(50.2098,17.5585,-0.035)); #507481=CARTESIAN_POINT('Origin',(49.6002,17.5585,-0.035)); #507482=CARTESIAN_POINT('',(49.6002,17.5585,-0.035)); #507483=CARTESIAN_POINT('',(49.6002,17.5585,-0.035)); #507484=CARTESIAN_POINT('',(49.6002,17.5585,0.)); #507485=CARTESIAN_POINT('',(49.6002,17.5585,0.)); #507486=CARTESIAN_POINT('',(49.6002,17.5585,-0.035)); #507487=CARTESIAN_POINT('Origin',(49.6002,19.2095,-0.035)); #507488=CARTESIAN_POINT('',(49.6002,19.2095,-0.035)); #507489=CARTESIAN_POINT('',(49.6002,19.2095,-0.035)); #507490=CARTESIAN_POINT('',(49.6002,19.2095,0.)); #507491=CARTESIAN_POINT('',(49.6002,19.2095,0.)); #507492=CARTESIAN_POINT('',(49.6002,19.2095,-0.035)); #507493=CARTESIAN_POINT('Origin',(50.2098,19.2095,-0.035)); #507494=CARTESIAN_POINT('',(50.2098,19.2095,-0.035)); #507495=CARTESIAN_POINT('',(50.2098,19.2095,0.)); #507496=CARTESIAN_POINT('Origin',(49.905,18.384,0.)); #507497=CARTESIAN_POINT('Origin',(49.905,18.384,-0.035)); #507498=CARTESIAN_POINT('Origin',(46.3998,17.5585,-0.035)); #507499=CARTESIAN_POINT('',(46.3998,17.5585,-0.035)); #507500=CARTESIAN_POINT('',(46.3998,19.2095,-0.035)); #507501=CARTESIAN_POINT('',(46.3998,17.5585,-0.035)); #507502=CARTESIAN_POINT('',(46.3998,19.2095,0.)); #507503=CARTESIAN_POINT('',(46.3998,19.2095,-0.035)); #507504=CARTESIAN_POINT('',(46.3998,17.5585,0.)); #507505=CARTESIAN_POINT('',(46.3998,17.5585,0.)); #507506=CARTESIAN_POINT('',(46.3998,17.5585,-0.035)); #507507=CARTESIAN_POINT('Origin',(45.7902,17.5585,-0.035)); #507508=CARTESIAN_POINT('',(45.7902,17.5585,-0.035)); #507509=CARTESIAN_POINT('',(45.7902,17.5585,-0.035)); #507510=CARTESIAN_POINT('',(45.7902,17.5585,0.)); #507511=CARTESIAN_POINT('',(45.7902,17.5585,0.)); #507512=CARTESIAN_POINT('',(45.7902,17.5585,-0.035)); #507513=CARTESIAN_POINT('Origin',(45.7902,19.2095,-0.035)); #507514=CARTESIAN_POINT('',(45.7902,19.2095,-0.035)); #507515=CARTESIAN_POINT('',(45.7902,19.2095,-0.035)); #507516=CARTESIAN_POINT('',(45.7902,19.2095,0.)); #507517=CARTESIAN_POINT('',(45.7902,19.2095,0.)); #507518=CARTESIAN_POINT('',(45.7902,19.2095,-0.035)); #507519=CARTESIAN_POINT('Origin',(46.3998,19.2095,-0.035)); #507520=CARTESIAN_POINT('',(46.3998,19.2095,-0.035)); #507521=CARTESIAN_POINT('',(46.3998,19.2095,0.)); #507522=CARTESIAN_POINT('Origin',(46.095,18.384,0.)); #507523=CARTESIAN_POINT('Origin',(46.095,18.384,-0.035)); #507524=CARTESIAN_POINT('Origin',(21.1811,25.5555,-0.035)); #507525=CARTESIAN_POINT('',(21.1811,25.5555,-0.035)); #507526=CARTESIAN_POINT('',(21.1811,26.4445,-0.035)); #507527=CARTESIAN_POINT('',(21.1811,25.5555,-0.035)); #507528=CARTESIAN_POINT('',(21.1811,26.4445,0.)); #507529=CARTESIAN_POINT('',(21.1811,26.4445,-0.035)); #507530=CARTESIAN_POINT('',(21.1811,25.5555,0.)); #507531=CARTESIAN_POINT('',(21.1811,25.5555,0.)); #507532=CARTESIAN_POINT('',(21.1811,25.5555,-0.035)); #507533=CARTESIAN_POINT('Origin',(20.3429,25.5555,-0.035)); #507534=CARTESIAN_POINT('',(20.3429,25.5555,-0.035)); #507535=CARTESIAN_POINT('',(20.3429,25.5555,-0.035)); #507536=CARTESIAN_POINT('',(20.3429,25.5555,0.)); #507537=CARTESIAN_POINT('',(20.3429,25.5555,0.)); #507538=CARTESIAN_POINT('',(20.3429,25.5555,-0.035)); #507539=CARTESIAN_POINT('Origin',(20.3429,26.4445,-0.035)); #507540=CARTESIAN_POINT('',(20.3429,26.4445,-0.035)); #507541=CARTESIAN_POINT('',(20.3429,26.4445,-0.035)); #507542=CARTESIAN_POINT('',(20.3429,26.4445,0.)); #507543=CARTESIAN_POINT('',(20.3429,26.4445,0.)); #507544=CARTESIAN_POINT('',(20.3429,26.4445,-0.035)); #507545=CARTESIAN_POINT('Origin',(21.1811,26.4445,-0.035)); #507546=CARTESIAN_POINT('',(21.1811,26.4445,-0.035)); #507547=CARTESIAN_POINT('',(21.1811,26.4445,0.)); #507548=CARTESIAN_POINT('Origin',(20.762,26.,0.)); #507549=CARTESIAN_POINT('Origin',(20.762,26.,-0.035)); #507550=CARTESIAN_POINT('Origin',(19.3675,23.515,-0.035)); #507551=CARTESIAN_POINT('',(19.3675,23.515,-0.035)); #507552=CARTESIAN_POINT('',(19.3675,24.785,-0.035)); #507553=CARTESIAN_POINT('',(19.3675,23.515,-0.035)); #507554=CARTESIAN_POINT('',(19.3675,24.785,0.)); #507555=CARTESIAN_POINT('',(19.3675,24.785,-0.035)); #507556=CARTESIAN_POINT('',(19.3675,23.515,0.)); #507557=CARTESIAN_POINT('',(19.3675,23.515,0.)); #507558=CARTESIAN_POINT('',(19.3675,23.515,-0.035)); #507559=CARTESIAN_POINT('Origin',(18.7325,23.515,-0.035)); #507560=CARTESIAN_POINT('',(18.7325,23.515,-0.035)); #507561=CARTESIAN_POINT('',(18.7325,23.515,-0.035)); #507562=CARTESIAN_POINT('',(18.7325,23.515,0.)); #507563=CARTESIAN_POINT('',(18.7325,23.515,0.)); #507564=CARTESIAN_POINT('',(18.7325,23.515,-0.035)); #507565=CARTESIAN_POINT('Origin',(18.7325,24.785,-0.035)); #507566=CARTESIAN_POINT('',(18.7325,24.785,-0.035)); #507567=CARTESIAN_POINT('',(18.7325,24.785,-0.035)); #507568=CARTESIAN_POINT('',(18.7325,24.785,0.)); #507569=CARTESIAN_POINT('',(18.7325,24.785,0.)); #507570=CARTESIAN_POINT('',(18.7325,24.785,-0.035)); #507571=CARTESIAN_POINT('Origin',(19.3675,24.785,-0.035)); #507572=CARTESIAN_POINT('',(19.3675,24.785,-0.035)); #507573=CARTESIAN_POINT('',(19.3675,24.785,0.)); #507574=CARTESIAN_POINT('Origin',(19.05,24.15,0.)); #507575=CARTESIAN_POINT('Origin',(19.05,24.15,-0.035)); #507576=CARTESIAN_POINT('Origin',(21.2675,23.515,-0.035)); #507577=CARTESIAN_POINT('',(21.2675,23.515,-0.035)); #507578=CARTESIAN_POINT('',(21.2675,24.785,-0.035)); #507579=CARTESIAN_POINT('',(21.2675,23.515,-0.035)); #507580=CARTESIAN_POINT('',(21.2675,24.785,0.)); #507581=CARTESIAN_POINT('',(21.2675,24.785,-0.035)); #507582=CARTESIAN_POINT('',(21.2675,23.515,0.)); #507583=CARTESIAN_POINT('',(21.2675,23.515,0.)); #507584=CARTESIAN_POINT('',(21.2675,23.515,-0.035)); #507585=CARTESIAN_POINT('Origin',(20.6325,23.515,-0.035)); #507586=CARTESIAN_POINT('',(20.6325,23.515,-0.035)); #507587=CARTESIAN_POINT('',(20.6325,23.515,-0.035)); #507588=CARTESIAN_POINT('',(20.6325,23.515,0.)); #507589=CARTESIAN_POINT('',(20.6325,23.515,0.)); #507590=CARTESIAN_POINT('',(20.6325,23.515,-0.035)); #507591=CARTESIAN_POINT('Origin',(20.6325,24.785,-0.035)); #507592=CARTESIAN_POINT('',(20.6325,24.785,-0.035)); #507593=CARTESIAN_POINT('',(20.6325,24.785,-0.035)); #507594=CARTESIAN_POINT('',(20.6325,24.785,0.)); #507595=CARTESIAN_POINT('',(20.6325,24.785,0.)); #507596=CARTESIAN_POINT('',(20.6325,24.785,-0.035)); #507597=CARTESIAN_POINT('Origin',(21.2675,24.785,-0.035)); #507598=CARTESIAN_POINT('',(21.2675,24.785,-0.035)); #507599=CARTESIAN_POINT('',(21.2675,24.785,0.)); #507600=CARTESIAN_POINT('Origin',(20.95,24.15,0.)); #507601=CARTESIAN_POINT('Origin',(20.95,24.15,-0.035)); #507602=CARTESIAN_POINT('Origin',(50.2098,22.7905,-0.035)); #507603=CARTESIAN_POINT('',(50.2098,22.7905,-0.035)); #507604=CARTESIAN_POINT('',(50.2098,24.4415,-0.035)); #507605=CARTESIAN_POINT('',(50.2098,22.7905,-0.035)); #507606=CARTESIAN_POINT('',(50.2098,24.4415,0.)); #507607=CARTESIAN_POINT('',(50.2098,24.4415,-0.035)); #507608=CARTESIAN_POINT('',(50.2098,22.7905,0.)); #507609=CARTESIAN_POINT('',(50.2098,22.7905,0.)); #507610=CARTESIAN_POINT('',(50.2098,22.7905,-0.035)); #507611=CARTESIAN_POINT('Origin',(49.6002,22.7905,-0.035)); #507612=CARTESIAN_POINT('',(49.6002,22.7905,-0.035)); #507613=CARTESIAN_POINT('',(49.6002,22.7905,-0.035)); #507614=CARTESIAN_POINT('',(49.6002,22.7905,0.)); #507615=CARTESIAN_POINT('',(49.6002,22.7905,0.)); #507616=CARTESIAN_POINT('',(49.6002,22.7905,-0.035)); #507617=CARTESIAN_POINT('Origin',(49.6002,24.4415,-0.035)); #507618=CARTESIAN_POINT('',(49.6002,24.4415,-0.035)); #507619=CARTESIAN_POINT('',(49.6002,24.4415,-0.035)); #507620=CARTESIAN_POINT('',(49.6002,24.4415,0.)); #507621=CARTESIAN_POINT('',(49.6002,24.4415,0.)); #507622=CARTESIAN_POINT('',(49.6002,24.4415,-0.035)); #507623=CARTESIAN_POINT('Origin',(50.2098,24.4415,-0.035)); #507624=CARTESIAN_POINT('',(50.2098,24.4415,-0.035)); #507625=CARTESIAN_POINT('',(50.2098,24.4415,0.)); #507626=CARTESIAN_POINT('Origin',(49.905,23.616,0.)); #507627=CARTESIAN_POINT('Origin',(49.905,23.616,-0.035)); #507628=CARTESIAN_POINT('Origin',(19.3675,21.215,-0.035)); #507629=CARTESIAN_POINT('',(19.3675,21.215,-0.035)); #507630=CARTESIAN_POINT('',(19.3675,22.485,-0.035)); #507631=CARTESIAN_POINT('',(19.3675,21.215,-0.035)); #507632=CARTESIAN_POINT('',(19.3675,22.485,0.)); #507633=CARTESIAN_POINT('',(19.3675,22.485,-0.035)); #507634=CARTESIAN_POINT('',(19.3675,21.215,0.)); #507635=CARTESIAN_POINT('',(19.3675,21.215,0.)); #507636=CARTESIAN_POINT('',(19.3675,21.215,-0.035)); #507637=CARTESIAN_POINT('Origin',(18.7325,21.215,-0.035)); #507638=CARTESIAN_POINT('',(18.7325,21.215,-0.035)); #507639=CARTESIAN_POINT('',(18.7325,21.215,-0.035)); #507640=CARTESIAN_POINT('',(18.7325,21.215,0.)); #507641=CARTESIAN_POINT('',(18.7325,21.215,0.)); #507642=CARTESIAN_POINT('',(18.7325,21.215,-0.035)); #507643=CARTESIAN_POINT('Origin',(18.7325,22.485,-0.035)); #507644=CARTESIAN_POINT('',(18.7325,22.485,-0.035)); #507645=CARTESIAN_POINT('',(18.7325,22.485,-0.035)); #507646=CARTESIAN_POINT('',(18.7325,22.485,0.)); #507647=CARTESIAN_POINT('',(18.7325,22.485,0.)); #507648=CARTESIAN_POINT('',(18.7325,22.485,-0.035)); #507649=CARTESIAN_POINT('Origin',(19.3675,22.485,-0.035)); #507650=CARTESIAN_POINT('',(19.3675,22.485,-0.035)); #507651=CARTESIAN_POINT('',(19.3675,22.485,0.)); #507652=CARTESIAN_POINT('Origin',(19.05,21.85,0.)); #507653=CARTESIAN_POINT('Origin',(19.05,21.85,-0.035)); #507654=CARTESIAN_POINT('Origin',(26.6571,22.5555,-0.035)); #507655=CARTESIAN_POINT('',(26.6571,22.5555,-0.035)); #507656=CARTESIAN_POINT('',(26.6571,23.4445,-0.035)); #507657=CARTESIAN_POINT('',(26.6571,22.5555,-0.035)); #507658=CARTESIAN_POINT('',(26.6571,23.4445,0.)); #507659=CARTESIAN_POINT('',(26.6571,23.4445,-0.035)); #507660=CARTESIAN_POINT('',(26.6571,22.5555,0.)); #507661=CARTESIAN_POINT('',(26.6571,22.5555,0.)); #507662=CARTESIAN_POINT('',(26.6571,22.5555,-0.035)); #507663=CARTESIAN_POINT('Origin',(25.8189,22.5555,-0.035)); #507664=CARTESIAN_POINT('',(25.8189,22.5555,-0.035)); #507665=CARTESIAN_POINT('',(25.8189,22.5555,-0.035)); #507666=CARTESIAN_POINT('',(25.8189,22.5555,0.)); #507667=CARTESIAN_POINT('',(25.8189,22.5555,0.)); #507668=CARTESIAN_POINT('',(25.8189,22.5555,-0.035)); #507669=CARTESIAN_POINT('Origin',(25.8189,23.4445,-0.035)); #507670=CARTESIAN_POINT('',(25.8189,23.4445,-0.035)); #507671=CARTESIAN_POINT('',(25.8189,23.4445,-0.035)); #507672=CARTESIAN_POINT('',(25.8189,23.4445,0.)); #507673=CARTESIAN_POINT('',(25.8189,23.4445,0.)); #507674=CARTESIAN_POINT('',(25.8189,23.4445,-0.035)); #507675=CARTESIAN_POINT('Origin',(26.6571,23.4445,-0.035)); #507676=CARTESIAN_POINT('',(26.6571,23.4445,-0.035)); #507677=CARTESIAN_POINT('',(26.6571,23.4445,0.)); #507678=CARTESIAN_POINT('Origin',(26.238,23.,0.)); #507679=CARTESIAN_POINT('Origin',(26.238,23.,-0.035)); #507680=CARTESIAN_POINT('Origin',(19.6571,19.5555,-0.035)); #507681=CARTESIAN_POINT('',(19.6571,19.5555,-0.035)); #507682=CARTESIAN_POINT('',(19.6571,20.4445,-0.035)); #507683=CARTESIAN_POINT('',(19.6571,19.5555,-0.035)); #507684=CARTESIAN_POINT('',(19.6571,20.4445,0.)); #507685=CARTESIAN_POINT('',(19.6571,20.4445,-0.035)); #507686=CARTESIAN_POINT('',(19.6571,19.5555,0.)); #507687=CARTESIAN_POINT('',(19.6571,19.5555,0.)); #507688=CARTESIAN_POINT('',(19.6571,19.5555,-0.035)); #507689=CARTESIAN_POINT('Origin',(18.8189,19.5555,-0.035)); #507690=CARTESIAN_POINT('',(18.8189,19.5555,-0.035)); #507691=CARTESIAN_POINT('',(18.8189,19.5555,-0.035)); #507692=CARTESIAN_POINT('',(18.8189,19.5555,0.)); #507693=CARTESIAN_POINT('',(18.8189,19.5555,0.)); #507694=CARTESIAN_POINT('',(18.8189,19.5555,-0.035)); #507695=CARTESIAN_POINT('Origin',(18.8189,20.4445,-0.035)); #507696=CARTESIAN_POINT('',(18.8189,20.4445,-0.035)); #507697=CARTESIAN_POINT('',(18.8189,20.4445,-0.035)); #507698=CARTESIAN_POINT('',(18.8189,20.4445,0.)); #507699=CARTESIAN_POINT('',(18.8189,20.4445,0.)); #507700=CARTESIAN_POINT('',(18.8189,20.4445,-0.035)); #507701=CARTESIAN_POINT('Origin',(19.6571,20.4445,-0.035)); #507702=CARTESIAN_POINT('',(19.6571,20.4445,-0.035)); #507703=CARTESIAN_POINT('',(19.6571,20.4445,0.)); #507704=CARTESIAN_POINT('Origin',(19.238,20.,0.)); #507705=CARTESIAN_POINT('Origin',(19.238,20.,-0.035)); #507706=CARTESIAN_POINT('Origin',(16.3622,24.6665,-0.035)); #507707=CARTESIAN_POINT('',(16.3622,24.6665,-0.035)); #507708=CARTESIAN_POINT('',(16.3622,27.3335,-0.035)); #507709=CARTESIAN_POINT('',(16.3622,24.6665,-0.035)); #507710=CARTESIAN_POINT('',(16.3622,27.3335,0.)); #507711=CARTESIAN_POINT('',(16.3622,27.3335,-0.035)); #507712=CARTESIAN_POINT('',(16.3622,24.6665,0.)); #507713=CARTESIAN_POINT('',(16.3622,24.6665,0.)); #507714=CARTESIAN_POINT('',(16.3622,24.6665,-0.035)); #507715=CARTESIAN_POINT('Origin',(14.6858,24.6665,-0.035)); #507716=CARTESIAN_POINT('',(14.6858,24.6665,-0.035)); #507717=CARTESIAN_POINT('',(14.6858,24.6665,-0.035)); #507718=CARTESIAN_POINT('',(14.6858,24.6665,0.)); #507719=CARTESIAN_POINT('',(14.6858,24.6665,0.)); #507720=CARTESIAN_POINT('',(14.6858,24.6665,-0.035)); #507721=CARTESIAN_POINT('Origin',(14.6858,27.3335,-0.035)); #507722=CARTESIAN_POINT('',(14.6858,27.3335,-0.035)); #507723=CARTESIAN_POINT('',(14.6858,27.3335,-0.035)); #507724=CARTESIAN_POINT('',(14.6858,27.3335,0.)); #507725=CARTESIAN_POINT('',(14.6858,27.3335,0.)); #507726=CARTESIAN_POINT('',(14.6858,27.3335,-0.035)); #507727=CARTESIAN_POINT('Origin',(16.3622,27.3335,-0.035)); #507728=CARTESIAN_POINT('',(16.3622,27.3335,-0.035)); #507729=CARTESIAN_POINT('',(16.3622,27.3335,0.)); #507730=CARTESIAN_POINT('Origin',(15.524,26.,0.)); #507731=CARTESIAN_POINT('Origin',(15.524,26.,-0.035)); #507732=CARTESIAN_POINT('Origin',(35.4,6.67,-0.035)); #507733=CARTESIAN_POINT('',(35.4,6.67,-0.035)); #507734=CARTESIAN_POINT('',(35.4,7.82,-0.035)); #507735=CARTESIAN_POINT('',(35.4,6.67,-0.035)); #507736=CARTESIAN_POINT('',(35.4,7.82,0.)); #507737=CARTESIAN_POINT('',(35.4,7.82,-0.035)); #507738=CARTESIAN_POINT('',(35.4,6.67,0.)); #507739=CARTESIAN_POINT('',(35.4,6.67,0.)); #507740=CARTESIAN_POINT('',(35.4,6.67,-0.035)); #507741=CARTESIAN_POINT('Origin',(35.1,6.67,-0.035)); #507742=CARTESIAN_POINT('',(35.1,6.67,-0.035)); #507743=CARTESIAN_POINT('',(35.1,6.67,-0.035)); #507744=CARTESIAN_POINT('',(35.1,6.67,0.)); #507745=CARTESIAN_POINT('',(35.1,6.67,0.)); #507746=CARTESIAN_POINT('',(35.1,6.67,-0.035)); #507747=CARTESIAN_POINT('Origin',(35.1,7.82,-0.035)); #507748=CARTESIAN_POINT('',(35.1,7.82,-0.035)); #507749=CARTESIAN_POINT('',(35.1,7.82,-0.035)); #507750=CARTESIAN_POINT('',(35.1,7.82,0.)); #507751=CARTESIAN_POINT('',(35.1,7.82,0.)); #507752=CARTESIAN_POINT('',(35.1,7.82,-0.035)); #507753=CARTESIAN_POINT('Origin',(35.4,7.82,-0.035)); #507754=CARTESIAN_POINT('',(35.4,7.82,-0.035)); #507755=CARTESIAN_POINT('',(35.4,7.82,0.)); #507756=CARTESIAN_POINT('Origin',(35.25,7.245,0.)); #507757=CARTESIAN_POINT('Origin',(35.25,7.245,-0.035)); #507758=CARTESIAN_POINT('Origin',(32.4,6.67,-0.035)); #507759=CARTESIAN_POINT('',(32.4,6.67,-0.035)); #507760=CARTESIAN_POINT('',(32.4,7.82,-0.035)); #507761=CARTESIAN_POINT('',(32.4,6.67,-0.035)); #507762=CARTESIAN_POINT('',(32.4,7.82,0.)); #507763=CARTESIAN_POINT('',(32.4,7.82,-0.035)); #507764=CARTESIAN_POINT('',(32.4,6.67,0.)); #507765=CARTESIAN_POINT('',(32.4,6.67,0.)); #507766=CARTESIAN_POINT('',(32.4,6.67,-0.035)); #507767=CARTESIAN_POINT('Origin',(32.1,6.67,-0.035)); #507768=CARTESIAN_POINT('',(32.1,6.67,-0.035)); #507769=CARTESIAN_POINT('',(32.1,6.67,-0.035)); #507770=CARTESIAN_POINT('',(32.1,6.67,0.)); #507771=CARTESIAN_POINT('',(32.1,6.67,0.)); #507772=CARTESIAN_POINT('',(32.1,6.67,-0.035)); #507773=CARTESIAN_POINT('Origin',(32.1,7.82,-0.035)); #507774=CARTESIAN_POINT('',(32.1,7.82,-0.035)); #507775=CARTESIAN_POINT('',(32.1,7.82,-0.035)); #507776=CARTESIAN_POINT('',(32.1,7.82,0.)); #507777=CARTESIAN_POINT('',(32.1,7.82,0.)); #507778=CARTESIAN_POINT('',(32.1,7.82,-0.035)); #507779=CARTESIAN_POINT('Origin',(32.4,7.82,-0.035)); #507780=CARTESIAN_POINT('',(32.4,7.82,-0.035)); #507781=CARTESIAN_POINT('',(32.4,7.82,0.)); #507782=CARTESIAN_POINT('Origin',(32.25,7.245,0.)); #507783=CARTESIAN_POINT('Origin',(32.25,7.245,-0.035)); #507784=CARTESIAN_POINT('Origin',(34.9,6.67,-0.035)); #507785=CARTESIAN_POINT('',(34.9,6.67,-0.035)); #507786=CARTESIAN_POINT('',(34.9,7.82,-0.035)); #507787=CARTESIAN_POINT('',(34.9,6.67,-0.035)); #507788=CARTESIAN_POINT('',(34.9,7.82,0.)); #507789=CARTESIAN_POINT('',(34.9,7.82,-0.035)); #507790=CARTESIAN_POINT('',(34.9,6.67,0.)); #507791=CARTESIAN_POINT('',(34.9,6.67,0.)); #507792=CARTESIAN_POINT('',(34.9,6.67,-0.035)); #507793=CARTESIAN_POINT('Origin',(34.6,6.67,-0.035)); #507794=CARTESIAN_POINT('',(34.6,6.67,-0.035)); #507795=CARTESIAN_POINT('',(34.6,6.67,-0.035)); #507796=CARTESIAN_POINT('',(34.6,6.67,0.)); #507797=CARTESIAN_POINT('',(34.6,6.67,0.)); #507798=CARTESIAN_POINT('',(34.6,6.67,-0.035)); #507799=CARTESIAN_POINT('Origin',(34.6,7.82,-0.035)); #507800=CARTESIAN_POINT('',(34.6,7.82,-0.035)); #507801=CARTESIAN_POINT('',(34.6,7.82,-0.035)); #507802=CARTESIAN_POINT('',(34.6,7.82,0.)); #507803=CARTESIAN_POINT('',(34.6,7.82,0.)); #507804=CARTESIAN_POINT('',(34.6,7.82,-0.035)); #507805=CARTESIAN_POINT('Origin',(34.9,7.82,-0.035)); #507806=CARTESIAN_POINT('',(34.9,7.82,-0.035)); #507807=CARTESIAN_POINT('',(34.9,7.82,0.)); #507808=CARTESIAN_POINT('Origin',(34.75,7.245,0.)); #507809=CARTESIAN_POINT('Origin',(34.75,7.245,-0.035)); #507810=CARTESIAN_POINT('Origin',(33.9,6.67,-0.035)); #507811=CARTESIAN_POINT('',(33.9,6.67,-0.035)); #507812=CARTESIAN_POINT('',(33.9,7.82,-0.035)); #507813=CARTESIAN_POINT('',(33.9,6.67,-0.035)); #507814=CARTESIAN_POINT('',(33.9,7.82,0.)); #507815=CARTESIAN_POINT('',(33.9,7.82,-0.035)); #507816=CARTESIAN_POINT('',(33.9,6.67,0.)); #507817=CARTESIAN_POINT('',(33.9,6.67,0.)); #507818=CARTESIAN_POINT('',(33.9,6.67,-0.035)); #507819=CARTESIAN_POINT('Origin',(33.6,6.67,-0.035)); #507820=CARTESIAN_POINT('',(33.6,6.67,-0.035)); #507821=CARTESIAN_POINT('',(33.6,6.67,-0.035)); #507822=CARTESIAN_POINT('',(33.6,6.67,0.)); #507823=CARTESIAN_POINT('',(33.6,6.67,0.)); #507824=CARTESIAN_POINT('',(33.6,6.67,-0.035)); #507825=CARTESIAN_POINT('Origin',(33.6,7.82,-0.035)); #507826=CARTESIAN_POINT('',(33.6,7.82,-0.035)); #507827=CARTESIAN_POINT('',(33.6,7.82,-0.035)); #507828=CARTESIAN_POINT('',(33.6,7.82,0.)); #507829=CARTESIAN_POINT('',(33.6,7.82,0.)); #507830=CARTESIAN_POINT('',(33.6,7.82,-0.035)); #507831=CARTESIAN_POINT('Origin',(33.9,7.82,-0.035)); #507832=CARTESIAN_POINT('',(33.9,7.82,-0.035)); #507833=CARTESIAN_POINT('',(33.9,7.82,0.)); #507834=CARTESIAN_POINT('Origin',(33.75,7.245,0.)); #507835=CARTESIAN_POINT('Origin',(33.75,7.245,-0.035)); #507836=CARTESIAN_POINT('Origin',(34.4,6.67,-0.035)); #507837=CARTESIAN_POINT('',(34.4,6.67,-0.035)); #507838=CARTESIAN_POINT('',(34.4,7.82,-0.035)); #507839=CARTESIAN_POINT('',(34.4,6.67,-0.035)); #507840=CARTESIAN_POINT('',(34.4,7.82,0.)); #507841=CARTESIAN_POINT('',(34.4,7.82,-0.035)); #507842=CARTESIAN_POINT('',(34.4,6.67,0.)); #507843=CARTESIAN_POINT('',(34.4,6.67,0.)); #507844=CARTESIAN_POINT('',(34.4,6.67,-0.035)); #507845=CARTESIAN_POINT('Origin',(34.1,6.67,-0.035)); #507846=CARTESIAN_POINT('',(34.1,6.67,-0.035)); #507847=CARTESIAN_POINT('',(34.1,6.67,-0.035)); #507848=CARTESIAN_POINT('',(34.1,6.67,0.)); #507849=CARTESIAN_POINT('',(34.1,6.67,0.)); #507850=CARTESIAN_POINT('',(34.1,6.67,-0.035)); #507851=CARTESIAN_POINT('Origin',(34.1,7.82,-0.035)); #507852=CARTESIAN_POINT('',(34.1,7.82,-0.035)); #507853=CARTESIAN_POINT('',(34.1,7.82,-0.035)); #507854=CARTESIAN_POINT('',(34.1,7.82,0.)); #507855=CARTESIAN_POINT('',(34.1,7.82,0.)); #507856=CARTESIAN_POINT('',(34.1,7.82,-0.035)); #507857=CARTESIAN_POINT('Origin',(34.4,7.82,-0.035)); #507858=CARTESIAN_POINT('',(34.4,7.82,-0.035)); #507859=CARTESIAN_POINT('',(34.4,7.82,0.)); #507860=CARTESIAN_POINT('Origin',(34.25,7.245,0.)); #507861=CARTESIAN_POINT('Origin',(34.25,7.245,-0.035)); #507862=CARTESIAN_POINT('Origin',(33.4,6.67,-0.035)); #507863=CARTESIAN_POINT('',(33.4,6.67,-0.035)); #507864=CARTESIAN_POINT('',(33.4,7.82,-0.035)); #507865=CARTESIAN_POINT('',(33.4,6.67,-0.035)); #507866=CARTESIAN_POINT('',(33.4,7.82,0.)); #507867=CARTESIAN_POINT('',(33.4,7.82,-0.035)); #507868=CARTESIAN_POINT('',(33.4,6.67,0.)); #507869=CARTESIAN_POINT('',(33.4,6.67,0.)); #507870=CARTESIAN_POINT('',(33.4,6.67,-0.035)); #507871=CARTESIAN_POINT('Origin',(33.1,6.67,-0.035)); #507872=CARTESIAN_POINT('',(33.1,6.67,-0.035)); #507873=CARTESIAN_POINT('',(33.1,6.67,-0.035)); #507874=CARTESIAN_POINT('',(33.1,6.67,0.)); #507875=CARTESIAN_POINT('',(33.1,6.67,0.)); #507876=CARTESIAN_POINT('',(33.1,6.67,-0.035)); #507877=CARTESIAN_POINT('Origin',(33.1,7.82,-0.035)); #507878=CARTESIAN_POINT('',(33.1,7.82,-0.035)); #507879=CARTESIAN_POINT('',(33.1,7.82,-0.035)); #507880=CARTESIAN_POINT('',(33.1,7.82,0.)); #507881=CARTESIAN_POINT('',(33.1,7.82,0.)); #507882=CARTESIAN_POINT('',(33.1,7.82,-0.035)); #507883=CARTESIAN_POINT('Origin',(33.4,7.82,-0.035)); #507884=CARTESIAN_POINT('',(33.4,7.82,-0.035)); #507885=CARTESIAN_POINT('',(33.4,7.82,0.)); #507886=CARTESIAN_POINT('Origin',(33.25,7.245,0.)); #507887=CARTESIAN_POINT('Origin',(33.25,7.245,-0.035)); #507888=CARTESIAN_POINT('Origin',(29.6571,65.5555,-0.035)); #507889=CARTESIAN_POINT('',(29.6571,65.5555,-0.035)); #507890=CARTESIAN_POINT('',(29.6571,66.4445,-0.035)); #507891=CARTESIAN_POINT('',(29.6571,65.5555,-0.035)); #507892=CARTESIAN_POINT('',(29.6571,66.4445,0.)); #507893=CARTESIAN_POINT('',(29.6571,66.4445,-0.035)); #507894=CARTESIAN_POINT('',(29.6571,65.5555,0.)); #507895=CARTESIAN_POINT('',(29.6571,65.5555,0.)); #507896=CARTESIAN_POINT('',(29.6571,65.5555,-0.035)); #507897=CARTESIAN_POINT('Origin',(28.8189,65.5555,-0.035)); #507898=CARTESIAN_POINT('',(28.8189,65.5555,-0.035)); #507899=CARTESIAN_POINT('',(28.8189,65.5555,-0.035)); #507900=CARTESIAN_POINT('',(28.8189,65.5555,0.)); #507901=CARTESIAN_POINT('',(28.8189,65.5555,0.)); #507902=CARTESIAN_POINT('',(28.8189,65.5555,-0.035)); #507903=CARTESIAN_POINT('Origin',(28.8189,66.4445,-0.035)); #507904=CARTESIAN_POINT('',(28.8189,66.4445,-0.035)); #507905=CARTESIAN_POINT('',(28.8189,66.4445,-0.035)); #507906=CARTESIAN_POINT('',(28.8189,66.4445,0.)); #507907=CARTESIAN_POINT('',(28.8189,66.4445,0.)); #507908=CARTESIAN_POINT('',(28.8189,66.4445,-0.035)); #507909=CARTESIAN_POINT('Origin',(29.6571,66.4445,-0.035)); #507910=CARTESIAN_POINT('',(29.6571,66.4445,-0.035)); #507911=CARTESIAN_POINT('',(29.6571,66.4445,0.)); #507912=CARTESIAN_POINT('Origin',(29.238,66.,0.)); #507913=CARTESIAN_POINT('Origin',(29.238,66.,-0.035)); #507914=CARTESIAN_POINT('Origin',(55.1811,41.5555,-0.035)); #507915=CARTESIAN_POINT('',(55.1811,41.5555,-0.035)); #507916=CARTESIAN_POINT('',(55.1811,42.4445,-0.035)); #507917=CARTESIAN_POINT('',(55.1811,41.5555,-0.035)); #507918=CARTESIAN_POINT('',(55.1811,42.4445,0.)); #507919=CARTESIAN_POINT('',(55.1811,42.4445,-0.035)); #507920=CARTESIAN_POINT('',(55.1811,41.5555,0.)); #507921=CARTESIAN_POINT('',(55.1811,41.5555,0.)); #507922=CARTESIAN_POINT('',(55.1811,41.5555,-0.035)); #507923=CARTESIAN_POINT('Origin',(54.3429,41.5555,-0.035)); #507924=CARTESIAN_POINT('',(54.3429,41.5555,-0.035)); #507925=CARTESIAN_POINT('',(54.3429,41.5555,-0.035)); #507926=CARTESIAN_POINT('',(54.3429,41.5555,0.)); #507927=CARTESIAN_POINT('',(54.3429,41.5555,0.)); #507928=CARTESIAN_POINT('',(54.3429,41.5555,-0.035)); #507929=CARTESIAN_POINT('Origin',(54.3429,42.4445,-0.035)); #507930=CARTESIAN_POINT('',(54.3429,42.4445,-0.035)); #507931=CARTESIAN_POINT('',(54.3429,42.4445,-0.035)); #507932=CARTESIAN_POINT('',(54.3429,42.4445,0.)); #507933=CARTESIAN_POINT('',(54.3429,42.4445,0.)); #507934=CARTESIAN_POINT('',(54.3429,42.4445,-0.035)); #507935=CARTESIAN_POINT('Origin',(55.1811,42.4445,-0.035)); #507936=CARTESIAN_POINT('',(55.1811,42.4445,-0.035)); #507937=CARTESIAN_POINT('',(55.1811,42.4445,0.)); #507938=CARTESIAN_POINT('Origin',(54.762,42.,0.)); #507939=CARTESIAN_POINT('Origin',(54.762,42.,-0.035)); #507940=CARTESIAN_POINT('Origin',(46.935,58.15,-0.035)); #507941=CARTESIAN_POINT('',(46.935,58.15,-0.035)); #507942=CARTESIAN_POINT('',(46.935,59.35,-0.035)); #507943=CARTESIAN_POINT('',(46.935,58.15,-0.035)); #507944=CARTESIAN_POINT('',(46.935,59.35,0.)); #507945=CARTESIAN_POINT('',(46.935,59.35,-0.035)); #507946=CARTESIAN_POINT('',(46.935,58.15,0.)); #507947=CARTESIAN_POINT('',(46.935,58.15,0.)); #507948=CARTESIAN_POINT('',(46.935,58.15,-0.035)); #507949=CARTESIAN_POINT('Origin',(46.335,58.15,-0.035)); #507950=CARTESIAN_POINT('',(46.335,58.15,-0.035)); #507951=CARTESIAN_POINT('',(46.335,58.15,-0.035)); #507952=CARTESIAN_POINT('',(46.335,58.15,0.)); #507953=CARTESIAN_POINT('',(46.335,58.15,0.)); #507954=CARTESIAN_POINT('',(46.335,58.15,-0.035)); #507955=CARTESIAN_POINT('Origin',(46.335,59.35,-0.035)); #507956=CARTESIAN_POINT('',(46.335,59.35,-0.035)); #507957=CARTESIAN_POINT('',(46.335,59.35,-0.035)); #507958=CARTESIAN_POINT('',(46.335,59.35,0.)); #507959=CARTESIAN_POINT('',(46.335,59.35,0.)); #507960=CARTESIAN_POINT('',(46.335,59.35,-0.035)); #507961=CARTESIAN_POINT('Origin',(46.935,59.35,-0.035)); #507962=CARTESIAN_POINT('',(46.935,59.35,-0.035)); #507963=CARTESIAN_POINT('',(46.935,59.35,0.)); #507964=CARTESIAN_POINT('Origin',(46.635,58.75,0.)); #507965=CARTESIAN_POINT('Origin',(46.635,58.75,-0.035)); #507966=CARTESIAN_POINT('Origin',(37.2,7.1,-200.)); #507967=CARTESIAN_POINT('',(37.085,7.1,-0.0349999999999895)); #507968=CARTESIAN_POINT('Origin',(37.2,7.1,-0.0349999999999895)); #507969=CARTESIAN_POINT('',(37.085,7.1,0.)); #507970=CARTESIAN_POINT('',(37.085,7.1,-200.)); #507971=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #507972=CARTESIAN_POINT('Origin',(37.2,7.6,-200.)); #507973=CARTESIAN_POINT('',(37.085,7.6,-0.0349999999999895)); #507974=CARTESIAN_POINT('Origin',(37.2,7.6,-0.0349999999999895)); #507975=CARTESIAN_POINT('',(37.085,7.6,0.)); #507976=CARTESIAN_POINT('',(37.085,7.6,-200.)); #507977=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #507978=CARTESIAN_POINT('Origin',(36.9,7.82,-0.035)); #507979=CARTESIAN_POINT('',(36.9,7.82,-0.035)); #507980=CARTESIAN_POINT('',(36.9,6.67,-0.035)); #507981=CARTESIAN_POINT('',(36.9,7.82,-0.035)); #507982=CARTESIAN_POINT('',(36.9,6.67,0.)); #507983=CARTESIAN_POINT('',(36.9,6.67,-0.035)); #507984=CARTESIAN_POINT('',(36.9,7.82,0.)); #507985=CARTESIAN_POINT('',(36.9,7.82,0.)); #507986=CARTESIAN_POINT('',(36.9,7.82,-0.035)); #507987=CARTESIAN_POINT('Origin',(37.5,7.82,-0.035)); #507988=CARTESIAN_POINT('',(37.5,7.82,-0.035)); #507989=CARTESIAN_POINT('',(37.5,7.82,-0.035)); #507990=CARTESIAN_POINT('',(37.5,7.82,0.)); #507991=CARTESIAN_POINT('',(37.5,7.82,0.)); #507992=CARTESIAN_POINT('',(37.5,7.82,-0.035)); #507993=CARTESIAN_POINT('Origin',(37.5,6.67,-0.035)); #507994=CARTESIAN_POINT('',(37.5,6.67,-0.035)); #507995=CARTESIAN_POINT('',(37.5,6.67,-0.035)); #507996=CARTESIAN_POINT('',(37.5,6.67,0.)); #507997=CARTESIAN_POINT('',(37.5,6.67,0.)); #507998=CARTESIAN_POINT('',(37.5,6.67,-0.035)); #507999=CARTESIAN_POINT('Origin',(36.9,6.67,-0.035)); #508000=CARTESIAN_POINT('',(36.9,6.67,-0.035)); #508001=CARTESIAN_POINT('',(36.9,6.67,0.)); #508002=CARTESIAN_POINT('Origin',(37.2,7.245,0.)); #508003=CARTESIAN_POINT('Origin',(37.2,7.245,-0.035)); #508004=CARTESIAN_POINT('Origin',(13.6571,44.5555,-0.035)); #508005=CARTESIAN_POINT('',(13.6571,44.5555,-0.035)); #508006=CARTESIAN_POINT('',(13.6571,45.4445,-0.035)); #508007=CARTESIAN_POINT('',(13.6571,44.5555,-0.035)); #508008=CARTESIAN_POINT('',(13.6571,45.4445,0.)); #508009=CARTESIAN_POINT('',(13.6571,45.4445,-0.035)); #508010=CARTESIAN_POINT('',(13.6571,44.5555,0.)); #508011=CARTESIAN_POINT('',(13.6571,44.5555,0.)); #508012=CARTESIAN_POINT('',(13.6571,44.5555,-0.035)); #508013=CARTESIAN_POINT('Origin',(12.8189,44.5555,-0.035)); #508014=CARTESIAN_POINT('',(12.8189,44.5555,-0.035)); #508015=CARTESIAN_POINT('',(12.8189,44.5555,-0.035)); #508016=CARTESIAN_POINT('',(12.8189,44.5555,0.)); #508017=CARTESIAN_POINT('',(12.8189,44.5555,0.)); #508018=CARTESIAN_POINT('',(12.8189,44.5555,-0.035)); #508019=CARTESIAN_POINT('Origin',(12.8189,45.4445,-0.035)); #508020=CARTESIAN_POINT('',(12.8189,45.4445,-0.035)); #508021=CARTESIAN_POINT('',(12.8189,45.4445,-0.035)); #508022=CARTESIAN_POINT('',(12.8189,45.4445,0.)); #508023=CARTESIAN_POINT('',(12.8189,45.4445,0.)); #508024=CARTESIAN_POINT('',(12.8189,45.4445,-0.035)); #508025=CARTESIAN_POINT('Origin',(13.6571,45.4445,-0.035)); #508026=CARTESIAN_POINT('',(13.6571,45.4445,-0.035)); #508027=CARTESIAN_POINT('',(13.6571,45.4445,0.)); #508028=CARTESIAN_POINT('Origin',(13.238,45.,0.)); #508029=CARTESIAN_POINT('Origin',(13.238,45.,-0.035)); #508030=CARTESIAN_POINT('Origin',(30.8,7.6,-200.)); #508031=CARTESIAN_POINT('',(30.685,7.6,-0.0349999999999895)); #508032=CARTESIAN_POINT('Origin',(30.8,7.6,-0.0349999999999895)); #508033=CARTESIAN_POINT('',(30.685,7.6,0.)); #508034=CARTESIAN_POINT('',(30.685,7.6,-200.)); #508035=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #508036=CARTESIAN_POINT('Origin',(30.8,7.1,-200.)); #508037=CARTESIAN_POINT('',(30.685,7.1,-0.0349999999999895)); #508038=CARTESIAN_POINT('Origin',(30.8,7.1,-0.0349999999999895)); #508039=CARTESIAN_POINT('',(30.685,7.1,0.)); #508040=CARTESIAN_POINT('',(30.685,7.1,-200.)); #508041=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #508042=CARTESIAN_POINT('Origin',(30.5,7.82,-0.035)); #508043=CARTESIAN_POINT('',(30.5,7.82,-0.035)); #508044=CARTESIAN_POINT('',(30.5,6.67,-0.035)); #508045=CARTESIAN_POINT('',(30.5,7.82,-0.035)); #508046=CARTESIAN_POINT('',(30.5,6.67,0.)); #508047=CARTESIAN_POINT('',(30.5,6.67,-0.035)); #508048=CARTESIAN_POINT('',(30.5,7.82,0.)); #508049=CARTESIAN_POINT('',(30.5,7.82,0.)); #508050=CARTESIAN_POINT('',(30.5,7.82,-0.035)); #508051=CARTESIAN_POINT('Origin',(31.1,7.82,-0.035)); #508052=CARTESIAN_POINT('',(31.1,7.82,-0.035)); #508053=CARTESIAN_POINT('',(31.1,7.82,-0.035)); #508054=CARTESIAN_POINT('',(31.1,7.82,0.)); #508055=CARTESIAN_POINT('',(31.1,7.82,0.)); #508056=CARTESIAN_POINT('',(31.1,7.82,-0.035)); #508057=CARTESIAN_POINT('Origin',(31.1,6.67,-0.035)); #508058=CARTESIAN_POINT('',(31.1,6.67,-0.035)); #508059=CARTESIAN_POINT('',(31.1,6.67,-0.035)); #508060=CARTESIAN_POINT('',(31.1,6.67,0.)); #508061=CARTESIAN_POINT('',(31.1,6.67,0.)); #508062=CARTESIAN_POINT('',(31.1,6.67,-0.035)); #508063=CARTESIAN_POINT('Origin',(30.5,6.67,-0.035)); #508064=CARTESIAN_POINT('',(30.5,6.67,-0.035)); #508065=CARTESIAN_POINT('',(30.5,6.67,0.)); #508066=CARTESIAN_POINT('Origin',(30.8,7.245,0.)); #508067=CARTESIAN_POINT('Origin',(30.8,7.245,-0.035)); #508068=CARTESIAN_POINT('Origin',(37.6571,65.5555,-0.035)); #508069=CARTESIAN_POINT('',(37.6571,65.5555,-0.035)); #508070=CARTESIAN_POINT('',(37.6571,66.4445,-0.035)); #508071=CARTESIAN_POINT('',(37.6571,65.5555,-0.035)); #508072=CARTESIAN_POINT('',(37.6571,66.4445,0.)); #508073=CARTESIAN_POINT('',(37.6571,66.4445,-0.035)); #508074=CARTESIAN_POINT('',(37.6571,65.5555,0.)); #508075=CARTESIAN_POINT('',(37.6571,65.5555,0.)); #508076=CARTESIAN_POINT('',(37.6571,65.5555,-0.035)); #508077=CARTESIAN_POINT('Origin',(36.8189,65.5555,-0.035)); #508078=CARTESIAN_POINT('',(36.8189,65.5555,-0.035)); #508079=CARTESIAN_POINT('',(36.8189,65.5555,-0.035)); #508080=CARTESIAN_POINT('',(36.8189,65.5555,0.)); #508081=CARTESIAN_POINT('',(36.8189,65.5555,0.)); #508082=CARTESIAN_POINT('',(36.8189,65.5555,-0.035)); #508083=CARTESIAN_POINT('Origin',(36.8189,66.4445,-0.035)); #508084=CARTESIAN_POINT('',(36.8189,66.4445,-0.035)); #508085=CARTESIAN_POINT('',(36.8189,66.4445,-0.035)); #508086=CARTESIAN_POINT('',(36.8189,66.4445,0.)); #508087=CARTESIAN_POINT('',(36.8189,66.4445,0.)); #508088=CARTESIAN_POINT('',(36.8189,66.4445,-0.035)); #508089=CARTESIAN_POINT('Origin',(37.6571,66.4445,-0.035)); #508090=CARTESIAN_POINT('',(37.6571,66.4445,-0.035)); #508091=CARTESIAN_POINT('',(37.6571,66.4445,0.)); #508092=CARTESIAN_POINT('Origin',(37.238,66.,0.)); #508093=CARTESIAN_POINT('Origin',(37.238,66.,-0.035)); #508094=CARTESIAN_POINT('Origin',(46.3998,22.7905,-0.035)); #508095=CARTESIAN_POINT('',(46.3998,22.7905,-0.035)); #508096=CARTESIAN_POINT('',(46.3998,24.4415,-0.035)); #508097=CARTESIAN_POINT('',(46.3998,22.7905,-0.035)); #508098=CARTESIAN_POINT('',(46.3998,24.4415,0.)); #508099=CARTESIAN_POINT('',(46.3998,24.4415,-0.035)); #508100=CARTESIAN_POINT('',(46.3998,22.7905,0.)); #508101=CARTESIAN_POINT('',(46.3998,22.7905,0.)); #508102=CARTESIAN_POINT('',(46.3998,22.7905,-0.035)); #508103=CARTESIAN_POINT('Origin',(45.7902,22.7905,-0.035)); #508104=CARTESIAN_POINT('',(45.7902,22.7905,-0.035)); #508105=CARTESIAN_POINT('',(45.7902,22.7905,-0.035)); #508106=CARTESIAN_POINT('',(45.7902,22.7905,0.)); #508107=CARTESIAN_POINT('',(45.7902,22.7905,0.)); #508108=CARTESIAN_POINT('',(45.7902,22.7905,-0.035)); #508109=CARTESIAN_POINT('Origin',(45.7902,24.4415,-0.035)); #508110=CARTESIAN_POINT('',(45.7902,24.4415,-0.035)); #508111=CARTESIAN_POINT('',(45.7902,24.4415,-0.035)); #508112=CARTESIAN_POINT('',(45.7902,24.4415,0.)); #508113=CARTESIAN_POINT('',(45.7902,24.4415,0.)); #508114=CARTESIAN_POINT('',(45.7902,24.4415,-0.035)); #508115=CARTESIAN_POINT('Origin',(46.3998,24.4415,-0.035)); #508116=CARTESIAN_POINT('',(46.3998,24.4415,-0.035)); #508117=CARTESIAN_POINT('',(46.3998,24.4415,0.)); #508118=CARTESIAN_POINT('Origin',(46.095,23.616,0.)); #508119=CARTESIAN_POINT('Origin',(46.095,23.616,-0.035)); #508120=CARTESIAN_POINT('Origin',(22.935,58.15,-0.035)); #508121=CARTESIAN_POINT('',(22.935,58.15,-0.035)); #508122=CARTESIAN_POINT('',(22.935,59.35,-0.035)); #508123=CARTESIAN_POINT('',(22.935,58.15,-0.035)); #508124=CARTESIAN_POINT('',(22.935,59.35,0.)); #508125=CARTESIAN_POINT('',(22.935,59.35,-0.035)); #508126=CARTESIAN_POINT('',(22.935,58.15,0.)); #508127=CARTESIAN_POINT('',(22.935,58.15,0.)); #508128=CARTESIAN_POINT('',(22.935,58.15,-0.035)); #508129=CARTESIAN_POINT('Origin',(22.335,58.15,-0.035)); #508130=CARTESIAN_POINT('',(22.335,58.15,-0.035)); #508131=CARTESIAN_POINT('',(22.335,58.15,-0.035)); #508132=CARTESIAN_POINT('',(22.335,58.15,0.)); #508133=CARTESIAN_POINT('',(22.335,58.15,0.)); #508134=CARTESIAN_POINT('',(22.335,58.15,-0.035)); #508135=CARTESIAN_POINT('Origin',(22.335,59.35,-0.035)); #508136=CARTESIAN_POINT('',(22.335,59.35,-0.035)); #508137=CARTESIAN_POINT('',(22.335,59.35,-0.035)); #508138=CARTESIAN_POINT('',(22.335,59.35,0.)); #508139=CARTESIAN_POINT('',(22.335,59.35,0.)); #508140=CARTESIAN_POINT('',(22.335,59.35,-0.035)); #508141=CARTESIAN_POINT('Origin',(22.935,59.35,-0.035)); #508142=CARTESIAN_POINT('',(22.935,59.35,-0.035)); #508143=CARTESIAN_POINT('',(22.935,59.35,0.)); #508144=CARTESIAN_POINT('Origin',(22.635,58.75,0.)); #508145=CARTESIAN_POINT('Origin',(22.635,58.75,-0.035)); #508146=CARTESIAN_POINT('Origin',(20.3175,23.515,-0.035)); #508147=CARTESIAN_POINT('',(20.3175,23.515,-0.035)); #508148=CARTESIAN_POINT('',(20.3175,24.785,-0.035)); #508149=CARTESIAN_POINT('',(20.3175,23.515,-0.035)); #508150=CARTESIAN_POINT('',(20.3175,24.785,0.)); #508151=CARTESIAN_POINT('',(20.3175,24.785,-0.035)); #508152=CARTESIAN_POINT('',(20.3175,23.515,0.)); #508153=CARTESIAN_POINT('',(20.3175,23.515,0.)); #508154=CARTESIAN_POINT('',(20.3175,23.515,-0.035)); #508155=CARTESIAN_POINT('Origin',(19.6825,23.515,-0.035)); #508156=CARTESIAN_POINT('',(19.6825,23.515,-0.035)); #508157=CARTESIAN_POINT('',(19.6825,23.515,-0.035)); #508158=CARTESIAN_POINT('',(19.6825,23.515,0.)); #508159=CARTESIAN_POINT('',(19.6825,23.515,0.)); #508160=CARTESIAN_POINT('',(19.6825,23.515,-0.035)); #508161=CARTESIAN_POINT('Origin',(19.6825,24.785,-0.035)); #508162=CARTESIAN_POINT('',(19.6825,24.785,-0.035)); #508163=CARTESIAN_POINT('',(19.6825,24.785,-0.035)); #508164=CARTESIAN_POINT('',(19.6825,24.785,0.)); #508165=CARTESIAN_POINT('',(19.6825,24.785,0.)); #508166=CARTESIAN_POINT('',(19.6825,24.785,-0.035)); #508167=CARTESIAN_POINT('Origin',(20.3175,24.785,-0.035)); #508168=CARTESIAN_POINT('',(20.3175,24.785,-0.035)); #508169=CARTESIAN_POINT('',(20.3175,24.785,0.)); #508170=CARTESIAN_POINT('Origin',(20.,24.15,0.)); #508171=CARTESIAN_POINT('Origin',(20.,24.15,-0.035)); #508172=CARTESIAN_POINT('Origin',(45.665,58.15,-0.035)); #508173=CARTESIAN_POINT('',(45.665,58.15,-0.035)); #508174=CARTESIAN_POINT('',(45.665,59.35,-0.035)); #508175=CARTESIAN_POINT('',(45.665,58.15,-0.035)); #508176=CARTESIAN_POINT('',(45.665,59.35,0.)); #508177=CARTESIAN_POINT('',(45.665,59.35,-0.035)); #508178=CARTESIAN_POINT('',(45.665,58.15,0.)); #508179=CARTESIAN_POINT('',(45.665,58.15,0.)); #508180=CARTESIAN_POINT('',(45.665,58.15,-0.035)); #508181=CARTESIAN_POINT('Origin',(45.065,58.15,-0.035)); #508182=CARTESIAN_POINT('',(45.065,58.15,-0.035)); #508183=CARTESIAN_POINT('',(45.065,58.15,-0.035)); #508184=CARTESIAN_POINT('',(45.065,58.15,0.)); #508185=CARTESIAN_POINT('',(45.065,58.15,0.)); #508186=CARTESIAN_POINT('',(45.065,58.15,-0.035)); #508187=CARTESIAN_POINT('Origin',(45.065,59.35,-0.035)); #508188=CARTESIAN_POINT('',(45.065,59.35,-0.035)); #508189=CARTESIAN_POINT('',(45.065,59.35,-0.035)); #508190=CARTESIAN_POINT('',(45.065,59.35,0.)); #508191=CARTESIAN_POINT('',(45.065,59.35,0.)); #508192=CARTESIAN_POINT('',(45.065,59.35,-0.035)); #508193=CARTESIAN_POINT('Origin',(45.665,59.35,-0.035)); #508194=CARTESIAN_POINT('',(45.665,59.35,-0.035)); #508195=CARTESIAN_POINT('',(45.665,59.35,0.)); #508196=CARTESIAN_POINT('Origin',(45.365,58.75,0.)); #508197=CARTESIAN_POINT('Origin',(45.365,58.75,-0.035)); #508198=CARTESIAN_POINT('Origin',(42.1811,22.5555,-0.035)); #508199=CARTESIAN_POINT('',(42.1811,22.5555,-0.035)); #508200=CARTESIAN_POINT('',(42.1811,23.4445,-0.035)); #508201=CARTESIAN_POINT('',(42.1811,22.5555,-0.035)); #508202=CARTESIAN_POINT('',(42.1811,23.4445,0.)); #508203=CARTESIAN_POINT('',(42.1811,23.4445,-0.035)); #508204=CARTESIAN_POINT('',(42.1811,22.5555,0.)); #508205=CARTESIAN_POINT('',(42.1811,22.5555,0.)); #508206=CARTESIAN_POINT('',(42.1811,22.5555,-0.035)); #508207=CARTESIAN_POINT('Origin',(41.3429,22.5555,-0.035)); #508208=CARTESIAN_POINT('',(41.3429,22.5555,-0.035)); #508209=CARTESIAN_POINT('',(41.3429,22.5555,-0.035)); #508210=CARTESIAN_POINT('',(41.3429,22.5555,0.)); #508211=CARTESIAN_POINT('',(41.3429,22.5555,0.)); #508212=CARTESIAN_POINT('',(41.3429,22.5555,-0.035)); #508213=CARTESIAN_POINT('Origin',(41.3429,23.4445,-0.035)); #508214=CARTESIAN_POINT('',(41.3429,23.4445,-0.035)); #508215=CARTESIAN_POINT('',(41.3429,23.4445,-0.035)); #508216=CARTESIAN_POINT('',(41.3429,23.4445,0.)); #508217=CARTESIAN_POINT('',(41.3429,23.4445,0.)); #508218=CARTESIAN_POINT('',(41.3429,23.4445,-0.035)); #508219=CARTESIAN_POINT('Origin',(42.1811,23.4445,-0.035)); #508220=CARTESIAN_POINT('',(42.1811,23.4445,-0.035)); #508221=CARTESIAN_POINT('',(42.1811,23.4445,0.)); #508222=CARTESIAN_POINT('Origin',(41.762,23.,0.)); #508223=CARTESIAN_POINT('Origin',(41.762,23.,-0.035)); #508224=CARTESIAN_POINT('Origin',(55.1811,44.5555,-0.035)); #508225=CARTESIAN_POINT('',(55.1811,44.5555,-0.035)); #508226=CARTESIAN_POINT('',(55.1811,45.4445,-0.035)); #508227=CARTESIAN_POINT('',(55.1811,44.5555,-0.035)); #508228=CARTESIAN_POINT('',(55.1811,45.4445,0.)); #508229=CARTESIAN_POINT('',(55.1811,45.4445,-0.035)); #508230=CARTESIAN_POINT('',(55.1811,44.5555,0.)); #508231=CARTESIAN_POINT('',(55.1811,44.5555,0.)); #508232=CARTESIAN_POINT('',(55.1811,44.5555,-0.035)); #508233=CARTESIAN_POINT('Origin',(54.3429,44.5555,-0.035)); #508234=CARTESIAN_POINT('',(54.3429,44.5555,-0.035)); #508235=CARTESIAN_POINT('',(54.3429,44.5555,-0.035)); #508236=CARTESIAN_POINT('',(54.3429,44.5555,0.)); #508237=CARTESIAN_POINT('',(54.3429,44.5555,0.)); #508238=CARTESIAN_POINT('',(54.3429,44.5555,-0.035)); #508239=CARTESIAN_POINT('Origin',(54.3429,45.4445,-0.035)); #508240=CARTESIAN_POINT('',(54.3429,45.4445,-0.035)); #508241=CARTESIAN_POINT('',(54.3429,45.4445,-0.035)); #508242=CARTESIAN_POINT('',(54.3429,45.4445,0.)); #508243=CARTESIAN_POINT('',(54.3429,45.4445,0.)); #508244=CARTESIAN_POINT('',(54.3429,45.4445,-0.035)); #508245=CARTESIAN_POINT('Origin',(55.1811,45.4445,-0.035)); #508246=CARTESIAN_POINT('',(55.1811,45.4445,-0.035)); #508247=CARTESIAN_POINT('',(55.1811,45.4445,0.)); #508248=CARTESIAN_POINT('Origin',(54.762,45.,0.)); #508249=CARTESIAN_POINT('Origin',(54.762,45.,-0.035)); #508250=CARTESIAN_POINT('Origin',(21.1811,19.5555,-0.035)); #508251=CARTESIAN_POINT('',(21.1811,19.5555,-0.035)); #508252=CARTESIAN_POINT('',(21.1811,20.4445,-0.035)); #508253=CARTESIAN_POINT('',(21.1811,19.5555,-0.035)); #508254=CARTESIAN_POINT('',(21.1811,20.4445,0.)); #508255=CARTESIAN_POINT('',(21.1811,20.4445,-0.035)); #508256=CARTESIAN_POINT('',(21.1811,19.5555,0.)); #508257=CARTESIAN_POINT('',(21.1811,19.5555,0.)); #508258=CARTESIAN_POINT('',(21.1811,19.5555,-0.035)); #508259=CARTESIAN_POINT('Origin',(20.3429,19.5555,-0.035)); #508260=CARTESIAN_POINT('',(20.3429,19.5555,-0.035)); #508261=CARTESIAN_POINT('',(20.3429,19.5555,-0.035)); #508262=CARTESIAN_POINT('',(20.3429,19.5555,0.)); #508263=CARTESIAN_POINT('',(20.3429,19.5555,0.)); #508264=CARTESIAN_POINT('',(20.3429,19.5555,-0.035)); #508265=CARTESIAN_POINT('Origin',(20.3429,20.4445,-0.035)); #508266=CARTESIAN_POINT('',(20.3429,20.4445,-0.035)); #508267=CARTESIAN_POINT('',(20.3429,20.4445,-0.035)); #508268=CARTESIAN_POINT('',(20.3429,20.4445,0.)); #508269=CARTESIAN_POINT('',(20.3429,20.4445,0.)); #508270=CARTESIAN_POINT('',(20.3429,20.4445,-0.035)); #508271=CARTESIAN_POINT('Origin',(21.1811,20.4445,-0.035)); #508272=CARTESIAN_POINT('',(21.1811,20.4445,-0.035)); #508273=CARTESIAN_POINT('',(21.1811,20.4445,0.)); #508274=CARTESIAN_POINT('Origin',(20.762,20.,0.)); #508275=CARTESIAN_POINT('Origin',(20.762,20.,-0.035)); #508276=CARTESIAN_POINT('Origin',(33.6571,65.5555,-0.035)); #508277=CARTESIAN_POINT('',(33.6571,65.5555,-0.035)); #508278=CARTESIAN_POINT('',(33.6571,66.4445,-0.035)); #508279=CARTESIAN_POINT('',(33.6571,65.5555,-0.035)); #508280=CARTESIAN_POINT('',(33.6571,66.4445,0.)); #508281=CARTESIAN_POINT('',(33.6571,66.4445,-0.035)); #508282=CARTESIAN_POINT('',(33.6571,65.5555,0.)); #508283=CARTESIAN_POINT('',(33.6571,65.5555,0.)); #508284=CARTESIAN_POINT('',(33.6571,65.5555,-0.035)); #508285=CARTESIAN_POINT('Origin',(32.8189,65.5555,-0.035)); #508286=CARTESIAN_POINT('',(32.8189,65.5555,-0.035)); #508287=CARTESIAN_POINT('',(32.8189,65.5555,-0.035)); #508288=CARTESIAN_POINT('',(32.8189,65.5555,0.)); #508289=CARTESIAN_POINT('',(32.8189,65.5555,0.)); #508290=CARTESIAN_POINT('',(32.8189,65.5555,-0.035)); #508291=CARTESIAN_POINT('Origin',(32.8189,66.4445,-0.035)); #508292=CARTESIAN_POINT('',(32.8189,66.4445,-0.035)); #508293=CARTESIAN_POINT('',(32.8189,66.4445,-0.035)); #508294=CARTESIAN_POINT('',(32.8189,66.4445,0.)); #508295=CARTESIAN_POINT('',(32.8189,66.4445,0.)); #508296=CARTESIAN_POINT('',(32.8189,66.4445,-0.035)); #508297=CARTESIAN_POINT('Origin',(33.6571,66.4445,-0.035)); #508298=CARTESIAN_POINT('',(33.6571,66.4445,-0.035)); #508299=CARTESIAN_POINT('',(33.6571,66.4445,0.)); #508300=CARTESIAN_POINT('Origin',(33.238,66.,0.)); #508301=CARTESIAN_POINT('Origin',(33.238,66.,-0.035)); #508302=CARTESIAN_POINT('Origin',(56.3622,24.6665,-0.035)); #508303=CARTESIAN_POINT('',(56.3622,24.6665,-0.035)); #508304=CARTESIAN_POINT('',(56.3622,27.3335,-0.035)); #508305=CARTESIAN_POINT('',(56.3622,24.6665,-0.035)); #508306=CARTESIAN_POINT('',(56.3622,27.3335,0.)); #508307=CARTESIAN_POINT('',(56.3622,27.3335,-0.035)); #508308=CARTESIAN_POINT('',(56.3622,24.6665,0.)); #508309=CARTESIAN_POINT('',(56.3622,24.6665,0.)); #508310=CARTESIAN_POINT('',(56.3622,24.6665,-0.035)); #508311=CARTESIAN_POINT('Origin',(54.6858,24.6665,-0.035)); #508312=CARTESIAN_POINT('',(54.6858,24.6665,-0.035)); #508313=CARTESIAN_POINT('',(54.6858,24.6665,-0.035)); #508314=CARTESIAN_POINT('',(54.6858,24.6665,0.)); #508315=CARTESIAN_POINT('',(54.6858,24.6665,0.)); #508316=CARTESIAN_POINT('',(54.6858,24.6665,-0.035)); #508317=CARTESIAN_POINT('Origin',(54.6858,27.3335,-0.035)); #508318=CARTESIAN_POINT('',(54.6858,27.3335,-0.035)); #508319=CARTESIAN_POINT('',(54.6858,27.3335,-0.035)); #508320=CARTESIAN_POINT('',(54.6858,27.3335,0.)); #508321=CARTESIAN_POINT('',(54.6858,27.3335,0.)); #508322=CARTESIAN_POINT('',(54.6858,27.3335,-0.035)); #508323=CARTESIAN_POINT('Origin',(56.3622,27.3335,-0.035)); #508324=CARTESIAN_POINT('',(56.3622,27.3335,-0.035)); #508325=CARTESIAN_POINT('',(56.3622,27.3335,0.)); #508326=CARTESIAN_POINT('Origin',(55.524,26.,0.)); #508327=CARTESIAN_POINT('Origin',(55.524,26.,-0.035)); #508328=CARTESIAN_POINT('Origin',(20.395,58.15,-0.035)); #508329=CARTESIAN_POINT('',(20.395,58.15,-0.035)); #508330=CARTESIAN_POINT('',(20.395,59.35,-0.035)); #508331=CARTESIAN_POINT('',(20.395,58.15,-0.035)); #508332=CARTESIAN_POINT('',(20.395,59.35,0.)); #508333=CARTESIAN_POINT('',(20.395,59.35,-0.035)); #508334=CARTESIAN_POINT('',(20.395,58.15,0.)); #508335=CARTESIAN_POINT('',(20.395,58.15,0.)); #508336=CARTESIAN_POINT('',(20.395,58.15,-0.035)); #508337=CARTESIAN_POINT('Origin',(19.795,58.15,-0.035)); #508338=CARTESIAN_POINT('',(19.795,58.15,-0.035)); #508339=CARTESIAN_POINT('',(19.795,58.15,-0.035)); #508340=CARTESIAN_POINT('',(19.795,58.15,0.)); #508341=CARTESIAN_POINT('',(19.795,58.15,0.)); #508342=CARTESIAN_POINT('',(19.795,58.15,-0.035)); #508343=CARTESIAN_POINT('Origin',(19.795,59.35,-0.035)); #508344=CARTESIAN_POINT('',(19.795,59.35,-0.035)); #508345=CARTESIAN_POINT('',(19.795,59.35,-0.035)); #508346=CARTESIAN_POINT('',(19.795,59.35,0.)); #508347=CARTESIAN_POINT('',(19.795,59.35,0.)); #508348=CARTESIAN_POINT('',(19.795,59.35,-0.035)); #508349=CARTESIAN_POINT('Origin',(20.395,59.35,-0.035)); #508350=CARTESIAN_POINT('',(20.395,59.35,-0.035)); #508351=CARTESIAN_POINT('',(20.395,59.35,0.)); #508352=CARTESIAN_POINT('Origin',(20.095,58.75,0.)); #508353=CARTESIAN_POINT('Origin',(20.095,58.75,-0.035)); #508354=CARTESIAN_POINT('Origin',(13.6571,41.5555,-0.035)); #508355=CARTESIAN_POINT('',(13.6571,41.5555,-0.035)); #508356=CARTESIAN_POINT('',(13.6571,42.4445,-0.035)); #508357=CARTESIAN_POINT('',(13.6571,41.5555,-0.035)); #508358=CARTESIAN_POINT('',(13.6571,42.4445,0.)); #508359=CARTESIAN_POINT('',(13.6571,42.4445,-0.035)); #508360=CARTESIAN_POINT('',(13.6571,41.5555,0.)); #508361=CARTESIAN_POINT('',(13.6571,41.5555,0.)); #508362=CARTESIAN_POINT('',(13.6571,41.5555,-0.035)); #508363=CARTESIAN_POINT('Origin',(12.8189,41.5555,-0.035)); #508364=CARTESIAN_POINT('',(12.8189,41.5555,-0.035)); #508365=CARTESIAN_POINT('',(12.8189,41.5555,-0.035)); #508366=CARTESIAN_POINT('',(12.8189,41.5555,0.)); #508367=CARTESIAN_POINT('',(12.8189,41.5555,0.)); #508368=CARTESIAN_POINT('',(12.8189,41.5555,-0.035)); #508369=CARTESIAN_POINT('Origin',(12.8189,42.4445,-0.035)); #508370=CARTESIAN_POINT('',(12.8189,42.4445,-0.035)); #508371=CARTESIAN_POINT('',(12.8189,42.4445,-0.035)); #508372=CARTESIAN_POINT('',(12.8189,42.4445,0.)); #508373=CARTESIAN_POINT('',(12.8189,42.4445,0.)); #508374=CARTESIAN_POINT('',(12.8189,42.4445,-0.035)); #508375=CARTESIAN_POINT('Origin',(13.6571,42.4445,-0.035)); #508376=CARTESIAN_POINT('',(13.6571,42.4445,-0.035)); #508377=CARTESIAN_POINT('',(13.6571,42.4445,0.)); #508378=CARTESIAN_POINT('Origin',(13.238,42.,0.)); #508379=CARTESIAN_POINT('Origin',(13.238,42.,-0.035)); #508380=CARTESIAN_POINT('Origin',(44.395,58.15,-0.035)); #508381=CARTESIAN_POINT('',(44.395,58.15,-0.035)); #508382=CARTESIAN_POINT('',(44.395,59.35,-0.035)); #508383=CARTESIAN_POINT('',(44.395,58.15,-0.035)); #508384=CARTESIAN_POINT('',(44.395,59.35,0.)); #508385=CARTESIAN_POINT('',(44.395,59.35,-0.035)); #508386=CARTESIAN_POINT('',(44.395,58.15,0.)); #508387=CARTESIAN_POINT('',(44.395,58.15,0.)); #508388=CARTESIAN_POINT('',(44.395,58.15,-0.035)); #508389=CARTESIAN_POINT('Origin',(43.795,58.15,-0.035)); #508390=CARTESIAN_POINT('',(43.795,58.15,-0.035)); #508391=CARTESIAN_POINT('',(43.795,58.15,-0.035)); #508392=CARTESIAN_POINT('',(43.795,58.15,0.)); #508393=CARTESIAN_POINT('',(43.795,58.15,0.)); #508394=CARTESIAN_POINT('',(43.795,58.15,-0.035)); #508395=CARTESIAN_POINT('Origin',(43.795,59.35,-0.035)); #508396=CARTESIAN_POINT('',(43.795,59.35,-0.035)); #508397=CARTESIAN_POINT('',(43.795,59.35,-0.035)); #508398=CARTESIAN_POINT('',(43.795,59.35,0.)); #508399=CARTESIAN_POINT('',(43.795,59.35,0.)); #508400=CARTESIAN_POINT('',(43.795,59.35,-0.035)); #508401=CARTESIAN_POINT('Origin',(44.395,59.35,-0.035)); #508402=CARTESIAN_POINT('',(44.395,59.35,-0.035)); #508403=CARTESIAN_POINT('',(44.395,59.35,0.)); #508404=CARTESIAN_POINT('Origin',(44.095,58.75,0.)); #508405=CARTESIAN_POINT('Origin',(44.095,58.75,-0.035)); #508406=CARTESIAN_POINT('Origin',(13.3142,24.6665,-0.035)); #508407=CARTESIAN_POINT('',(13.3142,24.6665,-0.035)); #508408=CARTESIAN_POINT('',(13.3142,27.3335,-0.035)); #508409=CARTESIAN_POINT('',(13.3142,24.6665,-0.035)); #508410=CARTESIAN_POINT('',(13.3142,27.3335,0.)); #508411=CARTESIAN_POINT('',(13.3142,27.3335,-0.035)); #508412=CARTESIAN_POINT('',(13.3142,24.6665,0.)); #508413=CARTESIAN_POINT('',(13.3142,24.6665,0.)); #508414=CARTESIAN_POINT('',(13.3142,24.6665,-0.035)); #508415=CARTESIAN_POINT('Origin',(11.6378,24.6665,-0.035)); #508416=CARTESIAN_POINT('',(11.6378,24.6665,-0.035)); #508417=CARTESIAN_POINT('',(11.6378,24.6665,-0.035)); #508418=CARTESIAN_POINT('',(11.6378,24.6665,0.)); #508419=CARTESIAN_POINT('',(11.6378,24.6665,0.)); #508420=CARTESIAN_POINT('',(11.6378,24.6665,-0.035)); #508421=CARTESIAN_POINT('Origin',(11.6378,27.3335,-0.035)); #508422=CARTESIAN_POINT('',(11.6378,27.3335,-0.035)); #508423=CARTESIAN_POINT('',(11.6378,27.3335,-0.035)); #508424=CARTESIAN_POINT('',(11.6378,27.3335,0.)); #508425=CARTESIAN_POINT('',(11.6378,27.3335,0.)); #508426=CARTESIAN_POINT('',(11.6378,27.3335,-0.035)); #508427=CARTESIAN_POINT('Origin',(13.3142,27.3335,-0.035)); #508428=CARTESIAN_POINT('',(13.3142,27.3335,-0.035)); #508429=CARTESIAN_POINT('',(13.3142,27.3335,0.)); #508430=CARTESIAN_POINT('Origin',(12.476,26.,0.)); #508431=CARTESIAN_POINT('Origin',(12.476,26.,-0.035)); #508432=CARTESIAN_POINT('Origin',(21.665,58.15,-0.035)); #508433=CARTESIAN_POINT('',(21.665,58.15,-0.035)); #508434=CARTESIAN_POINT('',(21.665,59.35,-0.035)); #508435=CARTESIAN_POINT('',(21.665,58.15,-0.035)); #508436=CARTESIAN_POINT('',(21.665,59.35,0.)); #508437=CARTESIAN_POINT('',(21.665,59.35,-0.035)); #508438=CARTESIAN_POINT('',(21.665,58.15,0.)); #508439=CARTESIAN_POINT('',(21.665,58.15,0.)); #508440=CARTESIAN_POINT('',(21.665,58.15,-0.035)); #508441=CARTESIAN_POINT('Origin',(21.065,58.15,-0.035)); #508442=CARTESIAN_POINT('',(21.065,58.15,-0.035)); #508443=CARTESIAN_POINT('',(21.065,58.15,-0.035)); #508444=CARTESIAN_POINT('',(21.065,58.15,0.)); #508445=CARTESIAN_POINT('',(21.065,58.15,0.)); #508446=CARTESIAN_POINT('',(21.065,58.15,-0.035)); #508447=CARTESIAN_POINT('Origin',(21.065,59.35,-0.035)); #508448=CARTESIAN_POINT('',(21.065,59.35,-0.035)); #508449=CARTESIAN_POINT('',(21.065,59.35,-0.035)); #508450=CARTESIAN_POINT('',(21.065,59.35,0.)); #508451=CARTESIAN_POINT('',(21.065,59.35,0.)); #508452=CARTESIAN_POINT('',(21.065,59.35,-0.035)); #508453=CARTESIAN_POINT('Origin',(21.665,59.35,-0.035)); #508454=CARTESIAN_POINT('',(21.665,59.35,-0.035)); #508455=CARTESIAN_POINT('',(21.665,59.35,0.)); #508456=CARTESIAN_POINT('Origin',(21.365,58.75,0.)); #508457=CARTESIAN_POINT('Origin',(21.365,58.75,-0.035)); #508458=CARTESIAN_POINT('Origin',(19.6571,25.5555,-0.035)); #508459=CARTESIAN_POINT('',(19.6571,25.5555,-0.035)); #508460=CARTESIAN_POINT('',(19.6571,26.4445,-0.035)); #508461=CARTESIAN_POINT('',(19.6571,25.5555,-0.035)); #508462=CARTESIAN_POINT('',(19.6571,26.4445,0.)); #508463=CARTESIAN_POINT('',(19.6571,26.4445,-0.035)); #508464=CARTESIAN_POINT('',(19.6571,25.5555,0.)); #508465=CARTESIAN_POINT('',(19.6571,25.5555,0.)); #508466=CARTESIAN_POINT('',(19.6571,25.5555,-0.035)); #508467=CARTESIAN_POINT('Origin',(18.8189,25.5555,-0.035)); #508468=CARTESIAN_POINT('',(18.8189,25.5555,-0.035)); #508469=CARTESIAN_POINT('',(18.8189,25.5555,-0.035)); #508470=CARTESIAN_POINT('',(18.8189,25.5555,0.)); #508471=CARTESIAN_POINT('',(18.8189,25.5555,0.)); #508472=CARTESIAN_POINT('',(18.8189,25.5555,-0.035)); #508473=CARTESIAN_POINT('Origin',(18.8189,26.4445,-0.035)); #508474=CARTESIAN_POINT('',(18.8189,26.4445,-0.035)); #508475=CARTESIAN_POINT('',(18.8189,26.4445,-0.035)); #508476=CARTESIAN_POINT('',(18.8189,26.4445,0.)); #508477=CARTESIAN_POINT('',(18.8189,26.4445,0.)); #508478=CARTESIAN_POINT('',(18.8189,26.4445,-0.035)); #508479=CARTESIAN_POINT('Origin',(19.6571,26.4445,-0.035)); #508480=CARTESIAN_POINT('',(19.6571,26.4445,-0.035)); #508481=CARTESIAN_POINT('',(19.6571,26.4445,0.)); #508482=CARTESIAN_POINT('Origin',(19.238,26.,0.)); #508483=CARTESIAN_POINT('Origin',(19.238,26.,-0.035)); #508484=CARTESIAN_POINT('Origin',(38.512,53.,-200.)); #508485=CARTESIAN_POINT('',(38.372,53.,-0.0349999999999895)); #508486=CARTESIAN_POINT('Origin',(38.512,53.,-0.0349999999999895)); #508487=CARTESIAN_POINT('',(38.372,53.,0.)); #508488=CARTESIAN_POINT('',(38.372,53.,-200.)); #508489=CARTESIAN_POINT('Origin',(38.512,53.,0.)); #508490=CARTESIAN_POINT('Origin',(41.25,52.25,-0.035)); #508491=CARTESIAN_POINT('',(41.25,52.25,-0.035)); #508492=CARTESIAN_POINT('',(41.25,57.75,-0.035)); #508493=CARTESIAN_POINT('',(41.25,52.25,-0.035)); #508494=CARTESIAN_POINT('',(41.25,57.75,0.)); #508495=CARTESIAN_POINT('',(41.25,57.75,-0.035)); #508496=CARTESIAN_POINT('',(41.25,52.25,0.)); #508497=CARTESIAN_POINT('',(41.25,52.25,0.)); #508498=CARTESIAN_POINT('',(41.25,52.25,-0.035)); #508499=CARTESIAN_POINT('Origin',(38.,52.25,-0.035)); #508500=CARTESIAN_POINT('',(38.,52.25,-0.035)); #508501=CARTESIAN_POINT('',(38.,52.25,-0.035)); #508502=CARTESIAN_POINT('',(38.,52.25,0.)); #508503=CARTESIAN_POINT('',(38.,52.25,0.)); #508504=CARTESIAN_POINT('',(38.,52.25,-0.035)); #508505=CARTESIAN_POINT('Origin',(38.,57.75,-0.035)); #508506=CARTESIAN_POINT('',(38.,57.75,-0.035)); #508507=CARTESIAN_POINT('',(38.,57.75,-0.035)); #508508=CARTESIAN_POINT('',(38.,57.75,0.)); #508509=CARTESIAN_POINT('',(38.,57.75,0.)); #508510=CARTESIAN_POINT('',(38.,57.75,-0.035)); #508511=CARTESIAN_POINT('Origin',(41.25,57.75,-0.035)); #508512=CARTESIAN_POINT('',(41.25,57.75,-0.035)); #508513=CARTESIAN_POINT('',(41.25,57.75,0.)); #508514=CARTESIAN_POINT('Origin',(39.625,55.,0.)); #508515=CARTESIAN_POINT('Origin',(39.625,55.,-0.035)); #508516=CARTESIAN_POINT('Origin',(48.205,52.65,-0.035)); #508517=CARTESIAN_POINT('',(48.205,52.65,-0.035)); #508518=CARTESIAN_POINT('',(48.205,53.85,-0.035)); #508519=CARTESIAN_POINT('',(48.205,52.65,-0.035)); #508520=CARTESIAN_POINT('',(48.205,53.85,0.)); #508521=CARTESIAN_POINT('',(48.205,53.85,-0.035)); #508522=CARTESIAN_POINT('',(48.205,52.65,0.)); #508523=CARTESIAN_POINT('',(48.205,52.65,0.)); #508524=CARTESIAN_POINT('',(48.205,52.65,-0.035)); #508525=CARTESIAN_POINT('Origin',(47.605,52.65,-0.035)); #508526=CARTESIAN_POINT('',(47.605,52.65,-0.035)); #508527=CARTESIAN_POINT('',(47.605,52.65,-0.035)); #508528=CARTESIAN_POINT('',(47.605,52.65,0.)); #508529=CARTESIAN_POINT('',(47.605,52.65,0.)); #508530=CARTESIAN_POINT('',(47.605,52.65,-0.035)); #508531=CARTESIAN_POINT('Origin',(47.605,53.85,-0.035)); #508532=CARTESIAN_POINT('',(47.605,53.85,-0.035)); #508533=CARTESIAN_POINT('',(47.605,53.85,-0.035)); #508534=CARTESIAN_POINT('',(47.605,53.85,0.)); #508535=CARTESIAN_POINT('',(47.605,53.85,0.)); #508536=CARTESIAN_POINT('',(47.605,53.85,-0.035)); #508537=CARTESIAN_POINT('Origin',(48.205,53.85,-0.035)); #508538=CARTESIAN_POINT('',(48.205,53.85,-0.035)); #508539=CARTESIAN_POINT('',(48.205,53.85,0.)); #508540=CARTESIAN_POINT('Origin',(47.905,53.25,0.)); #508541=CARTESIAN_POINT('Origin',(47.905,53.25,-0.035)); #508542=CARTESIAN_POINT('Origin',(44.395,52.65,-0.035)); #508543=CARTESIAN_POINT('',(44.395,52.65,-0.035)); #508544=CARTESIAN_POINT('',(44.395,53.85,-0.035)); #508545=CARTESIAN_POINT('',(44.395,52.65,-0.035)); #508546=CARTESIAN_POINT('',(44.395,53.85,0.)); #508547=CARTESIAN_POINT('',(44.395,53.85,-0.035)); #508548=CARTESIAN_POINT('',(44.395,52.65,0.)); #508549=CARTESIAN_POINT('',(44.395,52.65,0.)); #508550=CARTESIAN_POINT('',(44.395,52.65,-0.035)); #508551=CARTESIAN_POINT('Origin',(43.795,52.65,-0.035)); #508552=CARTESIAN_POINT('',(43.795,52.65,-0.035)); #508553=CARTESIAN_POINT('',(43.795,52.65,-0.035)); #508554=CARTESIAN_POINT('',(43.795,52.65,0.)); #508555=CARTESIAN_POINT('',(43.795,52.65,0.)); #508556=CARTESIAN_POINT('',(43.795,52.65,-0.035)); #508557=CARTESIAN_POINT('Origin',(43.795,53.85,-0.035)); #508558=CARTESIAN_POINT('',(43.795,53.85,-0.035)); #508559=CARTESIAN_POINT('',(43.795,53.85,-0.035)); #508560=CARTESIAN_POINT('',(43.795,53.85,0.)); #508561=CARTESIAN_POINT('',(43.795,53.85,0.)); #508562=CARTESIAN_POINT('',(43.795,53.85,-0.035)); #508563=CARTESIAN_POINT('Origin',(44.395,53.85,-0.035)); #508564=CARTESIAN_POINT('',(44.395,53.85,-0.035)); #508565=CARTESIAN_POINT('',(44.395,53.85,0.)); #508566=CARTESIAN_POINT('Origin',(44.095,53.25,0.)); #508567=CARTESIAN_POINT('Origin',(44.095,53.25,-0.035)); #508568=CARTESIAN_POINT('Origin',(46.935,49.15,-0.035)); #508569=CARTESIAN_POINT('',(46.935,49.15,-0.035)); #508570=CARTESIAN_POINT('',(46.935,50.35,-0.035)); #508571=CARTESIAN_POINT('',(46.935,49.15,-0.035)); #508572=CARTESIAN_POINT('',(46.935,50.35,0.)); #508573=CARTESIAN_POINT('',(46.935,50.35,-0.035)); #508574=CARTESIAN_POINT('',(46.935,49.15,0.)); #508575=CARTESIAN_POINT('',(46.935,49.15,0.)); #508576=CARTESIAN_POINT('',(46.935,49.15,-0.035)); #508577=CARTESIAN_POINT('Origin',(46.335,49.15,-0.035)); #508578=CARTESIAN_POINT('',(46.335,49.15,-0.035)); #508579=CARTESIAN_POINT('',(46.335,49.15,-0.035)); #508580=CARTESIAN_POINT('',(46.335,49.15,0.)); #508581=CARTESIAN_POINT('',(46.335,49.15,0.)); #508582=CARTESIAN_POINT('',(46.335,49.15,-0.035)); #508583=CARTESIAN_POINT('Origin',(46.335,50.35,-0.035)); #508584=CARTESIAN_POINT('',(46.335,50.35,-0.035)); #508585=CARTESIAN_POINT('',(46.335,50.35,-0.035)); #508586=CARTESIAN_POINT('',(46.335,50.35,0.)); #508587=CARTESIAN_POINT('',(46.335,50.35,0.)); #508588=CARTESIAN_POINT('',(46.335,50.35,-0.035)); #508589=CARTESIAN_POINT('Origin',(46.935,50.35,-0.035)); #508590=CARTESIAN_POINT('',(46.935,50.35,-0.035)); #508591=CARTESIAN_POINT('',(46.935,50.35,0.)); #508592=CARTESIAN_POINT('Origin',(46.635,49.75,0.)); #508593=CARTESIAN_POINT('Origin',(46.635,49.75,-0.035)); #508594=CARTESIAN_POINT('Origin',(46.935,52.65,-0.035)); #508595=CARTESIAN_POINT('',(46.935,52.65,-0.035)); #508596=CARTESIAN_POINT('',(46.935,53.85,-0.035)); #508597=CARTESIAN_POINT('',(46.935,52.65,-0.035)); #508598=CARTESIAN_POINT('',(46.935,53.85,0.)); #508599=CARTESIAN_POINT('',(46.935,53.85,-0.035)); #508600=CARTESIAN_POINT('',(46.935,52.65,0.)); #508601=CARTESIAN_POINT('',(46.935,52.65,0.)); #508602=CARTESIAN_POINT('',(46.935,52.65,-0.035)); #508603=CARTESIAN_POINT('Origin',(46.335,52.65,-0.035)); #508604=CARTESIAN_POINT('',(46.335,52.65,-0.035)); #508605=CARTESIAN_POINT('',(46.335,52.65,-0.035)); #508606=CARTESIAN_POINT('',(46.335,52.65,0.)); #508607=CARTESIAN_POINT('',(46.335,52.65,0.)); #508608=CARTESIAN_POINT('',(46.335,52.65,-0.035)); #508609=CARTESIAN_POINT('Origin',(46.335,53.85,-0.035)); #508610=CARTESIAN_POINT('',(46.335,53.85,-0.035)); #508611=CARTESIAN_POINT('',(46.335,53.85,-0.035)); #508612=CARTESIAN_POINT('',(46.335,53.85,0.)); #508613=CARTESIAN_POINT('',(46.335,53.85,0.)); #508614=CARTESIAN_POINT('',(46.335,53.85,-0.035)); #508615=CARTESIAN_POINT('Origin',(46.935,53.85,-0.035)); #508616=CARTESIAN_POINT('',(46.935,53.85,-0.035)); #508617=CARTESIAN_POINT('',(46.935,53.85,0.)); #508618=CARTESIAN_POINT('Origin',(46.635,53.25,0.)); #508619=CARTESIAN_POINT('Origin',(46.635,53.25,-0.035)); #508620=CARTESIAN_POINT('Origin',(45.125,56.75,-200.)); #508621=CARTESIAN_POINT('',(44.985,56.75,-0.0349999999999895)); #508622=CARTESIAN_POINT('Origin',(45.125,56.75,-0.0349999999999895)); #508623=CARTESIAN_POINT('',(44.985,56.75,0.)); #508624=CARTESIAN_POINT('',(44.985,56.75,-200.)); #508625=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #508626=CARTESIAN_POINT('Origin',(47.75,56.75,-200.)); #508627=CARTESIAN_POINT('',(47.61,56.75,-0.0349999999999895)); #508628=CARTESIAN_POINT('Origin',(47.75,56.75,-0.0349999999999895)); #508629=CARTESIAN_POINT('',(47.61,56.75,0.)); #508630=CARTESIAN_POINT('',(47.61,56.75,-200.)); #508631=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #508632=CARTESIAN_POINT('Origin',(47.75,55.25,-200.)); #508633=CARTESIAN_POINT('',(47.61,55.25,-0.0349999999999895)); #508634=CARTESIAN_POINT('Origin',(47.75,55.25,-0.0349999999999895)); #508635=CARTESIAN_POINT('',(47.61,55.25,0.)); #508636=CARTESIAN_POINT('',(47.61,55.25,-200.)); #508637=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #508638=CARTESIAN_POINT('Origin',(47.75,56.,-200.)); #508639=CARTESIAN_POINT('',(47.61,56.,-0.0349999999999895)); #508640=CARTESIAN_POINT('Origin',(47.75,56.,-0.0349999999999895)); #508641=CARTESIAN_POINT('',(47.61,56.,0.)); #508642=CARTESIAN_POINT('',(47.61,56.,-200.)); #508643=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #508644=CARTESIAN_POINT('Origin',(46.,56.,-200.)); #508645=CARTESIAN_POINT('',(45.86,56.,-0.0349999999999895)); #508646=CARTESIAN_POINT('Origin',(46.,56.,-0.0349999999999895)); #508647=CARTESIAN_POINT('',(45.86,56.,0.)); #508648=CARTESIAN_POINT('',(45.86,56.,-200.)); #508649=CARTESIAN_POINT('Origin',(46.,56.,0.)); #508650=CARTESIAN_POINT('Origin',(44.25,56.,-200.)); #508651=CARTESIAN_POINT('',(44.11,56.,-0.0349999999999895)); #508652=CARTESIAN_POINT('Origin',(44.25,56.,-0.0349999999999895)); #508653=CARTESIAN_POINT('',(44.11,56.,0.)); #508654=CARTESIAN_POINT('',(44.11,56.,-200.)); #508655=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #508656=CARTESIAN_POINT('Origin',(46.,56.75,-200.)); #508657=CARTESIAN_POINT('',(45.86,56.75,-0.0349999999999895)); #508658=CARTESIAN_POINT('Origin',(46.,56.75,-0.0349999999999895)); #508659=CARTESIAN_POINT('',(45.86,56.75,0.)); #508660=CARTESIAN_POINT('',(45.86,56.75,-200.)); #508661=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #508662=CARTESIAN_POINT('Origin',(46.,55.25,-200.)); #508663=CARTESIAN_POINT('',(45.86,55.25,-0.0349999999999895)); #508664=CARTESIAN_POINT('Origin',(46.,55.25,-0.0349999999999895)); #508665=CARTESIAN_POINT('',(45.86,55.25,0.)); #508666=CARTESIAN_POINT('',(45.86,55.25,-200.)); #508667=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #508668=CARTESIAN_POINT('Origin',(44.25,56.75,-200.)); #508669=CARTESIAN_POINT('',(44.11,56.75,-0.0349999999999895)); #508670=CARTESIAN_POINT('Origin',(44.25,56.75,-0.0349999999999895)); #508671=CARTESIAN_POINT('',(44.11,56.75,0.)); #508672=CARTESIAN_POINT('',(44.11,56.75,-200.)); #508673=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #508674=CARTESIAN_POINT('Origin',(44.25,55.25,-200.)); #508675=CARTESIAN_POINT('',(44.11,55.25,-0.0349999999999895)); #508676=CARTESIAN_POINT('Origin',(44.25,55.25,-0.0349999999999895)); #508677=CARTESIAN_POINT('',(44.11,55.25,0.)); #508678=CARTESIAN_POINT('',(44.11,55.25,-200.)); #508679=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #508680=CARTESIAN_POINT('Origin',(46.875,56.,-200.)); #508681=CARTESIAN_POINT('',(46.735,56.,-0.0349999999999895)); #508682=CARTESIAN_POINT('Origin',(46.875,56.,-0.0349999999999895)); #508683=CARTESIAN_POINT('',(46.735,56.,0.)); #508684=CARTESIAN_POINT('',(46.735,56.,-200.)); #508685=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #508686=CARTESIAN_POINT('Origin',(46.875,55.25,-200.)); #508687=CARTESIAN_POINT('',(46.735,55.25,-0.0349999999999895)); #508688=CARTESIAN_POINT('Origin',(46.875,55.25,-0.0349999999999895)); #508689=CARTESIAN_POINT('',(46.735,55.25,0.)); #508690=CARTESIAN_POINT('',(46.735,55.25,-200.)); #508691=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #508692=CARTESIAN_POINT('Origin',(45.125,56.,-200.)); #508693=CARTESIAN_POINT('',(44.985,56.,-0.0349999999999895)); #508694=CARTESIAN_POINT('Origin',(45.125,56.,-0.0349999999999895)); #508695=CARTESIAN_POINT('',(44.985,56.,0.)); #508696=CARTESIAN_POINT('',(44.985,56.,-200.)); #508697=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #508698=CARTESIAN_POINT('Origin',(45.125,55.25,-200.)); #508699=CARTESIAN_POINT('',(44.985,55.25,-0.0349999999999895)); #508700=CARTESIAN_POINT('Origin',(45.125,55.25,-0.0349999999999895)); #508701=CARTESIAN_POINT('',(44.985,55.25,0.)); #508702=CARTESIAN_POINT('',(44.985,55.25,-200.)); #508703=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #508704=CARTESIAN_POINT('Origin',(46.875,56.75,-200.)); #508705=CARTESIAN_POINT('',(46.735,56.75,-0.0349999999999895)); #508706=CARTESIAN_POINT('Origin',(46.875,56.75,-0.0349999999999895)); #508707=CARTESIAN_POINT('',(46.735,56.75,0.)); #508708=CARTESIAN_POINT('',(46.735,56.75,-200.)); #508709=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #508710=CARTESIAN_POINT('Origin',(48.205,54.75,-0.035)); #508711=CARTESIAN_POINT('',(48.205,54.75,-0.035)); #508712=CARTESIAN_POINT('',(48.205,57.25,-0.035)); #508713=CARTESIAN_POINT('',(48.205,54.75,-0.035)); #508714=CARTESIAN_POINT('',(48.205,57.25,0.)); #508715=CARTESIAN_POINT('',(48.205,57.25,-0.035)); #508716=CARTESIAN_POINT('',(48.205,54.75,0.)); #508717=CARTESIAN_POINT('',(48.205,54.75,0.)); #508718=CARTESIAN_POINT('',(48.205,54.75,-0.035)); #508719=CARTESIAN_POINT('Origin',(43.795,54.75,-0.035)); #508720=CARTESIAN_POINT('',(43.795,54.75,-0.035)); #508721=CARTESIAN_POINT('',(43.795,54.75,-0.035)); #508722=CARTESIAN_POINT('',(43.795,54.75,0.)); #508723=CARTESIAN_POINT('',(43.795,54.75,0.)); #508724=CARTESIAN_POINT('',(43.795,54.75,-0.035)); #508725=CARTESIAN_POINT('Origin',(43.795,57.25,-0.035)); #508726=CARTESIAN_POINT('',(43.795,57.25,-0.035)); #508727=CARTESIAN_POINT('',(43.795,57.25,-0.035)); #508728=CARTESIAN_POINT('',(43.795,57.25,0.)); #508729=CARTESIAN_POINT('',(43.795,57.25,0.)); #508730=CARTESIAN_POINT('',(43.795,57.25,-0.035)); #508731=CARTESIAN_POINT('Origin',(48.205,57.25,-0.035)); #508732=CARTESIAN_POINT('',(48.205,57.25,-0.035)); #508733=CARTESIAN_POINT('',(48.205,57.25,0.)); #508734=CARTESIAN_POINT('Origin',(46.,56.,0.)); #508735=CARTESIAN_POINT('Origin',(46.,56.,-0.035)); #508736=CARTESIAN_POINT('Origin',(44.395,49.15,-0.035)); #508737=CARTESIAN_POINT('',(44.395,49.15,-0.035)); #508738=CARTESIAN_POINT('',(44.395,50.35,-0.035)); #508739=CARTESIAN_POINT('',(44.395,49.15,-0.035)); #508740=CARTESIAN_POINT('',(44.395,50.35,0.)); #508741=CARTESIAN_POINT('',(44.395,50.35,-0.035)); #508742=CARTESIAN_POINT('',(44.395,49.15,0.)); #508743=CARTESIAN_POINT('',(44.395,49.15,0.)); #508744=CARTESIAN_POINT('',(44.395,49.15,-0.035)); #508745=CARTESIAN_POINT('Origin',(43.795,49.15,-0.035)); #508746=CARTESIAN_POINT('',(43.795,49.15,-0.035)); #508747=CARTESIAN_POINT('',(43.795,49.15,-0.035)); #508748=CARTESIAN_POINT('',(43.795,49.15,0.)); #508749=CARTESIAN_POINT('',(43.795,49.15,0.)); #508750=CARTESIAN_POINT('',(43.795,49.15,-0.035)); #508751=CARTESIAN_POINT('Origin',(43.795,50.35,-0.035)); #508752=CARTESIAN_POINT('',(43.795,50.35,-0.035)); #508753=CARTESIAN_POINT('',(43.795,50.35,-0.035)); #508754=CARTESIAN_POINT('',(43.795,50.35,0.)); #508755=CARTESIAN_POINT('',(43.795,50.35,0.)); #508756=CARTESIAN_POINT('',(43.795,50.35,-0.035)); #508757=CARTESIAN_POINT('Origin',(44.395,50.35,-0.035)); #508758=CARTESIAN_POINT('',(44.395,50.35,-0.035)); #508759=CARTESIAN_POINT('',(44.395,50.35,0.)); #508760=CARTESIAN_POINT('Origin',(44.095,49.75,0.)); #508761=CARTESIAN_POINT('Origin',(44.095,49.75,-0.035)); #508762=CARTESIAN_POINT('Origin',(45.665,52.65,-0.035)); #508763=CARTESIAN_POINT('',(45.665,52.65,-0.035)); #508764=CARTESIAN_POINT('',(45.665,53.85,-0.035)); #508765=CARTESIAN_POINT('',(45.665,52.65,-0.035)); #508766=CARTESIAN_POINT('',(45.665,53.85,0.)); #508767=CARTESIAN_POINT('',(45.665,53.85,-0.035)); #508768=CARTESIAN_POINT('',(45.665,52.65,0.)); #508769=CARTESIAN_POINT('',(45.665,52.65,0.)); #508770=CARTESIAN_POINT('',(45.665,52.65,-0.035)); #508771=CARTESIAN_POINT('Origin',(45.065,52.65,-0.035)); #508772=CARTESIAN_POINT('',(45.065,52.65,-0.035)); #508773=CARTESIAN_POINT('',(45.065,52.65,-0.035)); #508774=CARTESIAN_POINT('',(45.065,52.65,0.)); #508775=CARTESIAN_POINT('',(45.065,52.65,0.)); #508776=CARTESIAN_POINT('',(45.065,52.65,-0.035)); #508777=CARTESIAN_POINT('Origin',(45.065,53.85,-0.035)); #508778=CARTESIAN_POINT('',(45.065,53.85,-0.035)); #508779=CARTESIAN_POINT('',(45.065,53.85,-0.035)); #508780=CARTESIAN_POINT('',(45.065,53.85,0.)); #508781=CARTESIAN_POINT('',(45.065,53.85,0.)); #508782=CARTESIAN_POINT('',(45.065,53.85,-0.035)); #508783=CARTESIAN_POINT('Origin',(45.665,53.85,-0.035)); #508784=CARTESIAN_POINT('',(45.665,53.85,-0.035)); #508785=CARTESIAN_POINT('',(45.665,53.85,0.)); #508786=CARTESIAN_POINT('Origin',(45.365,53.25,0.)); #508787=CARTESIAN_POINT('Origin',(45.365,53.25,-0.035)); #508788=CARTESIAN_POINT('Origin',(45.665,49.15,-0.035)); #508789=CARTESIAN_POINT('',(45.665,49.15,-0.035)); #508790=CARTESIAN_POINT('',(45.665,50.35,-0.035)); #508791=CARTESIAN_POINT('',(45.665,49.15,-0.035)); #508792=CARTESIAN_POINT('',(45.665,50.35,0.)); #508793=CARTESIAN_POINT('',(45.665,50.35,-0.035)); #508794=CARTESIAN_POINT('',(45.665,49.15,0.)); #508795=CARTESIAN_POINT('',(45.665,49.15,0.)); #508796=CARTESIAN_POINT('',(45.665,49.15,-0.035)); #508797=CARTESIAN_POINT('Origin',(45.065,49.15,-0.035)); #508798=CARTESIAN_POINT('',(45.065,49.15,-0.035)); #508799=CARTESIAN_POINT('',(45.065,49.15,-0.035)); #508800=CARTESIAN_POINT('',(45.065,49.15,0.)); #508801=CARTESIAN_POINT('',(45.065,49.15,0.)); #508802=CARTESIAN_POINT('',(45.065,49.15,-0.035)); #508803=CARTESIAN_POINT('Origin',(45.065,50.35,-0.035)); #508804=CARTESIAN_POINT('',(45.065,50.35,-0.035)); #508805=CARTESIAN_POINT('',(45.065,50.35,-0.035)); #508806=CARTESIAN_POINT('',(45.065,50.35,0.)); #508807=CARTESIAN_POINT('',(45.065,50.35,0.)); #508808=CARTESIAN_POINT('',(45.065,50.35,-0.035)); #508809=CARTESIAN_POINT('Origin',(45.665,50.35,-0.035)); #508810=CARTESIAN_POINT('',(45.665,50.35,-0.035)); #508811=CARTESIAN_POINT('',(45.665,50.35,0.)); #508812=CARTESIAN_POINT('Origin',(45.365,49.75,0.)); #508813=CARTESIAN_POINT('Origin',(45.365,49.75,-0.035)); #508814=CARTESIAN_POINT('Origin',(29.488,53.,-200.)); #508815=CARTESIAN_POINT('',(29.348,53.,-0.0349999999999895)); #508816=CARTESIAN_POINT('Origin',(29.488,53.,-0.0349999999999895)); #508817=CARTESIAN_POINT('',(29.348,53.,0.)); #508818=CARTESIAN_POINT('',(29.348,53.,-200.)); #508819=CARTESIAN_POINT('Origin',(29.488,53.,0.)); #508820=CARTESIAN_POINT('Origin',(30.,52.25,-0.035)); #508821=CARTESIAN_POINT('',(30.,52.25,-0.035)); #508822=CARTESIAN_POINT('',(30.,57.75,-0.035)); #508823=CARTESIAN_POINT('',(30.,52.25,-0.035)); #508824=CARTESIAN_POINT('',(30.,57.75,0.)); #508825=CARTESIAN_POINT('',(30.,57.75,-0.035)); #508826=CARTESIAN_POINT('',(30.,52.25,0.)); #508827=CARTESIAN_POINT('',(30.,52.25,0.)); #508828=CARTESIAN_POINT('',(30.,52.25,-0.035)); #508829=CARTESIAN_POINT('Origin',(26.75,52.25,-0.035)); #508830=CARTESIAN_POINT('',(26.75,52.25,-0.035)); #508831=CARTESIAN_POINT('',(26.75,52.25,-0.035)); #508832=CARTESIAN_POINT('',(26.75,52.25,0.)); #508833=CARTESIAN_POINT('',(26.75,52.25,0.)); #508834=CARTESIAN_POINT('',(26.75,52.25,-0.035)); #508835=CARTESIAN_POINT('Origin',(26.75,57.75,-0.035)); #508836=CARTESIAN_POINT('',(26.75,57.75,-0.035)); #508837=CARTESIAN_POINT('',(26.75,57.75,-0.035)); #508838=CARTESIAN_POINT('',(26.75,57.75,0.)); #508839=CARTESIAN_POINT('',(26.75,57.75,0.)); #508840=CARTESIAN_POINT('',(26.75,57.75,-0.035)); #508841=CARTESIAN_POINT('Origin',(30.,57.75,-0.035)); #508842=CARTESIAN_POINT('',(30.,57.75,-0.035)); #508843=CARTESIAN_POINT('',(30.,57.75,0.)); #508844=CARTESIAN_POINT('Origin',(28.375,55.,0.)); #508845=CARTESIAN_POINT('Origin',(28.375,55.,-0.035)); #508846=CARTESIAN_POINT('Origin',(24.205,52.65,-0.035)); #508847=CARTESIAN_POINT('',(24.205,52.65,-0.035)); #508848=CARTESIAN_POINT('',(24.205,53.85,-0.035)); #508849=CARTESIAN_POINT('',(24.205,52.65,-0.035)); #508850=CARTESIAN_POINT('',(24.205,53.85,0.)); #508851=CARTESIAN_POINT('',(24.205,53.85,-0.035)); #508852=CARTESIAN_POINT('',(24.205,52.65,0.)); #508853=CARTESIAN_POINT('',(24.205,52.65,0.)); #508854=CARTESIAN_POINT('',(24.205,52.65,-0.035)); #508855=CARTESIAN_POINT('Origin',(23.605,52.65,-0.035)); #508856=CARTESIAN_POINT('',(23.605,52.65,-0.035)); #508857=CARTESIAN_POINT('',(23.605,52.65,-0.035)); #508858=CARTESIAN_POINT('',(23.605,52.65,0.)); #508859=CARTESIAN_POINT('',(23.605,52.65,0.)); #508860=CARTESIAN_POINT('',(23.605,52.65,-0.035)); #508861=CARTESIAN_POINT('Origin',(23.605,53.85,-0.035)); #508862=CARTESIAN_POINT('',(23.605,53.85,-0.035)); #508863=CARTESIAN_POINT('',(23.605,53.85,-0.035)); #508864=CARTESIAN_POINT('',(23.605,53.85,0.)); #508865=CARTESIAN_POINT('',(23.605,53.85,0.)); #508866=CARTESIAN_POINT('',(23.605,53.85,-0.035)); #508867=CARTESIAN_POINT('Origin',(24.205,53.85,-0.035)); #508868=CARTESIAN_POINT('',(24.205,53.85,-0.035)); #508869=CARTESIAN_POINT('',(24.205,53.85,0.)); #508870=CARTESIAN_POINT('Origin',(23.905,53.25,0.)); #508871=CARTESIAN_POINT('Origin',(23.905,53.25,-0.035)); #508872=CARTESIAN_POINT('Origin',(20.395,52.65,-0.035)); #508873=CARTESIAN_POINT('',(20.395,52.65,-0.035)); #508874=CARTESIAN_POINT('',(20.395,53.85,-0.035)); #508875=CARTESIAN_POINT('',(20.395,52.65,-0.035)); #508876=CARTESIAN_POINT('',(20.395,53.85,0.)); #508877=CARTESIAN_POINT('',(20.395,53.85,-0.035)); #508878=CARTESIAN_POINT('',(20.395,52.65,0.)); #508879=CARTESIAN_POINT('',(20.395,52.65,0.)); #508880=CARTESIAN_POINT('',(20.395,52.65,-0.035)); #508881=CARTESIAN_POINT('Origin',(19.795,52.65,-0.035)); #508882=CARTESIAN_POINT('',(19.795,52.65,-0.035)); #508883=CARTESIAN_POINT('',(19.795,52.65,-0.035)); #508884=CARTESIAN_POINT('',(19.795,52.65,0.)); #508885=CARTESIAN_POINT('',(19.795,52.65,0.)); #508886=CARTESIAN_POINT('',(19.795,52.65,-0.035)); #508887=CARTESIAN_POINT('Origin',(19.795,53.85,-0.035)); #508888=CARTESIAN_POINT('',(19.795,53.85,-0.035)); #508889=CARTESIAN_POINT('',(19.795,53.85,-0.035)); #508890=CARTESIAN_POINT('',(19.795,53.85,0.)); #508891=CARTESIAN_POINT('',(19.795,53.85,0.)); #508892=CARTESIAN_POINT('',(19.795,53.85,-0.035)); #508893=CARTESIAN_POINT('Origin',(20.395,53.85,-0.035)); #508894=CARTESIAN_POINT('',(20.395,53.85,-0.035)); #508895=CARTESIAN_POINT('',(20.395,53.85,0.)); #508896=CARTESIAN_POINT('Origin',(20.095,53.25,0.)); #508897=CARTESIAN_POINT('Origin',(20.095,53.25,-0.035)); #508898=CARTESIAN_POINT('Origin',(22.935,49.15,-0.035)); #508899=CARTESIAN_POINT('',(22.935,49.15,-0.035)); #508900=CARTESIAN_POINT('',(22.935,50.35,-0.035)); #508901=CARTESIAN_POINT('',(22.935,49.15,-0.035)); #508902=CARTESIAN_POINT('',(22.935,50.35,0.)); #508903=CARTESIAN_POINT('',(22.935,50.35,-0.035)); #508904=CARTESIAN_POINT('',(22.935,49.15,0.)); #508905=CARTESIAN_POINT('',(22.935,49.15,0.)); #508906=CARTESIAN_POINT('',(22.935,49.15,-0.035)); #508907=CARTESIAN_POINT('Origin',(22.335,49.15,-0.035)); #508908=CARTESIAN_POINT('',(22.335,49.15,-0.035)); #508909=CARTESIAN_POINT('',(22.335,49.15,-0.035)); #508910=CARTESIAN_POINT('',(22.335,49.15,0.)); #508911=CARTESIAN_POINT('',(22.335,49.15,0.)); #508912=CARTESIAN_POINT('',(22.335,49.15,-0.035)); #508913=CARTESIAN_POINT('Origin',(22.335,50.35,-0.035)); #508914=CARTESIAN_POINT('',(22.335,50.35,-0.035)); #508915=CARTESIAN_POINT('',(22.335,50.35,-0.035)); #508916=CARTESIAN_POINT('',(22.335,50.35,0.)); #508917=CARTESIAN_POINT('',(22.335,50.35,0.)); #508918=CARTESIAN_POINT('',(22.335,50.35,-0.035)); #508919=CARTESIAN_POINT('Origin',(22.935,50.35,-0.035)); #508920=CARTESIAN_POINT('',(22.935,50.35,-0.035)); #508921=CARTESIAN_POINT('',(22.935,50.35,0.)); #508922=CARTESIAN_POINT('Origin',(22.635,49.75,0.)); #508923=CARTESIAN_POINT('Origin',(22.635,49.75,-0.035)); #508924=CARTESIAN_POINT('Origin',(22.935,52.65,-0.035)); #508925=CARTESIAN_POINT('',(22.935,52.65,-0.035)); #508926=CARTESIAN_POINT('',(22.935,53.85,-0.035)); #508927=CARTESIAN_POINT('',(22.935,52.65,-0.035)); #508928=CARTESIAN_POINT('',(22.935,53.85,0.)); #508929=CARTESIAN_POINT('',(22.935,53.85,-0.035)); #508930=CARTESIAN_POINT('',(22.935,52.65,0.)); #508931=CARTESIAN_POINT('',(22.935,52.65,0.)); #508932=CARTESIAN_POINT('',(22.935,52.65,-0.035)); #508933=CARTESIAN_POINT('Origin',(22.335,52.65,-0.035)); #508934=CARTESIAN_POINT('',(22.335,52.65,-0.035)); #508935=CARTESIAN_POINT('',(22.335,52.65,-0.035)); #508936=CARTESIAN_POINT('',(22.335,52.65,0.)); #508937=CARTESIAN_POINT('',(22.335,52.65,0.)); #508938=CARTESIAN_POINT('',(22.335,52.65,-0.035)); #508939=CARTESIAN_POINT('Origin',(22.335,53.85,-0.035)); #508940=CARTESIAN_POINT('',(22.335,53.85,-0.035)); #508941=CARTESIAN_POINT('',(22.335,53.85,-0.035)); #508942=CARTESIAN_POINT('',(22.335,53.85,0.)); #508943=CARTESIAN_POINT('',(22.335,53.85,0.)); #508944=CARTESIAN_POINT('',(22.335,53.85,-0.035)); #508945=CARTESIAN_POINT('Origin',(22.935,53.85,-0.035)); #508946=CARTESIAN_POINT('',(22.935,53.85,-0.035)); #508947=CARTESIAN_POINT('',(22.935,53.85,0.)); #508948=CARTESIAN_POINT('Origin',(22.635,53.25,0.)); #508949=CARTESIAN_POINT('Origin',(22.635,53.25,-0.035)); #508950=CARTESIAN_POINT('Origin',(23.75,56.,-200.)); #508951=CARTESIAN_POINT('',(23.61,56.,-0.0349999999999895)); #508952=CARTESIAN_POINT('Origin',(23.75,56.,-0.0349999999999895)); #508953=CARTESIAN_POINT('',(23.61,56.,0.)); #508954=CARTESIAN_POINT('',(23.61,56.,-200.)); #508955=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #508956=CARTESIAN_POINT('Origin',(23.75,55.25,-200.)); #508957=CARTESIAN_POINT('',(23.61,55.25,-0.0349999999999895)); #508958=CARTESIAN_POINT('Origin',(23.75,55.25,-0.0349999999999895)); #508959=CARTESIAN_POINT('',(23.61,55.25,0.)); #508960=CARTESIAN_POINT('',(23.61,55.25,-200.)); #508961=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #508962=CARTESIAN_POINT('Origin',(22.,56.75,-200.)); #508963=CARTESIAN_POINT('',(21.86,56.75,-0.0349999999999895)); #508964=CARTESIAN_POINT('Origin',(22.,56.75,-0.0349999999999895)); #508965=CARTESIAN_POINT('',(21.86,56.75,0.)); #508966=CARTESIAN_POINT('',(21.86,56.75,-200.)); #508967=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #508968=CARTESIAN_POINT('Origin',(22.,55.25,-200.)); #508969=CARTESIAN_POINT('',(21.86,55.25,-0.0349999999999895)); #508970=CARTESIAN_POINT('Origin',(22.,55.25,-0.0349999999999895)); #508971=CARTESIAN_POINT('',(21.86,55.25,0.)); #508972=CARTESIAN_POINT('',(21.86,55.25,-200.)); #508973=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #508974=CARTESIAN_POINT('Origin',(20.25,55.25,-200.)); #508975=CARTESIAN_POINT('',(20.11,55.25,-0.0349999999999895)); #508976=CARTESIAN_POINT('Origin',(20.25,55.25,-0.0349999999999895)); #508977=CARTESIAN_POINT('',(20.11,55.25,0.)); #508978=CARTESIAN_POINT('',(20.11,55.25,-200.)); #508979=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #508980=CARTESIAN_POINT('Origin',(20.25,56.75,-200.)); #508981=CARTESIAN_POINT('',(20.11,56.75,-0.0349999999999895)); #508982=CARTESIAN_POINT('Origin',(20.25,56.75,-0.0349999999999895)); #508983=CARTESIAN_POINT('',(20.11,56.75,0.)); #508984=CARTESIAN_POINT('',(20.11,56.75,-200.)); #508985=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #508986=CARTESIAN_POINT('Origin',(20.25,56.,-200.)); #508987=CARTESIAN_POINT('',(20.11,56.,-0.0349999999999895)); #508988=CARTESIAN_POINT('Origin',(20.25,56.,-0.0349999999999895)); #508989=CARTESIAN_POINT('',(20.11,56.,0.)); #508990=CARTESIAN_POINT('',(20.11,56.,-200.)); #508991=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #508992=CARTESIAN_POINT('Origin',(22.,56.,-200.)); #508993=CARTESIAN_POINT('',(21.86,56.,-0.0349999999999895)); #508994=CARTESIAN_POINT('Origin',(22.,56.,-0.0349999999999895)); #508995=CARTESIAN_POINT('',(21.86,56.,0.)); #508996=CARTESIAN_POINT('',(21.86,56.,-200.)); #508997=CARTESIAN_POINT('Origin',(22.,56.,0.)); #508998=CARTESIAN_POINT('Origin',(21.125,56.75,-200.)); #508999=CARTESIAN_POINT('',(20.985,56.75,-0.0349999999999895)); #509000=CARTESIAN_POINT('Origin',(21.125,56.75,-0.0349999999999895)); #509001=CARTESIAN_POINT('',(20.985,56.75,0.)); #509002=CARTESIAN_POINT('',(20.985,56.75,-200.)); #509003=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #509004=CARTESIAN_POINT('Origin',(21.125,55.25,-200.)); #509005=CARTESIAN_POINT('',(20.985,55.25,-0.0349999999999895)); #509006=CARTESIAN_POINT('Origin',(21.125,55.25,-0.0349999999999895)); #509007=CARTESIAN_POINT('',(20.985,55.25,0.)); #509008=CARTESIAN_POINT('',(20.985,55.25,-200.)); #509009=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #509010=CARTESIAN_POINT('Origin',(21.125,56.,-200.)); #509011=CARTESIAN_POINT('',(20.985,56.,-0.0349999999999895)); #509012=CARTESIAN_POINT('Origin',(21.125,56.,-0.0349999999999895)); #509013=CARTESIAN_POINT('',(20.985,56.,0.)); #509014=CARTESIAN_POINT('',(20.985,56.,-200.)); #509015=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #509016=CARTESIAN_POINT('Origin',(22.875,56.75,-200.)); #509017=CARTESIAN_POINT('',(22.735,56.75,-0.0349999999999895)); #509018=CARTESIAN_POINT('Origin',(22.875,56.75,-0.0349999999999895)); #509019=CARTESIAN_POINT('',(22.735,56.75,0.)); #509020=CARTESIAN_POINT('',(22.735,56.75,-200.)); #509021=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #509022=CARTESIAN_POINT('Origin',(23.75,56.75,-200.)); #509023=CARTESIAN_POINT('',(23.61,56.75,-0.0349999999999895)); #509024=CARTESIAN_POINT('Origin',(23.75,56.75,-0.0349999999999895)); #509025=CARTESIAN_POINT('',(23.61,56.75,0.)); #509026=CARTESIAN_POINT('',(23.61,56.75,-200.)); #509027=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #509028=CARTESIAN_POINT('Origin',(22.875,56.,-200.)); #509029=CARTESIAN_POINT('',(22.735,56.,-0.0349999999999895)); #509030=CARTESIAN_POINT('Origin',(22.875,56.,-0.0349999999999895)); #509031=CARTESIAN_POINT('',(22.735,56.,0.)); #509032=CARTESIAN_POINT('',(22.735,56.,-200.)); #509033=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #509034=CARTESIAN_POINT('Origin',(22.875,55.25,-200.)); #509035=CARTESIAN_POINT('',(22.735,55.25,-0.0349999999999895)); #509036=CARTESIAN_POINT('Origin',(22.875,55.25,-0.0349999999999895)); #509037=CARTESIAN_POINT('',(22.735,55.25,0.)); #509038=CARTESIAN_POINT('',(22.735,55.25,-200.)); #509039=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #509040=CARTESIAN_POINT('Origin',(24.205,54.75,-0.035)); #509041=CARTESIAN_POINT('',(24.205,54.75,-0.035)); #509042=CARTESIAN_POINT('',(24.205,57.25,-0.035)); #509043=CARTESIAN_POINT('',(24.205,54.75,-0.035)); #509044=CARTESIAN_POINT('',(24.205,57.25,0.)); #509045=CARTESIAN_POINT('',(24.205,57.25,-0.035)); #509046=CARTESIAN_POINT('',(24.205,54.75,0.)); #509047=CARTESIAN_POINT('',(24.205,54.75,0.)); #509048=CARTESIAN_POINT('',(24.205,54.75,-0.035)); #509049=CARTESIAN_POINT('Origin',(19.795,54.75,-0.035)); #509050=CARTESIAN_POINT('',(19.795,54.75,-0.035)); #509051=CARTESIAN_POINT('',(19.795,54.75,-0.035)); #509052=CARTESIAN_POINT('',(19.795,54.75,0.)); #509053=CARTESIAN_POINT('',(19.795,54.75,0.)); #509054=CARTESIAN_POINT('',(19.795,54.75,-0.035)); #509055=CARTESIAN_POINT('Origin',(19.795,57.25,-0.035)); #509056=CARTESIAN_POINT('',(19.795,57.25,-0.035)); #509057=CARTESIAN_POINT('',(19.795,57.25,-0.035)); #509058=CARTESIAN_POINT('',(19.795,57.25,0.)); #509059=CARTESIAN_POINT('',(19.795,57.25,0.)); #509060=CARTESIAN_POINT('',(19.795,57.25,-0.035)); #509061=CARTESIAN_POINT('Origin',(24.205,57.25,-0.035)); #509062=CARTESIAN_POINT('',(24.205,57.25,-0.035)); #509063=CARTESIAN_POINT('',(24.205,57.25,0.)); #509064=CARTESIAN_POINT('Origin',(22.,56.,0.)); #509065=CARTESIAN_POINT('Origin',(22.,56.,-0.035)); #509066=CARTESIAN_POINT('Origin',(20.395,49.15,-0.035)); #509067=CARTESIAN_POINT('',(20.395,49.15,-0.035)); #509068=CARTESIAN_POINT('',(20.395,50.35,-0.035)); #509069=CARTESIAN_POINT('',(20.395,49.15,-0.035)); #509070=CARTESIAN_POINT('',(20.395,50.35,0.)); #509071=CARTESIAN_POINT('',(20.395,50.35,-0.035)); #509072=CARTESIAN_POINT('',(20.395,49.15,0.)); #509073=CARTESIAN_POINT('',(20.395,49.15,0.)); #509074=CARTESIAN_POINT('',(20.395,49.15,-0.035)); #509075=CARTESIAN_POINT('Origin',(19.795,49.15,-0.035)); #509076=CARTESIAN_POINT('',(19.795,49.15,-0.035)); #509077=CARTESIAN_POINT('',(19.795,49.15,-0.035)); #509078=CARTESIAN_POINT('',(19.795,49.15,0.)); #509079=CARTESIAN_POINT('',(19.795,49.15,0.)); #509080=CARTESIAN_POINT('',(19.795,49.15,-0.035)); #509081=CARTESIAN_POINT('Origin',(19.795,50.35,-0.035)); #509082=CARTESIAN_POINT('',(19.795,50.35,-0.035)); #509083=CARTESIAN_POINT('',(19.795,50.35,-0.035)); #509084=CARTESIAN_POINT('',(19.795,50.35,0.)); #509085=CARTESIAN_POINT('',(19.795,50.35,0.)); #509086=CARTESIAN_POINT('',(19.795,50.35,-0.035)); #509087=CARTESIAN_POINT('Origin',(20.395,50.35,-0.035)); #509088=CARTESIAN_POINT('',(20.395,50.35,-0.035)); #509089=CARTESIAN_POINT('',(20.395,50.35,0.)); #509090=CARTESIAN_POINT('Origin',(20.095,49.75,0.)); #509091=CARTESIAN_POINT('Origin',(20.095,49.75,-0.035)); #509092=CARTESIAN_POINT('Origin',(21.665,52.65,-0.035)); #509093=CARTESIAN_POINT('',(21.665,52.65,-0.035)); #509094=CARTESIAN_POINT('',(21.665,53.85,-0.035)); #509095=CARTESIAN_POINT('',(21.665,52.65,-0.035)); #509096=CARTESIAN_POINT('',(21.665,53.85,0.)); #509097=CARTESIAN_POINT('',(21.665,53.85,-0.035)); #509098=CARTESIAN_POINT('',(21.665,52.65,0.)); #509099=CARTESIAN_POINT('',(21.665,52.65,0.)); #509100=CARTESIAN_POINT('',(21.665,52.65,-0.035)); #509101=CARTESIAN_POINT('Origin',(21.065,52.65,-0.035)); #509102=CARTESIAN_POINT('',(21.065,52.65,-0.035)); #509103=CARTESIAN_POINT('',(21.065,52.65,-0.035)); #509104=CARTESIAN_POINT('',(21.065,52.65,0.)); #509105=CARTESIAN_POINT('',(21.065,52.65,0.)); #509106=CARTESIAN_POINT('',(21.065,52.65,-0.035)); #509107=CARTESIAN_POINT('Origin',(21.065,53.85,-0.035)); #509108=CARTESIAN_POINT('',(21.065,53.85,-0.035)); #509109=CARTESIAN_POINT('',(21.065,53.85,-0.035)); #509110=CARTESIAN_POINT('',(21.065,53.85,0.)); #509111=CARTESIAN_POINT('',(21.065,53.85,0.)); #509112=CARTESIAN_POINT('',(21.065,53.85,-0.035)); #509113=CARTESIAN_POINT('Origin',(21.665,53.85,-0.035)); #509114=CARTESIAN_POINT('',(21.665,53.85,-0.035)); #509115=CARTESIAN_POINT('',(21.665,53.85,0.)); #509116=CARTESIAN_POINT('Origin',(21.365,53.25,0.)); #509117=CARTESIAN_POINT('Origin',(21.365,53.25,-0.035)); #509118=CARTESIAN_POINT('Origin',(21.665,49.15,-0.035)); #509119=CARTESIAN_POINT('',(21.665,49.15,-0.035)); #509120=CARTESIAN_POINT('',(21.665,50.35,-0.035)); #509121=CARTESIAN_POINT('',(21.665,49.15,-0.035)); #509122=CARTESIAN_POINT('',(21.665,50.35,0.)); #509123=CARTESIAN_POINT('',(21.665,50.35,-0.035)); #509124=CARTESIAN_POINT('',(21.665,49.15,0.)); #509125=CARTESIAN_POINT('',(21.665,49.15,0.)); #509126=CARTESIAN_POINT('',(21.665,49.15,-0.035)); #509127=CARTESIAN_POINT('Origin',(21.065,49.15,-0.035)); #509128=CARTESIAN_POINT('',(21.065,49.15,-0.035)); #509129=CARTESIAN_POINT('',(21.065,49.15,-0.035)); #509130=CARTESIAN_POINT('',(21.065,49.15,0.)); #509131=CARTESIAN_POINT('',(21.065,49.15,0.)); #509132=CARTESIAN_POINT('',(21.065,49.15,-0.035)); #509133=CARTESIAN_POINT('Origin',(21.065,50.35,-0.035)); #509134=CARTESIAN_POINT('',(21.065,50.35,-0.035)); #509135=CARTESIAN_POINT('',(21.065,50.35,-0.035)); #509136=CARTESIAN_POINT('',(21.065,50.35,0.)); #509137=CARTESIAN_POINT('',(21.065,50.35,0.)); #509138=CARTESIAN_POINT('',(21.065,50.35,-0.035)); #509139=CARTESIAN_POINT('Origin',(21.665,50.35,-0.035)); #509140=CARTESIAN_POINT('',(21.665,50.35,-0.035)); #509141=CARTESIAN_POINT('',(21.665,50.35,0.)); #509142=CARTESIAN_POINT('Origin',(21.365,49.75,0.)); #509143=CARTESIAN_POINT('Origin',(21.365,49.75,-0.035)); #509144=CARTESIAN_POINT('Origin',(23.905,49.75,-200.)); #509145=CARTESIAN_POINT('',(23.765,49.75,-0.0349999999999895)); #509146=CARTESIAN_POINT('Origin',(23.905,49.75,-0.0349999999999895)); #509147=CARTESIAN_POINT('',(23.765,49.75,0.)); #509148=CARTESIAN_POINT('',(23.765,49.75,-200.)); #509149=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #509150=CARTESIAN_POINT('Origin',(24.205,49.15,-0.035)); #509151=CARTESIAN_POINT('',(24.205,49.15,-0.035)); #509152=CARTESIAN_POINT('',(24.205,50.35,-0.035)); #509153=CARTESIAN_POINT('',(24.205,49.15,-0.035)); #509154=CARTESIAN_POINT('',(24.205,50.35,0.)); #509155=CARTESIAN_POINT('',(24.205,50.35,-0.035)); #509156=CARTESIAN_POINT('',(24.205,49.15,0.)); #509157=CARTESIAN_POINT('',(24.205,49.15,0.)); #509158=CARTESIAN_POINT('',(24.205,49.15,-0.035)); #509159=CARTESIAN_POINT('Origin',(23.605,49.15,-0.035)); #509160=CARTESIAN_POINT('',(23.605,49.15,-0.035)); #509161=CARTESIAN_POINT('',(23.605,49.15,-0.035)); #509162=CARTESIAN_POINT('',(23.605,49.15,0.)); #509163=CARTESIAN_POINT('',(23.605,49.15,0.)); #509164=CARTESIAN_POINT('',(23.605,49.15,-0.035)); #509165=CARTESIAN_POINT('Origin',(23.605,50.35,-0.035)); #509166=CARTESIAN_POINT('',(23.605,50.35,-0.035)); #509167=CARTESIAN_POINT('',(23.605,50.35,-0.035)); #509168=CARTESIAN_POINT('',(23.605,50.35,0.)); #509169=CARTESIAN_POINT('',(23.605,50.35,0.)); #509170=CARTESIAN_POINT('',(23.605,50.35,-0.035)); #509171=CARTESIAN_POINT('Origin',(24.205,50.35,-0.035)); #509172=CARTESIAN_POINT('',(24.205,50.35,-0.035)); #509173=CARTESIAN_POINT('',(24.205,50.35,0.)); #509174=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #509175=CARTESIAN_POINT('Origin',(23.905,49.75,-0.035)); #509176=CARTESIAN_POINT('Origin',(23.905,58.75,-200.)); #509177=CARTESIAN_POINT('',(23.765,58.75,-0.0349999999999895)); #509178=CARTESIAN_POINT('Origin',(23.905,58.75,-0.0349999999999895)); #509179=CARTESIAN_POINT('',(23.765,58.75,0.)); #509180=CARTESIAN_POINT('',(23.765,58.75,-200.)); #509181=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #509182=CARTESIAN_POINT('Origin',(24.205,58.15,-0.035)); #509183=CARTESIAN_POINT('',(24.205,58.15,-0.035)); #509184=CARTESIAN_POINT('',(24.205,59.35,-0.035)); #509185=CARTESIAN_POINT('',(24.205,58.15,-0.035)); #509186=CARTESIAN_POINT('',(24.205,59.35,0.)); #509187=CARTESIAN_POINT('',(24.205,59.35,-0.035)); #509188=CARTESIAN_POINT('',(24.205,58.15,0.)); #509189=CARTESIAN_POINT('',(24.205,58.15,0.)); #509190=CARTESIAN_POINT('',(24.205,58.15,-0.035)); #509191=CARTESIAN_POINT('Origin',(23.605,58.15,-0.035)); #509192=CARTESIAN_POINT('',(23.605,58.15,-0.035)); #509193=CARTESIAN_POINT('',(23.605,58.15,-0.035)); #509194=CARTESIAN_POINT('',(23.605,58.15,0.)); #509195=CARTESIAN_POINT('',(23.605,58.15,0.)); #509196=CARTESIAN_POINT('',(23.605,58.15,-0.035)); #509197=CARTESIAN_POINT('Origin',(23.605,59.35,-0.035)); #509198=CARTESIAN_POINT('',(23.605,59.35,-0.035)); #509199=CARTESIAN_POINT('',(23.605,59.35,-0.035)); #509200=CARTESIAN_POINT('',(23.605,59.35,0.)); #509201=CARTESIAN_POINT('',(23.605,59.35,0.)); #509202=CARTESIAN_POINT('',(23.605,59.35,-0.035)); #509203=CARTESIAN_POINT('Origin',(24.205,59.35,-0.035)); #509204=CARTESIAN_POINT('',(24.205,59.35,-0.035)); #509205=CARTESIAN_POINT('',(24.205,59.35,0.)); #509206=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #509207=CARTESIAN_POINT('Origin',(23.905,58.75,-0.035)); #509208=CARTESIAN_POINT('Origin',(47.905,49.75,-200.)); #509209=CARTESIAN_POINT('',(47.765,49.75,-0.0349999999999895)); #509210=CARTESIAN_POINT('Origin',(47.905,49.75,-0.0349999999999895)); #509211=CARTESIAN_POINT('',(47.765,49.75,0.)); #509212=CARTESIAN_POINT('',(47.765,49.75,-200.)); #509213=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #509214=CARTESIAN_POINT('Origin',(48.205,49.15,-0.035)); #509215=CARTESIAN_POINT('',(48.205,49.15,-0.035)); #509216=CARTESIAN_POINT('',(48.205,50.35,-0.035)); #509217=CARTESIAN_POINT('',(48.205,49.15,-0.035)); #509218=CARTESIAN_POINT('',(48.205,50.35,0.)); #509219=CARTESIAN_POINT('',(48.205,50.35,-0.035)); #509220=CARTESIAN_POINT('',(48.205,49.15,0.)); #509221=CARTESIAN_POINT('',(48.205,49.15,0.)); #509222=CARTESIAN_POINT('',(48.205,49.15,-0.035)); #509223=CARTESIAN_POINT('Origin',(47.605,49.15,-0.035)); #509224=CARTESIAN_POINT('',(47.605,49.15,-0.035)); #509225=CARTESIAN_POINT('',(47.605,49.15,-0.035)); #509226=CARTESIAN_POINT('',(47.605,49.15,0.)); #509227=CARTESIAN_POINT('',(47.605,49.15,0.)); #509228=CARTESIAN_POINT('',(47.605,49.15,-0.035)); #509229=CARTESIAN_POINT('Origin',(47.605,50.35,-0.035)); #509230=CARTESIAN_POINT('',(47.605,50.35,-0.035)); #509231=CARTESIAN_POINT('',(47.605,50.35,-0.035)); #509232=CARTESIAN_POINT('',(47.605,50.35,0.)); #509233=CARTESIAN_POINT('',(47.605,50.35,0.)); #509234=CARTESIAN_POINT('',(47.605,50.35,-0.035)); #509235=CARTESIAN_POINT('Origin',(48.205,50.35,-0.035)); #509236=CARTESIAN_POINT('',(48.205,50.35,-0.035)); #509237=CARTESIAN_POINT('',(48.205,50.35,0.)); #509238=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #509239=CARTESIAN_POINT('Origin',(47.905,49.75,-0.035)); #509240=CARTESIAN_POINT('Origin',(23.75,47.,-200.)); #509241=CARTESIAN_POINT('',(23.61,47.,-0.0349999999999895)); #509242=CARTESIAN_POINT('Origin',(23.75,47.,-0.0349999999999895)); #509243=CARTESIAN_POINT('',(23.61,47.,0.)); #509244=CARTESIAN_POINT('',(23.61,47.,-200.)); #509245=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #509246=CARTESIAN_POINT('Origin',(20.25,47.,-200.)); #509247=CARTESIAN_POINT('',(20.11,47.,-0.0349999999999895)); #509248=CARTESIAN_POINT('Origin',(20.25,47.,-0.0349999999999895)); #509249=CARTESIAN_POINT('',(20.11,47.,0.)); #509250=CARTESIAN_POINT('',(20.11,47.,-200.)); #509251=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #509252=CARTESIAN_POINT('Origin',(23.75,46.25,-200.)); #509253=CARTESIAN_POINT('',(23.61,46.25,-0.0349999999999895)); #509254=CARTESIAN_POINT('Origin',(23.75,46.25,-0.0349999999999895)); #509255=CARTESIAN_POINT('',(23.61,46.25,0.)); #509256=CARTESIAN_POINT('',(23.61,46.25,-200.)); #509257=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #509258=CARTESIAN_POINT('Origin',(22.,46.25,-200.)); #509259=CARTESIAN_POINT('',(21.86,46.25,-0.0349999999999895)); #509260=CARTESIAN_POINT('Origin',(22.,46.25,-0.0349999999999895)); #509261=CARTESIAN_POINT('',(21.86,46.25,0.)); #509262=CARTESIAN_POINT('',(21.86,46.25,-200.)); #509263=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #509264=CARTESIAN_POINT('Origin',(20.25,46.25,-200.)); #509265=CARTESIAN_POINT('',(20.11,46.25,-0.0349999999999895)); #509266=CARTESIAN_POINT('Origin',(20.25,46.25,-0.0349999999999895)); #509267=CARTESIAN_POINT('',(20.11,46.25,0.)); #509268=CARTESIAN_POINT('',(20.11,46.25,-200.)); #509269=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #509270=CARTESIAN_POINT('Origin',(20.25,47.75,-200.)); #509271=CARTESIAN_POINT('',(20.11,47.75,-0.0349999999999895)); #509272=CARTESIAN_POINT('Origin',(20.25,47.75,-0.0349999999999895)); #509273=CARTESIAN_POINT('',(20.11,47.75,0.)); #509274=CARTESIAN_POINT('',(20.11,47.75,-200.)); #509275=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #509276=CARTESIAN_POINT('Origin',(23.75,47.75,-200.)); #509277=CARTESIAN_POINT('',(23.61,47.75,-0.0349999999999895)); #509278=CARTESIAN_POINT('Origin',(23.75,47.75,-0.0349999999999895)); #509279=CARTESIAN_POINT('',(23.61,47.75,0.)); #509280=CARTESIAN_POINT('',(23.61,47.75,-200.)); #509281=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #509282=CARTESIAN_POINT('Origin',(21.125,47.,-200.)); #509283=CARTESIAN_POINT('',(20.985,47.,-0.0349999999999895)); #509284=CARTESIAN_POINT('Origin',(21.125,47.,-0.0349999999999895)); #509285=CARTESIAN_POINT('',(20.985,47.,0.)); #509286=CARTESIAN_POINT('',(20.985,47.,-200.)); #509287=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #509288=CARTESIAN_POINT('Origin',(21.125,47.75,-200.)); #509289=CARTESIAN_POINT('',(20.985,47.75,-0.0349999999999895)); #509290=CARTESIAN_POINT('Origin',(21.125,47.75,-0.0349999999999895)); #509291=CARTESIAN_POINT('',(20.985,47.75,0.)); #509292=CARTESIAN_POINT('',(20.985,47.75,-200.)); #509293=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #509294=CARTESIAN_POINT('Origin',(22.,47.,-200.)); #509295=CARTESIAN_POINT('',(21.86,47.,-0.0349999999999895)); #509296=CARTESIAN_POINT('Origin',(22.,47.,-0.0349999999999895)); #509297=CARTESIAN_POINT('',(21.86,47.,0.)); #509298=CARTESIAN_POINT('',(21.86,47.,-200.)); #509299=CARTESIAN_POINT('Origin',(22.,47.,0.)); #509300=CARTESIAN_POINT('Origin',(22.,47.75,-200.)); #509301=CARTESIAN_POINT('',(21.86,47.75,-0.0349999999999895)); #509302=CARTESIAN_POINT('Origin',(22.,47.75,-0.0349999999999895)); #509303=CARTESIAN_POINT('',(21.86,47.75,0.)); #509304=CARTESIAN_POINT('',(21.86,47.75,-200.)); #509305=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #509306=CARTESIAN_POINT('Origin',(22.875,46.25,-200.)); #509307=CARTESIAN_POINT('',(22.735,46.25,-0.0349999999999895)); #509308=CARTESIAN_POINT('Origin',(22.875,46.25,-0.0349999999999895)); #509309=CARTESIAN_POINT('',(22.735,46.25,0.)); #509310=CARTESIAN_POINT('',(22.735,46.25,-200.)); #509311=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #509312=CARTESIAN_POINT('Origin',(21.125,46.25,-200.)); #509313=CARTESIAN_POINT('',(20.985,46.25,-0.0349999999999895)); #509314=CARTESIAN_POINT('Origin',(21.125,46.25,-0.0349999999999895)); #509315=CARTESIAN_POINT('',(20.985,46.25,0.)); #509316=CARTESIAN_POINT('',(20.985,46.25,-200.)); #509317=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #509318=CARTESIAN_POINT('Origin',(22.875,47.,-200.)); #509319=CARTESIAN_POINT('',(22.735,47.,-0.0349999999999895)); #509320=CARTESIAN_POINT('Origin',(22.875,47.,-0.0349999999999895)); #509321=CARTESIAN_POINT('',(22.735,47.,0.)); #509322=CARTESIAN_POINT('',(22.735,47.,-200.)); #509323=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #509324=CARTESIAN_POINT('Origin',(22.875,47.75,-200.)); #509325=CARTESIAN_POINT('',(22.735,47.75,-0.0349999999999895)); #509326=CARTESIAN_POINT('Origin',(22.875,47.75,-0.0349999999999895)); #509327=CARTESIAN_POINT('',(22.735,47.75,0.)); #509328=CARTESIAN_POINT('',(22.735,47.75,-200.)); #509329=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #509330=CARTESIAN_POINT('Origin',(24.205,45.75,-0.035)); #509331=CARTESIAN_POINT('',(24.205,45.75,-0.035)); #509332=CARTESIAN_POINT('',(24.205,48.25,-0.035)); #509333=CARTESIAN_POINT('',(24.205,45.75,-0.035)); #509334=CARTESIAN_POINT('',(24.205,48.25,0.)); #509335=CARTESIAN_POINT('',(24.205,48.25,-0.035)); #509336=CARTESIAN_POINT('',(24.205,45.75,0.)); #509337=CARTESIAN_POINT('',(24.205,45.75,0.)); #509338=CARTESIAN_POINT('',(24.205,45.75,-0.035)); #509339=CARTESIAN_POINT('Origin',(19.795,45.75,-0.035)); #509340=CARTESIAN_POINT('',(19.795,45.75,-0.035)); #509341=CARTESIAN_POINT('',(19.795,45.75,-0.035)); #509342=CARTESIAN_POINT('',(19.795,45.75,0.)); #509343=CARTESIAN_POINT('',(19.795,45.75,0.)); #509344=CARTESIAN_POINT('',(19.795,45.75,-0.035)); #509345=CARTESIAN_POINT('Origin',(19.795,48.25,-0.035)); #509346=CARTESIAN_POINT('',(19.795,48.25,-0.035)); #509347=CARTESIAN_POINT('',(19.795,48.25,-0.035)); #509348=CARTESIAN_POINT('',(19.795,48.25,0.)); #509349=CARTESIAN_POINT('',(19.795,48.25,0.)); #509350=CARTESIAN_POINT('',(19.795,48.25,-0.035)); #509351=CARTESIAN_POINT('Origin',(24.205,48.25,-0.035)); #509352=CARTESIAN_POINT('',(24.205,48.25,-0.035)); #509353=CARTESIAN_POINT('',(24.205,48.25,0.)); #509354=CARTESIAN_POINT('Origin',(22.,47.,0.)); #509355=CARTESIAN_POINT('Origin',(22.,47.,-0.035)); #509356=CARTESIAN_POINT('Origin',(24.205,43.65,-0.035)); #509357=CARTESIAN_POINT('',(24.205,43.65,-0.035)); #509358=CARTESIAN_POINT('',(24.205,44.85,-0.035)); #509359=CARTESIAN_POINT('',(24.205,43.65,-0.035)); #509360=CARTESIAN_POINT('',(24.205,44.85,0.)); #509361=CARTESIAN_POINT('',(24.205,44.85,-0.035)); #509362=CARTESIAN_POINT('',(24.205,43.65,0.)); #509363=CARTESIAN_POINT('',(24.205,43.65,0.)); #509364=CARTESIAN_POINT('',(24.205,43.65,-0.035)); #509365=CARTESIAN_POINT('Origin',(23.605,43.65,-0.035)); #509366=CARTESIAN_POINT('',(23.605,43.65,-0.035)); #509367=CARTESIAN_POINT('',(23.605,43.65,-0.035)); #509368=CARTESIAN_POINT('',(23.605,43.65,0.)); #509369=CARTESIAN_POINT('',(23.605,43.65,0.)); #509370=CARTESIAN_POINT('',(23.605,43.65,-0.035)); #509371=CARTESIAN_POINT('Origin',(23.605,44.85,-0.035)); #509372=CARTESIAN_POINT('',(23.605,44.85,-0.035)); #509373=CARTESIAN_POINT('',(23.605,44.85,-0.035)); #509374=CARTESIAN_POINT('',(23.605,44.85,0.)); #509375=CARTESIAN_POINT('',(23.605,44.85,0.)); #509376=CARTESIAN_POINT('',(23.605,44.85,-0.035)); #509377=CARTESIAN_POINT('Origin',(24.205,44.85,-0.035)); #509378=CARTESIAN_POINT('',(24.205,44.85,-0.035)); #509379=CARTESIAN_POINT('',(24.205,44.85,0.)); #509380=CARTESIAN_POINT('Origin',(23.905,44.25,0.)); #509381=CARTESIAN_POINT('Origin',(23.905,44.25,-0.035)); #509382=CARTESIAN_POINT('Origin',(20.395,43.65,-0.035)); #509383=CARTESIAN_POINT('',(20.395,43.65,-0.035)); #509384=CARTESIAN_POINT('',(20.395,44.85,-0.035)); #509385=CARTESIAN_POINT('',(20.395,43.65,-0.035)); #509386=CARTESIAN_POINT('',(20.395,44.85,0.)); #509387=CARTESIAN_POINT('',(20.395,44.85,-0.035)); #509388=CARTESIAN_POINT('',(20.395,43.65,0.)); #509389=CARTESIAN_POINT('',(20.395,43.65,0.)); #509390=CARTESIAN_POINT('',(20.395,43.65,-0.035)); #509391=CARTESIAN_POINT('Origin',(19.795,43.65,-0.035)); #509392=CARTESIAN_POINT('',(19.795,43.65,-0.035)); #509393=CARTESIAN_POINT('',(19.795,43.65,-0.035)); #509394=CARTESIAN_POINT('',(19.795,43.65,0.)); #509395=CARTESIAN_POINT('',(19.795,43.65,0.)); #509396=CARTESIAN_POINT('',(19.795,43.65,-0.035)); #509397=CARTESIAN_POINT('Origin',(19.795,44.85,-0.035)); #509398=CARTESIAN_POINT('',(19.795,44.85,-0.035)); #509399=CARTESIAN_POINT('',(19.795,44.85,-0.035)); #509400=CARTESIAN_POINT('',(19.795,44.85,0.)); #509401=CARTESIAN_POINT('',(19.795,44.85,0.)); #509402=CARTESIAN_POINT('',(19.795,44.85,-0.035)); #509403=CARTESIAN_POINT('Origin',(20.395,44.85,-0.035)); #509404=CARTESIAN_POINT('',(20.395,44.85,-0.035)); #509405=CARTESIAN_POINT('',(20.395,44.85,0.)); #509406=CARTESIAN_POINT('Origin',(20.095,44.25,0.)); #509407=CARTESIAN_POINT('Origin',(20.095,44.25,-0.035)); #509408=CARTESIAN_POINT('Origin',(22.935,43.65,-0.035)); #509409=CARTESIAN_POINT('',(22.935,43.65,-0.035)); #509410=CARTESIAN_POINT('',(22.935,44.85,-0.035)); #509411=CARTESIAN_POINT('',(22.935,43.65,-0.035)); #509412=CARTESIAN_POINT('',(22.935,44.85,0.)); #509413=CARTESIAN_POINT('',(22.935,44.85,-0.035)); #509414=CARTESIAN_POINT('',(22.935,43.65,0.)); #509415=CARTESIAN_POINT('',(22.935,43.65,0.)); #509416=CARTESIAN_POINT('',(22.935,43.65,-0.035)); #509417=CARTESIAN_POINT('Origin',(22.335,43.65,-0.035)); #509418=CARTESIAN_POINT('',(22.335,43.65,-0.035)); #509419=CARTESIAN_POINT('',(22.335,43.65,-0.035)); #509420=CARTESIAN_POINT('',(22.335,43.65,0.)); #509421=CARTESIAN_POINT('',(22.335,43.65,0.)); #509422=CARTESIAN_POINT('',(22.335,43.65,-0.035)); #509423=CARTESIAN_POINT('Origin',(22.335,44.85,-0.035)); #509424=CARTESIAN_POINT('',(22.335,44.85,-0.035)); #509425=CARTESIAN_POINT('',(22.335,44.85,-0.035)); #509426=CARTESIAN_POINT('',(22.335,44.85,0.)); #509427=CARTESIAN_POINT('',(22.335,44.85,0.)); #509428=CARTESIAN_POINT('',(22.335,44.85,-0.035)); #509429=CARTESIAN_POINT('Origin',(22.935,44.85,-0.035)); #509430=CARTESIAN_POINT('',(22.935,44.85,-0.035)); #509431=CARTESIAN_POINT('',(22.935,44.85,0.)); #509432=CARTESIAN_POINT('Origin',(22.635,44.25,0.)); #509433=CARTESIAN_POINT('Origin',(22.635,44.25,-0.035)); #509434=CARTESIAN_POINT('Origin',(15.1811,44.5555,-0.035)); #509435=CARTESIAN_POINT('',(15.1811,44.5555,-0.035)); #509436=CARTESIAN_POINT('',(15.1811,45.4445,-0.035)); #509437=CARTESIAN_POINT('',(15.1811,44.5555,-0.035)); #509438=CARTESIAN_POINT('',(15.1811,45.4445,0.)); #509439=CARTESIAN_POINT('',(15.1811,45.4445,-0.035)); #509440=CARTESIAN_POINT('',(15.1811,44.5555,0.)); #509441=CARTESIAN_POINT('',(15.1811,44.5555,0.)); #509442=CARTESIAN_POINT('',(15.1811,44.5555,-0.035)); #509443=CARTESIAN_POINT('Origin',(14.3429,44.5555,-0.035)); #509444=CARTESIAN_POINT('',(14.3429,44.5555,-0.035)); #509445=CARTESIAN_POINT('',(14.3429,44.5555,-0.035)); #509446=CARTESIAN_POINT('',(14.3429,44.5555,0.)); #509447=CARTESIAN_POINT('',(14.3429,44.5555,0.)); #509448=CARTESIAN_POINT('',(14.3429,44.5555,-0.035)); #509449=CARTESIAN_POINT('Origin',(14.3429,45.4445,-0.035)); #509450=CARTESIAN_POINT('',(14.3429,45.4445,-0.035)); #509451=CARTESIAN_POINT('',(14.3429,45.4445,-0.035)); #509452=CARTESIAN_POINT('',(14.3429,45.4445,0.)); #509453=CARTESIAN_POINT('',(14.3429,45.4445,0.)); #509454=CARTESIAN_POINT('',(14.3429,45.4445,-0.035)); #509455=CARTESIAN_POINT('Origin',(15.1811,45.4445,-0.035)); #509456=CARTESIAN_POINT('',(15.1811,45.4445,-0.035)); #509457=CARTESIAN_POINT('',(15.1811,45.4445,0.)); #509458=CARTESIAN_POINT('Origin',(14.762,45.,0.)); #509459=CARTESIAN_POINT('Origin',(14.762,45.,-0.035)); #509460=CARTESIAN_POINT('Origin',(15.1811,41.5555,-0.035)); #509461=CARTESIAN_POINT('',(15.1811,41.5555,-0.035)); #509462=CARTESIAN_POINT('',(15.1811,42.4445,-0.035)); #509463=CARTESIAN_POINT('',(15.1811,41.5555,-0.035)); #509464=CARTESIAN_POINT('',(15.1811,42.4445,0.)); #509465=CARTESIAN_POINT('',(15.1811,42.4445,-0.035)); #509466=CARTESIAN_POINT('',(15.1811,41.5555,0.)); #509467=CARTESIAN_POINT('',(15.1811,41.5555,0.)); #509468=CARTESIAN_POINT('',(15.1811,41.5555,-0.035)); #509469=CARTESIAN_POINT('Origin',(14.3429,41.5555,-0.035)); #509470=CARTESIAN_POINT('',(14.3429,41.5555,-0.035)); #509471=CARTESIAN_POINT('',(14.3429,41.5555,-0.035)); #509472=CARTESIAN_POINT('',(14.3429,41.5555,0.)); #509473=CARTESIAN_POINT('',(14.3429,41.5555,0.)); #509474=CARTESIAN_POINT('',(14.3429,41.5555,-0.035)); #509475=CARTESIAN_POINT('Origin',(14.3429,42.4445,-0.035)); #509476=CARTESIAN_POINT('',(14.3429,42.4445,-0.035)); #509477=CARTESIAN_POINT('',(14.3429,42.4445,-0.035)); #509478=CARTESIAN_POINT('',(14.3429,42.4445,0.)); #509479=CARTESIAN_POINT('',(14.3429,42.4445,0.)); #509480=CARTESIAN_POINT('',(14.3429,42.4445,-0.035)); #509481=CARTESIAN_POINT('Origin',(15.1811,42.4445,-0.035)); #509482=CARTESIAN_POINT('',(15.1811,42.4445,-0.035)); #509483=CARTESIAN_POINT('',(15.1811,42.4445,0.)); #509484=CARTESIAN_POINT('Origin',(14.762,42.,0.)); #509485=CARTESIAN_POINT('Origin',(14.762,42.,-0.035)); #509486=CARTESIAN_POINT('Origin',(21.665,43.65,-0.035)); #509487=CARTESIAN_POINT('',(21.665,43.65,-0.035)); #509488=CARTESIAN_POINT('',(21.665,44.85,-0.035)); #509489=CARTESIAN_POINT('',(21.665,43.65,-0.035)); #509490=CARTESIAN_POINT('',(21.665,44.85,0.)); #509491=CARTESIAN_POINT('',(21.665,44.85,-0.035)); #509492=CARTESIAN_POINT('',(21.665,43.65,0.)); #509493=CARTESIAN_POINT('',(21.665,43.65,0.)); #509494=CARTESIAN_POINT('',(21.665,43.65,-0.035)); #509495=CARTESIAN_POINT('Origin',(21.065,43.65,-0.035)); #509496=CARTESIAN_POINT('',(21.065,43.65,-0.035)); #509497=CARTESIAN_POINT('',(21.065,43.65,-0.035)); #509498=CARTESIAN_POINT('',(21.065,43.65,0.)); #509499=CARTESIAN_POINT('',(21.065,43.65,0.)); #509500=CARTESIAN_POINT('',(21.065,43.65,-0.035)); #509501=CARTESIAN_POINT('Origin',(21.065,44.85,-0.035)); #509502=CARTESIAN_POINT('',(21.065,44.85,-0.035)); #509503=CARTESIAN_POINT('',(21.065,44.85,-0.035)); #509504=CARTESIAN_POINT('',(21.065,44.85,0.)); #509505=CARTESIAN_POINT('',(21.065,44.85,0.)); #509506=CARTESIAN_POINT('',(21.065,44.85,-0.035)); #509507=CARTESIAN_POINT('Origin',(21.665,44.85,-0.035)); #509508=CARTESIAN_POINT('',(21.665,44.85,-0.035)); #509509=CARTESIAN_POINT('',(21.665,44.85,0.)); #509510=CARTESIAN_POINT('Origin',(21.365,44.25,0.)); #509511=CARTESIAN_POINT('Origin',(21.365,44.25,-0.035)); #509512=CARTESIAN_POINT('Origin',(31.1811,65.5555,-0.035)); #509513=CARTESIAN_POINT('',(31.1811,65.5555,-0.035)); #509514=CARTESIAN_POINT('',(31.1811,66.4445,-0.035)); #509515=CARTESIAN_POINT('',(31.1811,65.5555,-0.035)); #509516=CARTESIAN_POINT('',(31.1811,66.4445,0.)); #509517=CARTESIAN_POINT('',(31.1811,66.4445,-0.035)); #509518=CARTESIAN_POINT('',(31.1811,65.5555,0.)); #509519=CARTESIAN_POINT('',(31.1811,65.5555,0.)); #509520=CARTESIAN_POINT('',(31.1811,65.5555,-0.035)); #509521=CARTESIAN_POINT('Origin',(30.3429,65.5555,-0.035)); #509522=CARTESIAN_POINT('',(30.3429,65.5555,-0.035)); #509523=CARTESIAN_POINT('',(30.3429,65.5555,-0.035)); #509524=CARTESIAN_POINT('',(30.3429,65.5555,0.)); #509525=CARTESIAN_POINT('',(30.3429,65.5555,0.)); #509526=CARTESIAN_POINT('',(30.3429,65.5555,-0.035)); #509527=CARTESIAN_POINT('Origin',(30.3429,66.4445,-0.035)); #509528=CARTESIAN_POINT('',(30.3429,66.4445,-0.035)); #509529=CARTESIAN_POINT('',(30.3429,66.4445,-0.035)); #509530=CARTESIAN_POINT('',(30.3429,66.4445,0.)); #509531=CARTESIAN_POINT('',(30.3429,66.4445,0.)); #509532=CARTESIAN_POINT('',(30.3429,66.4445,-0.035)); #509533=CARTESIAN_POINT('Origin',(31.1811,66.4445,-0.035)); #509534=CARTESIAN_POINT('',(31.1811,66.4445,-0.035)); #509535=CARTESIAN_POINT('',(31.1811,66.4445,0.)); #509536=CARTESIAN_POINT('Origin',(30.762,66.,0.)); #509537=CARTESIAN_POINT('Origin',(30.762,66.,-0.035)); #509538=CARTESIAN_POINT('Origin',(35.1811,65.5555,-0.035)); #509539=CARTESIAN_POINT('',(35.1811,65.5555,-0.035)); #509540=CARTESIAN_POINT('',(35.1811,66.4445,-0.035)); #509541=CARTESIAN_POINT('',(35.1811,65.5555,-0.035)); #509542=CARTESIAN_POINT('',(35.1811,66.4445,0.)); #509543=CARTESIAN_POINT('',(35.1811,66.4445,-0.035)); #509544=CARTESIAN_POINT('',(35.1811,65.5555,0.)); #509545=CARTESIAN_POINT('',(35.1811,65.5555,0.)); #509546=CARTESIAN_POINT('',(35.1811,65.5555,-0.035)); #509547=CARTESIAN_POINT('Origin',(34.3429,65.5555,-0.035)); #509548=CARTESIAN_POINT('',(34.3429,65.5555,-0.035)); #509549=CARTESIAN_POINT('',(34.3429,65.5555,-0.035)); #509550=CARTESIAN_POINT('',(34.3429,65.5555,0.)); #509551=CARTESIAN_POINT('',(34.3429,65.5555,0.)); #509552=CARTESIAN_POINT('',(34.3429,65.5555,-0.035)); #509553=CARTESIAN_POINT('Origin',(34.3429,66.4445,-0.035)); #509554=CARTESIAN_POINT('',(34.3429,66.4445,-0.035)); #509555=CARTESIAN_POINT('',(34.3429,66.4445,-0.035)); #509556=CARTESIAN_POINT('',(34.3429,66.4445,0.)); #509557=CARTESIAN_POINT('',(34.3429,66.4445,0.)); #509558=CARTESIAN_POINT('',(34.3429,66.4445,-0.035)); #509559=CARTESIAN_POINT('Origin',(35.1811,66.4445,-0.035)); #509560=CARTESIAN_POINT('',(35.1811,66.4445,-0.035)); #509561=CARTESIAN_POINT('',(35.1811,66.4445,0.)); #509562=CARTESIAN_POINT('Origin',(34.762,66.,0.)); #509563=CARTESIAN_POINT('Origin',(34.762,66.,-0.035)); #509564=CARTESIAN_POINT('Origin',(39.1811,65.5555,-0.035)); #509565=CARTESIAN_POINT('',(39.1811,65.5555,-0.035)); #509566=CARTESIAN_POINT('',(39.1811,66.4445,-0.035)); #509567=CARTESIAN_POINT('',(39.1811,65.5555,-0.035)); #509568=CARTESIAN_POINT('',(39.1811,66.4445,0.)); #509569=CARTESIAN_POINT('',(39.1811,66.4445,-0.035)); #509570=CARTESIAN_POINT('',(39.1811,65.5555,0.)); #509571=CARTESIAN_POINT('',(39.1811,65.5555,0.)); #509572=CARTESIAN_POINT('',(39.1811,65.5555,-0.035)); #509573=CARTESIAN_POINT('Origin',(38.3429,65.5555,-0.035)); #509574=CARTESIAN_POINT('',(38.3429,65.5555,-0.035)); #509575=CARTESIAN_POINT('',(38.3429,65.5555,-0.035)); #509576=CARTESIAN_POINT('',(38.3429,65.5555,0.)); #509577=CARTESIAN_POINT('',(38.3429,65.5555,0.)); #509578=CARTESIAN_POINT('',(38.3429,65.5555,-0.035)); #509579=CARTESIAN_POINT('Origin',(38.3429,66.4445,-0.035)); #509580=CARTESIAN_POINT('',(38.3429,66.4445,-0.035)); #509581=CARTESIAN_POINT('',(38.3429,66.4445,-0.035)); #509582=CARTESIAN_POINT('',(38.3429,66.4445,0.)); #509583=CARTESIAN_POINT('',(38.3429,66.4445,0.)); #509584=CARTESIAN_POINT('',(38.3429,66.4445,-0.035)); #509585=CARTESIAN_POINT('Origin',(39.1811,66.4445,-0.035)); #509586=CARTESIAN_POINT('',(39.1811,66.4445,-0.035)); #509587=CARTESIAN_POINT('',(39.1811,66.4445,0.)); #509588=CARTESIAN_POINT('Origin',(38.762,66.,0.)); #509589=CARTESIAN_POINT('Origin',(38.762,66.,-0.035)); #509590=CARTESIAN_POINT('Origin',(26.6571,18.5555,-0.035)); #509591=CARTESIAN_POINT('',(26.6571,18.5555,-0.035)); #509592=CARTESIAN_POINT('',(26.6571,19.4445,-0.035)); #509593=CARTESIAN_POINT('',(26.6571,18.5555,-0.035)); #509594=CARTESIAN_POINT('',(26.6571,19.4445,0.)); #509595=CARTESIAN_POINT('',(26.6571,19.4445,-0.035)); #509596=CARTESIAN_POINT('',(26.6571,18.5555,0.)); #509597=CARTESIAN_POINT('',(26.6571,18.5555,0.)); #509598=CARTESIAN_POINT('',(26.6571,18.5555,-0.035)); #509599=CARTESIAN_POINT('Origin',(25.8189,18.5555,-0.035)); #509600=CARTESIAN_POINT('',(25.8189,18.5555,-0.035)); #509601=CARTESIAN_POINT('',(25.8189,18.5555,-0.035)); #509602=CARTESIAN_POINT('',(25.8189,18.5555,0.)); #509603=CARTESIAN_POINT('',(25.8189,18.5555,0.)); #509604=CARTESIAN_POINT('',(25.8189,18.5555,-0.035)); #509605=CARTESIAN_POINT('Origin',(25.8189,19.4445,-0.035)); #509606=CARTESIAN_POINT('',(25.8189,19.4445,-0.035)); #509607=CARTESIAN_POINT('',(25.8189,19.4445,-0.035)); #509608=CARTESIAN_POINT('',(25.8189,19.4445,0.)); #509609=CARTESIAN_POINT('',(25.8189,19.4445,0.)); #509610=CARTESIAN_POINT('',(25.8189,19.4445,-0.035)); #509611=CARTESIAN_POINT('Origin',(26.6571,19.4445,-0.035)); #509612=CARTESIAN_POINT('',(26.6571,19.4445,-0.035)); #509613=CARTESIAN_POINT('',(26.6571,19.4445,0.)); #509614=CARTESIAN_POINT('Origin',(26.238,19.,0.)); #509615=CARTESIAN_POINT('Origin',(26.238,19.,-0.035)); #509616=CARTESIAN_POINT('Origin',(28.1811,22.5555,-0.035)); #509617=CARTESIAN_POINT('',(28.1811,22.5555,-0.035)); #509618=CARTESIAN_POINT('',(28.1811,23.4445,-0.035)); #509619=CARTESIAN_POINT('',(28.1811,22.5555,-0.035)); #509620=CARTESIAN_POINT('',(28.1811,23.4445,0.)); #509621=CARTESIAN_POINT('',(28.1811,23.4445,-0.035)); #509622=CARTESIAN_POINT('',(28.1811,22.5555,0.)); #509623=CARTESIAN_POINT('',(28.1811,22.5555,0.)); #509624=CARTESIAN_POINT('',(28.1811,22.5555,-0.035)); #509625=CARTESIAN_POINT('Origin',(27.3429,22.5555,-0.035)); #509626=CARTESIAN_POINT('',(27.3429,22.5555,-0.035)); #509627=CARTESIAN_POINT('',(27.3429,22.5555,-0.035)); #509628=CARTESIAN_POINT('',(27.3429,22.5555,0.)); #509629=CARTESIAN_POINT('',(27.3429,22.5555,0.)); #509630=CARTESIAN_POINT('',(27.3429,22.5555,-0.035)); #509631=CARTESIAN_POINT('Origin',(27.3429,23.4445,-0.035)); #509632=CARTESIAN_POINT('',(27.3429,23.4445,-0.035)); #509633=CARTESIAN_POINT('',(27.3429,23.4445,-0.035)); #509634=CARTESIAN_POINT('',(27.3429,23.4445,0.)); #509635=CARTESIAN_POINT('',(27.3429,23.4445,0.)); #509636=CARTESIAN_POINT('',(27.3429,23.4445,-0.035)); #509637=CARTESIAN_POINT('Origin',(28.1811,23.4445,-0.035)); #509638=CARTESIAN_POINT('',(28.1811,23.4445,-0.035)); #509639=CARTESIAN_POINT('',(28.1811,23.4445,0.)); #509640=CARTESIAN_POINT('Origin',(27.762,23.,0.)); #509641=CARTESIAN_POINT('Origin',(27.762,23.,-0.035)); #509642=CARTESIAN_POINT('Origin',(47.6698,17.5585,-0.035)); #509643=CARTESIAN_POINT('',(47.6698,17.5585,-0.035)); #509644=CARTESIAN_POINT('',(47.6698,19.2095,-0.035)); #509645=CARTESIAN_POINT('',(47.6698,17.5585,-0.035)); #509646=CARTESIAN_POINT('',(47.6698,19.2095,0.)); #509647=CARTESIAN_POINT('',(47.6698,19.2095,-0.035)); #509648=CARTESIAN_POINT('',(47.6698,17.5585,0.)); #509649=CARTESIAN_POINT('',(47.6698,17.5585,0.)); #509650=CARTESIAN_POINT('',(47.6698,17.5585,-0.035)); #509651=CARTESIAN_POINT('Origin',(47.0602,17.5585,-0.035)); #509652=CARTESIAN_POINT('',(47.0602,17.5585,-0.035)); #509653=CARTESIAN_POINT('',(47.0602,17.5585,-0.035)); #509654=CARTESIAN_POINT('',(47.0602,17.5585,0.)); #509655=CARTESIAN_POINT('',(47.0602,17.5585,0.)); #509656=CARTESIAN_POINT('',(47.0602,17.5585,-0.035)); #509657=CARTESIAN_POINT('Origin',(47.0602,19.2095,-0.035)); #509658=CARTESIAN_POINT('',(47.0602,19.2095,-0.035)); #509659=CARTESIAN_POINT('',(47.0602,19.2095,-0.035)); #509660=CARTESIAN_POINT('',(47.0602,19.2095,0.)); #509661=CARTESIAN_POINT('',(47.0602,19.2095,0.)); #509662=CARTESIAN_POINT('',(47.0602,19.2095,-0.035)); #509663=CARTESIAN_POINT('Origin',(47.6698,19.2095,-0.035)); #509664=CARTESIAN_POINT('',(47.6698,19.2095,-0.035)); #509665=CARTESIAN_POINT('',(47.6698,19.2095,0.)); #509666=CARTESIAN_POINT('Origin',(47.365,18.384,0.)); #509667=CARTESIAN_POINT('Origin',(47.365,18.384,-0.035)); #509668=CARTESIAN_POINT('Origin',(40.6571,22.5555,-0.035)); #509669=CARTESIAN_POINT('',(40.6571,22.5555,-0.035)); #509670=CARTESIAN_POINT('',(40.6571,23.4445,-0.035)); #509671=CARTESIAN_POINT('',(40.6571,22.5555,-0.035)); #509672=CARTESIAN_POINT('',(40.6571,23.4445,0.)); #509673=CARTESIAN_POINT('',(40.6571,23.4445,-0.035)); #509674=CARTESIAN_POINT('',(40.6571,22.5555,0.)); #509675=CARTESIAN_POINT('',(40.6571,22.5555,0.)); #509676=CARTESIAN_POINT('',(40.6571,22.5555,-0.035)); #509677=CARTESIAN_POINT('Origin',(39.8189,22.5555,-0.035)); #509678=CARTESIAN_POINT('',(39.8189,22.5555,-0.035)); #509679=CARTESIAN_POINT('',(39.8189,22.5555,-0.035)); #509680=CARTESIAN_POINT('',(39.8189,22.5555,0.)); #509681=CARTESIAN_POINT('',(39.8189,22.5555,0.)); #509682=CARTESIAN_POINT('',(39.8189,22.5555,-0.035)); #509683=CARTESIAN_POINT('Origin',(39.8189,23.4445,-0.035)); #509684=CARTESIAN_POINT('',(39.8189,23.4445,-0.035)); #509685=CARTESIAN_POINT('',(39.8189,23.4445,-0.035)); #509686=CARTESIAN_POINT('',(39.8189,23.4445,0.)); #509687=CARTESIAN_POINT('',(39.8189,23.4445,0.)); #509688=CARTESIAN_POINT('',(39.8189,23.4445,-0.035)); #509689=CARTESIAN_POINT('Origin',(40.6571,23.4445,-0.035)); #509690=CARTESIAN_POINT('',(40.6571,23.4445,-0.035)); #509691=CARTESIAN_POINT('',(40.6571,23.4445,0.)); #509692=CARTESIAN_POINT('Origin',(40.238,23.,0.)); #509693=CARTESIAN_POINT('Origin',(40.238,23.,-0.035)); #509694=CARTESIAN_POINT('Origin',(49.6945,25.8189,-0.035)); #509695=CARTESIAN_POINT('',(49.6945,25.8189,-0.035)); #509696=CARTESIAN_POINT('',(49.6945,26.6571,-0.035)); #509697=CARTESIAN_POINT('',(49.6945,25.8189,-0.035)); #509698=CARTESIAN_POINT('',(49.6945,26.6571,0.)); #509699=CARTESIAN_POINT('',(49.6945,26.6571,-0.035)); #509700=CARTESIAN_POINT('',(49.6945,25.8189,0.)); #509701=CARTESIAN_POINT('',(49.6945,25.8189,0.)); #509702=CARTESIAN_POINT('',(49.6945,25.8189,-0.035)); #509703=CARTESIAN_POINT('Origin',(48.8055,25.8189,-0.035)); #509704=CARTESIAN_POINT('',(48.8055,25.8189,-0.035)); #509705=CARTESIAN_POINT('',(48.8055,25.8189,-0.035)); #509706=CARTESIAN_POINT('',(48.8055,25.8189,0.)); #509707=CARTESIAN_POINT('',(48.8055,25.8189,0.)); #509708=CARTESIAN_POINT('',(48.8055,25.8189,-0.035)); #509709=CARTESIAN_POINT('Origin',(48.8055,26.6571,-0.035)); #509710=CARTESIAN_POINT('',(48.8055,26.6571,-0.035)); #509711=CARTESIAN_POINT('',(48.8055,26.6571,-0.035)); #509712=CARTESIAN_POINT('',(48.8055,26.6571,0.)); #509713=CARTESIAN_POINT('',(48.8055,26.6571,0.)); #509714=CARTESIAN_POINT('',(48.8055,26.6571,-0.035)); #509715=CARTESIAN_POINT('Origin',(49.6945,26.6571,-0.035)); #509716=CARTESIAN_POINT('',(49.6945,26.6571,-0.035)); #509717=CARTESIAN_POINT('',(49.6945,26.6571,0.)); #509718=CARTESIAN_POINT('Origin',(49.25,26.238,0.)); #509719=CARTESIAN_POINT('Origin',(49.25,26.238,-0.035)); #509720=CARTESIAN_POINT('Origin',(48.9398,22.7905,-0.035)); #509721=CARTESIAN_POINT('',(48.9398,22.7905,-0.035)); #509722=CARTESIAN_POINT('',(48.9398,24.4415,-0.035)); #509723=CARTESIAN_POINT('',(48.9398,22.7905,-0.035)); #509724=CARTESIAN_POINT('',(48.9398,24.4415,0.)); #509725=CARTESIAN_POINT('',(48.9398,24.4415,-0.035)); #509726=CARTESIAN_POINT('',(48.9398,22.7905,0.)); #509727=CARTESIAN_POINT('',(48.9398,22.7905,0.)); #509728=CARTESIAN_POINT('',(48.9398,22.7905,-0.035)); #509729=CARTESIAN_POINT('Origin',(48.3302,22.7905,-0.035)); #509730=CARTESIAN_POINT('',(48.3302,22.7905,-0.035)); #509731=CARTESIAN_POINT('',(48.3302,22.7905,-0.035)); #509732=CARTESIAN_POINT('',(48.3302,22.7905,0.)); #509733=CARTESIAN_POINT('',(48.3302,22.7905,0.)); #509734=CARTESIAN_POINT('',(48.3302,22.7905,-0.035)); #509735=CARTESIAN_POINT('Origin',(48.3302,24.4415,-0.035)); #509736=CARTESIAN_POINT('',(48.3302,24.4415,-0.035)); #509737=CARTESIAN_POINT('',(48.3302,24.4415,-0.035)); #509738=CARTESIAN_POINT('',(48.3302,24.4415,0.)); #509739=CARTESIAN_POINT('',(48.3302,24.4415,0.)); #509740=CARTESIAN_POINT('',(48.3302,24.4415,-0.035)); #509741=CARTESIAN_POINT('Origin',(48.9398,24.4415,-0.035)); #509742=CARTESIAN_POINT('',(48.9398,24.4415,-0.035)); #509743=CARTESIAN_POINT('',(48.9398,24.4415,0.)); #509744=CARTESIAN_POINT('Origin',(48.635,23.616,0.)); #509745=CARTESIAN_POINT('Origin',(48.635,23.616,-0.035)); #509746=CARTESIAN_POINT('Origin',(47.1945,25.8189,-0.035)); #509747=CARTESIAN_POINT('',(47.1945,25.8189,-0.035)); #509748=CARTESIAN_POINT('',(47.1945,26.6571,-0.035)); #509749=CARTESIAN_POINT('',(47.1945,25.8189,-0.035)); #509750=CARTESIAN_POINT('',(47.1945,26.6571,0.)); #509751=CARTESIAN_POINT('',(47.1945,26.6571,-0.035)); #509752=CARTESIAN_POINT('',(47.1945,25.8189,0.)); #509753=CARTESIAN_POINT('',(47.1945,25.8189,0.)); #509754=CARTESIAN_POINT('',(47.1945,25.8189,-0.035)); #509755=CARTESIAN_POINT('Origin',(46.3055,25.8189,-0.035)); #509756=CARTESIAN_POINT('',(46.3055,25.8189,-0.035)); #509757=CARTESIAN_POINT('',(46.3055,25.8189,-0.035)); #509758=CARTESIAN_POINT('',(46.3055,25.8189,0.)); #509759=CARTESIAN_POINT('',(46.3055,25.8189,0.)); #509760=CARTESIAN_POINT('',(46.3055,25.8189,-0.035)); #509761=CARTESIAN_POINT('Origin',(46.3055,26.6571,-0.035)); #509762=CARTESIAN_POINT('',(46.3055,26.6571,-0.035)); #509763=CARTESIAN_POINT('',(46.3055,26.6571,-0.035)); #509764=CARTESIAN_POINT('',(46.3055,26.6571,0.)); #509765=CARTESIAN_POINT('',(46.3055,26.6571,0.)); #509766=CARTESIAN_POINT('',(46.3055,26.6571,-0.035)); #509767=CARTESIAN_POINT('Origin',(47.1945,26.6571,-0.035)); #509768=CARTESIAN_POINT('',(47.1945,26.6571,-0.035)); #509769=CARTESIAN_POINT('',(47.1945,26.6571,0.)); #509770=CARTESIAN_POINT('Origin',(46.75,26.238,0.)); #509771=CARTESIAN_POINT('Origin',(46.75,26.238,-0.035)); #509772=CARTESIAN_POINT('Origin',(47.6698,22.7905,-0.035)); #509773=CARTESIAN_POINT('',(47.6698,22.7905,-0.035)); #509774=CARTESIAN_POINT('',(47.6698,24.4415,-0.035)); #509775=CARTESIAN_POINT('',(47.6698,22.7905,-0.035)); #509776=CARTESIAN_POINT('',(47.6698,24.4415,0.)); #509777=CARTESIAN_POINT('',(47.6698,24.4415,-0.035)); #509778=CARTESIAN_POINT('',(47.6698,22.7905,0.)); #509779=CARTESIAN_POINT('',(47.6698,22.7905,0.)); #509780=CARTESIAN_POINT('',(47.6698,22.7905,-0.035)); #509781=CARTESIAN_POINT('Origin',(47.0602,22.7905,-0.035)); #509782=CARTESIAN_POINT('',(47.0602,22.7905,-0.035)); #509783=CARTESIAN_POINT('',(47.0602,22.7905,-0.035)); #509784=CARTESIAN_POINT('',(47.0602,22.7905,0.)); #509785=CARTESIAN_POINT('',(47.0602,22.7905,0.)); #509786=CARTESIAN_POINT('',(47.0602,22.7905,-0.035)); #509787=CARTESIAN_POINT('Origin',(47.0602,24.4415,-0.035)); #509788=CARTESIAN_POINT('',(47.0602,24.4415,-0.035)); #509789=CARTESIAN_POINT('',(47.0602,24.4415,-0.035)); #509790=CARTESIAN_POINT('',(47.0602,24.4415,0.)); #509791=CARTESIAN_POINT('',(47.0602,24.4415,0.)); #509792=CARTESIAN_POINT('',(47.0602,24.4415,-0.035)); #509793=CARTESIAN_POINT('Origin',(47.6698,24.4415,-0.035)); #509794=CARTESIAN_POINT('',(47.6698,24.4415,-0.035)); #509795=CARTESIAN_POINT('',(47.6698,24.4415,0.)); #509796=CARTESIAN_POINT('Origin',(47.365,23.616,0.)); #509797=CARTESIAN_POINT('Origin',(47.365,23.616,-0.035)); #509798=CARTESIAN_POINT('Origin',(49.6945,27.3429,-0.035)); #509799=CARTESIAN_POINT('',(49.6945,27.3429,-0.035)); #509800=CARTESIAN_POINT('',(49.6945,28.1811,-0.035)); #509801=CARTESIAN_POINT('',(49.6945,27.3429,-0.035)); #509802=CARTESIAN_POINT('',(49.6945,28.1811,0.)); #509803=CARTESIAN_POINT('',(49.6945,28.1811,-0.035)); #509804=CARTESIAN_POINT('',(49.6945,27.3429,0.)); #509805=CARTESIAN_POINT('',(49.6945,27.3429,0.)); #509806=CARTESIAN_POINT('',(49.6945,27.3429,-0.035)); #509807=CARTESIAN_POINT('Origin',(48.8055,27.3429,-0.035)); #509808=CARTESIAN_POINT('',(48.8055,27.3429,-0.035)); #509809=CARTESIAN_POINT('',(48.8055,27.3429,-0.035)); #509810=CARTESIAN_POINT('',(48.8055,27.3429,0.)); #509811=CARTESIAN_POINT('',(48.8055,27.3429,0.)); #509812=CARTESIAN_POINT('',(48.8055,27.3429,-0.035)); #509813=CARTESIAN_POINT('Origin',(48.8055,28.1811,-0.035)); #509814=CARTESIAN_POINT('',(48.8055,28.1811,-0.035)); #509815=CARTESIAN_POINT('',(48.8055,28.1811,-0.035)); #509816=CARTESIAN_POINT('',(48.8055,28.1811,0.)); #509817=CARTESIAN_POINT('',(48.8055,28.1811,0.)); #509818=CARTESIAN_POINT('',(48.8055,28.1811,-0.035)); #509819=CARTESIAN_POINT('Origin',(49.6945,28.1811,-0.035)); #509820=CARTESIAN_POINT('',(49.6945,28.1811,-0.035)); #509821=CARTESIAN_POINT('',(49.6945,28.1811,0.)); #509822=CARTESIAN_POINT('Origin',(49.25,27.762,0.)); #509823=CARTESIAN_POINT('Origin',(49.25,27.762,-0.035)); #509824=CARTESIAN_POINT('Origin',(47.1945,27.3429,-0.035)); #509825=CARTESIAN_POINT('',(47.1945,27.3429,-0.035)); #509826=CARTESIAN_POINT('',(47.1945,28.1811,-0.035)); #509827=CARTESIAN_POINT('',(47.1945,27.3429,-0.035)); #509828=CARTESIAN_POINT('',(47.1945,28.1811,0.)); #509829=CARTESIAN_POINT('',(47.1945,28.1811,-0.035)); #509830=CARTESIAN_POINT('',(47.1945,27.3429,0.)); #509831=CARTESIAN_POINT('',(47.1945,27.3429,0.)); #509832=CARTESIAN_POINT('',(47.1945,27.3429,-0.035)); #509833=CARTESIAN_POINT('Origin',(46.3055,27.3429,-0.035)); #509834=CARTESIAN_POINT('',(46.3055,27.3429,-0.035)); #509835=CARTESIAN_POINT('',(46.3055,27.3429,-0.035)); #509836=CARTESIAN_POINT('',(46.3055,27.3429,0.)); #509837=CARTESIAN_POINT('',(46.3055,27.3429,0.)); #509838=CARTESIAN_POINT('',(46.3055,27.3429,-0.035)); #509839=CARTESIAN_POINT('Origin',(46.3055,28.1811,-0.035)); #509840=CARTESIAN_POINT('',(46.3055,28.1811,-0.035)); #509841=CARTESIAN_POINT('',(46.3055,28.1811,-0.035)); #509842=CARTESIAN_POINT('',(46.3055,28.1811,0.)); #509843=CARTESIAN_POINT('',(46.3055,28.1811,0.)); #509844=CARTESIAN_POINT('',(46.3055,28.1811,-0.035)); #509845=CARTESIAN_POINT('Origin',(47.1945,28.1811,-0.035)); #509846=CARTESIAN_POINT('',(47.1945,28.1811,-0.035)); #509847=CARTESIAN_POINT('',(47.1945,28.1811,0.)); #509848=CARTESIAN_POINT('Origin',(46.75,27.762,0.)); #509849=CARTESIAN_POINT('Origin',(46.75,27.762,-0.035)); #509850=CARTESIAN_POINT('Origin',(53.3142,24.6665,-0.035)); #509851=CARTESIAN_POINT('',(53.3142,24.6665,-0.035)); #509852=CARTESIAN_POINT('',(53.3142,27.3335,-0.035)); #509853=CARTESIAN_POINT('',(53.3142,24.6665,-0.035)); #509854=CARTESIAN_POINT('',(53.3142,27.3335,0.)); #509855=CARTESIAN_POINT('',(53.3142,27.3335,-0.035)); #509856=CARTESIAN_POINT('',(53.3142,24.6665,0.)); #509857=CARTESIAN_POINT('',(53.3142,24.6665,0.)); #509858=CARTESIAN_POINT('',(53.3142,24.6665,-0.035)); #509859=CARTESIAN_POINT('Origin',(51.6378,24.6665,-0.035)); #509860=CARTESIAN_POINT('',(51.6378,24.6665,-0.035)); #509861=CARTESIAN_POINT('',(51.6378,24.6665,-0.035)); #509862=CARTESIAN_POINT('',(51.6378,24.6665,0.)); #509863=CARTESIAN_POINT('',(51.6378,24.6665,0.)); #509864=CARTESIAN_POINT('',(51.6378,24.6665,-0.035)); #509865=CARTESIAN_POINT('Origin',(51.6378,27.3335,-0.035)); #509866=CARTESIAN_POINT('',(51.6378,27.3335,-0.035)); #509867=CARTESIAN_POINT('',(51.6378,27.3335,-0.035)); #509868=CARTESIAN_POINT('',(51.6378,27.3335,0.)); #509869=CARTESIAN_POINT('',(51.6378,27.3335,0.)); #509870=CARTESIAN_POINT('',(51.6378,27.3335,-0.035)); #509871=CARTESIAN_POINT('Origin',(53.3142,27.3335,-0.035)); #509872=CARTESIAN_POINT('',(53.3142,27.3335,-0.035)); #509873=CARTESIAN_POINT('',(53.3142,27.3335,0.)); #509874=CARTESIAN_POINT('Origin',(52.476,26.,0.)); #509875=CARTESIAN_POINT('Origin',(52.476,26.,-0.035)); #509876=CARTESIAN_POINT('Origin',(45.125,47.75,-200.)); #509877=CARTESIAN_POINT('',(44.985,47.75,-0.0349999999999895)); #509878=CARTESIAN_POINT('Origin',(45.125,47.75,-0.0349999999999895)); #509879=CARTESIAN_POINT('',(44.985,47.75,0.)); #509880=CARTESIAN_POINT('',(44.985,47.75,-200.)); #509881=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #509882=CARTESIAN_POINT('Origin',(46.,47.,-200.)); #509883=CARTESIAN_POINT('',(45.86,47.,-0.0349999999999895)); #509884=CARTESIAN_POINT('Origin',(46.,47.,-0.0349999999999895)); #509885=CARTESIAN_POINT('',(45.86,47.,0.)); #509886=CARTESIAN_POINT('',(45.86,47.,-200.)); #509887=CARTESIAN_POINT('Origin',(46.,47.,0.)); #509888=CARTESIAN_POINT('Origin',(46.,47.75,-200.)); #509889=CARTESIAN_POINT('',(45.86,47.75,-0.0349999999999895)); #509890=CARTESIAN_POINT('Origin',(46.,47.75,-0.0349999999999895)); #509891=CARTESIAN_POINT('',(45.86,47.75,0.)); #509892=CARTESIAN_POINT('',(45.86,47.75,-200.)); #509893=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #509894=CARTESIAN_POINT('Origin',(47.75,47.75,-200.)); #509895=CARTESIAN_POINT('',(47.61,47.75,-0.0349999999999895)); #509896=CARTESIAN_POINT('Origin',(47.75,47.75,-0.0349999999999895)); #509897=CARTESIAN_POINT('',(47.61,47.75,0.)); #509898=CARTESIAN_POINT('',(47.61,47.75,-200.)); #509899=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #509900=CARTESIAN_POINT('Origin',(47.75,46.25,-200.)); #509901=CARTESIAN_POINT('',(47.61,46.25,-0.0349999999999895)); #509902=CARTESIAN_POINT('Origin',(47.75,46.25,-0.0349999999999895)); #509903=CARTESIAN_POINT('',(47.61,46.25,0.)); #509904=CARTESIAN_POINT('',(47.61,46.25,-200.)); #509905=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #509906=CARTESIAN_POINT('Origin',(46.,46.25,-200.)); #509907=CARTESIAN_POINT('',(45.86,46.25,-0.0349999999999895)); #509908=CARTESIAN_POINT('Origin',(46.,46.25,-0.0349999999999895)); #509909=CARTESIAN_POINT('',(45.86,46.25,0.)); #509910=CARTESIAN_POINT('',(45.86,46.25,-200.)); #509911=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #509912=CARTESIAN_POINT('Origin',(47.75,47.,-200.)); #509913=CARTESIAN_POINT('',(47.61,47.,-0.0349999999999895)); #509914=CARTESIAN_POINT('Origin',(47.75,47.,-0.0349999999999895)); #509915=CARTESIAN_POINT('',(47.61,47.,0.)); #509916=CARTESIAN_POINT('',(47.61,47.,-200.)); #509917=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #509918=CARTESIAN_POINT('Origin',(44.25,47.,-200.)); #509919=CARTESIAN_POINT('',(44.11,47.,-0.0349999999999895)); #509920=CARTESIAN_POINT('Origin',(44.25,47.,-0.0349999999999895)); #509921=CARTESIAN_POINT('',(44.11,47.,0.)); #509922=CARTESIAN_POINT('',(44.11,47.,-200.)); #509923=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #509924=CARTESIAN_POINT('Origin',(44.25,47.75,-200.)); #509925=CARTESIAN_POINT('',(44.11,47.75,-0.0349999999999895)); #509926=CARTESIAN_POINT('Origin',(44.25,47.75,-0.0349999999999895)); #509927=CARTESIAN_POINT('',(44.11,47.75,0.)); #509928=CARTESIAN_POINT('',(44.11,47.75,-200.)); #509929=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #509930=CARTESIAN_POINT('Origin',(44.25,46.25,-200.)); #509931=CARTESIAN_POINT('',(44.11,46.25,-0.0349999999999895)); #509932=CARTESIAN_POINT('Origin',(44.25,46.25,-0.0349999999999895)); #509933=CARTESIAN_POINT('',(44.11,46.25,0.)); #509934=CARTESIAN_POINT('',(44.11,46.25,-200.)); #509935=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #509936=CARTESIAN_POINT('Origin',(46.875,47.75,-200.)); #509937=CARTESIAN_POINT('',(46.735,47.75,-0.0349999999999895)); #509938=CARTESIAN_POINT('Origin',(46.875,47.75,-0.0349999999999895)); #509939=CARTESIAN_POINT('',(46.735,47.75,0.)); #509940=CARTESIAN_POINT('',(46.735,47.75,-200.)); #509941=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #509942=CARTESIAN_POINT('Origin',(45.125,46.25,-200.)); #509943=CARTESIAN_POINT('',(44.985,46.25,-0.0349999999999895)); #509944=CARTESIAN_POINT('Origin',(45.125,46.25,-0.0349999999999895)); #509945=CARTESIAN_POINT('',(44.985,46.25,0.)); #509946=CARTESIAN_POINT('',(44.985,46.25,-200.)); #509947=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #509948=CARTESIAN_POINT('Origin',(45.125,47.,-200.)); #509949=CARTESIAN_POINT('',(44.985,47.,-0.0349999999999895)); #509950=CARTESIAN_POINT('Origin',(45.125,47.,-0.0349999999999895)); #509951=CARTESIAN_POINT('',(44.985,47.,0.)); #509952=CARTESIAN_POINT('',(44.985,47.,-200.)); #509953=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #509954=CARTESIAN_POINT('Origin',(46.875,46.25,-200.)); #509955=CARTESIAN_POINT('',(46.735,46.25,-0.0349999999999895)); #509956=CARTESIAN_POINT('Origin',(46.875,46.25,-0.0349999999999895)); #509957=CARTESIAN_POINT('',(46.735,46.25,0.)); #509958=CARTESIAN_POINT('',(46.735,46.25,-200.)); #509959=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #509960=CARTESIAN_POINT('Origin',(46.875,47.,-200.)); #509961=CARTESIAN_POINT('',(46.735,47.,-0.0349999999999895)); #509962=CARTESIAN_POINT('Origin',(46.875,47.,-0.0349999999999895)); #509963=CARTESIAN_POINT('',(46.735,47.,0.)); #509964=CARTESIAN_POINT('',(46.735,47.,-200.)); #509965=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #509966=CARTESIAN_POINT('Origin',(48.205,45.75,-0.035)); #509967=CARTESIAN_POINT('',(48.205,45.75,-0.035)); #509968=CARTESIAN_POINT('',(48.205,48.25,-0.035)); #509969=CARTESIAN_POINT('',(48.205,45.75,-0.035)); #509970=CARTESIAN_POINT('',(48.205,48.25,0.)); #509971=CARTESIAN_POINT('',(48.205,48.25,-0.035)); #509972=CARTESIAN_POINT('',(48.205,45.75,0.)); #509973=CARTESIAN_POINT('',(48.205,45.75,0.)); #509974=CARTESIAN_POINT('',(48.205,45.75,-0.035)); #509975=CARTESIAN_POINT('Origin',(43.795,45.75,-0.035)); #509976=CARTESIAN_POINT('',(43.795,45.75,-0.035)); #509977=CARTESIAN_POINT('',(43.795,45.75,-0.035)); #509978=CARTESIAN_POINT('',(43.795,45.75,0.)); #509979=CARTESIAN_POINT('',(43.795,45.75,0.)); #509980=CARTESIAN_POINT('',(43.795,45.75,-0.035)); #509981=CARTESIAN_POINT('Origin',(43.795,48.25,-0.035)); #509982=CARTESIAN_POINT('',(43.795,48.25,-0.035)); #509983=CARTESIAN_POINT('',(43.795,48.25,-0.035)); #509984=CARTESIAN_POINT('',(43.795,48.25,0.)); #509985=CARTESIAN_POINT('',(43.795,48.25,0.)); #509986=CARTESIAN_POINT('',(43.795,48.25,-0.035)); #509987=CARTESIAN_POINT('Origin',(48.205,48.25,-0.035)); #509988=CARTESIAN_POINT('',(48.205,48.25,-0.035)); #509989=CARTESIAN_POINT('',(48.205,48.25,0.)); #509990=CARTESIAN_POINT('Origin',(46.,47.,0.)); #509991=CARTESIAN_POINT('Origin',(46.,47.,-0.035)); #509992=CARTESIAN_POINT('Origin',(48.205,43.65,-0.035)); #509993=CARTESIAN_POINT('',(48.205,43.65,-0.035)); #509994=CARTESIAN_POINT('',(48.205,44.85,-0.035)); #509995=CARTESIAN_POINT('',(48.205,43.65,-0.035)); #509996=CARTESIAN_POINT('',(48.205,44.85,0.)); #509997=CARTESIAN_POINT('',(48.205,44.85,-0.035)); #509998=CARTESIAN_POINT('',(48.205,43.65,0.)); #509999=CARTESIAN_POINT('',(48.205,43.65,0.)); #510000=CARTESIAN_POINT('',(48.205,43.65,-0.035)); #510001=CARTESIAN_POINT('Origin',(47.605,43.65,-0.035)); #510002=CARTESIAN_POINT('',(47.605,43.65,-0.035)); #510003=CARTESIAN_POINT('',(47.605,43.65,-0.035)); #510004=CARTESIAN_POINT('',(47.605,43.65,0.)); #510005=CARTESIAN_POINT('',(47.605,43.65,0.)); #510006=CARTESIAN_POINT('',(47.605,43.65,-0.035)); #510007=CARTESIAN_POINT('Origin',(47.605,44.85,-0.035)); #510008=CARTESIAN_POINT('',(47.605,44.85,-0.035)); #510009=CARTESIAN_POINT('',(47.605,44.85,-0.035)); #510010=CARTESIAN_POINT('',(47.605,44.85,0.)); #510011=CARTESIAN_POINT('',(47.605,44.85,0.)); #510012=CARTESIAN_POINT('',(47.605,44.85,-0.035)); #510013=CARTESIAN_POINT('Origin',(48.205,44.85,-0.035)); #510014=CARTESIAN_POINT('',(48.205,44.85,-0.035)); #510015=CARTESIAN_POINT('',(48.205,44.85,0.)); #510016=CARTESIAN_POINT('Origin',(47.905,44.25,0.)); #510017=CARTESIAN_POINT('Origin',(47.905,44.25,-0.035)); #510018=CARTESIAN_POINT('Origin',(44.395,43.65,-0.035)); #510019=CARTESIAN_POINT('',(44.395,43.65,-0.035)); #510020=CARTESIAN_POINT('',(44.395,44.85,-0.035)); #510021=CARTESIAN_POINT('',(44.395,43.65,-0.035)); #510022=CARTESIAN_POINT('',(44.395,44.85,0.)); #510023=CARTESIAN_POINT('',(44.395,44.85,-0.035)); #510024=CARTESIAN_POINT('',(44.395,43.65,0.)); #510025=CARTESIAN_POINT('',(44.395,43.65,0.)); #510026=CARTESIAN_POINT('',(44.395,43.65,-0.035)); #510027=CARTESIAN_POINT('Origin',(43.795,43.65,-0.035)); #510028=CARTESIAN_POINT('',(43.795,43.65,-0.035)); #510029=CARTESIAN_POINT('',(43.795,43.65,-0.035)); #510030=CARTESIAN_POINT('',(43.795,43.65,0.)); #510031=CARTESIAN_POINT('',(43.795,43.65,0.)); #510032=CARTESIAN_POINT('',(43.795,43.65,-0.035)); #510033=CARTESIAN_POINT('Origin',(43.795,44.85,-0.035)); #510034=CARTESIAN_POINT('',(43.795,44.85,-0.035)); #510035=CARTESIAN_POINT('',(43.795,44.85,-0.035)); #510036=CARTESIAN_POINT('',(43.795,44.85,0.)); #510037=CARTESIAN_POINT('',(43.795,44.85,0.)); #510038=CARTESIAN_POINT('',(43.795,44.85,-0.035)); #510039=CARTESIAN_POINT('Origin',(44.395,44.85,-0.035)); #510040=CARTESIAN_POINT('',(44.395,44.85,-0.035)); #510041=CARTESIAN_POINT('',(44.395,44.85,0.)); #510042=CARTESIAN_POINT('Origin',(44.095,44.25,0.)); #510043=CARTESIAN_POINT('Origin',(44.095,44.25,-0.035)); #510044=CARTESIAN_POINT('Origin',(46.935,43.65,-0.035)); #510045=CARTESIAN_POINT('',(46.935,43.65,-0.035)); #510046=CARTESIAN_POINT('',(46.935,44.85,-0.035)); #510047=CARTESIAN_POINT('',(46.935,43.65,-0.035)); #510048=CARTESIAN_POINT('',(46.935,44.85,0.)); #510049=CARTESIAN_POINT('',(46.935,44.85,-0.035)); #510050=CARTESIAN_POINT('',(46.935,43.65,0.)); #510051=CARTESIAN_POINT('',(46.935,43.65,0.)); #510052=CARTESIAN_POINT('',(46.935,43.65,-0.035)); #510053=CARTESIAN_POINT('Origin',(46.335,43.65,-0.035)); #510054=CARTESIAN_POINT('',(46.335,43.65,-0.035)); #510055=CARTESIAN_POINT('',(46.335,43.65,-0.035)); #510056=CARTESIAN_POINT('',(46.335,43.65,0.)); #510057=CARTESIAN_POINT('',(46.335,43.65,0.)); #510058=CARTESIAN_POINT('',(46.335,43.65,-0.035)); #510059=CARTESIAN_POINT('Origin',(46.335,44.85,-0.035)); #510060=CARTESIAN_POINT('',(46.335,44.85,-0.035)); #510061=CARTESIAN_POINT('',(46.335,44.85,-0.035)); #510062=CARTESIAN_POINT('',(46.335,44.85,0.)); #510063=CARTESIAN_POINT('',(46.335,44.85,0.)); #510064=CARTESIAN_POINT('',(46.335,44.85,-0.035)); #510065=CARTESIAN_POINT('Origin',(46.935,44.85,-0.035)); #510066=CARTESIAN_POINT('',(46.935,44.85,-0.035)); #510067=CARTESIAN_POINT('',(46.935,44.85,0.)); #510068=CARTESIAN_POINT('Origin',(46.635,44.25,0.)); #510069=CARTESIAN_POINT('Origin',(46.635,44.25,-0.035)); #510070=CARTESIAN_POINT('Origin',(53.6571,44.5555,-0.035)); #510071=CARTESIAN_POINT('',(53.6571,44.5555,-0.035)); #510072=CARTESIAN_POINT('',(53.6571,45.4445,-0.035)); #510073=CARTESIAN_POINT('',(53.6571,44.5555,-0.035)); #510074=CARTESIAN_POINT('',(53.6571,45.4445,0.)); #510075=CARTESIAN_POINT('',(53.6571,45.4445,-0.035)); #510076=CARTESIAN_POINT('',(53.6571,44.5555,0.)); #510077=CARTESIAN_POINT('',(53.6571,44.5555,0.)); #510078=CARTESIAN_POINT('',(53.6571,44.5555,-0.035)); #510079=CARTESIAN_POINT('Origin',(52.8189,44.5555,-0.035)); #510080=CARTESIAN_POINT('',(52.8189,44.5555,-0.035)); #510081=CARTESIAN_POINT('',(52.8189,44.5555,-0.035)); #510082=CARTESIAN_POINT('',(52.8189,44.5555,0.)); #510083=CARTESIAN_POINT('',(52.8189,44.5555,0.)); #510084=CARTESIAN_POINT('',(52.8189,44.5555,-0.035)); #510085=CARTESIAN_POINT('Origin',(52.8189,45.4445,-0.035)); #510086=CARTESIAN_POINT('',(52.8189,45.4445,-0.035)); #510087=CARTESIAN_POINT('',(52.8189,45.4445,-0.035)); #510088=CARTESIAN_POINT('',(52.8189,45.4445,0.)); #510089=CARTESIAN_POINT('',(52.8189,45.4445,0.)); #510090=CARTESIAN_POINT('',(52.8189,45.4445,-0.035)); #510091=CARTESIAN_POINT('Origin',(53.6571,45.4445,-0.035)); #510092=CARTESIAN_POINT('',(53.6571,45.4445,-0.035)); #510093=CARTESIAN_POINT('',(53.6571,45.4445,0.)); #510094=CARTESIAN_POINT('Origin',(53.238,45.,0.)); #510095=CARTESIAN_POINT('Origin',(53.238,45.,-0.035)); #510096=CARTESIAN_POINT('Origin',(53.6571,41.5555,-0.035)); #510097=CARTESIAN_POINT('',(53.6571,41.5555,-0.035)); #510098=CARTESIAN_POINT('',(53.6571,42.4445,-0.035)); #510099=CARTESIAN_POINT('',(53.6571,41.5555,-0.035)); #510100=CARTESIAN_POINT('',(53.6571,42.4445,0.)); #510101=CARTESIAN_POINT('',(53.6571,42.4445,-0.035)); #510102=CARTESIAN_POINT('',(53.6571,41.5555,0.)); #510103=CARTESIAN_POINT('',(53.6571,41.5555,0.)); #510104=CARTESIAN_POINT('',(53.6571,41.5555,-0.035)); #510105=CARTESIAN_POINT('Origin',(52.8189,41.5555,-0.035)); #510106=CARTESIAN_POINT('',(52.8189,41.5555,-0.035)); #510107=CARTESIAN_POINT('',(52.8189,41.5555,-0.035)); #510108=CARTESIAN_POINT('',(52.8189,41.5555,0.)); #510109=CARTESIAN_POINT('',(52.8189,41.5555,0.)); #510110=CARTESIAN_POINT('',(52.8189,41.5555,-0.035)); #510111=CARTESIAN_POINT('Origin',(52.8189,42.4445,-0.035)); #510112=CARTESIAN_POINT('',(52.8189,42.4445,-0.035)); #510113=CARTESIAN_POINT('',(52.8189,42.4445,-0.035)); #510114=CARTESIAN_POINT('',(52.8189,42.4445,0.)); #510115=CARTESIAN_POINT('',(52.8189,42.4445,0.)); #510116=CARTESIAN_POINT('',(52.8189,42.4445,-0.035)); #510117=CARTESIAN_POINT('Origin',(53.6571,42.4445,-0.035)); #510118=CARTESIAN_POINT('',(53.6571,42.4445,-0.035)); #510119=CARTESIAN_POINT('',(53.6571,42.4445,0.)); #510120=CARTESIAN_POINT('Origin',(53.238,42.,0.)); #510121=CARTESIAN_POINT('Origin',(53.238,42.,-0.035)); #510122=CARTESIAN_POINT('Origin',(45.665,43.65,-0.035)); #510123=CARTESIAN_POINT('',(45.665,43.65,-0.035)); #510124=CARTESIAN_POINT('',(45.665,44.85,-0.035)); #510125=CARTESIAN_POINT('',(45.665,43.65,-0.035)); #510126=CARTESIAN_POINT('',(45.665,44.85,0.)); #510127=CARTESIAN_POINT('',(45.665,44.85,-0.035)); #510128=CARTESIAN_POINT('',(45.665,43.65,0.)); #510129=CARTESIAN_POINT('',(45.665,43.65,0.)); #510130=CARTESIAN_POINT('',(45.665,43.65,-0.035)); #510131=CARTESIAN_POINT('Origin',(45.065,43.65,-0.035)); #510132=CARTESIAN_POINT('',(45.065,43.65,-0.035)); #510133=CARTESIAN_POINT('',(45.065,43.65,-0.035)); #510134=CARTESIAN_POINT('',(45.065,43.65,0.)); #510135=CARTESIAN_POINT('',(45.065,43.65,0.)); #510136=CARTESIAN_POINT('',(45.065,43.65,-0.035)); #510137=CARTESIAN_POINT('Origin',(45.065,44.85,-0.035)); #510138=CARTESIAN_POINT('',(45.065,44.85,-0.035)); #510139=CARTESIAN_POINT('',(45.065,44.85,-0.035)); #510140=CARTESIAN_POINT('',(45.065,44.85,0.)); #510141=CARTESIAN_POINT('',(45.065,44.85,0.)); #510142=CARTESIAN_POINT('',(45.065,44.85,-0.035)); #510143=CARTESIAN_POINT('Origin',(45.665,44.85,-0.035)); #510144=CARTESIAN_POINT('',(45.665,44.85,-0.035)); #510145=CARTESIAN_POINT('',(45.665,44.85,0.)); #510146=CARTESIAN_POINT('Origin',(45.365,44.25,0.)); #510147=CARTESIAN_POINT('Origin',(45.365,44.25,-0.035)); #510148=CARTESIAN_POINT('Origin',(47.905,58.75,-200.)); #510149=CARTESIAN_POINT('',(47.765,58.75,-0.0349999999999895)); #510150=CARTESIAN_POINT('Origin',(47.905,58.75,-0.0349999999999895)); #510151=CARTESIAN_POINT('',(47.765,58.75,0.)); #510152=CARTESIAN_POINT('',(47.765,58.75,-200.)); #510153=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #510154=CARTESIAN_POINT('Origin',(48.205,58.15,-0.035)); #510155=CARTESIAN_POINT('',(48.205,58.15,-0.035)); #510156=CARTESIAN_POINT('',(48.205,59.35,-0.035)); #510157=CARTESIAN_POINT('',(48.205,58.15,-0.035)); #510158=CARTESIAN_POINT('',(48.205,59.35,0.)); #510159=CARTESIAN_POINT('',(48.205,59.35,-0.035)); #510160=CARTESIAN_POINT('',(48.205,58.15,0.)); #510161=CARTESIAN_POINT('',(48.205,58.15,0.)); #510162=CARTESIAN_POINT('',(48.205,58.15,-0.035)); #510163=CARTESIAN_POINT('Origin',(47.605,58.15,-0.035)); #510164=CARTESIAN_POINT('',(47.605,58.15,-0.035)); #510165=CARTESIAN_POINT('',(47.605,58.15,-0.035)); #510166=CARTESIAN_POINT('',(47.605,58.15,0.)); #510167=CARTESIAN_POINT('',(47.605,58.15,0.)); #510168=CARTESIAN_POINT('',(47.605,58.15,-0.035)); #510169=CARTESIAN_POINT('Origin',(47.605,59.35,-0.035)); #510170=CARTESIAN_POINT('',(47.605,59.35,-0.035)); #510171=CARTESIAN_POINT('',(47.605,59.35,-0.035)); #510172=CARTESIAN_POINT('',(47.605,59.35,0.)); #510173=CARTESIAN_POINT('',(47.605,59.35,0.)); #510174=CARTESIAN_POINT('',(47.605,59.35,-0.035)); #510175=CARTESIAN_POINT('Origin',(48.205,59.35,-0.035)); #510176=CARTESIAN_POINT('',(48.205,59.35,-0.035)); #510177=CARTESIAN_POINT('',(48.205,59.35,0.)); #510178=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #510179=CARTESIAN_POINT('Origin',(47.905,58.75,-0.035)); #510180=CARTESIAN_POINT('Origin',(36.4,7.1,-200.)); #510181=CARTESIAN_POINT('',(36.285,7.1,-0.0349999999999895)); #510182=CARTESIAN_POINT('Origin',(36.4,7.1,-0.0349999999999895)); #510183=CARTESIAN_POINT('',(36.285,7.1,0.)); #510184=CARTESIAN_POINT('',(36.285,7.1,-200.)); #510185=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #510186=CARTESIAN_POINT('Origin',(36.4,7.6,-200.)); #510187=CARTESIAN_POINT('',(36.285,7.6,-0.0349999999999895)); #510188=CARTESIAN_POINT('Origin',(36.4,7.6,-0.0349999999999895)); #510189=CARTESIAN_POINT('',(36.285,7.6,0.)); #510190=CARTESIAN_POINT('',(36.285,7.6,-200.)); #510191=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #510192=CARTESIAN_POINT('Origin',(36.1,7.82,-0.035)); #510193=CARTESIAN_POINT('',(36.1,7.82,-0.035)); #510194=CARTESIAN_POINT('',(36.1,6.67,-0.035)); #510195=CARTESIAN_POINT('',(36.1,7.82,-0.035)); #510196=CARTESIAN_POINT('',(36.1,6.67,0.)); #510197=CARTESIAN_POINT('',(36.1,6.67,-0.035)); #510198=CARTESIAN_POINT('',(36.1,7.82,0.)); #510199=CARTESIAN_POINT('',(36.1,7.82,0.)); #510200=CARTESIAN_POINT('',(36.1,7.82,-0.035)); #510201=CARTESIAN_POINT('Origin',(36.7,7.82,-0.035)); #510202=CARTESIAN_POINT('',(36.7,7.82,-0.035)); #510203=CARTESIAN_POINT('',(36.7,7.82,-0.035)); #510204=CARTESIAN_POINT('',(36.7,7.82,0.)); #510205=CARTESIAN_POINT('',(36.7,7.82,0.)); #510206=CARTESIAN_POINT('',(36.7,7.82,-0.035)); #510207=CARTESIAN_POINT('Origin',(36.7,6.67,-0.035)); #510208=CARTESIAN_POINT('',(36.7,6.67,-0.035)); #510209=CARTESIAN_POINT('',(36.7,6.67,-0.035)); #510210=CARTESIAN_POINT('',(36.7,6.67,0.)); #510211=CARTESIAN_POINT('',(36.7,6.67,0.)); #510212=CARTESIAN_POINT('',(36.7,6.67,-0.035)); #510213=CARTESIAN_POINT('Origin',(36.1,6.67,-0.035)); #510214=CARTESIAN_POINT('',(36.1,6.67,-0.035)); #510215=CARTESIAN_POINT('',(36.1,6.67,0.)); #510216=CARTESIAN_POINT('Origin',(36.4,7.245,0.)); #510217=CARTESIAN_POINT('Origin',(36.4,7.245,-0.035)); #510218=CARTESIAN_POINT('Origin',(31.6,7.6,-200.)); #510219=CARTESIAN_POINT('',(31.485,7.6,-0.0349999999999895)); #510220=CARTESIAN_POINT('Origin',(31.6,7.6,-0.0349999999999895)); #510221=CARTESIAN_POINT('',(31.485,7.6,0.)); #510222=CARTESIAN_POINT('',(31.485,7.6,-200.)); #510223=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #510224=CARTESIAN_POINT('Origin',(31.6,7.1,-200.)); #510225=CARTESIAN_POINT('',(31.485,7.1,-0.0349999999999895)); #510226=CARTESIAN_POINT('Origin',(31.6,7.1,-0.0349999999999895)); #510227=CARTESIAN_POINT('',(31.485,7.1,0.)); #510228=CARTESIAN_POINT('',(31.485,7.1,-200.)); #510229=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #510230=CARTESIAN_POINT('Origin',(31.3,7.82,-0.035)); #510231=CARTESIAN_POINT('',(31.3,7.82,-0.035)); #510232=CARTESIAN_POINT('',(31.3,6.67,-0.035)); #510233=CARTESIAN_POINT('',(31.3,7.82,-0.035)); #510234=CARTESIAN_POINT('',(31.3,6.67,0.)); #510235=CARTESIAN_POINT('',(31.3,6.67,-0.035)); #510236=CARTESIAN_POINT('',(31.3,7.82,0.)); #510237=CARTESIAN_POINT('',(31.3,7.82,0.)); #510238=CARTESIAN_POINT('',(31.3,7.82,-0.035)); #510239=CARTESIAN_POINT('Origin',(31.9,7.82,-0.035)); #510240=CARTESIAN_POINT('',(31.9,7.82,-0.035)); #510241=CARTESIAN_POINT('',(31.9,7.82,-0.035)); #510242=CARTESIAN_POINT('',(31.9,7.82,0.)); #510243=CARTESIAN_POINT('',(31.9,7.82,0.)); #510244=CARTESIAN_POINT('',(31.9,7.82,-0.035)); #510245=CARTESIAN_POINT('Origin',(31.9,6.67,-0.035)); #510246=CARTESIAN_POINT('',(31.9,6.67,-0.035)); #510247=CARTESIAN_POINT('',(31.9,6.67,-0.035)); #510248=CARTESIAN_POINT('',(31.9,6.67,0.)); #510249=CARTESIAN_POINT('',(31.9,6.67,0.)); #510250=CARTESIAN_POINT('',(31.9,6.67,-0.035)); #510251=CARTESIAN_POINT('Origin',(31.3,6.67,-0.035)); #510252=CARTESIAN_POINT('',(31.3,6.67,-0.035)); #510253=CARTESIAN_POINT('',(31.3,6.67,0.)); #510254=CARTESIAN_POINT('Origin',(31.6,7.245,0.)); #510255=CARTESIAN_POINT('Origin',(31.6,7.245,-0.035)); #510256=CARTESIAN_POINT('Origin',(27.762,19.,-200.)); #510257=CARTESIAN_POINT('',(27.622,19.,-0.0349999999999895)); #510258=CARTESIAN_POINT('Origin',(27.762,19.,-0.0349999999999895)); #510259=CARTESIAN_POINT('',(27.622,19.,0.)); #510260=CARTESIAN_POINT('',(27.622,19.,-200.)); #510261=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #510262=CARTESIAN_POINT('Origin',(28.1811,18.5555,-0.035)); #510263=CARTESIAN_POINT('',(28.1811,18.5555,-0.035)); #510264=CARTESIAN_POINT('',(28.1811,19.4445,-0.035)); #510265=CARTESIAN_POINT('',(28.1811,18.5555,-0.035)); #510266=CARTESIAN_POINT('',(28.1811,19.4445,0.)); #510267=CARTESIAN_POINT('',(28.1811,19.4445,-0.035)); #510268=CARTESIAN_POINT('',(28.1811,18.5555,0.)); #510269=CARTESIAN_POINT('',(28.1811,18.5555,0.)); #510270=CARTESIAN_POINT('',(28.1811,18.5555,-0.035)); #510271=CARTESIAN_POINT('Origin',(27.3429,18.5555,-0.035)); #510272=CARTESIAN_POINT('',(27.3429,18.5555,-0.035)); #510273=CARTESIAN_POINT('',(27.3429,18.5555,-0.035)); #510274=CARTESIAN_POINT('',(27.3429,18.5555,0.)); #510275=CARTESIAN_POINT('',(27.3429,18.5555,0.)); #510276=CARTESIAN_POINT('',(27.3429,18.5555,-0.035)); #510277=CARTESIAN_POINT('Origin',(27.3429,19.4445,-0.035)); #510278=CARTESIAN_POINT('',(27.3429,19.4445,-0.035)); #510279=CARTESIAN_POINT('',(27.3429,19.4445,-0.035)); #510280=CARTESIAN_POINT('',(27.3429,19.4445,0.)); #510281=CARTESIAN_POINT('',(27.3429,19.4445,0.)); #510282=CARTESIAN_POINT('',(27.3429,19.4445,-0.035)); #510283=CARTESIAN_POINT('Origin',(28.1811,19.4445,-0.035)); #510284=CARTESIAN_POINT('',(28.1811,19.4445,-0.035)); #510285=CARTESIAN_POINT('',(28.1811,19.4445,0.)); #510286=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #510287=CARTESIAN_POINT('Origin',(27.762,19.,-0.035)); #510288=CARTESIAN_POINT('',(0.,0.,0.)); #510289=CARTESIAN_POINT('Origin',(31.11,6.18,-0.750499999999998)); #510290=CARTESIAN_POINT('',(30.785,6.18,0.0525)); #510291=CARTESIAN_POINT('Origin',(31.11,6.18,0.0525)); #510292=CARTESIAN_POINT('',(30.785,6.18,0.)); #510293=CARTESIAN_POINT('',(30.785,6.18,-0.750499999999998)); #510294=CARTESIAN_POINT('Origin',(31.11,6.18,0.)); #510295=CARTESIAN_POINT('Origin',(36.89,6.18,-0.750499999999998)); #510296=CARTESIAN_POINT('',(36.565,6.18,0.0525)); #510297=CARTESIAN_POINT('Origin',(36.89,6.18,0.0525)); #510298=CARTESIAN_POINT('',(36.565,6.18,0.)); #510299=CARTESIAN_POINT('',(36.565,6.18,-0.750499999999998)); #510300=CARTESIAN_POINT('Origin',(36.89,6.18,0.)); #510301=CARTESIAN_POINT('Origin',(30.19,45.,-200.)); #510302=CARTESIAN_POINT('',(29.59,45.,0.)); #510303=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #510304=CARTESIAN_POINT('',(29.59,45.,0.052500000000002)); #510305=CARTESIAN_POINT('',(29.59,45.,-200.)); #510306=CARTESIAN_POINT('Origin',(30.19,45.,0.052500000000002)); #510307=CARTESIAN_POINT('Origin',(45.125,47.75,-200.)); #510308=CARTESIAN_POINT('',(44.95,47.75,0.)); #510309=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #510310=CARTESIAN_POINT('',(44.95,47.75,0.052500000000002)); #510311=CARTESIAN_POINT('',(44.95,47.75,-200.)); #510312=CARTESIAN_POINT('Origin',(45.125,47.75,0.052500000000002)); #510313=CARTESIAN_POINT('Origin',(41.,25.25,-200.)); #510314=CARTESIAN_POINT('',(40.825,25.25,0.)); #510315=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #510316=CARTESIAN_POINT('',(40.825,25.25,0.052500000000002)); #510317=CARTESIAN_POINT('',(40.825,25.25,-200.)); #510318=CARTESIAN_POINT('Origin',(41.,25.25,0.052500000000002)); #510319=CARTESIAN_POINT('Origin',(46.,47.,-200.)); #510320=CARTESIAN_POINT('',(45.825,47.,0.)); #510321=CARTESIAN_POINT('Origin',(46.,47.,0.)); #510322=CARTESIAN_POINT('',(45.825,47.,0.052500000000002)); #510323=CARTESIAN_POINT('',(45.825,47.,-200.)); #510324=CARTESIAN_POINT('Origin',(46.,47.,0.052500000000002)); #510325=CARTESIAN_POINT('Origin',(32.5,18.5,-200.)); #510326=CARTESIAN_POINT('',(32.325,18.5,0.)); #510327=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #510328=CARTESIAN_POINT('',(32.325,18.5,0.052500000000002)); #510329=CARTESIAN_POINT('',(32.325,18.5,-200.)); #510330=CARTESIAN_POINT('Origin',(32.5,18.5,0.052500000000002)); #510331=CARTESIAN_POINT('Origin',(46.,47.75,-200.)); #510332=CARTESIAN_POINT('',(45.825,47.75,0.)); #510333=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #510334=CARTESIAN_POINT('',(45.825,47.75,0.052500000000002)); #510335=CARTESIAN_POINT('',(45.825,47.75,-200.)); #510336=CARTESIAN_POINT('Origin',(46.,47.75,0.052500000000002)); #510337=CARTESIAN_POINT('Origin',(33.25,48.5,-200.)); #510338=CARTESIAN_POINT('',(33.075,48.5,0.)); #510339=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #510340=CARTESIAN_POINT('',(33.075,48.5,0.052500000000002)); #510341=CARTESIAN_POINT('',(33.075,48.5,-200.)); #510342=CARTESIAN_POINT('Origin',(33.25,48.5,0.052500000000002)); #510343=CARTESIAN_POINT('Origin',(47.75,47.75,-200.)); #510344=CARTESIAN_POINT('',(47.575,47.75,0.)); #510345=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #510346=CARTESIAN_POINT('',(47.575,47.75,0.052500000000002)); #510347=CARTESIAN_POINT('',(47.575,47.75,-200.)); #510348=CARTESIAN_POINT('Origin',(47.75,47.75,0.052500000000002)); #510349=CARTESIAN_POINT('Origin',(33.25,5.5,-200.)); #510350=CARTESIAN_POINT('',(33.075,5.5,0.)); #510351=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #510352=CARTESIAN_POINT('',(33.075,5.5,0.052500000000002)); #510353=CARTESIAN_POINT('',(33.075,5.5,-200.)); #510354=CARTESIAN_POINT('Origin',(33.25,5.5,0.052500000000002)); #510355=CARTESIAN_POINT('Origin',(47.75,46.25,-200.)); #510356=CARTESIAN_POINT('',(47.575,46.25,0.)); #510357=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #510358=CARTESIAN_POINT('',(47.575,46.25,0.052500000000002)); #510359=CARTESIAN_POINT('',(47.575,46.25,-200.)); #510360=CARTESIAN_POINT('Origin',(47.75,46.25,0.052500000000002)); #510361=CARTESIAN_POINT('Origin',(33.25,50.,-200.)); #510362=CARTESIAN_POINT('',(33.075,50.,0.)); #510363=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #510364=CARTESIAN_POINT('',(33.075,50.,0.052500000000002)); #510365=CARTESIAN_POINT('',(33.075,50.,-200.)); #510366=CARTESIAN_POINT('Origin',(33.25,50.,0.052500000000002)); #510367=CARTESIAN_POINT('Origin',(46.,46.25,-200.)); #510368=CARTESIAN_POINT('',(45.825,46.25,0.)); #510369=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #510370=CARTESIAN_POINT('',(45.825,46.25,0.052500000000002)); #510371=CARTESIAN_POINT('',(45.825,46.25,-200.)); #510372=CARTESIAN_POINT('Origin',(46.,46.25,0.052500000000002)); #510373=CARTESIAN_POINT('Origin',(33.25,18.5,-200.)); #510374=CARTESIAN_POINT('',(33.075,18.5,0.)); #510375=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #510376=CARTESIAN_POINT('',(33.075,18.5,0.052500000000002)); #510377=CARTESIAN_POINT('',(33.075,18.5,-200.)); #510378=CARTESIAN_POINT('Origin',(33.25,18.5,0.052500000000002)); #510379=CARTESIAN_POINT('Origin',(47.75,47.,-200.)); #510380=CARTESIAN_POINT('',(47.575,47.,0.)); #510381=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #510382=CARTESIAN_POINT('',(47.575,47.,0.052500000000002)); #510383=CARTESIAN_POINT('',(47.575,47.,-200.)); #510384=CARTESIAN_POINT('Origin',(47.75,47.,0.052500000000002)); #510385=CARTESIAN_POINT('Origin',(33.25,49.25,-200.)); #510386=CARTESIAN_POINT('',(33.075,49.25,0.)); #510387=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #510388=CARTESIAN_POINT('',(33.075,49.25,0.052500000000002)); #510389=CARTESIAN_POINT('',(33.075,49.25,-200.)); #510390=CARTESIAN_POINT('Origin',(33.25,49.25,0.052500000000002)); #510391=CARTESIAN_POINT('Origin',(44.25,47.,-200.)); #510392=CARTESIAN_POINT('',(44.075,47.,0.)); #510393=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #510394=CARTESIAN_POINT('',(44.075,47.,0.052500000000002)); #510395=CARTESIAN_POINT('',(44.075,47.,-200.)); #510396=CARTESIAN_POINT('Origin',(44.25,47.,0.052500000000002)); #510397=CARTESIAN_POINT('Origin',(12.25,34.,-200.)); #510398=CARTESIAN_POINT('',(11.75,34.,0.)); #510399=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #510400=CARTESIAN_POINT('',(11.75,34.,0.052500000000002)); #510401=CARTESIAN_POINT('',(11.75,34.,-200.)); #510402=CARTESIAN_POINT('Origin',(12.25,34.,0.052500000000002)); #510403=CARTESIAN_POINT('Origin',(53.5,20.25,-200.)); #510404=CARTESIAN_POINT('',(53.325,20.25,0.)); #510405=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #510406=CARTESIAN_POINT('',(53.325,20.25,0.052500000000002)); #510407=CARTESIAN_POINT('',(53.325,20.25,-200.)); #510408=CARTESIAN_POINT('Origin',(53.5,20.25,0.052500000000002)); #510409=CARTESIAN_POINT('Origin',(34.75,48.5,-200.)); #510410=CARTESIAN_POINT('',(34.575,48.5,0.)); #510411=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #510412=CARTESIAN_POINT('',(34.575,48.5,0.052500000000002)); #510413=CARTESIAN_POINT('',(34.575,48.5,-200.)); #510414=CARTESIAN_POINT('Origin',(34.75,48.5,0.052500000000002)); #510415=CARTESIAN_POINT('Origin',(52.75,20.25,-200.)); #510416=CARTESIAN_POINT('',(52.575,20.25,0.)); #510417=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #510418=CARTESIAN_POINT('',(52.575,20.25,0.052500000000002)); #510419=CARTESIAN_POINT('',(52.575,20.25,-200.)); #510420=CARTESIAN_POINT('Origin',(52.75,20.25,0.052500000000002)); #510421=CARTESIAN_POINT('Origin',(34.75,18.5,-200.)); #510422=CARTESIAN_POINT('',(34.575,18.5,0.)); #510423=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #510424=CARTESIAN_POINT('',(34.575,18.5,0.052500000000002)); #510425=CARTESIAN_POINT('',(34.575,18.5,-200.)); #510426=CARTESIAN_POINT('Origin',(34.75,18.5,0.052500000000002)); #510427=CARTESIAN_POINT('Origin',(52.,21.75,-200.)); #510428=CARTESIAN_POINT('',(51.825,21.75,0.)); #510429=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #510430=CARTESIAN_POINT('',(51.825,21.75,0.052500000000002)); #510431=CARTESIAN_POINT('',(51.825,21.75,-200.)); #510432=CARTESIAN_POINT('Origin',(52.,21.75,0.052500000000002)); #510433=CARTESIAN_POINT('Origin',(34.,48.5,-200.)); #510434=CARTESIAN_POINT('',(33.825,48.5,0.)); #510435=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #510436=CARTESIAN_POINT('',(33.825,48.5,0.052500000000002)); #510437=CARTESIAN_POINT('',(33.825,48.5,-200.)); #510438=CARTESIAN_POINT('Origin',(34.,48.5,0.052500000000002)); #510439=CARTESIAN_POINT('Origin',(52.,20.25,-200.)); #510440=CARTESIAN_POINT('',(51.825,20.25,0.)); #510441=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #510442=CARTESIAN_POINT('',(51.825,20.25,0.052500000000002)); #510443=CARTESIAN_POINT('',(51.825,20.25,-200.)); #510444=CARTESIAN_POINT('Origin',(52.,20.25,0.052500000000002)); #510445=CARTESIAN_POINT('Origin',(34.,5.5,-200.)); #510446=CARTESIAN_POINT('',(33.825,5.5,0.)); #510447=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #510448=CARTESIAN_POINT('',(33.825,5.5,0.052500000000002)); #510449=CARTESIAN_POINT('',(33.825,5.5,-200.)); #510450=CARTESIAN_POINT('Origin',(34.,5.5,0.052500000000002)); #510451=CARTESIAN_POINT('Origin',(42.,31.,-200.)); #510452=CARTESIAN_POINT('',(41.825,31.,0.)); #510453=CARTESIAN_POINT('Origin',(42.,31.,0.)); #510454=CARTESIAN_POINT('',(41.825,31.,0.052500000000002)); #510455=CARTESIAN_POINT('',(41.825,31.,-200.)); #510456=CARTESIAN_POINT('Origin',(42.,31.,0.052500000000002)); #510457=CARTESIAN_POINT('Origin',(34.75,50.,-200.)); #510458=CARTESIAN_POINT('',(34.575,50.,0.)); #510459=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #510460=CARTESIAN_POINT('',(34.575,50.,0.052500000000002)); #510461=CARTESIAN_POINT('',(34.575,50.,-200.)); #510462=CARTESIAN_POINT('Origin',(34.75,50.,0.052500000000002)); #510463=CARTESIAN_POINT('Origin',(42.,36.,-200.)); #510464=CARTESIAN_POINT('',(41.825,36.,0.)); #510465=CARTESIAN_POINT('Origin',(42.,36.,0.)); #510466=CARTESIAN_POINT('',(41.825,36.,0.052500000000002)); #510467=CARTESIAN_POINT('',(41.825,36.,-200.)); #510468=CARTESIAN_POINT('Origin',(42.,36.,0.052500000000002)); #510469=CARTESIAN_POINT('Origin',(34.,18.5,-200.)); #510470=CARTESIAN_POINT('',(33.825,18.5,0.)); #510471=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #510472=CARTESIAN_POINT('',(33.825,18.5,0.052500000000002)); #510473=CARTESIAN_POINT('',(33.825,18.5,-200.)); #510474=CARTESIAN_POINT('Origin',(34.,18.5,0.052500000000002)); #510475=CARTESIAN_POINT('Origin',(44.25,47.75,-200.)); #510476=CARTESIAN_POINT('',(44.075,47.75,0.)); #510477=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #510478=CARTESIAN_POINT('',(44.075,47.75,0.052500000000002)); #510479=CARTESIAN_POINT('',(44.075,47.75,-200.)); #510480=CARTESIAN_POINT('Origin',(44.25,47.75,0.052500000000002)); #510481=CARTESIAN_POINT('Origin',(34.,50.,-200.)); #510482=CARTESIAN_POINT('',(33.825,50.,0.)); #510483=CARTESIAN_POINT('Origin',(34.,50.,0.)); #510484=CARTESIAN_POINT('',(33.825,50.,0.052500000000002)); #510485=CARTESIAN_POINT('',(33.825,50.,-200.)); #510486=CARTESIAN_POINT('Origin',(34.,50.,0.052500000000002)); #510487=CARTESIAN_POINT('Origin',(44.25,46.25,-200.)); #510488=CARTESIAN_POINT('',(44.075,46.25,0.)); #510489=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #510490=CARTESIAN_POINT('',(44.075,46.25,0.052500000000002)); #510491=CARTESIAN_POINT('',(44.075,46.25,-200.)); #510492=CARTESIAN_POINT('Origin',(44.25,46.25,0.052500000000002)); #510493=CARTESIAN_POINT('Origin',(24.25,34.,-200.)); #510494=CARTESIAN_POINT('',(23.7,34.,0.)); #510495=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #510496=CARTESIAN_POINT('',(23.7,34.,0.052500000000002)); #510497=CARTESIAN_POINT('',(23.7,34.,-200.)); #510498=CARTESIAN_POINT('Origin',(24.25,34.,0.052500000000002)); #510499=CARTESIAN_POINT('Origin',(14.5,21.75,-200.)); #510500=CARTESIAN_POINT('',(14.325,21.75,0.)); #510501=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #510502=CARTESIAN_POINT('',(14.325,21.75,0.052500000000002)); #510503=CARTESIAN_POINT('',(14.325,21.75,-200.)); #510504=CARTESIAN_POINT('Origin',(14.5,21.75,0.052500000000002)); #510505=CARTESIAN_POINT('Origin',(25.,26.625,-200.)); #510506=CARTESIAN_POINT('',(24.825,26.625,0.)); #510507=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #510508=CARTESIAN_POINT('',(24.825,26.625,0.052500000000002)); #510509=CARTESIAN_POINT('',(24.825,26.625,-200.)); #510510=CARTESIAN_POINT('Origin',(25.,26.625,0.052500000000002)); #510511=CARTESIAN_POINT('Origin',(14.5,21.,-200.)); #510512=CARTESIAN_POINT('',(14.325,21.,0.)); #510513=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #510514=CARTESIAN_POINT('',(14.325,21.,0.052500000000002)); #510515=CARTESIAN_POINT('',(14.325,21.,-200.)); #510516=CARTESIAN_POINT('Origin',(14.5,21.,0.052500000000002)); #510517=CARTESIAN_POINT('Origin',(34.75,16.25,-200.)); #510518=CARTESIAN_POINT('',(34.575,16.25,0.)); #510519=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #510520=CARTESIAN_POINT('',(34.575,16.25,0.052500000000002)); #510521=CARTESIAN_POINT('',(34.575,16.25,-200.)); #510522=CARTESIAN_POINT('Origin',(34.75,16.25,0.052500000000002)); #510523=CARTESIAN_POINT('Origin',(16.,20.25,-200.)); #510524=CARTESIAN_POINT('',(15.825,20.25,0.)); #510525=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #510526=CARTESIAN_POINT('',(15.825,20.25,0.052500000000002)); #510527=CARTESIAN_POINT('',(15.825,20.25,-200.)); #510528=CARTESIAN_POINT('Origin',(16.,20.25,0.052500000000002)); #510529=CARTESIAN_POINT('Origin',(27.25,24.375,-200.)); #510530=CARTESIAN_POINT('',(27.075,24.375,0.)); #510531=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #510532=CARTESIAN_POINT('',(27.075,24.375,0.052500000000002)); #510533=CARTESIAN_POINT('',(27.075,24.375,-200.)); #510534=CARTESIAN_POINT('Origin',(27.25,24.375,0.052500000000002)); #510535=CARTESIAN_POINT('Origin',(14.5,20.25,-200.)); #510536=CARTESIAN_POINT('',(14.325,20.25,0.)); #510537=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #510538=CARTESIAN_POINT('',(14.325,20.25,0.052500000000002)); #510539=CARTESIAN_POINT('',(14.325,20.25,-200.)); #510540=CARTESIAN_POINT('Origin',(14.5,20.25,0.052500000000002)); #510541=CARTESIAN_POINT('Origin',(34.0625,12.25,-200.)); #510542=CARTESIAN_POINT('',(33.8875,12.25,0.)); #510543=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #510544=CARTESIAN_POINT('',(33.8875,12.25,0.052500000000002)); #510545=CARTESIAN_POINT('',(33.8875,12.25,-200.)); #510546=CARTESIAN_POINT('Origin',(34.0625,12.25,0.052500000000002)); #510547=CARTESIAN_POINT('Origin',(15.25,20.25,-200.)); #510548=CARTESIAN_POINT('',(15.075,20.25,0.)); #510549=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #510550=CARTESIAN_POINT('',(15.075,20.25,0.052500000000002)); #510551=CARTESIAN_POINT('',(15.075,20.25,-200.)); #510552=CARTESIAN_POINT('Origin',(15.25,20.25,0.052500000000002)); #510553=CARTESIAN_POINT('Origin',(31.75,18.5,-200.)); #510554=CARTESIAN_POINT('',(31.575,18.5,0.)); #510555=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #510556=CARTESIAN_POINT('',(31.575,18.5,0.052500000000002)); #510557=CARTESIAN_POINT('',(31.575,18.5,-200.)); #510558=CARTESIAN_POINT('Origin',(31.75,18.5,0.052500000000002)); #510559=CARTESIAN_POINT('Origin',(15.25,21.75,-200.)); #510560=CARTESIAN_POINT('',(15.075,21.75,0.)); #510561=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #510562=CARTESIAN_POINT('',(15.075,21.75,0.052500000000002)); #510563=CARTESIAN_POINT('',(15.075,21.75,-200.)); #510564=CARTESIAN_POINT('Origin',(15.25,21.75,0.052500000000002)); #510565=CARTESIAN_POINT('Origin',(33.25,16.25,-200.)); #510566=CARTESIAN_POINT('',(33.075,16.25,0.)); #510567=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #510568=CARTESIAN_POINT('',(33.075,16.25,0.052500000000002)); #510569=CARTESIAN_POINT('',(33.075,16.25,-200.)); #510570=CARTESIAN_POINT('Origin',(33.25,16.25,0.052500000000002)); #510571=CARTESIAN_POINT('Origin',(16.,21.75,-200.)); #510572=CARTESIAN_POINT('',(15.825,21.75,0.)); #510573=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #510574=CARTESIAN_POINT('',(15.825,21.75,0.052500000000002)); #510575=CARTESIAN_POINT('',(15.825,21.75,-200.)); #510576=CARTESIAN_POINT('Origin',(16.,21.75,0.052500000000002)); #510577=CARTESIAN_POINT('Origin',(31.75,19.25,-200.)); #510578=CARTESIAN_POINT('',(31.575,19.25,0.)); #510579=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #510580=CARTESIAN_POINT('',(31.575,19.25,0.052500000000002)); #510581=CARTESIAN_POINT('',(31.575,19.25,-200.)); #510582=CARTESIAN_POINT('Origin',(31.75,19.25,0.052500000000002)); #510583=CARTESIAN_POINT('Origin',(16.,21.,-200.)); #510584=CARTESIAN_POINT('',(15.825,21.,0.)); #510585=CARTESIAN_POINT('Origin',(16.,21.,0.)); #510586=CARTESIAN_POINT('',(15.825,21.,0.052500000000002)); #510587=CARTESIAN_POINT('',(15.825,21.,-200.)); #510588=CARTESIAN_POINT('Origin',(16.,21.,0.052500000000002)); #510589=CARTESIAN_POINT('Origin',(15.75,34.,-200.)); #510590=CARTESIAN_POINT('',(15.25,34.,0.)); #510591=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #510592=CARTESIAN_POINT('',(15.25,34.,0.052500000000002)); #510593=CARTESIAN_POINT('',(15.25,34.,-200.)); #510594=CARTESIAN_POINT('Origin',(15.75,34.,0.052500000000002)); #510595=CARTESIAN_POINT('Origin',(52.75,21.,-200.)); #510596=CARTESIAN_POINT('',(52.575,21.,0.)); #510597=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #510598=CARTESIAN_POINT('',(52.575,21.,0.052500000000002)); #510599=CARTESIAN_POINT('',(52.575,21.,-200.)); #510600=CARTESIAN_POINT('Origin',(52.75,21.,0.052500000000002)); #510601=CARTESIAN_POINT('Origin',(37.5,21.25,-200.)); #510602=CARTESIAN_POINT('',(37.325,21.25,0.)); #510603=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #510604=CARTESIAN_POINT('',(37.325,21.25,0.052500000000002)); #510605=CARTESIAN_POINT('',(37.325,21.25,-200.)); #510606=CARTESIAN_POINT('Origin',(37.5,21.25,0.052500000000002)); #510607=CARTESIAN_POINT('Origin',(39.25,19.,-200.)); #510608=CARTESIAN_POINT('',(39.075,19.,0.)); #510609=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #510610=CARTESIAN_POINT('',(39.075,19.,0.052500000000002)); #510611=CARTESIAN_POINT('',(39.075,19.,-200.)); #510612=CARTESIAN_POINT('Origin',(39.25,19.,0.052500000000002)); #510613=CARTESIAN_POINT('Origin',(35.5,17.75,-200.)); #510614=CARTESIAN_POINT('',(35.325,17.75,0.)); #510615=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #510616=CARTESIAN_POINT('',(35.325,17.75,0.052500000000002)); #510617=CARTESIAN_POINT('',(35.325,17.75,-200.)); #510618=CARTESIAN_POINT('Origin',(35.5,17.75,0.052500000000002)); #510619=CARTESIAN_POINT('Origin',(39.25,18.25,-200.)); #510620=CARTESIAN_POINT('',(39.075,18.25,0.)); #510621=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #510622=CARTESIAN_POINT('',(39.075,18.25,0.052500000000002)); #510623=CARTESIAN_POINT('',(39.075,18.25,-200.)); #510624=CARTESIAN_POINT('Origin',(39.25,18.25,0.052500000000002)); #510625=CARTESIAN_POINT('Origin',(31.,13.,-200.)); #510626=CARTESIAN_POINT('',(30.825,13.,0.)); #510627=CARTESIAN_POINT('Origin',(31.,13.,0.)); #510628=CARTESIAN_POINT('',(30.825,13.,0.052500000000002)); #510629=CARTESIAN_POINT('',(30.825,13.,-200.)); #510630=CARTESIAN_POINT('Origin',(31.,13.,0.052500000000002)); #510631=CARTESIAN_POINT('Origin',(42.5,16.,-200.)); #510632=CARTESIAN_POINT('',(42.325,16.,0.)); #510633=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #510634=CARTESIAN_POINT('',(42.325,16.,0.052500000000002)); #510635=CARTESIAN_POINT('',(42.325,16.,-200.)); #510636=CARTESIAN_POINT('Origin',(42.5,16.,0.052500000000002)); #510637=CARTESIAN_POINT('Origin',(27.762,19.,-200.)); #510638=CARTESIAN_POINT('',(27.587,19.,0.)); #510639=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #510640=CARTESIAN_POINT('',(27.587,19.,0.052500000000002)); #510641=CARTESIAN_POINT('',(27.587,19.,-200.)); #510642=CARTESIAN_POINT('Origin',(27.762,19.,0.052500000000002)); #510643=CARTESIAN_POINT('Origin',(53.5,21.,-200.)); #510644=CARTESIAN_POINT('',(53.325,21.,0.)); #510645=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #510646=CARTESIAN_POINT('',(53.325,21.,0.052500000000002)); #510647=CARTESIAN_POINT('',(53.325,21.,-200.)); #510648=CARTESIAN_POINT('Origin',(53.5,21.,0.052500000000002)); #510649=CARTESIAN_POINT('Origin',(34.75,49.25,-200.)); #510650=CARTESIAN_POINT('',(34.575,49.25,0.)); #510651=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #510652=CARTESIAN_POINT('',(34.575,49.25,0.052500000000002)); #510653=CARTESIAN_POINT('',(34.575,49.25,-200.)); #510654=CARTESIAN_POINT('Origin',(34.75,49.25,0.052500000000002)); #510655=CARTESIAN_POINT('Origin',(52.,21.,-200.)); #510656=CARTESIAN_POINT('',(51.825,21.,0.)); #510657=CARTESIAN_POINT('Origin',(52.,21.,0.)); #510658=CARTESIAN_POINT('',(51.825,21.,0.052500000000002)); #510659=CARTESIAN_POINT('',(51.825,21.,-200.)); #510660=CARTESIAN_POINT('Origin',(52.,21.,0.052500000000002)); #510661=CARTESIAN_POINT('Origin',(35.5,18.5,-200.)); #510662=CARTESIAN_POINT('',(35.325,18.5,0.)); #510663=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #510664=CARTESIAN_POINT('',(35.325,18.5,0.052500000000002)); #510665=CARTESIAN_POINT('',(35.325,18.5,-200.)); #510666=CARTESIAN_POINT('Origin',(35.5,18.5,0.052500000000002)); #510667=CARTESIAN_POINT('Origin',(53.5,21.75,-200.)); #510668=CARTESIAN_POINT('',(53.325,21.75,0.)); #510669=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #510670=CARTESIAN_POINT('',(53.325,21.75,0.052500000000002)); #510671=CARTESIAN_POINT('',(53.325,21.75,-200.)); #510672=CARTESIAN_POINT('Origin',(53.5,21.75,0.052500000000002)); #510673=CARTESIAN_POINT('Origin',(34.,49.25,-200.)); #510674=CARTESIAN_POINT('',(33.825,49.25,0.)); #510675=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #510676=CARTESIAN_POINT('',(33.825,49.25,0.052500000000002)); #510677=CARTESIAN_POINT('',(33.825,49.25,-200.)); #510678=CARTESIAN_POINT('Origin',(34.,49.25,0.052500000000002)); #510679=CARTESIAN_POINT('Origin',(52.75,21.75,-200.)); #510680=CARTESIAN_POINT('',(52.575,21.75,0.)); #510681=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #510682=CARTESIAN_POINT('',(52.575,21.75,0.052500000000002)); #510683=CARTESIAN_POINT('',(52.575,21.75,-200.)); #510684=CARTESIAN_POINT('Origin',(52.75,21.75,0.052500000000002)); #510685=CARTESIAN_POINT('Origin',(35.27,45.,-200.)); #510686=CARTESIAN_POINT('',(34.67,45.,0.)); #510687=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #510688=CARTESIAN_POINT('',(34.67,45.,0.052500000000002)); #510689=CARTESIAN_POINT('',(34.67,45.,-200.)); #510690=CARTESIAN_POINT('Origin',(35.27,45.,0.052500000000002)); #510691=CARTESIAN_POINT('Origin',(26.,31.,-200.)); #510692=CARTESIAN_POINT('',(25.825,31.,0.)); #510693=CARTESIAN_POINT('Origin',(26.,31.,0.)); #510694=CARTESIAN_POINT('',(25.825,31.,0.052500000000002)); #510695=CARTESIAN_POINT('',(25.825,31.,-200.)); #510696=CARTESIAN_POINT('Origin',(26.,31.,0.052500000000002)); #510697=CARTESIAN_POINT('Origin',(10.25,33.25,-200.)); #510698=CARTESIAN_POINT('',(10.075,33.25,0.)); #510699=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #510700=CARTESIAN_POINT('',(10.075,33.25,0.052500000000002)); #510701=CARTESIAN_POINT('',(10.075,33.25,-200.)); #510702=CARTESIAN_POINT('Origin',(10.25,33.25,0.052500000000002)); #510703=CARTESIAN_POINT('Origin',(26.,36.,-200.)); #510704=CARTESIAN_POINT('',(25.825,36.,0.)); #510705=CARTESIAN_POINT('Origin',(26.,36.,0.)); #510706=CARTESIAN_POINT('',(25.825,36.,0.052500000000002)); #510707=CARTESIAN_POINT('',(25.825,36.,-200.)); #510708=CARTESIAN_POINT('Origin',(26.,36.,0.052500000000002)); #510709=CARTESIAN_POINT('Origin',(34.75,14.75,-200.)); #510710=CARTESIAN_POINT('',(34.575,14.75,0.)); #510711=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #510712=CARTESIAN_POINT('',(34.575,14.75,0.052500000000002)); #510713=CARTESIAN_POINT('',(34.575,14.75,-200.)); #510714=CARTESIAN_POINT('Origin',(34.75,14.75,0.052500000000002)); #510715=CARTESIAN_POINT('Origin',(26.75,36.,-200.)); #510716=CARTESIAN_POINT('',(26.575,36.,0.)); #510717=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #510718=CARTESIAN_POINT('',(26.575,36.,0.052500000000002)); #510719=CARTESIAN_POINT('',(26.575,36.,-200.)); #510720=CARTESIAN_POINT('Origin',(26.75,36.,0.052500000000002)); #510721=CARTESIAN_POINT('Origin',(9.5,33.25,-200.)); #510722=CARTESIAN_POINT('',(9.325,33.25,0.)); #510723=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #510724=CARTESIAN_POINT('',(9.325,33.25,0.052500000000002)); #510725=CARTESIAN_POINT('',(9.325,33.25,-200.)); #510726=CARTESIAN_POINT('Origin',(9.5,33.25,0.052500000000002)); #510727=CARTESIAN_POINT('Origin',(26.75,31.,-200.)); #510728=CARTESIAN_POINT('',(26.575,31.,0.)); #510729=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #510730=CARTESIAN_POINT('',(26.575,31.,0.052500000000002)); #510731=CARTESIAN_POINT('',(26.575,31.,-200.)); #510732=CARTESIAN_POINT('Origin',(26.75,31.,0.052500000000002)); #510733=CARTESIAN_POINT('Origin',(34.75,17.,-200.)); #510734=CARTESIAN_POINT('',(34.575,17.,0.)); #510735=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #510736=CARTESIAN_POINT('',(34.575,17.,0.052500000000002)); #510737=CARTESIAN_POINT('',(34.575,17.,-200.)); #510738=CARTESIAN_POINT('Origin',(34.75,17.,0.052500000000002)); #510739=CARTESIAN_POINT('Origin',(16.625,47.75,-200.)); #510740=CARTESIAN_POINT('',(16.45,47.75,0.)); #510741=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #510742=CARTESIAN_POINT('',(16.45,47.75,0.052500000000002)); #510743=CARTESIAN_POINT('',(16.45,47.75,-200.)); #510744=CARTESIAN_POINT('Origin',(16.625,47.75,0.052500000000002)); #510745=CARTESIAN_POINT('Origin',(10.25,34.,-200.)); #510746=CARTESIAN_POINT('',(10.075,34.,0.)); #510747=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #510748=CARTESIAN_POINT('',(10.075,34.,0.052500000000002)); #510749=CARTESIAN_POINT('',(10.075,34.,-200.)); #510750=CARTESIAN_POINT('Origin',(10.25,34.,0.052500000000002)); #510751=CARTESIAN_POINT('Origin',(15.75,47.75,-200.)); #510752=CARTESIAN_POINT('',(15.575,47.75,0.)); #510753=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #510754=CARTESIAN_POINT('',(15.575,47.75,0.052500000000002)); #510755=CARTESIAN_POINT('',(15.575,47.75,-200.)); #510756=CARTESIAN_POINT('Origin',(15.75,47.75,0.052500000000002)); #510757=CARTESIAN_POINT('Origin',(35.5,14.75,-200.)); #510758=CARTESIAN_POINT('',(35.325,14.75,0.)); #510759=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #510760=CARTESIAN_POINT('',(35.325,14.75,0.052500000000002)); #510761=CARTESIAN_POINT('',(35.325,14.75,-200.)); #510762=CARTESIAN_POINT('Origin',(35.5,14.75,0.052500000000002)); #510763=CARTESIAN_POINT('Origin',(16.625,47.,-200.)); #510764=CARTESIAN_POINT('',(16.45,47.,0.)); #510765=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #510766=CARTESIAN_POINT('',(16.45,47.,0.052500000000002)); #510767=CARTESIAN_POINT('',(16.45,47.,-200.)); #510768=CARTESIAN_POINT('Origin',(16.625,47.,0.052500000000002)); #510769=CARTESIAN_POINT('Origin',(9.5,34.,-200.)); #510770=CARTESIAN_POINT('',(9.325,34.,0.)); #510771=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #510772=CARTESIAN_POINT('',(9.325,34.,0.052500000000002)); #510773=CARTESIAN_POINT('',(9.325,34.,-200.)); #510774=CARTESIAN_POINT('Origin',(9.5,34.,0.052500000000002)); #510775=CARTESIAN_POINT('Origin',(16.625,46.25,-200.)); #510776=CARTESIAN_POINT('',(16.45,46.25,0.)); #510777=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #510778=CARTESIAN_POINT('',(16.45,46.25,0.052500000000002)); #510779=CARTESIAN_POINT('',(16.45,46.25,-200.)); #510780=CARTESIAN_POINT('Origin',(16.625,46.25,0.052500000000002)); #510781=CARTESIAN_POINT('Origin',(39.25,17.5,-200.)); #510782=CARTESIAN_POINT('',(39.075,17.5,0.)); #510783=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #510784=CARTESIAN_POINT('',(39.075,17.5,0.052500000000002)); #510785=CARTESIAN_POINT('',(39.075,17.5,-200.)); #510786=CARTESIAN_POINT('Origin',(39.25,17.5,0.052500000000002)); #510787=CARTESIAN_POINT('Origin',(17.5,47.75,-200.)); #510788=CARTESIAN_POINT('',(17.325,47.75,0.)); #510789=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #510790=CARTESIAN_POINT('',(17.325,47.75,0.052500000000002)); #510791=CARTESIAN_POINT('',(17.325,47.75,-200.)); #510792=CARTESIAN_POINT('Origin',(17.5,47.75,0.052500000000002)); #510793=CARTESIAN_POINT('Origin',(57.75,33.25,-200.)); #510794=CARTESIAN_POINT('',(57.575,33.25,0.)); #510795=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #510796=CARTESIAN_POINT('',(57.575,33.25,0.052500000000002)); #510797=CARTESIAN_POINT('',(57.575,33.25,-200.)); #510798=CARTESIAN_POINT('Origin',(57.75,33.25,0.052500000000002)); #510799=CARTESIAN_POINT('Origin',(19.375,46.25,-200.)); #510800=CARTESIAN_POINT('',(19.2,46.25,0.)); #510801=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #510802=CARTESIAN_POINT('',(19.2,46.25,0.052500000000002)); #510803=CARTESIAN_POINT('',(19.2,46.25,-200.)); #510804=CARTESIAN_POINT('Origin',(19.375,46.25,0.052500000000002)); #510805=CARTESIAN_POINT('Origin',(36.25,15.5,-200.)); #510806=CARTESIAN_POINT('',(36.075,15.5,0.)); #510807=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #510808=CARTESIAN_POINT('',(36.075,15.5,0.052500000000002)); #510809=CARTESIAN_POINT('',(36.075,15.5,-200.)); #510810=CARTESIAN_POINT('Origin',(36.25,15.5,0.052500000000002)); #510811=CARTESIAN_POINT('Origin',(19.375,47.75,-200.)); #510812=CARTESIAN_POINT('',(19.2,47.75,0.)); #510813=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #510814=CARTESIAN_POINT('',(19.2,47.75,0.052500000000002)); #510815=CARTESIAN_POINT('',(19.2,47.75,-200.)); #510816=CARTESIAN_POINT('Origin',(19.375,47.75,0.052500000000002)); #510817=CARTESIAN_POINT('Origin',(62.,33.25,-200.)); #510818=CARTESIAN_POINT('',(61.825,33.25,0.)); #510819=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #510820=CARTESIAN_POINT('',(61.825,33.25,0.052500000000002)); #510821=CARTESIAN_POINT('',(61.825,33.25,-200.)); #510822=CARTESIAN_POINT('Origin',(62.,33.25,0.052500000000002)); #510823=CARTESIAN_POINT('Origin',(19.375,47.,-200.)); #510824=CARTESIAN_POINT('',(19.2,47.,0.)); #510825=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #510826=CARTESIAN_POINT('',(19.2,47.,0.052500000000002)); #510827=CARTESIAN_POINT('',(19.2,47.,-200.)); #510828=CARTESIAN_POINT('Origin',(19.375,47.,0.052500000000002)); #510829=CARTESIAN_POINT('Origin',(34.,17.75,-200.)); #510830=CARTESIAN_POINT('',(33.825,17.75,0.)); #510831=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #510832=CARTESIAN_POINT('',(33.825,17.75,0.052500000000002)); #510833=CARTESIAN_POINT('',(33.825,17.75,-200.)); #510834=CARTESIAN_POINT('Origin',(34.,17.75,0.052500000000002)); #510835=CARTESIAN_POINT('Origin',(17.5,46.25,-200.)); #510836=CARTESIAN_POINT('',(17.325,46.25,0.)); #510837=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #510838=CARTESIAN_POINT('',(17.325,46.25,0.052500000000002)); #510839=CARTESIAN_POINT('',(17.325,46.25,-200.)); #510840=CARTESIAN_POINT('Origin',(17.5,46.25,0.052500000000002)); #510841=CARTESIAN_POINT('Origin',(57.75,34.,-200.)); #510842=CARTESIAN_POINT('',(57.575,34.,0.)); #510843=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #510844=CARTESIAN_POINT('',(57.575,34.,0.052500000000002)); #510845=CARTESIAN_POINT('',(57.575,34.,-200.)); #510846=CARTESIAN_POINT('Origin',(57.75,34.,0.052500000000002)); #510847=CARTESIAN_POINT('Origin',(17.5,47.,-200.)); #510848=CARTESIAN_POINT('',(17.325,47.,0.)); #510849=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #510850=CARTESIAN_POINT('',(17.325,47.,0.052500000000002)); #510851=CARTESIAN_POINT('',(17.325,47.,-200.)); #510852=CARTESIAN_POINT('Origin',(17.5,47.,0.052500000000002)); #510853=CARTESIAN_POINT('Origin',(36.25,14.75,-200.)); #510854=CARTESIAN_POINT('',(36.075,14.75,0.)); #510855=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #510856=CARTESIAN_POINT('',(36.075,14.75,0.052500000000002)); #510857=CARTESIAN_POINT('',(36.075,14.75,-200.)); #510858=CARTESIAN_POINT('Origin',(36.25,14.75,0.052500000000002)); #510859=CARTESIAN_POINT('Origin',(15.75,46.25,-200.)); #510860=CARTESIAN_POINT('',(15.575,46.25,0.)); #510861=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #510862=CARTESIAN_POINT('',(15.575,46.25,0.052500000000002)); #510863=CARTESIAN_POINT('',(15.575,46.25,-200.)); #510864=CARTESIAN_POINT('Origin',(15.75,46.25,0.052500000000002)); #510865=CARTESIAN_POINT('Origin',(57.75,34.75,-200.)); #510866=CARTESIAN_POINT('',(57.575,34.75,0.)); #510867=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #510868=CARTESIAN_POINT('',(57.575,34.75,0.052500000000002)); #510869=CARTESIAN_POINT('',(57.575,34.75,-200.)); #510870=CARTESIAN_POINT('Origin',(57.75,34.75,0.052500000000002)); #510871=CARTESIAN_POINT('Origin',(15.75,47.,-200.)); #510872=CARTESIAN_POINT('',(15.575,47.,0.)); #510873=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #510874=CARTESIAN_POINT('',(15.575,47.,0.052500000000002)); #510875=CARTESIAN_POINT('',(15.575,47.,-200.)); #510876=CARTESIAN_POINT('Origin',(15.75,47.,0.052500000000002)); #510877=CARTESIAN_POINT('Origin',(52.25,34.,-200.)); #510878=CARTESIAN_POINT('',(51.75,34.,0.)); #510879=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #510880=CARTESIAN_POINT('',(51.75,34.,0.052500000000002)); #510881=CARTESIAN_POINT('',(51.75,34.,-200.)); #510882=CARTESIAN_POINT('Origin',(52.25,34.,0.052500000000002)); #510883=CARTESIAN_POINT('Origin',(23.75,47.,-200.)); #510884=CARTESIAN_POINT('',(23.575,47.,0.)); #510885=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #510886=CARTESIAN_POINT('',(23.575,47.,0.052500000000002)); #510887=CARTESIAN_POINT('',(23.575,47.,-200.)); #510888=CARTESIAN_POINT('Origin',(23.75,47.,0.052500000000002)); #510889=CARTESIAN_POINT('Origin',(61.25,33.25,-200.)); #510890=CARTESIAN_POINT('',(61.075,33.25,0.)); #510891=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #510892=CARTESIAN_POINT('',(61.075,33.25,0.052500000000002)); #510893=CARTESIAN_POINT('',(61.075,33.25,-200.)); #510894=CARTESIAN_POINT('Origin',(61.25,33.25,0.052500000000002)); #510895=CARTESIAN_POINT('Origin',(20.25,47.,-200.)); #510896=CARTESIAN_POINT('',(20.075,47.,0.)); #510897=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #510898=CARTESIAN_POINT('',(20.075,47.,0.052500000000002)); #510899=CARTESIAN_POINT('',(20.075,47.,-200.)); #510900=CARTESIAN_POINT('Origin',(20.25,47.,0.052500000000002)); #510901=CARTESIAN_POINT('Origin',(36.25,17.75,-200.)); #510902=CARTESIAN_POINT('',(36.075,17.75,0.)); #510903=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #510904=CARTESIAN_POINT('',(36.075,17.75,0.052500000000002)); #510905=CARTESIAN_POINT('',(36.075,17.75,-200.)); #510906=CARTESIAN_POINT('Origin',(36.25,17.75,0.052500000000002)); #510907=CARTESIAN_POINT('Origin',(23.75,46.25,-200.)); #510908=CARTESIAN_POINT('',(23.575,46.25,0.)); #510909=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #510910=CARTESIAN_POINT('',(23.575,46.25,0.052500000000002)); #510911=CARTESIAN_POINT('',(23.575,46.25,-200.)); #510912=CARTESIAN_POINT('Origin',(23.75,46.25,0.052500000000002)); #510913=CARTESIAN_POINT('Origin',(58.5,33.25,-200.)); #510914=CARTESIAN_POINT('',(58.325,33.25,0.)); #510915=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #510916=CARTESIAN_POINT('',(58.325,33.25,0.052500000000002)); #510917=CARTESIAN_POINT('',(58.325,33.25,-200.)); #510918=CARTESIAN_POINT('Origin',(58.5,33.25,0.052500000000002)); #510919=CARTESIAN_POINT('Origin',(22.,46.25,-200.)); #510920=CARTESIAN_POINT('',(21.825,46.25,0.)); #510921=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #510922=CARTESIAN_POINT('',(21.825,46.25,0.052500000000002)); #510923=CARTESIAN_POINT('',(21.825,46.25,-200.)); #510924=CARTESIAN_POINT('Origin',(22.,46.25,0.052500000000002)); #510925=CARTESIAN_POINT('Origin',(37.25,8.75,-200.)); #510926=CARTESIAN_POINT('',(37.075,8.75,0.)); #510927=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #510928=CARTESIAN_POINT('',(37.075,8.75,0.052500000000002)); #510929=CARTESIAN_POINT('',(37.075,8.75,-200.)); #510930=CARTESIAN_POINT('Origin',(37.25,8.75,0.052500000000002)); #510931=CARTESIAN_POINT('Origin',(20.25,46.25,-200.)); #510932=CARTESIAN_POINT('',(20.075,46.25,0.)); #510933=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #510934=CARTESIAN_POINT('',(20.075,46.25,0.052500000000002)); #510935=CARTESIAN_POINT('',(20.075,46.25,-200.)); #510936=CARTESIAN_POINT('Origin',(20.25,46.25,0.052500000000002)); #510937=CARTESIAN_POINT('Origin',(58.5,34.,-200.)); #510938=CARTESIAN_POINT('',(58.325,34.,0.)); #510939=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #510940=CARTESIAN_POINT('',(58.325,34.,0.052500000000002)); #510941=CARTESIAN_POINT('',(58.325,34.,-200.)); #510942=CARTESIAN_POINT('Origin',(58.5,34.,0.052500000000002)); #510943=CARTESIAN_POINT('Origin',(18.5,47.75,-200.)); #510944=CARTESIAN_POINT('',(18.325,47.75,0.)); #510945=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #510946=CARTESIAN_POINT('',(18.325,47.75,0.052500000000002)); #510947=CARTESIAN_POINT('',(18.325,47.75,-200.)); #510948=CARTESIAN_POINT('Origin',(18.5,47.75,0.052500000000002)); #510949=CARTESIAN_POINT('Origin',(36.25,18.5,-200.)); #510950=CARTESIAN_POINT('',(36.075,18.5,0.)); #510951=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #510952=CARTESIAN_POINT('',(36.075,18.5,0.052500000000002)); #510953=CARTESIAN_POINT('',(36.075,18.5,-200.)); #510954=CARTESIAN_POINT('Origin',(36.25,18.5,0.052500000000002)); #510955=CARTESIAN_POINT('Origin',(20.25,47.75,-200.)); #510956=CARTESIAN_POINT('',(20.075,47.75,0.)); #510957=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #510958=CARTESIAN_POINT('',(20.075,47.75,0.052500000000002)); #510959=CARTESIAN_POINT('',(20.075,47.75,-200.)); #510960=CARTESIAN_POINT('Origin',(20.25,47.75,0.052500000000002)); #510961=CARTESIAN_POINT('Origin',(58.5,34.75,-200.)); #510962=CARTESIAN_POINT('',(58.325,34.75,0.)); #510963=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #510964=CARTESIAN_POINT('',(58.325,34.75,0.052500000000002)); #510965=CARTESIAN_POINT('',(58.325,34.75,-200.)); #510966=CARTESIAN_POINT('Origin',(58.5,34.75,0.052500000000002)); #510967=CARTESIAN_POINT('Origin',(23.75,47.75,-200.)); #510968=CARTESIAN_POINT('',(23.575,47.75,0.)); #510969=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #510970=CARTESIAN_POINT('',(23.575,47.75,0.052500000000002)); #510971=CARTESIAN_POINT('',(23.575,47.75,-200.)); #510972=CARTESIAN_POINT('Origin',(23.75,47.75,0.052500000000002)); #510973=CARTESIAN_POINT('Origin',(31.5,27.75,-200.)); #510974=CARTESIAN_POINT('',(31.,27.75,0.)); #510975=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #510976=CARTESIAN_POINT('',(31.,27.75,0.052500000000002)); #510977=CARTESIAN_POINT('',(31.,27.75,-200.)); #510978=CARTESIAN_POINT('Origin',(31.5,27.75,0.052500000000002)); #510979=CARTESIAN_POINT('Origin',(21.125,47.,-200.)); #510980=CARTESIAN_POINT('',(20.95,47.,0.)); #510981=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #510982=CARTESIAN_POINT('',(20.95,47.,0.052500000000002)); #510983=CARTESIAN_POINT('',(20.95,47.,-200.)); #510984=CARTESIAN_POINT('Origin',(21.125,47.,0.052500000000002)); #510985=CARTESIAN_POINT('Origin',(61.25,34.75,-200.)); #510986=CARTESIAN_POINT('',(61.075,34.75,0.)); #510987=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #510988=CARTESIAN_POINT('',(61.075,34.75,0.052500000000002)); #510989=CARTESIAN_POINT('',(61.075,34.75,-200.)); #510990=CARTESIAN_POINT('Origin',(61.25,34.75,0.052500000000002)); #510991=CARTESIAN_POINT('Origin',(21.125,47.75,-200.)); #510992=CARTESIAN_POINT('',(20.95,47.75,0.)); #510993=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #510994=CARTESIAN_POINT('',(20.95,47.75,0.052500000000002)); #510995=CARTESIAN_POINT('',(20.95,47.75,-200.)); #510996=CARTESIAN_POINT('Origin',(21.125,47.75,0.052500000000002)); #510997=CARTESIAN_POINT('Origin',(36.25,17.,-200.)); #510998=CARTESIAN_POINT('',(36.075,17.,0.)); #510999=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #511000=CARTESIAN_POINT('',(36.075,17.,0.052500000000002)); #511001=CARTESIAN_POINT('',(36.075,17.,-200.)); #511002=CARTESIAN_POINT('Origin',(36.25,17.,0.052500000000002)); #511003=CARTESIAN_POINT('Origin',(22.,47.,-200.)); #511004=CARTESIAN_POINT('',(21.825,47.,0.)); #511005=CARTESIAN_POINT('Origin',(22.,47.,0.)); #511006=CARTESIAN_POINT('',(21.825,47.,0.052500000000002)); #511007=CARTESIAN_POINT('',(21.825,47.,-200.)); #511008=CARTESIAN_POINT('Origin',(22.,47.,0.052500000000002)); #511009=CARTESIAN_POINT('Origin',(61.25,34.,-200.)); #511010=CARTESIAN_POINT('',(61.075,34.,0.)); #511011=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #511012=CARTESIAN_POINT('',(61.075,34.,0.052500000000002)); #511013=CARTESIAN_POINT('',(61.075,34.,-200.)); #511014=CARTESIAN_POINT('Origin',(61.25,34.,0.052500000000002)); #511015=CARTESIAN_POINT('Origin',(22.,47.75,-200.)); #511016=CARTESIAN_POINT('',(21.825,47.75,0.)); #511017=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #511018=CARTESIAN_POINT('',(21.825,47.75,0.052500000000002)); #511019=CARTESIAN_POINT('',(21.825,47.75,-200.)); #511020=CARTESIAN_POINT('Origin',(22.,47.75,0.052500000000002)); #511021=CARTESIAN_POINT('Origin',(34.,17.,-200.)); #511022=CARTESIAN_POINT('',(33.825,17.,0.)); #511023=CARTESIAN_POINT('Origin',(34.,17.,0.)); #511024=CARTESIAN_POINT('',(33.825,17.,0.052500000000002)); #511025=CARTESIAN_POINT('',(33.825,17.,-200.)); #511026=CARTESIAN_POINT('Origin',(34.,17.,0.052500000000002)); #511027=CARTESIAN_POINT('Origin',(22.875,46.25,-200.)); #511028=CARTESIAN_POINT('',(22.7,46.25,0.)); #511029=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #511030=CARTESIAN_POINT('',(22.7,46.25,0.052500000000002)); #511031=CARTESIAN_POINT('',(22.7,46.25,-200.)); #511032=CARTESIAN_POINT('Origin',(22.875,46.25,0.052500000000002)); #511033=CARTESIAN_POINT('Origin',(62.,34.75,-200.)); #511034=CARTESIAN_POINT('',(61.825,34.75,0.)); #511035=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #511036=CARTESIAN_POINT('',(61.825,34.75,0.052500000000002)); #511037=CARTESIAN_POINT('',(61.825,34.75,-200.)); #511038=CARTESIAN_POINT('Origin',(62.,34.75,0.052500000000002)); #511039=CARTESIAN_POINT('Origin',(21.125,46.25,-200.)); #511040=CARTESIAN_POINT('',(20.95,46.25,0.)); #511041=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #511042=CARTESIAN_POINT('',(20.95,46.25,0.052500000000002)); #511043=CARTESIAN_POINT('',(20.95,46.25,-200.)); #511044=CARTESIAN_POINT('Origin',(21.125,46.25,0.052500000000002)); #511045=CARTESIAN_POINT('Origin',(36.25,16.25,-200.)); #511046=CARTESIAN_POINT('',(36.075,16.25,0.)); #511047=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #511048=CARTESIAN_POINT('',(36.075,16.25,0.052500000000002)); #511049=CARTESIAN_POINT('',(36.075,16.25,-200.)); #511050=CARTESIAN_POINT('Origin',(36.25,16.25,0.052500000000002)); #511051=CARTESIAN_POINT('Origin',(22.875,47.,-200.)); #511052=CARTESIAN_POINT('',(22.7,47.,0.)); #511053=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #511054=CARTESIAN_POINT('',(22.7,47.,0.052500000000002)); #511055=CARTESIAN_POINT('',(22.7,47.,-200.)); #511056=CARTESIAN_POINT('Origin',(22.875,47.,0.052500000000002)); #511057=CARTESIAN_POINT('Origin',(62.,34.,-200.)); #511058=CARTESIAN_POINT('',(61.825,34.,0.)); #511059=CARTESIAN_POINT('Origin',(62.,34.,0.)); #511060=CARTESIAN_POINT('',(61.825,34.,0.052500000000002)); #511061=CARTESIAN_POINT('',(61.825,34.,-200.)); #511062=CARTESIAN_POINT('Origin',(62.,34.,0.052500000000002)); #511063=CARTESIAN_POINT('Origin',(22.875,47.75,-200.)); #511064=CARTESIAN_POINT('',(22.7,47.75,0.)); #511065=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #511066=CARTESIAN_POINT('',(22.7,47.75,0.052500000000002)); #511067=CARTESIAN_POINT('',(22.7,47.75,-200.)); #511068=CARTESIAN_POINT('Origin',(22.875,47.75,0.052500000000002)); #511069=CARTESIAN_POINT('Origin',(21.75,34.,-200.)); #511070=CARTESIAN_POINT('',(21.2,34.,0.)); #511071=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #511072=CARTESIAN_POINT('',(21.2,34.,0.052500000000002)); #511073=CARTESIAN_POINT('',(21.2,34.,-200.)); #511074=CARTESIAN_POINT('Origin',(21.75,34.,0.052500000000002)); #511075=CARTESIAN_POINT('Origin',(31.6,7.6,-200.)); #511076=CARTESIAN_POINT('',(31.45,7.6,0.)); #511077=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #511078=CARTESIAN_POINT('',(31.45,7.6,0.052500000000002)); #511079=CARTESIAN_POINT('',(31.45,7.6,-200.)); #511080=CARTESIAN_POINT('Origin',(31.6,7.6,0.052500000000002)); #511081=CARTESIAN_POINT('Origin',(6.5,34.75,-200.)); #511082=CARTESIAN_POINT('',(6.325,34.75,0.)); #511083=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #511084=CARTESIAN_POINT('',(6.325,34.75,0.052500000000002)); #511085=CARTESIAN_POINT('',(6.325,34.75,-200.)); #511086=CARTESIAN_POINT('Origin',(6.5,34.75,0.052500000000002)); #511087=CARTESIAN_POINT('Origin',(36.4,7.1,-200.)); #511088=CARTESIAN_POINT('',(36.25,7.1,0.)); #511089=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #511090=CARTESIAN_POINT('',(36.25,7.1,0.052500000000002)); #511091=CARTESIAN_POINT('',(36.25,7.1,-200.)); #511092=CARTESIAN_POINT('Origin',(36.4,7.1,0.052500000000002)); #511093=CARTESIAN_POINT('Origin',(31.75,14.75,-200.)); #511094=CARTESIAN_POINT('',(31.575,14.75,0.)); #511095=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #511096=CARTESIAN_POINT('',(31.575,14.75,0.052500000000002)); #511097=CARTESIAN_POINT('',(31.575,14.75,-200.)); #511098=CARTESIAN_POINT('Origin',(31.75,14.75,0.052500000000002)); #511099=CARTESIAN_POINT('Origin',(41.25,13.0029,-200.)); #511100=CARTESIAN_POINT('',(41.075,13.0029,0.)); #511101=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #511102=CARTESIAN_POINT('',(41.075,13.0029,0.052500000000002)); #511103=CARTESIAN_POINT('',(41.075,13.0029,-200.)); #511104=CARTESIAN_POINT('Origin',(41.25,13.0029,0.052500000000002)); #511105=CARTESIAN_POINT('Origin',(6.5,34.,-200.)); #511106=CARTESIAN_POINT('',(6.325,34.,0.)); #511107=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #511108=CARTESIAN_POINT('',(6.325,34.,0.052500000000002)); #511109=CARTESIAN_POINT('',(6.325,34.,-200.)); #511110=CARTESIAN_POINT('Origin',(6.5,34.,0.052500000000002)); #511111=CARTESIAN_POINT('Origin',(36.4,7.6,-200.)); #511112=CARTESIAN_POINT('',(36.25,7.6,0.)); #511113=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #511114=CARTESIAN_POINT('',(36.25,7.6,0.052500000000002)); #511115=CARTESIAN_POINT('',(36.25,7.6,-200.)); #511116=CARTESIAN_POINT('Origin',(36.4,7.6,0.052500000000002)); #511117=CARTESIAN_POINT('Origin',(33.25,17.,-200.)); #511118=CARTESIAN_POINT('',(33.075,17.,0.)); #511119=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #511120=CARTESIAN_POINT('',(33.075,17.,0.052500000000002)); #511121=CARTESIAN_POINT('',(33.075,17.,-200.)); #511122=CARTESIAN_POINT('Origin',(33.25,17.,0.052500000000002)); #511123=CARTESIAN_POINT('Origin',(34.9375,12.25,-200.)); #511124=CARTESIAN_POINT('',(34.7625,12.25,0.)); #511125=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #511126=CARTESIAN_POINT('',(34.7625,12.25,0.052500000000002)); #511127=CARTESIAN_POINT('',(34.7625,12.25,-200.)); #511128=CARTESIAN_POINT('Origin',(34.9375,12.25,0.052500000000002)); #511129=CARTESIAN_POINT('Origin',(7.25,33.25,-200.)); #511130=CARTESIAN_POINT('',(7.075,33.25,0.)); #511131=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #511132=CARTESIAN_POINT('',(7.075,33.25,0.052500000000002)); #511133=CARTESIAN_POINT('',(7.075,33.25,-200.)); #511134=CARTESIAN_POINT('Origin',(7.25,33.25,0.052500000000002)); #511135=CARTESIAN_POINT('Origin',(38.762,60.25,-200.)); #511136=CARTESIAN_POINT('',(38.587,60.25,0.)); #511137=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #511138=CARTESIAN_POINT('',(38.587,60.25,0.052500000000002)); #511139=CARTESIAN_POINT('',(38.587,60.25,-200.)); #511140=CARTESIAN_POINT('Origin',(38.762,60.25,0.052500000000002)); #511141=CARTESIAN_POINT('Origin',(32.5,14.75,-200.)); #511142=CARTESIAN_POINT('',(32.325,14.75,0.)); #511143=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #511144=CARTESIAN_POINT('',(32.325,14.75,0.052500000000002)); #511145=CARTESIAN_POINT('',(32.325,14.75,-200.)); #511146=CARTESIAN_POINT('Origin',(32.5,14.75,0.052500000000002)); #511147=CARTESIAN_POINT('Origin',(34.,55.,-200.)); #511148=CARTESIAN_POINT('',(33.825,55.,0.)); #511149=CARTESIAN_POINT('Origin',(34.,55.,0.)); #511150=CARTESIAN_POINT('',(33.825,55.,0.052500000000002)); #511151=CARTESIAN_POINT('',(33.825,55.,-200.)); #511152=CARTESIAN_POINT('Origin',(34.,55.,0.052500000000002)); #511153=CARTESIAN_POINT('Origin',(6.5,33.25,-200.)); #511154=CARTESIAN_POINT('',(6.325,33.25,0.)); #511155=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #511156=CARTESIAN_POINT('',(6.325,33.25,0.052500000000002)); #511157=CARTESIAN_POINT('',(6.325,33.25,-200.)); #511158=CARTESIAN_POINT('Origin',(6.5,33.25,0.052500000000002)); #511159=CARTESIAN_POINT('Origin',(42.5,14.25,-200.)); #511160=CARTESIAN_POINT('',(42.325,14.25,0.)); #511161=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #511162=CARTESIAN_POINT('',(42.325,14.25,0.052500000000002)); #511163=CARTESIAN_POINT('',(42.325,14.25,-200.)); #511164=CARTESIAN_POINT('Origin',(42.5,14.25,0.052500000000002)); #511165=CARTESIAN_POINT('Origin',(39.25,15.75,-200.)); #511166=CARTESIAN_POINT('',(39.075,15.75,0.)); #511167=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #511168=CARTESIAN_POINT('',(39.075,15.75,0.052500000000002)); #511169=CARTESIAN_POINT('',(39.075,15.75,-200.)); #511170=CARTESIAN_POINT('Origin',(39.25,15.75,0.052500000000002)); #511171=CARTESIAN_POINT('Origin',(30.5,10.5,-200.)); #511172=CARTESIAN_POINT('',(30.325,10.5,0.)); #511173=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #511174=CARTESIAN_POINT('',(30.325,10.5,0.052500000000002)); #511175=CARTESIAN_POINT('',(30.325,10.5,-200.)); #511176=CARTESIAN_POINT('Origin',(30.5,10.5,0.052500000000002)); #511177=CARTESIAN_POINT('Origin',(9.5,34.75,-200.)); #511178=CARTESIAN_POINT('',(9.325,34.75,0.)); #511179=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #511180=CARTESIAN_POINT('',(9.325,34.75,0.052500000000002)); #511181=CARTESIAN_POINT('',(9.325,34.75,-200.)); #511182=CARTESIAN_POINT('Origin',(9.5,34.75,0.052500000000002)); #511183=CARTESIAN_POINT('Origin',(36.5,10.5,-200.)); #511184=CARTESIAN_POINT('',(36.325,10.5,0.)); #511185=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #511186=CARTESIAN_POINT('',(36.325,10.5,0.052500000000002)); #511187=CARTESIAN_POINT('',(36.325,10.5,-200.)); #511188=CARTESIAN_POINT('Origin',(36.5,10.5,0.052500000000002)); #511189=CARTESIAN_POINT('Origin',(34.,14.75,-200.)); #511190=CARTESIAN_POINT('',(33.825,14.75,0.)); #511191=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #511192=CARTESIAN_POINT('',(33.825,14.75,0.052500000000002)); #511193=CARTESIAN_POINT('',(33.825,14.75,-200.)); #511194=CARTESIAN_POINT('Origin',(34.,14.75,0.052500000000002)); #511195=CARTESIAN_POINT('Origin',(35.75,11.25,-200.)); #511196=CARTESIAN_POINT('',(35.575,11.25,0.)); #511197=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #511198=CARTESIAN_POINT('',(35.575,11.25,0.052500000000002)); #511199=CARTESIAN_POINT('',(35.575,11.25,-200.)); #511200=CARTESIAN_POINT('Origin',(35.75,11.25,0.052500000000002)); #511201=CARTESIAN_POINT('Origin',(10.25,34.75,-200.)); #511202=CARTESIAN_POINT('',(10.075,34.75,0.)); #511203=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #511204=CARTESIAN_POINT('',(10.075,34.75,0.052500000000002)); #511205=CARTESIAN_POINT('',(10.075,34.75,-200.)); #511206=CARTESIAN_POINT('Origin',(10.25,34.75,0.052500000000002)); #511207=CARTESIAN_POINT('Origin',(30.5,11.25,-200.)); #511208=CARTESIAN_POINT('',(30.325,11.25,0.)); #511209=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #511210=CARTESIAN_POINT('',(30.325,11.25,0.052500000000002)); #511211=CARTESIAN_POINT('',(30.325,11.25,-200.)); #511212=CARTESIAN_POINT('Origin',(30.5,11.25,0.052500000000002)); #511213=CARTESIAN_POINT('Origin',(34.,16.25,-200.)); #511214=CARTESIAN_POINT('',(33.825,16.25,0.)); #511215=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #511216=CARTESIAN_POINT('',(33.825,16.25,0.052500000000002)); #511217=CARTESIAN_POINT('',(33.825,16.25,-200.)); #511218=CARTESIAN_POINT('Origin',(34.,16.25,0.052500000000002)); #511219=CARTESIAN_POINT('Origin',(39.25,16.5,-200.)); #511220=CARTESIAN_POINT('',(39.075,16.5,0.)); #511221=CARTESIAN_POINT('Origin',(39.25,16.5,0.)); #511222=CARTESIAN_POINT('',(39.075,16.5,0.052500000000002)); #511223=CARTESIAN_POINT('',(39.075,16.5,-200.)); #511224=CARTESIAN_POINT('Origin',(39.25,16.5,0.052500000000002)); #511225=CARTESIAN_POINT('Origin',(7.25,34.75,-200.)); #511226=CARTESIAN_POINT('',(7.075,34.75,0.)); #511227=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #511228=CARTESIAN_POINT('',(7.075,34.75,0.052500000000002)); #511229=CARTESIAN_POINT('',(7.075,34.75,-200.)); #511230=CARTESIAN_POINT('Origin',(7.25,34.75,0.052500000000002)); #511231=CARTESIAN_POINT('Origin',(30.762,60.25,-200.)); #511232=CARTESIAN_POINT('',(30.587,60.25,0.)); #511233=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #511234=CARTESIAN_POINT('',(30.587,60.25,0.052500000000002)); #511235=CARTESIAN_POINT('',(30.587,60.25,-200.)); #511236=CARTESIAN_POINT('Origin',(30.762,60.25,0.052500000000002)); #511237=CARTESIAN_POINT('Origin',(33.25,14.75,-200.)); #511238=CARTESIAN_POINT('',(33.075,14.75,0.)); #511239=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #511240=CARTESIAN_POINT('',(33.075,14.75,0.052500000000002)); #511241=CARTESIAN_POINT('',(33.075,14.75,-200.)); #511242=CARTESIAN_POINT('Origin',(33.25,14.75,0.052500000000002)); #511243=CARTESIAN_POINT('Origin',(33.25,55.,-200.)); #511244=CARTESIAN_POINT('',(33.075,55.,0.)); #511245=CARTESIAN_POINT('Origin',(33.25,55.,0.)); #511246=CARTESIAN_POINT('',(33.075,55.,0.052500000000002)); #511247=CARTESIAN_POINT('',(33.075,55.,-200.)); #511248=CARTESIAN_POINT('Origin',(33.25,55.,0.052500000000002)); #511249=CARTESIAN_POINT('Origin',(7.25,34.,-200.)); #511250=CARTESIAN_POINT('',(7.075,34.,0.)); #511251=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #511252=CARTESIAN_POINT('',(7.075,34.,0.052500000000002)); #511253=CARTESIAN_POINT('',(7.075,34.,-200.)); #511254=CARTESIAN_POINT('Origin',(7.25,34.,0.052500000000002)); #511255=CARTESIAN_POINT('Origin',(34.762,60.25,-200.)); #511256=CARTESIAN_POINT('',(34.587,60.25,0.)); #511257=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #511258=CARTESIAN_POINT('',(34.587,60.25,0.052500000000002)); #511259=CARTESIAN_POINT('',(34.587,60.25,-200.)); #511260=CARTESIAN_POINT('Origin',(34.762,60.25,0.052500000000002)); #511261=CARTESIAN_POINT('Origin',(55.75,34.,-200.)); #511262=CARTESIAN_POINT('',(55.25,34.,0.)); #511263=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #511264=CARTESIAN_POINT('',(55.25,34.,0.052500000000002)); #511265=CARTESIAN_POINT('',(55.25,34.,-200.)); #511266=CARTESIAN_POINT('Origin',(55.75,34.,0.052500000000002)); #511267=CARTESIAN_POINT('Origin',(30.03,2.09999997186536,-200.)); #511268=CARTESIAN_POINT('',(30.03,2.89999999062179,0.052500000000002)); #511269=CARTESIAN_POINT('',(30.03,2.09999997186536,0.052500000000002)); #511270=CARTESIAN_POINT('',(30.0300000000001,18.0499999859327,0.052500000000002)); #511271=CARTESIAN_POINT('',(30.03,2.89999999062179,0.)); #511272=CARTESIAN_POINT('',(30.03,2.89999999062179,-200.)); #511273=CARTESIAN_POINT('',(30.03,2.09999997186536,0.)); #511274=CARTESIAN_POINT('',(30.0300000000001,18.0499999859327,0.)); #511275=CARTESIAN_POINT('',(30.03,2.09999997186536,-200.)); #511276=CARTESIAN_POINT('Origin',(29.68,2.1,-200.)); #511277=CARTESIAN_POINT('',(29.33,2.10000000937821,0.052500000000002)); #511278=CARTESIAN_POINT('Origin',(29.68,2.1,0.052500000000002)); #511279=CARTESIAN_POINT('',(29.33,2.10000000937821,0.)); #511280=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #511281=CARTESIAN_POINT('',(29.33,2.10000000937821,-200.)); #511282=CARTESIAN_POINT('Origin',(29.33,2.90000002813464,-200.)); #511283=CARTESIAN_POINT('',(29.33,2.90000002813464,0.052500000000002)); #511284=CARTESIAN_POINT('',(29.3300000000001,18.4500000140673,0.052500000000002)); #511285=CARTESIAN_POINT('',(29.33,2.90000002813464,0.)); #511286=CARTESIAN_POINT('',(29.3300000000001,18.4500000140673,0.)); #511287=CARTESIAN_POINT('',(29.33,2.90000002813464,-200.)); #511288=CARTESIAN_POINT('Origin',(29.68,2.9,-200.)); #511289=CARTESIAN_POINT('Origin',(29.68,2.9,0.052500000000002)); #511290=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #511291=CARTESIAN_POINT('Origin',(35.25,6.25,-200.)); #511292=CARTESIAN_POINT('',(35.075,6.25,0.)); #511293=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #511294=CARTESIAN_POINT('',(35.075,6.25,0.052500000000002)); #511295=CARTESIAN_POINT('',(35.075,6.25,-200.)); #511296=CARTESIAN_POINT('Origin',(35.25,6.25,0.052500000000002)); #511297=CARTESIAN_POINT('Origin',(29.4500000482308,34.6,-200.)); #511298=CARTESIAN_POINT('',(28.8500000160769,34.6,0.052500000000002)); #511299=CARTESIAN_POINT('',(29.4500000482308,34.6,0.052500000000002)); #511300=CARTESIAN_POINT('',(31.7250000241154,34.6,0.052500000000002)); #511301=CARTESIAN_POINT('',(28.8500000160769,34.6,0.)); #511302=CARTESIAN_POINT('',(28.8500000160769,34.6,-200.)); #511303=CARTESIAN_POINT('',(29.4500000482308,34.6,0.)); #511304=CARTESIAN_POINT('',(31.7250000241154,34.6,0.)); #511305=CARTESIAN_POINT('',(29.4500000482308,34.6,-200.)); #511306=CARTESIAN_POINT('Origin',(29.45,34.,-200.)); #511307=CARTESIAN_POINT('',(29.4499999839231,33.4,0.052500000000002)); #511308=CARTESIAN_POINT('Origin',(29.45,34.,0.052500000000002)); #511309=CARTESIAN_POINT('',(29.4499999839231,33.4,0.)); #511310=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #511311=CARTESIAN_POINT('',(29.4499999839231,33.4,-200.)); #511312=CARTESIAN_POINT('Origin',(28.8499999517692,33.4,-200.)); #511313=CARTESIAN_POINT('',(28.8499999517692,33.4,0.052500000000002)); #511314=CARTESIAN_POINT('',(31.4249999758846,33.4,0.052500000000002)); #511315=CARTESIAN_POINT('',(28.8499999517692,33.4,0.)); #511316=CARTESIAN_POINT('',(31.4249999758846,33.4,0.)); #511317=CARTESIAN_POINT('',(28.8499999517692,33.4,-200.)); #511318=CARTESIAN_POINT('Origin',(28.85,34.,-200.)); #511319=CARTESIAN_POINT('Origin',(28.85,34.,0.052500000000002)); #511320=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #511321=CARTESIAN_POINT('Origin',(31.75,16.25,-200.)); #511322=CARTESIAN_POINT('',(31.575,16.25,0.)); #511323=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #511324=CARTESIAN_POINT('',(31.575,16.25,0.052500000000002)); #511325=CARTESIAN_POINT('',(31.575,16.25,-200.)); #511326=CARTESIAN_POINT('Origin',(31.75,16.25,0.052500000000002)); #511327=CARTESIAN_POINT('Origin',(30.8,7.6,-200.)); #511328=CARTESIAN_POINT('',(30.65,7.6,0.)); #511329=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #511330=CARTESIAN_POINT('',(30.65,7.6,0.052500000000002)); #511331=CARTESIAN_POINT('',(30.65,7.6,-200.)); #511332=CARTESIAN_POINT('Origin',(30.8,7.6,0.052500000000002)); #511333=CARTESIAN_POINT('Origin',(34.25,8.25,-200.)); #511334=CARTESIAN_POINT('',(34.075,8.25,0.)); #511335=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #511336=CARTESIAN_POINT('',(34.075,8.25,0.052500000000002)); #511337=CARTESIAN_POINT('',(34.075,8.25,-200.)); #511338=CARTESIAN_POINT('Origin',(34.25,8.25,0.052500000000002)); #511339=CARTESIAN_POINT('Origin',(39.1500000482308,34.6,-200.)); #511340=CARTESIAN_POINT('',(38.5500000160769,34.6,0.052500000000002)); #511341=CARTESIAN_POINT('',(39.1500000482308,34.6,0.052500000000002)); #511342=CARTESIAN_POINT('',(36.5750000241154,34.6,0.052500000000002)); #511343=CARTESIAN_POINT('',(38.5500000160769,34.6,0.)); #511344=CARTESIAN_POINT('',(38.5500000160769,34.6,-200.)); #511345=CARTESIAN_POINT('',(39.1500000482308,34.6,0.)); #511346=CARTESIAN_POINT('',(36.5750000241154,34.6,0.)); #511347=CARTESIAN_POINT('',(39.1500000482308,34.6,-200.)); #511348=CARTESIAN_POINT('Origin',(39.15,34.,-200.)); #511349=CARTESIAN_POINT('',(39.1499999839231,33.4,0.052500000000002)); #511350=CARTESIAN_POINT('Origin',(39.15,34.,0.052500000000002)); #511351=CARTESIAN_POINT('',(39.1499999839231,33.4,0.)); #511352=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #511353=CARTESIAN_POINT('',(39.1499999839231,33.4,-200.)); #511354=CARTESIAN_POINT('Origin',(38.5499999517692,33.4,-200.)); #511355=CARTESIAN_POINT('',(38.5499999517692,33.4,0.052500000000002)); #511356=CARTESIAN_POINT('',(36.2749999758846,33.4,0.052500000000002)); #511357=CARTESIAN_POINT('',(38.5499999517692,33.4,0.)); #511358=CARTESIAN_POINT('',(36.2749999758846,33.4,0.)); #511359=CARTESIAN_POINT('',(38.5499999517692,33.4,-200.)); #511360=CARTESIAN_POINT('Origin',(38.55,34.,-200.)); #511361=CARTESIAN_POINT('Origin',(38.55,34.,0.052500000000002)); #511362=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #511363=CARTESIAN_POINT('Origin',(34.75,17.75,-200.)); #511364=CARTESIAN_POINT('',(34.575,17.75,0.)); #511365=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #511366=CARTESIAN_POINT('',(34.575,17.75,0.052500000000002)); #511367=CARTESIAN_POINT('',(34.575,17.75,-200.)); #511368=CARTESIAN_POINT('Origin',(34.75,17.75,0.052500000000002)); #511369=CARTESIAN_POINT('Origin',(37.2,7.1,-200.)); #511370=CARTESIAN_POINT('',(37.05,7.1,0.)); #511371=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #511372=CARTESIAN_POINT('',(37.05,7.1,0.052500000000002)); #511373=CARTESIAN_POINT('',(37.05,7.1,-200.)); #511374=CARTESIAN_POINT('Origin',(37.2,7.1,0.052500000000002)); #511375=CARTESIAN_POINT('Origin',(32.75,8.25,-200.)); #511376=CARTESIAN_POINT('',(32.575,8.25,0.)); #511377=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #511378=CARTESIAN_POINT('',(32.575,8.25,0.052500000000002)); #511379=CARTESIAN_POINT('',(32.575,8.25,-200.)); #511380=CARTESIAN_POINT('Origin',(32.75,8.25,0.052500000000002)); #511381=CARTESIAN_POINT('Origin',(31.6,7.1,-200.)); #511382=CARTESIAN_POINT('',(31.45,7.1,0.)); #511383=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #511384=CARTESIAN_POINT('',(31.45,7.1,0.052500000000002)); #511385=CARTESIAN_POINT('',(31.45,7.1,-200.)); #511386=CARTESIAN_POINT('Origin',(31.6,7.1,0.052500000000002)); #511387=CARTESIAN_POINT('Origin',(31.75,15.5,-200.)); #511388=CARTESIAN_POINT('',(31.575,15.5,0.)); #511389=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #511390=CARTESIAN_POINT('',(31.575,15.5,0.052500000000002)); #511391=CARTESIAN_POINT('',(31.575,15.5,-200.)); #511392=CARTESIAN_POINT('Origin',(31.75,15.5,0.052500000000002)); #511393=CARTESIAN_POINT('Origin',(37.2,7.6,-200.)); #511394=CARTESIAN_POINT('',(37.05,7.6,0.)); #511395=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #511396=CARTESIAN_POINT('',(37.05,7.6,0.052500000000002)); #511397=CARTESIAN_POINT('',(37.05,7.6,-200.)); #511398=CARTESIAN_POINT('Origin',(37.2,7.6,0.052500000000002)); #511399=CARTESIAN_POINT('Origin',(33.75,6.2426,-200.)); #511400=CARTESIAN_POINT('',(33.575,6.2426,0.)); #511401=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #511402=CARTESIAN_POINT('',(33.575,6.2426,0.052500000000002)); #511403=CARTESIAN_POINT('',(33.575,6.2426,-200.)); #511404=CARTESIAN_POINT('Origin',(33.75,6.2426,0.052500000000002)); #511405=CARTESIAN_POINT('Origin',(30.8,7.1,-200.)); #511406=CARTESIAN_POINT('',(30.65,7.1,0.)); #511407=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #511408=CARTESIAN_POINT('',(30.65,7.1,0.052500000000002)); #511409=CARTESIAN_POINT('',(30.65,7.1,-200.)); #511410=CARTESIAN_POINT('Origin',(30.8,7.1,0.052500000000002)); #511411=CARTESIAN_POINT('Origin',(34.75,55.,-200.)); #511412=CARTESIAN_POINT('',(34.575,55.,0.)); #511413=CARTESIAN_POINT('Origin',(34.75,55.,0.)); #511414=CARTESIAN_POINT('',(34.575,55.,0.052500000000002)); #511415=CARTESIAN_POINT('',(34.575,55.,-200.)); #511416=CARTESIAN_POINT('Origin',(34.75,55.,0.052500000000002)); #511417=CARTESIAN_POINT('Origin',(42.25,28.5,-200.)); #511418=CARTESIAN_POINT('',(42.075,28.5,0.)); #511419=CARTESIAN_POINT('Origin',(42.25,28.5,0.)); #511420=CARTESIAN_POINT('',(42.075,28.5,0.052500000000002)); #511421=CARTESIAN_POINT('',(42.075,28.5,-200.)); #511422=CARTESIAN_POINT('Origin',(42.25,28.5,0.052500000000002)); #511423=CARTESIAN_POINT('Origin',(30.03,6.27999997186536,-200.)); #511424=CARTESIAN_POINT('',(30.03,7.07999999062179,0.052500000000002)); #511425=CARTESIAN_POINT('',(30.03,6.27999997186536,0.052500000000002)); #511426=CARTESIAN_POINT('',(30.0300000000001,20.1399999859327,0.052500000000002)); #511427=CARTESIAN_POINT('',(30.03,7.07999999062179,0.)); #511428=CARTESIAN_POINT('',(30.03,7.07999999062179,-200.)); #511429=CARTESIAN_POINT('',(30.03,6.27999997186536,0.)); #511430=CARTESIAN_POINT('',(30.0300000000001,20.1399999859327,0.)); #511431=CARTESIAN_POINT('',(30.03,6.27999997186536,-200.)); #511432=CARTESIAN_POINT('Origin',(29.68,6.28,-200.)); #511433=CARTESIAN_POINT('',(29.33,6.28000000937821,0.052500000000002)); #511434=CARTESIAN_POINT('Origin',(29.68,6.28,0.052500000000002)); #511435=CARTESIAN_POINT('',(29.33,6.28000000937821,0.)); #511436=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #511437=CARTESIAN_POINT('',(29.33,6.28000000937821,-200.)); #511438=CARTESIAN_POINT('Origin',(29.33,7.08000002813464,-200.)); #511439=CARTESIAN_POINT('',(29.33,7.08000002813464,0.052500000000002)); #511440=CARTESIAN_POINT('',(29.3300000000001,20.5400000140673,0.052500000000002)); #511441=CARTESIAN_POINT('',(29.33,7.08000002813464,0.)); #511442=CARTESIAN_POINT('',(29.3300000000001,20.5400000140673,0.)); #511443=CARTESIAN_POINT('',(29.33,7.08000002813464,-200.)); #511444=CARTESIAN_POINT('Origin',(29.68,7.08,-200.)); #511445=CARTESIAN_POINT('Origin',(29.68,7.08,0.052500000000002)); #511446=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #511447=CARTESIAN_POINT('Origin',(31.75,17.,-200.)); #511448=CARTESIAN_POINT('',(31.575,17.,0.)); #511449=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #511450=CARTESIAN_POINT('',(31.575,17.,0.052500000000002)); #511451=CARTESIAN_POINT('',(31.575,17.,-200.)); #511452=CARTESIAN_POINT('Origin',(31.75,17.,0.052500000000002)); #511453=CARTESIAN_POINT('Origin',(38.67,2.09999997186536,-200.)); #511454=CARTESIAN_POINT('',(38.67,2.89999999062179,0.052500000000002)); #511455=CARTESIAN_POINT('',(38.67,2.09999997186536,0.052500000000002)); #511456=CARTESIAN_POINT('',(38.6700000000001,18.0499999859327,0.052500000000002)); #511457=CARTESIAN_POINT('',(38.67,2.89999999062179,0.)); #511458=CARTESIAN_POINT('',(38.67,2.89999999062179,-200.)); #511459=CARTESIAN_POINT('',(38.67,2.09999997186536,0.)); #511460=CARTESIAN_POINT('',(38.6700000000001,18.0499999859327,0.)); #511461=CARTESIAN_POINT('',(38.67,2.09999997186536,-200.)); #511462=CARTESIAN_POINT('Origin',(38.32,2.1,-200.)); #511463=CARTESIAN_POINT('',(37.97,2.10000000937821,0.052500000000002)); #511464=CARTESIAN_POINT('Origin',(38.32,2.1,0.052500000000002)); #511465=CARTESIAN_POINT('',(37.97,2.10000000937821,0.)); #511466=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #511467=CARTESIAN_POINT('',(37.97,2.10000000937821,-200.)); #511468=CARTESIAN_POINT('Origin',(37.97,2.90000002813464,-200.)); #511469=CARTESIAN_POINT('',(37.97,2.90000002813464,0.052500000000002)); #511470=CARTESIAN_POINT('',(37.9700000000001,18.4500000140673,0.052500000000002)); #511471=CARTESIAN_POINT('',(37.97,2.90000002813464,0.)); #511472=CARTESIAN_POINT('',(37.9700000000001,18.4500000140673,0.)); #511473=CARTESIAN_POINT('',(37.97,2.90000002813464,-200.)); #511474=CARTESIAN_POINT('Origin',(38.32,2.9,-200.)); #511475=CARTESIAN_POINT('Origin',(38.32,2.9,0.052500000000002)); #511476=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #511477=CARTESIAN_POINT('Origin',(34.,23.125,-200.)); #511478=CARTESIAN_POINT('',(33.825,23.125,0.)); #511479=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #511480=CARTESIAN_POINT('',(33.825,23.125,0.052500000000002)); #511481=CARTESIAN_POINT('',(33.825,23.125,-200.)); #511482=CARTESIAN_POINT('Origin',(34.,23.125,0.052500000000002)); #511483=CARTESIAN_POINT('Origin',(38.67,6.27999997186536,-200.)); #511484=CARTESIAN_POINT('',(38.67,7.07999999062179,0.052500000000002)); #511485=CARTESIAN_POINT('',(38.67,6.27999997186536,0.052500000000002)); #511486=CARTESIAN_POINT('',(38.6700000000001,20.1399999859327,0.052500000000002)); #511487=CARTESIAN_POINT('',(38.67,7.07999999062179,0.)); #511488=CARTESIAN_POINT('',(38.67,7.07999999062179,-200.)); #511489=CARTESIAN_POINT('',(38.67,6.27999997186536,0.)); #511490=CARTESIAN_POINT('',(38.6700000000001,20.1399999859327,0.)); #511491=CARTESIAN_POINT('',(38.67,6.27999997186536,-200.)); #511492=CARTESIAN_POINT('Origin',(38.32,6.28,-200.)); #511493=CARTESIAN_POINT('',(37.97,6.28000000937821,0.052500000000002)); #511494=CARTESIAN_POINT('Origin',(38.32,6.28,0.052500000000002)); #511495=CARTESIAN_POINT('',(37.97,6.28000000937821,0.)); #511496=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #511497=CARTESIAN_POINT('',(37.97,6.28000000937821,-200.)); #511498=CARTESIAN_POINT('Origin',(37.97,7.08000002813464,-200.)); #511499=CARTESIAN_POINT('',(37.97,7.08000002813464,0.052500000000002)); #511500=CARTESIAN_POINT('',(37.9700000000001,20.5400000140673,0.052500000000002)); #511501=CARTESIAN_POINT('',(37.97,7.08000002813464,0.)); #511502=CARTESIAN_POINT('',(37.9700000000001,20.5400000140673,0.)); #511503=CARTESIAN_POINT('',(37.97,7.08000002813464,-200.)); #511504=CARTESIAN_POINT('Origin',(38.32,7.08,-200.)); #511505=CARTESIAN_POINT('Origin',(38.32,7.08,0.052500000000002)); #511506=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #511507=CARTESIAN_POINT('Origin',(33.25,17.75,-200.)); #511508=CARTESIAN_POINT('',(33.075,17.75,0.)); #511509=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #511510=CARTESIAN_POINT('',(33.075,17.75,0.052500000000002)); #511511=CARTESIAN_POINT('',(33.075,17.75,-200.)); #511512=CARTESIAN_POINT('Origin',(33.25,17.75,0.052500000000002)); #511513=CARTESIAN_POINT('Origin',(40.75,27.,-200.)); #511514=CARTESIAN_POINT('',(40.575,27.,0.)); #511515=CARTESIAN_POINT('Origin',(40.75,27.,0.)); #511516=CARTESIAN_POINT('',(40.575,27.,0.052500000000002)); #511517=CARTESIAN_POINT('',(40.575,27.,-200.)); #511518=CARTESIAN_POINT('Origin',(40.75,27.,0.052500000000002)); #511519=CARTESIAN_POINT('Origin',(31.75,17.75,-200.)); #511520=CARTESIAN_POINT('',(31.575,17.75,0.)); #511521=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #511522=CARTESIAN_POINT('',(31.575,17.75,0.052500000000002)); #511523=CARTESIAN_POINT('',(31.575,17.75,-200.)); #511524=CARTESIAN_POINT('Origin',(31.75,17.75,0.052500000000002)); #511525=CARTESIAN_POINT('Origin',(15.25,21.,-200.)); #511526=CARTESIAN_POINT('',(15.075,21.,0.)); #511527=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #511528=CARTESIAN_POINT('',(15.075,21.,0.052500000000002)); #511529=CARTESIAN_POINT('',(15.075,21.,-200.)); #511530=CARTESIAN_POINT('Origin',(15.25,21.,0.052500000000002)); #511531=CARTESIAN_POINT('Origin',(32.73,45.,-200.)); #511532=CARTESIAN_POINT('',(32.13,45.,0.)); #511533=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #511534=CARTESIAN_POINT('',(32.13,45.,0.052500000000002)); #511535=CARTESIAN_POINT('',(32.13,45.,-200.)); #511536=CARTESIAN_POINT('Origin',(32.73,45.,0.052500000000002)); #511537=CARTESIAN_POINT('Origin',(45.125,56.75,-200.)); #511538=CARTESIAN_POINT('',(44.95,56.75,0.)); #511539=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #511540=CARTESIAN_POINT('',(44.95,56.75,0.052500000000002)); #511541=CARTESIAN_POINT('',(44.95,56.75,-200.)); #511542=CARTESIAN_POINT('Origin',(45.125,56.75,0.052500000000002)); #511543=CARTESIAN_POINT('Origin',(55.25,21.75,-200.)); #511544=CARTESIAN_POINT('',(55.075,21.75,0.)); #511545=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #511546=CARTESIAN_POINT('',(55.075,21.75,0.052500000000002)); #511547=CARTESIAN_POINT('',(55.075,21.75,-200.)); #511548=CARTESIAN_POINT('Origin',(55.25,21.75,0.052500000000002)); #511549=CARTESIAN_POINT('Origin',(47.75,56.75,-200.)); #511550=CARTESIAN_POINT('',(47.575,56.75,0.)); #511551=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #511552=CARTESIAN_POINT('',(47.575,56.75,0.052500000000002)); #511553=CARTESIAN_POINT('',(47.575,56.75,-200.)); #511554=CARTESIAN_POINT('Origin',(47.75,56.75,0.052500000000002)); #511555=CARTESIAN_POINT('Origin',(35.5,15.5,-200.)); #511556=CARTESIAN_POINT('',(35.325,15.5,0.)); #511557=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #511558=CARTESIAN_POINT('',(35.325,15.5,0.052500000000002)); #511559=CARTESIAN_POINT('',(35.325,15.5,-200.)); #511560=CARTESIAN_POINT('Origin',(35.5,15.5,0.052500000000002)); #511561=CARTESIAN_POINT('Origin',(47.75,55.25,-200.)); #511562=CARTESIAN_POINT('',(47.575,55.25,0.)); #511563=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #511564=CARTESIAN_POINT('',(47.575,55.25,0.052500000000002)); #511565=CARTESIAN_POINT('',(47.575,55.25,-200.)); #511566=CARTESIAN_POINT('Origin',(47.75,55.25,0.052500000000002)); #511567=CARTESIAN_POINT('Origin',(55.25,21.,-200.)); #511568=CARTESIAN_POINT('',(55.075,21.,0.)); #511569=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #511570=CARTESIAN_POINT('',(55.075,21.,0.052500000000002)); #511571=CARTESIAN_POINT('',(55.075,21.,-200.)); #511572=CARTESIAN_POINT('Origin',(55.25,21.,0.052500000000002)); #511573=CARTESIAN_POINT('Origin',(47.75,56.,-200.)); #511574=CARTESIAN_POINT('',(47.575,56.,0.)); #511575=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #511576=CARTESIAN_POINT('',(47.575,56.,0.052500000000002)); #511577=CARTESIAN_POINT('',(47.575,56.,-200.)); #511578=CARTESIAN_POINT('Origin',(47.75,56.,0.052500000000002)); #511579=CARTESIAN_POINT('Origin',(30.75,9.5,-200.)); #511580=CARTESIAN_POINT('',(30.575,9.5,0.)); #511581=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #511582=CARTESIAN_POINT('',(30.575,9.5,0.052500000000002)); #511583=CARTESIAN_POINT('',(30.575,9.5,-200.)); #511584=CARTESIAN_POINT('Origin',(30.75,9.5,0.052500000000002)); #511585=CARTESIAN_POINT('Origin',(46.,56.,-200.)); #511586=CARTESIAN_POINT('',(45.825,56.,0.)); #511587=CARTESIAN_POINT('Origin',(46.,56.,0.)); #511588=CARTESIAN_POINT('',(45.825,56.,0.052500000000002)); #511589=CARTESIAN_POINT('',(45.825,56.,-200.)); #511590=CARTESIAN_POINT('Origin',(46.,56.,0.052500000000002)); #511591=CARTESIAN_POINT('Origin',(40.,38.75,-200.)); #511592=CARTESIAN_POINT('',(39.825,38.75,0.)); #511593=CARTESIAN_POINT('Origin',(40.,38.75,0.)); #511594=CARTESIAN_POINT('',(39.825,38.75,0.052500000000002)); #511595=CARTESIAN_POINT('',(39.825,38.75,-200.)); #511596=CARTESIAN_POINT('Origin',(40.,38.75,0.052500000000002)); #511597=CARTESIAN_POINT('Origin',(44.25,56.,-200.)); #511598=CARTESIAN_POINT('',(44.075,56.,0.)); #511599=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #511600=CARTESIAN_POINT('',(44.075,56.,0.052500000000002)); #511601=CARTESIAN_POINT('',(44.075,56.,-200.)); #511602=CARTESIAN_POINT('Origin',(44.25,56.,0.052500000000002)); #511603=CARTESIAN_POINT('Origin',(34.75,15.5,-200.)); #511604=CARTESIAN_POINT('',(34.575,15.5,0.)); #511605=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #511606=CARTESIAN_POINT('',(34.575,15.5,0.052500000000002)); #511607=CARTESIAN_POINT('',(34.575,15.5,-200.)); #511608=CARTESIAN_POINT('Origin',(34.75,15.5,0.052500000000002)); #511609=CARTESIAN_POINT('Origin',(46.,56.75,-200.)); #511610=CARTESIAN_POINT('',(45.825,56.75,0.)); #511611=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #511612=CARTESIAN_POINT('',(45.825,56.75,0.052500000000002)); #511613=CARTESIAN_POINT('',(45.825,56.75,-200.)); #511614=CARTESIAN_POINT('Origin',(46.,56.75,0.052500000000002)); #511615=CARTESIAN_POINT('Origin',(40.,39.5,-200.)); #511616=CARTESIAN_POINT('',(39.825,39.5,0.)); #511617=CARTESIAN_POINT('Origin',(40.,39.5,0.)); #511618=CARTESIAN_POINT('',(39.825,39.5,0.052500000000002)); #511619=CARTESIAN_POINT('',(39.825,39.5,-200.)); #511620=CARTESIAN_POINT('Origin',(40.,39.5,0.052500000000002)); #511621=CARTESIAN_POINT('Origin',(46.,55.25,-200.)); #511622=CARTESIAN_POINT('',(45.825,55.25,0.)); #511623=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #511624=CARTESIAN_POINT('',(45.825,55.25,0.052500000000002)); #511625=CARTESIAN_POINT('',(45.825,55.25,-200.)); #511626=CARTESIAN_POINT('Origin',(46.,55.25,0.052500000000002)); #511627=CARTESIAN_POINT('Origin',(34.,25.25,-200.)); #511628=CARTESIAN_POINT('',(33.5,25.25,0.)); #511629=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #511630=CARTESIAN_POINT('',(33.5,25.25,0.052500000000002)); #511631=CARTESIAN_POINT('',(33.5,25.25,-200.)); #511632=CARTESIAN_POINT('Origin',(34.,25.25,0.052500000000002)); #511633=CARTESIAN_POINT('Origin',(52.125,47.75,-200.)); #511634=CARTESIAN_POINT('',(51.95,47.75,0.)); #511635=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #511636=CARTESIAN_POINT('',(51.95,47.75,0.052500000000002)); #511637=CARTESIAN_POINT('',(51.95,47.75,-200.)); #511638=CARTESIAN_POINT('Origin',(52.125,47.75,0.052500000000002)); #511639=CARTESIAN_POINT('Origin',(28.,38.75,-200.)); #511640=CARTESIAN_POINT('',(27.825,38.75,0.)); #511641=CARTESIAN_POINT('Origin',(28.,38.75,0.)); #511642=CARTESIAN_POINT('',(27.825,38.75,0.052500000000002)); #511643=CARTESIAN_POINT('',(27.825,38.75,-200.)); #511644=CARTESIAN_POINT('Origin',(28.,38.75,0.052500000000002)); #511645=CARTESIAN_POINT('Origin',(52.125,47.,-200.)); #511646=CARTESIAN_POINT('',(51.95,47.,0.)); #511647=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #511648=CARTESIAN_POINT('',(51.95,47.,0.052500000000002)); #511649=CARTESIAN_POINT('',(51.95,47.,-200.)); #511650=CARTESIAN_POINT('Origin',(52.125,47.,0.052500000000002)); #511651=CARTESIAN_POINT('Origin',(33.25,15.5,-200.)); #511652=CARTESIAN_POINT('',(33.075,15.5,0.)); #511653=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #511654=CARTESIAN_POINT('',(33.075,15.5,0.052500000000002)); #511655=CARTESIAN_POINT('',(33.075,15.5,-200.)); #511656=CARTESIAN_POINT('Origin',(33.25,15.5,0.052500000000002)); #511657=CARTESIAN_POINT('Origin',(51.25,46.25,-200.)); #511658=CARTESIAN_POINT('',(51.075,46.25,0.)); #511659=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #511660=CARTESIAN_POINT('',(51.075,46.25,0.052500000000002)); #511661=CARTESIAN_POINT('',(51.075,46.25,-200.)); #511662=CARTESIAN_POINT('Origin',(51.25,46.25,0.052500000000002)); #511663=CARTESIAN_POINT('Origin',(28.,38.,-200.)); #511664=CARTESIAN_POINT('',(27.825,38.,0.)); #511665=CARTESIAN_POINT('Origin',(28.,38.,0.)); #511666=CARTESIAN_POINT('',(27.825,38.,0.052500000000002)); #511667=CARTESIAN_POINT('',(27.825,38.,-200.)); #511668=CARTESIAN_POINT('Origin',(28.,38.,0.052500000000002)); #511669=CARTESIAN_POINT('Origin',(52.125,46.25,-200.)); #511670=CARTESIAN_POINT('',(51.95,46.25,0.)); #511671=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #511672=CARTESIAN_POINT('',(51.95,46.25,0.052500000000002)); #511673=CARTESIAN_POINT('',(51.95,46.25,-200.)); #511674=CARTESIAN_POINT('Origin',(52.125,46.25,0.052500000000002)); #511675=CARTESIAN_POINT('Origin',(30.75,8.75,-200.)); #511676=CARTESIAN_POINT('',(30.575,8.75,0.)); #511677=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #511678=CARTESIAN_POINT('',(30.575,8.75,0.052500000000002)); #511679=CARTESIAN_POINT('',(30.575,8.75,-200.)); #511680=CARTESIAN_POINT('Origin',(30.75,8.75,0.052500000000002)); #511681=CARTESIAN_POINT('Origin',(41.25,31.,-200.)); #511682=CARTESIAN_POINT('',(41.075,31.,0.)); #511683=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #511684=CARTESIAN_POINT('',(41.075,31.,0.052500000000002)); #511685=CARTESIAN_POINT('',(41.075,31.,-200.)); #511686=CARTESIAN_POINT('Origin',(41.25,31.,0.052500000000002)); #511687=CARTESIAN_POINT('Origin',(28.,39.5,-200.)); #511688=CARTESIAN_POINT('',(27.825,39.5,0.)); #511689=CARTESIAN_POINT('Origin',(28.,39.5,0.)); #511690=CARTESIAN_POINT('',(27.825,39.5,0.052500000000002)); #511691=CARTESIAN_POINT('',(27.825,39.5,-200.)); #511692=CARTESIAN_POINT('Origin',(28.,39.5,0.052500000000002)); #511693=CARTESIAN_POINT('Origin',(41.25,36.,-200.)); #511694=CARTESIAN_POINT('',(41.075,36.,0.)); #511695=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #511696=CARTESIAN_POINT('',(41.075,36.,0.052500000000002)); #511697=CARTESIAN_POINT('',(41.075,36.,-200.)); #511698=CARTESIAN_POINT('Origin',(41.25,36.,0.052500000000002)); #511699=CARTESIAN_POINT('Origin',(34.,15.5,-200.)); #511700=CARTESIAN_POINT('',(33.825,15.5,0.)); #511701=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #511702=CARTESIAN_POINT('',(33.825,15.5,0.052500000000002)); #511703=CARTESIAN_POINT('',(33.825,15.5,-200.)); #511704=CARTESIAN_POINT('Origin',(34.,15.5,0.052500000000002)); #511705=CARTESIAN_POINT('Origin',(44.25,56.75,-200.)); #511706=CARTESIAN_POINT('',(44.075,56.75,0.)); #511707=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #511708=CARTESIAN_POINT('',(44.075,56.75,0.052500000000002)); #511709=CARTESIAN_POINT('',(44.075,56.75,-200.)); #511710=CARTESIAN_POINT('Origin',(44.25,56.75,0.052500000000002)); #511711=CARTESIAN_POINT('Origin',(40.,38.,-200.)); #511712=CARTESIAN_POINT('',(39.825,38.,0.)); #511713=CARTESIAN_POINT('Origin',(40.,38.,0.)); #511714=CARTESIAN_POINT('',(39.825,38.,0.052500000000002)); #511715=CARTESIAN_POINT('',(39.825,38.,-200.)); #511716=CARTESIAN_POINT('Origin',(40.,38.,0.052500000000002)); #511717=CARTESIAN_POINT('Origin',(44.25,55.25,-200.)); #511718=CARTESIAN_POINT('',(44.075,55.25,0.)); #511719=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #511720=CARTESIAN_POINT('',(44.075,55.25,0.052500000000002)); #511721=CARTESIAN_POINT('',(44.075,55.25,-200.)); #511722=CARTESIAN_POINT('Origin',(44.25,55.25,0.052500000000002)); #511723=CARTESIAN_POINT('Origin',(43.75,34.,-200.)); #511724=CARTESIAN_POINT('',(43.2,34.,0.)); #511725=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #511726=CARTESIAN_POINT('',(43.2,34.,0.052500000000002)); #511727=CARTESIAN_POINT('',(43.2,34.,-200.)); #511728=CARTESIAN_POINT('Origin',(43.75,34.,0.052500000000002)); #511729=CARTESIAN_POINT('Origin',(48.625,47.75,-200.)); #511730=CARTESIAN_POINT('',(48.45,47.75,0.)); #511731=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #511732=CARTESIAN_POINT('',(48.45,47.75,0.052500000000002)); #511733=CARTESIAN_POINT('',(48.45,47.75,-200.)); #511734=CARTESIAN_POINT('Origin',(48.625,47.75,0.052500000000002)); #511735=CARTESIAN_POINT('Origin',(41.,24.5,-200.)); #511736=CARTESIAN_POINT('',(40.825,24.5,0.)); #511737=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #511738=CARTESIAN_POINT('',(40.825,24.5,0.052500000000002)); #511739=CARTESIAN_POINT('',(40.825,24.5,-200.)); #511740=CARTESIAN_POINT('Origin',(41.,24.5,0.052500000000002)); #511741=CARTESIAN_POINT('Origin',(48.625,47.,-200.)); #511742=CARTESIAN_POINT('',(48.45,47.,0.)); #511743=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #511744=CARTESIAN_POINT('',(48.45,47.,0.052500000000002)); #511745=CARTESIAN_POINT('',(48.45,47.,-200.)); #511746=CARTESIAN_POINT('Origin',(48.625,47.,0.052500000000002)); #511747=CARTESIAN_POINT('Origin',(32.5,17.,-200.)); #511748=CARTESIAN_POINT('',(32.325,17.,0.)); #511749=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #511750=CARTESIAN_POINT('',(32.325,17.,0.052500000000002)); #511751=CARTESIAN_POINT('',(32.325,17.,-200.)); #511752=CARTESIAN_POINT('Origin',(32.5,17.,0.052500000000002)); #511753=CARTESIAN_POINT('Origin',(46.875,47.75,-200.)); #511754=CARTESIAN_POINT('',(46.7,47.75,0.)); #511755=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #511756=CARTESIAN_POINT('',(46.7,47.75,0.052500000000002)); #511757=CARTESIAN_POINT('',(46.7,47.75,-200.)); #511758=CARTESIAN_POINT('Origin',(46.875,47.75,0.052500000000002)); #511759=CARTESIAN_POINT('Origin',(41.,26.,-200.)); #511760=CARTESIAN_POINT('',(40.825,26.,0.)); #511761=CARTESIAN_POINT('Origin',(41.,26.,0.)); #511762=CARTESIAN_POINT('',(40.825,26.,0.052500000000002)); #511763=CARTESIAN_POINT('',(40.825,26.,-200.)); #511764=CARTESIAN_POINT('Origin',(41.,26.,0.052500000000002)); #511765=CARTESIAN_POINT('Origin',(48.625,46.25,-200.)); #511766=CARTESIAN_POINT('',(48.45,46.25,0.)); #511767=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #511768=CARTESIAN_POINT('',(48.45,46.25,0.052500000000002)); #511769=CARTESIAN_POINT('',(48.45,46.25,-200.)); #511770=CARTESIAN_POINT('Origin',(48.625,46.25,0.052500000000002)); #511771=CARTESIAN_POINT('Origin',(34.75,5.5,-200.)); #511772=CARTESIAN_POINT('',(34.575,5.5,0.)); #511773=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #511774=CARTESIAN_POINT('',(34.575,5.5,0.052500000000002)); #511775=CARTESIAN_POINT('',(34.575,5.5,-200.)); #511776=CARTESIAN_POINT('Origin',(34.75,5.5,0.052500000000002)); #511777=CARTESIAN_POINT('Origin',(45.125,46.25,-200.)); #511778=CARTESIAN_POINT('',(44.95,46.25,0.)); #511779=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #511780=CARTESIAN_POINT('',(44.95,46.25,0.052500000000002)); #511781=CARTESIAN_POINT('',(44.95,46.25,-200.)); #511782=CARTESIAN_POINT('Origin',(45.125,46.25,0.052500000000002)); #511783=CARTESIAN_POINT('Origin',(41.75,25.25,-200.)); #511784=CARTESIAN_POINT('',(41.575,25.25,0.)); #511785=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #511786=CARTESIAN_POINT('',(41.575,25.25,0.052500000000002)); #511787=CARTESIAN_POINT('',(41.575,25.25,-200.)); #511788=CARTESIAN_POINT('Origin',(41.75,25.25,0.052500000000002)); #511789=CARTESIAN_POINT('Origin',(45.125,47.,-200.)); #511790=CARTESIAN_POINT('',(44.95,47.,0.)); #511791=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #511792=CARTESIAN_POINT('',(44.95,47.,0.052500000000002)); #511793=CARTESIAN_POINT('',(44.95,47.,-200.)); #511794=CARTESIAN_POINT('Origin',(45.125,47.,0.052500000000002)); #511795=CARTESIAN_POINT('Origin',(32.5,17.75,-200.)); #511796=CARTESIAN_POINT('',(32.325,17.75,0.)); #511797=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #511798=CARTESIAN_POINT('',(32.325,17.75,0.052500000000002)); #511799=CARTESIAN_POINT('',(32.325,17.75,-200.)); #511800=CARTESIAN_POINT('Origin',(32.5,17.75,0.052500000000002)); #511801=CARTESIAN_POINT('Origin',(46.875,46.25,-200.)); #511802=CARTESIAN_POINT('',(46.7,46.25,0.)); #511803=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #511804=CARTESIAN_POINT('',(46.7,46.25,0.052500000000002)); #511805=CARTESIAN_POINT('',(46.7,46.25,-200.)); #511806=CARTESIAN_POINT('Origin',(46.875,46.25,0.052500000000002)); #511807=CARTESIAN_POINT('Origin',(41.75,26.,-200.)); #511808=CARTESIAN_POINT('',(41.575,26.,0.)); #511809=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #511810=CARTESIAN_POINT('',(41.575,26.,0.052500000000002)); #511811=CARTESIAN_POINT('',(41.575,26.,-200.)); #511812=CARTESIAN_POINT('Origin',(41.75,26.,0.052500000000002)); #511813=CARTESIAN_POINT('Origin',(46.875,47.,-200.)); #511814=CARTESIAN_POINT('',(46.7,47.,0.)); #511815=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #511816=CARTESIAN_POINT('',(46.7,47.,0.052500000000002)); #511817=CARTESIAN_POINT('',(46.7,47.,-200.)); #511818=CARTESIAN_POINT('Origin',(46.875,47.,0.052500000000002)); #511819=CARTESIAN_POINT('Origin',(36.5,25.25,-200.)); #511820=CARTESIAN_POINT('',(36.,25.25,0.)); #511821=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #511822=CARTESIAN_POINT('',(36.,25.25,0.052500000000002)); #511823=CARTESIAN_POINT('',(36.,25.25,-200.)); #511824=CARTESIAN_POINT('Origin',(36.5,25.25,0.052500000000002)); #511825=CARTESIAN_POINT('Origin',(50.375,46.25,-200.)); #511826=CARTESIAN_POINT('',(50.2,46.25,0.)); #511827=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #511828=CARTESIAN_POINT('',(50.2,46.25,0.052500000000002)); #511829=CARTESIAN_POINT('',(50.2,46.25,-200.)); #511830=CARTESIAN_POINT('Origin',(50.375,46.25,0.052500000000002)); #511831=CARTESIAN_POINT('Origin',(42.5,24.5,-200.)); #511832=CARTESIAN_POINT('',(42.325,24.5,0.)); #511833=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #511834=CARTESIAN_POINT('',(42.325,24.5,0.052500000000002)); #511835=CARTESIAN_POINT('',(42.325,24.5,-200.)); #511836=CARTESIAN_POINT('Origin',(42.5,24.5,0.052500000000002)); #511837=CARTESIAN_POINT('Origin',(49.5,46.25,-200.)); #511838=CARTESIAN_POINT('',(49.325,46.25,0.)); #511839=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #511840=CARTESIAN_POINT('',(49.325,46.25,0.052500000000002)); #511841=CARTESIAN_POINT('',(49.325,46.25,-200.)); #511842=CARTESIAN_POINT('Origin',(49.5,46.25,0.052500000000002)); #511843=CARTESIAN_POINT('Origin',(32.5,16.25,-200.)); #511844=CARTESIAN_POINT('',(32.325,16.25,0.)); #511845=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #511846=CARTESIAN_POINT('',(32.325,16.25,0.052500000000002)); #511847=CARTESIAN_POINT('',(32.325,16.25,-200.)); #511848=CARTESIAN_POINT('Origin',(32.5,16.25,0.052500000000002)); #511849=CARTESIAN_POINT('Origin',(49.5,47.75,-200.)); #511850=CARTESIAN_POINT('',(49.325,47.75,0.)); #511851=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #511852=CARTESIAN_POINT('',(49.325,47.75,0.052500000000002)); #511853=CARTESIAN_POINT('',(49.325,47.75,-200.)); #511854=CARTESIAN_POINT('Origin',(49.5,47.75,0.052500000000002)); #511855=CARTESIAN_POINT('Origin',(41.75,24.5,-200.)); #511856=CARTESIAN_POINT('',(41.575,24.5,0.)); #511857=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #511858=CARTESIAN_POINT('',(41.575,24.5,0.052500000000002)); #511859=CARTESIAN_POINT('',(41.575,24.5,-200.)); #511860=CARTESIAN_POINT('Origin',(41.75,24.5,0.052500000000002)); #511861=CARTESIAN_POINT('Origin',(49.5,47.,-200.)); #511862=CARTESIAN_POINT('',(49.325,47.,0.)); #511863=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #511864=CARTESIAN_POINT('',(49.325,47.,0.052500000000002)); #511865=CARTESIAN_POINT('',(49.325,47.,-200.)); #511866=CARTESIAN_POINT('Origin',(49.5,47.,0.052500000000002)); #511867=CARTESIAN_POINT('Origin',(31.5,8.75,-200.)); #511868=CARTESIAN_POINT('',(31.325,8.75,0.)); #511869=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #511870=CARTESIAN_POINT('',(31.325,8.75,0.052500000000002)); #511871=CARTESIAN_POINT('',(31.325,8.75,-200.)); #511872=CARTESIAN_POINT('Origin',(31.5,8.75,0.052500000000002)); #511873=CARTESIAN_POINT('Origin',(50.375,47.75,-200.)); #511874=CARTESIAN_POINT('',(50.2,47.75,0.)); #511875=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #511876=CARTESIAN_POINT('',(50.2,47.75,0.052500000000002)); #511877=CARTESIAN_POINT('',(50.2,47.75,-200.)); #511878=CARTESIAN_POINT('Origin',(50.375,47.75,0.052500000000002)); #511879=CARTESIAN_POINT('Origin',(42.5,25.25,-200.)); #511880=CARTESIAN_POINT('',(42.325,25.25,0.)); #511881=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #511882=CARTESIAN_POINT('',(42.325,25.25,0.052500000000002)); #511883=CARTESIAN_POINT('',(42.325,25.25,-200.)); #511884=CARTESIAN_POINT('Origin',(42.5,25.25,0.052500000000002)); #511885=CARTESIAN_POINT('Origin',(50.375,47.,-200.)); #511886=CARTESIAN_POINT('',(50.2,47.,0.)); #511887=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #511888=CARTESIAN_POINT('',(50.2,47.,0.052500000000002)); #511889=CARTESIAN_POINT('',(50.2,47.,-200.)); #511890=CARTESIAN_POINT('Origin',(50.375,47.,0.052500000000002)); #511891=CARTESIAN_POINT('Origin',(32.5,15.5,-200.)); #511892=CARTESIAN_POINT('',(32.325,15.5,0.)); #511893=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #511894=CARTESIAN_POINT('',(32.325,15.5,0.052500000000002)); #511895=CARTESIAN_POINT('',(32.325,15.5,-200.)); #511896=CARTESIAN_POINT('Origin',(32.5,15.5,0.052500000000002)); #511897=CARTESIAN_POINT('Origin',(51.25,47.75,-200.)); #511898=CARTESIAN_POINT('',(51.075,47.75,0.)); #511899=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #511900=CARTESIAN_POINT('',(51.075,47.75,0.052500000000002)); #511901=CARTESIAN_POINT('',(51.075,47.75,-200.)); #511902=CARTESIAN_POINT('Origin',(51.25,47.75,0.052500000000002)); #511903=CARTESIAN_POINT('Origin',(42.5,26.,-200.)); #511904=CARTESIAN_POINT('',(42.325,26.,0.)); #511905=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #511906=CARTESIAN_POINT('',(42.325,26.,0.052500000000002)); #511907=CARTESIAN_POINT('',(42.325,26.,-200.)); #511908=CARTESIAN_POINT('Origin',(42.5,26.,0.052500000000002)); #511909=CARTESIAN_POINT('Origin',(51.25,47.,-200.)); #511910=CARTESIAN_POINT('',(51.075,47.,0.)); #511911=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #511912=CARTESIAN_POINT('',(51.075,47.,0.052500000000002)); #511913=CARTESIAN_POINT('',(51.075,47.,-200.)); #511914=CARTESIAN_POINT('Origin',(51.25,47.,0.052500000000002)); #511915=CARTESIAN_POINT('Origin',(37.81,45.,-200.)); #511916=CARTESIAN_POINT('',(37.21,45.,0.)); #511917=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #511918=CARTESIAN_POINT('',(37.21,45.,0.052500000000002)); #511919=CARTESIAN_POINT('',(37.21,45.,-200.)); #511920=CARTESIAN_POINT('Origin',(37.81,45.,0.052500000000002)); #511921=CARTESIAN_POINT('Origin',(23.75,56.,-200.)); #511922=CARTESIAN_POINT('',(23.575,56.,0.)); #511923=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #511924=CARTESIAN_POINT('',(23.575,56.,0.052500000000002)); #511925=CARTESIAN_POINT('',(23.575,56.,-200.)); #511926=CARTESIAN_POINT('Origin',(23.75,56.,0.052500000000002)); #511927=CARTESIAN_POINT('Origin',(12.75,21.,-200.)); #511928=CARTESIAN_POINT('',(12.575,21.,0.)); #511929=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #511930=CARTESIAN_POINT('',(12.575,21.,0.052500000000002)); #511931=CARTESIAN_POINT('',(12.575,21.,-200.)); #511932=CARTESIAN_POINT('Origin',(12.75,21.,0.052500000000002)); #511933=CARTESIAN_POINT('Origin',(23.75,55.25,-200.)); #511934=CARTESIAN_POINT('',(23.575,55.25,0.)); #511935=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #511936=CARTESIAN_POINT('',(23.575,55.25,0.052500000000002)); #511937=CARTESIAN_POINT('',(23.575,55.25,-200.)); #511938=CARTESIAN_POINT('Origin',(23.75,55.25,0.052500000000002)); #511939=CARTESIAN_POINT('Origin',(33.25,19.25,-200.)); #511940=CARTESIAN_POINT('',(33.075,19.25,0.)); #511941=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #511942=CARTESIAN_POINT('',(33.075,19.25,0.052500000000002)); #511943=CARTESIAN_POINT('',(33.075,19.25,-200.)); #511944=CARTESIAN_POINT('Origin',(33.25,19.25,0.052500000000002)); #511945=CARTESIAN_POINT('Origin',(22.,56.75,-200.)); #511946=CARTESIAN_POINT('',(21.825,56.75,0.)); #511947=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #511948=CARTESIAN_POINT('',(21.825,56.75,0.052500000000002)); #511949=CARTESIAN_POINT('',(21.825,56.75,-200.)); #511950=CARTESIAN_POINT('Origin',(22.,56.75,0.052500000000002)); #511951=CARTESIAN_POINT('Origin',(56.,20.25,-200.)); #511952=CARTESIAN_POINT('',(55.825,20.25,0.)); #511953=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #511954=CARTESIAN_POINT('',(55.825,20.25,0.052500000000002)); #511955=CARTESIAN_POINT('',(55.825,20.25,-200.)); #511956=CARTESIAN_POINT('Origin',(56.,20.25,0.052500000000002)); #511957=CARTESIAN_POINT('Origin',(22.,55.25,-200.)); #511958=CARTESIAN_POINT('',(21.825,55.25,0.)); #511959=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #511960=CARTESIAN_POINT('',(21.825,55.25,0.052500000000002)); #511961=CARTESIAN_POINT('',(21.825,55.25,-200.)); #511962=CARTESIAN_POINT('Origin',(22.,55.25,0.052500000000002)); #511963=CARTESIAN_POINT('Origin',(36.5,8.75,-200.)); #511964=CARTESIAN_POINT('',(36.325,8.75,0.)); #511965=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #511966=CARTESIAN_POINT('',(36.325,8.75,0.052500000000002)); #511967=CARTESIAN_POINT('',(36.325,8.75,-200.)); #511968=CARTESIAN_POINT('Origin',(36.5,8.75,0.052500000000002)); #511969=CARTESIAN_POINT('Origin',(20.25,55.25,-200.)); #511970=CARTESIAN_POINT('',(20.075,55.25,0.)); #511971=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #511972=CARTESIAN_POINT('',(20.075,55.25,0.052500000000002)); #511973=CARTESIAN_POINT('',(20.075,55.25,-200.)); #511974=CARTESIAN_POINT('Origin',(20.25,55.25,0.052500000000002)); #511975=CARTESIAN_POINT('Origin',(56.,21.75,-200.)); #511976=CARTESIAN_POINT('',(55.825,21.75,0.)); #511977=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #511978=CARTESIAN_POINT('',(55.825,21.75,0.052500000000002)); #511979=CARTESIAN_POINT('',(55.825,21.75,-200.)); #511980=CARTESIAN_POINT('Origin',(56.,21.75,0.052500000000002)); #511981=CARTESIAN_POINT('Origin',(20.25,56.75,-200.)); #511982=CARTESIAN_POINT('',(20.075,56.75,0.)); #511983=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #511984=CARTESIAN_POINT('',(20.075,56.75,0.052500000000002)); #511985=CARTESIAN_POINT('',(20.075,56.75,-200.)); #511986=CARTESIAN_POINT('Origin',(20.25,56.75,0.052500000000002)); #511987=CARTESIAN_POINT('Origin',(32.5,19.25,-200.)); #511988=CARTESIAN_POINT('',(32.325,19.25,0.)); #511989=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #511990=CARTESIAN_POINT('',(32.325,19.25,0.052500000000002)); #511991=CARTESIAN_POINT('',(32.325,19.25,-200.)); #511992=CARTESIAN_POINT('Origin',(32.5,19.25,0.052500000000002)); #511993=CARTESIAN_POINT('Origin',(20.25,56.,-200.)); #511994=CARTESIAN_POINT('',(20.075,56.,0.)); #511995=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #511996=CARTESIAN_POINT('',(20.075,56.,0.052500000000002)); #511997=CARTESIAN_POINT('',(20.075,56.,-200.)); #511998=CARTESIAN_POINT('Origin',(20.25,56.,0.052500000000002)); #511999=CARTESIAN_POINT('Origin',(56.,21.,-200.)); #512000=CARTESIAN_POINT('',(55.825,21.,0.)); #512001=CARTESIAN_POINT('Origin',(56.,21.,0.)); #512002=CARTESIAN_POINT('',(55.825,21.,0.052500000000002)); #512003=CARTESIAN_POINT('',(55.825,21.,-200.)); #512004=CARTESIAN_POINT('Origin',(56.,21.,0.052500000000002)); #512005=CARTESIAN_POINT('Origin',(22.,56.,-200.)); #512006=CARTESIAN_POINT('',(21.825,56.,0.)); #512007=CARTESIAN_POINT('Origin',(22.,56.,0.)); #512008=CARTESIAN_POINT('',(21.825,56.,0.052500000000002)); #512009=CARTESIAN_POINT('',(21.825,56.,-200.)); #512010=CARTESIAN_POINT('Origin',(22.,56.,0.052500000000002)); #512011=CARTESIAN_POINT('Origin',(31.5,25.25,-200.)); #512012=CARTESIAN_POINT('',(31.,25.25,0.)); #512013=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #512014=CARTESIAN_POINT('',(31.,25.25,0.052500000000002)); #512015=CARTESIAN_POINT('',(31.,25.25,-200.)); #512016=CARTESIAN_POINT('Origin',(31.5,25.25,0.052500000000002)); #512017=CARTESIAN_POINT('Origin',(46.875,56.,-200.)); #512018=CARTESIAN_POINT('',(46.7,56.,0.)); #512019=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #512020=CARTESIAN_POINT('',(46.7,56.,0.052500000000002)); #512021=CARTESIAN_POINT('',(46.7,56.,-200.)); #512022=CARTESIAN_POINT('Origin',(46.875,56.,0.052500000000002)); #512023=CARTESIAN_POINT('Origin',(54.5,20.25,-200.)); #512024=CARTESIAN_POINT('',(54.325,20.25,0.)); #512025=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #512026=CARTESIAN_POINT('',(54.325,20.25,0.052500000000002)); #512027=CARTESIAN_POINT('',(54.325,20.25,-200.)); #512028=CARTESIAN_POINT('Origin',(54.5,20.25,0.052500000000002)); #512029=CARTESIAN_POINT('Origin',(46.875,55.25,-200.)); #512030=CARTESIAN_POINT('',(46.7,55.25,0.)); #512031=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #512032=CARTESIAN_POINT('',(46.7,55.25,0.052500000000002)); #512033=CARTESIAN_POINT('',(46.7,55.25,-200.)); #512034=CARTESIAN_POINT('Origin',(46.875,55.25,0.052500000000002)); #512035=CARTESIAN_POINT('Origin',(35.5,16.25,-200.)); #512036=CARTESIAN_POINT('',(35.325,16.25,0.)); #512037=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #512038=CARTESIAN_POINT('',(35.325,16.25,0.052500000000002)); #512039=CARTESIAN_POINT('',(35.325,16.25,-200.)); #512040=CARTESIAN_POINT('Origin',(35.5,16.25,0.052500000000002)); #512041=CARTESIAN_POINT('Origin',(45.125,56.,-200.)); #512042=CARTESIAN_POINT('',(44.95,56.,0.)); #512043=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #512044=CARTESIAN_POINT('',(44.95,56.,0.052500000000002)); #512045=CARTESIAN_POINT('',(44.95,56.,-200.)); #512046=CARTESIAN_POINT('Origin',(45.125,56.,0.052500000000002)); #512047=CARTESIAN_POINT('Origin',(55.25,20.25,-200.)); #512048=CARTESIAN_POINT('',(55.075,20.25,0.)); #512049=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #512050=CARTESIAN_POINT('',(55.075,20.25,0.052500000000002)); #512051=CARTESIAN_POINT('',(55.075,20.25,-200.)); #512052=CARTESIAN_POINT('Origin',(55.25,20.25,0.052500000000002)); #512053=CARTESIAN_POINT('Origin',(45.125,55.25,-200.)); #512054=CARTESIAN_POINT('',(44.95,55.25,0.)); #512055=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #512056=CARTESIAN_POINT('',(44.95,55.25,0.052500000000002)); #512057=CARTESIAN_POINT('',(44.95,55.25,-200.)); #512058=CARTESIAN_POINT('Origin',(45.125,55.25,0.052500000000002)); #512059=CARTESIAN_POINT('Origin',(31.5,9.5,-200.)); #512060=CARTESIAN_POINT('',(31.325,9.5,0.)); #512061=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #512062=CARTESIAN_POINT('',(31.325,9.5,0.052500000000002)); #512063=CARTESIAN_POINT('',(31.325,9.5,-200.)); #512064=CARTESIAN_POINT('Origin',(31.5,9.5,0.052500000000002)); #512065=CARTESIAN_POINT('Origin',(38.512,53.,-200.)); #512066=CARTESIAN_POINT('',(38.337,53.,0.)); #512067=CARTESIAN_POINT('Origin',(38.512,53.,0.)); #512068=CARTESIAN_POINT('',(38.337,53.,0.052500000000002)); #512069=CARTESIAN_POINT('',(38.337,53.,-200.)); #512070=CARTESIAN_POINT('Origin',(38.512,53.,0.052500000000002)); #512071=CARTESIAN_POINT('Origin',(54.5,21.,-200.)); #512072=CARTESIAN_POINT('',(54.325,21.,0.)); #512073=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #512074=CARTESIAN_POINT('',(54.325,21.,0.052500000000002)); #512075=CARTESIAN_POINT('',(54.325,21.,-200.)); #512076=CARTESIAN_POINT('Origin',(54.5,21.,0.052500000000002)); #512077=CARTESIAN_POINT('Origin',(46.875,56.75,-200.)); #512078=CARTESIAN_POINT('',(46.7,56.75,0.)); #512079=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #512080=CARTESIAN_POINT('',(46.7,56.75,0.052500000000002)); #512081=CARTESIAN_POINT('',(46.7,56.75,-200.)); #512082=CARTESIAN_POINT('Origin',(46.875,56.75,0.052500000000002)); #512083=CARTESIAN_POINT('Origin',(35.5,17.,-200.)); #512084=CARTESIAN_POINT('',(35.325,17.,0.)); #512085=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #512086=CARTESIAN_POINT('',(35.325,17.,0.052500000000002)); #512087=CARTESIAN_POINT('',(35.325,17.,-200.)); #512088=CARTESIAN_POINT('Origin',(35.5,17.,0.052500000000002)); #512089=CARTESIAN_POINT('Origin',(38.75,36.75,-200.)); #512090=CARTESIAN_POINT('',(38.575,36.75,0.)); #512091=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #512092=CARTESIAN_POINT('',(38.575,36.75,0.052500000000002)); #512093=CARTESIAN_POINT('',(38.575,36.75,-200.)); #512094=CARTESIAN_POINT('Origin',(38.75,36.75,0.052500000000002)); #512095=CARTESIAN_POINT('Origin',(54.5,21.75,-200.)); #512096=CARTESIAN_POINT('',(54.325,21.75,0.)); #512097=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #512098=CARTESIAN_POINT('',(54.325,21.75,0.052500000000002)); #512099=CARTESIAN_POINT('',(54.325,21.75,-200.)); #512100=CARTESIAN_POINT('Origin',(54.5,21.75,0.052500000000002)); #512101=CARTESIAN_POINT('Origin',(37.1875,36.75,-200.)); #512102=CARTESIAN_POINT('',(37.0125,36.75,0.)); #512103=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #512104=CARTESIAN_POINT('',(37.0125,36.75,0.052500000000002)); #512105=CARTESIAN_POINT('',(37.0125,36.75,-200.)); #512106=CARTESIAN_POINT('Origin',(37.1875,36.75,0.052500000000002)); #512107=CARTESIAN_POINT('Origin',(46.25,34.,-200.)); #512108=CARTESIAN_POINT('',(45.7,34.,0.)); #512109=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #512110=CARTESIAN_POINT('',(45.7,34.,0.052500000000002)); #512111=CARTESIAN_POINT('',(45.7,34.,-200.)); #512112=CARTESIAN_POINT('Origin',(46.25,34.,0.052500000000002)); #512113=CARTESIAN_POINT('Origin',(29.488,53.,-200.)); #512114=CARTESIAN_POINT('',(29.313,53.,0.)); #512115=CARTESIAN_POINT('Origin',(29.488,53.,0.)); #512116=CARTESIAN_POINT('',(29.313,53.,0.052500000000002)); #512117=CARTESIAN_POINT('',(29.313,53.,-200.)); #512118=CARTESIAN_POINT('Origin',(29.488,53.,0.052500000000002)); #512119=CARTESIAN_POINT('Origin',(12.,21.,-200.)); #512120=CARTESIAN_POINT('',(11.825,21.,0.)); #512121=CARTESIAN_POINT('Origin',(12.,21.,0.)); #512122=CARTESIAN_POINT('',(11.825,21.,0.052500000000002)); #512123=CARTESIAN_POINT('',(11.825,21.,-200.)); #512124=CARTESIAN_POINT('Origin',(12.,21.,0.052500000000002)); #512125=CARTESIAN_POINT('Origin',(21.125,56.75,-200.)); #512126=CARTESIAN_POINT('',(20.95,56.75,0.)); #512127=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #512128=CARTESIAN_POINT('',(20.95,56.75,0.052500000000002)); #512129=CARTESIAN_POINT('',(20.95,56.75,-200.)); #512130=CARTESIAN_POINT('Origin',(21.125,56.75,0.052500000000002)); #512131=CARTESIAN_POINT('Origin',(34.75,19.25,-200.)); #512132=CARTESIAN_POINT('',(34.575,19.25,0.)); #512133=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #512134=CARTESIAN_POINT('',(34.575,19.25,0.052500000000002)); #512135=CARTESIAN_POINT('',(34.575,19.25,-200.)); #512136=CARTESIAN_POINT('Origin',(34.75,19.25,0.052500000000002)); #512137=CARTESIAN_POINT('Origin',(21.125,55.25,-200.)); #512138=CARTESIAN_POINT('',(20.95,55.25,0.)); #512139=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #512140=CARTESIAN_POINT('',(20.95,55.25,0.052500000000002)); #512141=CARTESIAN_POINT('',(20.95,55.25,-200.)); #512142=CARTESIAN_POINT('Origin',(21.125,55.25,0.052500000000002)); #512143=CARTESIAN_POINT('Origin',(12.,20.25,-200.)); #512144=CARTESIAN_POINT('',(11.825,20.25,0.)); #512145=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #512146=CARTESIAN_POINT('',(11.825,20.25,0.052500000000002)); #512147=CARTESIAN_POINT('',(11.825,20.25,-200.)); #512148=CARTESIAN_POINT('Origin',(12.,20.25,0.052500000000002)); #512149=CARTESIAN_POINT('Origin',(21.125,56.,-200.)); #512150=CARTESIAN_POINT('',(20.95,56.,0.)); #512151=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #512152=CARTESIAN_POINT('',(20.95,56.,0.052500000000002)); #512153=CARTESIAN_POINT('',(20.95,56.,-200.)); #512154=CARTESIAN_POINT('Origin',(21.125,56.,0.052500000000002)); #512155=CARTESIAN_POINT('Origin',(36.5,9.5,-200.)); #512156=CARTESIAN_POINT('',(36.325,9.5,0.)); #512157=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #512158=CARTESIAN_POINT('',(36.325,9.5,0.052500000000002)); #512159=CARTESIAN_POINT('',(36.325,9.5,-200.)); #512160=CARTESIAN_POINT('Origin',(36.5,9.5,0.052500000000002)); #512161=CARTESIAN_POINT('Origin',(22.875,56.75,-200.)); #512162=CARTESIAN_POINT('',(22.7,56.75,0.)); #512163=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #512164=CARTESIAN_POINT('',(22.7,56.75,0.052500000000002)); #512165=CARTESIAN_POINT('',(22.7,56.75,-200.)); #512166=CARTESIAN_POINT('Origin',(22.875,56.75,0.052500000000002)); #512167=CARTESIAN_POINT('Origin',(12.75,21.75,-200.)); #512168=CARTESIAN_POINT('',(12.575,21.75,0.)); #512169=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #512170=CARTESIAN_POINT('',(12.575,21.75,0.052500000000002)); #512171=CARTESIAN_POINT('',(12.575,21.75,-200.)); #512172=CARTESIAN_POINT('Origin',(12.75,21.75,0.052500000000002)); #512173=CARTESIAN_POINT('Origin',(23.75,56.75,-200.)); #512174=CARTESIAN_POINT('',(23.575,56.75,0.)); #512175=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #512176=CARTESIAN_POINT('',(23.575,56.75,0.052500000000002)); #512177=CARTESIAN_POINT('',(23.575,56.75,-200.)); #512178=CARTESIAN_POINT('Origin',(23.75,56.75,0.052500000000002)); #512179=CARTESIAN_POINT('Origin',(34.,19.25,-200.)); #512180=CARTESIAN_POINT('',(33.825,19.25,0.)); #512181=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #512182=CARTESIAN_POINT('',(33.825,19.25,0.052500000000002)); #512183=CARTESIAN_POINT('',(33.825,19.25,-200.)); #512184=CARTESIAN_POINT('Origin',(34.,19.25,0.052500000000002)); #512185=CARTESIAN_POINT('Origin',(22.875,56.,-200.)); #512186=CARTESIAN_POINT('',(22.7,56.,0.)); #512187=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #512188=CARTESIAN_POINT('',(22.7,56.,0.052500000000002)); #512189=CARTESIAN_POINT('',(22.7,56.,-200.)); #512190=CARTESIAN_POINT('Origin',(22.875,56.,0.052500000000002)); #512191=CARTESIAN_POINT('Origin',(12.75,20.25,-200.)); #512192=CARTESIAN_POINT('',(12.575,20.25,0.)); #512193=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #512194=CARTESIAN_POINT('',(12.575,20.25,0.052500000000002)); #512195=CARTESIAN_POINT('',(12.575,20.25,-200.)); #512196=CARTESIAN_POINT('Origin',(12.75,20.25,0.052500000000002)); #512197=CARTESIAN_POINT('Origin',(22.875,55.25,-200.)); #512198=CARTESIAN_POINT('',(22.7,55.25,0.)); #512199=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #512200=CARTESIAN_POINT('',(22.7,55.25,0.052500000000002)); #512201=CARTESIAN_POINT('',(22.7,55.25,-200.)); #512202=CARTESIAN_POINT('Origin',(22.875,55.25,0.052500000000002)); #512203=CARTESIAN_POINT('Origin',(36.5,27.75,-200.)); #512204=CARTESIAN_POINT('',(36.,27.75,0.)); #512205=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #512206=CARTESIAN_POINT('',(36.,27.75,0.052500000000002)); #512207=CARTESIAN_POINT('',(36.,27.75,-200.)); #512208=CARTESIAN_POINT('Origin',(36.5,27.75,0.052500000000002)); #512209=CARTESIAN_POINT('Origin',(23.905,49.75,-200.)); #512210=CARTESIAN_POINT('',(23.73,49.75,0.)); #512211=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #512212=CARTESIAN_POINT('',(23.73,49.75,0.052500000000002)); #512213=CARTESIAN_POINT('',(23.73,49.75,-200.)); #512214=CARTESIAN_POINT('Origin',(23.905,49.75,0.052500000000002)); #512215=CARTESIAN_POINT('Origin',(13.5,21.75,-200.)); #512216=CARTESIAN_POINT('',(13.325,21.75,0.)); #512217=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #512218=CARTESIAN_POINT('',(13.325,21.75,0.052500000000002)); #512219=CARTESIAN_POINT('',(13.325,21.75,-200.)); #512220=CARTESIAN_POINT('Origin',(13.5,21.75,0.052500000000002)); #512221=CARTESIAN_POINT('Origin',(47.905,58.75,-200.)); #512222=CARTESIAN_POINT('',(47.73,58.75,0.)); #512223=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #512224=CARTESIAN_POINT('',(47.73,58.75,0.052500000000002)); #512225=CARTESIAN_POINT('',(47.73,58.75,-200.)); #512226=CARTESIAN_POINT('Origin',(47.905,58.75,0.052500000000002)); #512227=CARTESIAN_POINT('Origin',(35.5,19.25,-200.)); #512228=CARTESIAN_POINT('',(35.325,19.25,0.)); #512229=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #512230=CARTESIAN_POINT('',(35.325,19.25,0.052500000000002)); #512231=CARTESIAN_POINT('',(35.325,19.25,-200.)); #512232=CARTESIAN_POINT('Origin',(35.5,19.25,0.052500000000002)); #512233=CARTESIAN_POINT('Origin',(29.25,36.75,-200.)); #512234=CARTESIAN_POINT('',(29.075,36.75,0.)); #512235=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #512236=CARTESIAN_POINT('',(29.075,36.75,0.052500000000002)); #512237=CARTESIAN_POINT('',(29.075,36.75,-200.)); #512238=CARTESIAN_POINT('Origin',(29.25,36.75,0.052500000000002)); #512239=CARTESIAN_POINT('Origin',(12.,21.75,-200.)); #512240=CARTESIAN_POINT('',(11.825,21.75,0.)); #512241=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #512242=CARTESIAN_POINT('',(11.825,21.75,0.052500000000002)); #512243=CARTESIAN_POINT('',(11.825,21.75,-200.)); #512244=CARTESIAN_POINT('Origin',(12.,21.75,0.052500000000002)); #512245=CARTESIAN_POINT('Origin',(30.8125,36.75,-200.)); #512246=CARTESIAN_POINT('',(30.6375,36.75,0.)); #512247=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #512248=CARTESIAN_POINT('',(30.6375,36.75,0.052500000000002)); #512249=CARTESIAN_POINT('',(30.6375,36.75,-200.)); #512250=CARTESIAN_POINT('Origin',(30.8125,36.75,0.052500000000002)); #512251=CARTESIAN_POINT('Origin',(37.25,9.5,-200.)); #512252=CARTESIAN_POINT('',(37.075,9.5,0.)); #512253=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #512254=CARTESIAN_POINT('',(37.075,9.5,0.052500000000002)); #512255=CARTESIAN_POINT('',(37.075,9.5,-200.)); #512256=CARTESIAN_POINT('Origin',(37.25,9.5,0.052500000000002)); #512257=CARTESIAN_POINT('Origin',(47.905,49.75,-200.)); #512258=CARTESIAN_POINT('',(47.73,49.75,0.)); #512259=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #512260=CARTESIAN_POINT('',(47.73,49.75,0.052500000000002)); #512261=CARTESIAN_POINT('',(47.73,49.75,-200.)); #512262=CARTESIAN_POINT('Origin',(47.905,49.75,0.052500000000002)); #512263=CARTESIAN_POINT('Origin',(13.5,21.,-200.)); #512264=CARTESIAN_POINT('',(13.325,21.,0.)); #512265=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #512266=CARTESIAN_POINT('',(13.325,21.,0.052500000000002)); #512267=CARTESIAN_POINT('',(13.325,21.,-200.)); #512268=CARTESIAN_POINT('Origin',(13.5,21.,0.052500000000002)); #512269=CARTESIAN_POINT('Origin',(23.905,58.75,-200.)); #512270=CARTESIAN_POINT('',(23.73,58.75,0.)); #512271=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #512272=CARTESIAN_POINT('',(23.73,58.75,0.052500000000002)); #512273=CARTESIAN_POINT('',(23.73,58.75,-200.)); #512274=CARTESIAN_POINT('Origin',(23.905,58.75,0.052500000000002)); #512275=CARTESIAN_POINT('Origin',(36.25,19.25,-200.)); #512276=CARTESIAN_POINT('',(36.075,19.25,0.)); #512277=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #512278=CARTESIAN_POINT('',(36.075,19.25,0.052500000000002)); #512279=CARTESIAN_POINT('',(36.075,19.25,-200.)); #512280=CARTESIAN_POINT('Origin',(36.25,19.25,0.052500000000002)); #512281=CARTESIAN_POINT('Origin',(18.5,47.,-200.)); #512282=CARTESIAN_POINT('',(18.325,47.,0.)); #512283=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #512284=CARTESIAN_POINT('',(18.325,47.,0.052500000000002)); #512285=CARTESIAN_POINT('',(18.325,47.,-200.)); #512286=CARTESIAN_POINT('Origin',(18.5,47.,0.052500000000002)); #512287=CARTESIAN_POINT('Origin',(13.5,20.25,-200.)); #512288=CARTESIAN_POINT('',(13.325,20.25,0.)); #512289=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #512290=CARTESIAN_POINT('',(13.325,20.25,0.052500000000002)); #512291=CARTESIAN_POINT('',(13.325,20.25,-200.)); #512292=CARTESIAN_POINT('Origin',(13.5,20.25,0.052500000000002)); #512293=CARTESIAN_POINT('Origin',(18.5,46.25,-200.)); #512294=CARTESIAN_POINT('',(18.325,46.25,0.)); #512295=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #512296=CARTESIAN_POINT('',(18.325,46.25,0.052500000000002)); #512297=CARTESIAN_POINT('',(18.325,46.25,-200.)); #512298=CARTESIAN_POINT('Origin',(18.5,46.25,0.052500000000002)); #512299=CARTESIAN_POINT('Origin',(34.,34.,0.)); #512300=CARTESIAN_POINT('',(0.,34.,0.0525)); #512301=CARTESIAN_POINT('Origin',(34.,34.,0.0525)); #512302=CARTESIAN_POINT('',(0.,34.,0.)); #512303=CARTESIAN_POINT('',(0.,34.,0.)); #512304=CARTESIAN_POINT('Origin',(34.,34.,0.)); #512305=CARTESIAN_POINT('Origin',(34.,34.,0.0525)); #512306=CARTESIAN_POINT('Origin',(34.,34.,0.)); #512307=CARTESIAN_POINT('',(0.,0.,0.)); #512308=CARTESIAN_POINT('Origin',(31.11,6.18,-0.803)); #512309=CARTESIAN_POINT('',(30.785,6.18,0.)); #512310=CARTESIAN_POINT('Origin',(31.11,6.18,0.)); #512311=CARTESIAN_POINT('',(30.785,6.18,-0.0525)); #512312=CARTESIAN_POINT('',(30.785,6.18,-0.803)); #512313=CARTESIAN_POINT('Origin',(31.11,6.18,-0.0525)); #512314=CARTESIAN_POINT('Origin',(36.89,6.18,-0.803)); #512315=CARTESIAN_POINT('',(36.565,6.18,0.)); #512316=CARTESIAN_POINT('Origin',(36.89,6.18,0.)); #512317=CARTESIAN_POINT('',(36.565,6.18,-0.0525)); #512318=CARTESIAN_POINT('',(36.565,6.18,-0.803)); #512319=CARTESIAN_POINT('Origin',(36.89,6.18,-0.0525)); #512320=CARTESIAN_POINT('Origin',(30.19,45.,-200.)); #512321=CARTESIAN_POINT('',(29.59,45.,-0.052500000000002)); #512322=CARTESIAN_POINT('Origin',(30.19,45.,-0.052500000000002)); #512323=CARTESIAN_POINT('',(29.59,45.,0.)); #512324=CARTESIAN_POINT('',(29.59,45.,-200.)); #512325=CARTESIAN_POINT('Origin',(30.19,45.,0.)); #512326=CARTESIAN_POINT('Origin',(45.125,47.75,-200.)); #512327=CARTESIAN_POINT('',(44.95,47.75,-0.052500000000002)); #512328=CARTESIAN_POINT('Origin',(45.125,47.75,-0.052500000000002)); #512329=CARTESIAN_POINT('',(44.95,47.75,0.)); #512330=CARTESIAN_POINT('',(44.95,47.75,-200.)); #512331=CARTESIAN_POINT('Origin',(45.125,47.75,0.)); #512332=CARTESIAN_POINT('Origin',(41.,25.25,-200.)); #512333=CARTESIAN_POINT('',(40.825,25.25,-0.052500000000002)); #512334=CARTESIAN_POINT('Origin',(41.,25.25,-0.052500000000002)); #512335=CARTESIAN_POINT('',(40.825,25.25,0.)); #512336=CARTESIAN_POINT('',(40.825,25.25,-200.)); #512337=CARTESIAN_POINT('Origin',(41.,25.25,0.)); #512338=CARTESIAN_POINT('Origin',(46.,47.,-200.)); #512339=CARTESIAN_POINT('',(45.825,47.,-0.052500000000002)); #512340=CARTESIAN_POINT('Origin',(46.,47.,-0.052500000000002)); #512341=CARTESIAN_POINT('',(45.825,47.,0.)); #512342=CARTESIAN_POINT('',(45.825,47.,-200.)); #512343=CARTESIAN_POINT('Origin',(46.,47.,0.)); #512344=CARTESIAN_POINT('Origin',(32.5,18.5,-200.)); #512345=CARTESIAN_POINT('',(32.325,18.5,-0.052500000000002)); #512346=CARTESIAN_POINT('Origin',(32.5,18.5,-0.052500000000002)); #512347=CARTESIAN_POINT('',(32.325,18.5,0.)); #512348=CARTESIAN_POINT('',(32.325,18.5,-200.)); #512349=CARTESIAN_POINT('Origin',(32.5,18.5,0.)); #512350=CARTESIAN_POINT('Origin',(46.,47.75,-200.)); #512351=CARTESIAN_POINT('',(45.825,47.75,-0.052500000000002)); #512352=CARTESIAN_POINT('Origin',(46.,47.75,-0.052500000000002)); #512353=CARTESIAN_POINT('',(45.825,47.75,0.)); #512354=CARTESIAN_POINT('',(45.825,47.75,-200.)); #512355=CARTESIAN_POINT('Origin',(46.,47.75,0.)); #512356=CARTESIAN_POINT('Origin',(33.25,48.5,-200.)); #512357=CARTESIAN_POINT('',(33.075,48.5,-0.052500000000002)); #512358=CARTESIAN_POINT('Origin',(33.25,48.5,-0.052500000000002)); #512359=CARTESIAN_POINT('',(33.075,48.5,0.)); #512360=CARTESIAN_POINT('',(33.075,48.5,-200.)); #512361=CARTESIAN_POINT('Origin',(33.25,48.5,0.)); #512362=CARTESIAN_POINT('Origin',(47.75,47.75,-200.)); #512363=CARTESIAN_POINT('',(47.575,47.75,-0.052500000000002)); #512364=CARTESIAN_POINT('Origin',(47.75,47.75,-0.052500000000002)); #512365=CARTESIAN_POINT('',(47.575,47.75,0.)); #512366=CARTESIAN_POINT('',(47.575,47.75,-200.)); #512367=CARTESIAN_POINT('Origin',(47.75,47.75,0.)); #512368=CARTESIAN_POINT('Origin',(33.25,5.5,-200.)); #512369=CARTESIAN_POINT('',(33.075,5.5,-0.052500000000002)); #512370=CARTESIAN_POINT('Origin',(33.25,5.5,-0.052500000000002)); #512371=CARTESIAN_POINT('',(33.075,5.5,0.)); #512372=CARTESIAN_POINT('',(33.075,5.5,-200.)); #512373=CARTESIAN_POINT('Origin',(33.25,5.5,0.)); #512374=CARTESIAN_POINT('Origin',(47.75,46.25,-200.)); #512375=CARTESIAN_POINT('',(47.575,46.25,-0.052500000000002)); #512376=CARTESIAN_POINT('Origin',(47.75,46.25,-0.052500000000002)); #512377=CARTESIAN_POINT('',(47.575,46.25,0.)); #512378=CARTESIAN_POINT('',(47.575,46.25,-200.)); #512379=CARTESIAN_POINT('Origin',(47.75,46.25,0.)); #512380=CARTESIAN_POINT('Origin',(33.25,50.,-200.)); #512381=CARTESIAN_POINT('',(33.075,50.,-0.052500000000002)); #512382=CARTESIAN_POINT('Origin',(33.25,50.,-0.052500000000002)); #512383=CARTESIAN_POINT('',(33.075,50.,0.)); #512384=CARTESIAN_POINT('',(33.075,50.,-200.)); #512385=CARTESIAN_POINT('Origin',(33.25,50.,0.)); #512386=CARTESIAN_POINT('Origin',(46.,46.25,-200.)); #512387=CARTESIAN_POINT('',(45.825,46.25,-0.052500000000002)); #512388=CARTESIAN_POINT('Origin',(46.,46.25,-0.052500000000002)); #512389=CARTESIAN_POINT('',(45.825,46.25,0.)); #512390=CARTESIAN_POINT('',(45.825,46.25,-200.)); #512391=CARTESIAN_POINT('Origin',(46.,46.25,0.)); #512392=CARTESIAN_POINT('Origin',(33.25,18.5,-200.)); #512393=CARTESIAN_POINT('',(33.075,18.5,-0.052500000000002)); #512394=CARTESIAN_POINT('Origin',(33.25,18.5,-0.052500000000002)); #512395=CARTESIAN_POINT('',(33.075,18.5,0.)); #512396=CARTESIAN_POINT('',(33.075,18.5,-200.)); #512397=CARTESIAN_POINT('Origin',(33.25,18.5,0.)); #512398=CARTESIAN_POINT('Origin',(47.75,47.,-200.)); #512399=CARTESIAN_POINT('',(47.575,47.,-0.052500000000002)); #512400=CARTESIAN_POINT('Origin',(47.75,47.,-0.052500000000002)); #512401=CARTESIAN_POINT('',(47.575,47.,0.)); #512402=CARTESIAN_POINT('',(47.575,47.,-200.)); #512403=CARTESIAN_POINT('Origin',(47.75,47.,0.)); #512404=CARTESIAN_POINT('Origin',(33.25,49.25,-200.)); #512405=CARTESIAN_POINT('',(33.075,49.25,-0.052500000000002)); #512406=CARTESIAN_POINT('Origin',(33.25,49.25,-0.052500000000002)); #512407=CARTESIAN_POINT('',(33.075,49.25,0.)); #512408=CARTESIAN_POINT('',(33.075,49.25,-200.)); #512409=CARTESIAN_POINT('Origin',(33.25,49.25,0.)); #512410=CARTESIAN_POINT('Origin',(44.25,47.,-200.)); #512411=CARTESIAN_POINT('',(44.075,47.,-0.052500000000002)); #512412=CARTESIAN_POINT('Origin',(44.25,47.,-0.052500000000002)); #512413=CARTESIAN_POINT('',(44.075,47.,0.)); #512414=CARTESIAN_POINT('',(44.075,47.,-200.)); #512415=CARTESIAN_POINT('Origin',(44.25,47.,0.)); #512416=CARTESIAN_POINT('Origin',(12.25,34.,-200.)); #512417=CARTESIAN_POINT('',(11.75,34.,-0.052500000000002)); #512418=CARTESIAN_POINT('Origin',(12.25,34.,-0.052500000000002)); #512419=CARTESIAN_POINT('',(11.75,34.,0.)); #512420=CARTESIAN_POINT('',(11.75,34.,-200.)); #512421=CARTESIAN_POINT('Origin',(12.25,34.,0.)); #512422=CARTESIAN_POINT('Origin',(53.5,20.25,-200.)); #512423=CARTESIAN_POINT('',(53.325,20.25,-0.052500000000002)); #512424=CARTESIAN_POINT('Origin',(53.5,20.25,-0.052500000000002)); #512425=CARTESIAN_POINT('',(53.325,20.25,0.)); #512426=CARTESIAN_POINT('',(53.325,20.25,-200.)); #512427=CARTESIAN_POINT('Origin',(53.5,20.25,0.)); #512428=CARTESIAN_POINT('Origin',(34.75,48.5,-200.)); #512429=CARTESIAN_POINT('',(34.575,48.5,-0.052500000000002)); #512430=CARTESIAN_POINT('Origin',(34.75,48.5,-0.052500000000002)); #512431=CARTESIAN_POINT('',(34.575,48.5,0.)); #512432=CARTESIAN_POINT('',(34.575,48.5,-200.)); #512433=CARTESIAN_POINT('Origin',(34.75,48.5,0.)); #512434=CARTESIAN_POINT('Origin',(52.75,20.25,-200.)); #512435=CARTESIAN_POINT('',(52.575,20.25,-0.052500000000002)); #512436=CARTESIAN_POINT('Origin',(52.75,20.25,-0.052500000000002)); #512437=CARTESIAN_POINT('',(52.575,20.25,0.)); #512438=CARTESIAN_POINT('',(52.575,20.25,-200.)); #512439=CARTESIAN_POINT('Origin',(52.75,20.25,0.)); #512440=CARTESIAN_POINT('Origin',(34.75,18.5,-200.)); #512441=CARTESIAN_POINT('',(34.575,18.5,-0.052500000000002)); #512442=CARTESIAN_POINT('Origin',(34.75,18.5,-0.052500000000002)); #512443=CARTESIAN_POINT('',(34.575,18.5,0.)); #512444=CARTESIAN_POINT('',(34.575,18.5,-200.)); #512445=CARTESIAN_POINT('Origin',(34.75,18.5,0.)); #512446=CARTESIAN_POINT('Origin',(52.,21.75,-200.)); #512447=CARTESIAN_POINT('',(51.825,21.75,-0.052500000000002)); #512448=CARTESIAN_POINT('Origin',(52.,21.75,-0.052500000000002)); #512449=CARTESIAN_POINT('',(51.825,21.75,0.)); #512450=CARTESIAN_POINT('',(51.825,21.75,-200.)); #512451=CARTESIAN_POINT('Origin',(52.,21.75,0.)); #512452=CARTESIAN_POINT('Origin',(34.,48.5,-200.)); #512453=CARTESIAN_POINT('',(33.825,48.5,-0.052500000000002)); #512454=CARTESIAN_POINT('Origin',(34.,48.5,-0.052500000000002)); #512455=CARTESIAN_POINT('',(33.825,48.5,0.)); #512456=CARTESIAN_POINT('',(33.825,48.5,-200.)); #512457=CARTESIAN_POINT('Origin',(34.,48.5,0.)); #512458=CARTESIAN_POINT('Origin',(52.,20.25,-200.)); #512459=CARTESIAN_POINT('',(51.825,20.25,-0.052500000000002)); #512460=CARTESIAN_POINT('Origin',(52.,20.25,-0.052500000000002)); #512461=CARTESIAN_POINT('',(51.825,20.25,0.)); #512462=CARTESIAN_POINT('',(51.825,20.25,-200.)); #512463=CARTESIAN_POINT('Origin',(52.,20.25,0.)); #512464=CARTESIAN_POINT('Origin',(34.,5.5,-200.)); #512465=CARTESIAN_POINT('',(33.825,5.5,-0.052500000000002)); #512466=CARTESIAN_POINT('Origin',(34.,5.5,-0.052500000000002)); #512467=CARTESIAN_POINT('',(33.825,5.5,0.)); #512468=CARTESIAN_POINT('',(33.825,5.5,-200.)); #512469=CARTESIAN_POINT('Origin',(34.,5.5,0.)); #512470=CARTESIAN_POINT('Origin',(42.,31.,-200.)); #512471=CARTESIAN_POINT('',(41.825,31.,-0.052500000000002)); #512472=CARTESIAN_POINT('Origin',(42.,31.,-0.052500000000002)); #512473=CARTESIAN_POINT('',(41.825,31.,0.)); #512474=CARTESIAN_POINT('',(41.825,31.,-200.)); #512475=CARTESIAN_POINT('Origin',(42.,31.,0.)); #512476=CARTESIAN_POINT('Origin',(34.75,50.,-200.)); #512477=CARTESIAN_POINT('',(34.575,50.,-0.052500000000002)); #512478=CARTESIAN_POINT('Origin',(34.75,50.,-0.052500000000002)); #512479=CARTESIAN_POINT('',(34.575,50.,0.)); #512480=CARTESIAN_POINT('',(34.575,50.,-200.)); #512481=CARTESIAN_POINT('Origin',(34.75,50.,0.)); #512482=CARTESIAN_POINT('Origin',(42.,36.,-200.)); #512483=CARTESIAN_POINT('',(41.825,36.,-0.052500000000002)); #512484=CARTESIAN_POINT('Origin',(42.,36.,-0.052500000000002)); #512485=CARTESIAN_POINT('',(41.825,36.,0.)); #512486=CARTESIAN_POINT('',(41.825,36.,-200.)); #512487=CARTESIAN_POINT('Origin',(42.,36.,0.)); #512488=CARTESIAN_POINT('Origin',(34.,18.5,-200.)); #512489=CARTESIAN_POINT('',(33.825,18.5,-0.052500000000002)); #512490=CARTESIAN_POINT('Origin',(34.,18.5,-0.052500000000002)); #512491=CARTESIAN_POINT('',(33.825,18.5,0.)); #512492=CARTESIAN_POINT('',(33.825,18.5,-200.)); #512493=CARTESIAN_POINT('Origin',(34.,18.5,0.)); #512494=CARTESIAN_POINT('Origin',(44.25,47.75,-200.)); #512495=CARTESIAN_POINT('',(44.075,47.75,-0.052500000000002)); #512496=CARTESIAN_POINT('Origin',(44.25,47.75,-0.052500000000002)); #512497=CARTESIAN_POINT('',(44.075,47.75,0.)); #512498=CARTESIAN_POINT('',(44.075,47.75,-200.)); #512499=CARTESIAN_POINT('Origin',(44.25,47.75,0.)); #512500=CARTESIAN_POINT('Origin',(34.,50.,-200.)); #512501=CARTESIAN_POINT('',(33.825,50.,-0.052500000000002)); #512502=CARTESIAN_POINT('Origin',(34.,50.,-0.052500000000002)); #512503=CARTESIAN_POINT('',(33.825,50.,0.)); #512504=CARTESIAN_POINT('',(33.825,50.,-200.)); #512505=CARTESIAN_POINT('Origin',(34.,50.,0.)); #512506=CARTESIAN_POINT('Origin',(44.25,46.25,-200.)); #512507=CARTESIAN_POINT('',(44.075,46.25,-0.052500000000002)); #512508=CARTESIAN_POINT('Origin',(44.25,46.25,-0.052500000000002)); #512509=CARTESIAN_POINT('',(44.075,46.25,0.)); #512510=CARTESIAN_POINT('',(44.075,46.25,-200.)); #512511=CARTESIAN_POINT('Origin',(44.25,46.25,0.)); #512512=CARTESIAN_POINT('Origin',(24.25,34.,-200.)); #512513=CARTESIAN_POINT('',(23.7,34.,-0.052500000000002)); #512514=CARTESIAN_POINT('Origin',(24.25,34.,-0.052500000000002)); #512515=CARTESIAN_POINT('',(23.7,34.,0.)); #512516=CARTESIAN_POINT('',(23.7,34.,-200.)); #512517=CARTESIAN_POINT('Origin',(24.25,34.,0.)); #512518=CARTESIAN_POINT('Origin',(14.5,21.75,-200.)); #512519=CARTESIAN_POINT('',(14.325,21.75,-0.052500000000002)); #512520=CARTESIAN_POINT('Origin',(14.5,21.75,-0.052500000000002)); #512521=CARTESIAN_POINT('',(14.325,21.75,0.)); #512522=CARTESIAN_POINT('',(14.325,21.75,-200.)); #512523=CARTESIAN_POINT('Origin',(14.5,21.75,0.)); #512524=CARTESIAN_POINT('Origin',(25.,26.625,-200.)); #512525=CARTESIAN_POINT('',(24.825,26.625,-0.052500000000002)); #512526=CARTESIAN_POINT('Origin',(25.,26.625,-0.052500000000002)); #512527=CARTESIAN_POINT('',(24.825,26.625,0.)); #512528=CARTESIAN_POINT('',(24.825,26.625,-200.)); #512529=CARTESIAN_POINT('Origin',(25.,26.625,0.)); #512530=CARTESIAN_POINT('Origin',(14.5,21.,-200.)); #512531=CARTESIAN_POINT('',(14.325,21.,-0.052500000000002)); #512532=CARTESIAN_POINT('Origin',(14.5,21.,-0.052500000000002)); #512533=CARTESIAN_POINT('',(14.325,21.,0.)); #512534=CARTESIAN_POINT('',(14.325,21.,-200.)); #512535=CARTESIAN_POINT('Origin',(14.5,21.,0.)); #512536=CARTESIAN_POINT('Origin',(34.75,16.25,-200.)); #512537=CARTESIAN_POINT('',(34.575,16.25,-0.052500000000002)); #512538=CARTESIAN_POINT('Origin',(34.75,16.25,-0.052500000000002)); #512539=CARTESIAN_POINT('',(34.575,16.25,0.)); #512540=CARTESIAN_POINT('',(34.575,16.25,-200.)); #512541=CARTESIAN_POINT('Origin',(34.75,16.25,0.)); #512542=CARTESIAN_POINT('Origin',(16.,20.25,-200.)); #512543=CARTESIAN_POINT('',(15.825,20.25,-0.052500000000002)); #512544=CARTESIAN_POINT('Origin',(16.,20.25,-0.052500000000002)); #512545=CARTESIAN_POINT('',(15.825,20.25,0.)); #512546=CARTESIAN_POINT('',(15.825,20.25,-200.)); #512547=CARTESIAN_POINT('Origin',(16.,20.25,0.)); #512548=CARTESIAN_POINT('Origin',(27.25,24.375,-200.)); #512549=CARTESIAN_POINT('',(27.075,24.375,-0.052500000000002)); #512550=CARTESIAN_POINT('Origin',(27.25,24.375,-0.052500000000002)); #512551=CARTESIAN_POINT('',(27.075,24.375,0.)); #512552=CARTESIAN_POINT('',(27.075,24.375,-200.)); #512553=CARTESIAN_POINT('Origin',(27.25,24.375,0.)); #512554=CARTESIAN_POINT('Origin',(14.5,20.25,-200.)); #512555=CARTESIAN_POINT('',(14.325,20.25,-0.052500000000002)); #512556=CARTESIAN_POINT('Origin',(14.5,20.25,-0.052500000000002)); #512557=CARTESIAN_POINT('',(14.325,20.25,0.)); #512558=CARTESIAN_POINT('',(14.325,20.25,-200.)); #512559=CARTESIAN_POINT('Origin',(14.5,20.25,0.)); #512560=CARTESIAN_POINT('Origin',(34.0625,12.25,-200.)); #512561=CARTESIAN_POINT('',(33.8875,12.25,-0.052500000000002)); #512562=CARTESIAN_POINT('Origin',(34.0625,12.25,-0.052500000000002)); #512563=CARTESIAN_POINT('',(33.8875,12.25,0.)); #512564=CARTESIAN_POINT('',(33.8875,12.25,-200.)); #512565=CARTESIAN_POINT('Origin',(34.0625,12.25,0.)); #512566=CARTESIAN_POINT('Origin',(15.25,20.25,-200.)); #512567=CARTESIAN_POINT('',(15.075,20.25,-0.052500000000002)); #512568=CARTESIAN_POINT('Origin',(15.25,20.25,-0.052500000000002)); #512569=CARTESIAN_POINT('',(15.075,20.25,0.)); #512570=CARTESIAN_POINT('',(15.075,20.25,-200.)); #512571=CARTESIAN_POINT('Origin',(15.25,20.25,0.)); #512572=CARTESIAN_POINT('Origin',(31.75,18.5,-200.)); #512573=CARTESIAN_POINT('',(31.575,18.5,-0.052500000000002)); #512574=CARTESIAN_POINT('Origin',(31.75,18.5,-0.052500000000002)); #512575=CARTESIAN_POINT('',(31.575,18.5,0.)); #512576=CARTESIAN_POINT('',(31.575,18.5,-200.)); #512577=CARTESIAN_POINT('Origin',(31.75,18.5,0.)); #512578=CARTESIAN_POINT('Origin',(15.25,21.75,-200.)); #512579=CARTESIAN_POINT('',(15.075,21.75,-0.052500000000002)); #512580=CARTESIAN_POINT('Origin',(15.25,21.75,-0.052500000000002)); #512581=CARTESIAN_POINT('',(15.075,21.75,0.)); #512582=CARTESIAN_POINT('',(15.075,21.75,-200.)); #512583=CARTESIAN_POINT('Origin',(15.25,21.75,0.)); #512584=CARTESIAN_POINT('Origin',(33.25,16.25,-200.)); #512585=CARTESIAN_POINT('',(33.075,16.25,-0.052500000000002)); #512586=CARTESIAN_POINT('Origin',(33.25,16.25,-0.052500000000002)); #512587=CARTESIAN_POINT('',(33.075,16.25,0.)); #512588=CARTESIAN_POINT('',(33.075,16.25,-200.)); #512589=CARTESIAN_POINT('Origin',(33.25,16.25,0.)); #512590=CARTESIAN_POINT('Origin',(16.,21.75,-200.)); #512591=CARTESIAN_POINT('',(15.825,21.75,-0.052500000000002)); #512592=CARTESIAN_POINT('Origin',(16.,21.75,-0.052500000000002)); #512593=CARTESIAN_POINT('',(15.825,21.75,0.)); #512594=CARTESIAN_POINT('',(15.825,21.75,-200.)); #512595=CARTESIAN_POINT('Origin',(16.,21.75,0.)); #512596=CARTESIAN_POINT('Origin',(31.75,19.25,-200.)); #512597=CARTESIAN_POINT('',(31.575,19.25,-0.052500000000002)); #512598=CARTESIAN_POINT('Origin',(31.75,19.25,-0.052500000000002)); #512599=CARTESIAN_POINT('',(31.575,19.25,0.)); #512600=CARTESIAN_POINT('',(31.575,19.25,-200.)); #512601=CARTESIAN_POINT('Origin',(31.75,19.25,0.)); #512602=CARTESIAN_POINT('Origin',(16.,21.,-200.)); #512603=CARTESIAN_POINT('',(15.825,21.,-0.052500000000002)); #512604=CARTESIAN_POINT('Origin',(16.,21.,-0.052500000000002)); #512605=CARTESIAN_POINT('',(15.825,21.,0.)); #512606=CARTESIAN_POINT('',(15.825,21.,-200.)); #512607=CARTESIAN_POINT('Origin',(16.,21.,0.)); #512608=CARTESIAN_POINT('Origin',(15.75,34.,-200.)); #512609=CARTESIAN_POINT('',(15.25,34.,-0.052500000000002)); #512610=CARTESIAN_POINT('Origin',(15.75,34.,-0.052500000000002)); #512611=CARTESIAN_POINT('',(15.25,34.,0.)); #512612=CARTESIAN_POINT('',(15.25,34.,-200.)); #512613=CARTESIAN_POINT('Origin',(15.75,34.,0.)); #512614=CARTESIAN_POINT('Origin',(52.75,21.,-200.)); #512615=CARTESIAN_POINT('',(52.575,21.,-0.052500000000002)); #512616=CARTESIAN_POINT('Origin',(52.75,21.,-0.052500000000002)); #512617=CARTESIAN_POINT('',(52.575,21.,0.)); #512618=CARTESIAN_POINT('',(52.575,21.,-200.)); #512619=CARTESIAN_POINT('Origin',(52.75,21.,0.)); #512620=CARTESIAN_POINT('Origin',(37.5,21.25,-200.)); #512621=CARTESIAN_POINT('',(37.325,21.25,-0.052500000000002)); #512622=CARTESIAN_POINT('Origin',(37.5,21.25,-0.052500000000002)); #512623=CARTESIAN_POINT('',(37.325,21.25,0.)); #512624=CARTESIAN_POINT('',(37.325,21.25,-200.)); #512625=CARTESIAN_POINT('Origin',(37.5,21.25,0.)); #512626=CARTESIAN_POINT('Origin',(39.25,19.,-200.)); #512627=CARTESIAN_POINT('',(39.075,19.,-0.052500000000002)); #512628=CARTESIAN_POINT('Origin',(39.25,19.,-0.052500000000002)); #512629=CARTESIAN_POINT('',(39.075,19.,0.)); #512630=CARTESIAN_POINT('',(39.075,19.,-200.)); #512631=CARTESIAN_POINT('Origin',(39.25,19.,0.)); #512632=CARTESIAN_POINT('Origin',(35.5,17.75,-200.)); #512633=CARTESIAN_POINT('',(35.325,17.75,-0.052500000000002)); #512634=CARTESIAN_POINT('Origin',(35.5,17.75,-0.052500000000002)); #512635=CARTESIAN_POINT('',(35.325,17.75,0.)); #512636=CARTESIAN_POINT('',(35.325,17.75,-200.)); #512637=CARTESIAN_POINT('Origin',(35.5,17.75,0.)); #512638=CARTESIAN_POINT('Origin',(39.25,18.25,-200.)); #512639=CARTESIAN_POINT('',(39.075,18.25,-0.052500000000002)); #512640=CARTESIAN_POINT('Origin',(39.25,18.25,-0.052500000000002)); #512641=CARTESIAN_POINT('',(39.075,18.25,0.)); #512642=CARTESIAN_POINT('',(39.075,18.25,-200.)); #512643=CARTESIAN_POINT('Origin',(39.25,18.25,0.)); #512644=CARTESIAN_POINT('Origin',(31.,13.,-200.)); #512645=CARTESIAN_POINT('',(30.825,13.,-0.052500000000002)); #512646=CARTESIAN_POINT('Origin',(31.,13.,-0.052500000000002)); #512647=CARTESIAN_POINT('',(30.825,13.,0.)); #512648=CARTESIAN_POINT('',(30.825,13.,-200.)); #512649=CARTESIAN_POINT('Origin',(31.,13.,0.)); #512650=CARTESIAN_POINT('Origin',(42.5,16.,-200.)); #512651=CARTESIAN_POINT('',(42.325,16.,-0.052500000000002)); #512652=CARTESIAN_POINT('Origin',(42.5,16.,-0.052500000000002)); #512653=CARTESIAN_POINT('',(42.325,16.,0.)); #512654=CARTESIAN_POINT('',(42.325,16.,-200.)); #512655=CARTESIAN_POINT('Origin',(42.5,16.,0.)); #512656=CARTESIAN_POINT('Origin',(27.762,19.,-200.)); #512657=CARTESIAN_POINT('',(27.587,19.,-0.052500000000002)); #512658=CARTESIAN_POINT('Origin',(27.762,19.,-0.052500000000002)); #512659=CARTESIAN_POINT('',(27.587,19.,0.)); #512660=CARTESIAN_POINT('',(27.587,19.,-200.)); #512661=CARTESIAN_POINT('Origin',(27.762,19.,0.)); #512662=CARTESIAN_POINT('Origin',(53.5,21.,-200.)); #512663=CARTESIAN_POINT('',(53.325,21.,-0.052500000000002)); #512664=CARTESIAN_POINT('Origin',(53.5,21.,-0.052500000000002)); #512665=CARTESIAN_POINT('',(53.325,21.,0.)); #512666=CARTESIAN_POINT('',(53.325,21.,-200.)); #512667=CARTESIAN_POINT('Origin',(53.5,21.,0.)); #512668=CARTESIAN_POINT('Origin',(34.75,49.25,-200.)); #512669=CARTESIAN_POINT('',(34.575,49.25,-0.052500000000002)); #512670=CARTESIAN_POINT('Origin',(34.75,49.25,-0.052500000000002)); #512671=CARTESIAN_POINT('',(34.575,49.25,0.)); #512672=CARTESIAN_POINT('',(34.575,49.25,-200.)); #512673=CARTESIAN_POINT('Origin',(34.75,49.25,0.)); #512674=CARTESIAN_POINT('Origin',(52.,21.,-200.)); #512675=CARTESIAN_POINT('',(51.825,21.,-0.052500000000002)); #512676=CARTESIAN_POINT('Origin',(52.,21.,-0.052500000000002)); #512677=CARTESIAN_POINT('',(51.825,21.,0.)); #512678=CARTESIAN_POINT('',(51.825,21.,-200.)); #512679=CARTESIAN_POINT('Origin',(52.,21.,0.)); #512680=CARTESIAN_POINT('Origin',(35.5,18.5,-200.)); #512681=CARTESIAN_POINT('',(35.325,18.5,-0.052500000000002)); #512682=CARTESIAN_POINT('Origin',(35.5,18.5,-0.052500000000002)); #512683=CARTESIAN_POINT('',(35.325,18.5,0.)); #512684=CARTESIAN_POINT('',(35.325,18.5,-200.)); #512685=CARTESIAN_POINT('Origin',(35.5,18.5,0.)); #512686=CARTESIAN_POINT('Origin',(53.5,21.75,-200.)); #512687=CARTESIAN_POINT('',(53.325,21.75,-0.052500000000002)); #512688=CARTESIAN_POINT('Origin',(53.5,21.75,-0.052500000000002)); #512689=CARTESIAN_POINT('',(53.325,21.75,0.)); #512690=CARTESIAN_POINT('',(53.325,21.75,-200.)); #512691=CARTESIAN_POINT('Origin',(53.5,21.75,0.)); #512692=CARTESIAN_POINT('Origin',(34.,49.25,-200.)); #512693=CARTESIAN_POINT('',(33.825,49.25,-0.052500000000002)); #512694=CARTESIAN_POINT('Origin',(34.,49.25,-0.052500000000002)); #512695=CARTESIAN_POINT('',(33.825,49.25,0.)); #512696=CARTESIAN_POINT('',(33.825,49.25,-200.)); #512697=CARTESIAN_POINT('Origin',(34.,49.25,0.)); #512698=CARTESIAN_POINT('Origin',(52.75,21.75,-200.)); #512699=CARTESIAN_POINT('',(52.575,21.75,-0.052500000000002)); #512700=CARTESIAN_POINT('Origin',(52.75,21.75,-0.052500000000002)); #512701=CARTESIAN_POINT('',(52.575,21.75,0.)); #512702=CARTESIAN_POINT('',(52.575,21.75,-200.)); #512703=CARTESIAN_POINT('Origin',(52.75,21.75,0.)); #512704=CARTESIAN_POINT('Origin',(35.27,45.,-200.)); #512705=CARTESIAN_POINT('',(34.67,45.,-0.052500000000002)); #512706=CARTESIAN_POINT('Origin',(35.27,45.,-0.052500000000002)); #512707=CARTESIAN_POINT('',(34.67,45.,0.)); #512708=CARTESIAN_POINT('',(34.67,45.,-200.)); #512709=CARTESIAN_POINT('Origin',(35.27,45.,0.)); #512710=CARTESIAN_POINT('Origin',(26.,31.,-200.)); #512711=CARTESIAN_POINT('',(25.825,31.,-0.052500000000002)); #512712=CARTESIAN_POINT('Origin',(26.,31.,-0.052500000000002)); #512713=CARTESIAN_POINT('',(25.825,31.,0.)); #512714=CARTESIAN_POINT('',(25.825,31.,-200.)); #512715=CARTESIAN_POINT('Origin',(26.,31.,0.)); #512716=CARTESIAN_POINT('Origin',(10.25,33.25,-200.)); #512717=CARTESIAN_POINT('',(10.075,33.25,-0.052500000000002)); #512718=CARTESIAN_POINT('Origin',(10.25,33.25,-0.052500000000002)); #512719=CARTESIAN_POINT('',(10.075,33.25,0.)); #512720=CARTESIAN_POINT('',(10.075,33.25,-200.)); #512721=CARTESIAN_POINT('Origin',(10.25,33.25,0.)); #512722=CARTESIAN_POINT('Origin',(26.,36.,-200.)); #512723=CARTESIAN_POINT('',(25.825,36.,-0.052500000000002)); #512724=CARTESIAN_POINT('Origin',(26.,36.,-0.052500000000002)); #512725=CARTESIAN_POINT('',(25.825,36.,0.)); #512726=CARTESIAN_POINT('',(25.825,36.,-200.)); #512727=CARTESIAN_POINT('Origin',(26.,36.,0.)); #512728=CARTESIAN_POINT('Origin',(34.75,14.75,-200.)); #512729=CARTESIAN_POINT('',(34.575,14.75,-0.052500000000002)); #512730=CARTESIAN_POINT('Origin',(34.75,14.75,-0.052500000000002)); #512731=CARTESIAN_POINT('',(34.575,14.75,0.)); #512732=CARTESIAN_POINT('',(34.575,14.75,-200.)); #512733=CARTESIAN_POINT('Origin',(34.75,14.75,0.)); #512734=CARTESIAN_POINT('Origin',(26.75,36.,-200.)); #512735=CARTESIAN_POINT('',(26.575,36.,-0.052500000000002)); #512736=CARTESIAN_POINT('Origin',(26.75,36.,-0.052500000000002)); #512737=CARTESIAN_POINT('',(26.575,36.,0.)); #512738=CARTESIAN_POINT('',(26.575,36.,-200.)); #512739=CARTESIAN_POINT('Origin',(26.75,36.,0.)); #512740=CARTESIAN_POINT('Origin',(9.5,33.25,-200.)); #512741=CARTESIAN_POINT('',(9.325,33.25,-0.052500000000002)); #512742=CARTESIAN_POINT('Origin',(9.5,33.25,-0.052500000000002)); #512743=CARTESIAN_POINT('',(9.325,33.25,0.)); #512744=CARTESIAN_POINT('',(9.325,33.25,-200.)); #512745=CARTESIAN_POINT('Origin',(9.5,33.25,0.)); #512746=CARTESIAN_POINT('Origin',(26.75,31.,-200.)); #512747=CARTESIAN_POINT('',(26.575,31.,-0.052500000000002)); #512748=CARTESIAN_POINT('Origin',(26.75,31.,-0.052500000000002)); #512749=CARTESIAN_POINT('',(26.575,31.,0.)); #512750=CARTESIAN_POINT('',(26.575,31.,-200.)); #512751=CARTESIAN_POINT('Origin',(26.75,31.,0.)); #512752=CARTESIAN_POINT('Origin',(34.75,17.,-200.)); #512753=CARTESIAN_POINT('',(34.575,17.,-0.052500000000002)); #512754=CARTESIAN_POINT('Origin',(34.75,17.,-0.052500000000002)); #512755=CARTESIAN_POINT('',(34.575,17.,0.)); #512756=CARTESIAN_POINT('',(34.575,17.,-200.)); #512757=CARTESIAN_POINT('Origin',(34.75,17.,0.)); #512758=CARTESIAN_POINT('Origin',(16.625,47.75,-200.)); #512759=CARTESIAN_POINT('',(16.45,47.75,-0.052500000000002)); #512760=CARTESIAN_POINT('Origin',(16.625,47.75,-0.052500000000002)); #512761=CARTESIAN_POINT('',(16.45,47.75,0.)); #512762=CARTESIAN_POINT('',(16.45,47.75,-200.)); #512763=CARTESIAN_POINT('Origin',(16.625,47.75,0.)); #512764=CARTESIAN_POINT('Origin',(10.25,34.,-200.)); #512765=CARTESIAN_POINT('',(10.075,34.,-0.052500000000002)); #512766=CARTESIAN_POINT('Origin',(10.25,34.,-0.052500000000002)); #512767=CARTESIAN_POINT('',(10.075,34.,0.)); #512768=CARTESIAN_POINT('',(10.075,34.,-200.)); #512769=CARTESIAN_POINT('Origin',(10.25,34.,0.)); #512770=CARTESIAN_POINT('Origin',(15.75,47.75,-200.)); #512771=CARTESIAN_POINT('',(15.575,47.75,-0.052500000000002)); #512772=CARTESIAN_POINT('Origin',(15.75,47.75,-0.052500000000002)); #512773=CARTESIAN_POINT('',(15.575,47.75,0.)); #512774=CARTESIAN_POINT('',(15.575,47.75,-200.)); #512775=CARTESIAN_POINT('Origin',(15.75,47.75,0.)); #512776=CARTESIAN_POINT('Origin',(35.5,14.75,-200.)); #512777=CARTESIAN_POINT('',(35.325,14.75,-0.052500000000002)); #512778=CARTESIAN_POINT('Origin',(35.5,14.75,-0.052500000000002)); #512779=CARTESIAN_POINT('',(35.325,14.75,0.)); #512780=CARTESIAN_POINT('',(35.325,14.75,-200.)); #512781=CARTESIAN_POINT('Origin',(35.5,14.75,0.)); #512782=CARTESIAN_POINT('Origin',(16.625,47.,-200.)); #512783=CARTESIAN_POINT('',(16.45,47.,-0.052500000000002)); #512784=CARTESIAN_POINT('Origin',(16.625,47.,-0.052500000000002)); #512785=CARTESIAN_POINT('',(16.45,47.,0.)); #512786=CARTESIAN_POINT('',(16.45,47.,-200.)); #512787=CARTESIAN_POINT('Origin',(16.625,47.,0.)); #512788=CARTESIAN_POINT('Origin',(9.5,34.,-200.)); #512789=CARTESIAN_POINT('',(9.325,34.,-0.052500000000002)); #512790=CARTESIAN_POINT('Origin',(9.5,34.,-0.052500000000002)); #512791=CARTESIAN_POINT('',(9.325,34.,0.)); #512792=CARTESIAN_POINT('',(9.325,34.,-200.)); #512793=CARTESIAN_POINT('Origin',(9.5,34.,0.)); #512794=CARTESIAN_POINT('Origin',(16.625,46.25,-200.)); #512795=CARTESIAN_POINT('',(16.45,46.25,-0.052500000000002)); #512796=CARTESIAN_POINT('Origin',(16.625,46.25,-0.052500000000002)); #512797=CARTESIAN_POINT('',(16.45,46.25,0.)); #512798=CARTESIAN_POINT('',(16.45,46.25,-200.)); #512799=CARTESIAN_POINT('Origin',(16.625,46.25,0.)); #512800=CARTESIAN_POINT('Origin',(39.25,17.5,-200.)); #512801=CARTESIAN_POINT('',(39.075,17.5,-0.052500000000002)); #512802=CARTESIAN_POINT('Origin',(39.25,17.5,-0.052500000000002)); #512803=CARTESIAN_POINT('',(39.075,17.5,0.)); #512804=CARTESIAN_POINT('',(39.075,17.5,-200.)); #512805=CARTESIAN_POINT('Origin',(39.25,17.5,0.)); #512806=CARTESIAN_POINT('Origin',(17.5,47.75,-200.)); #512807=CARTESIAN_POINT('',(17.325,47.75,-0.052500000000002)); #512808=CARTESIAN_POINT('Origin',(17.5,47.75,-0.052500000000002)); #512809=CARTESIAN_POINT('',(17.325,47.75,0.)); #512810=CARTESIAN_POINT('',(17.325,47.75,-200.)); #512811=CARTESIAN_POINT('Origin',(17.5,47.75,0.)); #512812=CARTESIAN_POINT('Origin',(57.75,33.25,-200.)); #512813=CARTESIAN_POINT('',(57.575,33.25,-0.052500000000002)); #512814=CARTESIAN_POINT('Origin',(57.75,33.25,-0.052500000000002)); #512815=CARTESIAN_POINT('',(57.575,33.25,0.)); #512816=CARTESIAN_POINT('',(57.575,33.25,-200.)); #512817=CARTESIAN_POINT('Origin',(57.75,33.25,0.)); #512818=CARTESIAN_POINT('Origin',(19.375,46.25,-200.)); #512819=CARTESIAN_POINT('',(19.2,46.25,-0.052500000000002)); #512820=CARTESIAN_POINT('Origin',(19.375,46.25,-0.052500000000002)); #512821=CARTESIAN_POINT('',(19.2,46.25,0.)); #512822=CARTESIAN_POINT('',(19.2,46.25,-200.)); #512823=CARTESIAN_POINT('Origin',(19.375,46.25,0.)); #512824=CARTESIAN_POINT('Origin',(36.25,15.5,-200.)); #512825=CARTESIAN_POINT('',(36.075,15.5,-0.052500000000002)); #512826=CARTESIAN_POINT('Origin',(36.25,15.5,-0.052500000000002)); #512827=CARTESIAN_POINT('',(36.075,15.5,0.)); #512828=CARTESIAN_POINT('',(36.075,15.5,-200.)); #512829=CARTESIAN_POINT('Origin',(36.25,15.5,0.)); #512830=CARTESIAN_POINT('Origin',(19.375,47.75,-200.)); #512831=CARTESIAN_POINT('',(19.2,47.75,-0.052500000000002)); #512832=CARTESIAN_POINT('Origin',(19.375,47.75,-0.052500000000002)); #512833=CARTESIAN_POINT('',(19.2,47.75,0.)); #512834=CARTESIAN_POINT('',(19.2,47.75,-200.)); #512835=CARTESIAN_POINT('Origin',(19.375,47.75,0.)); #512836=CARTESIAN_POINT('Origin',(62.,33.25,-200.)); #512837=CARTESIAN_POINT('',(61.825,33.25,-0.052500000000002)); #512838=CARTESIAN_POINT('Origin',(62.,33.25,-0.052500000000002)); #512839=CARTESIAN_POINT('',(61.825,33.25,0.)); #512840=CARTESIAN_POINT('',(61.825,33.25,-200.)); #512841=CARTESIAN_POINT('Origin',(62.,33.25,0.)); #512842=CARTESIAN_POINT('Origin',(19.375,47.,-200.)); #512843=CARTESIAN_POINT('',(19.2,47.,-0.052500000000002)); #512844=CARTESIAN_POINT('Origin',(19.375,47.,-0.052500000000002)); #512845=CARTESIAN_POINT('',(19.2,47.,0.)); #512846=CARTESIAN_POINT('',(19.2,47.,-200.)); #512847=CARTESIAN_POINT('Origin',(19.375,47.,0.)); #512848=CARTESIAN_POINT('Origin',(34.,17.75,-200.)); #512849=CARTESIAN_POINT('',(33.825,17.75,-0.052500000000002)); #512850=CARTESIAN_POINT('Origin',(34.,17.75,-0.052500000000002)); #512851=CARTESIAN_POINT('',(33.825,17.75,0.)); #512852=CARTESIAN_POINT('',(33.825,17.75,-200.)); #512853=CARTESIAN_POINT('Origin',(34.,17.75,0.)); #512854=CARTESIAN_POINT('Origin',(17.5,46.25,-200.)); #512855=CARTESIAN_POINT('',(17.325,46.25,-0.052500000000002)); #512856=CARTESIAN_POINT('Origin',(17.5,46.25,-0.052500000000002)); #512857=CARTESIAN_POINT('',(17.325,46.25,0.)); #512858=CARTESIAN_POINT('',(17.325,46.25,-200.)); #512859=CARTESIAN_POINT('Origin',(17.5,46.25,0.)); #512860=CARTESIAN_POINT('Origin',(57.75,34.,-200.)); #512861=CARTESIAN_POINT('',(57.575,34.,-0.052500000000002)); #512862=CARTESIAN_POINT('Origin',(57.75,34.,-0.052500000000002)); #512863=CARTESIAN_POINT('',(57.575,34.,0.)); #512864=CARTESIAN_POINT('',(57.575,34.,-200.)); #512865=CARTESIAN_POINT('Origin',(57.75,34.,0.)); #512866=CARTESIAN_POINT('Origin',(17.5,47.,-200.)); #512867=CARTESIAN_POINT('',(17.325,47.,-0.052500000000002)); #512868=CARTESIAN_POINT('Origin',(17.5,47.,-0.052500000000002)); #512869=CARTESIAN_POINT('',(17.325,47.,0.)); #512870=CARTESIAN_POINT('',(17.325,47.,-200.)); #512871=CARTESIAN_POINT('Origin',(17.5,47.,0.)); #512872=CARTESIAN_POINT('Origin',(36.25,14.75,-200.)); #512873=CARTESIAN_POINT('',(36.075,14.75,-0.052500000000002)); #512874=CARTESIAN_POINT('Origin',(36.25,14.75,-0.052500000000002)); #512875=CARTESIAN_POINT('',(36.075,14.75,0.)); #512876=CARTESIAN_POINT('',(36.075,14.75,-200.)); #512877=CARTESIAN_POINT('Origin',(36.25,14.75,0.)); #512878=CARTESIAN_POINT('Origin',(15.75,46.25,-200.)); #512879=CARTESIAN_POINT('',(15.575,46.25,-0.052500000000002)); #512880=CARTESIAN_POINT('Origin',(15.75,46.25,-0.052500000000002)); #512881=CARTESIAN_POINT('',(15.575,46.25,0.)); #512882=CARTESIAN_POINT('',(15.575,46.25,-200.)); #512883=CARTESIAN_POINT('Origin',(15.75,46.25,0.)); #512884=CARTESIAN_POINT('Origin',(57.75,34.75,-200.)); #512885=CARTESIAN_POINT('',(57.575,34.75,-0.052500000000002)); #512886=CARTESIAN_POINT('Origin',(57.75,34.75,-0.052500000000002)); #512887=CARTESIAN_POINT('',(57.575,34.75,0.)); #512888=CARTESIAN_POINT('',(57.575,34.75,-200.)); #512889=CARTESIAN_POINT('Origin',(57.75,34.75,0.)); #512890=CARTESIAN_POINT('Origin',(15.75,47.,-200.)); #512891=CARTESIAN_POINT('',(15.575,47.,-0.052500000000002)); #512892=CARTESIAN_POINT('Origin',(15.75,47.,-0.052500000000002)); #512893=CARTESIAN_POINT('',(15.575,47.,0.)); #512894=CARTESIAN_POINT('',(15.575,47.,-200.)); #512895=CARTESIAN_POINT('Origin',(15.75,47.,0.)); #512896=CARTESIAN_POINT('Origin',(52.25,34.,-200.)); #512897=CARTESIAN_POINT('',(51.75,34.,-0.052500000000002)); #512898=CARTESIAN_POINT('Origin',(52.25,34.,-0.052500000000002)); #512899=CARTESIAN_POINT('',(51.75,34.,0.)); #512900=CARTESIAN_POINT('',(51.75,34.,-200.)); #512901=CARTESIAN_POINT('Origin',(52.25,34.,0.)); #512902=CARTESIAN_POINT('Origin',(23.75,47.,-200.)); #512903=CARTESIAN_POINT('',(23.575,47.,-0.052500000000002)); #512904=CARTESIAN_POINT('Origin',(23.75,47.,-0.052500000000002)); #512905=CARTESIAN_POINT('',(23.575,47.,0.)); #512906=CARTESIAN_POINT('',(23.575,47.,-200.)); #512907=CARTESIAN_POINT('Origin',(23.75,47.,0.)); #512908=CARTESIAN_POINT('Origin',(61.25,33.25,-200.)); #512909=CARTESIAN_POINT('',(61.075,33.25,-0.052500000000002)); #512910=CARTESIAN_POINT('Origin',(61.25,33.25,-0.052500000000002)); #512911=CARTESIAN_POINT('',(61.075,33.25,0.)); #512912=CARTESIAN_POINT('',(61.075,33.25,-200.)); #512913=CARTESIAN_POINT('Origin',(61.25,33.25,0.)); #512914=CARTESIAN_POINT('Origin',(20.25,47.,-200.)); #512915=CARTESIAN_POINT('',(20.075,47.,-0.052500000000002)); #512916=CARTESIAN_POINT('Origin',(20.25,47.,-0.052500000000002)); #512917=CARTESIAN_POINT('',(20.075,47.,0.)); #512918=CARTESIAN_POINT('',(20.075,47.,-200.)); #512919=CARTESIAN_POINT('Origin',(20.25,47.,0.)); #512920=CARTESIAN_POINT('Origin',(36.25,17.75,-200.)); #512921=CARTESIAN_POINT('',(36.075,17.75,-0.052500000000002)); #512922=CARTESIAN_POINT('Origin',(36.25,17.75,-0.052500000000002)); #512923=CARTESIAN_POINT('',(36.075,17.75,0.)); #512924=CARTESIAN_POINT('',(36.075,17.75,-200.)); #512925=CARTESIAN_POINT('Origin',(36.25,17.75,0.)); #512926=CARTESIAN_POINT('Origin',(23.75,46.25,-200.)); #512927=CARTESIAN_POINT('',(23.575,46.25,-0.052500000000002)); #512928=CARTESIAN_POINT('Origin',(23.75,46.25,-0.052500000000002)); #512929=CARTESIAN_POINT('',(23.575,46.25,0.)); #512930=CARTESIAN_POINT('',(23.575,46.25,-200.)); #512931=CARTESIAN_POINT('Origin',(23.75,46.25,0.)); #512932=CARTESIAN_POINT('Origin',(58.5,33.25,-200.)); #512933=CARTESIAN_POINT('',(58.325,33.25,-0.052500000000002)); #512934=CARTESIAN_POINT('Origin',(58.5,33.25,-0.052500000000002)); #512935=CARTESIAN_POINT('',(58.325,33.25,0.)); #512936=CARTESIAN_POINT('',(58.325,33.25,-200.)); #512937=CARTESIAN_POINT('Origin',(58.5,33.25,0.)); #512938=CARTESIAN_POINT('Origin',(22.,46.25,-200.)); #512939=CARTESIAN_POINT('',(21.825,46.25,-0.052500000000002)); #512940=CARTESIAN_POINT('Origin',(22.,46.25,-0.052500000000002)); #512941=CARTESIAN_POINT('',(21.825,46.25,0.)); #512942=CARTESIAN_POINT('',(21.825,46.25,-200.)); #512943=CARTESIAN_POINT('Origin',(22.,46.25,0.)); #512944=CARTESIAN_POINT('Origin',(37.25,8.75,-200.)); #512945=CARTESIAN_POINT('',(37.075,8.75,-0.052500000000002)); #512946=CARTESIAN_POINT('Origin',(37.25,8.75,-0.052500000000002)); #512947=CARTESIAN_POINT('',(37.075,8.75,0.)); #512948=CARTESIAN_POINT('',(37.075,8.75,-200.)); #512949=CARTESIAN_POINT('Origin',(37.25,8.75,0.)); #512950=CARTESIAN_POINT('Origin',(20.25,46.25,-200.)); #512951=CARTESIAN_POINT('',(20.075,46.25,-0.052500000000002)); #512952=CARTESIAN_POINT('Origin',(20.25,46.25,-0.052500000000002)); #512953=CARTESIAN_POINT('',(20.075,46.25,0.)); #512954=CARTESIAN_POINT('',(20.075,46.25,-200.)); #512955=CARTESIAN_POINT('Origin',(20.25,46.25,0.)); #512956=CARTESIAN_POINT('Origin',(58.5,34.,-200.)); #512957=CARTESIAN_POINT('',(58.325,34.,-0.052500000000002)); #512958=CARTESIAN_POINT('Origin',(58.5,34.,-0.052500000000002)); #512959=CARTESIAN_POINT('',(58.325,34.,0.)); #512960=CARTESIAN_POINT('',(58.325,34.,-200.)); #512961=CARTESIAN_POINT('Origin',(58.5,34.,0.)); #512962=CARTESIAN_POINT('Origin',(18.5,47.75,-200.)); #512963=CARTESIAN_POINT('',(18.325,47.75,-0.052500000000002)); #512964=CARTESIAN_POINT('Origin',(18.5,47.75,-0.052500000000002)); #512965=CARTESIAN_POINT('',(18.325,47.75,0.)); #512966=CARTESIAN_POINT('',(18.325,47.75,-200.)); #512967=CARTESIAN_POINT('Origin',(18.5,47.75,0.)); #512968=CARTESIAN_POINT('Origin',(36.25,18.5,-200.)); #512969=CARTESIAN_POINT('',(36.075,18.5,-0.052500000000002)); #512970=CARTESIAN_POINT('Origin',(36.25,18.5,-0.052500000000002)); #512971=CARTESIAN_POINT('',(36.075,18.5,0.)); #512972=CARTESIAN_POINT('',(36.075,18.5,-200.)); #512973=CARTESIAN_POINT('Origin',(36.25,18.5,0.)); #512974=CARTESIAN_POINT('Origin',(20.25,47.75,-200.)); #512975=CARTESIAN_POINT('',(20.075,47.75,-0.052500000000002)); #512976=CARTESIAN_POINT('Origin',(20.25,47.75,-0.052500000000002)); #512977=CARTESIAN_POINT('',(20.075,47.75,0.)); #512978=CARTESIAN_POINT('',(20.075,47.75,-200.)); #512979=CARTESIAN_POINT('Origin',(20.25,47.75,0.)); #512980=CARTESIAN_POINT('Origin',(58.5,34.75,-200.)); #512981=CARTESIAN_POINT('',(58.325,34.75,-0.052500000000002)); #512982=CARTESIAN_POINT('Origin',(58.5,34.75,-0.052500000000002)); #512983=CARTESIAN_POINT('',(58.325,34.75,0.)); #512984=CARTESIAN_POINT('',(58.325,34.75,-200.)); #512985=CARTESIAN_POINT('Origin',(58.5,34.75,0.)); #512986=CARTESIAN_POINT('Origin',(23.75,47.75,-200.)); #512987=CARTESIAN_POINT('',(23.575,47.75,-0.052500000000002)); #512988=CARTESIAN_POINT('Origin',(23.75,47.75,-0.052500000000002)); #512989=CARTESIAN_POINT('',(23.575,47.75,0.)); #512990=CARTESIAN_POINT('',(23.575,47.75,-200.)); #512991=CARTESIAN_POINT('Origin',(23.75,47.75,0.)); #512992=CARTESIAN_POINT('Origin',(31.5,27.75,-200.)); #512993=CARTESIAN_POINT('',(31.,27.75,-0.052500000000002)); #512994=CARTESIAN_POINT('Origin',(31.5,27.75,-0.052500000000002)); #512995=CARTESIAN_POINT('',(31.,27.75,0.)); #512996=CARTESIAN_POINT('',(31.,27.75,-200.)); #512997=CARTESIAN_POINT('Origin',(31.5,27.75,0.)); #512998=CARTESIAN_POINT('Origin',(21.125,47.,-200.)); #512999=CARTESIAN_POINT('',(20.95,47.,-0.052500000000002)); #513000=CARTESIAN_POINT('Origin',(21.125,47.,-0.052500000000002)); #513001=CARTESIAN_POINT('',(20.95,47.,0.)); #513002=CARTESIAN_POINT('',(20.95,47.,-200.)); #513003=CARTESIAN_POINT('Origin',(21.125,47.,0.)); #513004=CARTESIAN_POINT('Origin',(61.25,34.75,-200.)); #513005=CARTESIAN_POINT('',(61.075,34.75,-0.052500000000002)); #513006=CARTESIAN_POINT('Origin',(61.25,34.75,-0.052500000000002)); #513007=CARTESIAN_POINT('',(61.075,34.75,0.)); #513008=CARTESIAN_POINT('',(61.075,34.75,-200.)); #513009=CARTESIAN_POINT('Origin',(61.25,34.75,0.)); #513010=CARTESIAN_POINT('Origin',(21.125,47.75,-200.)); #513011=CARTESIAN_POINT('',(20.95,47.75,-0.052500000000002)); #513012=CARTESIAN_POINT('Origin',(21.125,47.75,-0.052500000000002)); #513013=CARTESIAN_POINT('',(20.95,47.75,0.)); #513014=CARTESIAN_POINT('',(20.95,47.75,-200.)); #513015=CARTESIAN_POINT('Origin',(21.125,47.75,0.)); #513016=CARTESIAN_POINT('Origin',(36.25,17.,-200.)); #513017=CARTESIAN_POINT('',(36.075,17.,-0.052500000000002)); #513018=CARTESIAN_POINT('Origin',(36.25,17.,-0.052500000000002)); #513019=CARTESIAN_POINT('',(36.075,17.,0.)); #513020=CARTESIAN_POINT('',(36.075,17.,-200.)); #513021=CARTESIAN_POINT('Origin',(36.25,17.,0.)); #513022=CARTESIAN_POINT('Origin',(22.,47.,-200.)); #513023=CARTESIAN_POINT('',(21.825,47.,-0.052500000000002)); #513024=CARTESIAN_POINT('Origin',(22.,47.,-0.052500000000002)); #513025=CARTESIAN_POINT('',(21.825,47.,0.)); #513026=CARTESIAN_POINT('',(21.825,47.,-200.)); #513027=CARTESIAN_POINT('Origin',(22.,47.,0.)); #513028=CARTESIAN_POINT('Origin',(61.25,34.,-200.)); #513029=CARTESIAN_POINT('',(61.075,34.,-0.052500000000002)); #513030=CARTESIAN_POINT('Origin',(61.25,34.,-0.052500000000002)); #513031=CARTESIAN_POINT('',(61.075,34.,0.)); #513032=CARTESIAN_POINT('',(61.075,34.,-200.)); #513033=CARTESIAN_POINT('Origin',(61.25,34.,0.)); #513034=CARTESIAN_POINT('Origin',(22.,47.75,-200.)); #513035=CARTESIAN_POINT('',(21.825,47.75,-0.052500000000002)); #513036=CARTESIAN_POINT('Origin',(22.,47.75,-0.052500000000002)); #513037=CARTESIAN_POINT('',(21.825,47.75,0.)); #513038=CARTESIAN_POINT('',(21.825,47.75,-200.)); #513039=CARTESIAN_POINT('Origin',(22.,47.75,0.)); #513040=CARTESIAN_POINT('Origin',(34.,17.,-200.)); #513041=CARTESIAN_POINT('',(33.825,17.,-0.052500000000002)); #513042=CARTESIAN_POINT('Origin',(34.,17.,-0.052500000000002)); #513043=CARTESIAN_POINT('',(33.825,17.,0.)); #513044=CARTESIAN_POINT('',(33.825,17.,-200.)); #513045=CARTESIAN_POINT('Origin',(34.,17.,0.)); #513046=CARTESIAN_POINT('Origin',(22.875,46.25,-200.)); #513047=CARTESIAN_POINT('',(22.7,46.25,-0.052500000000002)); #513048=CARTESIAN_POINT('Origin',(22.875,46.25,-0.052500000000002)); #513049=CARTESIAN_POINT('',(22.7,46.25,0.)); #513050=CARTESIAN_POINT('',(22.7,46.25,-200.)); #513051=CARTESIAN_POINT('Origin',(22.875,46.25,0.)); #513052=CARTESIAN_POINT('Origin',(62.,34.75,-200.)); #513053=CARTESIAN_POINT('',(61.825,34.75,-0.052500000000002)); #513054=CARTESIAN_POINT('Origin',(62.,34.75,-0.052500000000002)); #513055=CARTESIAN_POINT('',(61.825,34.75,0.)); #513056=CARTESIAN_POINT('',(61.825,34.75,-200.)); #513057=CARTESIAN_POINT('Origin',(62.,34.75,0.)); #513058=CARTESIAN_POINT('Origin',(21.125,46.25,-200.)); #513059=CARTESIAN_POINT('',(20.95,46.25,-0.052500000000002)); #513060=CARTESIAN_POINT('Origin',(21.125,46.25,-0.052500000000002)); #513061=CARTESIAN_POINT('',(20.95,46.25,0.)); #513062=CARTESIAN_POINT('',(20.95,46.25,-200.)); #513063=CARTESIAN_POINT('Origin',(21.125,46.25,0.)); #513064=CARTESIAN_POINT('Origin',(36.25,16.25,-200.)); #513065=CARTESIAN_POINT('',(36.075,16.25,-0.052500000000002)); #513066=CARTESIAN_POINT('Origin',(36.25,16.25,-0.052500000000002)); #513067=CARTESIAN_POINT('',(36.075,16.25,0.)); #513068=CARTESIAN_POINT('',(36.075,16.25,-200.)); #513069=CARTESIAN_POINT('Origin',(36.25,16.25,0.)); #513070=CARTESIAN_POINT('Origin',(22.875,47.,-200.)); #513071=CARTESIAN_POINT('',(22.7,47.,-0.052500000000002)); #513072=CARTESIAN_POINT('Origin',(22.875,47.,-0.052500000000002)); #513073=CARTESIAN_POINT('',(22.7,47.,0.)); #513074=CARTESIAN_POINT('',(22.7,47.,-200.)); #513075=CARTESIAN_POINT('Origin',(22.875,47.,0.)); #513076=CARTESIAN_POINT('Origin',(62.,34.,-200.)); #513077=CARTESIAN_POINT('',(61.825,34.,-0.052500000000002)); #513078=CARTESIAN_POINT('Origin',(62.,34.,-0.052500000000002)); #513079=CARTESIAN_POINT('',(61.825,34.,0.)); #513080=CARTESIAN_POINT('',(61.825,34.,-200.)); #513081=CARTESIAN_POINT('Origin',(62.,34.,0.)); #513082=CARTESIAN_POINT('Origin',(22.875,47.75,-200.)); #513083=CARTESIAN_POINT('',(22.7,47.75,-0.052500000000002)); #513084=CARTESIAN_POINT('Origin',(22.875,47.75,-0.052500000000002)); #513085=CARTESIAN_POINT('',(22.7,47.75,0.)); #513086=CARTESIAN_POINT('',(22.7,47.75,-200.)); #513087=CARTESIAN_POINT('Origin',(22.875,47.75,0.)); #513088=CARTESIAN_POINT('Origin',(21.75,34.,-200.)); #513089=CARTESIAN_POINT('',(21.2,34.,-0.052500000000002)); #513090=CARTESIAN_POINT('Origin',(21.75,34.,-0.052500000000002)); #513091=CARTESIAN_POINT('',(21.2,34.,0.)); #513092=CARTESIAN_POINT('',(21.2,34.,-200.)); #513093=CARTESIAN_POINT('Origin',(21.75,34.,0.)); #513094=CARTESIAN_POINT('Origin',(31.6,7.6,-200.)); #513095=CARTESIAN_POINT('',(31.45,7.6,-0.052500000000002)); #513096=CARTESIAN_POINT('Origin',(31.6,7.6,-0.052500000000002)); #513097=CARTESIAN_POINT('',(31.45,7.6,0.)); #513098=CARTESIAN_POINT('',(31.45,7.6,-200.)); #513099=CARTESIAN_POINT('Origin',(31.6,7.6,0.)); #513100=CARTESIAN_POINT('Origin',(6.5,34.75,-200.)); #513101=CARTESIAN_POINT('',(6.325,34.75,-0.052500000000002)); #513102=CARTESIAN_POINT('Origin',(6.5,34.75,-0.052500000000002)); #513103=CARTESIAN_POINT('',(6.325,34.75,0.)); #513104=CARTESIAN_POINT('',(6.325,34.75,-200.)); #513105=CARTESIAN_POINT('Origin',(6.5,34.75,0.)); #513106=CARTESIAN_POINT('Origin',(36.4,7.1,-200.)); #513107=CARTESIAN_POINT('',(36.25,7.1,-0.052500000000002)); #513108=CARTESIAN_POINT('Origin',(36.4,7.1,-0.052500000000002)); #513109=CARTESIAN_POINT('',(36.25,7.1,0.)); #513110=CARTESIAN_POINT('',(36.25,7.1,-200.)); #513111=CARTESIAN_POINT('Origin',(36.4,7.1,0.)); #513112=CARTESIAN_POINT('Origin',(31.75,14.75,-200.)); #513113=CARTESIAN_POINT('',(31.575,14.75,-0.052500000000002)); #513114=CARTESIAN_POINT('Origin',(31.75,14.75,-0.052500000000002)); #513115=CARTESIAN_POINT('',(31.575,14.75,0.)); #513116=CARTESIAN_POINT('',(31.575,14.75,-200.)); #513117=CARTESIAN_POINT('Origin',(31.75,14.75,0.)); #513118=CARTESIAN_POINT('Origin',(41.25,13.0029,-200.)); #513119=CARTESIAN_POINT('',(41.075,13.0029,-0.052500000000002)); #513120=CARTESIAN_POINT('Origin',(41.25,13.0029,-0.052500000000002)); #513121=CARTESIAN_POINT('',(41.075,13.0029,0.)); #513122=CARTESIAN_POINT('',(41.075,13.0029,-200.)); #513123=CARTESIAN_POINT('Origin',(41.25,13.0029,0.)); #513124=CARTESIAN_POINT('Origin',(6.5,34.,-200.)); #513125=CARTESIAN_POINT('',(6.325,34.,-0.052500000000002)); #513126=CARTESIAN_POINT('Origin',(6.5,34.,-0.052500000000002)); #513127=CARTESIAN_POINT('',(6.325,34.,0.)); #513128=CARTESIAN_POINT('',(6.325,34.,-200.)); #513129=CARTESIAN_POINT('Origin',(6.5,34.,0.)); #513130=CARTESIAN_POINT('Origin',(36.4,7.6,-200.)); #513131=CARTESIAN_POINT('',(36.25,7.6,-0.052500000000002)); #513132=CARTESIAN_POINT('Origin',(36.4,7.6,-0.052500000000002)); #513133=CARTESIAN_POINT('',(36.25,7.6,0.)); #513134=CARTESIAN_POINT('',(36.25,7.6,-200.)); #513135=CARTESIAN_POINT('Origin',(36.4,7.6,0.)); #513136=CARTESIAN_POINT('Origin',(33.25,17.,-200.)); #513137=CARTESIAN_POINT('',(33.075,17.,-0.052500000000002)); #513138=CARTESIAN_POINT('Origin',(33.25,17.,-0.052500000000002)); #513139=CARTESIAN_POINT('',(33.075,17.,0.)); #513140=CARTESIAN_POINT('',(33.075,17.,-200.)); #513141=CARTESIAN_POINT('Origin',(33.25,17.,0.)); #513142=CARTESIAN_POINT('Origin',(34.9375,12.25,-200.)); #513143=CARTESIAN_POINT('',(34.7625,12.25,-0.052500000000002)); #513144=CARTESIAN_POINT('Origin',(34.9375,12.25,-0.052500000000002)); #513145=CARTESIAN_POINT('',(34.7625,12.25,0.)); #513146=CARTESIAN_POINT('',(34.7625,12.25,-200.)); #513147=CARTESIAN_POINT('Origin',(34.9375,12.25,0.)); #513148=CARTESIAN_POINT('Origin',(7.25,33.25,-200.)); #513149=CARTESIAN_POINT('',(7.075,33.25,-0.052500000000002)); #513150=CARTESIAN_POINT('Origin',(7.25,33.25,-0.052500000000002)); #513151=CARTESIAN_POINT('',(7.075,33.25,0.)); #513152=CARTESIAN_POINT('',(7.075,33.25,-200.)); #513153=CARTESIAN_POINT('Origin',(7.25,33.25,0.)); #513154=CARTESIAN_POINT('Origin',(38.762,60.25,-200.)); #513155=CARTESIAN_POINT('',(38.587,60.25,-0.052500000000002)); #513156=CARTESIAN_POINT('Origin',(38.762,60.25,-0.052500000000002)); #513157=CARTESIAN_POINT('',(38.587,60.25,0.)); #513158=CARTESIAN_POINT('',(38.587,60.25,-200.)); #513159=CARTESIAN_POINT('Origin',(38.762,60.25,0.)); #513160=CARTESIAN_POINT('Origin',(32.5,14.75,-200.)); #513161=CARTESIAN_POINT('',(32.325,14.75,-0.052500000000002)); #513162=CARTESIAN_POINT('Origin',(32.5,14.75,-0.052500000000002)); #513163=CARTESIAN_POINT('',(32.325,14.75,0.)); #513164=CARTESIAN_POINT('',(32.325,14.75,-200.)); #513165=CARTESIAN_POINT('Origin',(32.5,14.75,0.)); #513166=CARTESIAN_POINT('Origin',(34.,55.,-200.)); #513167=CARTESIAN_POINT('',(33.825,55.,-0.052500000000002)); #513168=CARTESIAN_POINT('Origin',(34.,55.,-0.052500000000002)); #513169=CARTESIAN_POINT('',(33.825,55.,0.)); #513170=CARTESIAN_POINT('',(33.825,55.,-200.)); #513171=CARTESIAN_POINT('Origin',(34.,55.,0.)); #513172=CARTESIAN_POINT('Origin',(6.5,33.25,-200.)); #513173=CARTESIAN_POINT('',(6.325,33.25,-0.052500000000002)); #513174=CARTESIAN_POINT('Origin',(6.5,33.25,-0.052500000000002)); #513175=CARTESIAN_POINT('',(6.325,33.25,0.)); #513176=CARTESIAN_POINT('',(6.325,33.25,-200.)); #513177=CARTESIAN_POINT('Origin',(6.5,33.25,0.)); #513178=CARTESIAN_POINT('Origin',(42.5,14.25,-200.)); #513179=CARTESIAN_POINT('',(42.325,14.25,-0.052500000000002)); #513180=CARTESIAN_POINT('Origin',(42.5,14.25,-0.052500000000002)); #513181=CARTESIAN_POINT('',(42.325,14.25,0.)); #513182=CARTESIAN_POINT('',(42.325,14.25,-200.)); #513183=CARTESIAN_POINT('Origin',(42.5,14.25,0.)); #513184=CARTESIAN_POINT('Origin',(39.25,15.75,-200.)); #513185=CARTESIAN_POINT('',(39.075,15.75,-0.052500000000002)); #513186=CARTESIAN_POINT('Origin',(39.25,15.75,-0.052500000000002)); #513187=CARTESIAN_POINT('',(39.075,15.75,0.)); #513188=CARTESIAN_POINT('',(39.075,15.75,-200.)); #513189=CARTESIAN_POINT('Origin',(39.25,15.75,0.)); #513190=CARTESIAN_POINT('Origin',(30.5,10.5,-200.)); #513191=CARTESIAN_POINT('',(30.325,10.5,-0.052500000000002)); #513192=CARTESIAN_POINT('Origin',(30.5,10.5,-0.052500000000002)); #513193=CARTESIAN_POINT('',(30.325,10.5,0.)); #513194=CARTESIAN_POINT('',(30.325,10.5,-200.)); #513195=CARTESIAN_POINT('Origin',(30.5,10.5,0.)); #513196=CARTESIAN_POINT('Origin',(9.5,34.75,-200.)); #513197=CARTESIAN_POINT('',(9.325,34.75,-0.052500000000002)); #513198=CARTESIAN_POINT('Origin',(9.5,34.75,-0.052500000000002)); #513199=CARTESIAN_POINT('',(9.325,34.75,0.)); #513200=CARTESIAN_POINT('',(9.325,34.75,-200.)); #513201=CARTESIAN_POINT('Origin',(9.5,34.75,0.)); #513202=CARTESIAN_POINT('Origin',(36.5,10.5,-200.)); #513203=CARTESIAN_POINT('',(36.325,10.5,-0.052500000000002)); #513204=CARTESIAN_POINT('Origin',(36.5,10.5,-0.052500000000002)); #513205=CARTESIAN_POINT('',(36.325,10.5,0.)); #513206=CARTESIAN_POINT('',(36.325,10.5,-200.)); #513207=CARTESIAN_POINT('Origin',(36.5,10.5,0.)); #513208=CARTESIAN_POINT('Origin',(34.,14.75,-200.)); #513209=CARTESIAN_POINT('',(33.825,14.75,-0.052500000000002)); #513210=CARTESIAN_POINT('Origin',(34.,14.75,-0.052500000000002)); #513211=CARTESIAN_POINT('',(33.825,14.75,0.)); #513212=CARTESIAN_POINT('',(33.825,14.75,-200.)); #513213=CARTESIAN_POINT('Origin',(34.,14.75,0.)); #513214=CARTESIAN_POINT('Origin',(35.75,11.25,-200.)); #513215=CARTESIAN_POINT('',(35.575,11.25,-0.052500000000002)); #513216=CARTESIAN_POINT('Origin',(35.75,11.25,-0.052500000000002)); #513217=CARTESIAN_POINT('',(35.575,11.25,0.)); #513218=CARTESIAN_POINT('',(35.575,11.25,-200.)); #513219=CARTESIAN_POINT('Origin',(35.75,11.25,0.)); #513220=CARTESIAN_POINT('Origin',(10.25,34.75,-200.)); #513221=CARTESIAN_POINT('',(10.075,34.75,-0.052500000000002)); #513222=CARTESIAN_POINT('Origin',(10.25,34.75,-0.052500000000002)); #513223=CARTESIAN_POINT('',(10.075,34.75,0.)); #513224=CARTESIAN_POINT('',(10.075,34.75,-200.)); #513225=CARTESIAN_POINT('Origin',(10.25,34.75,0.)); #513226=CARTESIAN_POINT('Origin',(30.5,11.25,-200.)); #513227=CARTESIAN_POINT('',(30.325,11.25,-0.052500000000002)); #513228=CARTESIAN_POINT('Origin',(30.5,11.25,-0.052500000000002)); #513229=CARTESIAN_POINT('',(30.325,11.25,0.)); #513230=CARTESIAN_POINT('',(30.325,11.25,-200.)); #513231=CARTESIAN_POINT('Origin',(30.5,11.25,0.)); #513232=CARTESIAN_POINT('Origin',(34.,16.25,-200.)); #513233=CARTESIAN_POINT('',(33.825,16.25,-0.052500000000002)); #513234=CARTESIAN_POINT('Origin',(34.,16.25,-0.052500000000002)); #513235=CARTESIAN_POINT('',(33.825,16.25,0.)); #513236=CARTESIAN_POINT('',(33.825,16.25,-200.)); #513237=CARTESIAN_POINT('Origin',(34.,16.25,0.)); #513238=CARTESIAN_POINT('Origin',(39.25,16.5,-200.)); #513239=CARTESIAN_POINT('',(39.075,16.5,-0.052500000000002)); #513240=CARTESIAN_POINT('Origin',(39.25,16.5,-0.052500000000002)); #513241=CARTESIAN_POINT('',(39.075,16.5,0.)); #513242=CARTESIAN_POINT('',(39.075,16.5,-200.)); #513243=CARTESIAN_POINT('Origin',(39.25,16.5,0.)); #513244=CARTESIAN_POINT('Origin',(7.25,34.75,-200.)); #513245=CARTESIAN_POINT('',(7.075,34.75,-0.052500000000002)); #513246=CARTESIAN_POINT('Origin',(7.25,34.75,-0.052500000000002)); #513247=CARTESIAN_POINT('',(7.075,34.75,0.)); #513248=CARTESIAN_POINT('',(7.075,34.75,-200.)); #513249=CARTESIAN_POINT('Origin',(7.25,34.75,0.)); #513250=CARTESIAN_POINT('Origin',(30.762,60.25,-200.)); #513251=CARTESIAN_POINT('',(30.587,60.25,-0.052500000000002)); #513252=CARTESIAN_POINT('Origin',(30.762,60.25,-0.052500000000002)); #513253=CARTESIAN_POINT('',(30.587,60.25,0.)); #513254=CARTESIAN_POINT('',(30.587,60.25,-200.)); #513255=CARTESIAN_POINT('Origin',(30.762,60.25,0.)); #513256=CARTESIAN_POINT('Origin',(33.25,14.75,-200.)); #513257=CARTESIAN_POINT('',(33.075,14.75,-0.052500000000002)); #513258=CARTESIAN_POINT('Origin',(33.25,14.75,-0.052500000000002)); #513259=CARTESIAN_POINT('',(33.075,14.75,0.)); #513260=CARTESIAN_POINT('',(33.075,14.75,-200.)); #513261=CARTESIAN_POINT('Origin',(33.25,14.75,0.)); #513262=CARTESIAN_POINT('Origin',(33.25,55.,-200.)); #513263=CARTESIAN_POINT('',(33.075,55.,-0.052500000000002)); #513264=CARTESIAN_POINT('Origin',(33.25,55.,-0.052500000000002)); #513265=CARTESIAN_POINT('',(33.075,55.,0.)); #513266=CARTESIAN_POINT('',(33.075,55.,-200.)); #513267=CARTESIAN_POINT('Origin',(33.25,55.,0.)); #513268=CARTESIAN_POINT('Origin',(7.25,34.,-200.)); #513269=CARTESIAN_POINT('',(7.075,34.,-0.052500000000002)); #513270=CARTESIAN_POINT('Origin',(7.25,34.,-0.052500000000002)); #513271=CARTESIAN_POINT('',(7.075,34.,0.)); #513272=CARTESIAN_POINT('',(7.075,34.,-200.)); #513273=CARTESIAN_POINT('Origin',(7.25,34.,0.)); #513274=CARTESIAN_POINT('Origin',(34.762,60.25,-200.)); #513275=CARTESIAN_POINT('',(34.587,60.25,-0.052500000000002)); #513276=CARTESIAN_POINT('Origin',(34.762,60.25,-0.052500000000002)); #513277=CARTESIAN_POINT('',(34.587,60.25,0.)); #513278=CARTESIAN_POINT('',(34.587,60.25,-200.)); #513279=CARTESIAN_POINT('Origin',(34.762,60.25,0.)); #513280=CARTESIAN_POINT('Origin',(55.75,34.,-200.)); #513281=CARTESIAN_POINT('',(55.25,34.,-0.052500000000002)); #513282=CARTESIAN_POINT('Origin',(55.75,34.,-0.052500000000002)); #513283=CARTESIAN_POINT('',(55.25,34.,0.)); #513284=CARTESIAN_POINT('',(55.25,34.,-200.)); #513285=CARTESIAN_POINT('Origin',(55.75,34.,0.)); #513286=CARTESIAN_POINT('Origin',(30.03,2.09999997186536,-200.)); #513287=CARTESIAN_POINT('',(30.03,2.89999999062179,0.)); #513288=CARTESIAN_POINT('',(30.03,2.09999997186536,0.)); #513289=CARTESIAN_POINT('',(30.0300000000001,18.0499999859327,0.)); #513290=CARTESIAN_POINT('',(30.03,2.89999999062179,-0.052500000000002)); #513291=CARTESIAN_POINT('',(30.03,2.89999999062179,-200.)); #513292=CARTESIAN_POINT('',(30.03,2.09999997186536,-0.052500000000002)); #513293=CARTESIAN_POINT('',(30.0300000000001,18.0499999859327,-0.052500000000002)); #513294=CARTESIAN_POINT('',(30.03,2.09999997186536,-200.)); #513295=CARTESIAN_POINT('Origin',(29.68,2.1,-200.)); #513296=CARTESIAN_POINT('',(29.33,2.10000000937821,0.)); #513297=CARTESIAN_POINT('Origin',(29.68,2.1,0.)); #513298=CARTESIAN_POINT('',(29.33,2.10000000937821,-0.052500000000002)); #513299=CARTESIAN_POINT('Origin',(29.68,2.1,-0.052500000000002)); #513300=CARTESIAN_POINT('',(29.33,2.10000000937821,-200.)); #513301=CARTESIAN_POINT('Origin',(29.33,2.90000002813464,-200.)); #513302=CARTESIAN_POINT('',(29.33,2.90000002813464,0.)); #513303=CARTESIAN_POINT('',(29.3300000000001,18.4500000140673,0.)); #513304=CARTESIAN_POINT('',(29.33,2.90000002813464,-0.052500000000002)); #513305=CARTESIAN_POINT('',(29.3300000000001,18.4500000140673,-0.052500000000002)); #513306=CARTESIAN_POINT('',(29.33,2.90000002813464,-200.)); #513307=CARTESIAN_POINT('Origin',(29.68,2.9,-200.)); #513308=CARTESIAN_POINT('Origin',(29.68,2.9,0.)); #513309=CARTESIAN_POINT('Origin',(29.68,2.9,-0.052500000000002)); #513310=CARTESIAN_POINT('Origin',(35.25,6.25,-200.)); #513311=CARTESIAN_POINT('',(35.075,6.25,-0.052500000000002)); #513312=CARTESIAN_POINT('Origin',(35.25,6.25,-0.052500000000002)); #513313=CARTESIAN_POINT('',(35.075,6.25,0.)); #513314=CARTESIAN_POINT('',(35.075,6.25,-200.)); #513315=CARTESIAN_POINT('Origin',(35.25,6.25,0.)); #513316=CARTESIAN_POINT('Origin',(29.4500000482308,34.6,-200.)); #513317=CARTESIAN_POINT('',(28.8500000160769,34.6,0.)); #513318=CARTESIAN_POINT('',(29.4500000482308,34.6,0.)); #513319=CARTESIAN_POINT('',(31.7250000241154,34.6,0.)); #513320=CARTESIAN_POINT('',(28.8500000160769,34.6,-0.052500000000002)); #513321=CARTESIAN_POINT('',(28.8500000160769,34.6,-200.)); #513322=CARTESIAN_POINT('',(29.4500000482308,34.6,-0.052500000000002)); #513323=CARTESIAN_POINT('',(31.7250000241154,34.6,-0.052500000000002)); #513324=CARTESIAN_POINT('',(29.4500000482308,34.6,-200.)); #513325=CARTESIAN_POINT('Origin',(29.45,34.,-200.)); #513326=CARTESIAN_POINT('',(29.4499999839231,33.4,0.)); #513327=CARTESIAN_POINT('Origin',(29.45,34.,0.)); #513328=CARTESIAN_POINT('',(29.4499999839231,33.4,-0.052500000000002)); #513329=CARTESIAN_POINT('Origin',(29.45,34.,-0.052500000000002)); #513330=CARTESIAN_POINT('',(29.4499999839231,33.4,-200.)); #513331=CARTESIAN_POINT('Origin',(28.8499999517692,33.4,-200.)); #513332=CARTESIAN_POINT('',(28.8499999517692,33.4,0.)); #513333=CARTESIAN_POINT('',(31.4249999758846,33.4,0.)); #513334=CARTESIAN_POINT('',(28.8499999517692,33.4,-0.052500000000002)); #513335=CARTESIAN_POINT('',(31.4249999758846,33.4,-0.052500000000002)); #513336=CARTESIAN_POINT('',(28.8499999517692,33.4,-200.)); #513337=CARTESIAN_POINT('Origin',(28.85,34.,-200.)); #513338=CARTESIAN_POINT('Origin',(28.85,34.,0.)); #513339=CARTESIAN_POINT('Origin',(28.85,34.,-0.052500000000002)); #513340=CARTESIAN_POINT('Origin',(31.75,16.25,-200.)); #513341=CARTESIAN_POINT('',(31.575,16.25,-0.052500000000002)); #513342=CARTESIAN_POINT('Origin',(31.75,16.25,-0.052500000000002)); #513343=CARTESIAN_POINT('',(31.575,16.25,0.)); #513344=CARTESIAN_POINT('',(31.575,16.25,-200.)); #513345=CARTESIAN_POINT('Origin',(31.75,16.25,0.)); #513346=CARTESIAN_POINT('Origin',(30.8,7.6,-200.)); #513347=CARTESIAN_POINT('',(30.65,7.6,-0.052500000000002)); #513348=CARTESIAN_POINT('Origin',(30.8,7.6,-0.052500000000002)); #513349=CARTESIAN_POINT('',(30.65,7.6,0.)); #513350=CARTESIAN_POINT('',(30.65,7.6,-200.)); #513351=CARTESIAN_POINT('Origin',(30.8,7.6,0.)); #513352=CARTESIAN_POINT('Origin',(34.25,8.25,-200.)); #513353=CARTESIAN_POINT('',(34.075,8.25,-0.052500000000002)); #513354=CARTESIAN_POINT('Origin',(34.25,8.25,-0.052500000000002)); #513355=CARTESIAN_POINT('',(34.075,8.25,0.)); #513356=CARTESIAN_POINT('',(34.075,8.25,-200.)); #513357=CARTESIAN_POINT('Origin',(34.25,8.25,0.)); #513358=CARTESIAN_POINT('Origin',(39.1500000482308,34.6,-200.)); #513359=CARTESIAN_POINT('',(38.5500000160769,34.6,0.)); #513360=CARTESIAN_POINT('',(39.1500000482308,34.6,0.)); #513361=CARTESIAN_POINT('',(36.5750000241154,34.6,0.)); #513362=CARTESIAN_POINT('',(38.5500000160769,34.6,-0.052500000000002)); #513363=CARTESIAN_POINT('',(38.5500000160769,34.6,-200.)); #513364=CARTESIAN_POINT('',(39.1500000482308,34.6,-0.052500000000002)); #513365=CARTESIAN_POINT('',(36.5750000241154,34.6,-0.052500000000002)); #513366=CARTESIAN_POINT('',(39.1500000482308,34.6,-200.)); #513367=CARTESIAN_POINT('Origin',(39.15,34.,-200.)); #513368=CARTESIAN_POINT('',(39.1499999839231,33.4,0.)); #513369=CARTESIAN_POINT('Origin',(39.15,34.,0.)); #513370=CARTESIAN_POINT('',(39.1499999839231,33.4,-0.052500000000002)); #513371=CARTESIAN_POINT('Origin',(39.15,34.,-0.052500000000002)); #513372=CARTESIAN_POINT('',(39.1499999839231,33.4,-200.)); #513373=CARTESIAN_POINT('Origin',(38.5499999517692,33.4,-200.)); #513374=CARTESIAN_POINT('',(38.5499999517692,33.4,0.)); #513375=CARTESIAN_POINT('',(36.2749999758846,33.4,0.)); #513376=CARTESIAN_POINT('',(38.5499999517692,33.4,-0.052500000000002)); #513377=CARTESIAN_POINT('',(36.2749999758846,33.4,-0.052500000000002)); #513378=CARTESIAN_POINT('',(38.5499999517692,33.4,-200.)); #513379=CARTESIAN_POINT('Origin',(38.55,34.,-200.)); #513380=CARTESIAN_POINT('Origin',(38.55,34.,0.)); #513381=CARTESIAN_POINT('Origin',(38.55,34.,-0.052500000000002)); #513382=CARTESIAN_POINT('Origin',(34.75,17.75,-200.)); #513383=CARTESIAN_POINT('',(34.575,17.75,-0.052500000000002)); #513384=CARTESIAN_POINT('Origin',(34.75,17.75,-0.052500000000002)); #513385=CARTESIAN_POINT('',(34.575,17.75,0.)); #513386=CARTESIAN_POINT('',(34.575,17.75,-200.)); #513387=CARTESIAN_POINT('Origin',(34.75,17.75,0.)); #513388=CARTESIAN_POINT('Origin',(37.2,7.1,-200.)); #513389=CARTESIAN_POINT('',(37.05,7.1,-0.052500000000002)); #513390=CARTESIAN_POINT('Origin',(37.2,7.1,-0.052500000000002)); #513391=CARTESIAN_POINT('',(37.05,7.1,0.)); #513392=CARTESIAN_POINT('',(37.05,7.1,-200.)); #513393=CARTESIAN_POINT('Origin',(37.2,7.1,0.)); #513394=CARTESIAN_POINT('Origin',(32.75,8.25,-200.)); #513395=CARTESIAN_POINT('',(32.575,8.25,-0.052500000000002)); #513396=CARTESIAN_POINT('Origin',(32.75,8.25,-0.052500000000002)); #513397=CARTESIAN_POINT('',(32.575,8.25,0.)); #513398=CARTESIAN_POINT('',(32.575,8.25,-200.)); #513399=CARTESIAN_POINT('Origin',(32.75,8.25,0.)); #513400=CARTESIAN_POINT('Origin',(31.6,7.1,-200.)); #513401=CARTESIAN_POINT('',(31.45,7.1,-0.052500000000002)); #513402=CARTESIAN_POINT('Origin',(31.6,7.1,-0.052500000000002)); #513403=CARTESIAN_POINT('',(31.45,7.1,0.)); #513404=CARTESIAN_POINT('',(31.45,7.1,-200.)); #513405=CARTESIAN_POINT('Origin',(31.6,7.1,0.)); #513406=CARTESIAN_POINT('Origin',(31.75,15.5,-200.)); #513407=CARTESIAN_POINT('',(31.575,15.5,-0.052500000000002)); #513408=CARTESIAN_POINT('Origin',(31.75,15.5,-0.052500000000002)); #513409=CARTESIAN_POINT('',(31.575,15.5,0.)); #513410=CARTESIAN_POINT('',(31.575,15.5,-200.)); #513411=CARTESIAN_POINT('Origin',(31.75,15.5,0.)); #513412=CARTESIAN_POINT('Origin',(37.2,7.6,-200.)); #513413=CARTESIAN_POINT('',(37.05,7.6,-0.052500000000002)); #513414=CARTESIAN_POINT('Origin',(37.2,7.6,-0.052500000000002)); #513415=CARTESIAN_POINT('',(37.05,7.6,0.)); #513416=CARTESIAN_POINT('',(37.05,7.6,-200.)); #513417=CARTESIAN_POINT('Origin',(37.2,7.6,0.)); #513418=CARTESIAN_POINT('Origin',(33.75,6.2426,-200.)); #513419=CARTESIAN_POINT('',(33.575,6.2426,-0.052500000000002)); #513420=CARTESIAN_POINT('Origin',(33.75,6.2426,-0.052500000000002)); #513421=CARTESIAN_POINT('',(33.575,6.2426,0.)); #513422=CARTESIAN_POINT('',(33.575,6.2426,-200.)); #513423=CARTESIAN_POINT('Origin',(33.75,6.2426,0.)); #513424=CARTESIAN_POINT('Origin',(30.8,7.1,-200.)); #513425=CARTESIAN_POINT('',(30.65,7.1,-0.052500000000002)); #513426=CARTESIAN_POINT('Origin',(30.8,7.1,-0.052500000000002)); #513427=CARTESIAN_POINT('',(30.65,7.1,0.)); #513428=CARTESIAN_POINT('',(30.65,7.1,-200.)); #513429=CARTESIAN_POINT('Origin',(30.8,7.1,0.)); #513430=CARTESIAN_POINT('Origin',(34.75,55.,-200.)); #513431=CARTESIAN_POINT('',(34.575,55.,-0.052500000000002)); #513432=CARTESIAN_POINT('Origin',(34.75,55.,-0.052500000000002)); #513433=CARTESIAN_POINT('',(34.575,55.,0.)); #513434=CARTESIAN_POINT('',(34.575,55.,-200.)); #513435=CARTESIAN_POINT('Origin',(34.75,55.,0.)); #513436=CARTESIAN_POINT('Origin',(42.25,28.5,-200.)); #513437=CARTESIAN_POINT('',(42.075,28.5,-0.052500000000002)); #513438=CARTESIAN_POINT('Origin',(42.25,28.5,-0.052500000000002)); #513439=CARTESIAN_POINT('',(42.075,28.5,0.)); #513440=CARTESIAN_POINT('',(42.075,28.5,-200.)); #513441=CARTESIAN_POINT('Origin',(42.25,28.5,0.)); #513442=CARTESIAN_POINT('Origin',(30.03,6.27999997186536,-200.)); #513443=CARTESIAN_POINT('',(30.03,7.07999999062179,0.)); #513444=CARTESIAN_POINT('',(30.03,6.27999997186536,0.)); #513445=CARTESIAN_POINT('',(30.0300000000001,20.1399999859327,0.)); #513446=CARTESIAN_POINT('',(30.03,7.07999999062179,-0.052500000000002)); #513447=CARTESIAN_POINT('',(30.03,7.07999999062179,-200.)); #513448=CARTESIAN_POINT('',(30.03,6.27999997186536,-0.052500000000002)); #513449=CARTESIAN_POINT('',(30.0300000000001,20.1399999859327,-0.052500000000002)); #513450=CARTESIAN_POINT('',(30.03,6.27999997186536,-200.)); #513451=CARTESIAN_POINT('Origin',(29.68,6.28,-200.)); #513452=CARTESIAN_POINT('',(29.33,6.28000000937821,0.)); #513453=CARTESIAN_POINT('Origin',(29.68,6.28,0.)); #513454=CARTESIAN_POINT('',(29.33,6.28000000937821,-0.052500000000002)); #513455=CARTESIAN_POINT('Origin',(29.68,6.28,-0.052500000000002)); #513456=CARTESIAN_POINT('',(29.33,6.28000000937821,-200.)); #513457=CARTESIAN_POINT('Origin',(29.33,7.08000002813464,-200.)); #513458=CARTESIAN_POINT('',(29.33,7.08000002813464,0.)); #513459=CARTESIAN_POINT('',(29.3300000000001,20.5400000140673,0.)); #513460=CARTESIAN_POINT('',(29.33,7.08000002813464,-0.052500000000002)); #513461=CARTESIAN_POINT('',(29.3300000000001,20.5400000140673,-0.052500000000002)); #513462=CARTESIAN_POINT('',(29.33,7.08000002813464,-200.)); #513463=CARTESIAN_POINT('Origin',(29.68,7.08,-200.)); #513464=CARTESIAN_POINT('Origin',(29.68,7.08,0.)); #513465=CARTESIAN_POINT('Origin',(29.68,7.08,-0.052500000000002)); #513466=CARTESIAN_POINT('Origin',(31.75,17.,-200.)); #513467=CARTESIAN_POINT('',(31.575,17.,-0.052500000000002)); #513468=CARTESIAN_POINT('Origin',(31.75,17.,-0.052500000000002)); #513469=CARTESIAN_POINT('',(31.575,17.,0.)); #513470=CARTESIAN_POINT('',(31.575,17.,-200.)); #513471=CARTESIAN_POINT('Origin',(31.75,17.,0.)); #513472=CARTESIAN_POINT('Origin',(38.67,2.09999997186536,-200.)); #513473=CARTESIAN_POINT('',(38.67,2.89999999062179,0.)); #513474=CARTESIAN_POINT('',(38.67,2.09999997186536,0.)); #513475=CARTESIAN_POINT('',(38.6700000000001,18.0499999859327,0.)); #513476=CARTESIAN_POINT('',(38.67,2.89999999062179,-0.052500000000002)); #513477=CARTESIAN_POINT('',(38.67,2.89999999062179,-200.)); #513478=CARTESIAN_POINT('',(38.67,2.09999997186536,-0.052500000000002)); #513479=CARTESIAN_POINT('',(38.6700000000001,18.0499999859327,-0.052500000000002)); #513480=CARTESIAN_POINT('',(38.67,2.09999997186536,-200.)); #513481=CARTESIAN_POINT('Origin',(38.32,2.1,-200.)); #513482=CARTESIAN_POINT('',(37.97,2.10000000937821,0.)); #513483=CARTESIAN_POINT('Origin',(38.32,2.1,0.)); #513484=CARTESIAN_POINT('',(37.97,2.10000000937821,-0.052500000000002)); #513485=CARTESIAN_POINT('Origin',(38.32,2.1,-0.052500000000002)); #513486=CARTESIAN_POINT('',(37.97,2.10000000937821,-200.)); #513487=CARTESIAN_POINT('Origin',(37.97,2.90000002813464,-200.)); #513488=CARTESIAN_POINT('',(37.97,2.90000002813464,0.)); #513489=CARTESIAN_POINT('',(37.9700000000001,18.4500000140673,0.)); #513490=CARTESIAN_POINT('',(37.97,2.90000002813464,-0.052500000000002)); #513491=CARTESIAN_POINT('',(37.9700000000001,18.4500000140673,-0.052500000000002)); #513492=CARTESIAN_POINT('',(37.97,2.90000002813464,-200.)); #513493=CARTESIAN_POINT('Origin',(38.32,2.9,-200.)); #513494=CARTESIAN_POINT('Origin',(38.32,2.9,0.)); #513495=CARTESIAN_POINT('Origin',(38.32,2.9,-0.052500000000002)); #513496=CARTESIAN_POINT('Origin',(34.,23.125,-200.)); #513497=CARTESIAN_POINT('',(33.825,23.125,-0.052500000000002)); #513498=CARTESIAN_POINT('Origin',(34.,23.125,-0.052500000000002)); #513499=CARTESIAN_POINT('',(33.825,23.125,0.)); #513500=CARTESIAN_POINT('',(33.825,23.125,-200.)); #513501=CARTESIAN_POINT('Origin',(34.,23.125,0.)); #513502=CARTESIAN_POINT('Origin',(38.67,6.27999997186536,-200.)); #513503=CARTESIAN_POINT('',(38.67,7.07999999062179,0.)); #513504=CARTESIAN_POINT('',(38.67,6.27999997186536,0.)); #513505=CARTESIAN_POINT('',(38.6700000000001,20.1399999859327,0.)); #513506=CARTESIAN_POINT('',(38.67,7.07999999062179,-0.052500000000002)); #513507=CARTESIAN_POINT('',(38.67,7.07999999062179,-200.)); #513508=CARTESIAN_POINT('',(38.67,6.27999997186536,-0.052500000000002)); #513509=CARTESIAN_POINT('',(38.6700000000001,20.1399999859327,-0.052500000000002)); #513510=CARTESIAN_POINT('',(38.67,6.27999997186536,-200.)); #513511=CARTESIAN_POINT('Origin',(38.32,6.28,-200.)); #513512=CARTESIAN_POINT('',(37.97,6.28000000937821,0.)); #513513=CARTESIAN_POINT('Origin',(38.32,6.28,0.)); #513514=CARTESIAN_POINT('',(37.97,6.28000000937821,-0.052500000000002)); #513515=CARTESIAN_POINT('Origin',(38.32,6.28,-0.052500000000002)); #513516=CARTESIAN_POINT('',(37.97,6.28000000937821,-200.)); #513517=CARTESIAN_POINT('Origin',(37.97,7.08000002813464,-200.)); #513518=CARTESIAN_POINT('',(37.97,7.08000002813464,0.)); #513519=CARTESIAN_POINT('',(37.9700000000001,20.5400000140673,0.)); #513520=CARTESIAN_POINT('',(37.97,7.08000002813464,-0.052500000000002)); #513521=CARTESIAN_POINT('',(37.9700000000001,20.5400000140673,-0.052500000000002)); #513522=CARTESIAN_POINT('',(37.97,7.08000002813464,-200.)); #513523=CARTESIAN_POINT('Origin',(38.32,7.08,-200.)); #513524=CARTESIAN_POINT('Origin',(38.32,7.08,0.)); #513525=CARTESIAN_POINT('Origin',(38.32,7.08,-0.052500000000002)); #513526=CARTESIAN_POINT('Origin',(33.25,17.75,-200.)); #513527=CARTESIAN_POINT('',(33.075,17.75,-0.052500000000002)); #513528=CARTESIAN_POINT('Origin',(33.25,17.75,-0.052500000000002)); #513529=CARTESIAN_POINT('',(33.075,17.75,0.)); #513530=CARTESIAN_POINT('',(33.075,17.75,-200.)); #513531=CARTESIAN_POINT('Origin',(33.25,17.75,0.)); #513532=CARTESIAN_POINT('Origin',(40.75,27.,-200.)); #513533=CARTESIAN_POINT('',(40.575,27.,-0.052500000000002)); #513534=CARTESIAN_POINT('Origin',(40.75,27.,-0.052500000000002)); #513535=CARTESIAN_POINT('',(40.575,27.,0.)); #513536=CARTESIAN_POINT('',(40.575,27.,-200.)); #513537=CARTESIAN_POINT('Origin',(40.75,27.,0.)); #513538=CARTESIAN_POINT('Origin',(31.75,17.75,-200.)); #513539=CARTESIAN_POINT('',(31.575,17.75,-0.052500000000002)); #513540=CARTESIAN_POINT('Origin',(31.75,17.75,-0.052500000000002)); #513541=CARTESIAN_POINT('',(31.575,17.75,0.)); #513542=CARTESIAN_POINT('',(31.575,17.75,-200.)); #513543=CARTESIAN_POINT('Origin',(31.75,17.75,0.)); #513544=CARTESIAN_POINT('Origin',(15.25,21.,-200.)); #513545=CARTESIAN_POINT('',(15.075,21.,-0.052500000000002)); #513546=CARTESIAN_POINT('Origin',(15.25,21.,-0.052500000000002)); #513547=CARTESIAN_POINT('',(15.075,21.,0.)); #513548=CARTESIAN_POINT('',(15.075,21.,-200.)); #513549=CARTESIAN_POINT('Origin',(15.25,21.,0.)); #513550=CARTESIAN_POINT('Origin',(32.73,45.,-200.)); #513551=CARTESIAN_POINT('',(32.13,45.,-0.052500000000002)); #513552=CARTESIAN_POINT('Origin',(32.73,45.,-0.052500000000002)); #513553=CARTESIAN_POINT('',(32.13,45.,0.)); #513554=CARTESIAN_POINT('',(32.13,45.,-200.)); #513555=CARTESIAN_POINT('Origin',(32.73,45.,0.)); #513556=CARTESIAN_POINT('Origin',(45.125,56.75,-200.)); #513557=CARTESIAN_POINT('',(44.95,56.75,-0.052500000000002)); #513558=CARTESIAN_POINT('Origin',(45.125,56.75,-0.052500000000002)); #513559=CARTESIAN_POINT('',(44.95,56.75,0.)); #513560=CARTESIAN_POINT('',(44.95,56.75,-200.)); #513561=CARTESIAN_POINT('Origin',(45.125,56.75,0.)); #513562=CARTESIAN_POINT('Origin',(55.25,21.75,-200.)); #513563=CARTESIAN_POINT('',(55.075,21.75,-0.052500000000002)); #513564=CARTESIAN_POINT('Origin',(55.25,21.75,-0.052500000000002)); #513565=CARTESIAN_POINT('',(55.075,21.75,0.)); #513566=CARTESIAN_POINT('',(55.075,21.75,-200.)); #513567=CARTESIAN_POINT('Origin',(55.25,21.75,0.)); #513568=CARTESIAN_POINT('Origin',(47.75,56.75,-200.)); #513569=CARTESIAN_POINT('',(47.575,56.75,-0.052500000000002)); #513570=CARTESIAN_POINT('Origin',(47.75,56.75,-0.052500000000002)); #513571=CARTESIAN_POINT('',(47.575,56.75,0.)); #513572=CARTESIAN_POINT('',(47.575,56.75,-200.)); #513573=CARTESIAN_POINT('Origin',(47.75,56.75,0.)); #513574=CARTESIAN_POINT('Origin',(35.5,15.5,-200.)); #513575=CARTESIAN_POINT('',(35.325,15.5,-0.052500000000002)); #513576=CARTESIAN_POINT('Origin',(35.5,15.5,-0.052500000000002)); #513577=CARTESIAN_POINT('',(35.325,15.5,0.)); #513578=CARTESIAN_POINT('',(35.325,15.5,-200.)); #513579=CARTESIAN_POINT('Origin',(35.5,15.5,0.)); #513580=CARTESIAN_POINT('Origin',(47.75,55.25,-200.)); #513581=CARTESIAN_POINT('',(47.575,55.25,-0.052500000000002)); #513582=CARTESIAN_POINT('Origin',(47.75,55.25,-0.052500000000002)); #513583=CARTESIAN_POINT('',(47.575,55.25,0.)); #513584=CARTESIAN_POINT('',(47.575,55.25,-200.)); #513585=CARTESIAN_POINT('Origin',(47.75,55.25,0.)); #513586=CARTESIAN_POINT('Origin',(55.25,21.,-200.)); #513587=CARTESIAN_POINT('',(55.075,21.,-0.052500000000002)); #513588=CARTESIAN_POINT('Origin',(55.25,21.,-0.052500000000002)); #513589=CARTESIAN_POINT('',(55.075,21.,0.)); #513590=CARTESIAN_POINT('',(55.075,21.,-200.)); #513591=CARTESIAN_POINT('Origin',(55.25,21.,0.)); #513592=CARTESIAN_POINT('Origin',(47.75,56.,-200.)); #513593=CARTESIAN_POINT('',(47.575,56.,-0.052500000000002)); #513594=CARTESIAN_POINT('Origin',(47.75,56.,-0.052500000000002)); #513595=CARTESIAN_POINT('',(47.575,56.,0.)); #513596=CARTESIAN_POINT('',(47.575,56.,-200.)); #513597=CARTESIAN_POINT('Origin',(47.75,56.,0.)); #513598=CARTESIAN_POINT('Origin',(30.75,9.5,-200.)); #513599=CARTESIAN_POINT('',(30.575,9.5,-0.052500000000002)); #513600=CARTESIAN_POINT('Origin',(30.75,9.5,-0.052500000000002)); #513601=CARTESIAN_POINT('',(30.575,9.5,0.)); #513602=CARTESIAN_POINT('',(30.575,9.5,-200.)); #513603=CARTESIAN_POINT('Origin',(30.75,9.5,0.)); #513604=CARTESIAN_POINT('Origin',(46.,56.,-200.)); #513605=CARTESIAN_POINT('',(45.825,56.,-0.052500000000002)); #513606=CARTESIAN_POINT('Origin',(46.,56.,-0.052500000000002)); #513607=CARTESIAN_POINT('',(45.825,56.,0.)); #513608=CARTESIAN_POINT('',(45.825,56.,-200.)); #513609=CARTESIAN_POINT('Origin',(46.,56.,0.)); #513610=CARTESIAN_POINT('Origin',(40.,38.75,-200.)); #513611=CARTESIAN_POINT('',(39.825,38.75,-0.052500000000002)); #513612=CARTESIAN_POINT('Origin',(40.,38.75,-0.052500000000002)); #513613=CARTESIAN_POINT('',(39.825,38.75,0.)); #513614=CARTESIAN_POINT('',(39.825,38.75,-200.)); #513615=CARTESIAN_POINT('Origin',(40.,38.75,0.)); #513616=CARTESIAN_POINT('Origin',(44.25,56.,-200.)); #513617=CARTESIAN_POINT('',(44.075,56.,-0.052500000000002)); #513618=CARTESIAN_POINT('Origin',(44.25,56.,-0.052500000000002)); #513619=CARTESIAN_POINT('',(44.075,56.,0.)); #513620=CARTESIAN_POINT('',(44.075,56.,-200.)); #513621=CARTESIAN_POINT('Origin',(44.25,56.,0.)); #513622=CARTESIAN_POINT('Origin',(34.75,15.5,-200.)); #513623=CARTESIAN_POINT('',(34.575,15.5,-0.052500000000002)); #513624=CARTESIAN_POINT('Origin',(34.75,15.5,-0.052500000000002)); #513625=CARTESIAN_POINT('',(34.575,15.5,0.)); #513626=CARTESIAN_POINT('',(34.575,15.5,-200.)); #513627=CARTESIAN_POINT('Origin',(34.75,15.5,0.)); #513628=CARTESIAN_POINT('Origin',(46.,56.75,-200.)); #513629=CARTESIAN_POINT('',(45.825,56.75,-0.052500000000002)); #513630=CARTESIAN_POINT('Origin',(46.,56.75,-0.052500000000002)); #513631=CARTESIAN_POINT('',(45.825,56.75,0.)); #513632=CARTESIAN_POINT('',(45.825,56.75,-200.)); #513633=CARTESIAN_POINT('Origin',(46.,56.75,0.)); #513634=CARTESIAN_POINT('Origin',(40.,39.5,-200.)); #513635=CARTESIAN_POINT('',(39.825,39.5,-0.052500000000002)); #513636=CARTESIAN_POINT('Origin',(40.,39.5,-0.052500000000002)); #513637=CARTESIAN_POINT('',(39.825,39.5,0.)); #513638=CARTESIAN_POINT('',(39.825,39.5,-200.)); #513639=CARTESIAN_POINT('Origin',(40.,39.5,0.)); #513640=CARTESIAN_POINT('Origin',(46.,55.25,-200.)); #513641=CARTESIAN_POINT('',(45.825,55.25,-0.052500000000002)); #513642=CARTESIAN_POINT('Origin',(46.,55.25,-0.052500000000002)); #513643=CARTESIAN_POINT('',(45.825,55.25,0.)); #513644=CARTESIAN_POINT('',(45.825,55.25,-200.)); #513645=CARTESIAN_POINT('Origin',(46.,55.25,0.)); #513646=CARTESIAN_POINT('Origin',(34.,25.25,-200.)); #513647=CARTESIAN_POINT('',(33.5,25.25,-0.052500000000002)); #513648=CARTESIAN_POINT('Origin',(34.,25.25,-0.052500000000002)); #513649=CARTESIAN_POINT('',(33.5,25.25,0.)); #513650=CARTESIAN_POINT('',(33.5,25.25,-200.)); #513651=CARTESIAN_POINT('Origin',(34.,25.25,0.)); #513652=CARTESIAN_POINT('Origin',(52.125,47.75,-200.)); #513653=CARTESIAN_POINT('',(51.95,47.75,-0.052500000000002)); #513654=CARTESIAN_POINT('Origin',(52.125,47.75,-0.052500000000002)); #513655=CARTESIAN_POINT('',(51.95,47.75,0.)); #513656=CARTESIAN_POINT('',(51.95,47.75,-200.)); #513657=CARTESIAN_POINT('Origin',(52.125,47.75,0.)); #513658=CARTESIAN_POINT('Origin',(28.,38.75,-200.)); #513659=CARTESIAN_POINT('',(27.825,38.75,-0.052500000000002)); #513660=CARTESIAN_POINT('Origin',(28.,38.75,-0.052500000000002)); #513661=CARTESIAN_POINT('',(27.825,38.75,0.)); #513662=CARTESIAN_POINT('',(27.825,38.75,-200.)); #513663=CARTESIAN_POINT('Origin',(28.,38.75,0.)); #513664=CARTESIAN_POINT('Origin',(52.125,47.,-200.)); #513665=CARTESIAN_POINT('',(51.95,47.,-0.052500000000002)); #513666=CARTESIAN_POINT('Origin',(52.125,47.,-0.052500000000002)); #513667=CARTESIAN_POINT('',(51.95,47.,0.)); #513668=CARTESIAN_POINT('',(51.95,47.,-200.)); #513669=CARTESIAN_POINT('Origin',(52.125,47.,0.)); #513670=CARTESIAN_POINT('Origin',(33.25,15.5,-200.)); #513671=CARTESIAN_POINT('',(33.075,15.5,-0.052500000000002)); #513672=CARTESIAN_POINT('Origin',(33.25,15.5,-0.052500000000002)); #513673=CARTESIAN_POINT('',(33.075,15.5,0.)); #513674=CARTESIAN_POINT('',(33.075,15.5,-200.)); #513675=CARTESIAN_POINT('Origin',(33.25,15.5,0.)); #513676=CARTESIAN_POINT('Origin',(51.25,46.25,-200.)); #513677=CARTESIAN_POINT('',(51.075,46.25,-0.052500000000002)); #513678=CARTESIAN_POINT('Origin',(51.25,46.25,-0.052500000000002)); #513679=CARTESIAN_POINT('',(51.075,46.25,0.)); #513680=CARTESIAN_POINT('',(51.075,46.25,-200.)); #513681=CARTESIAN_POINT('Origin',(51.25,46.25,0.)); #513682=CARTESIAN_POINT('Origin',(28.,38.,-200.)); #513683=CARTESIAN_POINT('',(27.825,38.,-0.052500000000002)); #513684=CARTESIAN_POINT('Origin',(28.,38.,-0.052500000000002)); #513685=CARTESIAN_POINT('',(27.825,38.,0.)); #513686=CARTESIAN_POINT('',(27.825,38.,-200.)); #513687=CARTESIAN_POINT('Origin',(28.,38.,0.)); #513688=CARTESIAN_POINT('Origin',(52.125,46.25,-200.)); #513689=CARTESIAN_POINT('',(51.95,46.25,-0.052500000000002)); #513690=CARTESIAN_POINT('Origin',(52.125,46.25,-0.052500000000002)); #513691=CARTESIAN_POINT('',(51.95,46.25,0.)); #513692=CARTESIAN_POINT('',(51.95,46.25,-200.)); #513693=CARTESIAN_POINT('Origin',(52.125,46.25,0.)); #513694=CARTESIAN_POINT('Origin',(30.75,8.75,-200.)); #513695=CARTESIAN_POINT('',(30.575,8.75,-0.052500000000002)); #513696=CARTESIAN_POINT('Origin',(30.75,8.75,-0.052500000000002)); #513697=CARTESIAN_POINT('',(30.575,8.75,0.)); #513698=CARTESIAN_POINT('',(30.575,8.75,-200.)); #513699=CARTESIAN_POINT('Origin',(30.75,8.75,0.)); #513700=CARTESIAN_POINT('Origin',(41.25,31.,-200.)); #513701=CARTESIAN_POINT('',(41.075,31.,-0.052500000000002)); #513702=CARTESIAN_POINT('Origin',(41.25,31.,-0.052500000000002)); #513703=CARTESIAN_POINT('',(41.075,31.,0.)); #513704=CARTESIAN_POINT('',(41.075,31.,-200.)); #513705=CARTESIAN_POINT('Origin',(41.25,31.,0.)); #513706=CARTESIAN_POINT('Origin',(28.,39.5,-200.)); #513707=CARTESIAN_POINT('',(27.825,39.5,-0.052500000000002)); #513708=CARTESIAN_POINT('Origin',(28.,39.5,-0.052500000000002)); #513709=CARTESIAN_POINT('',(27.825,39.5,0.)); #513710=CARTESIAN_POINT('',(27.825,39.5,-200.)); #513711=CARTESIAN_POINT('Origin',(28.,39.5,0.)); #513712=CARTESIAN_POINT('Origin',(41.25,36.,-200.)); #513713=CARTESIAN_POINT('',(41.075,36.,-0.052500000000002)); #513714=CARTESIAN_POINT('Origin',(41.25,36.,-0.052500000000002)); #513715=CARTESIAN_POINT('',(41.075,36.,0.)); #513716=CARTESIAN_POINT('',(41.075,36.,-200.)); #513717=CARTESIAN_POINT('Origin',(41.25,36.,0.)); #513718=CARTESIAN_POINT('Origin',(34.,15.5,-200.)); #513719=CARTESIAN_POINT('',(33.825,15.5,-0.052500000000002)); #513720=CARTESIAN_POINT('Origin',(34.,15.5,-0.052500000000002)); #513721=CARTESIAN_POINT('',(33.825,15.5,0.)); #513722=CARTESIAN_POINT('',(33.825,15.5,-200.)); #513723=CARTESIAN_POINT('Origin',(34.,15.5,0.)); #513724=CARTESIAN_POINT('Origin',(44.25,56.75,-200.)); #513725=CARTESIAN_POINT('',(44.075,56.75,-0.052500000000002)); #513726=CARTESIAN_POINT('Origin',(44.25,56.75,-0.052500000000002)); #513727=CARTESIAN_POINT('',(44.075,56.75,0.)); #513728=CARTESIAN_POINT('',(44.075,56.75,-200.)); #513729=CARTESIAN_POINT('Origin',(44.25,56.75,0.)); #513730=CARTESIAN_POINT('Origin',(40.,38.,-200.)); #513731=CARTESIAN_POINT('',(39.825,38.,-0.052500000000002)); #513732=CARTESIAN_POINT('Origin',(40.,38.,-0.052500000000002)); #513733=CARTESIAN_POINT('',(39.825,38.,0.)); #513734=CARTESIAN_POINT('',(39.825,38.,-200.)); #513735=CARTESIAN_POINT('Origin',(40.,38.,0.)); #513736=CARTESIAN_POINT('Origin',(44.25,55.25,-200.)); #513737=CARTESIAN_POINT('',(44.075,55.25,-0.052500000000002)); #513738=CARTESIAN_POINT('Origin',(44.25,55.25,-0.052500000000002)); #513739=CARTESIAN_POINT('',(44.075,55.25,0.)); #513740=CARTESIAN_POINT('',(44.075,55.25,-200.)); #513741=CARTESIAN_POINT('Origin',(44.25,55.25,0.)); #513742=CARTESIAN_POINT('Origin',(43.75,34.,-200.)); #513743=CARTESIAN_POINT('',(43.2,34.,-0.052500000000002)); #513744=CARTESIAN_POINT('Origin',(43.75,34.,-0.052500000000002)); #513745=CARTESIAN_POINT('',(43.2,34.,0.)); #513746=CARTESIAN_POINT('',(43.2,34.,-200.)); #513747=CARTESIAN_POINT('Origin',(43.75,34.,0.)); #513748=CARTESIAN_POINT('Origin',(48.625,47.75,-200.)); #513749=CARTESIAN_POINT('',(48.45,47.75,-0.052500000000002)); #513750=CARTESIAN_POINT('Origin',(48.625,47.75,-0.052500000000002)); #513751=CARTESIAN_POINT('',(48.45,47.75,0.)); #513752=CARTESIAN_POINT('',(48.45,47.75,-200.)); #513753=CARTESIAN_POINT('Origin',(48.625,47.75,0.)); #513754=CARTESIAN_POINT('Origin',(41.,24.5,-200.)); #513755=CARTESIAN_POINT('',(40.825,24.5,-0.052500000000002)); #513756=CARTESIAN_POINT('Origin',(41.,24.5,-0.052500000000002)); #513757=CARTESIAN_POINT('',(40.825,24.5,0.)); #513758=CARTESIAN_POINT('',(40.825,24.5,-200.)); #513759=CARTESIAN_POINT('Origin',(41.,24.5,0.)); #513760=CARTESIAN_POINT('Origin',(48.625,47.,-200.)); #513761=CARTESIAN_POINT('',(48.45,47.,-0.052500000000002)); #513762=CARTESIAN_POINT('Origin',(48.625,47.,-0.052500000000002)); #513763=CARTESIAN_POINT('',(48.45,47.,0.)); #513764=CARTESIAN_POINT('',(48.45,47.,-200.)); #513765=CARTESIAN_POINT('Origin',(48.625,47.,0.)); #513766=CARTESIAN_POINT('Origin',(32.5,17.,-200.)); #513767=CARTESIAN_POINT('',(32.325,17.,-0.052500000000002)); #513768=CARTESIAN_POINT('Origin',(32.5,17.,-0.052500000000002)); #513769=CARTESIAN_POINT('',(32.325,17.,0.)); #513770=CARTESIAN_POINT('',(32.325,17.,-200.)); #513771=CARTESIAN_POINT('Origin',(32.5,17.,0.)); #513772=CARTESIAN_POINT('Origin',(46.875,47.75,-200.)); #513773=CARTESIAN_POINT('',(46.7,47.75,-0.052500000000002)); #513774=CARTESIAN_POINT('Origin',(46.875,47.75,-0.052500000000002)); #513775=CARTESIAN_POINT('',(46.7,47.75,0.)); #513776=CARTESIAN_POINT('',(46.7,47.75,-200.)); #513777=CARTESIAN_POINT('Origin',(46.875,47.75,0.)); #513778=CARTESIAN_POINT('Origin',(41.,26.,-200.)); #513779=CARTESIAN_POINT('',(40.825,26.,-0.052500000000002)); #513780=CARTESIAN_POINT('Origin',(41.,26.,-0.052500000000002)); #513781=CARTESIAN_POINT('',(40.825,26.,0.)); #513782=CARTESIAN_POINT('',(40.825,26.,-200.)); #513783=CARTESIAN_POINT('Origin',(41.,26.,0.)); #513784=CARTESIAN_POINT('Origin',(48.625,46.25,-200.)); #513785=CARTESIAN_POINT('',(48.45,46.25,-0.052500000000002)); #513786=CARTESIAN_POINT('Origin',(48.625,46.25,-0.052500000000002)); #513787=CARTESIAN_POINT('',(48.45,46.25,0.)); #513788=CARTESIAN_POINT('',(48.45,46.25,-200.)); #513789=CARTESIAN_POINT('Origin',(48.625,46.25,0.)); #513790=CARTESIAN_POINT('Origin',(34.75,5.5,-200.)); #513791=CARTESIAN_POINT('',(34.575,5.5,-0.052500000000002)); #513792=CARTESIAN_POINT('Origin',(34.75,5.5,-0.052500000000002)); #513793=CARTESIAN_POINT('',(34.575,5.5,0.)); #513794=CARTESIAN_POINT('',(34.575,5.5,-200.)); #513795=CARTESIAN_POINT('Origin',(34.75,5.5,0.)); #513796=CARTESIAN_POINT('Origin',(45.125,46.25,-200.)); #513797=CARTESIAN_POINT('',(44.95,46.25,-0.052500000000002)); #513798=CARTESIAN_POINT('Origin',(45.125,46.25,-0.052500000000002)); #513799=CARTESIAN_POINT('',(44.95,46.25,0.)); #513800=CARTESIAN_POINT('',(44.95,46.25,-200.)); #513801=CARTESIAN_POINT('Origin',(45.125,46.25,0.)); #513802=CARTESIAN_POINT('Origin',(41.75,25.25,-200.)); #513803=CARTESIAN_POINT('',(41.575,25.25,-0.052500000000002)); #513804=CARTESIAN_POINT('Origin',(41.75,25.25,-0.052500000000002)); #513805=CARTESIAN_POINT('',(41.575,25.25,0.)); #513806=CARTESIAN_POINT('',(41.575,25.25,-200.)); #513807=CARTESIAN_POINT('Origin',(41.75,25.25,0.)); #513808=CARTESIAN_POINT('Origin',(45.125,47.,-200.)); #513809=CARTESIAN_POINT('',(44.95,47.,-0.052500000000002)); #513810=CARTESIAN_POINT('Origin',(45.125,47.,-0.052500000000002)); #513811=CARTESIAN_POINT('',(44.95,47.,0.)); #513812=CARTESIAN_POINT('',(44.95,47.,-200.)); #513813=CARTESIAN_POINT('Origin',(45.125,47.,0.)); #513814=CARTESIAN_POINT('Origin',(32.5,17.75,-200.)); #513815=CARTESIAN_POINT('',(32.325,17.75,-0.052500000000002)); #513816=CARTESIAN_POINT('Origin',(32.5,17.75,-0.052500000000002)); #513817=CARTESIAN_POINT('',(32.325,17.75,0.)); #513818=CARTESIAN_POINT('',(32.325,17.75,-200.)); #513819=CARTESIAN_POINT('Origin',(32.5,17.75,0.)); #513820=CARTESIAN_POINT('Origin',(46.875,46.25,-200.)); #513821=CARTESIAN_POINT('',(46.7,46.25,-0.052500000000002)); #513822=CARTESIAN_POINT('Origin',(46.875,46.25,-0.052500000000002)); #513823=CARTESIAN_POINT('',(46.7,46.25,0.)); #513824=CARTESIAN_POINT('',(46.7,46.25,-200.)); #513825=CARTESIAN_POINT('Origin',(46.875,46.25,0.)); #513826=CARTESIAN_POINT('Origin',(41.75,26.,-200.)); #513827=CARTESIAN_POINT('',(41.575,26.,-0.052500000000002)); #513828=CARTESIAN_POINT('Origin',(41.75,26.,-0.052500000000002)); #513829=CARTESIAN_POINT('',(41.575,26.,0.)); #513830=CARTESIAN_POINT('',(41.575,26.,-200.)); #513831=CARTESIAN_POINT('Origin',(41.75,26.,0.)); #513832=CARTESIAN_POINT('Origin',(46.875,47.,-200.)); #513833=CARTESIAN_POINT('',(46.7,47.,-0.052500000000002)); #513834=CARTESIAN_POINT('Origin',(46.875,47.,-0.052500000000002)); #513835=CARTESIAN_POINT('',(46.7,47.,0.)); #513836=CARTESIAN_POINT('',(46.7,47.,-200.)); #513837=CARTESIAN_POINT('Origin',(46.875,47.,0.)); #513838=CARTESIAN_POINT('Origin',(36.5,25.25,-200.)); #513839=CARTESIAN_POINT('',(36.,25.25,-0.052500000000002)); #513840=CARTESIAN_POINT('Origin',(36.5,25.25,-0.052500000000002)); #513841=CARTESIAN_POINT('',(36.,25.25,0.)); #513842=CARTESIAN_POINT('',(36.,25.25,-200.)); #513843=CARTESIAN_POINT('Origin',(36.5,25.25,0.)); #513844=CARTESIAN_POINT('Origin',(50.375,46.25,-200.)); #513845=CARTESIAN_POINT('',(50.2,46.25,-0.052500000000002)); #513846=CARTESIAN_POINT('Origin',(50.375,46.25,-0.052500000000002)); #513847=CARTESIAN_POINT('',(50.2,46.25,0.)); #513848=CARTESIAN_POINT('',(50.2,46.25,-200.)); #513849=CARTESIAN_POINT('Origin',(50.375,46.25,0.)); #513850=CARTESIAN_POINT('Origin',(42.5,24.5,-200.)); #513851=CARTESIAN_POINT('',(42.325,24.5,-0.052500000000002)); #513852=CARTESIAN_POINT('Origin',(42.5,24.5,-0.052500000000002)); #513853=CARTESIAN_POINT('',(42.325,24.5,0.)); #513854=CARTESIAN_POINT('',(42.325,24.5,-200.)); #513855=CARTESIAN_POINT('Origin',(42.5,24.5,0.)); #513856=CARTESIAN_POINT('Origin',(49.5,46.25,-200.)); #513857=CARTESIAN_POINT('',(49.325,46.25,-0.052500000000002)); #513858=CARTESIAN_POINT('Origin',(49.5,46.25,-0.052500000000002)); #513859=CARTESIAN_POINT('',(49.325,46.25,0.)); #513860=CARTESIAN_POINT('',(49.325,46.25,-200.)); #513861=CARTESIAN_POINT('Origin',(49.5,46.25,0.)); #513862=CARTESIAN_POINT('Origin',(32.5,16.25,-200.)); #513863=CARTESIAN_POINT('',(32.325,16.25,-0.052500000000002)); #513864=CARTESIAN_POINT('Origin',(32.5,16.25,-0.052500000000002)); #513865=CARTESIAN_POINT('',(32.325,16.25,0.)); #513866=CARTESIAN_POINT('',(32.325,16.25,-200.)); #513867=CARTESIAN_POINT('Origin',(32.5,16.25,0.)); #513868=CARTESIAN_POINT('Origin',(49.5,47.75,-200.)); #513869=CARTESIAN_POINT('',(49.325,47.75,-0.052500000000002)); #513870=CARTESIAN_POINT('Origin',(49.5,47.75,-0.052500000000002)); #513871=CARTESIAN_POINT('',(49.325,47.75,0.)); #513872=CARTESIAN_POINT('',(49.325,47.75,-200.)); #513873=CARTESIAN_POINT('Origin',(49.5,47.75,0.)); #513874=CARTESIAN_POINT('Origin',(41.75,24.5,-200.)); #513875=CARTESIAN_POINT('',(41.575,24.5,-0.052500000000002)); #513876=CARTESIAN_POINT('Origin',(41.75,24.5,-0.052500000000002)); #513877=CARTESIAN_POINT('',(41.575,24.5,0.)); #513878=CARTESIAN_POINT('',(41.575,24.5,-200.)); #513879=CARTESIAN_POINT('Origin',(41.75,24.5,0.)); #513880=CARTESIAN_POINT('Origin',(49.5,47.,-200.)); #513881=CARTESIAN_POINT('',(49.325,47.,-0.052500000000002)); #513882=CARTESIAN_POINT('Origin',(49.5,47.,-0.052500000000002)); #513883=CARTESIAN_POINT('',(49.325,47.,0.)); #513884=CARTESIAN_POINT('',(49.325,47.,-200.)); #513885=CARTESIAN_POINT('Origin',(49.5,47.,0.)); #513886=CARTESIAN_POINT('Origin',(31.5,8.75,-200.)); #513887=CARTESIAN_POINT('',(31.325,8.75,-0.052500000000002)); #513888=CARTESIAN_POINT('Origin',(31.5,8.75,-0.052500000000002)); #513889=CARTESIAN_POINT('',(31.325,8.75,0.)); #513890=CARTESIAN_POINT('',(31.325,8.75,-200.)); #513891=CARTESIAN_POINT('Origin',(31.5,8.75,0.)); #513892=CARTESIAN_POINT('Origin',(50.375,47.75,-200.)); #513893=CARTESIAN_POINT('',(50.2,47.75,-0.052500000000002)); #513894=CARTESIAN_POINT('Origin',(50.375,47.75,-0.052500000000002)); #513895=CARTESIAN_POINT('',(50.2,47.75,0.)); #513896=CARTESIAN_POINT('',(50.2,47.75,-200.)); #513897=CARTESIAN_POINT('Origin',(50.375,47.75,0.)); #513898=CARTESIAN_POINT('Origin',(42.5,25.25,-200.)); #513899=CARTESIAN_POINT('',(42.325,25.25,-0.052500000000002)); #513900=CARTESIAN_POINT('Origin',(42.5,25.25,-0.052500000000002)); #513901=CARTESIAN_POINT('',(42.325,25.25,0.)); #513902=CARTESIAN_POINT('',(42.325,25.25,-200.)); #513903=CARTESIAN_POINT('Origin',(42.5,25.25,0.)); #513904=CARTESIAN_POINT('Origin',(50.375,47.,-200.)); #513905=CARTESIAN_POINT('',(50.2,47.,-0.052500000000002)); #513906=CARTESIAN_POINT('Origin',(50.375,47.,-0.052500000000002)); #513907=CARTESIAN_POINT('',(50.2,47.,0.)); #513908=CARTESIAN_POINT('',(50.2,47.,-200.)); #513909=CARTESIAN_POINT('Origin',(50.375,47.,0.)); #513910=CARTESIAN_POINT('Origin',(32.5,15.5,-200.)); #513911=CARTESIAN_POINT('',(32.325,15.5,-0.052500000000002)); #513912=CARTESIAN_POINT('Origin',(32.5,15.5,-0.052500000000002)); #513913=CARTESIAN_POINT('',(32.325,15.5,0.)); #513914=CARTESIAN_POINT('',(32.325,15.5,-200.)); #513915=CARTESIAN_POINT('Origin',(32.5,15.5,0.)); #513916=CARTESIAN_POINT('Origin',(51.25,47.75,-200.)); #513917=CARTESIAN_POINT('',(51.075,47.75,-0.052500000000002)); #513918=CARTESIAN_POINT('Origin',(51.25,47.75,-0.052500000000002)); #513919=CARTESIAN_POINT('',(51.075,47.75,0.)); #513920=CARTESIAN_POINT('',(51.075,47.75,-200.)); #513921=CARTESIAN_POINT('Origin',(51.25,47.75,0.)); #513922=CARTESIAN_POINT('Origin',(42.5,26.,-200.)); #513923=CARTESIAN_POINT('',(42.325,26.,-0.052500000000002)); #513924=CARTESIAN_POINT('Origin',(42.5,26.,-0.052500000000002)); #513925=CARTESIAN_POINT('',(42.325,26.,0.)); #513926=CARTESIAN_POINT('',(42.325,26.,-200.)); #513927=CARTESIAN_POINT('Origin',(42.5,26.,0.)); #513928=CARTESIAN_POINT('Origin',(51.25,47.,-200.)); #513929=CARTESIAN_POINT('',(51.075,47.,-0.052500000000002)); #513930=CARTESIAN_POINT('Origin',(51.25,47.,-0.052500000000002)); #513931=CARTESIAN_POINT('',(51.075,47.,0.)); #513932=CARTESIAN_POINT('',(51.075,47.,-200.)); #513933=CARTESIAN_POINT('Origin',(51.25,47.,0.)); #513934=CARTESIAN_POINT('Origin',(37.81,45.,-200.)); #513935=CARTESIAN_POINT('',(37.21,45.,-0.052500000000002)); #513936=CARTESIAN_POINT('Origin',(37.81,45.,-0.052500000000002)); #513937=CARTESIAN_POINT('',(37.21,45.,0.)); #513938=CARTESIAN_POINT('',(37.21,45.,-200.)); #513939=CARTESIAN_POINT('Origin',(37.81,45.,0.)); #513940=CARTESIAN_POINT('Origin',(23.75,56.,-200.)); #513941=CARTESIAN_POINT('',(23.575,56.,-0.052500000000002)); #513942=CARTESIAN_POINT('Origin',(23.75,56.,-0.052500000000002)); #513943=CARTESIAN_POINT('',(23.575,56.,0.)); #513944=CARTESIAN_POINT('',(23.575,56.,-200.)); #513945=CARTESIAN_POINT('Origin',(23.75,56.,0.)); #513946=CARTESIAN_POINT('Origin',(12.75,21.,-200.)); #513947=CARTESIAN_POINT('',(12.575,21.,-0.052500000000002)); #513948=CARTESIAN_POINT('Origin',(12.75,21.,-0.052500000000002)); #513949=CARTESIAN_POINT('',(12.575,21.,0.)); #513950=CARTESIAN_POINT('',(12.575,21.,-200.)); #513951=CARTESIAN_POINT('Origin',(12.75,21.,0.)); #513952=CARTESIAN_POINT('Origin',(23.75,55.25,-200.)); #513953=CARTESIAN_POINT('',(23.575,55.25,-0.052500000000002)); #513954=CARTESIAN_POINT('Origin',(23.75,55.25,-0.052500000000002)); #513955=CARTESIAN_POINT('',(23.575,55.25,0.)); #513956=CARTESIAN_POINT('',(23.575,55.25,-200.)); #513957=CARTESIAN_POINT('Origin',(23.75,55.25,0.)); #513958=CARTESIAN_POINT('Origin',(33.25,19.25,-200.)); #513959=CARTESIAN_POINT('',(33.075,19.25,-0.052500000000002)); #513960=CARTESIAN_POINT('Origin',(33.25,19.25,-0.052500000000002)); #513961=CARTESIAN_POINT('',(33.075,19.25,0.)); #513962=CARTESIAN_POINT('',(33.075,19.25,-200.)); #513963=CARTESIAN_POINT('Origin',(33.25,19.25,0.)); #513964=CARTESIAN_POINT('Origin',(22.,56.75,-200.)); #513965=CARTESIAN_POINT('',(21.825,56.75,-0.052500000000002)); #513966=CARTESIAN_POINT('Origin',(22.,56.75,-0.052500000000002)); #513967=CARTESIAN_POINT('',(21.825,56.75,0.)); #513968=CARTESIAN_POINT('',(21.825,56.75,-200.)); #513969=CARTESIAN_POINT('Origin',(22.,56.75,0.)); #513970=CARTESIAN_POINT('Origin',(56.,20.25,-200.)); #513971=CARTESIAN_POINT('',(55.825,20.25,-0.052500000000002)); #513972=CARTESIAN_POINT('Origin',(56.,20.25,-0.052500000000002)); #513973=CARTESIAN_POINT('',(55.825,20.25,0.)); #513974=CARTESIAN_POINT('',(55.825,20.25,-200.)); #513975=CARTESIAN_POINT('Origin',(56.,20.25,0.)); #513976=CARTESIAN_POINT('Origin',(22.,55.25,-200.)); #513977=CARTESIAN_POINT('',(21.825,55.25,-0.052500000000002)); #513978=CARTESIAN_POINT('Origin',(22.,55.25,-0.052500000000002)); #513979=CARTESIAN_POINT('',(21.825,55.25,0.)); #513980=CARTESIAN_POINT('',(21.825,55.25,-200.)); #513981=CARTESIAN_POINT('Origin',(22.,55.25,0.)); #513982=CARTESIAN_POINT('Origin',(36.5,8.75,-200.)); #513983=CARTESIAN_POINT('',(36.325,8.75,-0.052500000000002)); #513984=CARTESIAN_POINT('Origin',(36.5,8.75,-0.052500000000002)); #513985=CARTESIAN_POINT('',(36.325,8.75,0.)); #513986=CARTESIAN_POINT('',(36.325,8.75,-200.)); #513987=CARTESIAN_POINT('Origin',(36.5,8.75,0.)); #513988=CARTESIAN_POINT('Origin',(20.25,55.25,-200.)); #513989=CARTESIAN_POINT('',(20.075,55.25,-0.052500000000002)); #513990=CARTESIAN_POINT('Origin',(20.25,55.25,-0.052500000000002)); #513991=CARTESIAN_POINT('',(20.075,55.25,0.)); #513992=CARTESIAN_POINT('',(20.075,55.25,-200.)); #513993=CARTESIAN_POINT('Origin',(20.25,55.25,0.)); #513994=CARTESIAN_POINT('Origin',(56.,21.75,-200.)); #513995=CARTESIAN_POINT('',(55.825,21.75,-0.052500000000002)); #513996=CARTESIAN_POINT('Origin',(56.,21.75,-0.052500000000002)); #513997=CARTESIAN_POINT('',(55.825,21.75,0.)); #513998=CARTESIAN_POINT('',(55.825,21.75,-200.)); #513999=CARTESIAN_POINT('Origin',(56.,21.75,0.)); #514000=CARTESIAN_POINT('Origin',(20.25,56.75,-200.)); #514001=CARTESIAN_POINT('',(20.075,56.75,-0.052500000000002)); #514002=CARTESIAN_POINT('Origin',(20.25,56.75,-0.052500000000002)); #514003=CARTESIAN_POINT('',(20.075,56.75,0.)); #514004=CARTESIAN_POINT('',(20.075,56.75,-200.)); #514005=CARTESIAN_POINT('Origin',(20.25,56.75,0.)); #514006=CARTESIAN_POINT('Origin',(32.5,19.25,-200.)); #514007=CARTESIAN_POINT('',(32.325,19.25,-0.052500000000002)); #514008=CARTESIAN_POINT('Origin',(32.5,19.25,-0.052500000000002)); #514009=CARTESIAN_POINT('',(32.325,19.25,0.)); #514010=CARTESIAN_POINT('',(32.325,19.25,-200.)); #514011=CARTESIAN_POINT('Origin',(32.5,19.25,0.)); #514012=CARTESIAN_POINT('Origin',(20.25,56.,-200.)); #514013=CARTESIAN_POINT('',(20.075,56.,-0.052500000000002)); #514014=CARTESIAN_POINT('Origin',(20.25,56.,-0.052500000000002)); #514015=CARTESIAN_POINT('',(20.075,56.,0.)); #514016=CARTESIAN_POINT('',(20.075,56.,-200.)); #514017=CARTESIAN_POINT('Origin',(20.25,56.,0.)); #514018=CARTESIAN_POINT('Origin',(56.,21.,-200.)); #514019=CARTESIAN_POINT('',(55.825,21.,-0.052500000000002)); #514020=CARTESIAN_POINT('Origin',(56.,21.,-0.052500000000002)); #514021=CARTESIAN_POINT('',(55.825,21.,0.)); #514022=CARTESIAN_POINT('',(55.825,21.,-200.)); #514023=CARTESIAN_POINT('Origin',(56.,21.,0.)); #514024=CARTESIAN_POINT('Origin',(22.,56.,-200.)); #514025=CARTESIAN_POINT('',(21.825,56.,-0.052500000000002)); #514026=CARTESIAN_POINT('Origin',(22.,56.,-0.052500000000002)); #514027=CARTESIAN_POINT('',(21.825,56.,0.)); #514028=CARTESIAN_POINT('',(21.825,56.,-200.)); #514029=CARTESIAN_POINT('Origin',(22.,56.,0.)); #514030=CARTESIAN_POINT('Origin',(31.5,25.25,-200.)); #514031=CARTESIAN_POINT('',(31.,25.25,-0.052500000000002)); #514032=CARTESIAN_POINT('Origin',(31.5,25.25,-0.052500000000002)); #514033=CARTESIAN_POINT('',(31.,25.25,0.)); #514034=CARTESIAN_POINT('',(31.,25.25,-200.)); #514035=CARTESIAN_POINT('Origin',(31.5,25.25,0.)); #514036=CARTESIAN_POINT('Origin',(46.875,56.,-200.)); #514037=CARTESIAN_POINT('',(46.7,56.,-0.052500000000002)); #514038=CARTESIAN_POINT('Origin',(46.875,56.,-0.052500000000002)); #514039=CARTESIAN_POINT('',(46.7,56.,0.)); #514040=CARTESIAN_POINT('',(46.7,56.,-200.)); #514041=CARTESIAN_POINT('Origin',(46.875,56.,0.)); #514042=CARTESIAN_POINT('Origin',(54.5,20.25,-200.)); #514043=CARTESIAN_POINT('',(54.325,20.25,-0.052500000000002)); #514044=CARTESIAN_POINT('Origin',(54.5,20.25,-0.052500000000002)); #514045=CARTESIAN_POINT('',(54.325,20.25,0.)); #514046=CARTESIAN_POINT('',(54.325,20.25,-200.)); #514047=CARTESIAN_POINT('Origin',(54.5,20.25,0.)); #514048=CARTESIAN_POINT('Origin',(46.875,55.25,-200.)); #514049=CARTESIAN_POINT('',(46.7,55.25,-0.052500000000002)); #514050=CARTESIAN_POINT('Origin',(46.875,55.25,-0.052500000000002)); #514051=CARTESIAN_POINT('',(46.7,55.25,0.)); #514052=CARTESIAN_POINT('',(46.7,55.25,-200.)); #514053=CARTESIAN_POINT('Origin',(46.875,55.25,0.)); #514054=CARTESIAN_POINT('Origin',(35.5,16.25,-200.)); #514055=CARTESIAN_POINT('',(35.325,16.25,-0.052500000000002)); #514056=CARTESIAN_POINT('Origin',(35.5,16.25,-0.052500000000002)); #514057=CARTESIAN_POINT('',(35.325,16.25,0.)); #514058=CARTESIAN_POINT('',(35.325,16.25,-200.)); #514059=CARTESIAN_POINT('Origin',(35.5,16.25,0.)); #514060=CARTESIAN_POINT('Origin',(45.125,56.,-200.)); #514061=CARTESIAN_POINT('',(44.95,56.,-0.052500000000002)); #514062=CARTESIAN_POINT('Origin',(45.125,56.,-0.052500000000002)); #514063=CARTESIAN_POINT('',(44.95,56.,0.)); #514064=CARTESIAN_POINT('',(44.95,56.,-200.)); #514065=CARTESIAN_POINT('Origin',(45.125,56.,0.)); #514066=CARTESIAN_POINT('Origin',(55.25,20.25,-200.)); #514067=CARTESIAN_POINT('',(55.075,20.25,-0.052500000000002)); #514068=CARTESIAN_POINT('Origin',(55.25,20.25,-0.052500000000002)); #514069=CARTESIAN_POINT('',(55.075,20.25,0.)); #514070=CARTESIAN_POINT('',(55.075,20.25,-200.)); #514071=CARTESIAN_POINT('Origin',(55.25,20.25,0.)); #514072=CARTESIAN_POINT('Origin',(45.125,55.25,-200.)); #514073=CARTESIAN_POINT('',(44.95,55.25,-0.052500000000002)); #514074=CARTESIAN_POINT('Origin',(45.125,55.25,-0.052500000000002)); #514075=CARTESIAN_POINT('',(44.95,55.25,0.)); #514076=CARTESIAN_POINT('',(44.95,55.25,-200.)); #514077=CARTESIAN_POINT('Origin',(45.125,55.25,0.)); #514078=CARTESIAN_POINT('Origin',(31.5,9.5,-200.)); #514079=CARTESIAN_POINT('',(31.325,9.5,-0.052500000000002)); #514080=CARTESIAN_POINT('Origin',(31.5,9.5,-0.052500000000002)); #514081=CARTESIAN_POINT('',(31.325,9.5,0.)); #514082=CARTESIAN_POINT('',(31.325,9.5,-200.)); #514083=CARTESIAN_POINT('Origin',(31.5,9.5,0.)); #514084=CARTESIAN_POINT('Origin',(38.512,53.,-200.)); #514085=CARTESIAN_POINT('',(38.337,53.,-0.052500000000002)); #514086=CARTESIAN_POINT('Origin',(38.512,53.,-0.052500000000002)); #514087=CARTESIAN_POINT('',(38.337,53.,0.)); #514088=CARTESIAN_POINT('',(38.337,53.,-200.)); #514089=CARTESIAN_POINT('Origin',(38.512,53.,0.)); #514090=CARTESIAN_POINT('Origin',(54.5,21.,-200.)); #514091=CARTESIAN_POINT('',(54.325,21.,-0.052500000000002)); #514092=CARTESIAN_POINT('Origin',(54.5,21.,-0.052500000000002)); #514093=CARTESIAN_POINT('',(54.325,21.,0.)); #514094=CARTESIAN_POINT('',(54.325,21.,-200.)); #514095=CARTESIAN_POINT('Origin',(54.5,21.,0.)); #514096=CARTESIAN_POINT('Origin',(46.875,56.75,-200.)); #514097=CARTESIAN_POINT('',(46.7,56.75,-0.052500000000002)); #514098=CARTESIAN_POINT('Origin',(46.875,56.75,-0.052500000000002)); #514099=CARTESIAN_POINT('',(46.7,56.75,0.)); #514100=CARTESIAN_POINT('',(46.7,56.75,-200.)); #514101=CARTESIAN_POINT('Origin',(46.875,56.75,0.)); #514102=CARTESIAN_POINT('Origin',(35.5,17.,-200.)); #514103=CARTESIAN_POINT('',(35.325,17.,-0.052500000000002)); #514104=CARTESIAN_POINT('Origin',(35.5,17.,-0.052500000000002)); #514105=CARTESIAN_POINT('',(35.325,17.,0.)); #514106=CARTESIAN_POINT('',(35.325,17.,-200.)); #514107=CARTESIAN_POINT('Origin',(35.5,17.,0.)); #514108=CARTESIAN_POINT('Origin',(38.75,36.75,-200.)); #514109=CARTESIAN_POINT('',(38.575,36.75,-0.052500000000002)); #514110=CARTESIAN_POINT('Origin',(38.75,36.75,-0.052500000000002)); #514111=CARTESIAN_POINT('',(38.575,36.75,0.)); #514112=CARTESIAN_POINT('',(38.575,36.75,-200.)); #514113=CARTESIAN_POINT('Origin',(38.75,36.75,0.)); #514114=CARTESIAN_POINT('Origin',(54.5,21.75,-200.)); #514115=CARTESIAN_POINT('',(54.325,21.75,-0.052500000000002)); #514116=CARTESIAN_POINT('Origin',(54.5,21.75,-0.052500000000002)); #514117=CARTESIAN_POINT('',(54.325,21.75,0.)); #514118=CARTESIAN_POINT('',(54.325,21.75,-200.)); #514119=CARTESIAN_POINT('Origin',(54.5,21.75,0.)); #514120=CARTESIAN_POINT('Origin',(37.1875,36.75,-200.)); #514121=CARTESIAN_POINT('',(37.0125,36.75,-0.052500000000002)); #514122=CARTESIAN_POINT('Origin',(37.1875,36.75,-0.052500000000002)); #514123=CARTESIAN_POINT('',(37.0125,36.75,0.)); #514124=CARTESIAN_POINT('',(37.0125,36.75,-200.)); #514125=CARTESIAN_POINT('Origin',(37.1875,36.75,0.)); #514126=CARTESIAN_POINT('Origin',(46.25,34.,-200.)); #514127=CARTESIAN_POINT('',(45.7,34.,-0.052500000000002)); #514128=CARTESIAN_POINT('Origin',(46.25,34.,-0.052500000000002)); #514129=CARTESIAN_POINT('',(45.7,34.,0.)); #514130=CARTESIAN_POINT('',(45.7,34.,-200.)); #514131=CARTESIAN_POINT('Origin',(46.25,34.,0.)); #514132=CARTESIAN_POINT('Origin',(29.488,53.,-200.)); #514133=CARTESIAN_POINT('',(29.313,53.,-0.052500000000002)); #514134=CARTESIAN_POINT('Origin',(29.488,53.,-0.052500000000002)); #514135=CARTESIAN_POINT('',(29.313,53.,0.)); #514136=CARTESIAN_POINT('',(29.313,53.,-200.)); #514137=CARTESIAN_POINT('Origin',(29.488,53.,0.)); #514138=CARTESIAN_POINT('Origin',(12.,21.,-200.)); #514139=CARTESIAN_POINT('',(11.825,21.,-0.052500000000002)); #514140=CARTESIAN_POINT('Origin',(12.,21.,-0.052500000000002)); #514141=CARTESIAN_POINT('',(11.825,21.,0.)); #514142=CARTESIAN_POINT('',(11.825,21.,-200.)); #514143=CARTESIAN_POINT('Origin',(12.,21.,0.)); #514144=CARTESIAN_POINT('Origin',(21.125,56.75,-200.)); #514145=CARTESIAN_POINT('',(20.95,56.75,-0.052500000000002)); #514146=CARTESIAN_POINT('Origin',(21.125,56.75,-0.052500000000002)); #514147=CARTESIAN_POINT('',(20.95,56.75,0.)); #514148=CARTESIAN_POINT('',(20.95,56.75,-200.)); #514149=CARTESIAN_POINT('Origin',(21.125,56.75,0.)); #514150=CARTESIAN_POINT('Origin',(34.75,19.25,-200.)); #514151=CARTESIAN_POINT('',(34.575,19.25,-0.052500000000002)); #514152=CARTESIAN_POINT('Origin',(34.75,19.25,-0.052500000000002)); #514153=CARTESIAN_POINT('',(34.575,19.25,0.)); #514154=CARTESIAN_POINT('',(34.575,19.25,-200.)); #514155=CARTESIAN_POINT('Origin',(34.75,19.25,0.)); #514156=CARTESIAN_POINT('Origin',(21.125,55.25,-200.)); #514157=CARTESIAN_POINT('',(20.95,55.25,-0.052500000000002)); #514158=CARTESIAN_POINT('Origin',(21.125,55.25,-0.052500000000002)); #514159=CARTESIAN_POINT('',(20.95,55.25,0.)); #514160=CARTESIAN_POINT('',(20.95,55.25,-200.)); #514161=CARTESIAN_POINT('Origin',(21.125,55.25,0.)); #514162=CARTESIAN_POINT('Origin',(12.,20.25,-200.)); #514163=CARTESIAN_POINT('',(11.825,20.25,-0.052500000000002)); #514164=CARTESIAN_POINT('Origin',(12.,20.25,-0.052500000000002)); #514165=CARTESIAN_POINT('',(11.825,20.25,0.)); #514166=CARTESIAN_POINT('',(11.825,20.25,-200.)); #514167=CARTESIAN_POINT('Origin',(12.,20.25,0.)); #514168=CARTESIAN_POINT('Origin',(21.125,56.,-200.)); #514169=CARTESIAN_POINT('',(20.95,56.,-0.052500000000002)); #514170=CARTESIAN_POINT('Origin',(21.125,56.,-0.052500000000002)); #514171=CARTESIAN_POINT('',(20.95,56.,0.)); #514172=CARTESIAN_POINT('',(20.95,56.,-200.)); #514173=CARTESIAN_POINT('Origin',(21.125,56.,0.)); #514174=CARTESIAN_POINT('Origin',(36.5,9.5,-200.)); #514175=CARTESIAN_POINT('',(36.325,9.5,-0.052500000000002)); #514176=CARTESIAN_POINT('Origin',(36.5,9.5,-0.052500000000002)); #514177=CARTESIAN_POINT('',(36.325,9.5,0.)); #514178=CARTESIAN_POINT('',(36.325,9.5,-200.)); #514179=CARTESIAN_POINT('Origin',(36.5,9.5,0.)); #514180=CARTESIAN_POINT('Origin',(22.875,56.75,-200.)); #514181=CARTESIAN_POINT('',(22.7,56.75,-0.052500000000002)); #514182=CARTESIAN_POINT('Origin',(22.875,56.75,-0.052500000000002)); #514183=CARTESIAN_POINT('',(22.7,56.75,0.)); #514184=CARTESIAN_POINT('',(22.7,56.75,-200.)); #514185=CARTESIAN_POINT('Origin',(22.875,56.75,0.)); #514186=CARTESIAN_POINT('Origin',(12.75,21.75,-200.)); #514187=CARTESIAN_POINT('',(12.575,21.75,-0.052500000000002)); #514188=CARTESIAN_POINT('Origin',(12.75,21.75,-0.052500000000002)); #514189=CARTESIAN_POINT('',(12.575,21.75,0.)); #514190=CARTESIAN_POINT('',(12.575,21.75,-200.)); #514191=CARTESIAN_POINT('Origin',(12.75,21.75,0.)); #514192=CARTESIAN_POINT('Origin',(23.75,56.75,-200.)); #514193=CARTESIAN_POINT('',(23.575,56.75,-0.052500000000002)); #514194=CARTESIAN_POINT('Origin',(23.75,56.75,-0.052500000000002)); #514195=CARTESIAN_POINT('',(23.575,56.75,0.)); #514196=CARTESIAN_POINT('',(23.575,56.75,-200.)); #514197=CARTESIAN_POINT('Origin',(23.75,56.75,0.)); #514198=CARTESIAN_POINT('Origin',(34.,19.25,-200.)); #514199=CARTESIAN_POINT('',(33.825,19.25,-0.052500000000002)); #514200=CARTESIAN_POINT('Origin',(34.,19.25,-0.052500000000002)); #514201=CARTESIAN_POINT('',(33.825,19.25,0.)); #514202=CARTESIAN_POINT('',(33.825,19.25,-200.)); #514203=CARTESIAN_POINT('Origin',(34.,19.25,0.)); #514204=CARTESIAN_POINT('Origin',(22.875,56.,-200.)); #514205=CARTESIAN_POINT('',(22.7,56.,-0.052500000000002)); #514206=CARTESIAN_POINT('Origin',(22.875,56.,-0.052500000000002)); #514207=CARTESIAN_POINT('',(22.7,56.,0.)); #514208=CARTESIAN_POINT('',(22.7,56.,-200.)); #514209=CARTESIAN_POINT('Origin',(22.875,56.,0.)); #514210=CARTESIAN_POINT('Origin',(12.75,20.25,-200.)); #514211=CARTESIAN_POINT('',(12.575,20.25,-0.052500000000002)); #514212=CARTESIAN_POINT('Origin',(12.75,20.25,-0.052500000000002)); #514213=CARTESIAN_POINT('',(12.575,20.25,0.)); #514214=CARTESIAN_POINT('',(12.575,20.25,-200.)); #514215=CARTESIAN_POINT('Origin',(12.75,20.25,0.)); #514216=CARTESIAN_POINT('Origin',(22.875,55.25,-200.)); #514217=CARTESIAN_POINT('',(22.7,55.25,-0.052500000000002)); #514218=CARTESIAN_POINT('Origin',(22.875,55.25,-0.052500000000002)); #514219=CARTESIAN_POINT('',(22.7,55.25,0.)); #514220=CARTESIAN_POINT('',(22.7,55.25,-200.)); #514221=CARTESIAN_POINT('Origin',(22.875,55.25,0.)); #514222=CARTESIAN_POINT('Origin',(36.5,27.75,-200.)); #514223=CARTESIAN_POINT('',(36.,27.75,-0.052500000000002)); #514224=CARTESIAN_POINT('Origin',(36.5,27.75,-0.052500000000002)); #514225=CARTESIAN_POINT('',(36.,27.75,0.)); #514226=CARTESIAN_POINT('',(36.,27.75,-200.)); #514227=CARTESIAN_POINT('Origin',(36.5,27.75,0.)); #514228=CARTESIAN_POINT('Origin',(23.905,49.75,-200.)); #514229=CARTESIAN_POINT('',(23.73,49.75,-0.052500000000002)); #514230=CARTESIAN_POINT('Origin',(23.905,49.75,-0.052500000000002)); #514231=CARTESIAN_POINT('',(23.73,49.75,0.)); #514232=CARTESIAN_POINT('',(23.73,49.75,-200.)); #514233=CARTESIAN_POINT('Origin',(23.905,49.75,0.)); #514234=CARTESIAN_POINT('Origin',(13.5,21.75,-200.)); #514235=CARTESIAN_POINT('',(13.325,21.75,-0.052500000000002)); #514236=CARTESIAN_POINT('Origin',(13.5,21.75,-0.052500000000002)); #514237=CARTESIAN_POINT('',(13.325,21.75,0.)); #514238=CARTESIAN_POINT('',(13.325,21.75,-200.)); #514239=CARTESIAN_POINT('Origin',(13.5,21.75,0.)); #514240=CARTESIAN_POINT('Origin',(47.905,58.75,-200.)); #514241=CARTESIAN_POINT('',(47.73,58.75,-0.052500000000002)); #514242=CARTESIAN_POINT('Origin',(47.905,58.75,-0.052500000000002)); #514243=CARTESIAN_POINT('',(47.73,58.75,0.)); #514244=CARTESIAN_POINT('',(47.73,58.75,-200.)); #514245=CARTESIAN_POINT('Origin',(47.905,58.75,0.)); #514246=CARTESIAN_POINT('Origin',(35.5,19.25,-200.)); #514247=CARTESIAN_POINT('',(35.325,19.25,-0.052500000000002)); #514248=CARTESIAN_POINT('Origin',(35.5,19.25,-0.052500000000002)); #514249=CARTESIAN_POINT('',(35.325,19.25,0.)); #514250=CARTESIAN_POINT('',(35.325,19.25,-200.)); #514251=CARTESIAN_POINT('Origin',(35.5,19.25,0.)); #514252=CARTESIAN_POINT('Origin',(29.25,36.75,-200.)); #514253=CARTESIAN_POINT('',(29.075,36.75,-0.052500000000002)); #514254=CARTESIAN_POINT('Origin',(29.25,36.75,-0.052500000000002)); #514255=CARTESIAN_POINT('',(29.075,36.75,0.)); #514256=CARTESIAN_POINT('',(29.075,36.75,-200.)); #514257=CARTESIAN_POINT('Origin',(29.25,36.75,0.)); #514258=CARTESIAN_POINT('Origin',(12.,21.75,-200.)); #514259=CARTESIAN_POINT('',(11.825,21.75,-0.052500000000002)); #514260=CARTESIAN_POINT('Origin',(12.,21.75,-0.052500000000002)); #514261=CARTESIAN_POINT('',(11.825,21.75,0.)); #514262=CARTESIAN_POINT('',(11.825,21.75,-200.)); #514263=CARTESIAN_POINT('Origin',(12.,21.75,0.)); #514264=CARTESIAN_POINT('Origin',(30.8125,36.75,-200.)); #514265=CARTESIAN_POINT('',(30.6375,36.75,-0.052500000000002)); #514266=CARTESIAN_POINT('Origin',(30.8125,36.75,-0.052500000000002)); #514267=CARTESIAN_POINT('',(30.6375,36.75,0.)); #514268=CARTESIAN_POINT('',(30.6375,36.75,-200.)); #514269=CARTESIAN_POINT('Origin',(30.8125,36.75,0.)); #514270=CARTESIAN_POINT('Origin',(37.25,9.5,-200.)); #514271=CARTESIAN_POINT('',(37.075,9.5,-0.052500000000002)); #514272=CARTESIAN_POINT('Origin',(37.25,9.5,-0.052500000000002)); #514273=CARTESIAN_POINT('',(37.075,9.5,0.)); #514274=CARTESIAN_POINT('',(37.075,9.5,-200.)); #514275=CARTESIAN_POINT('Origin',(37.25,9.5,0.)); #514276=CARTESIAN_POINT('Origin',(47.905,49.75,-200.)); #514277=CARTESIAN_POINT('',(47.73,49.75,-0.052500000000002)); #514278=CARTESIAN_POINT('Origin',(47.905,49.75,-0.052500000000002)); #514279=CARTESIAN_POINT('',(47.73,49.75,0.)); #514280=CARTESIAN_POINT('',(47.73,49.75,-200.)); #514281=CARTESIAN_POINT('Origin',(47.905,49.75,0.)); #514282=CARTESIAN_POINT('Origin',(13.5,21.,-200.)); #514283=CARTESIAN_POINT('',(13.325,21.,-0.052500000000002)); #514284=CARTESIAN_POINT('Origin',(13.5,21.,-0.052500000000002)); #514285=CARTESIAN_POINT('',(13.325,21.,0.)); #514286=CARTESIAN_POINT('',(13.325,21.,-200.)); #514287=CARTESIAN_POINT('Origin',(13.5,21.,0.)); #514288=CARTESIAN_POINT('Origin',(23.905,58.75,-200.)); #514289=CARTESIAN_POINT('',(23.73,58.75,-0.052500000000002)); #514290=CARTESIAN_POINT('Origin',(23.905,58.75,-0.052500000000002)); #514291=CARTESIAN_POINT('',(23.73,58.75,0.)); #514292=CARTESIAN_POINT('',(23.73,58.75,-200.)); #514293=CARTESIAN_POINT('Origin',(23.905,58.75,0.)); #514294=CARTESIAN_POINT('Origin',(36.25,19.25,-200.)); #514295=CARTESIAN_POINT('',(36.075,19.25,-0.052500000000002)); #514296=CARTESIAN_POINT('Origin',(36.25,19.25,-0.052500000000002)); #514297=CARTESIAN_POINT('',(36.075,19.25,0.)); #514298=CARTESIAN_POINT('',(36.075,19.25,-200.)); #514299=CARTESIAN_POINT('Origin',(36.25,19.25,0.)); #514300=CARTESIAN_POINT('Origin',(18.5,47.,-200.)); #514301=CARTESIAN_POINT('',(18.325,47.,-0.052500000000002)); #514302=CARTESIAN_POINT('Origin',(18.5,47.,-0.052500000000002)); #514303=CARTESIAN_POINT('',(18.325,47.,0.)); #514304=CARTESIAN_POINT('',(18.325,47.,-200.)); #514305=CARTESIAN_POINT('Origin',(18.5,47.,0.)); #514306=CARTESIAN_POINT('Origin',(13.5,20.25,-200.)); #514307=CARTESIAN_POINT('',(13.325,20.25,-0.052500000000002)); #514308=CARTESIAN_POINT('Origin',(13.5,20.25,-0.052500000000002)); #514309=CARTESIAN_POINT('',(13.325,20.25,0.)); #514310=CARTESIAN_POINT('',(13.325,20.25,-200.)); #514311=CARTESIAN_POINT('Origin',(13.5,20.25,0.)); #514312=CARTESIAN_POINT('Origin',(18.5,46.25,-200.)); #514313=CARTESIAN_POINT('',(18.325,46.25,-0.052500000000002)); #514314=CARTESIAN_POINT('Origin',(18.5,46.25,-0.052500000000002)); #514315=CARTESIAN_POINT('',(18.325,46.25,0.)); #514316=CARTESIAN_POINT('',(18.325,46.25,-200.)); #514317=CARTESIAN_POINT('Origin',(18.5,46.25,0.)); #514318=CARTESIAN_POINT('Origin',(34.,34.,0.)); #514319=CARTESIAN_POINT('',(0.,34.,-0.0525)); #514320=CARTESIAN_POINT('Origin',(34.,34.,-0.0525)); #514321=CARTESIAN_POINT('',(0.,34.,0.)); #514322=CARTESIAN_POINT('',(0.,34.,0.)); #514323=CARTESIAN_POINT('Origin',(34.,34.,0.)); #514324=CARTESIAN_POINT('Origin',(34.,34.,0.)); #514325=CARTESIAN_POINT('Origin',(34.,34.,-0.0525)); #514326=CARTESIAN_POINT('',(0.,0.,0.)); #514327=CARTESIAN_POINT('',(0.,0.,0.)); #514328=CARTESIAN_POINT('',(0.,0.,0.)); #514329=CARTESIAN_POINT('Origin',(3.559998152217,-0.350012700025019,11.350043525364)); #514330=CARTESIAN_POINT('',(3.809998152217,2.54998589352503,11.100043525364)); #514331=CARTESIAN_POINT('',(3.30999815221698,2.54998589352493,11.600043525364)); #514332=CARTESIAN_POINT('',(4.23749782364124,2.5499858935251,10.6725438539397)); #514333=CARTESIAN_POINT('',(3.30999815221699,1.64998633000993,11.600043525364)); #514334=CARTESIAN_POINT('',(3.309998152217,-0.35001270002502,11.600043525364)); #514335=CARTESIAN_POINT('',(3.809998152217,1.64998633001003,11.100043525364)); #514336=CARTESIAN_POINT('',(8.49881610053498,1.64998633000998,6.41122557704599)); #514337=CARTESIAN_POINT('',(3.809998152217,-0.350012700025018,11.100043525364)); #514338=CARTESIAN_POINT('Origin',(13.565274795314,-0.350012700025,11.600043525364)); #514339=CARTESIAN_POINT('',(-0.76999815221702,2.5499858935249,11.600043525364)); #514340=CARTESIAN_POINT('',(13.565274795314,2.549985893525,11.600043525364)); #514341=CARTESIAN_POINT('',(-0.769998152217013,1.6499863300099,11.600043525364)); #514342=CARTESIAN_POINT('',(-0.769998152217,-0.350012700025022,11.600043525364)); #514343=CARTESIAN_POINT('',(13.565274795314,1.64998633001,11.600043525364)); #514344=CARTESIAN_POINT('Origin',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #514345=CARTESIAN_POINT('',(3.809998152217,2.549985893525,3.200047599217)); #514346=CARTESIAN_POINT('',(3.809998152217,2.549985893525,1.600048375189)); #514347=CARTESIAN_POINT('',(5.079997536289,2.549985893525,3.200047599217)); #514348=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,3.200047599217)); #514349=CARTESIAN_POINT('',(5.079997536289,2.549985893525,4.91511609997802E-5)); #514350=CARTESIAN_POINT('',(5.079997536289,2.549985893525,4.91511609997802E-5)); #514351=CARTESIAN_POINT('',(4.359997885476,2.549985893525,4.91511609997802E-5)); #514352=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #514353=CARTESIAN_POINT('',(4.359997885476,2.549985893525,1.200048569182)); #514354=CARTESIAN_POINT('',(4.359997885476,2.549985893525,4.91511609997802E-5)); #514355=CARTESIAN_POINT('',(3.259998418957,2.549985893525,1.200048569182)); #514356=CARTESIAN_POINT('',(3.259998418957,2.549985893525,1.200048569182)); #514357=CARTESIAN_POINT('',(3.259998418957,2.549985893525,4.91511609997802E-5)); #514358=CARTESIAN_POINT('',(3.259998418957,2.549985893525,-1.19995026686)); #514359=CARTESIAN_POINT('',(1.819999117332,2.549985893525,4.91511609997802E-5)); #514360=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #514361=CARTESIAN_POINT('',(1.819999117332,2.549985893525,1.200048569182)); #514362=CARTESIAN_POINT('',(1.819999117332,2.549985893525,4.91511609997802E-5)); #514363=CARTESIAN_POINT('',(0.719999650813,2.549985893525,1.200048569182)); #514364=CARTESIAN_POINT('',(0.719999650813,2.549985893525,1.200048569182)); #514365=CARTESIAN_POINT('',(0.719999650813,2.549985893525,4.91511609997802E-5)); #514366=CARTESIAN_POINT('',(0.719999650813,2.549985893525,-1.19995026686)); #514367=CARTESIAN_POINT('',(-0.719999650813,2.549985893525,4.91511609997802E-5)); #514368=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #514369=CARTESIAN_POINT('',(-0.719999650813,2.549985893525,1.200048569182)); #514370=CARTESIAN_POINT('',(-0.719999650813,2.549985893525,4.91511609997802E-5)); #514371=CARTESIAN_POINT('',(-1.819999117332,2.549985893525,1.200048569182)); #514372=CARTESIAN_POINT('',(-1.819999117332,2.549985893525,1.200048569182)); #514373=CARTESIAN_POINT('',(-1.819999117332,2.549985893525,4.91511609997802E-5)); #514374=CARTESIAN_POINT('',(-1.819999117332,2.549985893525,-1.19995026686)); #514375=CARTESIAN_POINT('',(-3.259998418957,2.549985893525,4.91511609997802E-5)); #514376=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #514377=CARTESIAN_POINT('',(-3.259998418957,2.549985893525,1.200048569182)); #514378=CARTESIAN_POINT('',(-3.259998418957,2.549985893525,-1.19995026686)); #514379=CARTESIAN_POINT('',(-4.359997885476,2.549985893525,1.200048569182)); #514380=CARTESIAN_POINT('',(-4.359997885476,2.549985893525,1.200048569182)); #514381=CARTESIAN_POINT('',(-4.359997885476,2.549985893525,4.91511609997802E-5)); #514382=CARTESIAN_POINT('',(-4.359997885476,2.549985893525,-1.19995026686)); #514383=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #514384=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #514385=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,3.200047599217)); #514386=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #514387=CARTESIAN_POINT('',(-1.269998152217,2.54998589352502,3.200047599217)); #514388=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,3.200047599217)); #514389=CARTESIAN_POINT('',(-1.26999815221702,2.54998589352507,11.100043525364)); #514390=CARTESIAN_POINT('',(-1.26999815221702,2.54998589352503,0.800048763174995)); #514391=CARTESIAN_POINT('',(-4.87249659178576,2.54998589352503,7.49754508579525)); #514392=CARTESIAN_POINT('Origin',(-1.019998152217,-0.350012700025025,11.350043525364)); #514393=CARTESIAN_POINT('',(-1.26999815221701,1.64998633000993,11.100043525364)); #514394=CARTESIAN_POINT('',(0.188821297121992,1.64998633000995,12.558862974703)); #514395=CARTESIAN_POINT('',(-1.269998152217,-0.350012700025027,11.100043525364)); #514396=CARTESIAN_POINT('Origin',(13.565274795314,1.64998633001,1.600048375189)); #514397=CARTESIAN_POINT('',(-1.269998152217,1.64998633001002,9.200044689322)); #514398=CARTESIAN_POINT('',(-1.26999815221701,1.6499863300099,1.600048375189)); #514399=CARTESIAN_POINT('',(3.809998152217,1.64998633001,9.200044689322)); #514400=CARTESIAN_POINT('',(13.565274795314,1.64998633001,9.200044689322)); #514401=CARTESIAN_POINT('',(3.809998152217,1.64998633001,1.600048375189)); #514402=CARTESIAN_POINT('Origin',(-1.269998152217,-0.350012700024982,1.600048375189)); #514403=CARTESIAN_POINT('',(-1.269998152217,1.64998633001002,3.200047599217)); #514404=CARTESIAN_POINT('',(-1.269998152217,-0.350012700024982,3.200047599217)); #514405=CARTESIAN_POINT('',(-1.269998152217,1.64998633001002,6.20004614427)); #514406=CARTESIAN_POINT('',(-1.26999815221701,1.6499863300099,1.600048375189)); #514407=CARTESIAN_POINT('',(-1.269998152217,1.34998647550402,6.500045998775)); #514408=CARTESIAN_POINT('',(-1.26999815221702,2.94998569953218,4.90004677474672)); #514409=CARTESIAN_POINT('',(-1.269998152217,1.34998647550402,8.900044834817)); #514410=CARTESIAN_POINT('',(-1.26999815221701,1.3499864755039,1.600048375189)); #514411=CARTESIAN_POINT('',(-1.26999815221698,-3.15001134207407,4.40004701723803)); #514412=CARTESIAN_POINT('Origin',(-5.079997536289,2.549985893525,3.200047599217)); #514413=CARTESIAN_POINT('',(3.809998152217,1.64998633001,3.200047599217)); #514414=CARTESIAN_POINT('',(3.809998152217,-0.350012700025,3.200047599217)); #514415=CARTESIAN_POINT('',(13.565274795314,1.64998633001,3.200047599217)); #514416=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,3.200047599217)); #514417=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,3.200047599217)); #514418=CARTESIAN_POINT('',(5.079997536289,-3.250011293576,3.200047599217)); #514419=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,3.200047599217)); #514420=CARTESIAN_POINT('',(5.079997536289,2.549985893525,3.200047599217)); #514421=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,3.200047599217)); #514422=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,3.200047599217)); #514423=CARTESIAN_POINT('',(-1.589999228878,2.549985893525,3.200047599217)); #514424=CARTESIAN_POINT('',(-0.949999539267,0.269986999285,3.200047599217)); #514425=CARTESIAN_POINT('',(-5.079997536289,0.269986999285,3.200047599217)); #514426=CARTESIAN_POINT('',(-0.949999539267,-0.370012690326,3.200047599217)); #514427=CARTESIAN_POINT('',(-0.949999539267,2.549985893525,3.200047599217)); #514428=CARTESIAN_POINT('',(-5.079997536289,-0.370012690326,3.200047599217)); #514429=CARTESIAN_POINT('',(3.489998307411,0.269986999285,3.200047599217)); #514430=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,3.200047599217)); #514431=CARTESIAN_POINT('',(3.489998307411,2.549985893525,3.200047599217)); #514432=CARTESIAN_POINT('',(4.129997997022,0.269986999285,3.200047599217)); #514433=CARTESIAN_POINT('',(-5.079997536289,0.269986999285,3.200047599217)); #514434=CARTESIAN_POINT('',(4.129997997022,-0.370012690326,3.200047599217)); #514435=CARTESIAN_POINT('',(4.129997997022,2.549985893525,3.200047599217)); #514436=CARTESIAN_POINT('',(-5.079997536289,-0.370012690326,3.200047599217)); #514437=CARTESIAN_POINT('',(0.949999539267,0.269986999285,3.200047599217)); #514438=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,3.200047599217)); #514439=CARTESIAN_POINT('',(0.949999539267,2.549985893525,3.200047599217)); #514440=CARTESIAN_POINT('',(1.589999228878,0.269986999285,3.200047599217)); #514441=CARTESIAN_POINT('',(-5.079997536289,0.269986999285,3.200047599217)); #514442=CARTESIAN_POINT('',(1.589999228878,-0.370012690326,3.200047599217)); #514443=CARTESIAN_POINT('',(1.589999228878,2.549985893525,3.200047599217)); #514444=CARTESIAN_POINT('',(-5.079997536289,-0.370012690326,3.200047599217)); #514445=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,3.200047599217)); #514446=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,3.200047599217)); #514447=CARTESIAN_POINT('',(-4.129997997022,2.549985893525,3.200047599217)); #514448=CARTESIAN_POINT('',(-3.489998307411,0.269986999285,3.200047599217)); #514449=CARTESIAN_POINT('',(-5.079997536289,0.269986999285,3.200047599217)); #514450=CARTESIAN_POINT('',(-3.489998307411,-0.370012690326,3.200047599217)); #514451=CARTESIAN_POINT('',(-3.489998307411,2.549985893525,3.200047599217)); #514452=CARTESIAN_POINT('',(-5.079997536289,-0.370012690326,3.200047599217)); #514453=CARTESIAN_POINT('Origin',(3.259998418957,2.549985893525,1.200048569182)); #514454=CARTESIAN_POINT('',(4.359997885476,-3.250011293576,1.200048569182)); #514455=CARTESIAN_POINT('',(3.259998418957,-3.250011293576,1.200048569182)); #514456=CARTESIAN_POINT('',(3.259998418957,-3.250011293576,1.200048569182)); #514457=CARTESIAN_POINT('',(3.259998418957,2.549985893525,1.200048569182)); #514458=CARTESIAN_POINT('',(4.359997885476,2.549985893525,1.200048569182)); #514459=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,1.200048569182)); #514460=CARTESIAN_POINT('',(3.489998307411,0.269986999285,1.200048569182)); #514461=CARTESIAN_POINT('',(3.489998307411,2.549985893525,1.200048569182)); #514462=CARTESIAN_POINT('',(4.129997997022,-0.370012690326,1.200048569182)); #514463=CARTESIAN_POINT('',(3.259998418957,-0.370012690326,1.200048569182)); #514464=CARTESIAN_POINT('',(4.129997997022,0.269986999285,1.200048569182)); #514465=CARTESIAN_POINT('',(4.129997997022,2.549985893525,1.200048569182)); #514466=CARTESIAN_POINT('',(3.259998418957,0.269986999285,1.200048569182)); #514467=CARTESIAN_POINT('Origin',(0.719999650813,2.549985893525,1.200048569182)); #514468=CARTESIAN_POINT('',(1.819999117332,-3.250011293576,1.200048569182)); #514469=CARTESIAN_POINT('',(0.719999650813,-3.250011293576,1.200048569182)); #514470=CARTESIAN_POINT('',(0.719999650813,-3.250011293576,1.200048569182)); #514471=CARTESIAN_POINT('',(0.719999650813,2.549985893525,1.200048569182)); #514472=CARTESIAN_POINT('',(1.819999117332,2.549985893525,1.200048569182)); #514473=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,1.200048569182)); #514474=CARTESIAN_POINT('',(0.949999539267,0.269986999285,1.200048569182)); #514475=CARTESIAN_POINT('',(0.949999539267,2.549985893525,1.200048569182)); #514476=CARTESIAN_POINT('',(1.589999228878,-0.370012690326,1.200048569182)); #514477=CARTESIAN_POINT('',(0.719999650813,-0.370012690326,1.200048569182)); #514478=CARTESIAN_POINT('',(1.589999228878,0.269986999285,1.200048569182)); #514479=CARTESIAN_POINT('',(1.589999228878,2.549985893525,1.200048569182)); #514480=CARTESIAN_POINT('',(0.719999650813,0.269986999285,1.200048569182)); #514481=CARTESIAN_POINT('Origin',(-1.819999117332,2.549985893525,1.200048569182)); #514482=CARTESIAN_POINT('',(-0.719999650813,-3.250011293576,1.200048569182)); #514483=CARTESIAN_POINT('',(-1.819999117332,-3.250011293576,1.200048569182)); #514484=CARTESIAN_POINT('',(-1.819999117332,-3.250011293576,1.200048569182)); #514485=CARTESIAN_POINT('',(-1.819999117332,2.549985893525,1.200048569182)); #514486=CARTESIAN_POINT('',(-0.719999650813,2.549985893525,1.200048569182)); #514487=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,1.200048569182)); #514488=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,1.200048569182)); #514489=CARTESIAN_POINT('',(-1.589999228878,2.549985893525,1.200048569182)); #514490=CARTESIAN_POINT('',(-0.949999539267,-0.370012690326,1.200048569182)); #514491=CARTESIAN_POINT('',(-1.819999117332,-0.370012690326,1.200048569182)); #514492=CARTESIAN_POINT('',(-0.949999539267,0.269986999285,1.200048569182)); #514493=CARTESIAN_POINT('',(-0.949999539267,2.549985893525,1.200048569182)); #514494=CARTESIAN_POINT('',(-1.819999117332,0.269986999285,1.200048569182)); #514495=CARTESIAN_POINT('Origin',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #514496=CARTESIAN_POINT('',(1.819999117332,-3.250011293576,4.91511609997802E-5)); #514497=CARTESIAN_POINT('',(3.259998418957,-3.250011293576,4.91511609997802E-5)); #514498=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,4.91511609997802E-5)); #514499=CARTESIAN_POINT('',(1.819999117332,2.549985893525,4.91511609997802E-5)); #514500=CARTESIAN_POINT('',(3.259998418957,2.549985893525,4.91511609997802E-5)); #514501=CARTESIAN_POINT('Origin',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #514502=CARTESIAN_POINT('',(4.359997885476,-3.250011293576,4.91511609997802E-5)); #514503=CARTESIAN_POINT('',(5.079997536289,-3.250011293576,4.91511609997802E-5)); #514504=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,4.91511609997802E-5)); #514505=CARTESIAN_POINT('',(4.359997885476,2.549985893525,4.91511609997802E-5)); #514506=CARTESIAN_POINT('',(5.079997536289,2.549985893525,4.91511609997802E-5)); #514507=CARTESIAN_POINT('Origin',(-4.359997885476,2.549985893525,1.200048569182)); #514508=CARTESIAN_POINT('',(-3.259998418957,-3.250011293576,1.200048569182)); #514509=CARTESIAN_POINT('',(-4.359997885476,-3.250011293576,1.200048569182)); #514510=CARTESIAN_POINT('',(-4.359997885476,-3.250011293576,1.200048569182)); #514511=CARTESIAN_POINT('',(-4.359997885476,2.549985893525,1.200048569182)); #514512=CARTESIAN_POINT('',(-3.259998418957,2.549985893525,1.200048569182)); #514513=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,1.200048569182)); #514514=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,1.200048569182)); #514515=CARTESIAN_POINT('',(-4.129997997022,2.549985893525,1.200048569182)); #514516=CARTESIAN_POINT('',(-3.489998307411,-0.370012690326,1.200048569182)); #514517=CARTESIAN_POINT('',(-4.359997885476,-0.370012690326,1.200048569182)); #514518=CARTESIAN_POINT('',(-3.489998307411,0.269986999285,1.200048569182)); #514519=CARTESIAN_POINT('',(-3.489998307411,2.549985893525,1.200048569182)); #514520=CARTESIAN_POINT('',(-4.359997885476,0.269986999285,1.200048569182)); #514521=CARTESIAN_POINT('Origin',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #514522=CARTESIAN_POINT('',(-1.819999117332,-3.250011293576,4.91511609997802E-5)); #514523=CARTESIAN_POINT('',(-1.819999117332,2.549985893525,4.91511609997802E-5)); #514524=CARTESIAN_POINT('',(-3.259998418957,-3.250011293576,4.91511609997802E-5)); #514525=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,4.91511609997802E-5)); #514526=CARTESIAN_POINT('',(-3.259998418957,2.549985893525,4.91511609997802E-5)); #514527=CARTESIAN_POINT('Origin',(-5.079997536289,-3.250011293576,4.91511609997802E-5)); #514528=CARTESIAN_POINT('',(-0.719999650813,-3.250011293576,4.91511609997802E-5)); #514529=CARTESIAN_POINT('',(-0.719999650813,-3.250011293576,-1.19995026686)); #514530=CARTESIAN_POINT('',(0.719999650813,-3.250011293576,4.91511609997802E-5)); #514531=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,4.91511609997802E-5)); #514532=CARTESIAN_POINT('',(0.719999650813,-3.250011293576,-1.19995026686)); #514533=CARTESIAN_POINT('',(1.819999117332,-3.250011293576,-1.19995026686)); #514534=CARTESIAN_POINT('',(3.259998418957,-3.250011293576,-1.19995026686)); #514535=CARTESIAN_POINT('',(4.359997885476,-3.250011293576,-1.19995026686)); #514536=CARTESIAN_POINT('',(5.079997536289,-3.250011293576,4.91511609997802E-5)); #514537=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,4.91511609997802E-5)); #514538=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,4.91511609997802E-5)); #514539=CARTESIAN_POINT('',(-4.359997885476,-3.250011293576,4.91511609997802E-5)); #514540=CARTESIAN_POINT('',(-5.079997536289,-3.250011293576,4.91511609997802E-5)); #514541=CARTESIAN_POINT('',(-4.359997885476,-3.250011293576,-1.19995026686)); #514542=CARTESIAN_POINT('',(-3.259998418957,-3.250011293576,-1.19995026686)); #514543=CARTESIAN_POINT('',(-1.819999117332,-3.250011293576,-1.19995026686)); #514544=CARTESIAN_POINT('Origin',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #514545=CARTESIAN_POINT('',(-4.359997885476,2.549985893525,4.91511609997802E-5)); #514546=CARTESIAN_POINT('',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #514547=CARTESIAN_POINT('Origin',(-5.079997536289,-0.350012700025,1.600048375189)); #514548=CARTESIAN_POINT('Origin',(5.079997536289,-0.350012700025,1.600048375189)); #514549=CARTESIAN_POINT('Origin',(3.809998152217,-0.350012700025,1.600048375189)); #514550=CARTESIAN_POINT('',(3.809998152217,1.349986475504,8.900044834817)); #514551=CARTESIAN_POINT('',(3.809998152217,-3.150011342074,4.400047017238)); #514552=CARTESIAN_POINT('',(3.809998152217,1.349986475504,6.500045998775)); #514553=CARTESIAN_POINT('',(3.809998152217,1.349986475504,1.600048375189)); #514554=CARTESIAN_POINT('',(3.809998152217,1.64998633001,6.20004614427)); #514555=CARTESIAN_POINT('',(3.809998152217,2.949985699532,4.900046774747)); #514556=CARTESIAN_POINT('',(3.809998152217,1.64998633001,1.600048375189)); #514557=CARTESIAN_POINT('Origin',(13.565274795314,1.64998633001,1.600048375189)); #514558=CARTESIAN_POINT('',(13.565274795314,1.64998633001,6.20004614427)); #514559=CARTESIAN_POINT('Origin',(13.565274795314,2.949985699532,4.900046774747)); #514560=CARTESIAN_POINT('',(13.565274795314,1.349986475504,6.500045998775)); #514561=CARTESIAN_POINT('Origin',(13.565274795314,1.349986475504,1.600048375189)); #514562=CARTESIAN_POINT('',(13.565274795314,1.349986475504,8.900044834817)); #514563=CARTESIAN_POINT('Origin',(13.565274795314,-3.150011342074,4.400047017238)); #514564=CARTESIAN_POINT('Origin',(-3.259998418957,2.549985893525,-1.19995026686)); #514565=CARTESIAN_POINT('Origin',(-4.359997885476,2.549985893525,-1.19995026686)); #514566=CARTESIAN_POINT('Origin',(-4.129997997022,-0.370012690326,-3.399949199898)); #514567=CARTESIAN_POINT('',(-3.97999806977,-0.220012763073,-3.399949199898)); #514568=CARTESIAN_POINT('',(-3.97999806977,0.119987072033,-3.399949199898)); #514569=CARTESIAN_POINT('',(-3.97999806977,0.269986999285,-3.399949199898)); #514570=CARTESIAN_POINT('',(-3.639998234664,0.119987072033,-3.399949199898)); #514571=CARTESIAN_POINT('',(-3.489998307411,0.119987072033,-3.399949199898)); #514572=CARTESIAN_POINT('',(-3.639998234664,-0.220012763073,-3.399949199898)); #514573=CARTESIAN_POINT('',(-3.639998234664,-0.370012690326,-3.399949199898)); #514574=CARTESIAN_POINT('',(-4.129997997022,-0.220012763073,-3.399949199898)); #514575=CARTESIAN_POINT('Origin',(-3.489998307411,-0.350012700025,1.600048375189)); #514576=CARTESIAN_POINT('',(-3.489998307411,-0.370012690326,-2.987827786852)); #514577=CARTESIAN_POINT('',(-3.489998307411,-0.370012690326,10.100044252838)); #514578=CARTESIAN_POINT('',(-3.489998307411,0.269986999285,-2.987827786852)); #514579=CARTESIAN_POINT('',(-3.489998307411,-0.350012700025,-2.987827786852)); #514580=CARTESIAN_POINT('',(-3.489998307411,0.269986999285,10.100044252838)); #514581=CARTESIAN_POINT('Origin',(-4.129997997022,-0.350012700025,1.600048375189)); #514582=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,-2.987827786852)); #514583=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,10.100044252838)); #514584=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,-2.987827786852)); #514585=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,-2.987827786852)); #514586=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,10.100044252838)); #514587=CARTESIAN_POINT('Origin',(-4.129997997022,-0.370012690326,10.100044252838)); #514588=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,-2.987827786852)); #514589=CARTESIAN_POINT('Origin',(-4.129997997022,0.269986999285,10.100044252838)); #514590=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,-2.987827786852)); #514591=CARTESIAN_POINT('Origin',(-4.129997997022,0.269986999285,10.100044252838)); #514592=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,9.687922839792)); #514593=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,10.100044252838)); #514594=CARTESIAN_POINT('',(-3.489998307411,0.269986999285,9.687922839792)); #514595=CARTESIAN_POINT('',(-3.489998307411,0.269986999285,9.687922839792)); #514596=CARTESIAN_POINT('',(-3.489998307411,0.269986999285,10.100044252838)); #514597=CARTESIAN_POINT('Origin',(-4.129997997022,-0.370012690326,10.100044252838)); #514598=CARTESIAN_POINT('',(-3.489998307411,-0.370012690326,9.687922839792)); #514599=CARTESIAN_POINT('',(-3.489998307411,-0.370012690326,10.100044252838)); #514600=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,9.687922839792)); #514601=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,9.687922839792)); #514602=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,10.100044252838)); #514603=CARTESIAN_POINT('Origin',(-4.129997997022,-0.350012700025,1.600048375189)); #514604=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,9.687922839792)); #514605=CARTESIAN_POINT('Origin',(-3.489998307411,-0.350012700025,1.600048375189)); #514606=CARTESIAN_POINT('',(-3.489998307411,-0.350012700025,9.687922839792)); #514607=CARTESIAN_POINT('Origin',(-4.129997997022,0.269986999285,10.100044252838)); #514608=CARTESIAN_POINT('',(-3.97999806977,0.119987072033,10.100044252838)); #514609=CARTESIAN_POINT('',(-3.97999806977,-0.220012763073,10.100044252838)); #514610=CARTESIAN_POINT('',(-3.97999806977,-0.370012690326,10.100044252838)); #514611=CARTESIAN_POINT('',(-3.639998234664,-0.220012763073,10.100044252838)); #514612=CARTESIAN_POINT('',(-4.129997997022,-0.220012763073,10.100044252838)); #514613=CARTESIAN_POINT('',(-3.639998234664,0.119987072033,10.100044252838)); #514614=CARTESIAN_POINT('',(-3.639998234664,0.269986999285,10.100044252838)); #514615=CARTESIAN_POINT('',(-4.129997997022,0.119987072033,10.100044252838)); #514616=CARTESIAN_POINT('Origin',(-4.129997997022,-0.370012690326,-2.987827786852)); #514617=CARTESIAN_POINT('',(-3.49209284723,-0.367918150507,-2.993582487709)); #514618=CARTESIAN_POINT('',(-4.129997997022,-0.370012690326,-2.987827786852)); #514619=CARTESIAN_POINT('Origin',(-3.97999806977,-0.370012690326,-3.399949199898)); #514620=CARTESIAN_POINT('',(-3.928681844198,0.06867084646102,-3.540939370908)); #514621=CARTESIAN_POINT('Origin',(-3.489998307411,-0.350012700025,-2.987827786852)); #514622=CARTESIAN_POINT('',(-3.554929041808,0.205056264888,-3.166223513436)); #514623=CARTESIAN_POINT('Origin',(-4.129997997022,0.119987072033,-3.399949199898)); #514624=CARTESIAN_POINT('Origin',(-4.129997997022,0.269986999285,9.687922839792)); #514625=CARTESIAN_POINT('',(-3.554929041808,0.205056264889,9.866318566376)); #514626=CARTESIAN_POINT('',(-4.129997997022,0.269986999285,9.687922839792)); #514627=CARTESIAN_POINT('Origin',(-3.97999806977,0.269986999285,10.100044252838)); #514628=CARTESIAN_POINT('',(-3.928681844198,-0.168696537501,10.241034423848)); #514629=CARTESIAN_POINT('Origin',(-3.489998307411,-0.350012700025,9.687922839792)); #514630=CARTESIAN_POINT('',(-3.49209284723,-0.367918150506,9.693677540649)); #514631=CARTESIAN_POINT('Origin',(-4.129997997022,-0.220012763073,10.100044252838)); #514632=CARTESIAN_POINT('Origin',(4.359997885476,2.549985893525,-1.19995026686)); #514633=CARTESIAN_POINT('Origin',(3.259998418957,2.549985893525,-1.19995026686)); #514634=CARTESIAN_POINT('Origin',(1.819999117332,2.549985893525,-1.19995026686)); #514635=CARTESIAN_POINT('Origin',(0.719999650813,2.549985893525,-1.19995026686)); #514636=CARTESIAN_POINT('',(0.719999650813,2.549985893525,4.91511609997802E-5)); #514637=CARTESIAN_POINT('Origin',(-0.719999650813,2.549985893525,-1.19995026686)); #514638=CARTESIAN_POINT('',(-0.719999650813,2.549985893525,4.91511609997802E-5)); #514639=CARTESIAN_POINT('Origin',(-1.819999117332,2.549985893525,-1.19995026686)); #514640=CARTESIAN_POINT('Origin',(-1.589999228878,-0.370012690326,-3.399949199898)); #514641=CARTESIAN_POINT('',(-1.439999301625,-0.220012763073,-3.399949199898)); #514642=CARTESIAN_POINT('',(-1.439999301625,0.119987072033,-3.399949199898)); #514643=CARTESIAN_POINT('',(-1.439999301625,0.269986999285,-3.399949199898)); #514644=CARTESIAN_POINT('',(-1.099999466519,0.119987072033,-3.399949199898)); #514645=CARTESIAN_POINT('',(-0.949999539267,0.119987072033,-3.399949199898)); #514646=CARTESIAN_POINT('',(-1.099999466519,-0.220012763073,-3.399949199898)); #514647=CARTESIAN_POINT('',(-1.099999466519,-0.370012690326,-3.399949199898)); #514648=CARTESIAN_POINT('',(-1.589999228878,-0.220012763073,-3.399949199898)); #514649=CARTESIAN_POINT('Origin',(-0.949999539267,-0.350012700025,1.600048375189)); #514650=CARTESIAN_POINT('',(-0.949999539267,-0.370012690326,-2.987827786852)); #514651=CARTESIAN_POINT('',(-0.949999539267,-0.370012690326,10.100044252838)); #514652=CARTESIAN_POINT('',(-0.949999539267,0.269986999285,-2.987827786852)); #514653=CARTESIAN_POINT('',(-0.949999539267,-0.350012700025,-2.987827786852)); #514654=CARTESIAN_POINT('',(-0.949999539267,0.269986999285,10.100044252838)); #514655=CARTESIAN_POINT('Origin',(-1.589999228878,-0.350012700025,1.600048375189)); #514656=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,-2.987827786852)); #514657=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,10.100044252838)); #514658=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,-2.987827786852)); #514659=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,-2.987827786852)); #514660=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,10.100044252838)); #514661=CARTESIAN_POINT('Origin',(-1.589999228878,-0.370012690326,10.100044252838)); #514662=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,-2.987827786852)); #514663=CARTESIAN_POINT('Origin',(-1.589999228878,0.269986999285,10.100044252838)); #514664=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,-2.987827786852)); #514665=CARTESIAN_POINT('Origin',(-1.589999228878,0.269986999285,10.100044252838)); #514666=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,9.687922839792)); #514667=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,10.100044252838)); #514668=CARTESIAN_POINT('',(-0.949999539267,0.269986999285,9.687922839792)); #514669=CARTESIAN_POINT('',(-0.949999539267,0.269986999285,9.687922839792)); #514670=CARTESIAN_POINT('',(-0.949999539267,0.269986999285,10.100044252838)); #514671=CARTESIAN_POINT('Origin',(-1.589999228878,-0.370012690326,10.100044252838)); #514672=CARTESIAN_POINT('',(-0.949999539267,-0.370012690326,9.687922839792)); #514673=CARTESIAN_POINT('',(-0.949999539267,-0.370012690326,10.100044252838)); #514674=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,9.687922839792)); #514675=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,9.687922839792)); #514676=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,10.100044252838)); #514677=CARTESIAN_POINT('Origin',(-1.589999228878,-0.350012700025,1.600048375189)); #514678=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,9.687922839792)); #514679=CARTESIAN_POINT('Origin',(-0.949999539267,-0.350012700025,1.600048375189)); #514680=CARTESIAN_POINT('',(-0.949999539267,-0.350012700025,9.687922839792)); #514681=CARTESIAN_POINT('Origin',(-1.589999228878,0.269986999285,10.100044252838)); #514682=CARTESIAN_POINT('',(-1.439999301625,0.119987072033,10.100044252838)); #514683=CARTESIAN_POINT('',(-1.439999301625,-0.220012763073,10.100044252838)); #514684=CARTESIAN_POINT('',(-1.439999301625,-0.370012690326,10.100044252838)); #514685=CARTESIAN_POINT('',(-1.099999466519,-0.220012763073,10.100044252838)); #514686=CARTESIAN_POINT('',(-1.589999228878,-0.220012763073,10.100044252838)); #514687=CARTESIAN_POINT('',(-1.099999466519,0.119987072033,10.100044252838)); #514688=CARTESIAN_POINT('',(-1.099999466519,0.269986999285,10.100044252838)); #514689=CARTESIAN_POINT('',(-1.589999228878,0.119987072033,10.100044252838)); #514690=CARTESIAN_POINT('Origin',(0.949999539267,-0.370012690326,-3.399949199898)); #514691=CARTESIAN_POINT('',(1.099999466519,-0.220012763073,-3.399949199898)); #514692=CARTESIAN_POINT('',(1.099999466519,0.119987072033,-3.399949199898)); #514693=CARTESIAN_POINT('',(1.099999466519,0.269986999285,-3.399949199898)); #514694=CARTESIAN_POINT('',(1.439999301625,0.119987072033,-3.399949199898)); #514695=CARTESIAN_POINT('',(1.589999228878,0.119987072033,-3.399949199898)); #514696=CARTESIAN_POINT('',(1.439999301625,-0.220012763073,-3.399949199898)); #514697=CARTESIAN_POINT('',(1.439999301625,-0.370012690326,-3.399949199898)); #514698=CARTESIAN_POINT('',(0.949999539267,-0.220012763073,-3.399949199898)); #514699=CARTESIAN_POINT('Origin',(1.589999228878,-0.350012700025,1.600048375189)); #514700=CARTESIAN_POINT('',(1.589999228878,-0.370012690326,-2.987827786852)); #514701=CARTESIAN_POINT('',(1.589999228878,-0.370012690326,10.100044252838)); #514702=CARTESIAN_POINT('',(1.589999228878,0.269986999285,-2.987827786852)); #514703=CARTESIAN_POINT('',(1.589999228878,-0.350012700025,-2.987827786852)); #514704=CARTESIAN_POINT('',(1.589999228878,0.269986999285,10.100044252838)); #514705=CARTESIAN_POINT('Origin',(0.949999539267,-0.350012700025,1.600048375189)); #514706=CARTESIAN_POINT('',(0.949999539267,0.269986999285,-2.987827786852)); #514707=CARTESIAN_POINT('',(0.949999539267,0.269986999285,10.100044252838)); #514708=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,-2.987827786852)); #514709=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,-2.987827786852)); #514710=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,10.100044252838)); #514711=CARTESIAN_POINT('Origin',(0.949999539267,-0.370012690326,10.100044252838)); #514712=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,-2.987827786852)); #514713=CARTESIAN_POINT('Origin',(0.949999539267,0.269986999285,10.100044252838)); #514714=CARTESIAN_POINT('',(0.949999539267,0.269986999285,-2.987827786852)); #514715=CARTESIAN_POINT('Origin',(0.949999539267,0.269986999285,10.100044252838)); #514716=CARTESIAN_POINT('',(0.949999539267,0.269986999285,9.687922839792)); #514717=CARTESIAN_POINT('',(0.949999539267,0.269986999285,10.100044252838)); #514718=CARTESIAN_POINT('',(1.589999228878,0.269986999285,9.687922839792)); #514719=CARTESIAN_POINT('',(1.589999228878,0.269986999285,9.687922839792)); #514720=CARTESIAN_POINT('',(1.589999228878,0.269986999285,10.100044252838)); #514721=CARTESIAN_POINT('Origin',(0.949999539267,-0.370012690326,10.100044252838)); #514722=CARTESIAN_POINT('',(1.589999228878,-0.370012690326,9.687922839792)); #514723=CARTESIAN_POINT('',(1.589999228878,-0.370012690326,10.100044252838)); #514724=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,9.687922839792)); #514725=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,9.687922839792)); #514726=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,10.100044252838)); #514727=CARTESIAN_POINT('Origin',(0.949999539267,-0.350012700025,1.600048375189)); #514728=CARTESIAN_POINT('',(0.949999539267,0.269986999285,9.687922839792)); #514729=CARTESIAN_POINT('Origin',(1.589999228878,-0.350012700025,1.600048375189)); #514730=CARTESIAN_POINT('',(1.589999228878,-0.350012700025,9.687922839792)); #514731=CARTESIAN_POINT('Origin',(0.949999539267,0.269986999285,10.100044252838)); #514732=CARTESIAN_POINT('',(1.099999466519,0.119987072033,10.100044252838)); #514733=CARTESIAN_POINT('',(1.099999466519,-0.220012763073,10.100044252838)); #514734=CARTESIAN_POINT('',(1.099999466519,-0.370012690326,10.100044252838)); #514735=CARTESIAN_POINT('',(1.439999301625,-0.220012763073,10.100044252838)); #514736=CARTESIAN_POINT('',(0.949999539267,-0.220012763073,10.100044252838)); #514737=CARTESIAN_POINT('',(1.439999301625,0.119987072033,10.100044252838)); #514738=CARTESIAN_POINT('',(1.439999301625,0.269986999285,10.100044252838)); #514739=CARTESIAN_POINT('',(0.949999539267,0.119987072033,10.100044252838)); #514740=CARTESIAN_POINT('Origin',(3.489998307411,-0.370012690326,-3.399949199898)); #514741=CARTESIAN_POINT('',(3.639998234664,-0.220012763073,-3.399949199898)); #514742=CARTESIAN_POINT('',(3.639998234664,0.119987072033,-3.399949199898)); #514743=CARTESIAN_POINT('',(3.639998234664,0.269986999285,-3.399949199898)); #514744=CARTESIAN_POINT('',(3.97999806977,0.119987072033,-3.399949199898)); #514745=CARTESIAN_POINT('',(4.129997997022,0.119987072033,-3.399949199898)); #514746=CARTESIAN_POINT('',(3.97999806977,-0.220012763073,-3.399949199898)); #514747=CARTESIAN_POINT('',(3.97999806977,-0.370012690326,-3.399949199898)); #514748=CARTESIAN_POINT('',(3.489998307411,-0.220012763073,-3.399949199898)); #514749=CARTESIAN_POINT('Origin',(4.129997997022,-0.350012700025,1.600048375189)); #514750=CARTESIAN_POINT('',(4.129997997022,-0.370012690326,-2.987827786852)); #514751=CARTESIAN_POINT('',(4.129997997022,-0.370012690326,10.100044252838)); #514752=CARTESIAN_POINT('',(4.129997997022,0.269986999285,-2.987827786852)); #514753=CARTESIAN_POINT('',(4.129997997022,-0.350012700025,-2.987827786852)); #514754=CARTESIAN_POINT('',(4.129997997022,0.269986999285,10.100044252838)); #514755=CARTESIAN_POINT('Origin',(3.489998307411,-0.350012700025,1.600048375189)); #514756=CARTESIAN_POINT('',(3.489998307411,0.269986999285,-2.987827786852)); #514757=CARTESIAN_POINT('',(3.489998307411,0.269986999285,10.100044252838)); #514758=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,-2.987827786852)); #514759=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,-2.987827786852)); #514760=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,10.100044252838)); #514761=CARTESIAN_POINT('Origin',(3.489998307411,-0.370012690326,10.100044252838)); #514762=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,-2.987827786852)); #514763=CARTESIAN_POINT('Origin',(3.489998307411,0.269986999285,10.100044252838)); #514764=CARTESIAN_POINT('',(3.489998307411,0.269986999285,-2.987827786852)); #514765=CARTESIAN_POINT('Origin',(3.489998307411,0.269986999285,10.100044252838)); #514766=CARTESIAN_POINT('',(3.489998307411,0.269986999285,9.687922839792)); #514767=CARTESIAN_POINT('',(3.489998307411,0.269986999285,10.100044252838)); #514768=CARTESIAN_POINT('',(4.129997997022,0.269986999285,9.687922839792)); #514769=CARTESIAN_POINT('',(4.129997997022,0.269986999285,9.687922839792)); #514770=CARTESIAN_POINT('',(4.129997997022,0.269986999285,10.100044252838)); #514771=CARTESIAN_POINT('Origin',(3.489998307411,-0.370012690326,10.100044252838)); #514772=CARTESIAN_POINT('',(4.129997997022,-0.370012690326,9.687922839792)); #514773=CARTESIAN_POINT('',(4.129997997022,-0.370012690326,10.100044252838)); #514774=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,9.687922839792)); #514775=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,9.687922839792)); #514776=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,10.100044252838)); #514777=CARTESIAN_POINT('Origin',(3.489998307411,-0.350012700025,1.600048375189)); #514778=CARTESIAN_POINT('',(3.489998307411,0.269986999285,9.687922839792)); #514779=CARTESIAN_POINT('Origin',(4.129997997022,-0.350012700025,1.600048375189)); #514780=CARTESIAN_POINT('',(4.129997997022,-0.350012700025,9.687922839792)); #514781=CARTESIAN_POINT('Origin',(3.489998307411,0.269986999285,10.100044252838)); #514782=CARTESIAN_POINT('',(3.639998234664,0.119987072033,10.100044252838)); #514783=CARTESIAN_POINT('',(3.639998234664,-0.220012763073,10.100044252838)); #514784=CARTESIAN_POINT('',(3.639998234664,-0.370012690326,10.100044252838)); #514785=CARTESIAN_POINT('',(3.97999806977,-0.220012763073,10.100044252838)); #514786=CARTESIAN_POINT('',(3.489998307411,-0.220012763073,10.100044252838)); #514787=CARTESIAN_POINT('',(3.97999806977,0.119987072033,10.100044252838)); #514788=CARTESIAN_POINT('',(3.97999806977,0.269986999285,10.100044252838)); #514789=CARTESIAN_POINT('',(3.489998307411,0.119987072033,10.100044252838)); #514790=CARTESIAN_POINT('Origin',(-1.589999228878,-0.370012690326,-2.987827786852)); #514791=CARTESIAN_POINT('',(-0.952094079086,-0.367918150507,-2.993582487709)); #514792=CARTESIAN_POINT('',(-1.589999228878,-0.370012690326,-2.987827786852)); #514793=CARTESIAN_POINT('Origin',(-1.439999301625,-0.370012690326,-3.399949199898)); #514794=CARTESIAN_POINT('',(-1.388683076053,0.06867084646104,-3.540939370908)); #514795=CARTESIAN_POINT('Origin',(-0.949999539267,-0.350012700025,-2.987827786852)); #514796=CARTESIAN_POINT('',(-1.014930273664,0.205056264889,-3.166223513436)); #514797=CARTESIAN_POINT('Origin',(-1.589999228878,0.119987072033,-3.399949199898)); #514798=CARTESIAN_POINT('Origin',(-1.589999228878,0.269986999285,9.687922839792)); #514799=CARTESIAN_POINT('',(-1.014930273664,0.205056264889,9.866318566376)); #514800=CARTESIAN_POINT('',(-1.589999228878,0.269986999285,9.687922839792)); #514801=CARTESIAN_POINT('Origin',(-1.439999301625,0.269986999285,10.100044252838)); #514802=CARTESIAN_POINT('',(-1.388683076053,-0.168696537501,10.241034423848)); #514803=CARTESIAN_POINT('Origin',(-0.949999539267,-0.350012700025,9.687922839792)); #514804=CARTESIAN_POINT('',(-0.952094079086,-0.367918150506,9.693677540649)); #514805=CARTESIAN_POINT('Origin',(-1.589999228878,-0.220012763073,10.100044252838)); #514806=CARTESIAN_POINT('Origin',(0.949999539267,-0.370012690326,-2.987827786852)); #514807=CARTESIAN_POINT('',(1.587904689059,-0.367918150507,-2.993582487709)); #514808=CARTESIAN_POINT('',(0.949999539267,-0.370012690326,-2.987827786852)); #514809=CARTESIAN_POINT('Origin',(1.099999466519,-0.370012690326,-3.399949199898)); #514810=CARTESIAN_POINT('',(1.151315692091,0.06867084646106,-3.540939370908)); #514811=CARTESIAN_POINT('Origin',(1.589999228878,-0.350012700025,-2.987827786852)); #514812=CARTESIAN_POINT('',(1.525068494481,0.205056264889,-3.166223513436)); #514813=CARTESIAN_POINT('Origin',(0.949999539267,0.119987072033,-3.399949199898)); #514814=CARTESIAN_POINT('Origin',(0.949999539267,0.269986999285,9.687922839792)); #514815=CARTESIAN_POINT('',(1.525068494481,0.205056264889,9.866318566376)); #514816=CARTESIAN_POINT('',(0.949999539267,0.269986999285,9.687922839792)); #514817=CARTESIAN_POINT('Origin',(1.099999466519,0.269986999285,10.100044252838)); #514818=CARTESIAN_POINT('',(1.151315692091,-0.168696537501,10.241034423848)); #514819=CARTESIAN_POINT('Origin',(1.589999228878,-0.350012700025,9.687922839792)); #514820=CARTESIAN_POINT('',(1.587904689059,-0.367918150506,9.693677540649)); #514821=CARTESIAN_POINT('Origin',(0.949999539267,-0.220012763073,10.100044252838)); #514822=CARTESIAN_POINT('Origin',(3.489998307411,-0.370012690326,-2.987827786852)); #514823=CARTESIAN_POINT('',(4.127903457203,-0.367918150506,-2.993582487709)); #514824=CARTESIAN_POINT('',(3.489998307411,-0.370012690326,-2.987827786852)); #514825=CARTESIAN_POINT('Origin',(3.639998234664,-0.370012690326,-3.399949199898)); #514826=CARTESIAN_POINT('',(3.691314460235,0.06867084646107,-3.540939370908)); #514827=CARTESIAN_POINT('Origin',(4.129997997022,-0.350012700025,-2.987827786852)); #514828=CARTESIAN_POINT('',(4.065067262625,0.205056264889,-3.166223513436)); #514829=CARTESIAN_POINT('Origin',(3.489998307411,0.119987072033,-3.399949199898)); #514830=CARTESIAN_POINT('Origin',(3.489998307411,0.269986999285,9.687922839792)); #514831=CARTESIAN_POINT('',(4.065067262625,0.205056264889,9.866318566376)); #514832=CARTESIAN_POINT('',(3.489998307411,0.269986999285,9.687922839792)); #514833=CARTESIAN_POINT('Origin',(3.639998234664,0.269986999285,10.100044252838)); #514834=CARTESIAN_POINT('',(3.691314460235,-0.168696537501,10.241034423848)); #514835=CARTESIAN_POINT('Origin',(4.129997997022,-0.350012700025,9.687922839792)); #514836=CARTESIAN_POINT('',(4.127903457203,-0.367918150506,9.693677540649)); #514837=CARTESIAN_POINT('Origin',(3.489998307411,-0.220012763073,10.100044252838)); #514838=CARTESIAN_POINT('Origin',(-5.079997536289,2.549985893525,4.91511609997802E-5)); #514839=CARTESIAN_POINT('',(0.,0.,0.)); #514840=CARTESIAN_POINT('',(0.,0.,0.)); #514841=CARTESIAN_POINT('',(0.,0.,0.)); #514842=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.164)); #514843=CARTESIAN_POINT('',(-0.85,-0.434,0.164)); #514844=CARTESIAN_POINT('',(-0.834,-0.434,0.18)); #514845=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.164)); #514846=CARTESIAN_POINT('',(-0.834,-0.45,0.164)); #514847=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.164)); #514848=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.164)); #514849=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.164)); #514850=CARTESIAN_POINT('',(-0.666,-0.45,0.164)); #514851=CARTESIAN_POINT('',(-0.666,-0.434,0.18)); #514852=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.164)); #514853=CARTESIAN_POINT('',(-0.65,-0.434,0.164)); #514854=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.164)); #514855=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.164)); #514856=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.016)); #514857=CARTESIAN_POINT('',(-0.85,-0.434,0.016)); #514858=CARTESIAN_POINT('',(-0.834,-0.45,0.016)); #514859=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.016)); #514860=CARTESIAN_POINT('',(-0.834,-0.434,0.)); #514861=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.016)); #514862=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.016)); #514863=CARTESIAN_POINT('Origin',(-0.834,0.434,0.164)); #514864=CARTESIAN_POINT('',(-0.834,0.45,0.164)); #514865=CARTESIAN_POINT('',(-0.834,0.434,0.18)); #514866=CARTESIAN_POINT('Origin',(-0.834,0.434,0.164)); #514867=CARTESIAN_POINT('',(-0.85,0.434,0.164)); #514868=CARTESIAN_POINT('Origin',(-0.834,0.434,0.164)); #514869=CARTESIAN_POINT('Origin',(-0.834,0.434,0.164)); #514870=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.016)); #514871=CARTESIAN_POINT('',(-0.666,-0.45,0.016)); #514872=CARTESIAN_POINT('',(-0.65,-0.434,0.016)); #514873=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.016)); #514874=CARTESIAN_POINT('',(-0.666,-0.434,0.)); #514875=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.016)); #514876=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.016)); #514877=CARTESIAN_POINT('Origin',(-0.8,-0.434,0.016)); #514878=CARTESIAN_POINT('',(-0.8,-0.45,0.016)); #514879=CARTESIAN_POINT('',(-0.8,-0.434,0.)); #514880=CARTESIAN_POINT('Origin',(-0.666,0.434,0.164)); #514881=CARTESIAN_POINT('',(-0.65,0.434,0.164)); #514882=CARTESIAN_POINT('',(-0.666,0.434,0.18)); #514883=CARTESIAN_POINT('Origin',(-0.666,0.434,0.164)); #514884=CARTESIAN_POINT('',(-0.666,0.45,0.164)); #514885=CARTESIAN_POINT('Origin',(-0.666,0.434,0.164)); #514886=CARTESIAN_POINT('Origin',(-0.666,0.434,0.164)); #514887=CARTESIAN_POINT('Origin',(-0.834,0.434,0.016)); #514888=CARTESIAN_POINT('',(-0.834,0.434,0.)); #514889=CARTESIAN_POINT('',(-0.834,0.45,0.016)); #514890=CARTESIAN_POINT('Origin',(-0.834,0.434,0.016)); #514891=CARTESIAN_POINT('',(-0.85,0.434,0.016)); #514892=CARTESIAN_POINT('Origin',(-0.834,0.434,0.016)); #514893=CARTESIAN_POINT('Origin',(-0.834,0.434,0.016)); #514894=CARTESIAN_POINT('Origin',(-0.834,0.225,0.016)); #514895=CARTESIAN_POINT('',(-0.85,0.225,0.016)); #514896=CARTESIAN_POINT('',(-0.834,0.225,0.)); #514897=CARTESIAN_POINT('Origin',(-0.834,0.434,0.)); #514898=CARTESIAN_POINT('',(-0.834,0.45,0.)); #514899=CARTESIAN_POINT('',(-0.85,0.434,0.)); #514900=CARTESIAN_POINT('Origin',(-0.666,0.434,0.016)); #514901=CARTESIAN_POINT('',(-0.65,0.434,0.016)); #514902=CARTESIAN_POINT('',(-0.666,0.45,0.016)); #514903=CARTESIAN_POINT('Origin',(-0.666,0.434,0.016)); #514904=CARTESIAN_POINT('',(-0.666,0.434,0.)); #514905=CARTESIAN_POINT('Origin',(-0.666,0.434,0.016)); #514906=CARTESIAN_POINT('Origin',(-0.666,0.434,0.016)); #514907=CARTESIAN_POINT('Origin',(-0.666,-0.225,0.016)); #514908=CARTESIAN_POINT('',(-0.666,-0.225,0.)); #514909=CARTESIAN_POINT('',(-0.65,-0.225,0.016)); #514910=CARTESIAN_POINT('Origin',(-0.7,0.434,0.016)); #514911=CARTESIAN_POINT('',(-0.7,0.45,0.016)); #514912=CARTESIAN_POINT('',(-0.7,0.434,0.)); #514913=CARTESIAN_POINT('Origin',(-0.666,0.434,0.)); #514914=CARTESIAN_POINT('',(-0.65,0.434,0.)); #514915=CARTESIAN_POINT('',(-0.666,0.45,0.)); #514916=CARTESIAN_POINT('Origin',(-0.7,0.434,0.164)); #514917=CARTESIAN_POINT('',(-0.7,0.434,0.18)); #514918=CARTESIAN_POINT('',(-0.7,0.45,0.164)); #514919=CARTESIAN_POINT('Origin',(-0.666,-0.225,0.164)); #514920=CARTESIAN_POINT('',(-0.666,-0.225,0.18)); #514921=CARTESIAN_POINT('',(-0.65,-0.225,0.164)); #514922=CARTESIAN_POINT('Origin',(-0.666,-0.434,0.)); #514923=CARTESIAN_POINT('',(-0.666,-0.45,0.)); #514924=CARTESIAN_POINT('',(-0.65,-0.434,0.)); #514925=CARTESIAN_POINT('Origin',(-0.834,0.225,0.164)); #514926=CARTESIAN_POINT('',(-0.834,0.225,0.18)); #514927=CARTESIAN_POINT('',(-0.85,0.225,0.164)); #514928=CARTESIAN_POINT('Origin',(-0.834,-0.434,0.)); #514929=CARTESIAN_POINT('',(-0.85,-0.434,0.)); #514930=CARTESIAN_POINT('',(-0.834,-0.45,0.)); #514931=CARTESIAN_POINT('Origin',(-0.8,-0.434,0.164)); #514932=CARTESIAN_POINT('',(-0.8,-0.434,0.18)); #514933=CARTESIAN_POINT('',(-0.8,-0.45,0.164)); #514934=CARTESIAN_POINT('Origin',(-0.85,-0.45,0.)); #514935=CARTESIAN_POINT('Origin',(-0.75,0.,0.)); #514936=CARTESIAN_POINT('Origin',(-0.85,0.45,0.)); #514937=CARTESIAN_POINT('Origin',(-0.65,0.45,0.)); #514938=CARTESIAN_POINT('Origin',(-0.65,-0.45,0.)); #514939=CARTESIAN_POINT('Origin',(-0.75,0.,0.18)); #514940=CARTESIAN_POINT('Origin',(0.666,-0.434,0.164)); #514941=CARTESIAN_POINT('',(0.65,-0.434,0.164)); #514942=CARTESIAN_POINT('',(0.666,-0.434,0.18)); #514943=CARTESIAN_POINT('Origin',(0.666,-0.434,0.164)); #514944=CARTESIAN_POINT('',(0.666,-0.45,0.164)); #514945=CARTESIAN_POINT('Origin',(0.666,-0.434,0.164)); #514946=CARTESIAN_POINT('Origin',(0.666,-0.434,0.164)); #514947=CARTESIAN_POINT('Origin',(0.834,-0.434,0.164)); #514948=CARTESIAN_POINT('',(0.834,-0.45,0.164)); #514949=CARTESIAN_POINT('',(0.834,-0.434,0.18)); #514950=CARTESIAN_POINT('Origin',(0.834,-0.434,0.164)); #514951=CARTESIAN_POINT('',(0.85,-0.434,0.164)); #514952=CARTESIAN_POINT('Origin',(0.834,-0.434,0.164)); #514953=CARTESIAN_POINT('Origin',(0.834,-0.434,0.164)); #514954=CARTESIAN_POINT('Origin',(0.666,-0.434,0.016)); #514955=CARTESIAN_POINT('',(0.65,-0.434,0.016)); #514956=CARTESIAN_POINT('',(0.666,-0.45,0.016)); #514957=CARTESIAN_POINT('Origin',(0.666,-0.434,0.016)); #514958=CARTESIAN_POINT('',(0.666,-0.434,0.)); #514959=CARTESIAN_POINT('Origin',(0.666,-0.434,0.016)); #514960=CARTESIAN_POINT('Origin',(0.666,-0.434,0.016)); #514961=CARTESIAN_POINT('Origin',(0.666,0.434,0.164)); #514962=CARTESIAN_POINT('',(0.666,0.45,0.164)); #514963=CARTESIAN_POINT('',(0.666,0.434,0.18)); #514964=CARTESIAN_POINT('Origin',(0.666,0.434,0.164)); #514965=CARTESIAN_POINT('',(0.65,0.434,0.164)); #514966=CARTESIAN_POINT('Origin',(0.666,0.434,0.164)); #514967=CARTESIAN_POINT('Origin',(0.666,0.434,0.164)); #514968=CARTESIAN_POINT('Origin',(0.834,-0.434,0.016)); #514969=CARTESIAN_POINT('',(0.834,-0.45,0.016)); #514970=CARTESIAN_POINT('',(0.85,-0.434,0.016)); #514971=CARTESIAN_POINT('Origin',(0.834,-0.434,0.016)); #514972=CARTESIAN_POINT('',(0.834,-0.434,0.)); #514973=CARTESIAN_POINT('Origin',(0.834,-0.434,0.016)); #514974=CARTESIAN_POINT('Origin',(0.834,-0.434,0.016)); #514975=CARTESIAN_POINT('Origin',(0.7,-0.434,0.016)); #514976=CARTESIAN_POINT('',(0.7,-0.45,0.016)); #514977=CARTESIAN_POINT('',(0.7,-0.434,0.)); #514978=CARTESIAN_POINT('Origin',(0.834,0.434,0.164)); #514979=CARTESIAN_POINT('',(0.85,0.434,0.164)); #514980=CARTESIAN_POINT('',(0.834,0.434,0.18)); #514981=CARTESIAN_POINT('Origin',(0.834,0.434,0.164)); #514982=CARTESIAN_POINT('',(0.834,0.45,0.164)); #514983=CARTESIAN_POINT('Origin',(0.834,0.434,0.164)); #514984=CARTESIAN_POINT('Origin',(0.834,0.434,0.164)); #514985=CARTESIAN_POINT('Origin',(0.666,0.434,0.016)); #514986=CARTESIAN_POINT('',(0.666,0.434,0.)); #514987=CARTESIAN_POINT('',(0.666,0.45,0.016)); #514988=CARTESIAN_POINT('Origin',(0.666,0.434,0.016)); #514989=CARTESIAN_POINT('',(0.65,0.434,0.016)); #514990=CARTESIAN_POINT('Origin',(0.666,0.434,0.016)); #514991=CARTESIAN_POINT('Origin',(0.666,0.434,0.016)); #514992=CARTESIAN_POINT('Origin',(0.666,0.225,0.016)); #514993=CARTESIAN_POINT('',(0.65,0.225,0.016)); #514994=CARTESIAN_POINT('',(0.666,0.225,0.)); #514995=CARTESIAN_POINT('Origin',(0.666,0.434,0.)); #514996=CARTESIAN_POINT('',(0.666,0.45,0.)); #514997=CARTESIAN_POINT('',(0.65,0.434,0.)); #514998=CARTESIAN_POINT('Origin',(0.834,0.434,0.016)); #514999=CARTESIAN_POINT('',(0.85,0.434,0.016)); #515000=CARTESIAN_POINT('',(0.834,0.45,0.016)); #515001=CARTESIAN_POINT('Origin',(0.834,0.434,0.016)); #515002=CARTESIAN_POINT('',(0.834,0.434,0.)); #515003=CARTESIAN_POINT('Origin',(0.834,0.434,0.016)); #515004=CARTESIAN_POINT('Origin',(0.834,0.434,0.016)); #515005=CARTESIAN_POINT('Origin',(0.834,-0.225,0.016)); #515006=CARTESIAN_POINT('',(0.85,-0.225,0.016)); #515007=CARTESIAN_POINT('',(0.834,-0.225,0.)); #515008=CARTESIAN_POINT('Origin',(0.8,0.434,0.016)); #515009=CARTESIAN_POINT('',(0.8,0.45,0.016)); #515010=CARTESIAN_POINT('',(0.8,0.434,0.)); #515011=CARTESIAN_POINT('Origin',(0.834,0.434,0.)); #515012=CARTESIAN_POINT('',(0.85,0.434,0.)); #515013=CARTESIAN_POINT('',(0.834,0.45,0.)); #515014=CARTESIAN_POINT('Origin',(0.8,0.434,0.164)); #515015=CARTESIAN_POINT('',(0.8,0.434,0.18)); #515016=CARTESIAN_POINT('',(0.8,0.45,0.164)); #515017=CARTESIAN_POINT('Origin',(0.834,-0.225,0.164)); #515018=CARTESIAN_POINT('',(0.834,-0.225,0.18)); #515019=CARTESIAN_POINT('',(0.85,-0.225,0.164)); #515020=CARTESIAN_POINT('Origin',(0.834,-0.434,0.)); #515021=CARTESIAN_POINT('',(0.834,-0.45,0.)); #515022=CARTESIAN_POINT('',(0.85,-0.434,0.)); #515023=CARTESIAN_POINT('Origin',(0.666,0.225,0.164)); #515024=CARTESIAN_POINT('',(0.666,0.225,0.18)); #515025=CARTESIAN_POINT('',(0.65,0.225,0.164)); #515026=CARTESIAN_POINT('Origin',(0.666,-0.434,0.)); #515027=CARTESIAN_POINT('',(0.65,-0.434,0.)); #515028=CARTESIAN_POINT('',(0.666,-0.45,0.)); #515029=CARTESIAN_POINT('Origin',(0.7,-0.434,0.164)); #515030=CARTESIAN_POINT('',(0.7,-0.434,0.18)); #515031=CARTESIAN_POINT('',(0.7,-0.45,0.164)); #515032=CARTESIAN_POINT('Origin',(0.65,-0.45,0.)); #515033=CARTESIAN_POINT('Origin',(0.75,0.,0.)); #515034=CARTESIAN_POINT('Origin',(0.65,0.45,0.)); #515035=CARTESIAN_POINT('Origin',(0.85,0.45,0.)); #515036=CARTESIAN_POINT('Origin',(0.85,-0.45,0.)); #515037=CARTESIAN_POINT('Origin',(0.75,0.,0.18)); #515038=CARTESIAN_POINT('Origin',(0.65,-0.45,0.)); #515039=CARTESIAN_POINT('',(0.65,0.45,0.)); #515040=CARTESIAN_POINT('',(0.65,-0.45,0.)); #515041=CARTESIAN_POINT('',(0.65,0.45,0.)); #515042=CARTESIAN_POINT('',(0.65,0.45,0.18)); #515043=CARTESIAN_POINT('',(0.65,0.45,0.)); #515044=CARTESIAN_POINT('',(0.65,-0.45,0.18)); #515045=CARTESIAN_POINT('',(0.65,0.45,0.18)); #515046=CARTESIAN_POINT('',(0.65,-0.45,0.)); #515047=CARTESIAN_POINT('Origin',(-0.65,-0.45,0.)); #515048=CARTESIAN_POINT('',(-0.65,-0.45,0.)); #515049=CARTESIAN_POINT('',(-0.85,-0.45,0.)); #515050=CARTESIAN_POINT('',(-0.65,-0.45,0.18)); #515051=CARTESIAN_POINT('',(-0.85,-0.45,0.18)); #515052=CARTESIAN_POINT('',(-0.65,-0.45,0.)); #515053=CARTESIAN_POINT('Origin',(-0.65,0.45,0.)); #515054=CARTESIAN_POINT('',(-0.65,0.45,0.)); #515055=CARTESIAN_POINT('',(-0.65,0.45,0.)); #515056=CARTESIAN_POINT('',(-0.65,0.45,0.18)); #515057=CARTESIAN_POINT('',(-0.65,0.45,0.18)); #515058=CARTESIAN_POINT('',(-0.65,0.45,0.)); #515059=CARTESIAN_POINT('Origin',(0.65,0.45,0.)); #515060=CARTESIAN_POINT('',(0.85,0.45,0.)); #515061=CARTESIAN_POINT('',(0.85,0.45,0.18)); #515062=CARTESIAN_POINT('Origin',(0.,0.,0.18)); #515063=CARTESIAN_POINT('Origin',(0.,0.,0.)); #515064=CARTESIAN_POINT('Origin',(-1.62579519772896E-18,0.,0.4)); #515065=CARTESIAN_POINT('',(0.565,0.405,0.4)); #515066=CARTESIAN_POINT('',(0.565,-0.405,0.4)); #515067=CARTESIAN_POINT('',(0.565,0.405,0.4)); #515068=CARTESIAN_POINT('',(-0.565,0.405,0.4)); #515069=CARTESIAN_POINT('',(0.765,0.405,0.4)); #515070=CARTESIAN_POINT('',(-0.565,-0.405,0.4)); #515071=CARTESIAN_POINT('',(-0.565,0.405,0.4)); #515072=CARTESIAN_POINT('',(-0.765,-0.405,0.4)); #515073=CARTESIAN_POINT('Origin',(1.29029018874308E-18,0.,0.18)); #515074=CARTESIAN_POINT('',(0.65,0.45,0.18)); #515075=CARTESIAN_POINT('',(0.65,-0.45,0.18)); #515076=CARTESIAN_POINT('',(0.65,0.45,0.18)); #515077=CARTESIAN_POINT('',(-0.65,-0.45,0.18)); #515078=CARTESIAN_POINT('',(-0.85,-0.45,0.18)); #515079=CARTESIAN_POINT('',(-0.65,0.45,0.18)); #515080=CARTESIAN_POINT('',(-0.65,0.45,0.18)); #515081=CARTESIAN_POINT('',(0.85,0.45,0.18)); #515082=CARTESIAN_POINT('Origin',(0.65,0.45,0.18)); #515083=CARTESIAN_POINT('',(0.65,0.45,0.18)); #515084=CARTESIAN_POINT('',(0.65,-0.45,0.18)); #515085=CARTESIAN_POINT('Origin',(0.65,-0.45,0.18)); #515086=CARTESIAN_POINT('',(-0.65,-0.45,0.18)); #515087=CARTESIAN_POINT('Origin',(-0.65,-0.45,0.18)); #515088=CARTESIAN_POINT('',(-0.65,0.45,0.18)); #515089=CARTESIAN_POINT('Origin',(-0.65,0.45,0.18)); #515090=CARTESIAN_POINT('Origin',(-0.085,-0.045,0.18)); #515091=CARTESIAN_POINT('',(-0.085,-0.045,0.18)); #515092=CARTESIAN_POINT('',(0.085,-0.045,0.18)); #515093=CARTESIAN_POINT('',(-0.085,-0.045,0.18)); #515094=CARTESIAN_POINT('',(0.085,-0.045,0.198)); #515095=CARTESIAN_POINT('',(0.085,-0.045,0.18)); #515096=CARTESIAN_POINT('',(-0.085,-0.045,0.198)); #515097=CARTESIAN_POINT('',(-0.085,-0.045,0.198)); #515098=CARTESIAN_POINT('',(-0.085,-0.045,0.18)); #515099=CARTESIAN_POINT('Origin',(-0.085,0.045,0.18)); #515100=CARTESIAN_POINT('',(-0.085,0.045,0.18)); #515101=CARTESIAN_POINT('',(-0.085,0.045,0.18)); #515102=CARTESIAN_POINT('',(-0.085,0.045,0.198)); #515103=CARTESIAN_POINT('',(-0.085,0.045,0.198)); #515104=CARTESIAN_POINT('',(-0.085,0.045,0.18)); #515105=CARTESIAN_POINT('Origin',(0.085,0.045,0.18)); #515106=CARTESIAN_POINT('',(0.085,0.045,0.18)); #515107=CARTESIAN_POINT('',(0.085,0.045,0.18)); #515108=CARTESIAN_POINT('',(0.085,0.045,0.198)); #515109=CARTESIAN_POINT('',(0.085,0.045,0.198)); #515110=CARTESIAN_POINT('',(0.085,0.045,0.18)); #515111=CARTESIAN_POINT('Origin',(0.085,-0.045,0.18)); #515112=CARTESIAN_POINT('',(0.085,-0.045,0.18)); #515113=CARTESIAN_POINT('',(0.085,-0.045,0.198)); #515114=CARTESIAN_POINT('Origin',(0.,0.,0.198)); #515115=CARTESIAN_POINT('Origin',(0.,0.,0.18)); #515116=CARTESIAN_POINT('',(0.,0.,0.)); #515117=CARTESIAN_POINT('',(0.,0.,0.)); #515118=CARTESIAN_POINT('',(0.,0.,0.)); #515119=CARTESIAN_POINT('',(0.,0.,0.)); #515120=CARTESIAN_POINT('',(0.,0.,0.)); #515121=CARTESIAN_POINT('Origin',(-3.6,2.58533538461547,-1.04)); #515122=CARTESIAN_POINT('',(3.32213604519991,2.42,-0.869999999999998)); #515123=CARTESIAN_POINT('',(3.32213604519991,2.47,-0.921410652473266)); #515124=CARTESIAN_POINT('',(3.32213604519991,2.58533538461547,-1.04)); #515125=CARTESIAN_POINT('',(3.6,2.42,-0.869999999999998)); #515126=CARTESIAN_POINT('',(3.6,2.42,-0.869999999999998)); #515127=CARTESIAN_POINT('',(3.6,2.58533538461547,-1.04)); #515128=CARTESIAN_POINT('',(3.6,2.58533538461547,-1.04)); #515129=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.04)); #515130=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.04)); #515131=CARTESIAN_POINT('',(-3.6,2.42,-0.869999999999999)); #515132=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.04)); #515133=CARTESIAN_POINT('',(-3.32213604519992,2.42,-0.869999999999999)); #515134=CARTESIAN_POINT('',(-3.6,2.42,-0.869999999999999)); #515135=CARTESIAN_POINT('',(-3.32213604519992,2.47,-0.921410652473267)); #515136=CARTESIAN_POINT('',(-3.32213604519992,2.58533538461547,-1.04)); #515137=CARTESIAN_POINT('',(-3.1,2.47,-0.921410652473267)); #515138=CARTESIAN_POINT('',(-3.6,2.47,-0.921410652473267)); #515139=CARTESIAN_POINT('',(-3.1,2.42,-0.869999999999998)); #515140=CARTESIAN_POINT('',(-3.1,2.58533538461547,-1.04)); #515141=CARTESIAN_POINT('',(-0.17,2.42,-0.869999999999999)); #515142=CARTESIAN_POINT('',(-0.17,2.42,-0.869999999999998)); #515143=CARTESIAN_POINT('',(-0.17,2.47,-0.921410652473266)); #515144=CARTESIAN_POINT('',(-0.17,2.58533538461547,-1.04)); #515145=CARTESIAN_POINT('',(0.17,2.47,-0.921410652473266)); #515146=CARTESIAN_POINT('',(-3.6,2.47,-0.921410652473267)); #515147=CARTESIAN_POINT('',(0.17,2.42,-0.869999999999999)); #515148=CARTESIAN_POINT('',(0.17,2.58533538461547,-1.04)); #515149=CARTESIAN_POINT('',(3.1,2.42,-0.869999999999998)); #515150=CARTESIAN_POINT('',(3.1,2.42,-0.869999999999998)); #515151=CARTESIAN_POINT('',(3.1,2.47,-0.921410652473266)); #515152=CARTESIAN_POINT('',(3.1,2.58533538461547,-1.04)); #515153=CARTESIAN_POINT('',(-3.6,2.47,-0.921410652473267)); #515154=CARTESIAN_POINT('Origin',(3.345,-1.58,-0.15)); #515155=CARTESIAN_POINT('',(3.345,-0.38,0.15)); #515156=CARTESIAN_POINT('',(3.345,0.,0.15)); #515157=CARTESIAN_POINT('',(3.345,-1.58,0.15)); #515158=CARTESIAN_POINT('',(3.345,-0.38,-0.149999999999999)); #515159=CARTESIAN_POINT('',(3.345,-0.38,-10.8234987569897)); #515160=CARTESIAN_POINT('',(3.345,0.,-0.15)); #515161=CARTESIAN_POINT('',(3.345,-1.58,-0.15)); #515162=CARTESIAN_POINT('',(3.345,0.,-0.15)); #515163=CARTESIAN_POINT('Origin',(-2.895,-1.58,-0.15)); #515164=CARTESIAN_POINT('',(-3.345,-0.38,-0.15)); #515165=CARTESIAN_POINT('',(-3.145,-0.38,-0.524165738677395)); #515166=CARTESIAN_POINT('Origin',(-2.895,-0.38,-0.15)); #515167=CARTESIAN_POINT('',(-3.345,0.,-0.15)); #515168=CARTESIAN_POINT('',(-3.345,-1.58,-0.15)); #515169=CARTESIAN_POINT('',(-2.895,0.,-0.6)); #515170=CARTESIAN_POINT('Origin',(-2.895,0.,-0.15)); #515171=CARTESIAN_POINT('',(-2.895,-1.35,-0.6)); #515172=CARTESIAN_POINT('',(-2.895,-1.58,-0.6)); #515173=CARTESIAN_POINT('',(-3.345,-1.35,-0.15)); #515174=CARTESIAN_POINT('Origin',(-2.895,-1.35,-0.15)); #515175=CARTESIAN_POINT('',(-3.345,-1.12,-0.150000000000001)); #515176=CARTESIAN_POINT('',(-3.345,-1.58,-0.15)); #515177=CARTESIAN_POINT('',(-3.145,-1.12,-0.524165738677395)); #515178=CARTESIAN_POINT('Origin',(-2.895,-1.12,-0.15)); #515179=CARTESIAN_POINT('',(-3.145,-1.58,-0.524165738677395)); #515180=CARTESIAN_POINT('Origin',(-2.895,-1.58,0.15)); #515181=CARTESIAN_POINT('',(-3.145,-0.38,0.524165738677395)); #515182=CARTESIAN_POINT('',(-3.345,-0.38,0.150000000000001)); #515183=CARTESIAN_POINT('Origin',(-2.895,-0.38,0.15)); #515184=CARTESIAN_POINT('',(-3.145,-1.12,0.524165738677395)); #515185=CARTESIAN_POINT('',(-3.145,-1.58,0.524165738677395)); #515186=CARTESIAN_POINT('',(-3.345,-1.12,0.150000000000001)); #515187=CARTESIAN_POINT('Origin',(-2.895,-1.12,0.15)); #515188=CARTESIAN_POINT('',(-3.345,-1.35,0.15)); #515189=CARTESIAN_POINT('',(-3.345,-1.58,0.15)); #515190=CARTESIAN_POINT('',(-2.895,-1.35,0.6)); #515191=CARTESIAN_POINT('Origin',(-2.895,-1.35,0.15)); #515192=CARTESIAN_POINT('',(-2.895,0.,0.6)); #515193=CARTESIAN_POINT('',(-2.895,-1.58,0.6)); #515194=CARTESIAN_POINT('',(-3.345,0.,0.15)); #515195=CARTESIAN_POINT('Origin',(-2.895,0.,0.15)); #515196=CARTESIAN_POINT('',(-3.345,-1.58,0.15)); #515197=CARTESIAN_POINT('Origin',(-3.345,-1.58,0.15)); #515198=CARTESIAN_POINT('',(-3.345,-0.38,0.15)); #515199=CARTESIAN_POINT('',(-3.345,0.,0.15)); #515200=CARTESIAN_POINT('Origin',(-3.345,-1.58,0.15)); #515201=CARTESIAN_POINT('',(-3.345,-1.12,0.15)); #515202=CARTESIAN_POINT('',(-3.345,-1.35,0.15)); #515203=CARTESIAN_POINT('Origin',(3.19,2.42,1.26)); #515204=CARTESIAN_POINT('',(-3.1,1.855,1.26)); #515205=CARTESIAN_POINT('',(3.1,1.855,1.26)); #515206=CARTESIAN_POINT('',(3.1,1.855,1.26)); #515207=CARTESIAN_POINT('',(-3.1,2.22,1.26)); #515208=CARTESIAN_POINT('',(-3.1,1.855,1.26)); #515209=CARTESIAN_POINT('',(-3.19,2.22,1.26)); #515210=CARTESIAN_POINT('',(-3.19,2.22,1.26)); #515211=CARTESIAN_POINT('',(-3.19,0.150000000000001,1.26)); #515212=CARTESIAN_POINT('',(-3.19,2.42,1.26)); #515213=CARTESIAN_POINT('',(-2.65,0.15,1.26)); #515214=CARTESIAN_POINT('',(3.19,0.15,1.26)); #515215=CARTESIAN_POINT('',(-2.65,0.55,1.26)); #515216=CARTESIAN_POINT('',(-2.65,0.55,1.26)); #515217=CARTESIAN_POINT('',(-1.35,0.55,1.26)); #515218=CARTESIAN_POINT('',(-2.65,0.55,1.26)); #515219=CARTESIAN_POINT('',(-1.35,0.15,1.26)); #515220=CARTESIAN_POINT('',(-1.35,0.55,1.26)); #515221=CARTESIAN_POINT('',(1.35,0.15,1.26)); #515222=CARTESIAN_POINT('',(3.19,0.15,1.26)); #515223=CARTESIAN_POINT('',(1.35,0.55,1.26)); #515224=CARTESIAN_POINT('',(1.35,0.55,1.26)); #515225=CARTESIAN_POINT('',(2.65,0.55,1.26)); #515226=CARTESIAN_POINT('',(2.65,0.55,1.26)); #515227=CARTESIAN_POINT('',(2.65,0.15,1.26)); #515228=CARTESIAN_POINT('',(2.65,0.55,1.26)); #515229=CARTESIAN_POINT('',(3.19,0.150000000000001,1.26)); #515230=CARTESIAN_POINT('',(3.19,0.15,1.26)); #515231=CARTESIAN_POINT('',(3.19,2.22,1.26)); #515232=CARTESIAN_POINT('',(3.19,2.42,1.26)); #515233=CARTESIAN_POINT('',(3.1,2.22,1.26)); #515234=CARTESIAN_POINT('',(-3.19,2.22,1.26)); #515235=CARTESIAN_POINT('',(3.1,1.855,1.26)); #515236=CARTESIAN_POINT('Origin',(3.19,2.22,1.26)); #515237=CARTESIAN_POINT('',(3.1,2.47,1.01)); #515238=CARTESIAN_POINT('',(3.1,2.22,1.26)); #515239=CARTESIAN_POINT('',(3.19,2.47,1.01)); #515240=CARTESIAN_POINT('',(3.19,2.42,1.06)); #515241=CARTESIAN_POINT('',(3.19,2.47,1.01)); #515242=CARTESIAN_POINT('Origin',(0.,2.355,0.)); #515243=CARTESIAN_POINT('',(3.1,2.355,-0.0400000000000008)); #515244=CARTESIAN_POINT('',(2.72229406209047,2.355,-0.0400000000000008)); #515245=CARTESIAN_POINT('',(3.1,2.355,-0.0400000000000008)); #515246=CARTESIAN_POINT('',(3.1,2.355,-0.869999999999998)); #515247=CARTESIAN_POINT('',(3.1,2.355,1.26)); #515248=CARTESIAN_POINT('',(0.17,2.355,-0.869999999999998)); #515249=CARTESIAN_POINT('',(3.1,2.355,-0.869999999999998)); #515250=CARTESIAN_POINT('',(0.17,2.355,0.369999999999999)); #515251=CARTESIAN_POINT('',(0.17,2.355,-0.869999999999998)); #515252=CARTESIAN_POINT('',(0.379348936836007,2.355,0.369999999999999)); #515253=CARTESIAN_POINT('',(0.379348936836007,2.355,0.369999999999999)); #515254=CARTESIAN_POINT('',(0.449879729119392,2.355,-0.0300000000000006)); #515255=CARTESIAN_POINT('',(0.449879729119392,2.355,-0.0300000000000006)); #515256=CARTESIAN_POINT('',(1.05946920771661,2.355,-0.0300000000000006)); #515257=CARTESIAN_POINT('',(1.05946920771661,2.355,-0.0300000000000006)); #515258=CARTESIAN_POINT('',(1.13,2.355,0.369999999999999)); #515259=CARTESIAN_POINT('',(1.13,2.355,0.369999999999999)); #515260=CARTESIAN_POINT('',(1.37,2.355,0.369999999999999)); #515261=CARTESIAN_POINT('',(1.37,2.355,0.369999999999999)); #515262=CARTESIAN_POINT('',(1.47226964881091,2.355,-0.21)); #515263=CARTESIAN_POINT('',(1.47226964881091,2.355,-0.21)); #515264=CARTESIAN_POINT('',(1.89226964881091,2.355,-0.21)); #515265=CARTESIAN_POINT('',(1.89226964881091,2.355,-0.21)); #515266=CARTESIAN_POINT('',(1.89226964881091,2.355,-0.358466407912088)); #515267=CARTESIAN_POINT('',(1.89226964881091,2.355,-0.358466407912088)); #515268=CARTESIAN_POINT('',(1.98427092032134,2.355,-0.508466407912088)); #515269=CARTESIAN_POINT('',(1.98427092032134,2.355,-0.508466407912088)); #515270=CARTESIAN_POINT('',(2.04754028675967,2.355,-0.508466407912088)); #515271=CARTESIAN_POINT('',(2.04754028675967,2.355,-0.508466407912088)); #515272=CARTESIAN_POINT('',(2.1395415582701,2.355,-0.358466407912088)); #515273=CARTESIAN_POINT('',(2.1395415582701,2.355,-0.358466407912088)); #515274=CARTESIAN_POINT('',(2.1395415582701,2.355,-0.21)); #515275=CARTESIAN_POINT('',(2.1395415582701,2.355,-0.21)); #515276=CARTESIAN_POINT('',(2.41,2.355,0.369999999999999)); #515277=CARTESIAN_POINT('',(2.41,2.355,0.369999999999999)); #515278=CARTESIAN_POINT('',(2.65,2.355,0.369999999999999)); #515279=CARTESIAN_POINT('',(2.65,2.355,0.369999999999999)); #515280=CARTESIAN_POINT('',(2.72229406209047,2.355,-0.0400000000000008)); #515281=CARTESIAN_POINT('Origin',(-3.19,2.42,-0.3)); #515282=CARTESIAN_POINT('',(3.6,2.42,0.939921870231046)); #515283=CARTESIAN_POINT('',(3.6,2.42,1.25086280059687)); #515284=CARTESIAN_POINT('',(3.95,2.42,0.299999999999999)); #515285=CARTESIAN_POINT('Origin',(3.19,2.42,0.299999999999999)); #515286=CARTESIAN_POINT('',(3.95,2.42,-1.26)); #515287=CARTESIAN_POINT('',(3.95,2.42,-1.26)); #515288=CARTESIAN_POINT('',(3.6,2.42,-1.26)); #515289=CARTESIAN_POINT('',(-3.19,2.42,-1.26)); #515290=CARTESIAN_POINT('',(3.6,2.42,-1.26)); #515291=CARTESIAN_POINT('Origin',(-3.19,2.42,-0.3)); #515292=CARTESIAN_POINT('',(-3.95,2.42,0.3)); #515293=CARTESIAN_POINT('',(-3.6,2.42,0.939921870231047)); #515294=CARTESIAN_POINT('Origin',(-3.19,2.42,0.3)); #515295=CARTESIAN_POINT('',(-3.6,2.42,1.25086280059687)); #515296=CARTESIAN_POINT('',(-3.6,2.42,-1.26)); #515297=CARTESIAN_POINT('',(-3.6,2.42,-1.26)); #515298=CARTESIAN_POINT('',(-3.95,2.42,-1.26)); #515299=CARTESIAN_POINT('',(-3.19,2.42,-1.26)); #515300=CARTESIAN_POINT('',(-3.95,2.42,0.3)); #515301=CARTESIAN_POINT('Origin',(-3.19,2.22,0.3)); #515302=CARTESIAN_POINT('',(-3.6,2.355,1.01590851370828)); #515303=CARTESIAN_POINT('',(-3.32213604519992,2.355,1.11434947384948)); #515304=CARTESIAN_POINT('Origin',(-3.19,2.355,0.3)); #515305=CARTESIAN_POINT('Ctrl Pts',(-3.6,2.35500000000019,1.01590851370843)); #515306=CARTESIAN_POINT('Ctrl Pts',(-3.6,2.37685164369348,0.990727075435561)); #515307=CARTESIAN_POINT('Ctrl Pts',(-3.6,2.39853659842912,0.965412771468694)); #515308=CARTESIAN_POINT('Ctrl Pts',(-3.6,2.42,0.939921870231046)); #515309=CARTESIAN_POINT('',(-4.15,2.22,0.3)); #515310=CARTESIAN_POINT('',(-3.95,2.42,0.3)); #515311=CARTESIAN_POINT('Origin',(-3.19,2.22,0.3)); #515312=CARTESIAN_POINT('',(-3.19,2.47,1.01)); #515313=CARTESIAN_POINT('',(-3.19,2.42,1.06)); #515314=CARTESIAN_POINT('',(-3.32213604519992,2.47,0.997595918536602)); #515315=CARTESIAN_POINT('Origin',(-3.19,2.47,0.3)); #515316=CARTESIAN_POINT('Ctrl Pts',(-3.32213604519992,2.47,0.997595918536603)); #515317=CARTESIAN_POINT('Ctrl Pts',(-3.32213604519992,2.43171513226147, 1.03656153655711)); #515318=CARTESIAN_POINT('Ctrl Pts',(-3.32213604519992,2.39337494808504, 1.07547263634076)); #515319=CARTESIAN_POINT('Ctrl Pts',(-3.32213604519992,2.355,1.11434947384948)); #515320=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #515321=CARTESIAN_POINT('',(-0.13,2.19497564091726,-1.56)); #515322=CARTESIAN_POINT('',(-0.13,2.58533538461547,-1.56)); #515323=CARTESIAN_POINT('',(-0.13,2.08533538461547,-1.56)); #515324=CARTESIAN_POINT('',(-0.37,2.19497564091726,-1.56)); #515325=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #515326=CARTESIAN_POINT('',(-0.37,2.58533538461547,-1.56)); #515327=CARTESIAN_POINT('',(-0.37,2.08533538461547,-1.56)); #515328=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #515329=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #515330=CARTESIAN_POINT('',(-0.63,2.19497564091726,-1.56)); #515331=CARTESIAN_POINT('',(-0.63,2.58533538461547,-1.56)); #515332=CARTESIAN_POINT('',(-0.63,2.08533538461547,-1.56)); #515333=CARTESIAN_POINT('',(-0.87,2.19497564091726,-1.56)); #515334=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #515335=CARTESIAN_POINT('',(-0.87,2.58533538461547,-1.56)); #515336=CARTESIAN_POINT('',(-0.87,2.08533538461547,-1.56)); #515337=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #515338=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #515339=CARTESIAN_POINT('',(-1.13,2.19497564091726,-1.56)); #515340=CARTESIAN_POINT('',(-1.13,2.58533538461547,-1.56)); #515341=CARTESIAN_POINT('',(-1.13,2.08533538461547,-1.56)); #515342=CARTESIAN_POINT('',(-1.37149064031341,2.19497564091726,-1.56)); #515343=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #515344=CARTESIAN_POINT('',(-1.37149064031341,2.58533538461547,-1.56)); #515345=CARTESIAN_POINT('',(-1.37149064031341,2.08533538461547,-1.56)); #515346=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #515347=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #515348=CARTESIAN_POINT('',(-1.63149064031341,2.19497564091726,-1.56)); #515349=CARTESIAN_POINT('',(-1.63149064031341,2.58533538461547,-1.56)); #515350=CARTESIAN_POINT('',(-1.63149064031341,2.08533538461547,-1.56)); #515351=CARTESIAN_POINT('',(-1.87149064031341,2.19497564091726,-1.56)); #515352=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #515353=CARTESIAN_POINT('',(-1.87149064031341,2.58533538461547,-1.56)); #515354=CARTESIAN_POINT('',(-1.87149064031341,2.08533538461547,-1.56)); #515355=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #515356=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #515357=CARTESIAN_POINT('',(-2.15,2.19497564091726,-1.56)); #515358=CARTESIAN_POINT('',(-2.15,2.58533538461547,-1.56)); #515359=CARTESIAN_POINT('',(-2.15,2.08533538461547,-1.56)); #515360=CARTESIAN_POINT('',(-2.65,2.19497564091726,-1.56)); #515361=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #515362=CARTESIAN_POINT('',(-2.65,2.58533538461547,-1.56)); #515363=CARTESIAN_POINT('',(-2.65,2.08533538461547,-1.56)); #515364=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #515365=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #515366=CARTESIAN_POINT('',(-2.95,2.19497564091726,-1.56)); #515367=CARTESIAN_POINT('',(-2.95,2.58533538461547,-1.56)); #515368=CARTESIAN_POINT('',(-2.95,2.08533538461547,-1.56)); #515369=CARTESIAN_POINT('',(-3.45,2.19497564091726,-1.56)); #515370=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #515371=CARTESIAN_POINT('',(-3.45,2.58533538461547,-1.56)); #515372=CARTESIAN_POINT('',(-3.45,2.08533538461547,-1.56)); #515373=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #515374=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #515375=CARTESIAN_POINT('',(0.37,2.19497564091726,-1.56)); #515376=CARTESIAN_POINT('',(0.37,2.58533538461547,-1.56)); #515377=CARTESIAN_POINT('',(0.37,2.08533538461547,-1.56)); #515378=CARTESIAN_POINT('',(0.13,2.19497564091726,-1.56)); #515379=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #515380=CARTESIAN_POINT('',(0.13,2.58533538461547,-1.56)); #515381=CARTESIAN_POINT('',(0.13,2.08533538461547,-1.56)); #515382=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #515383=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #515384=CARTESIAN_POINT('',(0.87,2.19497564091726,-1.56)); #515385=CARTESIAN_POINT('',(0.87,2.58533538461547,-1.56)); #515386=CARTESIAN_POINT('',(0.87,2.08533538461547,-1.56)); #515387=CARTESIAN_POINT('',(0.63,2.19497564091726,-1.56)); #515388=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #515389=CARTESIAN_POINT('',(0.63,2.58533538461547,-1.56)); #515390=CARTESIAN_POINT('',(0.63,2.08533538461547,-1.56)); #515391=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #515392=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #515393=CARTESIAN_POINT('',(1.37149064031341,2.19497564091726,-1.56)); #515394=CARTESIAN_POINT('',(1.37149064031341,2.58533538461547,-1.56)); #515395=CARTESIAN_POINT('',(1.37149064031341,2.08533538461547,-1.56)); #515396=CARTESIAN_POINT('',(1.13,2.19497564091726,-1.56)); #515397=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #515398=CARTESIAN_POINT('',(1.13,2.58533538461547,-1.56)); #515399=CARTESIAN_POINT('',(1.13,2.08533538461547,-1.56)); #515400=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #515401=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #515402=CARTESIAN_POINT('',(1.87149064031341,2.19497564091726,-1.56)); #515403=CARTESIAN_POINT('',(1.87149064031341,2.58533538461547,-1.56)); #515404=CARTESIAN_POINT('',(1.87149064031341,2.08533538461547,-1.56)); #515405=CARTESIAN_POINT('',(1.63149064031341,2.19497564091726,-1.56)); #515406=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #515407=CARTESIAN_POINT('',(1.63149064031341,2.58533538461547,-1.56)); #515408=CARTESIAN_POINT('',(1.63149064031341,2.08533538461547,-1.56)); #515409=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #515410=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #515411=CARTESIAN_POINT('',(2.65,2.19497564091726,-1.56)); #515412=CARTESIAN_POINT('',(2.65,2.58533538461547,-1.56)); #515413=CARTESIAN_POINT('',(2.65,2.08533538461547,-1.56)); #515414=CARTESIAN_POINT('',(2.15,2.19497564091726,-1.56)); #515415=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #515416=CARTESIAN_POINT('',(2.15,2.58533538461547,-1.56)); #515417=CARTESIAN_POINT('',(2.15,2.08533538461547,-1.56)); #515418=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #515419=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.56)); #515420=CARTESIAN_POINT('',(3.45,2.19497564091726,-1.56)); #515421=CARTESIAN_POINT('',(3.45,2.58533538461547,-1.56)); #515422=CARTESIAN_POINT('',(3.45,2.08533538461547,-1.56)); #515423=CARTESIAN_POINT('',(2.95,2.19497564091726,-1.56)); #515424=CARTESIAN_POINT('',(3.6,2.19497564091726,-1.56)); #515425=CARTESIAN_POINT('',(2.95,2.58533538461547,-1.56)); #515426=CARTESIAN_POINT('',(2.95,2.08533538461547,-1.56)); #515427=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #515428=CARTESIAN_POINT('Origin',(2.95,2.08533538461547,-1.56)); #515429=CARTESIAN_POINT('',(2.95,2.17733538461547,-1.46)); #515430=CARTESIAN_POINT('',(2.95,2.19166683333174,-1.54124285991705)); #515431=CARTESIAN_POINT('',(2.95,2.58533538461547,-1.46)); #515432=CARTESIAN_POINT('',(2.95,2.08533538461547,-1.46)); #515433=CARTESIAN_POINT('',(2.95,2.58533538461547,-1.56)); #515434=CARTESIAN_POINT('Origin',(2.95,2.08533538461547,-1.46)); #515435=CARTESIAN_POINT('',(2.9,2.12733538461547,-1.41)); #515436=CARTESIAN_POINT('',(2.91933333333333,2.14666871794881,-1.42933333333333)); #515437=CARTESIAN_POINT('',(2.9,2.58533538461547,-1.41)); #515438=CARTESIAN_POINT('',(2.9,2.08533538461547,-1.41)); #515439=CARTESIAN_POINT('',(2.95,2.58533538461547,-1.46)); #515440=CARTESIAN_POINT('Origin',(2.7,2.08533538461547,-1.41)); #515441=CARTESIAN_POINT('',(2.7,2.12733538461547,-1.41)); #515442=CARTESIAN_POINT('',(2.7,2.58533538461547,-1.41)); #515443=CARTESIAN_POINT('',(2.7,2.08533538461547,-1.41)); #515444=CARTESIAN_POINT('',(2.65,2.17733538461547,-1.46)); #515445=CARTESIAN_POINT('',(2.714,2.11333538461547,-1.396)); #515446=CARTESIAN_POINT('',(2.65,2.58533538461547,-1.46)); #515447=CARTESIAN_POINT('',(2.65,2.08533538461547,-1.46)); #515448=CARTESIAN_POINT('',(2.7,2.58533538461547,-1.41)); #515449=CARTESIAN_POINT('Origin',(2.65,2.08533538461547,-1.46)); #515450=CARTESIAN_POINT('',(2.65,2.17455893818844,-1.44426073646817)); #515451=CARTESIAN_POINT('',(2.65,2.58533538461547,-1.46)); #515452=CARTESIAN_POINT('Origin',(2.15,2.08533538461547,-1.56)); #515453=CARTESIAN_POINT('',(2.15,2.17733538461547,-1.46)); #515454=CARTESIAN_POINT('',(2.15,2.19166683333174,-1.54124285991705)); #515455=CARTESIAN_POINT('',(2.15,2.58533538461547,-1.46)); #515456=CARTESIAN_POINT('',(2.15,2.08533538461547,-1.46)); #515457=CARTESIAN_POINT('',(2.15,2.58533538461547,-1.56)); #515458=CARTESIAN_POINT('Origin',(2.15,2.08533538461547,-1.46)); #515459=CARTESIAN_POINT('',(2.1,2.12733538461547,-1.41)); #515460=CARTESIAN_POINT('',(2.11933333333333,2.1466687179488,-1.42933333333333)); #515461=CARTESIAN_POINT('',(2.1,2.58533538461547,-1.41)); #515462=CARTESIAN_POINT('',(2.1,2.08533538461547,-1.41)); #515463=CARTESIAN_POINT('',(2.15,2.58533538461547,-1.46)); #515464=CARTESIAN_POINT('Origin',(1.92149064031341,2.08533538461547,-1.41)); #515465=CARTESIAN_POINT('',(1.92149064031341,2.12733538461547,-1.41)); #515466=CARTESIAN_POINT('',(1.92149064031341,2.58533538461547,-1.41)); #515467=CARTESIAN_POINT('',(1.92149064031341,2.08533538461547,-1.41)); #515468=CARTESIAN_POINT('',(1.87149064031341,2.17733538461547,-1.46)); #515469=CARTESIAN_POINT('',(1.93549064031341,2.11333538461547,-1.396)); #515470=CARTESIAN_POINT('',(1.87149064031341,2.58533538461547,-1.46)); #515471=CARTESIAN_POINT('',(1.87149064031341,2.08533538461547,-1.46)); #515472=CARTESIAN_POINT('',(1.92149064031341,2.58533538461547,-1.41)); #515473=CARTESIAN_POINT('Origin',(1.87149064031341,2.08533538461547,-1.46)); #515474=CARTESIAN_POINT('',(1.87149064031341,2.17455893818844,-1.44426073646817)); #515475=CARTESIAN_POINT('',(1.87149064031341,2.58533538461547,-1.46)); #515476=CARTESIAN_POINT('Origin',(1.63149064031341,2.08533538461547,-1.56)); #515477=CARTESIAN_POINT('',(1.63149064031341,2.17733538461547,-1.46)); #515478=CARTESIAN_POINT('',(1.63149064031341,2.19166683333174,-1.54124285991705)); #515479=CARTESIAN_POINT('',(1.63149064031341,2.58533538461547,-1.46)); #515480=CARTESIAN_POINT('',(1.63149064031341,2.08533538461547,-1.46)); #515481=CARTESIAN_POINT('',(1.63149064031341,2.58533538461547,-1.56)); #515482=CARTESIAN_POINT('Origin',(1.63149064031341,2.08533538461547,-1.46)); #515483=CARTESIAN_POINT('',(1.58149064031341,2.12733538461547,-1.41)); #515484=CARTESIAN_POINT('',(1.60082397364674,2.1466687179488,-1.42933333333333)); #515485=CARTESIAN_POINT('',(1.58149064031341,2.58533538461547,-1.41)); #515486=CARTESIAN_POINT('',(1.58149064031341,2.08533538461547,-1.41)); #515487=CARTESIAN_POINT('',(1.63149064031341,2.58533538461547,-1.46)); #515488=CARTESIAN_POINT('Origin',(1.42149064031341,2.08533538461547,-1.41)); #515489=CARTESIAN_POINT('',(1.42149064031341,2.12733538461547,-1.41)); #515490=CARTESIAN_POINT('',(1.42149064031341,2.58533538461547,-1.41)); #515491=CARTESIAN_POINT('',(1.42149064031341,2.08533538461547,-1.41)); #515492=CARTESIAN_POINT('',(1.37149064031341,2.17733538461547,-1.46)); #515493=CARTESIAN_POINT('',(1.43549064031341,2.11333538461547,-1.396)); #515494=CARTESIAN_POINT('',(1.37149064031341,2.58533538461547,-1.46)); #515495=CARTESIAN_POINT('',(1.37149064031341,2.08533538461547,-1.46)); #515496=CARTESIAN_POINT('',(1.42149064031341,2.58533538461547,-1.41)); #515497=CARTESIAN_POINT('Origin',(1.37149064031341,2.08533538461547,-1.46)); #515498=CARTESIAN_POINT('',(1.37149064031341,2.17455893818844,-1.44426073646817)); #515499=CARTESIAN_POINT('',(1.37149064031341,2.58533538461547,-1.46)); #515500=CARTESIAN_POINT('Origin',(1.13,2.08533538461547,-1.56)); #515501=CARTESIAN_POINT('',(1.13,2.17733538461547,-1.46)); #515502=CARTESIAN_POINT('',(1.13,2.19166683333174,-1.54124285991705)); #515503=CARTESIAN_POINT('',(1.13,2.58533538461547,-1.46)); #515504=CARTESIAN_POINT('',(1.13,2.08533538461547,-1.46)); #515505=CARTESIAN_POINT('',(1.13,2.58533538461547,-1.56)); #515506=CARTESIAN_POINT('Origin',(1.13,2.08533538461547,-1.46)); #515507=CARTESIAN_POINT('',(1.08,2.12733538461547,-1.41)); #515508=CARTESIAN_POINT('',(1.09933333333333,2.1466687179488,-1.42933333333333)); #515509=CARTESIAN_POINT('',(1.08,2.58533538461547,-1.41)); #515510=CARTESIAN_POINT('',(1.08,2.08533538461547,-1.41)); #515511=CARTESIAN_POINT('',(1.13,2.58533538461547,-1.46)); #515512=CARTESIAN_POINT('Origin',(0.92,2.08533538461547,-1.41)); #515513=CARTESIAN_POINT('',(0.92,2.12733538461547,-1.41)); #515514=CARTESIAN_POINT('',(0.92,2.58533538461547,-1.41)); #515515=CARTESIAN_POINT('',(0.92,2.08533538461547,-1.41)); #515516=CARTESIAN_POINT('',(0.87,2.17733538461547,-1.46)); #515517=CARTESIAN_POINT('',(0.934,2.11333538461547,-1.396)); #515518=CARTESIAN_POINT('',(0.87,2.58533538461547,-1.46)); #515519=CARTESIAN_POINT('',(0.87,2.08533538461547,-1.46)); #515520=CARTESIAN_POINT('',(0.92,2.58533538461547,-1.41)); #515521=CARTESIAN_POINT('Origin',(0.87,2.08533538461547,-1.46)); #515522=CARTESIAN_POINT('',(0.87,2.17455893818844,-1.44426073646817)); #515523=CARTESIAN_POINT('',(0.87,2.58533538461547,-1.46)); #515524=CARTESIAN_POINT('Origin',(0.63,2.08533538461547,-1.56)); #515525=CARTESIAN_POINT('',(0.630000000000001,2.17733538461547,-1.46)); #515526=CARTESIAN_POINT('',(0.63,2.19166683333174,-1.54124285991705)); #515527=CARTESIAN_POINT('',(0.63,2.58533538461547,-1.46)); #515528=CARTESIAN_POINT('',(0.63,2.08533538461547,-1.46)); #515529=CARTESIAN_POINT('',(0.63,2.58533538461547,-1.56)); #515530=CARTESIAN_POINT('Origin',(0.63,2.08533538461547,-1.46)); #515531=CARTESIAN_POINT('',(0.58,2.12733538461547,-1.41)); #515532=CARTESIAN_POINT('',(0.599333333333333,2.1466687179488,-1.42933333333333)); #515533=CARTESIAN_POINT('',(0.58,2.58533538461547,-1.41)); #515534=CARTESIAN_POINT('',(0.58,2.08533538461547,-1.41)); #515535=CARTESIAN_POINT('',(0.63,2.58533538461547,-1.46)); #515536=CARTESIAN_POINT('Origin',(0.42,2.08533538461547,-1.41)); #515537=CARTESIAN_POINT('',(0.420000000000001,2.12733538461547,-1.41)); #515538=CARTESIAN_POINT('',(0.42,2.58533538461547,-1.41)); #515539=CARTESIAN_POINT('',(0.42,2.08533538461547,-1.41)); #515540=CARTESIAN_POINT('',(0.37,2.17733538461547,-1.46)); #515541=CARTESIAN_POINT('',(0.434,2.11333538461547,-1.396)); #515542=CARTESIAN_POINT('',(0.37,2.58533538461547,-1.46)); #515543=CARTESIAN_POINT('',(0.37,2.08533538461547,-1.46)); #515544=CARTESIAN_POINT('',(0.42,2.58533538461547,-1.41)); #515545=CARTESIAN_POINT('Origin',(0.37,2.08533538461547,-1.46)); #515546=CARTESIAN_POINT('',(0.37,2.17455893818844,-1.44426073646817)); #515547=CARTESIAN_POINT('',(0.37,2.58533538461547,-1.46)); #515548=CARTESIAN_POINT('Origin',(0.13,2.08533538461547,-1.56)); #515549=CARTESIAN_POINT('',(0.130000000000001,2.17733538461547,-1.46)); #515550=CARTESIAN_POINT('',(0.13,2.19166683333174,-1.54124285991705)); #515551=CARTESIAN_POINT('',(0.13,2.58533538461547,-1.46)); #515552=CARTESIAN_POINT('',(0.13,2.08533538461547,-1.46)); #515553=CARTESIAN_POINT('',(0.13,2.58533538461547,-1.56)); #515554=CARTESIAN_POINT('Origin',(0.13,2.08533538461547,-1.46)); #515555=CARTESIAN_POINT('',(0.0800000000000003,2.12733538461547,-1.41)); #515556=CARTESIAN_POINT('',(0.0993333333333333,2.1466687179488,-1.42933333333333)); #515557=CARTESIAN_POINT('',(0.0800000000000003,2.58533538461547,-1.41)); #515558=CARTESIAN_POINT('',(0.0800000000000003,2.08533538461547,-1.41)); #515559=CARTESIAN_POINT('',(0.13,2.58533538461547,-1.46)); #515560=CARTESIAN_POINT('Origin',(-0.0800000000000003,2.08533538461547, -1.41)); #515561=CARTESIAN_POINT('',(-0.0799999999999999,2.12733538461547,-1.41)); #515562=CARTESIAN_POINT('',(-0.0800000000000003,2.58533538461547,-1.41)); #515563=CARTESIAN_POINT('',(-0.0800000000000003,2.08533538461547,-1.41)); #515564=CARTESIAN_POINT('',(-0.13,2.17733538461547,-1.46)); #515565=CARTESIAN_POINT('',(-0.0660000000000001,2.11333538461547,-1.396)); #515566=CARTESIAN_POINT('',(-0.13,2.58533538461547,-1.46)); #515567=CARTESIAN_POINT('',(-0.13,2.08533538461547,-1.46)); #515568=CARTESIAN_POINT('',(-0.0800000000000003,2.58533538461547,-1.41)); #515569=CARTESIAN_POINT('Origin',(-0.13,2.08533538461547,-1.46)); #515570=CARTESIAN_POINT('',(-0.13,2.17455893818844,-1.44426073646817)); #515571=CARTESIAN_POINT('',(-0.13,2.58533538461547,-1.46)); #515572=CARTESIAN_POINT('Origin',(-3.45,2.08533538461547,-1.56)); #515573=CARTESIAN_POINT('',(-3.45,2.17733538461547,-1.46)); #515574=CARTESIAN_POINT('',(-3.45,2.19166683333174,-1.54124285991705)); #515575=CARTESIAN_POINT('',(-3.45,2.58533538461547,-1.46)); #515576=CARTESIAN_POINT('',(-3.45,2.08533538461547,-1.46)); #515577=CARTESIAN_POINT('',(-3.45,2.58533538461547,-1.56)); #515578=CARTESIAN_POINT('Origin',(-3.45,2.08533538461547,-1.46)); #515579=CARTESIAN_POINT('',(-3.5,2.12733538461547,-1.41)); #515580=CARTESIAN_POINT('',(-3.48066666666667,2.1466687179488,-1.42933333333333)); #515581=CARTESIAN_POINT('',(-3.5,2.58533538461547,-1.41)); #515582=CARTESIAN_POINT('',(-3.5,2.08533538461547,-1.41)); #515583=CARTESIAN_POINT('',(-3.45,2.58533538461547,-1.46)); #515584=CARTESIAN_POINT('Origin',(-2.95,2.08533538461547,-1.56)); #515585=CARTESIAN_POINT('',(-2.95,2.17733538461547,-1.46)); #515586=CARTESIAN_POINT('',(-2.95,2.19166683333174,-1.54124285991705)); #515587=CARTESIAN_POINT('',(-2.95,2.58533538461547,-1.46)); #515588=CARTESIAN_POINT('',(-2.95,2.58533538461547,-1.56)); #515589=CARTESIAN_POINT('',(-2.95,2.08533538461547,-1.46)); #515590=CARTESIAN_POINT('Origin',(-2.95,2.08533538461547,-1.46)); #515591=CARTESIAN_POINT('',(-2.9,2.12733538461547,-1.41)); #515592=CARTESIAN_POINT('',(-2.91933333333333,2.1466687179488,-1.42933333333333)); #515593=CARTESIAN_POINT('',(-2.9,2.58533538461547,-1.41)); #515594=CARTESIAN_POINT('',(-2.95,2.58533538461547,-1.46)); #515595=CARTESIAN_POINT('',(-2.9,2.08533538461547,-1.41)); #515596=CARTESIAN_POINT('Origin',(-2.7,2.08533538461547,-1.41)); #515597=CARTESIAN_POINT('',(-2.7,2.12733538461547,-1.41)); #515598=CARTESIAN_POINT('',(-2.65,2.17733538461547,-1.46)); #515599=CARTESIAN_POINT('',(-2.714,2.11333538461547,-1.396)); #515600=CARTESIAN_POINT('',(-2.7,2.58533538461547,-1.41)); #515601=CARTESIAN_POINT('',(-2.7,2.08533538461547,-1.41)); #515602=CARTESIAN_POINT('',(-2.65,2.58533538461547,-1.46)); #515603=CARTESIAN_POINT('',(-2.7,2.58533538461547,-1.41)); #515604=CARTESIAN_POINT('',(-2.65,2.08533538461547,-1.46)); #515605=CARTESIAN_POINT('Origin',(-2.65,2.08533538461547,-1.46)); #515606=CARTESIAN_POINT('',(-2.65,2.17455893818844,-1.44426073646817)); #515607=CARTESIAN_POINT('',(-2.65,2.58533538461547,-1.46)); #515608=CARTESIAN_POINT('Origin',(-2.15,2.08533538461547,-1.56)); #515609=CARTESIAN_POINT('',(-2.15,2.17733538461547,-1.46)); #515610=CARTESIAN_POINT('',(-2.15,2.19166683333174,-1.54124285991705)); #515611=CARTESIAN_POINT('',(-2.15,2.58533538461547,-1.46)); #515612=CARTESIAN_POINT('',(-2.15,2.58533538461547,-1.56)); #515613=CARTESIAN_POINT('',(-2.15,2.08533538461547,-1.46)); #515614=CARTESIAN_POINT('Origin',(-2.15,2.08533538461547,-1.46)); #515615=CARTESIAN_POINT('',(-2.1,2.12733538461547,-1.41)); #515616=CARTESIAN_POINT('',(-2.11933333333333,2.1466687179488,-1.42933333333333)); #515617=CARTESIAN_POINT('',(-2.1,2.58533538461547,-1.41)); #515618=CARTESIAN_POINT('',(-2.15,2.58533538461547,-1.46)); #515619=CARTESIAN_POINT('',(-2.1,2.08533538461547,-1.41)); #515620=CARTESIAN_POINT('Origin',(-1.92149064031341,2.08533538461547,-1.41)); #515621=CARTESIAN_POINT('',(-1.92149064031341,2.12733538461547,-1.41)); #515622=CARTESIAN_POINT('',(-1.87149064031341,2.17733538461547,-1.46)); #515623=CARTESIAN_POINT('',(-1.93549064031341,2.11333538461547,-1.396)); #515624=CARTESIAN_POINT('',(-1.92149064031341,2.58533538461547,-1.41)); #515625=CARTESIAN_POINT('',(-1.92149064031341,2.08533538461547,-1.41)); #515626=CARTESIAN_POINT('',(-1.87149064031341,2.58533538461547,-1.46)); #515627=CARTESIAN_POINT('',(-1.92149064031341,2.58533538461547,-1.41)); #515628=CARTESIAN_POINT('',(-1.87149064031341,2.08533538461547,-1.46)); #515629=CARTESIAN_POINT('Origin',(-1.87149064031341,2.08533538461547,-1.46)); #515630=CARTESIAN_POINT('',(-1.87149064031341,2.17455893818844,-1.44426073646817)); #515631=CARTESIAN_POINT('',(-1.87149064031341,2.58533538461547,-1.46)); #515632=CARTESIAN_POINT('Origin',(-1.63149064031341,2.08533538461547,-1.56)); #515633=CARTESIAN_POINT('',(-1.63149064031341,2.17733538461547,-1.46)); #515634=CARTESIAN_POINT('',(-1.63149064031341,2.19166683333174,-1.54124285991705)); #515635=CARTESIAN_POINT('',(-1.63149064031341,2.58533538461547,-1.46)); #515636=CARTESIAN_POINT('',(-1.63149064031341,2.58533538461547,-1.56)); #515637=CARTESIAN_POINT('',(-1.63149064031341,2.08533538461547,-1.46)); #515638=CARTESIAN_POINT('Origin',(-1.63149064031341,2.08533538461547,-1.46)); #515639=CARTESIAN_POINT('',(-1.58149064031341,2.12733538461547,-1.41)); #515640=CARTESIAN_POINT('',(-1.60082397364674,2.1466687179488,-1.42933333333333)); #515641=CARTESIAN_POINT('',(-1.58149064031341,2.58533538461547,-1.41)); #515642=CARTESIAN_POINT('',(-1.63149064031341,2.58533538461547,-1.46)); #515643=CARTESIAN_POINT('',(-1.58149064031341,2.08533538461547,-1.41)); #515644=CARTESIAN_POINT('Origin',(-1.42149064031341,2.08533538461547,-1.41)); #515645=CARTESIAN_POINT('',(-1.42149064031341,2.12733538461547,-1.41)); #515646=CARTESIAN_POINT('',(-1.37149064031341,2.17733538461547,-1.46)); #515647=CARTESIAN_POINT('',(-1.43549064031341,2.11333538461547,-1.396)); #515648=CARTESIAN_POINT('',(-1.42149064031341,2.58533538461547,-1.41)); #515649=CARTESIAN_POINT('',(-1.42149064031341,2.08533538461547,-1.41)); #515650=CARTESIAN_POINT('',(-1.37149064031341,2.58533538461547,-1.46)); #515651=CARTESIAN_POINT('',(-1.42149064031341,2.58533538461547,-1.41)); #515652=CARTESIAN_POINT('',(-1.37149064031341,2.08533538461547,-1.46)); #515653=CARTESIAN_POINT('Origin',(-1.37149064031341,2.08533538461547,-1.46)); #515654=CARTESIAN_POINT('',(-1.37149064031341,2.17455893818844,-1.44426073646817)); #515655=CARTESIAN_POINT('',(-1.37149064031341,2.58533538461547,-1.46)); #515656=CARTESIAN_POINT('Origin',(-1.13,2.08533538461547,-1.56)); #515657=CARTESIAN_POINT('',(-1.13,2.17733538461547,-1.46)); #515658=CARTESIAN_POINT('',(-1.13,2.19166683333174,-1.54124285991705)); #515659=CARTESIAN_POINT('',(-1.13,2.58533538461547,-1.46)); #515660=CARTESIAN_POINT('',(-1.13,2.58533538461547,-1.56)); #515661=CARTESIAN_POINT('',(-1.13,2.08533538461547,-1.46)); #515662=CARTESIAN_POINT('Origin',(-1.13,2.08533538461547,-1.46)); #515663=CARTESIAN_POINT('',(-1.08,2.12733538461547,-1.41)); #515664=CARTESIAN_POINT('',(-1.09933333333333,2.1466687179488,-1.42933333333333)); #515665=CARTESIAN_POINT('',(-1.08,2.58533538461547,-1.41)); #515666=CARTESIAN_POINT('',(-1.13,2.58533538461547,-1.46)); #515667=CARTESIAN_POINT('',(-1.08,2.08533538461547,-1.41)); #515668=CARTESIAN_POINT('Origin',(-0.92,2.08533538461547,-1.41)); #515669=CARTESIAN_POINT('',(-0.919999999999999,2.12733538461547,-1.41)); #515670=CARTESIAN_POINT('',(-0.87,2.17733538461547,-1.46)); #515671=CARTESIAN_POINT('',(-0.934,2.11333538461547,-1.396)); #515672=CARTESIAN_POINT('',(-0.92,2.58533538461547,-1.41)); #515673=CARTESIAN_POINT('',(-0.92,2.08533538461547,-1.41)); #515674=CARTESIAN_POINT('',(-0.87,2.58533538461547,-1.46)); #515675=CARTESIAN_POINT('',(-0.92,2.58533538461547,-1.41)); #515676=CARTESIAN_POINT('',(-0.87,2.08533538461547,-1.46)); #515677=CARTESIAN_POINT('Origin',(-0.87,2.08533538461547,-1.46)); #515678=CARTESIAN_POINT('',(-0.87,2.17455893818844,-1.44426073646817)); #515679=CARTESIAN_POINT('',(-0.87,2.58533538461547,-1.46)); #515680=CARTESIAN_POINT('Origin',(-0.63,2.08533538461547,-1.56)); #515681=CARTESIAN_POINT('',(-0.63,2.17733538461547,-1.46)); #515682=CARTESIAN_POINT('',(-0.63,2.19166683333174,-1.54124285991705)); #515683=CARTESIAN_POINT('',(-0.63,2.58533538461547,-1.46)); #515684=CARTESIAN_POINT('',(-0.63,2.58533538461547,-1.56)); #515685=CARTESIAN_POINT('',(-0.63,2.08533538461547,-1.46)); #515686=CARTESIAN_POINT('Origin',(-0.63,2.08533538461547,-1.46)); #515687=CARTESIAN_POINT('',(-0.58,2.12733538461547,-1.41)); #515688=CARTESIAN_POINT('',(-0.599333333333333,2.1466687179488,-1.42933333333333)); #515689=CARTESIAN_POINT('',(-0.58,2.58533538461547,-1.41)); #515690=CARTESIAN_POINT('',(-0.63,2.58533538461547,-1.46)); #515691=CARTESIAN_POINT('',(-0.58,2.08533538461547,-1.41)); #515692=CARTESIAN_POINT('Origin',(-0.42,2.08533538461547,-1.41)); #515693=CARTESIAN_POINT('',(-0.42,2.12733538461547,-1.41)); #515694=CARTESIAN_POINT('',(-0.37,2.17733538461547,-1.46)); #515695=CARTESIAN_POINT('',(-0.434,2.11333538461547,-1.396)); #515696=CARTESIAN_POINT('',(-0.42,2.58533538461547,-1.41)); #515697=CARTESIAN_POINT('',(-0.42,2.08533538461547,-1.41)); #515698=CARTESIAN_POINT('',(-0.37,2.58533538461547,-1.46)); #515699=CARTESIAN_POINT('',(-0.42,2.58533538461547,-1.41)); #515700=CARTESIAN_POINT('',(-0.37,2.08533538461547,-1.46)); #515701=CARTESIAN_POINT('Origin',(-0.37,2.08533538461547,-1.46)); #515702=CARTESIAN_POINT('',(-0.37,2.17455893818844,-1.44426073646817)); #515703=CARTESIAN_POINT('',(-0.37,2.58533538461547,-1.46)); #515704=CARTESIAN_POINT('Origin',(3.45,2.08533538461547,-1.56)); #515705=CARTESIAN_POINT('',(3.45,2.17733538461547,-1.46)); #515706=CARTESIAN_POINT('',(3.45,2.19166683333174,-1.54124285991705)); #515707=CARTESIAN_POINT('',(3.45,2.58533538461547,-1.46)); #515708=CARTESIAN_POINT('',(3.45,2.58533538461547,-1.56)); #515709=CARTESIAN_POINT('',(3.45,2.08533538461547,-1.46)); #515710=CARTESIAN_POINT('Origin',(3.45,2.08533538461547,-1.46)); #515711=CARTESIAN_POINT('',(3.5,2.12733538461547,-1.41)); #515712=CARTESIAN_POINT('',(3.48066666666667,2.14666871794881,-1.42933333333333)); #515713=CARTESIAN_POINT('',(3.5,2.58533538461547,-1.41)); #515714=CARTESIAN_POINT('',(3.45,2.58533538461547,-1.46)); #515715=CARTESIAN_POINT('',(3.5,2.08533538461547,-1.41)); #515716=CARTESIAN_POINT('Origin',(3.6,0.,3.67065312314842E-16)); #515717=CARTESIAN_POINT('',(3.6,2.08533538461547,-1.26)); #515718=CARTESIAN_POINT('',(3.6,2.08533538461547,-1.41)); #515719=CARTESIAN_POINT('',(3.6,2.08533538461547,-1.26)); #515720=CARTESIAN_POINT('',(3.6,2.58533538461547,-1.41)); #515721=CARTESIAN_POINT('',(3.6,2.08533538461547,-1.41)); #515722=CARTESIAN_POINT('',(3.6,2.58533538461547,-1.56)); #515723=CARTESIAN_POINT('',(3.6,2.42,-1.26)); #515724=CARTESIAN_POINT('Origin',(3.6,2.08533538461547,-1.16)); #515725=CARTESIAN_POINT('',(-3.6,2.08533538461547,-1.41)); #515726=CARTESIAN_POINT('',(2.9,2.08533538461547,-1.41)); #515727=CARTESIAN_POINT('',(3.6,2.08533538461547,-1.16)); #515728=CARTESIAN_POINT('',(3.6,2.08533538461547,-1.16)); #515729=CARTESIAN_POINT('',(-3.6,2.08533538461547,-1.16)); #515730=CARTESIAN_POINT('',(3.6,2.08533538461547,-1.16)); #515731=CARTESIAN_POINT('',(-3.6,2.08533538461547,-1.26)); #515732=CARTESIAN_POINT('',(-3.6,2.08533538461547,-1.16)); #515733=CARTESIAN_POINT('',(-3.6,2.08533538461547,-1.26)); #515734=CARTESIAN_POINT('Origin',(-3.6,0.,-3.67065312314842E-16)); #515735=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.41)); #515736=CARTESIAN_POINT('',(-3.6,2.08533538461547,-1.41)); #515737=CARTESIAN_POINT('',(-3.6,2.42,-1.26)); #515738=CARTESIAN_POINT('',(-3.6,2.58533538461547,-1.56)); #515739=CARTESIAN_POINT('Origin',(-3.6,2.58533538461547,-1.56)); #515740=CARTESIAN_POINT('',(2.9,2.58533538461547,-1.41)); #515741=CARTESIAN_POINT('',(2.1,2.58533538461547,-1.41)); #515742=CARTESIAN_POINT('',(1.58149064031341,2.58533538461547,-1.41)); #515743=CARTESIAN_POINT('',(1.08,2.58533538461547,-1.41)); #515744=CARTESIAN_POINT('',(0.58,2.58533538461547,-1.41)); #515745=CARTESIAN_POINT('',(0.0800000000000003,2.58533538461547,-1.41)); #515746=CARTESIAN_POINT('',(-0.58,2.58533538461547,-1.41)); #515747=CARTESIAN_POINT('',(-1.08,2.58533538461547,-1.41)); #515748=CARTESIAN_POINT('',(-1.58149064031341,2.58533538461547,-1.41)); #515749=CARTESIAN_POINT('',(-2.1,2.58533538461547,-1.41)); #515750=CARTESIAN_POINT('',(-2.9,2.58533538461547,-1.41)); #515751=CARTESIAN_POINT('',(-3.5,2.58533538461547,-1.41)); #515752=CARTESIAN_POINT('',(3.5,2.58533538461547,-1.41)); #515753=CARTESIAN_POINT('Origin',(-3.19,2.42,-1.26)); #515754=CARTESIAN_POINT('',(-3.6,1.88533538461547,-1.26)); #515755=CARTESIAN_POINT('',(-3.6,2.08533538461547,-1.26)); #515756=CARTESIAN_POINT('',(-3.6,1.08533538461547,-1.26)); #515757=CARTESIAN_POINT('',(-3.6,1.08533538461547,-1.26)); #515758=CARTESIAN_POINT('',(-4.15,1.08533538461547,-1.26)); #515759=CARTESIAN_POINT('',(-4.15,1.08533538461547,-1.26)); #515760=CARTESIAN_POINT('',(-4.15,2.22,-1.26)); #515761=CARTESIAN_POINT('',(-4.15,2.42,-1.26)); #515762=CARTESIAN_POINT('',(-3.57,2.8,-1.26)); #515763=CARTESIAN_POINT('Origin',(-3.19,2.42,-1.26)); #515764=CARTESIAN_POINT('',(4.15,2.22,-1.26)); #515765=CARTESIAN_POINT('',(0.380000000000008,5.99,-1.26)); #515766=CARTESIAN_POINT('',(4.15,1.08533538461547,-1.26)); #515767=CARTESIAN_POINT('',(4.15,2.42,-1.26)); #515768=CARTESIAN_POINT('',(3.6,1.08533538461547,-1.26)); #515769=CARTESIAN_POINT('',(4.15,1.08533538461547,-1.26)); #515770=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.26)); #515771=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.26)); #515772=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.26)); #515773=CARTESIAN_POINT('Origin',(-3.19,2.42,-0.3)); #515774=CARTESIAN_POINT('',(-4.15,1.08533538461547,-0.3)); #515775=CARTESIAN_POINT('',(-4.15,0.150000000000001,-0.3)); #515776=CARTESIAN_POINT('',(-4.15,2.42,-0.3)); #515777=CARTESIAN_POINT('',(-3.19,1.08533538461547,-1.26)); #515778=CARTESIAN_POINT('Origin',(-3.19,1.08533538461547,-0.3)); #515779=CARTESIAN_POINT('',(-3.19,0.150000000000001,-1.26)); #515780=CARTESIAN_POINT('',(-3.19,2.42,-1.26)); #515781=CARTESIAN_POINT('Origin',(-3.19,0.150000000000001,-0.3)); #515782=CARTESIAN_POINT('Origin',(-3.19,2.42,-1.26)); #515783=CARTESIAN_POINT('',(-2.65,1.08533538461547,-1.26)); #515784=CARTESIAN_POINT('',(-2.35,1.08533538461547,-1.26)); #515785=CARTESIAN_POINT('',(-2.65,0.15,-1.26)); #515786=CARTESIAN_POINT('',(-2.65,0.,-1.26)); #515787=CARTESIAN_POINT('',(-3.19,0.15,-1.26)); #515788=CARTESIAN_POINT('Origin',(-3.19,2.42,-1.26)); #515789=CARTESIAN_POINT('',(2.65,0.15,-1.26)); #515790=CARTESIAN_POINT('',(2.65,1.08533538461547,-1.26)); #515791=CARTESIAN_POINT('',(2.65,0.,-1.26)); #515792=CARTESIAN_POINT('',(3.19,1.08533538461547,-1.26)); #515793=CARTESIAN_POINT('',(3.6,1.08533538461547,-1.26)); #515794=CARTESIAN_POINT('',(3.19,0.150000000000001,-1.26)); #515795=CARTESIAN_POINT('',(3.19,2.42,-1.26)); #515796=CARTESIAN_POINT('',(-3.19,0.15,-1.26)); #515797=CARTESIAN_POINT('Origin',(-3.19,2.42,-1.26)); #515798=CARTESIAN_POINT('',(-2.35,1.08533538461547,-1.26)); #515799=CARTESIAN_POINT('',(-2.25,1.08533538461547,-1.26)); #515800=CARTESIAN_POINT('',(-2.65,1.08533538461547,-1.26)); #515801=CARTESIAN_POINT('',(-1.97,1.47,-1.26)); #515802=CARTESIAN_POINT('',(-1.97,1.47,-1.26)); #515803=CARTESIAN_POINT('',(-1.07,1.47,-1.26)); #515804=CARTESIAN_POINT('',(1.97,1.47,-1.26)); #515805=CARTESIAN_POINT('',(-1.07,0.749999999999999,-1.26)); #515806=CARTESIAN_POINT('',(-1.07,0.869999999999999,-1.26)); #515807=CARTESIAN_POINT('',(1.07,0.749999999999999,-1.26)); #515808=CARTESIAN_POINT('',(1.07,0.749999999999999,-1.26)); #515809=CARTESIAN_POINT('',(1.07,1.47,-1.26)); #515810=CARTESIAN_POINT('',(1.07,1.47,-1.26)); #515811=CARTESIAN_POINT('',(1.97,1.47,-1.26)); #515812=CARTESIAN_POINT('',(1.97,1.47,-1.26)); #515813=CARTESIAN_POINT('',(2.35,1.08533538461547,-1.26)); #515814=CARTESIAN_POINT('',(1.97,1.47,-1.26)); #515815=CARTESIAN_POINT('',(2.25,1.08533538461547,-1.26)); #515816=CARTESIAN_POINT('',(2.65,1.08533538461547,-1.26)); #515817=CARTESIAN_POINT('',(1.35,0.45,-1.26)); #515818=CARTESIAN_POINT('',(2.25,1.08533538461547,-1.26)); #515819=CARTESIAN_POINT('',(1.35,0.15,-1.26)); #515820=CARTESIAN_POINT('',(1.35,0.45,-1.26)); #515821=CARTESIAN_POINT('',(-1.35,0.15,-1.26)); #515822=CARTESIAN_POINT('',(-3.19,0.15,-1.26)); #515823=CARTESIAN_POINT('',(-1.35,0.45,-1.26)); #515824=CARTESIAN_POINT('',(-1.35,0.45,-1.26)); #515825=CARTESIAN_POINT('',(-2.25,1.08533538461547,-1.26)); #515826=CARTESIAN_POINT('Origin',(-3.19,0.,-0.3)); #515827=CARTESIAN_POINT('',(-1.35,0.,-0.6)); #515828=CARTESIAN_POINT('',(1.35,0.,-0.6)); #515829=CARTESIAN_POINT('',(-2.895,0.,-0.6)); #515830=CARTESIAN_POINT('',(-1.35,2.834968878138E-33,-1.11)); #515831=CARTESIAN_POINT('',(-1.35,0.,-0.6)); #515832=CARTESIAN_POINT('',(1.35,0.,-1.11)); #515833=CARTESIAN_POINT('',(-3.19,0.,-1.11)); #515834=CARTESIAN_POINT('',(1.35,0.,-0.6)); #515835=CARTESIAN_POINT('Origin',(-3.19,0.,-1.11)); #515836=CARTESIAN_POINT('',(-2.65,-5.42101086242752E-17,-1.11)); #515837=CARTESIAN_POINT('',(-2.65,3.32800694390114E-33,-1.11)); #515838=CARTESIAN_POINT('',(-3.19,0.,-1.11)); #515839=CARTESIAN_POINT('',(-3.19,0.,-1.11)); #515840=CARTESIAN_POINT('',(-3.19,0.,-1.11)); #515841=CARTESIAN_POINT('Origin',(-3.19,0.,-0.3)); #515842=CARTESIAN_POINT('',(2.65,0.,-0.6)); #515843=CARTESIAN_POINT('',(2.895,0.,-0.6)); #515844=CARTESIAN_POINT('',(-2.895,0.,-0.6)); #515845=CARTESIAN_POINT('',(2.65,-3.35655325655722E-17,-1.11)); #515846=CARTESIAN_POINT('',(2.65,0.,-0.6)); #515847=CARTESIAN_POINT('',(3.19,0.,-1.11)); #515848=CARTESIAN_POINT('',(-3.19,0.,-1.11)); #515849=CARTESIAN_POINT('',(4.,0.,-0.299999999999999)); #515850=CARTESIAN_POINT('Origin',(3.19,0.,-0.299999999999999)); #515851=CARTESIAN_POINT('',(4.,0.,0.299999999999999)); #515852=CARTESIAN_POINT('',(4.,0.,-0.3)); #515853=CARTESIAN_POINT('',(3.19,0.,1.11)); #515854=CARTESIAN_POINT('Origin',(3.19,0.,0.299999999999999)); #515855=CARTESIAN_POINT('',(2.65,-3.39875289180411E-17,1.11)); #515856=CARTESIAN_POINT('',(-3.19,0.,1.11)); #515857=CARTESIAN_POINT('',(2.65,0.,0.6)); #515858=CARTESIAN_POINT('',(2.65,0.,0.6)); #515859=CARTESIAN_POINT('',(2.895,0.,0.6)); #515860=CARTESIAN_POINT('',(2.895,0.,0.599999999999999)); #515861=CARTESIAN_POINT('Origin',(2.895,0.,0.15)); #515862=CARTESIAN_POINT('Origin',(2.895,0.,-0.15)); #515863=CARTESIAN_POINT('Origin',(-3.19,0.,-1.11)); #515864=CARTESIAN_POINT('',(2.65,1.16678047672032E-15,-1.11)); #515865=CARTESIAN_POINT('',(3.19,0.,-1.11)); #515866=CARTESIAN_POINT('Origin',(-3.19,0.,-1.11)); #515867=CARTESIAN_POINT('',(-1.35,1.1339875512552E-32,-1.11)); #515868=CARTESIAN_POINT('',(1.35,-1.09383952512982E-15,-1.11)); #515869=CARTESIAN_POINT('Origin',(-3.19,0.,-0.3)); #515870=CARTESIAN_POINT('',(-2.65,0.,-0.6)); #515871=CARTESIAN_POINT('',(-2.65,0.,-0.6)); #515872=CARTESIAN_POINT('',(-2.895,0.,-0.6)); #515873=CARTESIAN_POINT('',(-2.65,0.,0.6)); #515874=CARTESIAN_POINT('',(2.895,0.,0.599999999999999)); #515875=CARTESIAN_POINT('',(-2.65,-4.7327634018372E-17,1.11)); #515876=CARTESIAN_POINT('',(-2.65,0.,0.6)); #515877=CARTESIAN_POINT('',(-3.19,0.,1.11)); #515878=CARTESIAN_POINT('',(-3.19,0.,1.11)); #515879=CARTESIAN_POINT('',(-4.,0.,0.3)); #515880=CARTESIAN_POINT('Origin',(-3.19,0.,0.3)); #515881=CARTESIAN_POINT('',(-4.,0.,-0.3)); #515882=CARTESIAN_POINT('',(-4.,0.,-0.3)); #515883=CARTESIAN_POINT('Origin',(-3.19,0.,-0.3)); #515884=CARTESIAN_POINT('Origin',(-3.19,0.,-0.3)); #515885=CARTESIAN_POINT('',(1.35,3.65365935868924E-18,1.11)); #515886=CARTESIAN_POINT('',(-1.35,0.,1.11)); #515887=CARTESIAN_POINT('',(-3.19,0.,1.11)); #515888=CARTESIAN_POINT('',(-1.35,0.,0.6)); #515889=CARTESIAN_POINT('',(-1.35,0.,1.26)); #515890=CARTESIAN_POINT('',(1.35,0.,0.6)); #515891=CARTESIAN_POINT('',(2.895,0.,0.599999999999999)); #515892=CARTESIAN_POINT('',(1.35,0.,1.26)); #515893=CARTESIAN_POINT('Origin',(-3.19,0.,1.11)); #515894=CARTESIAN_POINT('',(-2.65,2.75298984236131E-17,1.11)); #515895=CARTESIAN_POINT('',(-3.19,0.15,1.26)); #515896=CARTESIAN_POINT('Origin',(-3.19,0.,1.11)); #515897=CARTESIAN_POINT('',(-1.35,9.38055798137929E-17,1.11)); #515898=CARTESIAN_POINT('',(1.35,2.31455071931858E-16,1.11)); #515899=CARTESIAN_POINT('Origin',(-3.19,0.,1.11)); #515900=CARTESIAN_POINT('',(2.65,2.97730753322038E-16,1.11)); #515901=CARTESIAN_POINT('',(3.19,0.15,1.26)); #515902=CARTESIAN_POINT('Origin',(3.19,2.42,-0.299999999999999)); #515903=CARTESIAN_POINT('',(4.15,1.08533538461547,-0.299999999999999)); #515904=CARTESIAN_POINT('Origin',(3.19,1.08533538461547,-0.299999999999999)); #515905=CARTESIAN_POINT('',(4.15,0.150000000000001,-0.299999999999999)); #515906=CARTESIAN_POINT('',(4.15,2.42,-0.299999999999999)); #515907=CARTESIAN_POINT('Origin',(3.19,0.150000000000001,-0.299999999999999)); #515908=CARTESIAN_POINT('Origin',(4.15,2.42,-0.299999999999999)); #515909=CARTESIAN_POINT('',(4.15,2.22,0.299999999999999)); #515910=CARTESIAN_POINT('',(4.15,2.22,0.299999999999999)); #515911=CARTESIAN_POINT('',(4.15,0.150000000000001,0.299999999999999)); #515912=CARTESIAN_POINT('',(4.15,2.42,0.299999999999999)); #515913=CARTESIAN_POINT('',(4.15,0.15,-0.299999999999999)); #515914=CARTESIAN_POINT('',(4.15,1.08533538461547,-0.299999999999999)); #515915=CARTESIAN_POINT('Origin',(3.19,2.42,0.299999999999999)); #515916=CARTESIAN_POINT('Origin',(3.19,2.22,0.299999999999999)); #515917=CARTESIAN_POINT('Origin',(3.19,0.150000000000001,0.299999999999999)); #515918=CARTESIAN_POINT('Origin',(-3.19,2.42,0.3)); #515919=CARTESIAN_POINT('',(-4.15,0.150000000000001,0.3)); #515920=CARTESIAN_POINT('',(-4.15,2.42,0.3)); #515921=CARTESIAN_POINT('Origin',(-3.19,0.150000000000001,0.3)); #515922=CARTESIAN_POINT('Origin',(-4.15,2.42,0.3)); #515923=CARTESIAN_POINT('',(-4.15,2.22,-1.26)); #515924=CARTESIAN_POINT('',(-4.15,1.08533538461547,-0.3)); #515925=CARTESIAN_POINT('',(-4.15,0.15,0.3)); #515926=CARTESIAN_POINT('Origin',(-2.895,-1.58,-0.6)); #515927=CARTESIAN_POINT('',(2.895,-1.35,-0.6)); #515928=CARTESIAN_POINT('',(2.895,-1.58,-0.6)); #515929=CARTESIAN_POINT('',(-2.895,-1.35,-0.6)); #515930=CARTESIAN_POINT('',(-2.65,1.08533538461547,-0.6)); #515931=CARTESIAN_POINT('',(-2.65,0.,-0.6)); #515932=CARTESIAN_POINT('',(-2.25,1.08533538461547,-0.6)); #515933=CARTESIAN_POINT('',(-2.65,1.08533538461547,-0.6)); #515934=CARTESIAN_POINT('',(-1.35,0.45,-0.6)); #515935=CARTESIAN_POINT('',(-2.25,1.08533538461547,-0.6)); #515936=CARTESIAN_POINT('',(-1.35,0.45,-0.6)); #515937=CARTESIAN_POINT('',(1.35,0.45,-0.6)); #515938=CARTESIAN_POINT('',(1.35,0.45,-0.6)); #515939=CARTESIAN_POINT('',(2.25,1.08533538461547,-0.6)); #515940=CARTESIAN_POINT('',(2.25,1.08533538461547,-0.6)); #515941=CARTESIAN_POINT('',(2.65,1.08533538461547,-0.6)); #515942=CARTESIAN_POINT('',(2.65,1.08533538461547,-0.6)); #515943=CARTESIAN_POINT('',(2.65,0.,-0.6)); #515944=CARTESIAN_POINT('Origin',(2.895,-1.58,-0.15)); #515945=CARTESIAN_POINT('',(3.145,-0.38,-0.524165738677394)); #515946=CARTESIAN_POINT('Origin',(2.895,-0.38,-0.15)); #515947=CARTESIAN_POINT('',(3.145,-1.12,-0.524165738677394)); #515948=CARTESIAN_POINT('',(3.145,-1.58,-0.524165738677394)); #515949=CARTESIAN_POINT('',(3.345,-1.12,-0.149999999999999)); #515950=CARTESIAN_POINT('Origin',(2.895,-1.12,-0.15)); #515951=CARTESIAN_POINT('',(3.345,-1.35,-0.15)); #515952=CARTESIAN_POINT('',(3.345,-1.58,-0.15)); #515953=CARTESIAN_POINT('Origin',(2.895,-1.35,-0.15)); #515954=CARTESIAN_POINT('Origin',(3.345,-1.58,-0.15)); #515955=CARTESIAN_POINT('',(3.345,-1.12,0.15)); #515956=CARTESIAN_POINT('',(3.345,-1.12,-10.8234987569897)); #515957=CARTESIAN_POINT('',(3.345,-1.35,0.15)); #515958=CARTESIAN_POINT('',(3.345,-1.58,0.15)); #515959=CARTESIAN_POINT('',(3.345,-1.35,-0.15)); #515960=CARTESIAN_POINT('Origin',(2.895,-1.58,0.15)); #515961=CARTESIAN_POINT('',(3.145,-1.12,0.524165738677394)); #515962=CARTESIAN_POINT('',(3.145,-0.38,0.524165738677394)); #515963=CARTESIAN_POINT('',(3.145,-1.58,0.524165738677394)); #515964=CARTESIAN_POINT('Origin',(2.895,-0.38,0.15)); #515965=CARTESIAN_POINT('',(2.895,-1.35,0.6)); #515966=CARTESIAN_POINT('',(2.895,-1.58,0.6)); #515967=CARTESIAN_POINT('Origin',(2.895,-1.35,0.15)); #515968=CARTESIAN_POINT('Origin',(2.895,-1.12,0.15)); #515969=CARTESIAN_POINT('Origin',(2.895,-1.58,0.599999999999999)); #515970=CARTESIAN_POINT('',(2.895,-1.35,0.599999999999999)); #515971=CARTESIAN_POINT('',(2.65,0.55,0.6)); #515972=CARTESIAN_POINT('',(2.65,0.55,0.6)); #515973=CARTESIAN_POINT('',(1.35,0.55,0.6)); #515974=CARTESIAN_POINT('',(1.35,0.55,0.6)); #515975=CARTESIAN_POINT('',(1.35,0.55,0.6)); #515976=CARTESIAN_POINT('',(-1.35,0.55,0.6)); #515977=CARTESIAN_POINT('',(-1.35,0.55,0.6)); #515978=CARTESIAN_POINT('',(-2.65,0.55,0.6)); #515979=CARTESIAN_POINT('',(-1.35,0.55,0.6)); #515980=CARTESIAN_POINT('',(-2.65,0.55,0.6)); #515981=CARTESIAN_POINT('Origin',(-2.895,-1.58,-0.15)); #515982=CARTESIAN_POINT('',(3.115,-1.58,-0.15)); #515983=CARTESIAN_POINT('',(3.115,-1.58,0.15)); #515984=CARTESIAN_POINT('',(3.115,-1.58,0.15)); #515985=CARTESIAN_POINT('',(2.895,-1.58,0.369999999999999)); #515986=CARTESIAN_POINT('Origin',(2.895,-1.58,0.15)); #515987=CARTESIAN_POINT('',(-2.895,-1.58,0.37)); #515988=CARTESIAN_POINT('',(-2.895,-1.58,0.37)); #515989=CARTESIAN_POINT('',(-3.115,-1.58,0.15)); #515990=CARTESIAN_POINT('Origin',(-2.895,-1.58,0.15)); #515991=CARTESIAN_POINT('',(-3.115,-1.58,-0.15)); #515992=CARTESIAN_POINT('',(-3.115,-1.58,-0.15)); #515993=CARTESIAN_POINT('',(-2.895,-1.58,-0.37)); #515994=CARTESIAN_POINT('Origin',(-2.895,-1.58,-0.15)); #515995=CARTESIAN_POINT('',(2.895,-1.58,-0.37)); #515996=CARTESIAN_POINT('',(2.895,-1.58,-0.37)); #515997=CARTESIAN_POINT('Origin',(2.895,-1.58,-0.15)); #515998=CARTESIAN_POINT('',(-3.04269098028187,-1.58,-0.3085)); #515999=CARTESIAN_POINT('',(3.04269098028187,-1.58,-0.3085)); #516000=CARTESIAN_POINT('',(-3.04269098028187,-1.58,-0.3085)); #516001=CARTESIAN_POINT('',(-3.04269098028187,-1.58,0.3085)); #516002=CARTESIAN_POINT('',(-3.04269098028187,-1.58,0.3085)); #516003=CARTESIAN_POINT('',(3.04269098028187,-1.58,0.3085)); #516004=CARTESIAN_POINT('',(-3.04269098028187,-1.58,0.3085)); #516005=CARTESIAN_POINT('',(3.04269098028187,-1.58,0.3085)); #516006=CARTESIAN_POINT('Origin',(-3.04269098028187,-3.01,0.3085)); #516007=CARTESIAN_POINT('',(-3.04269098028186,-3.01,-0.3085)); #516008=CARTESIAN_POINT('',(-3.04269098028187,-3.01,-0.3085)); #516009=CARTESIAN_POINT('',(-3.04269098028186,-3.01,0.3085)); #516010=CARTESIAN_POINT('',(-3.04269098028186,-3.01,0.3085)); #516011=CARTESIAN_POINT('',(-3.04269098028187,-3.01,0.3085)); #516012=CARTESIAN_POINT('Origin',(3.04269098028187,-1.58,0.3085)); #516013=CARTESIAN_POINT('',(3.04269098028187,-3.01,-0.3085)); #516014=CARTESIAN_POINT('',(3.04269098028187,-1.58,-0.3085)); #516015=CARTESIAN_POINT('',(3.04269098028187,-3.01,0.3085)); #516016=CARTESIAN_POINT('',(3.04269098028187,-1.58,0.3085)); #516017=CARTESIAN_POINT('',(3.04269098028187,-3.01,0.3085)); #516018=CARTESIAN_POINT('Origin',(3.29269098028187,-3.01,0.3085)); #516019=CARTESIAN_POINT('',(3.29269098028187,-3.26,-0.3085)); #516020=CARTESIAN_POINT('Origin',(3.29269098028187,-3.01,-0.3085)); #516021=CARTESIAN_POINT('',(3.29269098028187,-3.26,0.3085)); #516022=CARTESIAN_POINT('Origin',(3.29269098028187,-3.01,0.3085)); #516023=CARTESIAN_POINT('',(3.29269098028187,-3.26,0.3085)); #516024=CARTESIAN_POINT('Origin',(3.29269098028187,-3.57,0.3085)); #516025=CARTESIAN_POINT('',(3.29269098028187,-3.57,-0.3085)); #516026=CARTESIAN_POINT('',(3.29269098028187,-3.57,-0.3085)); #516027=CARTESIAN_POINT('',(3.29269098028187,-3.57,0.3085)); #516028=CARTESIAN_POINT('',(3.29269098028187,-3.57,0.3085)); #516029=CARTESIAN_POINT('',(3.29269098028187,-3.57,0.3085)); #516030=CARTESIAN_POINT('Origin',(3.04269098028187,-4.42,0.3085)); #516031=CARTESIAN_POINT('',(3.07224883752928,-4.31950328535882,0.3085)); #516032=CARTESIAN_POINT('',(3.04269098028187,-4.42,0.3085)); #516033=CARTESIAN_POINT('',(3.07224883752928,-4.31950328535882,-0.3085)); #516034=CARTESIAN_POINT('',(3.07224883752928,-4.31950328535882,0.3085)); #516035=CARTESIAN_POINT('',(3.04269098028187,-4.42,-0.3085)); #516036=CARTESIAN_POINT('Origin',(3.04269098028187,-4.42,0.3085)); #516037=CARTESIAN_POINT('',(-2.9379376673093,-4.42,-0.2085)); #516038=CARTESIAN_POINT('',(2.9379376673093,-4.42,-0.2085)); #516039=CARTESIAN_POINT('',(3.04269098028187,-4.42,-0.2085)); #516040=CARTESIAN_POINT('',(2.9379376673093,-4.42,0.2085)); #516041=CARTESIAN_POINT('',(2.9379376673093,-4.42,0.3085)); #516042=CARTESIAN_POINT('',(-2.9379376673093,-4.42,0.2085)); #516043=CARTESIAN_POINT('',(3.04269098028187,-4.42,0.2085)); #516044=CARTESIAN_POINT('',(-2.9379376673093,-4.42,0.3085)); #516045=CARTESIAN_POINT('Origin',(-3.04269098028187,-4.42,0.3085)); #516046=CARTESIAN_POINT('',(-3.07224883752928,-4.31950328535881,-0.3085)); #516047=CARTESIAN_POINT('',(-3.29269098028184,-3.56999999999999,-0.3085)); #516048=CARTESIAN_POINT('',(-3.04269098028187,-4.42,-0.3085)); #516049=CARTESIAN_POINT('',(-3.07224883752928,-4.31950328535881,0.3085)); #516050=CARTESIAN_POINT('',(-3.07224883752928,-4.31950328535881,0.3085)); #516051=CARTESIAN_POINT('',(-3.29269098028184,-3.56999999999999,0.3085)); #516052=CARTESIAN_POINT('',(-3.04269098028187,-4.42,0.3085)); #516053=CARTESIAN_POINT('',(-3.29269098028184,-3.56999999999999,0.3085)); #516054=CARTESIAN_POINT('Origin',(-3.29269098028184,-3.56999999999999,0.3085)); #516055=CARTESIAN_POINT('',(-3.29269098028186,-3.26,-0.3085)); #516056=CARTESIAN_POINT('',(-3.29269098028184,-3.56999999999999,-0.3085)); #516057=CARTESIAN_POINT('',(-3.29269098028186,-3.26,0.3085)); #516058=CARTESIAN_POINT('',(-3.29269098028184,-3.56999999999999,0.3085)); #516059=CARTESIAN_POINT('',(-3.29269098028186,-3.26,0.3085)); #516060=CARTESIAN_POINT('Origin',(-3.29269098028186,-3.01,0.3085)); #516061=CARTESIAN_POINT('Origin',(-3.29269098028186,-3.01,-0.3085)); #516062=CARTESIAN_POINT('Origin',(-3.29269098028186,-3.01,0.3085)); #516063=CARTESIAN_POINT('Origin',(3.29269098028187,-3.01,0.3085)); #516064=CARTESIAN_POINT('',(-3.07210222674766,-4.32000004150885,0.308500018387281)); #516065=CARTESIAN_POINT('',(-3.04269098028187,-4.42,0.3085)); #516066=CARTESIAN_POINT('',(3.07210222674766,-4.32000004150885,0.308500018387281)); #516067=CARTESIAN_POINT('',(3.29269098028187,-4.32,0.3085)); #516068=CARTESIAN_POINT('',(3.04269098028187,-4.42,0.3085)); #516069=CARTESIAN_POINT('Origin',(3.29269098028187,-3.01,-0.3085)); #516070=CARTESIAN_POINT('',(3.07210222674766,-4.32000004150885,-0.308500018387281)); #516071=CARTESIAN_POINT('',(3.04269098028187,-4.42,-0.3085)); #516072=CARTESIAN_POINT('',(-3.07210222674766,-4.32000004150885,-0.308500018387281)); #516073=CARTESIAN_POINT('',(-3.04269098028187,-4.32,-0.3085)); #516074=CARTESIAN_POINT('',(-3.04269098028187,-4.42,-0.3085)); #516075=CARTESIAN_POINT('Origin',(-2.895,-1.58,-0.15)); #516076=CARTESIAN_POINT('',(-3.345,-1.35,-0.15)); #516077=CARTESIAN_POINT('',(-2.895,-1.58,-0.37)); #516078=CARTESIAN_POINT('Origin',(-2.895,-1.58,-0.37)); #516079=CARTESIAN_POINT('',(2.895,-1.58,-0.37)); #516080=CARTESIAN_POINT('Origin',(-3.115,-1.58,-0.15)); #516081=CARTESIAN_POINT('',(-3.345,-1.35,0.15)); #516082=CARTESIAN_POINT('Origin',(2.895,-1.58,-0.15)); #516083=CARTESIAN_POINT('',(3.115,-1.58,-0.15)); #516084=CARTESIAN_POINT('Origin',(-2.895,-1.58,0.15)); #516085=CARTESIAN_POINT('',(-2.895,-1.35,0.600000000000001)); #516086=CARTESIAN_POINT('Origin',(3.115,-1.58,-0.15)); #516087=CARTESIAN_POINT('',(3.115,-1.58,0.15)); #516088=CARTESIAN_POINT('Origin',(-2.895,-1.58,0.37)); #516089=CARTESIAN_POINT('',(2.895,-1.35,0.599999999999999)); #516090=CARTESIAN_POINT('Origin',(2.895,-1.58,0.15)); #516091=CARTESIAN_POINT('Origin',(-3.19,0.,-0.3)); #516092=CARTESIAN_POINT('',(-4.15,0.15,-0.3)); #516093=CARTESIAN_POINT('Origin',(-4.,0.,-0.3)); #516094=CARTESIAN_POINT('',(-4.15,0.15,0.3)); #516095=CARTESIAN_POINT('Origin',(3.19,0.,-0.299999999999999)); #516096=CARTESIAN_POINT('',(4.,0.,-0.299999999999999)); #516097=CARTESIAN_POINT('Origin',(-3.19,0.,0.3)); #516098=CARTESIAN_POINT('Origin',(4.,0.,-0.3)); #516099=CARTESIAN_POINT('',(4.,0.,0.299999999999999)); #516100=CARTESIAN_POINT('Origin',(3.19,0.,0.299999999999999)); #516101=CARTESIAN_POINT('Origin',(3.29269098028187,-4.32,-0.3085)); #516102=CARTESIAN_POINT('Origin',(2.9379376673093,-4.28,-0.3485)); #516103=CARTESIAN_POINT('Origin',(-2.9379376673093,-4.28,-0.3485)); #516104=CARTESIAN_POINT('Origin',(3.04269098028187,-4.42,0.2085)); #516105=CARTESIAN_POINT('Origin',(2.9379376673093,-4.28,0.3485)); #516106=CARTESIAN_POINT('Origin',(-2.9379376673093,-4.28,0.3485)); #516107=CARTESIAN_POINT('Origin',(-2.9379376673093,-4.28,0.3085)); #516108=CARTESIAN_POINT('Origin',(2.9379376673093,-4.28,0.3085)); #516109=CARTESIAN_POINT('Origin',(2.65,0.55,0.6)); #516110=CARTESIAN_POINT('',(2.65,0.55,0.6)); #516111=CARTESIAN_POINT('Origin',(1.35,0.55,1.26)); #516112=CARTESIAN_POINT('',(1.35,0.55,1.26)); #516113=CARTESIAN_POINT('Origin',(0.,0.55,0.)); #516114=CARTESIAN_POINT('Origin',(-2.65,0.55,0.6)); #516115=CARTESIAN_POINT('',(-2.65,0.55,0.6)); #516116=CARTESIAN_POINT('Origin',(-1.35,0.55,1.26)); #516117=CARTESIAN_POINT('',(-1.35,0.55,1.26)); #516118=CARTESIAN_POINT('Origin',(0.,0.55,0.)); #516119=CARTESIAN_POINT('Origin',(2.65,0.,-0.6)); #516120=CARTESIAN_POINT('',(2.65,1.08533538461547,-0.6)); #516121=CARTESIAN_POINT('Origin',(2.65,1.08533538461547,-0.6)); #516122=CARTESIAN_POINT('',(2.35,1.08533538461547,-1.63)); #516123=CARTESIAN_POINT('',(2.35,1.08533538461547,-1.63)); #516124=CARTESIAN_POINT('',(3.6,1.08533538461547,-1.63)); #516125=CARTESIAN_POINT('',(3.6,1.08533538461547,-1.63)); #516126=CARTESIAN_POINT('',(3.6,1.08533538461547,-1.63)); #516127=CARTESIAN_POINT('',(2.25,1.08533538461547,-0.6)); #516128=CARTESIAN_POINT('Origin',(2.25,1.08533538461547,-0.6)); #516129=CARTESIAN_POINT('',(1.35,0.45,-0.6)); #516130=CARTESIAN_POINT('Origin',(1.35,0.45,-0.6)); #516131=CARTESIAN_POINT('Origin',(-0.43,1.47,-0.6)); #516132=CARTESIAN_POINT('',(-0.43,1.47,-0.6)); #516133=CARTESIAN_POINT('',(-0.43,1.47,-0.6)); #516134=CARTESIAN_POINT('',(-1.97,1.47,-1.63)); #516135=CARTESIAN_POINT('',(-1.97,1.47,-1.63)); #516136=CARTESIAN_POINT('',(1.97,1.47,-1.63)); #516137=CARTESIAN_POINT('',(1.97,1.47,-1.63)); #516138=CARTESIAN_POINT('',(1.97,1.47,-1.63)); #516139=CARTESIAN_POINT('',(0.43,1.47,-0.6)); #516140=CARTESIAN_POINT('',(0.0132276384287744,1.47,-0.170203502129673)); #516141=CARTESIAN_POINT('',(-0.43,1.47,-0.6)); #516142=CARTESIAN_POINT('Origin',(0.43,0.869999999999999,-0.6)); #516143=CARTESIAN_POINT('',(0.43,0.869999999999999,-0.6)); #516144=CARTESIAN_POINT('',(0.953636363636364,0.869999999999999,-1.14)); #516145=CARTESIAN_POINT('',(0.43,0.869999999999999,-0.6)); #516146=CARTESIAN_POINT('',(-0.953636363636364,0.869999999999999,-1.14)); #516147=CARTESIAN_POINT('',(-1.07,0.869999999999999,-1.14)); #516148=CARTESIAN_POINT('',(-0.43,0.869999999999999,-0.6)); #516149=CARTESIAN_POINT('',(-0.0132276384287736,0.869999999999999,-0.170203502129673)); #516150=CARTESIAN_POINT('',(0.43,0.869999999999999,-0.6)); #516151=CARTESIAN_POINT('Origin',(6.11775520524736E-17,0.,-0.6)); #516152=CARTESIAN_POINT('',(-0.43,0.869999999999999,-0.6)); #516153=CARTESIAN_POINT('',(0.43,1.47,-0.6)); #516154=CARTESIAN_POINT('Origin',(-2.65,0.,-0.6)); #516155=CARTESIAN_POINT('',(-2.65,1.08533538461547,-0.6)); #516156=CARTESIAN_POINT('Origin',(-2.65,1.08533538461547,-0.6)); #516157=CARTESIAN_POINT('',(-3.6,1.08533538461547,-1.63)); #516158=CARTESIAN_POINT('',(-3.6,1.08533538461547,-1.63)); #516159=CARTESIAN_POINT('',(-2.35,1.08533538461547,-1.63)); #516160=CARTESIAN_POINT('',(-2.35,1.08533538461547,-1.63)); #516161=CARTESIAN_POINT('',(-2.35,1.08533538461547,-1.63)); #516162=CARTESIAN_POINT('',(-2.25,1.08533538461547,-0.6)); #516163=CARTESIAN_POINT('Origin',(-2.25,1.08533538461547,-0.6)); #516164=CARTESIAN_POINT('',(-1.35,0.45,-0.6)); #516165=CARTESIAN_POINT('Origin',(-1.35,0.45,-0.6)); #516166=CARTESIAN_POINT('Origin',(0.43,1.47,-0.6)); #516167=CARTESIAN_POINT('',(0.786177389131793,1.04269206745784,-0.967307932542162)); #516168=CARTESIAN_POINT('Origin',(-0.43,0.869999999999999,-0.6)); #516169=CARTESIAN_POINT('',(-0.511149281698939,1.32631480324797,-0.68368519675203)); #516170=CARTESIAN_POINT('Origin',(0.43,0.869999999999999,-1.14)); #516171=CARTESIAN_POINT('Origin',(-1.97,1.47,-1.63)); #516172=CARTESIAN_POINT('',(-1.97,1.47,-1.63)); #516173=CARTESIAN_POINT('Origin',(-3.6,1.08533538461547,-1.63)); #516174=CARTESIAN_POINT('',(-3.6,1.88533538461547,-1.63)); #516175=CARTESIAN_POINT('',(-3.6,1.88533538461547,-1.63)); #516176=CARTESIAN_POINT('',(-3.6,1.08533538461547,-1.63)); #516177=CARTESIAN_POINT('Origin',(-3.6,1.88533538461548,-1.63)); #516178=CARTESIAN_POINT('',(-3.6,1.88533538461547,-1.16)); #516179=CARTESIAN_POINT('',(-3.6,1.88533538461547,-1.16)); #516180=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.16)); #516181=CARTESIAN_POINT('',(-3.6,1.88533538461547,-1.16)); #516182=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.16)); #516183=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.63)); #516184=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.63)); #516185=CARTESIAN_POINT('',(-3.6,1.88533538461548,-1.63)); #516186=CARTESIAN_POINT('Origin',(3.6,1.88533538461547,-1.63)); #516187=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.63)); #516188=CARTESIAN_POINT('Origin',(1.97,1.47,-1.63)); #516189=CARTESIAN_POINT('',(1.97,1.47,-1.63)); #516190=CARTESIAN_POINT('Origin',(1.6619901640922E-16,0.,-1.63)); #516191=CARTESIAN_POINT('',(2.64,1.53533538461547,-1.63)); #516192=CARTESIAN_POINT('Origin',(2.89,1.53533538461547,-1.63)); #516193=CARTESIAN_POINT('',(-3.14,1.53533538461547,-1.63)); #516194=CARTESIAN_POINT('Origin',(-2.89,1.53533538461547,-1.63)); #516195=CARTESIAN_POINT('Origin',(-2.89,1.53533538461547,-2.28)); #516196=CARTESIAN_POINT('',(-3.14,1.53533538461547,-2.18)); #516197=CARTESIAN_POINT('',(-3.14,1.53533538461547,-2.28)); #516198=CARTESIAN_POINT('Origin',(-2.89,1.53533538461547,-2.18)); #516199=CARTESIAN_POINT('Origin',(-2.89,1.53533538461547,-2.28)); #516200=CARTESIAN_POINT('',(-3.04,1.53533538461547,-2.28)); #516201=CARTESIAN_POINT('Origin',(-2.89,1.53533538461547,-2.28)); #516202=CARTESIAN_POINT('Origin',(2.89,1.53533538461547,-2.28)); #516203=CARTESIAN_POINT('',(2.64,1.53533538461547,-2.18)); #516204=CARTESIAN_POINT('',(2.64,1.53533538461547,-2.28)); #516205=CARTESIAN_POINT('Origin',(2.89,1.53533538461547,-2.18)); #516206=CARTESIAN_POINT('Origin',(2.89,1.53533538461547,-2.28)); #516207=CARTESIAN_POINT('',(2.74,1.53533538461547,-2.28)); #516208=CARTESIAN_POINT('Origin',(2.89,1.53533538461547,-2.28)); #516209=CARTESIAN_POINT('Origin',(2.89,1.53533538461547,-2.28)); #516210=CARTESIAN_POINT('',(2.74,1.53533538461547,-2.28)); #516211=CARTESIAN_POINT('Origin',(-2.89,1.53533538461547,-2.18)); #516212=CARTESIAN_POINT('',(-3.14,1.53533538461547,-2.18)); #516213=CARTESIAN_POINT('Origin',(-4.15,2.22,0.3)); #516214=CARTESIAN_POINT('Origin',(3.19,2.22,1.26)); #516215=CARTESIAN_POINT('',(-3.1,2.47,1.01)); #516216=CARTESIAN_POINT('',(-3.1,2.22,1.26)); #516217=CARTESIAN_POINT('',(-3.1,2.47,1.01)); #516218=CARTESIAN_POINT('Origin',(3.19,2.22,0.299999999999999)); #516219=CARTESIAN_POINT('',(3.6,2.355,1.01590851370828)); #516220=CARTESIAN_POINT('Ctrl Pts',(3.6,2.35500000000019,1.01590851370843)); #516221=CARTESIAN_POINT('Ctrl Pts',(3.6,2.37685164369348,0.990727075435561)); #516222=CARTESIAN_POINT('Ctrl Pts',(3.6,2.39853659842912,0.965412771468694)); #516223=CARTESIAN_POINT('Ctrl Pts',(3.6,2.42,0.939921870231046)); #516224=CARTESIAN_POINT('',(3.32213604519991,2.355,1.11434947384948)); #516225=CARTESIAN_POINT('Origin',(3.19,2.355,0.299999999999999)); #516226=CARTESIAN_POINT('',(3.32213604519991,2.47,0.997595918536604)); #516227=CARTESIAN_POINT('Ctrl Pts',(3.32213604519991,2.47,0.997595918536604)); #516228=CARTESIAN_POINT('Ctrl Pts',(3.32213604519991,2.43171513226147,1.03656153655711)); #516229=CARTESIAN_POINT('Ctrl Pts',(3.32213604519991,2.39337494808504,1.07547263634076)); #516230=CARTESIAN_POINT('Ctrl Pts',(3.32213604519991,2.355,1.11434947384948)); #516231=CARTESIAN_POINT('Origin',(3.19,2.47,0.299999999999999)); #516232=CARTESIAN_POINT('',(3.95,2.42,0.299999999999999)); #516233=CARTESIAN_POINT('Origin',(4.15,2.22,-0.299999999999999)); #516234=CARTESIAN_POINT('Origin',(-3.6,2.08533538461547,-1.16)); #516235=CARTESIAN_POINT('',(-3.6,2.08533538461547,-1.16)); #516236=CARTESIAN_POINT('Origin',(3.6,1.88533538461547,-1.16)); #516237=CARTESIAN_POINT('',(3.6,1.88533538461547,-1.16)); #516238=CARTESIAN_POINT('Origin',(9.05193895539827E-17,0.,-1.16)); #516239=CARTESIAN_POINT('Origin',(2.9,2.08533538461547,-1.41)); #516240=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #516241=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #516242=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #516243=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #516244=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #516245=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #516246=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #516247=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #516248=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #516249=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #516250=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #516251=CARTESIAN_POINT('',(3.6,2.12733538461547,-1.41)); #516252=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #516253=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #516254=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #516255=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #516256=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #516257=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #516258=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #516259=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #516260=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #516261=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #516262=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #516263=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #516264=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #516265=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #516266=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #516267=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #516268=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #516269=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #516270=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #516271=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #516272=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #516273=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #516274=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #516275=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #516276=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #516277=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #516278=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #516279=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #516280=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #516281=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #516282=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #516283=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #516284=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #516285=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #516286=CARTESIAN_POINT('',(3.6,2.17733538461547,-1.46)); #516287=CARTESIAN_POINT('Origin',(3.6,2.17733538461547,-1.46)); #516288=CARTESIAN_POINT('Origin',(-0.17,2.355,0.37)); #516289=CARTESIAN_POINT('',(-0.17,2.355,0.369999999999999)); #516290=CARTESIAN_POINT('',(-0.17,2.37,0.37)); #516291=CARTESIAN_POINT('',(-0.17,2.355,0.37)); #516292=CARTESIAN_POINT('',(-0.17,2.47,0.27)); #516293=CARTESIAN_POINT('',(-0.17,2.37,0.369999999999999)); #516294=CARTESIAN_POINT('',(-0.17,2.47,0.369999999999999)); #516295=CARTESIAN_POINT('',(-0.17,2.355,-0.869999999999998)); #516296=CARTESIAN_POINT('',(-0.17,2.355,-0.869999999999998)); #516297=CARTESIAN_POINT('',(-0.17,2.355,0.37)); #516298=CARTESIAN_POINT('Origin',(-0.17,2.355,-0.869999999999998)); #516299=CARTESIAN_POINT('',(-3.1,2.355,-0.869999999999998)); #516300=CARTESIAN_POINT('',(-3.1,2.355,-0.869999999999998)); #516301=CARTESIAN_POINT('',(-0.17,2.355,-0.869999999999998)); #516302=CARTESIAN_POINT('Origin',(-3.1,2.355,-0.869999999999998)); #516303=CARTESIAN_POINT('',(-3.1,2.47,-1.04)); #516304=CARTESIAN_POINT('',(-3.1,1.855,-0.0400000000000008)); #516305=CARTESIAN_POINT('',(-3.1,1.855,1.26)); #516306=CARTESIAN_POINT('',(-3.1,2.355,-0.0400000000000008)); #516307=CARTESIAN_POINT('',(-3.1,1.855,-0.0400000000000008)); #516308=CARTESIAN_POINT('',(-3.1,2.355,-0.869999999999998)); #516309=CARTESIAN_POINT('Origin',(3.1,2.355,1.26)); #516310=CARTESIAN_POINT('',(3.1,2.47,1.06)); #516311=CARTESIAN_POINT('',(3.1,2.355,-0.869999999999998)); #516312=CARTESIAN_POINT('',(3.1,1.855,-0.0400000000000008)); #516313=CARTESIAN_POINT('',(3.1,1.855,-0.0400000000000008)); #516314=CARTESIAN_POINT('',(3.1,1.855,1.26)); #516315=CARTESIAN_POINT('Origin',(3.1,2.355,-0.869999999999998)); #516316=CARTESIAN_POINT('',(0.17,2.355,-0.869999999999998)); #516317=CARTESIAN_POINT('Origin',(0.17,2.355,-0.869999999999998)); #516318=CARTESIAN_POINT('',(0.17,2.47,0.27)); #516319=CARTESIAN_POINT('',(0.17,2.47,-1.04)); #516320=CARTESIAN_POINT('',(0.17,2.37,0.37)); #516321=CARTESIAN_POINT('',(0.17,2.37,0.369999999999999)); #516322=CARTESIAN_POINT('',(0.17,2.355,0.37)); #516323=CARTESIAN_POINT('Origin',(0.17,2.355,0.369999999999999)); #516324=CARTESIAN_POINT('',(0.17,2.37,0.369999999999999)); #516325=CARTESIAN_POINT('',(-0.379348936836007,2.355,0.369999999999999)); #516326=CARTESIAN_POINT('',(0.379348936836007,2.355,0.369999999999999)); #516327=CARTESIAN_POINT('',(-0.379348936836007,1.855,0.369999999999999)); #516328=CARTESIAN_POINT('',(-0.379348936836007,1.855,0.369999999999999)); #516329=CARTESIAN_POINT('',(0.379348936836007,1.855,0.369999999999999)); #516330=CARTESIAN_POINT('',(0.379348936836007,1.855,0.369999999999999)); #516331=CARTESIAN_POINT('',(0.379348936836007,1.855,0.369999999999999)); #516332=CARTESIAN_POINT('Origin',(0.,2.355,0.)); #516333=CARTESIAN_POINT('',(-2.72229406209047,2.355,-0.0400000000000008)); #516334=CARTESIAN_POINT('',(-3.1,2.355,-0.0400000000000008)); #516335=CARTESIAN_POINT('',(-2.65,2.355,0.369999999999999)); #516336=CARTESIAN_POINT('',(-2.72229406209047,2.355,-0.0400000000000008)); #516337=CARTESIAN_POINT('',(-2.41,2.355,0.369999999999999)); #516338=CARTESIAN_POINT('',(-2.65,2.355,0.369999999999999)); #516339=CARTESIAN_POINT('',(-2.1395415582701,2.355,-0.21)); #516340=CARTESIAN_POINT('',(-2.41,2.355,0.369999999999999)); #516341=CARTESIAN_POINT('',(-2.1395415582701,2.355,-0.358466407912088)); #516342=CARTESIAN_POINT('',(-2.1395415582701,2.355,-0.21)); #516343=CARTESIAN_POINT('',(-2.04754028675967,2.355,-0.508466407912088)); #516344=CARTESIAN_POINT('',(-2.1395415582701,2.355,-0.358466407912088)); #516345=CARTESIAN_POINT('',(-1.98427092032134,2.355,-0.508466407912088)); #516346=CARTESIAN_POINT('',(-2.04754028675967,2.355,-0.508466407912088)); #516347=CARTESIAN_POINT('',(-1.89226964881091,2.355,-0.358466407912088)); #516348=CARTESIAN_POINT('',(-1.98427092032134,2.355,-0.508466407912088)); #516349=CARTESIAN_POINT('',(-1.89226964881091,2.355,-0.21)); #516350=CARTESIAN_POINT('',(-1.89226964881091,2.355,-0.358466407912088)); #516351=CARTESIAN_POINT('',(-1.47226964881091,2.355,-0.21)); #516352=CARTESIAN_POINT('',(-1.89226964881091,2.355,-0.21)); #516353=CARTESIAN_POINT('',(-1.37,2.355,0.369999999999999)); #516354=CARTESIAN_POINT('',(-1.47226964881091,2.355,-0.21)); #516355=CARTESIAN_POINT('',(-1.13,2.355,0.369999999999999)); #516356=CARTESIAN_POINT('',(-1.37,2.355,0.369999999999999)); #516357=CARTESIAN_POINT('',(-1.05946920771661,2.355,-0.0300000000000006)); #516358=CARTESIAN_POINT('',(-1.13,2.355,0.369999999999999)); #516359=CARTESIAN_POINT('',(-0.449879729119392,2.355,-0.0300000000000006)); #516360=CARTESIAN_POINT('',(-1.05946920771661,2.355,-0.0300000000000006)); #516361=CARTESIAN_POINT('',(-0.449879729119392,2.355,-0.0300000000000006)); #516362=CARTESIAN_POINT('Origin',(-3.6,2.355,1.25086280059687)); #516363=CARTESIAN_POINT('',(-3.6,2.355,-0.869999999999999)); #516364=CARTESIAN_POINT('',(-3.6,2.355,1.25086280059687)); #516365=CARTESIAN_POINT('',(-3.6,2.355,-0.869999999999999)); #516366=CARTESIAN_POINT('Origin',(-3.6,2.355,-0.869999999999999)); #516367=CARTESIAN_POINT('',(-3.32213604519992,2.355,-0.869999999999999)); #516368=CARTESIAN_POINT('',(-3.6,2.355,-0.869999999999999)); #516369=CARTESIAN_POINT('',(-3.32213604519992,2.355,-0.869999999999999)); #516370=CARTESIAN_POINT('Origin',(-3.32213604519992,2.355,-0.869999999999999)); #516371=CARTESIAN_POINT('',(-3.32213604519992,2.47,-1.04)); #516372=CARTESIAN_POINT('',(-3.32213604519992,2.355,-0.869999999999999)); #516373=CARTESIAN_POINT('Origin',(0.,2.355,0.)); #516374=CARTESIAN_POINT('Origin',(3.6,2.355,1.25086280059687)); #516375=CARTESIAN_POINT('',(3.6,2.355,-0.869999999999998)); #516376=CARTESIAN_POINT('',(3.6,2.355,-0.869999999999998)); #516377=CARTESIAN_POINT('',(3.6,2.355,1.25086280059687)); #516378=CARTESIAN_POINT('Origin',(3.6,2.355,-0.869999999999998)); #516379=CARTESIAN_POINT('',(3.32213604519991,2.355,-0.869999999999998)); #516380=CARTESIAN_POINT('',(3.32213604519991,2.355,-0.869999999999998)); #516381=CARTESIAN_POINT('',(3.6,2.355,-0.869999999999998)); #516382=CARTESIAN_POINT('Origin',(3.32213604519991,2.355,-0.869999999999998)); #516383=CARTESIAN_POINT('',(3.32213604519991,2.47,-1.04)); #516384=CARTESIAN_POINT('',(3.32213604519991,2.355,-0.869999999999998)); #516385=CARTESIAN_POINT('Origin',(0.,2.355,0.)); #516386=CARTESIAN_POINT('Origin',(3.1,1.855,-0.0400000000000008)); #516387=CARTESIAN_POINT('',(2.72229406209047,1.855,-0.0400000000000008)); #516388=CARTESIAN_POINT('',(2.72229406209047,1.855,-0.0400000000000008)); #516389=CARTESIAN_POINT('',(3.1,1.855,-0.0400000000000008)); #516390=CARTESIAN_POINT('Origin',(2.72229406209047,1.855,-0.0400000000000008)); #516391=CARTESIAN_POINT('',(2.65,1.855,0.369999999999999)); #516392=CARTESIAN_POINT('',(2.65,1.855,0.369999999999999)); #516393=CARTESIAN_POINT('',(2.72229406209047,1.855,-0.0400000000000008)); #516394=CARTESIAN_POINT('Origin',(2.65,1.855,0.369999999999999)); #516395=CARTESIAN_POINT('',(2.41,1.855,0.369999999999999)); #516396=CARTESIAN_POINT('',(2.41,1.855,0.369999999999999)); #516397=CARTESIAN_POINT('',(2.65,1.855,0.369999999999999)); #516398=CARTESIAN_POINT('Origin',(2.41,1.855,0.369999999999999)); #516399=CARTESIAN_POINT('',(2.1395415582701,1.855,-0.21)); #516400=CARTESIAN_POINT('',(2.1395415582701,1.855,-0.21)); #516401=CARTESIAN_POINT('',(2.41,1.855,0.369999999999999)); #516402=CARTESIAN_POINT('Origin',(2.1395415582701,1.855,-0.21)); #516403=CARTESIAN_POINT('',(2.1395415582701,1.855,-0.358466407912088)); #516404=CARTESIAN_POINT('',(2.1395415582701,1.855,-0.358466407912088)); #516405=CARTESIAN_POINT('',(2.1395415582701,1.855,-0.21)); #516406=CARTESIAN_POINT('Origin',(2.1395415582701,1.855,-0.358466407912088)); #516407=CARTESIAN_POINT('',(2.04754028675967,1.855,-0.508466407912088)); #516408=CARTESIAN_POINT('',(2.04754028675967,1.855,-0.508466407912088)); #516409=CARTESIAN_POINT('',(2.1395415582701,1.855,-0.358466407912088)); #516410=CARTESIAN_POINT('Origin',(2.04754028675967,1.855,-0.508466407912088)); #516411=CARTESIAN_POINT('',(1.98427092032134,1.855,-0.508466407912088)); #516412=CARTESIAN_POINT('',(1.98427092032134,1.855,-0.508466407912088)); #516413=CARTESIAN_POINT('',(2.04754028675967,1.855,-0.508466407912088)); #516414=CARTESIAN_POINT('Origin',(1.98427092032134,1.855,-0.508466407912088)); #516415=CARTESIAN_POINT('',(1.89226964881091,1.855,-0.358466407912088)); #516416=CARTESIAN_POINT('',(1.89226964881091,1.855,-0.358466407912088)); #516417=CARTESIAN_POINT('',(1.98427092032134,1.855,-0.508466407912088)); #516418=CARTESIAN_POINT('Origin',(1.89226964881091,1.855,-0.358466407912088)); #516419=CARTESIAN_POINT('',(1.89226964881091,1.855,-0.21)); #516420=CARTESIAN_POINT('',(1.89226964881091,1.855,-0.21)); #516421=CARTESIAN_POINT('',(1.89226964881091,1.855,-0.358466407912088)); #516422=CARTESIAN_POINT('Origin',(1.89226964881091,1.855,-0.21)); #516423=CARTESIAN_POINT('',(1.47226964881091,1.855,-0.21)); #516424=CARTESIAN_POINT('',(1.47226964881091,1.855,-0.21)); #516425=CARTESIAN_POINT('',(1.89226964881091,1.855,-0.21)); #516426=CARTESIAN_POINT('Origin',(1.47226964881091,1.855,-0.21)); #516427=CARTESIAN_POINT('',(1.37,1.855,0.369999999999999)); #516428=CARTESIAN_POINT('',(1.37,1.855,0.369999999999999)); #516429=CARTESIAN_POINT('',(1.47226964881091,1.855,-0.21)); #516430=CARTESIAN_POINT('Origin',(1.37,1.855,0.369999999999999)); #516431=CARTESIAN_POINT('',(1.13,1.855,0.369999999999999)); #516432=CARTESIAN_POINT('',(1.13,1.855,0.369999999999999)); #516433=CARTESIAN_POINT('',(1.37,1.855,0.369999999999999)); #516434=CARTESIAN_POINT('Origin',(1.13,1.855,0.369999999999999)); #516435=CARTESIAN_POINT('',(1.05946920771661,1.855,-0.0300000000000006)); #516436=CARTESIAN_POINT('',(1.05946920771661,1.855,-0.0300000000000006)); #516437=CARTESIAN_POINT('',(1.13,1.855,0.369999999999999)); #516438=CARTESIAN_POINT('Origin',(1.05946920771661,1.855,-0.0300000000000006)); #516439=CARTESIAN_POINT('',(0.449879729119392,1.855,-0.0300000000000006)); #516440=CARTESIAN_POINT('',(0.449879729119392,1.855,-0.0300000000000006)); #516441=CARTESIAN_POINT('',(1.05946920771661,1.855,-0.0300000000000006)); #516442=CARTESIAN_POINT('Origin',(0.449879729119392,1.855,-0.0300000000000006)); #516443=CARTESIAN_POINT('',(0.449879729119392,1.855,-0.0300000000000006)); #516444=CARTESIAN_POINT('Origin',(-0.449879729119392,1.855,-0.0300000000000006)); #516445=CARTESIAN_POINT('',(-0.449879729119392,1.855,-0.0300000000000006)); #516446=CARTESIAN_POINT('',(-0.449879729119392,1.855,-0.0300000000000006)); #516447=CARTESIAN_POINT('',(-0.449879729119392,1.855,-0.0300000000000006)); #516448=CARTESIAN_POINT('Origin',(-1.05946920771661,1.855,-0.0300000000000006)); #516449=CARTESIAN_POINT('',(-1.05946920771661,1.855,-0.0300000000000006)); #516450=CARTESIAN_POINT('',(-1.05946920771661,1.855,-0.0300000000000006)); #516451=CARTESIAN_POINT('',(-1.05946920771661,1.855,-0.0300000000000006)); #516452=CARTESIAN_POINT('Origin',(-1.13,1.855,0.369999999999999)); #516453=CARTESIAN_POINT('',(-1.13,1.855,0.369999999999999)); #516454=CARTESIAN_POINT('',(-1.13,1.855,0.369999999999999)); #516455=CARTESIAN_POINT('',(-1.13,1.855,0.369999999999999)); #516456=CARTESIAN_POINT('Origin',(-1.37,1.855,0.369999999999999)); #516457=CARTESIAN_POINT('',(-1.37,1.855,0.369999999999999)); #516458=CARTESIAN_POINT('',(-1.37,1.855,0.369999999999999)); #516459=CARTESIAN_POINT('',(-1.37,1.855,0.369999999999999)); #516460=CARTESIAN_POINT('Origin',(-1.47226964881091,1.855,-0.21)); #516461=CARTESIAN_POINT('',(-1.47226964881091,1.855,-0.21)); #516462=CARTESIAN_POINT('',(-1.47226964881091,1.855,-0.21)); #516463=CARTESIAN_POINT('',(-1.47226964881091,1.855,-0.21)); #516464=CARTESIAN_POINT('Origin',(-1.89226964881091,1.855,-0.21)); #516465=CARTESIAN_POINT('',(-1.89226964881091,1.855,-0.21)); #516466=CARTESIAN_POINT('',(-1.89226964881091,1.855,-0.21)); #516467=CARTESIAN_POINT('',(-1.89226964881091,1.855,-0.21)); #516468=CARTESIAN_POINT('Origin',(-1.89226964881091,1.855,-0.358466407912088)); #516469=CARTESIAN_POINT('',(-1.89226964881091,1.855,-0.358466407912088)); #516470=CARTESIAN_POINT('',(-1.89226964881091,1.855,-0.358466407912088)); #516471=CARTESIAN_POINT('',(-1.89226964881091,1.855,-0.358466407912088)); #516472=CARTESIAN_POINT('Origin',(-1.98427092032134,1.855,-0.508466407912088)); #516473=CARTESIAN_POINT('',(-1.98427092032134,1.855,-0.508466407912088)); #516474=CARTESIAN_POINT('',(-1.98427092032134,1.855,-0.508466407912088)); #516475=CARTESIAN_POINT('',(-1.98427092032134,1.855,-0.508466407912088)); #516476=CARTESIAN_POINT('Origin',(-2.04754028675967,1.855,-0.508466407912088)); #516477=CARTESIAN_POINT('',(-2.04754028675967,1.855,-0.508466407912088)); #516478=CARTESIAN_POINT('',(-2.04754028675967,1.855,-0.508466407912088)); #516479=CARTESIAN_POINT('',(-2.04754028675967,1.855,-0.508466407912088)); #516480=CARTESIAN_POINT('Origin',(-2.1395415582701,1.855,-0.358466407912088)); #516481=CARTESIAN_POINT('',(-2.1395415582701,1.855,-0.358466407912088)); #516482=CARTESIAN_POINT('',(-2.1395415582701,1.855,-0.358466407912088)); #516483=CARTESIAN_POINT('',(-2.1395415582701,1.855,-0.358466407912088)); #516484=CARTESIAN_POINT('Origin',(-2.1395415582701,1.855,-0.21)); #516485=CARTESIAN_POINT('',(-2.1395415582701,1.855,-0.21)); #516486=CARTESIAN_POINT('',(-2.1395415582701,1.855,-0.21)); #516487=CARTESIAN_POINT('',(-2.1395415582701,1.855,-0.21)); #516488=CARTESIAN_POINT('Origin',(-2.41,1.855,0.369999999999999)); #516489=CARTESIAN_POINT('',(-2.41,1.855,0.369999999999999)); #516490=CARTESIAN_POINT('',(-2.41,1.855,0.369999999999999)); #516491=CARTESIAN_POINT('',(-2.41,1.855,0.369999999999999)); #516492=CARTESIAN_POINT('Origin',(-2.65,1.855,0.369999999999999)); #516493=CARTESIAN_POINT('',(-2.65,1.855,0.369999999999999)); #516494=CARTESIAN_POINT('',(-2.65,1.855,0.369999999999999)); #516495=CARTESIAN_POINT('',(-2.65,1.855,0.369999999999999)); #516496=CARTESIAN_POINT('Origin',(-2.72229406209047,1.855,-0.0400000000000008)); #516497=CARTESIAN_POINT('',(-2.72229406209047,1.855,-0.0400000000000008)); #516498=CARTESIAN_POINT('',(-2.72229406209047,1.855,-0.0400000000000008)); #516499=CARTESIAN_POINT('',(-2.72229406209047,1.855,-0.0400000000000008)); #516500=CARTESIAN_POINT('Origin',(-3.1,1.855,-0.0400000000000008)); #516501=CARTESIAN_POINT('',(-3.1,1.855,-0.0400000000000008)); #516502=CARTESIAN_POINT('Origin',(0.,1.855,0.)); #516503=CARTESIAN_POINT('Origin',(-3.345,-0.38,-10.8234987569897)); #516504=CARTESIAN_POINT('',(-3.145,-0.38,-10.8234987569897)); #516505=CARTESIAN_POINT('Origin',(-3.145,-0.38,-10.8234987569897)); #516506=CARTESIAN_POINT('',(-3.145,-1.12,-10.8234987569897)); #516507=CARTESIAN_POINT('Origin',(-3.145,-1.12,-10.8234987569897)); #516508=CARTESIAN_POINT('Origin',(3.345,-0.38,-10.8234987569897)); #516509=CARTESIAN_POINT('',(3.145,-0.38,-10.8234987569897)); #516510=CARTESIAN_POINT('Origin',(3.145,-1.12,-10.8234987569897)); #516511=CARTESIAN_POINT('',(3.145,-1.12,-10.8234987569897)); #516512=CARTESIAN_POINT('Origin',(3.145,-0.38,-10.8234987569897)); #516513=CARTESIAN_POINT('Origin',(-3.19,2.47,0.3)); #516514=CARTESIAN_POINT('Origin',(0.,2.47,0.)); #516515=CARTESIAN_POINT('',(4.30492039075126E-17,2.47,0.27)); #516516=CARTESIAN_POINT('Origin',(3.19,2.47,0.299999999999999)); #516517=CARTESIAN_POINT('Origin',(0.17,2.37,0.369999999999999)); #516518=CARTESIAN_POINT('',(0.,0.,0.)); #516519=CARTESIAN_POINT('Origin',(0.41,-1.5,-0.12)); #516520=CARTESIAN_POINT('',(0.41,-1.5,0.)); #516521=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #516522=CARTESIAN_POINT('',(0.41,-1.5,0.)); #516523=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #516524=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #516525=CARTESIAN_POINT('',(0.41,-1.5,-0.12)); #516526=CARTESIAN_POINT('',(0.41,-1.5,-0.12)); #516527=CARTESIAN_POINT('',(0.41,-1.5,-0.12)); #516528=CARTESIAN_POINT('Origin',(-0.120000000000002,-0.5,-0.12)); #516529=CARTESIAN_POINT('',(-0.12,-1.41361293861773E-16,0.)); #516530=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #516531=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #516532=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #516533=CARTESIAN_POINT('',(-0.12,0.22,-1.826)); #516534=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #516535=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.906)); #516536=CARTESIAN_POINT('Origin',(-0.12,0.3,-1.826)); #516537=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.906)); #516538=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.906)); #516539=CARTESIAN_POINT('',(-0.119999999999998,0.888,-2.026)); #516540=CARTESIAN_POINT('',(-0.119999999999998,0.888,-2.026)); #516541=CARTESIAN_POINT('',(-0.119999999999999,0.3,-2.026)); #516542=CARTESIAN_POINT('',(-0.119999999999999,0.3,-2.026)); #516543=CARTESIAN_POINT('',(-0.12,0.1,-1.826)); #516544=CARTESIAN_POINT('Origin',(-0.119999999999999,0.3,-1.826)); #516545=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #516546=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #516547=CARTESIAN_POINT('',(-0.12,4.33680868994202E-16,-0.12)); #516548=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #516549=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #516550=CARTESIAN_POINT('Origin',(0.12,2.71050543121376E-17,-0.12)); #516551=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,0.)); #516552=CARTESIAN_POINT('',(0.12,-0.5,0.)); #516553=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,0.)); #516554=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #516555=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #516556=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,-0.12)); #516557=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,-0.12)); #516558=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #516559=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #516560=CARTESIAN_POINT('',(0.12,0.1,-1.826)); #516561=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #516562=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #516563=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #516564=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #516565=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #516566=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.906)); #516567=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #516568=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #516569=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #516570=CARTESIAN_POINT('',(0.12,0.22,-1.826)); #516571=CARTESIAN_POINT('Origin',(0.12,0.3,-1.826)); #516572=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #516573=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #516574=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #516575=CARTESIAN_POINT('Origin',(0.12,-0.5,-0.12)); #516576=CARTESIAN_POINT('',(0.76,-1.5,0.)); #516577=CARTESIAN_POINT('',(0.12,-0.5,0.)); #516578=CARTESIAN_POINT('',(0.76,-1.5,-0.12)); #516579=CARTESIAN_POINT('',(0.76,-1.5,-0.12)); #516580=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #516581=CARTESIAN_POINT('Origin',(0.76,-1.5,-0.12)); #516582=CARTESIAN_POINT('',(0.76,-6.03,-0.08)); #516583=CARTESIAN_POINT('',(0.76,-6.03,-0.04)); #516584=CARTESIAN_POINT('',(0.76,-6.03,-0.12)); #516585=CARTESIAN_POINT('',(0.76,-5.99,-0.12)); #516586=CARTESIAN_POINT('',(0.76,-5.99,-0.12)); #516587=CARTESIAN_POINT('',(0.76,-1.5,-0.12)); #516588=CARTESIAN_POINT('',(0.76,-5.99,0.)); #516589=CARTESIAN_POINT('',(0.76,-1.5,0.)); #516590=CARTESIAN_POINT('',(0.76,-6.03,-0.04)); #516591=CARTESIAN_POINT('Origin',(0.76,-6.03,-0.12)); #516592=CARTESIAN_POINT('',(0.41,-6.03,-0.08)); #516593=CARTESIAN_POINT('',(0.41,-6.03,-0.04)); #516594=CARTESIAN_POINT('',(0.41,-6.03,-0.12)); #516595=CARTESIAN_POINT('',(0.76,-6.03,-0.08)); #516596=CARTESIAN_POINT('',(0.76,-6.03,-0.04)); #516597=CARTESIAN_POINT('Origin',(0.41,-6.03,-0.12)); #516598=CARTESIAN_POINT('',(0.41,-5.99,-0.12)); #516599=CARTESIAN_POINT('',(0.41,-6.03,-0.12)); #516600=CARTESIAN_POINT('',(0.41,-5.99,-0.12)); #516601=CARTESIAN_POINT('',(0.41,-5.99,0.)); #516602=CARTESIAN_POINT('',(0.41,-6.03,-0.04)); #516603=CARTESIAN_POINT('',(0.41,-6.03,0.)); #516604=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #516605=CARTESIAN_POINT('',(0.41,-5.99,-0.12)); #516606=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,-0.12)); #516607=CARTESIAN_POINT('Origin',(0.,0.,0.)); #516608=CARTESIAN_POINT('',(0.,-5.99,0.)); #516609=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,0.)); #516610=CARTESIAN_POINT('Origin',(0.120000000000002,0.888,-2.026)); #516611=CARTESIAN_POINT('',(0.120000000000001,0.888,-1.906)); #516612=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #516613=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #516614=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #516615=CARTESIAN_POINT('Origin',(0.12,0.0999999999999999,-0.22)); #516616=CARTESIAN_POINT('',(0.12,0.1,-1.826)); #516617=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #516618=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #516619=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-2.026)); #516620=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #516621=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #516622=CARTESIAN_POINT('Origin',(0.12,0.22,-0.22)); #516623=CARTESIAN_POINT('',(0.120000000000001,0.22,-1.826)); #516624=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #516625=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #516626=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.906)); #516627=CARTESIAN_POINT('Origin',(0.,-5.99,-0.12)); #516628=CARTESIAN_POINT('Origin',(0.76,-6.03,-0.04)); #516629=CARTESIAN_POINT('',(0.,0.,0.)); #516630=CARTESIAN_POINT('Origin',(0.375,-1.5,-0.12)); #516631=CARTESIAN_POINT('',(0.375,-1.5,0.)); #516632=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #516633=CARTESIAN_POINT('',(0.375,-1.5,0.)); #516634=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #516635=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #516636=CARTESIAN_POINT('',(0.375,-1.5,-0.12)); #516637=CARTESIAN_POINT('',(0.375,-1.5,-0.12)); #516638=CARTESIAN_POINT('',(0.375,-1.5,-0.12)); #516639=CARTESIAN_POINT('Origin',(-0.120000000000002,-0.5,-0.12)); #516640=CARTESIAN_POINT('',(-0.12,-1.41361293861773E-16,0.)); #516641=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #516642=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #516643=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #516644=CARTESIAN_POINT('',(-0.12,0.22,-1.248)); #516645=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #516646=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.328)); #516647=CARTESIAN_POINT('Origin',(-0.12,0.3,-1.248)); #516648=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.328)); #516649=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.328)); #516650=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.448)); #516651=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.448)); #516652=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.448)); #516653=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.448)); #516654=CARTESIAN_POINT('',(-0.12,0.1,-1.248)); #516655=CARTESIAN_POINT('Origin',(-0.119999999999999,0.3,-1.248)); #516656=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #516657=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #516658=CARTESIAN_POINT('',(-0.12,4.33680868994202E-16,-0.12)); #516659=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #516660=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #516661=CARTESIAN_POINT('Origin',(0.12,2.71050543121376E-17,-0.12)); #516662=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,0.)); #516663=CARTESIAN_POINT('',(0.12,-0.5,0.)); #516664=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,0.)); #516665=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #516666=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #516667=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,-0.12)); #516668=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,-0.12)); #516669=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #516670=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #516671=CARTESIAN_POINT('',(0.12,0.1,-1.248)); #516672=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #516673=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #516674=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #516675=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #516676=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #516677=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.328)); #516678=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #516679=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #516680=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #516681=CARTESIAN_POINT('',(0.12,0.22,-1.248)); #516682=CARTESIAN_POINT('Origin',(0.12,0.3,-1.248)); #516683=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #516684=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #516685=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #516686=CARTESIAN_POINT('Origin',(0.12,-0.5,-0.12)); #516687=CARTESIAN_POINT('',(0.625,-1.5,0.)); #516688=CARTESIAN_POINT('',(0.12,-0.5,0.)); #516689=CARTESIAN_POINT('',(0.625,-1.5,-0.12)); #516690=CARTESIAN_POINT('',(0.625,-1.5,-0.12)); #516691=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #516692=CARTESIAN_POINT('Origin',(0.625,-1.5,-0.12)); #516693=CARTESIAN_POINT('',(0.625,-5.51,-0.08)); #516694=CARTESIAN_POINT('',(0.625,-5.51,-0.04)); #516695=CARTESIAN_POINT('',(0.625,-5.51,-0.12)); #516696=CARTESIAN_POINT('',(0.625,-5.47,-0.12)); #516697=CARTESIAN_POINT('',(0.625,-5.47,-0.12)); #516698=CARTESIAN_POINT('',(0.625,-1.5,-0.12)); #516699=CARTESIAN_POINT('',(0.625,-5.47,0.)); #516700=CARTESIAN_POINT('',(0.625,-1.5,0.)); #516701=CARTESIAN_POINT('',(0.625,-5.51,-0.04)); #516702=CARTESIAN_POINT('Origin',(0.625,-5.51,-0.12)); #516703=CARTESIAN_POINT('',(0.375,-5.51,-0.08)); #516704=CARTESIAN_POINT('',(0.375,-5.51,-0.04)); #516705=CARTESIAN_POINT('',(0.375,-5.51,-0.12)); #516706=CARTESIAN_POINT('',(0.625,-5.51,-0.08)); #516707=CARTESIAN_POINT('',(0.625,-5.51,-0.04)); #516708=CARTESIAN_POINT('Origin',(0.375,-5.51,-0.12)); #516709=CARTESIAN_POINT('',(0.375,-5.47,-0.12)); #516710=CARTESIAN_POINT('',(0.375,-5.51,-0.12)); #516711=CARTESIAN_POINT('',(0.375,-5.47,-0.12)); #516712=CARTESIAN_POINT('',(0.375,-5.47,0.)); #516713=CARTESIAN_POINT('',(0.375,-5.51,-0.04)); #516714=CARTESIAN_POINT('',(0.375,-5.51,0.)); #516715=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #516716=CARTESIAN_POINT('',(0.375,-5.47,-0.12)); #516717=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,-0.12)); #516718=CARTESIAN_POINT('Origin',(0.,0.,0.)); #516719=CARTESIAN_POINT('',(0.,-5.47,0.)); #516720=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,0.)); #516721=CARTESIAN_POINT('Origin',(0.120000000000002,0.888,-1.448)); #516722=CARTESIAN_POINT('',(0.120000000000001,0.888,-1.328)); #516723=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #516724=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #516725=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #516726=CARTESIAN_POINT('Origin',(0.12,0.0999999999999999,-0.22)); #516727=CARTESIAN_POINT('',(0.12,0.1,-1.248)); #516728=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #516729=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #516730=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.448)); #516731=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #516732=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #516733=CARTESIAN_POINT('Origin',(0.12,0.22,-0.22)); #516734=CARTESIAN_POINT('',(0.120000000000001,0.22,-1.248)); #516735=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #516736=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #516737=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.328)); #516738=CARTESIAN_POINT('Origin',(0.,-5.47,-0.12)); #516739=CARTESIAN_POINT('Origin',(0.625,-5.51,-0.04)); #516740=CARTESIAN_POINT('',(0.,0.,0.)); #516741=CARTESIAN_POINT('Origin',(0.15,-1.5,-0.12)); #516742=CARTESIAN_POINT('',(0.15,-1.5,0.)); #516743=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #516744=CARTESIAN_POINT('',(0.15,-1.5,0.)); #516745=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #516746=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #516747=CARTESIAN_POINT('',(0.15,-1.5,-0.12)); #516748=CARTESIAN_POINT('',(0.15,-1.5,-0.12)); #516749=CARTESIAN_POINT('',(0.15,-1.5,-0.12)); #516750=CARTESIAN_POINT('Origin',(-0.120000000000002,-0.5,-0.12)); #516751=CARTESIAN_POINT('',(-0.12,-1.41361293861773E-16,0.)); #516752=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #516753=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #516754=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #516755=CARTESIAN_POINT('',(-0.12,0.22,-1.248)); #516756=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #516757=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.328)); #516758=CARTESIAN_POINT('Origin',(-0.12,0.3,-1.248)); #516759=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.328)); #516760=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.328)); #516761=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.448)); #516762=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.448)); #516763=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.448)); #516764=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.448)); #516765=CARTESIAN_POINT('',(-0.12,0.1,-1.248)); #516766=CARTESIAN_POINT('Origin',(-0.119999999999999,0.3,-1.248)); #516767=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #516768=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #516769=CARTESIAN_POINT('',(-0.12,4.33680868994202E-16,-0.12)); #516770=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #516771=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #516772=CARTESIAN_POINT('Origin',(0.12,2.71050543121376E-17,-0.12)); #516773=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,0.)); #516774=CARTESIAN_POINT('',(0.12,-0.5,0.)); #516775=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,0.)); #516776=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #516777=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #516778=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,-0.12)); #516779=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,-0.12)); #516780=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #516781=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #516782=CARTESIAN_POINT('',(0.12,0.1,-1.248)); #516783=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #516784=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #516785=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #516786=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #516787=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #516788=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.328)); #516789=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #516790=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #516791=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #516792=CARTESIAN_POINT('',(0.12,0.22,-1.248)); #516793=CARTESIAN_POINT('Origin',(0.12,0.3,-1.248)); #516794=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #516795=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #516796=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #516797=CARTESIAN_POINT('Origin',(0.12,-0.5,-0.12)); #516798=CARTESIAN_POINT('',(0.5,-1.5,0.)); #516799=CARTESIAN_POINT('',(0.12,-0.5,0.)); #516800=CARTESIAN_POINT('',(0.5,-1.5,-0.12)); #516801=CARTESIAN_POINT('',(0.5,-1.5,-0.12)); #516802=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #516803=CARTESIAN_POINT('Origin',(0.5,-1.5,-0.12)); #516804=CARTESIAN_POINT('',(0.5,-6.03,-0.08)); #516805=CARTESIAN_POINT('',(0.5,-6.03,-0.04)); #516806=CARTESIAN_POINT('',(0.5,-6.03,-0.12)); #516807=CARTESIAN_POINT('',(0.5,-5.99,-0.12)); #516808=CARTESIAN_POINT('',(0.5,-5.99,-0.12)); #516809=CARTESIAN_POINT('',(0.5,-1.5,-0.12)); #516810=CARTESIAN_POINT('',(0.5,-5.99,0.)); #516811=CARTESIAN_POINT('',(0.5,-1.5,0.)); #516812=CARTESIAN_POINT('',(0.5,-6.03,-0.04)); #516813=CARTESIAN_POINT('Origin',(0.5,-6.03,-0.12)); #516814=CARTESIAN_POINT('',(0.15,-6.03,-0.08)); #516815=CARTESIAN_POINT('',(0.15,-6.03,-0.04)); #516816=CARTESIAN_POINT('',(0.15,-6.03,-0.12)); #516817=CARTESIAN_POINT('',(0.5,-6.03,-0.08)); #516818=CARTESIAN_POINT('',(0.5,-6.03,-0.04)); #516819=CARTESIAN_POINT('Origin',(0.15,-6.03,-0.12)); #516820=CARTESIAN_POINT('',(0.15,-5.99,-0.12)); #516821=CARTESIAN_POINT('',(0.15,-6.03,-0.12)); #516822=CARTESIAN_POINT('',(0.15,-5.99,-0.12)); #516823=CARTESIAN_POINT('',(0.15,-5.99,0.)); #516824=CARTESIAN_POINT('',(0.15,-6.03,-0.04)); #516825=CARTESIAN_POINT('',(0.15,-6.03,0.)); #516826=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #516827=CARTESIAN_POINT('',(0.15,-5.99,-0.12)); #516828=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,-0.12)); #516829=CARTESIAN_POINT('Origin',(0.,0.,0.)); #516830=CARTESIAN_POINT('',(0.,-5.99,0.)); #516831=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,0.)); #516832=CARTESIAN_POINT('Origin',(0.120000000000002,0.888,-1.448)); #516833=CARTESIAN_POINT('',(0.120000000000001,0.888,-1.328)); #516834=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #516835=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #516836=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #516837=CARTESIAN_POINT('Origin',(0.12,0.0999999999999999,-0.22)); #516838=CARTESIAN_POINT('',(0.12,0.1,-1.248)); #516839=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #516840=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #516841=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.448)); #516842=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #516843=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #516844=CARTESIAN_POINT('Origin',(0.12,0.22,-0.22)); #516845=CARTESIAN_POINT('',(0.120000000000001,0.22,-1.248)); #516846=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #516847=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #516848=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.328)); #516849=CARTESIAN_POINT('Origin',(0.,-5.99,-0.12)); #516850=CARTESIAN_POINT('Origin',(0.5,-6.03,-0.04)); #516851=CARTESIAN_POINT('',(0.,0.,0.)); #516852=CARTESIAN_POINT('Origin',(0.875,-1.5,-0.12)); #516853=CARTESIAN_POINT('',(0.875,-1.5,0.)); #516854=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #516855=CARTESIAN_POINT('',(0.875,-1.5,0.)); #516856=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #516857=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #516858=CARTESIAN_POINT('',(0.875,-1.5,-0.12)); #516859=CARTESIAN_POINT('',(0.875,-1.5,-0.12)); #516860=CARTESIAN_POINT('',(0.875,-1.5,-0.12)); #516861=CARTESIAN_POINT('Origin',(-0.120000000000002,-0.5,-0.12)); #516862=CARTESIAN_POINT('',(-0.12,-1.41361293861773E-16,0.)); #516863=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #516864=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #516865=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #516866=CARTESIAN_POINT('',(-0.12,0.22,-1.248)); #516867=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #516868=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.328)); #516869=CARTESIAN_POINT('Origin',(-0.12,0.3,-1.248)); #516870=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.328)); #516871=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.328)); #516872=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.448)); #516873=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.448)); #516874=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.448)); #516875=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.448)); #516876=CARTESIAN_POINT('',(-0.12,0.1,-1.248)); #516877=CARTESIAN_POINT('Origin',(-0.119999999999999,0.3,-1.248)); #516878=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #516879=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #516880=CARTESIAN_POINT('',(-0.12,4.33680868994202E-16,-0.12)); #516881=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #516882=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #516883=CARTESIAN_POINT('Origin',(0.12,2.71050543121376E-17,-0.12)); #516884=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,0.)); #516885=CARTESIAN_POINT('',(0.12,-0.5,0.)); #516886=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,0.)); #516887=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #516888=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #516889=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,-0.12)); #516890=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,-0.12)); #516891=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #516892=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #516893=CARTESIAN_POINT('',(0.12,0.1,-1.248)); #516894=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #516895=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #516896=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #516897=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #516898=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #516899=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.328)); #516900=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #516901=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #516902=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #516903=CARTESIAN_POINT('',(0.12,0.22,-1.248)); #516904=CARTESIAN_POINT('Origin',(0.12,0.3,-1.248)); #516905=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #516906=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #516907=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #516908=CARTESIAN_POINT('Origin',(0.12,-0.5,-0.12)); #516909=CARTESIAN_POINT('',(1.125,-1.5,0.)); #516910=CARTESIAN_POINT('',(0.12,-0.5,0.)); #516911=CARTESIAN_POINT('',(1.125,-1.5,-0.12)); #516912=CARTESIAN_POINT('',(1.125,-1.5,-0.12)); #516913=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #516914=CARTESIAN_POINT('Origin',(1.125,-1.5,-0.12)); #516915=CARTESIAN_POINT('',(1.125,-5.51,-0.08)); #516916=CARTESIAN_POINT('',(1.125,-5.51,-0.04)); #516917=CARTESIAN_POINT('',(1.125,-5.51,-0.12)); #516918=CARTESIAN_POINT('',(1.125,-5.47,-0.12)); #516919=CARTESIAN_POINT('',(1.125,-5.47,-0.12)); #516920=CARTESIAN_POINT('',(1.125,-1.5,-0.12)); #516921=CARTESIAN_POINT('',(1.125,-5.47,0.)); #516922=CARTESIAN_POINT('',(1.125,-1.5,0.)); #516923=CARTESIAN_POINT('',(1.125,-5.51,-0.04)); #516924=CARTESIAN_POINT('Origin',(1.125,-5.51,-0.12)); #516925=CARTESIAN_POINT('',(0.875,-5.51,-0.08)); #516926=CARTESIAN_POINT('',(0.875,-5.51,-0.04)); #516927=CARTESIAN_POINT('',(0.875,-5.51,-0.12)); #516928=CARTESIAN_POINT('',(1.125,-5.51,-0.08)); #516929=CARTESIAN_POINT('',(1.125,-5.51,-0.04)); #516930=CARTESIAN_POINT('Origin',(0.875,-5.51,-0.12)); #516931=CARTESIAN_POINT('',(0.875,-5.47,-0.12)); #516932=CARTESIAN_POINT('',(0.875,-5.51,-0.12)); #516933=CARTESIAN_POINT('',(0.875,-5.47,-0.12)); #516934=CARTESIAN_POINT('',(0.875,-5.47,0.)); #516935=CARTESIAN_POINT('',(0.875,-5.51,-0.04)); #516936=CARTESIAN_POINT('',(0.875,-5.51,0.)); #516937=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #516938=CARTESIAN_POINT('',(0.875,-5.47,-0.12)); #516939=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,-0.12)); #516940=CARTESIAN_POINT('Origin',(0.,0.,0.)); #516941=CARTESIAN_POINT('',(0.,-5.47,0.)); #516942=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,0.)); #516943=CARTESIAN_POINT('Origin',(0.120000000000002,0.888,-1.448)); #516944=CARTESIAN_POINT('',(0.120000000000001,0.888,-1.328)); #516945=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #516946=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #516947=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #516948=CARTESIAN_POINT('Origin',(0.12,0.0999999999999999,-0.22)); #516949=CARTESIAN_POINT('',(0.12,0.1,-1.248)); #516950=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #516951=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #516952=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.448)); #516953=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #516954=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #516955=CARTESIAN_POINT('Origin',(0.12,0.22,-0.22)); #516956=CARTESIAN_POINT('',(0.120000000000001,0.22,-1.248)); #516957=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #516958=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #516959=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.328)); #516960=CARTESIAN_POINT('Origin',(0.,-5.47,-0.12)); #516961=CARTESIAN_POINT('Origin',(1.125,-5.51,-0.04)); #516962=CARTESIAN_POINT('',(0.,0.,0.)); #516963=CARTESIAN_POINT('Origin',(0.375,-1.5,-0.12)); #516964=CARTESIAN_POINT('',(0.375,-1.5,0.)); #516965=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #516966=CARTESIAN_POINT('',(0.375,-1.5,0.)); #516967=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #516968=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #516969=CARTESIAN_POINT('',(0.375,-1.5,-0.12)); #516970=CARTESIAN_POINT('',(0.375,-1.5,-0.12)); #516971=CARTESIAN_POINT('',(0.375,-1.5,-0.12)); #516972=CARTESIAN_POINT('Origin',(-0.120000000000002,-0.5,-0.12)); #516973=CARTESIAN_POINT('',(-0.12,-1.41361293861773E-16,0.)); #516974=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #516975=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #516976=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #516977=CARTESIAN_POINT('',(-0.12,0.22,-1.826)); #516978=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #516979=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.906)); #516980=CARTESIAN_POINT('Origin',(-0.12,0.3,-1.826)); #516981=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.906)); #516982=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.906)); #516983=CARTESIAN_POINT('',(-0.119999999999998,0.888,-2.026)); #516984=CARTESIAN_POINT('',(-0.119999999999998,0.888,-2.026)); #516985=CARTESIAN_POINT('',(-0.119999999999999,0.3,-2.026)); #516986=CARTESIAN_POINT('',(-0.119999999999999,0.3,-2.026)); #516987=CARTESIAN_POINT('',(-0.12,0.1,-1.826)); #516988=CARTESIAN_POINT('Origin',(-0.119999999999999,0.3,-1.826)); #516989=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #516990=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #516991=CARTESIAN_POINT('',(-0.12,4.33680868994202E-16,-0.12)); #516992=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #516993=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #516994=CARTESIAN_POINT('Origin',(0.12,2.71050543121376E-17,-0.12)); #516995=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,0.)); #516996=CARTESIAN_POINT('',(0.12,-0.5,0.)); #516997=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,0.)); #516998=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #516999=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #517000=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,-0.12)); #517001=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,-0.12)); #517002=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #517003=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #517004=CARTESIAN_POINT('',(0.12,0.1,-1.826)); #517005=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #517006=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #517007=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #517008=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #517009=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #517010=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.906)); #517011=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #517012=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #517013=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #517014=CARTESIAN_POINT('',(0.12,0.22,-1.826)); #517015=CARTESIAN_POINT('Origin',(0.12,0.3,-1.826)); #517016=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #517017=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #517018=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #517019=CARTESIAN_POINT('Origin',(0.12,-0.5,-0.12)); #517020=CARTESIAN_POINT('',(0.625,-1.5,0.)); #517021=CARTESIAN_POINT('',(0.12,-0.5,0.)); #517022=CARTESIAN_POINT('',(0.625,-1.5,-0.12)); #517023=CARTESIAN_POINT('',(0.625,-1.5,-0.12)); #517024=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #517025=CARTESIAN_POINT('Origin',(0.625,-1.5,-0.12)); #517026=CARTESIAN_POINT('',(0.625,-5.51,-0.08)); #517027=CARTESIAN_POINT('',(0.625,-5.51,-0.04)); #517028=CARTESIAN_POINT('',(0.625,-5.51,-0.12)); #517029=CARTESIAN_POINT('',(0.625,-5.47,-0.12)); #517030=CARTESIAN_POINT('',(0.625,-5.47,-0.12)); #517031=CARTESIAN_POINT('',(0.625,-1.5,-0.12)); #517032=CARTESIAN_POINT('',(0.625,-5.47,0.)); #517033=CARTESIAN_POINT('',(0.625,-1.5,0.)); #517034=CARTESIAN_POINT('',(0.625,-5.51,-0.04)); #517035=CARTESIAN_POINT('Origin',(0.625,-5.51,-0.12)); #517036=CARTESIAN_POINT('',(0.375,-5.51,-0.08)); #517037=CARTESIAN_POINT('',(0.375,-5.51,-0.04)); #517038=CARTESIAN_POINT('',(0.375,-5.51,-0.12)); #517039=CARTESIAN_POINT('',(0.625,-5.51,-0.08)); #517040=CARTESIAN_POINT('',(0.625,-5.51,-0.04)); #517041=CARTESIAN_POINT('Origin',(0.375,-5.51,-0.12)); #517042=CARTESIAN_POINT('',(0.375,-5.47,-0.12)); #517043=CARTESIAN_POINT('',(0.375,-5.51,-0.12)); #517044=CARTESIAN_POINT('',(0.375,-5.47,-0.12)); #517045=CARTESIAN_POINT('',(0.375,-5.47,0.)); #517046=CARTESIAN_POINT('',(0.375,-5.51,-0.04)); #517047=CARTESIAN_POINT('',(0.375,-5.51,0.)); #517048=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #517049=CARTESIAN_POINT('',(0.375,-5.47,-0.12)); #517050=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,-0.12)); #517051=CARTESIAN_POINT('Origin',(0.,0.,0.)); #517052=CARTESIAN_POINT('',(0.,-5.47,0.)); #517053=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,0.)); #517054=CARTESIAN_POINT('Origin',(0.120000000000002,0.888,-2.026)); #517055=CARTESIAN_POINT('',(0.120000000000001,0.888,-1.906)); #517056=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #517057=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #517058=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #517059=CARTESIAN_POINT('Origin',(0.12,0.0999999999999999,-0.22)); #517060=CARTESIAN_POINT('',(0.12,0.1,-1.826)); #517061=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #517062=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #517063=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-2.026)); #517064=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #517065=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #517066=CARTESIAN_POINT('Origin',(0.12,0.22,-0.22)); #517067=CARTESIAN_POINT('',(0.120000000000001,0.22,-1.826)); #517068=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #517069=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #517070=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.906)); #517071=CARTESIAN_POINT('Origin',(0.,-5.47,-0.12)); #517072=CARTESIAN_POINT('Origin',(0.625,-5.51,-0.04)); #517073=CARTESIAN_POINT('',(0.,0.,0.)); #517074=CARTESIAN_POINT('Origin',(1.105,-1.5,-0.12)); #517075=CARTESIAN_POINT('',(1.105,-1.5,0.)); #517076=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #517077=CARTESIAN_POINT('',(1.105,-1.5,0.)); #517078=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #517079=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #517080=CARTESIAN_POINT('',(1.105,-1.5,-0.12)); #517081=CARTESIAN_POINT('',(1.105,-1.5,-0.12)); #517082=CARTESIAN_POINT('',(1.105,-1.5,-0.12)); #517083=CARTESIAN_POINT('Origin',(-0.120000000000002,-0.5,-0.12)); #517084=CARTESIAN_POINT('',(-0.12,-1.41361293861773E-16,0.)); #517085=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #517086=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #517087=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #517088=CARTESIAN_POINT('',(-0.12,0.22,-1.826)); #517089=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #517090=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.906)); #517091=CARTESIAN_POINT('Origin',(-0.12,0.3,-1.826)); #517092=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.906)); #517093=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.906)); #517094=CARTESIAN_POINT('',(-0.119999999999998,0.888,-2.026)); #517095=CARTESIAN_POINT('',(-0.119999999999998,0.888,-2.026)); #517096=CARTESIAN_POINT('',(-0.119999999999999,0.3,-2.026)); #517097=CARTESIAN_POINT('',(-0.119999999999999,0.3,-2.026)); #517098=CARTESIAN_POINT('',(-0.12,0.1,-1.826)); #517099=CARTESIAN_POINT('Origin',(-0.119999999999999,0.3,-1.826)); #517100=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #517101=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #517102=CARTESIAN_POINT('',(-0.12,4.33680868994202E-16,-0.12)); #517103=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #517104=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #517105=CARTESIAN_POINT('Origin',(0.12,2.71050543121376E-17,-0.12)); #517106=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,0.)); #517107=CARTESIAN_POINT('',(0.12,-0.5,0.)); #517108=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,0.)); #517109=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #517110=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #517111=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,-0.12)); #517112=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,-0.12)); #517113=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #517114=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #517115=CARTESIAN_POINT('',(0.12,0.1,-1.826)); #517116=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #517117=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #517118=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #517119=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #517120=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #517121=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.906)); #517122=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #517123=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #517124=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #517125=CARTESIAN_POINT('',(0.12,0.22,-1.826)); #517126=CARTESIAN_POINT('Origin',(0.12,0.3,-1.826)); #517127=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #517128=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #517129=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #517130=CARTESIAN_POINT('Origin',(0.12,-0.5,-0.12)); #517131=CARTESIAN_POINT('',(1.455,-1.5,0.)); #517132=CARTESIAN_POINT('',(0.12,-0.5,0.)); #517133=CARTESIAN_POINT('',(1.455,-1.5,-0.12)); #517134=CARTESIAN_POINT('',(1.455,-1.5,-0.12)); #517135=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #517136=CARTESIAN_POINT('Origin',(1.455,-1.5,-0.12)); #517137=CARTESIAN_POINT('',(1.455,-5.69,-0.08)); #517138=CARTESIAN_POINT('',(1.455,-5.69,-0.04)); #517139=CARTESIAN_POINT('',(1.455,-5.69,-0.12)); #517140=CARTESIAN_POINT('',(1.455,-5.65,-0.12)); #517141=CARTESIAN_POINT('',(1.455,-5.65,-0.12)); #517142=CARTESIAN_POINT('',(1.455,-1.5,-0.12)); #517143=CARTESIAN_POINT('',(1.455,-5.65,0.)); #517144=CARTESIAN_POINT('',(1.455,-1.5,0.)); #517145=CARTESIAN_POINT('',(1.455,-5.69,-0.04)); #517146=CARTESIAN_POINT('Origin',(1.455,-5.69,-0.12)); #517147=CARTESIAN_POINT('',(1.105,-5.69,-0.08)); #517148=CARTESIAN_POINT('',(1.105,-5.69,-0.04)); #517149=CARTESIAN_POINT('',(1.105,-5.69,-0.12)); #517150=CARTESIAN_POINT('',(1.455,-5.69,-0.08)); #517151=CARTESIAN_POINT('',(1.455,-5.69,-0.04)); #517152=CARTESIAN_POINT('Origin',(1.105,-5.69,-0.12)); #517153=CARTESIAN_POINT('',(1.105,-5.65,-0.12)); #517154=CARTESIAN_POINT('',(1.105,-5.69,-0.12)); #517155=CARTESIAN_POINT('',(1.105,-5.65,-0.12)); #517156=CARTESIAN_POINT('',(1.105,-5.65,0.)); #517157=CARTESIAN_POINT('',(1.105,-5.69,-0.04)); #517158=CARTESIAN_POINT('',(1.105,-5.69,0.)); #517159=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #517160=CARTESIAN_POINT('',(1.105,-5.65,-0.12)); #517161=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,-0.12)); #517162=CARTESIAN_POINT('Origin',(0.,0.,0.)); #517163=CARTESIAN_POINT('',(0.,-5.65,0.)); #517164=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,0.)); #517165=CARTESIAN_POINT('Origin',(0.120000000000002,0.888,-2.026)); #517166=CARTESIAN_POINT('',(0.120000000000001,0.888,-1.906)); #517167=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #517168=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #517169=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #517170=CARTESIAN_POINT('Origin',(0.12,0.0999999999999999,-0.22)); #517171=CARTESIAN_POINT('',(0.12,0.1,-1.826)); #517172=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #517173=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #517174=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-2.026)); #517175=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #517176=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #517177=CARTESIAN_POINT('Origin',(0.12,0.22,-0.22)); #517178=CARTESIAN_POINT('',(0.120000000000001,0.22,-1.826)); #517179=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #517180=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #517181=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.906)); #517182=CARTESIAN_POINT('Origin',(0.,-5.65,-0.12)); #517183=CARTESIAN_POINT('Origin',(1.455,-5.69,-0.04)); #517184=CARTESIAN_POINT('',(0.,0.,0.)); #517185=CARTESIAN_POINT('Origin',(0.845,-1.5,-0.12)); #517186=CARTESIAN_POINT('',(0.845,-1.5,0.)); #517187=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #517188=CARTESIAN_POINT('',(0.845,-1.5,0.)); #517189=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #517190=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #517191=CARTESIAN_POINT('',(0.845,-1.5,-0.12)); #517192=CARTESIAN_POINT('',(0.845,-1.5,-0.12)); #517193=CARTESIAN_POINT('',(0.845,-1.5,-0.12)); #517194=CARTESIAN_POINT('Origin',(-0.120000000000002,-0.5,-0.12)); #517195=CARTESIAN_POINT('',(-0.12,-1.41361293861773E-16,0.)); #517196=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #517197=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #517198=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #517199=CARTESIAN_POINT('',(-0.12,0.22,-1.248)); #517200=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #517201=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.328)); #517202=CARTESIAN_POINT('Origin',(-0.12,0.3,-1.248)); #517203=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.328)); #517204=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.328)); #517205=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.448)); #517206=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.448)); #517207=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.448)); #517208=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.448)); #517209=CARTESIAN_POINT('',(-0.12,0.1,-1.248)); #517210=CARTESIAN_POINT('Origin',(-0.119999999999999,0.3,-1.248)); #517211=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #517212=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #517213=CARTESIAN_POINT('',(-0.12,4.33680868994202E-16,-0.12)); #517214=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #517215=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #517216=CARTESIAN_POINT('Origin',(0.12,2.71050543121376E-17,-0.12)); #517217=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,0.)); #517218=CARTESIAN_POINT('',(0.12,-0.5,0.)); #517219=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,0.)); #517220=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #517221=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #517222=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,-0.12)); #517223=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,-0.12)); #517224=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #517225=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #517226=CARTESIAN_POINT('',(0.12,0.1,-1.248)); #517227=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #517228=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #517229=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #517230=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #517231=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #517232=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.328)); #517233=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #517234=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #517235=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #517236=CARTESIAN_POINT('',(0.12,0.22,-1.248)); #517237=CARTESIAN_POINT('Origin',(0.12,0.3,-1.248)); #517238=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #517239=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #517240=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #517241=CARTESIAN_POINT('Origin',(0.12,-0.5,-0.12)); #517242=CARTESIAN_POINT('',(1.195,-1.5,0.)); #517243=CARTESIAN_POINT('',(0.12,-0.5,0.)); #517244=CARTESIAN_POINT('',(1.195,-1.5,-0.12)); #517245=CARTESIAN_POINT('',(1.195,-1.5,-0.12)); #517246=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #517247=CARTESIAN_POINT('Origin',(1.195,-1.5,-0.12)); #517248=CARTESIAN_POINT('',(1.195,-5.69,-0.08)); #517249=CARTESIAN_POINT('',(1.195,-5.69,-0.04)); #517250=CARTESIAN_POINT('',(1.195,-5.69,-0.12)); #517251=CARTESIAN_POINT('',(1.195,-5.65,-0.12)); #517252=CARTESIAN_POINT('',(1.195,-5.65,-0.12)); #517253=CARTESIAN_POINT('',(1.195,-1.5,-0.12)); #517254=CARTESIAN_POINT('',(1.195,-5.65,0.)); #517255=CARTESIAN_POINT('',(1.195,-1.5,0.)); #517256=CARTESIAN_POINT('',(1.195,-5.69,-0.04)); #517257=CARTESIAN_POINT('Origin',(1.195,-5.69,-0.12)); #517258=CARTESIAN_POINT('',(0.845,-5.69,-0.08)); #517259=CARTESIAN_POINT('',(0.845,-5.69,-0.04)); #517260=CARTESIAN_POINT('',(0.845,-5.69,-0.12)); #517261=CARTESIAN_POINT('',(1.195,-5.69,-0.08)); #517262=CARTESIAN_POINT('',(1.195,-5.69,-0.04)); #517263=CARTESIAN_POINT('Origin',(0.845,-5.69,-0.12)); #517264=CARTESIAN_POINT('',(0.845,-5.65,-0.12)); #517265=CARTESIAN_POINT('',(0.845,-5.69,-0.12)); #517266=CARTESIAN_POINT('',(0.845,-5.65,-0.12)); #517267=CARTESIAN_POINT('',(0.845,-5.65,0.)); #517268=CARTESIAN_POINT('',(0.845,-5.69,-0.04)); #517269=CARTESIAN_POINT('',(0.845,-5.69,0.)); #517270=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #517271=CARTESIAN_POINT('',(0.845,-5.65,-0.12)); #517272=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,-0.12)); #517273=CARTESIAN_POINT('Origin',(0.,0.,0.)); #517274=CARTESIAN_POINT('',(0.,-5.65,0.)); #517275=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,0.)); #517276=CARTESIAN_POINT('Origin',(0.120000000000002,0.888,-1.448)); #517277=CARTESIAN_POINT('',(0.120000000000001,0.888,-1.328)); #517278=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.448)); #517279=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #517280=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #517281=CARTESIAN_POINT('Origin',(0.12,0.0999999999999999,-0.22)); #517282=CARTESIAN_POINT('',(0.12,0.1,-1.248)); #517283=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #517284=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.448)); #517285=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.448)); #517286=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #517287=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #517288=CARTESIAN_POINT('Origin',(0.12,0.22,-0.22)); #517289=CARTESIAN_POINT('',(0.120000000000001,0.22,-1.248)); #517290=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.248)); #517291=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.328)); #517292=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.328)); #517293=CARTESIAN_POINT('Origin',(0.,-5.65,-0.12)); #517294=CARTESIAN_POINT('Origin',(1.195,-5.69,-0.04)); #517295=CARTESIAN_POINT('',(0.,0.,0.)); #517296=CARTESIAN_POINT('Origin',(0.41,1.5,-0.12)); #517297=CARTESIAN_POINT('',(0.41,1.5,0.)); #517298=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #517299=CARTESIAN_POINT('',(0.41,1.5,0.)); #517300=CARTESIAN_POINT('',(0.41,1.5,-0.12)); #517301=CARTESIAN_POINT('',(0.41,1.5,-0.12)); #517302=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #517303=CARTESIAN_POINT('',(0.41,1.5,-0.12)); #517304=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #517305=CARTESIAN_POINT('Origin',(-0.120000000000002,0.5,-0.12)); #517306=CARTESIAN_POINT('',(-0.12,1.41361293861773E-16,0.)); #517307=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #517308=CARTESIAN_POINT('',(-0.12,-4.33680868994202E-16,-0.12)); #517309=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #517310=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #517311=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #517312=CARTESIAN_POINT('',(-0.12,-0.1,-1.826)); #517313=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #517314=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-2.026)); #517315=CARTESIAN_POINT('Origin',(-0.119999999999999,-0.3,-1.826)); #517316=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-2.026)); #517317=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-2.026)); #517318=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.906)); #517319=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-2.026)); #517320=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.906)); #517321=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.906)); #517322=CARTESIAN_POINT('',(-0.12,-0.22,-1.826)); #517323=CARTESIAN_POINT('Origin',(-0.12,-0.3,-1.826)); #517324=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #517325=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #517326=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #517327=CARTESIAN_POINT('Origin',(0.12,-2.71050543121376E-17,-0.12)); #517328=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,0.)); #517329=CARTESIAN_POINT('',(0.12,0.5,0.)); #517330=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,0.)); #517331=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #517332=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #517333=CARTESIAN_POINT('',(0.12,-0.22,-1.826)); #517334=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #517335=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #517336=CARTESIAN_POINT('Origin',(0.12,-0.3,-1.826)); #517337=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.906)); #517338=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #517339=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #517340=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #517341=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #517342=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #517343=CARTESIAN_POINT('',(0.12,-0.1,-1.826)); #517344=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #517345=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #517346=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #517347=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,-0.12)); #517348=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #517349=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #517350=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,-0.12)); #517351=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #517352=CARTESIAN_POINT('Origin',(0.12,0.5,-0.12)); #517353=CARTESIAN_POINT('',(0.76,1.5,0.)); #517354=CARTESIAN_POINT('',(0.12,0.5,0.)); #517355=CARTESIAN_POINT('',(0.76,1.5,-0.12)); #517356=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #517357=CARTESIAN_POINT('',(0.76,1.5,-0.12)); #517358=CARTESIAN_POINT('Origin',(0.76,1.5,-0.12)); #517359=CARTESIAN_POINT('',(0.76,6.03,-0.08)); #517360=CARTESIAN_POINT('',(0.76,6.03,-0.04)); #517361=CARTESIAN_POINT('',(0.76,6.03,-0.12)); #517362=CARTESIAN_POINT('',(0.76,5.99,0.)); #517363=CARTESIAN_POINT('',(0.76,6.03,-0.04)); #517364=CARTESIAN_POINT('',(0.76,1.5,0.)); #517365=CARTESIAN_POINT('',(0.76,5.99,-0.12)); #517366=CARTESIAN_POINT('',(0.76,1.5,-0.12)); #517367=CARTESIAN_POINT('',(0.76,5.99,-0.12)); #517368=CARTESIAN_POINT('Origin',(0.76,6.03,-0.12)); #517369=CARTESIAN_POINT('',(0.41,6.03,-0.08)); #517370=CARTESIAN_POINT('',(0.41,6.03,-0.04)); #517371=CARTESIAN_POINT('',(0.41,6.03,-0.12)); #517372=CARTESIAN_POINT('',(0.76,6.03,-0.04)); #517373=CARTESIAN_POINT('',(0.76,6.03,-0.08)); #517374=CARTESIAN_POINT('Origin',(0.41,6.03,-0.12)); #517375=CARTESIAN_POINT('',(0.41,5.99,-0.12)); #517376=CARTESIAN_POINT('',(0.41,6.03,-0.12)); #517377=CARTESIAN_POINT('',(0.41,5.99,0.)); #517378=CARTESIAN_POINT('',(0.41,6.03,0.)); #517379=CARTESIAN_POINT('',(0.41,6.03,-0.04)); #517380=CARTESIAN_POINT('',(0.41,5.99,-0.12)); #517381=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #517382=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,-0.12)); #517383=CARTESIAN_POINT('',(0.41,5.99,-0.12)); #517384=CARTESIAN_POINT('Origin',(0.,0.,0.)); #517385=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,0.)); #517386=CARTESIAN_POINT('',(0.,5.99,0.)); #517387=CARTESIAN_POINT('Origin',(0.120000000000002,-0.888,-2.026)); #517388=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #517389=CARTESIAN_POINT('',(0.120000000000001,-0.888,-1.906)); #517390=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #517391=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #517392=CARTESIAN_POINT('Origin',(0.12,-0.0999999999999999,-0.22)); #517393=CARTESIAN_POINT('',(0.12,-0.1,-1.826)); #517394=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #517395=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #517396=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-2.026)); #517397=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #517398=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #517399=CARTESIAN_POINT('Origin',(0.12,-0.22,-0.22)); #517400=CARTESIAN_POINT('',(0.120000000000001,-0.22,-1.826)); #517401=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #517402=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #517403=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.906)); #517404=CARTESIAN_POINT('Origin',(0.,5.99,-0.12)); #517405=CARTESIAN_POINT('Origin',(0.76,6.03,-0.04)); #517406=CARTESIAN_POINT('',(0.,0.,0.)); #517407=CARTESIAN_POINT('Origin',(0.15,1.5,-0.12)); #517408=CARTESIAN_POINT('',(0.15,1.5,0.)); #517409=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #517410=CARTESIAN_POINT('',(0.15,1.5,0.)); #517411=CARTESIAN_POINT('',(0.15,1.5,-0.12)); #517412=CARTESIAN_POINT('',(0.15,1.5,-0.12)); #517413=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #517414=CARTESIAN_POINT('',(0.15,1.5,-0.12)); #517415=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #517416=CARTESIAN_POINT('Origin',(-0.120000000000002,0.5,-0.12)); #517417=CARTESIAN_POINT('',(-0.12,1.41361293861773E-16,0.)); #517418=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #517419=CARTESIAN_POINT('',(-0.12,-4.33680868994202E-16,-0.12)); #517420=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #517421=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #517422=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #517423=CARTESIAN_POINT('',(-0.12,-0.1,-1.248)); #517424=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #517425=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.448)); #517426=CARTESIAN_POINT('Origin',(-0.119999999999999,-0.3,-1.248)); #517427=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.448)); #517428=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.448)); #517429=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.328)); #517430=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.448)); #517431=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.328)); #517432=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.328)); #517433=CARTESIAN_POINT('',(-0.12,-0.22,-1.248)); #517434=CARTESIAN_POINT('Origin',(-0.12,-0.3,-1.248)); #517435=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #517436=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #517437=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #517438=CARTESIAN_POINT('Origin',(0.12,-2.71050543121376E-17,-0.12)); #517439=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,0.)); #517440=CARTESIAN_POINT('',(0.12,0.5,0.)); #517441=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,0.)); #517442=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #517443=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #517444=CARTESIAN_POINT('',(0.12,-0.22,-1.248)); #517445=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #517446=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #517447=CARTESIAN_POINT('Origin',(0.12,-0.3,-1.248)); #517448=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.328)); #517449=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #517450=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #517451=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #517452=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #517453=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #517454=CARTESIAN_POINT('',(0.12,-0.1,-1.248)); #517455=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #517456=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #517457=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #517458=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,-0.12)); #517459=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #517460=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #517461=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,-0.12)); #517462=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #517463=CARTESIAN_POINT('Origin',(0.12,0.5,-0.12)); #517464=CARTESIAN_POINT('',(0.5,1.5,0.)); #517465=CARTESIAN_POINT('',(0.12,0.5,0.)); #517466=CARTESIAN_POINT('',(0.5,1.5,-0.12)); #517467=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #517468=CARTESIAN_POINT('',(0.5,1.5,-0.12)); #517469=CARTESIAN_POINT('Origin',(0.5,1.5,-0.12)); #517470=CARTESIAN_POINT('',(0.5,6.03,-0.08)); #517471=CARTESIAN_POINT('',(0.5,6.03,-0.04)); #517472=CARTESIAN_POINT('',(0.5,6.03,-0.12)); #517473=CARTESIAN_POINT('',(0.5,5.99,0.)); #517474=CARTESIAN_POINT('',(0.5,6.03,-0.04)); #517475=CARTESIAN_POINT('',(0.5,1.5,0.)); #517476=CARTESIAN_POINT('',(0.5,5.99,-0.12)); #517477=CARTESIAN_POINT('',(0.5,1.5,-0.12)); #517478=CARTESIAN_POINT('',(0.5,5.99,-0.12)); #517479=CARTESIAN_POINT('Origin',(0.5,6.03,-0.12)); #517480=CARTESIAN_POINT('',(0.15,6.03,-0.08)); #517481=CARTESIAN_POINT('',(0.15,6.03,-0.04)); #517482=CARTESIAN_POINT('',(0.15,6.03,-0.12)); #517483=CARTESIAN_POINT('',(0.5,6.03,-0.04)); #517484=CARTESIAN_POINT('',(0.5,6.03,-0.08)); #517485=CARTESIAN_POINT('Origin',(0.15,6.03,-0.12)); #517486=CARTESIAN_POINT('',(0.15,5.99,-0.12)); #517487=CARTESIAN_POINT('',(0.15,6.03,-0.12)); #517488=CARTESIAN_POINT('',(0.15,5.99,0.)); #517489=CARTESIAN_POINT('',(0.15,6.03,0.)); #517490=CARTESIAN_POINT('',(0.15,6.03,-0.04)); #517491=CARTESIAN_POINT('',(0.15,5.99,-0.12)); #517492=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #517493=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,-0.12)); #517494=CARTESIAN_POINT('',(0.15,5.99,-0.12)); #517495=CARTESIAN_POINT('Origin',(0.,0.,0.)); #517496=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,0.)); #517497=CARTESIAN_POINT('',(0.,5.99,0.)); #517498=CARTESIAN_POINT('Origin',(0.120000000000002,-0.888,-1.448)); #517499=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #517500=CARTESIAN_POINT('',(0.120000000000001,-0.888,-1.328)); #517501=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #517502=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #517503=CARTESIAN_POINT('Origin',(0.12,-0.0999999999999999,-0.22)); #517504=CARTESIAN_POINT('',(0.12,-0.1,-1.248)); #517505=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #517506=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #517507=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.448)); #517508=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #517509=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #517510=CARTESIAN_POINT('Origin',(0.12,-0.22,-0.22)); #517511=CARTESIAN_POINT('',(0.120000000000001,-0.22,-1.248)); #517512=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #517513=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #517514=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.328)); #517515=CARTESIAN_POINT('Origin',(0.,5.99,-0.12)); #517516=CARTESIAN_POINT('Origin',(0.5,6.03,-0.04)); #517517=CARTESIAN_POINT('',(0.,0.,0.)); #517518=CARTESIAN_POINT('Origin',(1.105,1.5,-0.12)); #517519=CARTESIAN_POINT('',(1.105,1.5,0.)); #517520=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #517521=CARTESIAN_POINT('',(1.105,1.5,0.)); #517522=CARTESIAN_POINT('',(1.105,1.5,-0.12)); #517523=CARTESIAN_POINT('',(1.105,1.5,-0.12)); #517524=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #517525=CARTESIAN_POINT('',(1.105,1.5,-0.12)); #517526=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #517527=CARTESIAN_POINT('Origin',(-0.120000000000002,0.5,-0.12)); #517528=CARTESIAN_POINT('',(-0.12,1.41361293861773E-16,0.)); #517529=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #517530=CARTESIAN_POINT('',(-0.12,-4.33680868994202E-16,-0.12)); #517531=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #517532=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #517533=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #517534=CARTESIAN_POINT('',(-0.12,-0.1,-1.826)); #517535=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #517536=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-2.026)); #517537=CARTESIAN_POINT('Origin',(-0.119999999999999,-0.3,-1.826)); #517538=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-2.026)); #517539=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-2.026)); #517540=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.906)); #517541=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-2.026)); #517542=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.906)); #517543=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.906)); #517544=CARTESIAN_POINT('',(-0.12,-0.22,-1.826)); #517545=CARTESIAN_POINT('Origin',(-0.12,-0.3,-1.826)); #517546=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #517547=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #517548=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #517549=CARTESIAN_POINT('Origin',(0.12,-2.71050543121376E-17,-0.12)); #517550=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,0.)); #517551=CARTESIAN_POINT('',(0.12,0.5,0.)); #517552=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,0.)); #517553=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #517554=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #517555=CARTESIAN_POINT('',(0.12,-0.22,-1.826)); #517556=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #517557=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #517558=CARTESIAN_POINT('Origin',(0.12,-0.3,-1.826)); #517559=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.906)); #517560=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #517561=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #517562=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #517563=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #517564=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #517565=CARTESIAN_POINT('',(0.12,-0.1,-1.826)); #517566=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #517567=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #517568=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #517569=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,-0.12)); #517570=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #517571=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #517572=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,-0.12)); #517573=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #517574=CARTESIAN_POINT('Origin',(0.12,0.5,-0.12)); #517575=CARTESIAN_POINT('',(1.455,1.5,0.)); #517576=CARTESIAN_POINT('',(0.12,0.5,0.)); #517577=CARTESIAN_POINT('',(1.455,1.5,-0.12)); #517578=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #517579=CARTESIAN_POINT('',(1.455,1.5,-0.12)); #517580=CARTESIAN_POINT('Origin',(1.455,1.5,-0.12)); #517581=CARTESIAN_POINT('',(1.455,5.69,-0.08)); #517582=CARTESIAN_POINT('',(1.455,5.69,-0.04)); #517583=CARTESIAN_POINT('',(1.455,5.69,-0.12)); #517584=CARTESIAN_POINT('',(1.455,5.65,0.)); #517585=CARTESIAN_POINT('',(1.455,5.69,-0.04)); #517586=CARTESIAN_POINT('',(1.455,1.5,0.)); #517587=CARTESIAN_POINT('',(1.455,5.65,-0.12)); #517588=CARTESIAN_POINT('',(1.455,1.5,-0.12)); #517589=CARTESIAN_POINT('',(1.455,5.65,-0.12)); #517590=CARTESIAN_POINT('Origin',(1.455,5.69,-0.12)); #517591=CARTESIAN_POINT('',(1.105,5.69,-0.08)); #517592=CARTESIAN_POINT('',(1.105,5.69,-0.04)); #517593=CARTESIAN_POINT('',(1.105,5.69,-0.12)); #517594=CARTESIAN_POINT('',(1.455,5.69,-0.04)); #517595=CARTESIAN_POINT('',(1.455,5.69,-0.08)); #517596=CARTESIAN_POINT('Origin',(1.105,5.69,-0.12)); #517597=CARTESIAN_POINT('',(1.105,5.65,-0.12)); #517598=CARTESIAN_POINT('',(1.105,5.69,-0.12)); #517599=CARTESIAN_POINT('',(1.105,5.65,0.)); #517600=CARTESIAN_POINT('',(1.105,5.69,0.)); #517601=CARTESIAN_POINT('',(1.105,5.69,-0.04)); #517602=CARTESIAN_POINT('',(1.105,5.65,-0.12)); #517603=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #517604=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,-0.12)); #517605=CARTESIAN_POINT('',(1.105,5.65,-0.12)); #517606=CARTESIAN_POINT('Origin',(0.,0.,0.)); #517607=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,0.)); #517608=CARTESIAN_POINT('',(0.,5.65,0.)); #517609=CARTESIAN_POINT('Origin',(0.120000000000002,-0.888,-2.026)); #517610=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #517611=CARTESIAN_POINT('',(0.120000000000001,-0.888,-1.906)); #517612=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #517613=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #517614=CARTESIAN_POINT('Origin',(0.12,-0.0999999999999999,-0.22)); #517615=CARTESIAN_POINT('',(0.12,-0.1,-1.826)); #517616=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #517617=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #517618=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-2.026)); #517619=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #517620=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #517621=CARTESIAN_POINT('Origin',(0.12,-0.22,-0.22)); #517622=CARTESIAN_POINT('',(0.120000000000001,-0.22,-1.826)); #517623=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #517624=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #517625=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.906)); #517626=CARTESIAN_POINT('Origin',(0.,5.65,-0.12)); #517627=CARTESIAN_POINT('Origin',(1.455,5.69,-0.04)); #517628=CARTESIAN_POINT('',(0.,0.,0.)); #517629=CARTESIAN_POINT('Origin',(0.845,1.5,-0.12)); #517630=CARTESIAN_POINT('',(0.845,1.5,0.)); #517631=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #517632=CARTESIAN_POINT('',(0.845,1.5,0.)); #517633=CARTESIAN_POINT('',(0.845,1.5,-0.12)); #517634=CARTESIAN_POINT('',(0.845,1.5,-0.12)); #517635=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #517636=CARTESIAN_POINT('',(0.845,1.5,-0.12)); #517637=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #517638=CARTESIAN_POINT('Origin',(-0.120000000000002,0.5,-0.12)); #517639=CARTESIAN_POINT('',(-0.12,1.41361293861773E-16,0.)); #517640=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #517641=CARTESIAN_POINT('',(-0.12,-4.33680868994202E-16,-0.12)); #517642=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #517643=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #517644=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #517645=CARTESIAN_POINT('',(-0.12,-0.1,-1.248)); #517646=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #517647=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.448)); #517648=CARTESIAN_POINT('Origin',(-0.119999999999999,-0.3,-1.248)); #517649=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.448)); #517650=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.448)); #517651=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.328)); #517652=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.448)); #517653=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.328)); #517654=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.328)); #517655=CARTESIAN_POINT('',(-0.12,-0.22,-1.248)); #517656=CARTESIAN_POINT('Origin',(-0.12,-0.3,-1.248)); #517657=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #517658=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #517659=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #517660=CARTESIAN_POINT('Origin',(0.12,-2.71050543121376E-17,-0.12)); #517661=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,0.)); #517662=CARTESIAN_POINT('',(0.12,0.5,0.)); #517663=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,0.)); #517664=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #517665=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #517666=CARTESIAN_POINT('',(0.12,-0.22,-1.248)); #517667=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #517668=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #517669=CARTESIAN_POINT('Origin',(0.12,-0.3,-1.248)); #517670=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.328)); #517671=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #517672=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #517673=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #517674=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #517675=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #517676=CARTESIAN_POINT('',(0.12,-0.1,-1.248)); #517677=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #517678=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #517679=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #517680=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,-0.12)); #517681=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #517682=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #517683=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,-0.12)); #517684=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #517685=CARTESIAN_POINT('Origin',(0.12,0.5,-0.12)); #517686=CARTESIAN_POINT('',(1.195,1.5,0.)); #517687=CARTESIAN_POINT('',(0.12,0.5,0.)); #517688=CARTESIAN_POINT('',(1.195,1.5,-0.12)); #517689=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #517690=CARTESIAN_POINT('',(1.195,1.5,-0.12)); #517691=CARTESIAN_POINT('Origin',(1.195,1.5,-0.12)); #517692=CARTESIAN_POINT('',(1.195,5.69,-0.08)); #517693=CARTESIAN_POINT('',(1.195,5.69,-0.04)); #517694=CARTESIAN_POINT('',(1.195,5.69,-0.12)); #517695=CARTESIAN_POINT('',(1.195,5.65,0.)); #517696=CARTESIAN_POINT('',(1.195,5.69,-0.04)); #517697=CARTESIAN_POINT('',(1.195,1.5,0.)); #517698=CARTESIAN_POINT('',(1.195,5.65,-0.12)); #517699=CARTESIAN_POINT('',(1.195,1.5,-0.12)); #517700=CARTESIAN_POINT('',(1.195,5.65,-0.12)); #517701=CARTESIAN_POINT('Origin',(1.195,5.69,-0.12)); #517702=CARTESIAN_POINT('',(0.845,5.69,-0.08)); #517703=CARTESIAN_POINT('',(0.845,5.69,-0.04)); #517704=CARTESIAN_POINT('',(0.845,5.69,-0.12)); #517705=CARTESIAN_POINT('',(1.195,5.69,-0.04)); #517706=CARTESIAN_POINT('',(1.195,5.69,-0.08)); #517707=CARTESIAN_POINT('Origin',(0.845,5.69,-0.12)); #517708=CARTESIAN_POINT('',(0.845,5.65,-0.12)); #517709=CARTESIAN_POINT('',(0.845,5.69,-0.12)); #517710=CARTESIAN_POINT('',(0.845,5.65,0.)); #517711=CARTESIAN_POINT('',(0.845,5.69,0.)); #517712=CARTESIAN_POINT('',(0.845,5.69,-0.04)); #517713=CARTESIAN_POINT('',(0.845,5.65,-0.12)); #517714=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #517715=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,-0.12)); #517716=CARTESIAN_POINT('',(0.845,5.65,-0.12)); #517717=CARTESIAN_POINT('Origin',(0.,0.,0.)); #517718=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,0.)); #517719=CARTESIAN_POINT('',(0.,5.65,0.)); #517720=CARTESIAN_POINT('Origin',(0.120000000000002,-0.888,-1.448)); #517721=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #517722=CARTESIAN_POINT('',(0.120000000000001,-0.888,-1.328)); #517723=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #517724=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #517725=CARTESIAN_POINT('Origin',(0.12,-0.0999999999999999,-0.22)); #517726=CARTESIAN_POINT('',(0.12,-0.1,-1.248)); #517727=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #517728=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #517729=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.448)); #517730=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #517731=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #517732=CARTESIAN_POINT('Origin',(0.12,-0.22,-0.22)); #517733=CARTESIAN_POINT('',(0.120000000000001,-0.22,-1.248)); #517734=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #517735=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #517736=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.328)); #517737=CARTESIAN_POINT('Origin',(0.,5.65,-0.12)); #517738=CARTESIAN_POINT('Origin',(1.195,5.69,-0.04)); #517739=CARTESIAN_POINT('',(0.,0.,0.)); #517740=CARTESIAN_POINT('Origin',(0.375,1.5,-0.12)); #517741=CARTESIAN_POINT('',(0.375,1.5,0.)); #517742=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #517743=CARTESIAN_POINT('',(0.375,1.5,0.)); #517744=CARTESIAN_POINT('',(0.375,1.5,-0.12)); #517745=CARTESIAN_POINT('',(0.375,1.5,-0.12)); #517746=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #517747=CARTESIAN_POINT('',(0.375,1.5,-0.12)); #517748=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #517749=CARTESIAN_POINT('Origin',(-0.120000000000002,0.5,-0.12)); #517750=CARTESIAN_POINT('',(-0.12,1.41361293861773E-16,0.)); #517751=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #517752=CARTESIAN_POINT('',(-0.12,-4.33680868994202E-16,-0.12)); #517753=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #517754=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #517755=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #517756=CARTESIAN_POINT('',(-0.12,-0.1,-1.826)); #517757=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #517758=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-2.026)); #517759=CARTESIAN_POINT('Origin',(-0.119999999999999,-0.3,-1.826)); #517760=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-2.026)); #517761=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-2.026)); #517762=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.906)); #517763=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-2.026)); #517764=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.906)); #517765=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.906)); #517766=CARTESIAN_POINT('',(-0.12,-0.22,-1.826)); #517767=CARTESIAN_POINT('Origin',(-0.12,-0.3,-1.826)); #517768=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #517769=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #517770=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #517771=CARTESIAN_POINT('Origin',(0.12,-2.71050543121376E-17,-0.12)); #517772=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,0.)); #517773=CARTESIAN_POINT('',(0.12,0.5,0.)); #517774=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,0.)); #517775=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #517776=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #517777=CARTESIAN_POINT('',(0.12,-0.22,-1.826)); #517778=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #517779=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #517780=CARTESIAN_POINT('Origin',(0.12,-0.3,-1.826)); #517781=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.906)); #517782=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #517783=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #517784=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #517785=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #517786=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #517787=CARTESIAN_POINT('',(0.12,-0.1,-1.826)); #517788=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #517789=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #517790=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #517791=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,-0.12)); #517792=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #517793=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #517794=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,-0.12)); #517795=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #517796=CARTESIAN_POINT('Origin',(0.12,0.5,-0.12)); #517797=CARTESIAN_POINT('',(0.625,1.5,0.)); #517798=CARTESIAN_POINT('',(0.12,0.5,0.)); #517799=CARTESIAN_POINT('',(0.625,1.5,-0.12)); #517800=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #517801=CARTESIAN_POINT('',(0.625,1.5,-0.12)); #517802=CARTESIAN_POINT('Origin',(0.625,1.5,-0.12)); #517803=CARTESIAN_POINT('',(0.625,5.51,-0.08)); #517804=CARTESIAN_POINT('',(0.625,5.51,-0.04)); #517805=CARTESIAN_POINT('',(0.625,5.51,-0.12)); #517806=CARTESIAN_POINT('',(0.625,5.47,0.)); #517807=CARTESIAN_POINT('',(0.625,5.51,-0.04)); #517808=CARTESIAN_POINT('',(0.625,1.5,0.)); #517809=CARTESIAN_POINT('',(0.625,5.47,-0.12)); #517810=CARTESIAN_POINT('',(0.625,1.5,-0.12)); #517811=CARTESIAN_POINT('',(0.625,5.47,-0.12)); #517812=CARTESIAN_POINT('Origin',(0.625,5.51,-0.12)); #517813=CARTESIAN_POINT('',(0.375,5.51,-0.08)); #517814=CARTESIAN_POINT('',(0.375,5.51,-0.04)); #517815=CARTESIAN_POINT('',(0.375,5.51,-0.12)); #517816=CARTESIAN_POINT('',(0.625,5.51,-0.04)); #517817=CARTESIAN_POINT('',(0.625,5.51,-0.08)); #517818=CARTESIAN_POINT('Origin',(0.375,5.51,-0.12)); #517819=CARTESIAN_POINT('',(0.375,5.47,-0.12)); #517820=CARTESIAN_POINT('',(0.375,5.51,-0.12)); #517821=CARTESIAN_POINT('',(0.375,5.47,0.)); #517822=CARTESIAN_POINT('',(0.375,5.51,0.)); #517823=CARTESIAN_POINT('',(0.375,5.51,-0.04)); #517824=CARTESIAN_POINT('',(0.375,5.47,-0.12)); #517825=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #517826=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,-0.12)); #517827=CARTESIAN_POINT('',(0.375,5.47,-0.12)); #517828=CARTESIAN_POINT('Origin',(0.,0.,0.)); #517829=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,0.)); #517830=CARTESIAN_POINT('',(0.,5.47,0.)); #517831=CARTESIAN_POINT('Origin',(0.120000000000002,-0.888,-2.026)); #517832=CARTESIAN_POINT('',(0.120000000000002,-0.888,-2.026)); #517833=CARTESIAN_POINT('',(0.120000000000001,-0.888,-1.906)); #517834=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #517835=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #517836=CARTESIAN_POINT('Origin',(0.12,-0.0999999999999999,-0.22)); #517837=CARTESIAN_POINT('',(0.12,-0.1,-1.826)); #517838=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #517839=CARTESIAN_POINT('',(0.120000000000001,-0.3,-2.026)); #517840=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-2.026)); #517841=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #517842=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #517843=CARTESIAN_POINT('Origin',(0.12,-0.22,-0.22)); #517844=CARTESIAN_POINT('',(0.120000000000001,-0.22,-1.826)); #517845=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.826)); #517846=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.906)); #517847=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.906)); #517848=CARTESIAN_POINT('Origin',(0.,5.47,-0.12)); #517849=CARTESIAN_POINT('Origin',(0.625,5.51,-0.04)); #517850=CARTESIAN_POINT('',(0.,0.,0.)); #517851=CARTESIAN_POINT('Origin',(0.875,1.5,-0.12)); #517852=CARTESIAN_POINT('',(0.875,1.5,0.)); #517853=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #517854=CARTESIAN_POINT('',(0.875,1.5,0.)); #517855=CARTESIAN_POINT('',(0.875,1.5,-0.12)); #517856=CARTESIAN_POINT('',(0.875,1.5,-0.12)); #517857=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #517858=CARTESIAN_POINT('',(0.875,1.5,-0.12)); #517859=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #517860=CARTESIAN_POINT('Origin',(-0.120000000000002,0.5,-0.12)); #517861=CARTESIAN_POINT('',(-0.12,1.41361293861773E-16,0.)); #517862=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #517863=CARTESIAN_POINT('',(-0.12,-4.33680868994202E-16,-0.12)); #517864=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #517865=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #517866=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #517867=CARTESIAN_POINT('',(-0.12,-0.1,-1.248)); #517868=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #517869=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.448)); #517870=CARTESIAN_POINT('Origin',(-0.119999999999999,-0.3,-1.248)); #517871=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.448)); #517872=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.448)); #517873=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.328)); #517874=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.448)); #517875=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.328)); #517876=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.328)); #517877=CARTESIAN_POINT('',(-0.12,-0.22,-1.248)); #517878=CARTESIAN_POINT('Origin',(-0.12,-0.3,-1.248)); #517879=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #517880=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #517881=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #517882=CARTESIAN_POINT('Origin',(0.12,-2.71050543121376E-17,-0.12)); #517883=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,0.)); #517884=CARTESIAN_POINT('',(0.12,0.5,0.)); #517885=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,0.)); #517886=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #517887=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #517888=CARTESIAN_POINT('',(0.12,-0.22,-1.248)); #517889=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #517890=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #517891=CARTESIAN_POINT('Origin',(0.12,-0.3,-1.248)); #517892=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.328)); #517893=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #517894=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #517895=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #517896=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #517897=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #517898=CARTESIAN_POINT('',(0.12,-0.1,-1.248)); #517899=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #517900=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #517901=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #517902=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,-0.12)); #517903=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #517904=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #517905=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,-0.12)); #517906=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #517907=CARTESIAN_POINT('Origin',(0.12,0.5,-0.12)); #517908=CARTESIAN_POINT('',(1.125,1.5,0.)); #517909=CARTESIAN_POINT('',(0.12,0.5,0.)); #517910=CARTESIAN_POINT('',(1.125,1.5,-0.12)); #517911=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #517912=CARTESIAN_POINT('',(1.125,1.5,-0.12)); #517913=CARTESIAN_POINT('Origin',(1.125,1.5,-0.12)); #517914=CARTESIAN_POINT('',(1.125,5.51,-0.08)); #517915=CARTESIAN_POINT('',(1.125,5.51,-0.04)); #517916=CARTESIAN_POINT('',(1.125,5.51,-0.12)); #517917=CARTESIAN_POINT('',(1.125,5.47,0.)); #517918=CARTESIAN_POINT('',(1.125,5.51,-0.04)); #517919=CARTESIAN_POINT('',(1.125,1.5,0.)); #517920=CARTESIAN_POINT('',(1.125,5.47,-0.12)); #517921=CARTESIAN_POINT('',(1.125,1.5,-0.12)); #517922=CARTESIAN_POINT('',(1.125,5.47,-0.12)); #517923=CARTESIAN_POINT('Origin',(1.125,5.51,-0.12)); #517924=CARTESIAN_POINT('',(0.875,5.51,-0.08)); #517925=CARTESIAN_POINT('',(0.875,5.51,-0.04)); #517926=CARTESIAN_POINT('',(0.875,5.51,-0.12)); #517927=CARTESIAN_POINT('',(1.125,5.51,-0.04)); #517928=CARTESIAN_POINT('',(1.125,5.51,-0.08)); #517929=CARTESIAN_POINT('Origin',(0.875,5.51,-0.12)); #517930=CARTESIAN_POINT('',(0.875,5.47,-0.12)); #517931=CARTESIAN_POINT('',(0.875,5.51,-0.12)); #517932=CARTESIAN_POINT('',(0.875,5.47,0.)); #517933=CARTESIAN_POINT('',(0.875,5.51,0.)); #517934=CARTESIAN_POINT('',(0.875,5.51,-0.04)); #517935=CARTESIAN_POINT('',(0.875,5.47,-0.12)); #517936=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #517937=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,-0.12)); #517938=CARTESIAN_POINT('',(0.875,5.47,-0.12)); #517939=CARTESIAN_POINT('Origin',(0.,0.,0.)); #517940=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,0.)); #517941=CARTESIAN_POINT('',(0.,5.47,0.)); #517942=CARTESIAN_POINT('Origin',(0.120000000000002,-0.888,-1.448)); #517943=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #517944=CARTESIAN_POINT('',(0.120000000000001,-0.888,-1.328)); #517945=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #517946=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #517947=CARTESIAN_POINT('Origin',(0.12,-0.0999999999999999,-0.22)); #517948=CARTESIAN_POINT('',(0.12,-0.1,-1.248)); #517949=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #517950=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #517951=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.448)); #517952=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #517953=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #517954=CARTESIAN_POINT('Origin',(0.12,-0.22,-0.22)); #517955=CARTESIAN_POINT('',(0.120000000000001,-0.22,-1.248)); #517956=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #517957=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #517958=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.328)); #517959=CARTESIAN_POINT('Origin',(0.,5.47,-0.12)); #517960=CARTESIAN_POINT('Origin',(1.125,5.51,-0.04)); #517961=CARTESIAN_POINT('',(0.,0.,0.)); #517962=CARTESIAN_POINT('Origin',(0.375,1.5,-0.12)); #517963=CARTESIAN_POINT('',(0.375,1.5,0.)); #517964=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #517965=CARTESIAN_POINT('',(0.375,1.5,0.)); #517966=CARTESIAN_POINT('',(0.375,1.5,-0.12)); #517967=CARTESIAN_POINT('',(0.375,1.5,-0.12)); #517968=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #517969=CARTESIAN_POINT('',(0.375,1.5,-0.12)); #517970=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #517971=CARTESIAN_POINT('Origin',(-0.120000000000002,0.5,-0.12)); #517972=CARTESIAN_POINT('',(-0.12,1.41361293861773E-16,0.)); #517973=CARTESIAN_POINT('',(-0.120000000000002,0.5,0.)); #517974=CARTESIAN_POINT('',(-0.12,-4.33680868994202E-16,-0.12)); #517975=CARTESIAN_POINT('',(-0.120000000000002,0.5,-0.12)); #517976=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #517977=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #517978=CARTESIAN_POINT('',(-0.12,-0.1,-1.248)); #517979=CARTESIAN_POINT('',(-0.12,-0.0999999999999999,-0.22)); #517980=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.448)); #517981=CARTESIAN_POINT('Origin',(-0.119999999999999,-0.3,-1.248)); #517982=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.448)); #517983=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.448)); #517984=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.328)); #517985=CARTESIAN_POINT('',(-0.119999999999998,-0.888,-1.448)); #517986=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.328)); #517987=CARTESIAN_POINT('',(-0.119999999999999,-0.3,-1.328)); #517988=CARTESIAN_POINT('',(-0.12,-0.22,-1.248)); #517989=CARTESIAN_POINT('Origin',(-0.12,-0.3,-1.248)); #517990=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #517991=CARTESIAN_POINT('',(-0.12,-0.22,-0.22)); #517992=CARTESIAN_POINT('Origin',(-0.12,1.41361293861773E-16,-0.22)); #517993=CARTESIAN_POINT('Origin',(0.12,-2.71050543121376E-17,-0.12)); #517994=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,0.)); #517995=CARTESIAN_POINT('',(0.12,0.5,0.)); #517996=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,0.)); #517997=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #517998=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #517999=CARTESIAN_POINT('',(0.12,-0.22,-1.248)); #518000=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #518001=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #518002=CARTESIAN_POINT('Origin',(0.12,-0.3,-1.248)); #518003=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.328)); #518004=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #518005=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #518006=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #518007=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #518008=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #518009=CARTESIAN_POINT('',(0.12,-0.1,-1.248)); #518010=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #518011=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #518012=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #518013=CARTESIAN_POINT('',(0.12,1.76182853028894E-16,-0.12)); #518014=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #518015=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #518016=CARTESIAN_POINT('',(0.12,-2.71050543121376E-17,-0.12)); #518017=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #518018=CARTESIAN_POINT('Origin',(0.12,0.5,-0.12)); #518019=CARTESIAN_POINT('',(0.625,1.5,0.)); #518020=CARTESIAN_POINT('',(0.12,0.5,0.)); #518021=CARTESIAN_POINT('',(0.625,1.5,-0.12)); #518022=CARTESIAN_POINT('',(0.12,0.5,-0.12)); #518023=CARTESIAN_POINT('',(0.625,1.5,-0.12)); #518024=CARTESIAN_POINT('Origin',(0.625,1.5,-0.12)); #518025=CARTESIAN_POINT('',(0.625,5.51,-0.08)); #518026=CARTESIAN_POINT('',(0.625,5.51,-0.04)); #518027=CARTESIAN_POINT('',(0.625,5.51,-0.12)); #518028=CARTESIAN_POINT('',(0.625,5.47,0.)); #518029=CARTESIAN_POINT('',(0.625,5.51,-0.04)); #518030=CARTESIAN_POINT('',(0.625,1.5,0.)); #518031=CARTESIAN_POINT('',(0.625,5.47,-0.12)); #518032=CARTESIAN_POINT('',(0.625,1.5,-0.12)); #518033=CARTESIAN_POINT('',(0.625,5.47,-0.12)); #518034=CARTESIAN_POINT('Origin',(0.625,5.51,-0.12)); #518035=CARTESIAN_POINT('',(0.375,5.51,-0.08)); #518036=CARTESIAN_POINT('',(0.375,5.51,-0.04)); #518037=CARTESIAN_POINT('',(0.375,5.51,-0.12)); #518038=CARTESIAN_POINT('',(0.625,5.51,-0.04)); #518039=CARTESIAN_POINT('',(0.625,5.51,-0.08)); #518040=CARTESIAN_POINT('Origin',(0.375,5.51,-0.12)); #518041=CARTESIAN_POINT('',(0.375,5.47,-0.12)); #518042=CARTESIAN_POINT('',(0.375,5.51,-0.12)); #518043=CARTESIAN_POINT('',(0.375,5.47,0.)); #518044=CARTESIAN_POINT('',(0.375,5.51,0.)); #518045=CARTESIAN_POINT('',(0.375,5.51,-0.04)); #518046=CARTESIAN_POINT('',(0.375,5.47,-0.12)); #518047=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #518048=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,-0.12)); #518049=CARTESIAN_POINT('',(0.375,5.47,-0.12)); #518050=CARTESIAN_POINT('Origin',(0.,0.,0.)); #518051=CARTESIAN_POINT('',(-0.12,-2.03287907341032E-16,0.)); #518052=CARTESIAN_POINT('',(0.,5.47,0.)); #518053=CARTESIAN_POINT('Origin',(0.120000000000002,-0.888,-1.448)); #518054=CARTESIAN_POINT('',(0.120000000000002,-0.888,-1.448)); #518055=CARTESIAN_POINT('',(0.120000000000001,-0.888,-1.328)); #518056=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #518057=CARTESIAN_POINT('',(0.12,-0.0999999999999999,-0.22)); #518058=CARTESIAN_POINT('Origin',(0.12,-0.0999999999999999,-0.22)); #518059=CARTESIAN_POINT('',(0.12,-0.1,-1.248)); #518060=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #518061=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.448)); #518062=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.448)); #518063=CARTESIAN_POINT('Origin',(0.12,1.41361293861773E-16,-0.22)); #518064=CARTESIAN_POINT('',(0.12,-0.22,-0.22)); #518065=CARTESIAN_POINT('Origin',(0.12,-0.22,-0.22)); #518066=CARTESIAN_POINT('',(0.120000000000001,-0.22,-1.248)); #518067=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.248)); #518068=CARTESIAN_POINT('',(0.120000000000001,-0.3,-1.328)); #518069=CARTESIAN_POINT('Origin',(0.120000000000001,-0.3,-1.328)); #518070=CARTESIAN_POINT('Origin',(0.,5.47,-0.12)); #518071=CARTESIAN_POINT('Origin',(0.625,5.51,-0.04)); #518072=CARTESIAN_POINT('',(0.,0.,0.)); #518073=CARTESIAN_POINT('Origin',(2.895,-0.1,3.11694747782438)); #518074=CARTESIAN_POINT('',(2.895,0.05,3.11694747782438)); #518075=CARTESIAN_POINT('',(2.9195,0.05,2.76694747782438)); #518076=CARTESIAN_POINT('',(2.895,0.05,3.11694747782438)); #518077=CARTESIAN_POINT('',(2.9195,-0.05,2.76694747782438)); #518078=CARTESIAN_POINT('',(2.9195,-0.1,2.76694747782438)); #518079=CARTESIAN_POINT('',(2.895,-0.05,3.11694747782438)); #518080=CARTESIAN_POINT('',(2.895,-0.05,3.11694747782438)); #518081=CARTESIAN_POINT('',(2.895,-0.1,3.11694747782438)); #518082=CARTESIAN_POINT('Origin',(2.9195,-0.1,2.76694747782438)); #518083=CARTESIAN_POINT('',(-2.9195,0.05,2.76694747782438)); #518084=CARTESIAN_POINT('',(2.9195,0.05,2.76694747782438)); #518085=CARTESIAN_POINT('',(-2.9195,-0.05,2.76694747782438)); #518086=CARTESIAN_POINT('',(-2.9195,-0.1,2.76694747782438)); #518087=CARTESIAN_POINT('',(2.9195,-0.05,2.76694747782438)); #518088=CARTESIAN_POINT('Origin',(-2.895,-0.1,3.11694747782438)); #518089=CARTESIAN_POINT('',(-2.895,0.05,3.11694747782438)); #518090=CARTESIAN_POINT('',(-2.895,0.05,3.11694747782438)); #518091=CARTESIAN_POINT('',(-2.895,-0.05,3.11694747782438)); #518092=CARTESIAN_POINT('',(-2.895,-0.1,3.11694747782438)); #518093=CARTESIAN_POINT('',(-2.895,-0.05,3.11694747782438)); #518094=CARTESIAN_POINT('Origin',(-2.895,-0.1,3.11694747782438)); #518095=CARTESIAN_POINT('',(-2.975,0.05,3.11694747782438)); #518096=CARTESIAN_POINT('',(-2.895,0.05,3.11694747782438)); #518097=CARTESIAN_POINT('',(-2.975,-0.05,3.11694747782438)); #518098=CARTESIAN_POINT('',(-2.975,-0.1,3.11694747782438)); #518099=CARTESIAN_POINT('',(-2.895,-0.05,3.11694747782438)); #518100=CARTESIAN_POINT('Origin',(-2.975,-0.1,3.0176067609581)); #518101=CARTESIAN_POINT('',(-3.07,0.05,3.04665117569667)); #518102=CARTESIAN_POINT('Origin',(-2.975,0.05,3.0176067609581)); #518103=CARTESIAN_POINT('',(-3.07,-0.05,3.04665117569667)); #518104=CARTESIAN_POINT('',(-3.07,-0.1,3.04665117569667)); #518105=CARTESIAN_POINT('Origin',(-2.975,-0.05,3.0176067609581)); #518106=CARTESIAN_POINT('Origin',(-3.34,-0.1,2.16352096870596)); #518107=CARTESIAN_POINT('',(-3.34,0.05,2.16352096870596)); #518108=CARTESIAN_POINT('',(-3.34,0.05,2.16352096870596)); #518109=CARTESIAN_POINT('',(-3.34,-0.05,2.16352096870596)); #518110=CARTESIAN_POINT('',(-3.34,-0.1,2.16352096870596)); #518111=CARTESIAN_POINT('',(-3.34,-0.05,2.16352096870596)); #518112=CARTESIAN_POINT('Origin',(-3.34,-0.1,1.81694747782438)); #518113=CARTESIAN_POINT('',(-3.34,0.05,1.81694747782438)); #518114=CARTESIAN_POINT('',(-3.34,0.05,1.81694747782438)); #518115=CARTESIAN_POINT('',(-3.34,-0.05,1.81694747782438)); #518116=CARTESIAN_POINT('',(-3.34,-0.1,1.81694747782438)); #518117=CARTESIAN_POINT('',(-3.34,-0.05,1.81694747782438)); #518118=CARTESIAN_POINT('Origin',(-3.34,-0.1,1.81694747782438)); #518119=CARTESIAN_POINT('',(-3.295,0.05,1.81694747782438)); #518120=CARTESIAN_POINT('',(-3.34,0.05,1.81694747782438)); #518121=CARTESIAN_POINT('',(-3.295,-0.05,1.81694747782438)); #518122=CARTESIAN_POINT('',(-3.295,-0.1,1.81694747782438)); #518123=CARTESIAN_POINT('',(-3.34,-0.05,1.81694747782438)); #518124=CARTESIAN_POINT('Origin',(-3.295,-0.1,1.61694747782438)); #518125=CARTESIAN_POINT('',(-3.095,0.05,1.61694747782438)); #518126=CARTESIAN_POINT('Origin',(-3.295,0.05,1.61694747782438)); #518127=CARTESIAN_POINT('',(-3.095,-0.05,1.61694747782438)); #518128=CARTESIAN_POINT('',(-3.095,-0.1,1.61694747782438)); #518129=CARTESIAN_POINT('Origin',(-3.295,-0.05,1.61694747782438)); #518130=CARTESIAN_POINT('Origin',(-3.095,-0.1,1.61694747782438)); #518131=CARTESIAN_POINT('',(-3.095,0.05,0.)); #518132=CARTESIAN_POINT('',(-3.095,0.05,1.61694747782438)); #518133=CARTESIAN_POINT('',(-3.095,-0.05,0.)); #518134=CARTESIAN_POINT('',(-3.095,-0.1,0.)); #518135=CARTESIAN_POINT('',(-3.095,-0.05,1.61694747782438)); #518136=CARTESIAN_POINT('Origin',(-3.165,-0.1,0.)); #518137=CARTESIAN_POINT('',(-3.161,0.05,-0.0698856208386246)); #518138=CARTESIAN_POINT('Origin',(-3.165,0.05,0.)); #518139=CARTESIAN_POINT('',(-3.161,-0.05,-0.0698856208386246)); #518140=CARTESIAN_POINT('',(-3.161,-0.1,-0.0698856208386246)); #518141=CARTESIAN_POINT('Origin',(-3.165,-0.05,0.)); #518142=CARTESIAN_POINT('Origin',(-3.157,-0.1,-0.139771241677249)); #518143=CARTESIAN_POINT('',(-3.227,0.05,-0.139771241677249)); #518144=CARTESIAN_POINT('Origin',(-3.157,0.05,-0.139771241677249)); #518145=CARTESIAN_POINT('',(-3.227,-0.05,-0.139771241677249)); #518146=CARTESIAN_POINT('',(-3.227,-0.1,-0.139771241677249)); #518147=CARTESIAN_POINT('Origin',(-3.157,-0.05,-0.139771241677249)); #518148=CARTESIAN_POINT('Origin',(-3.227,-0.1,-0.139771241677249)); #518149=CARTESIAN_POINT('',(-3.227,0.05,-0.296087403728294)); #518150=CARTESIAN_POINT('',(-3.227,0.05,-0.139771241677249)); #518151=CARTESIAN_POINT('',(-3.227,-0.05,-0.296087403728294)); #518152=CARTESIAN_POINT('',(-3.227,-0.1,-0.296087403728294)); #518153=CARTESIAN_POINT('',(-3.227,-0.05,-0.139771241677249)); #518154=CARTESIAN_POINT('Origin',(-3.30805882352942,-0.1,-0.296087403728294)); #518155=CARTESIAN_POINT('',(-3.295,0.05,-0.376087403728294)); #518156=CARTESIAN_POINT('Origin',(-3.30805882352942,0.05,-0.296087403728294)); #518157=CARTESIAN_POINT('',(-3.295,-0.05,-0.376087403728294)); #518158=CARTESIAN_POINT('',(-3.295,-0.1,-0.376087403728294)); #518159=CARTESIAN_POINT('Origin',(-3.30805882352942,-0.05,-0.296087403728294)); #518160=CARTESIAN_POINT('Origin',(-3.295,-0.1,-0.376087403728294)); #518161=CARTESIAN_POINT('',(-3.295,0.05,-0.813348973774195)); #518162=CARTESIAN_POINT('',(-3.295,0.05,-0.376087403728294)); #518163=CARTESIAN_POINT('',(-3.295,-0.05,-0.813348973774195)); #518164=CARTESIAN_POINT('',(-3.295,-0.1,-0.813348973774195)); #518165=CARTESIAN_POINT('',(-3.295,-0.05,-0.376087403728294)); #518166=CARTESIAN_POINT('Origin',(-3.30805882352941,-0.1,-0.893348973774195)); #518167=CARTESIAN_POINT('',(-3.227,0.05,-0.893348973774195)); #518168=CARTESIAN_POINT('Origin',(-3.30805882352941,0.05,-0.893348973774195)); #518169=CARTESIAN_POINT('',(-3.227,-0.05,-0.893348973774195)); #518170=CARTESIAN_POINT('',(-3.227,-0.1,-0.893348973774195)); #518171=CARTESIAN_POINT('Origin',(-3.30805882352941,-0.05,-0.893348973774195)); #518172=CARTESIAN_POINT('Origin',(-3.227,-0.1,-0.893348973774195)); #518173=CARTESIAN_POINT('',(-3.227,0.05,-1.72340514389884)); #518174=CARTESIAN_POINT('',(-3.227,0.05,-0.893348973774195)); #518175=CARTESIAN_POINT('',(-3.227,-0.05,-1.72340514389884)); #518176=CARTESIAN_POINT('',(-3.227,-0.1,-1.72340514389884)); #518177=CARTESIAN_POINT('',(-3.227,-0.05,-0.893348973774195)); #518178=CARTESIAN_POINT('Origin',(-3.227,-0.1,-1.72340514389884)); #518179=CARTESIAN_POINT('',(-4.155,0.05,-1.72340514389884)); #518180=CARTESIAN_POINT('',(-3.227,0.05,-1.72340514389884)); #518181=CARTESIAN_POINT('',(-4.155,-0.05,-1.72340514389884)); #518182=CARTESIAN_POINT('',(-4.155,-0.1,-1.72340514389884)); #518183=CARTESIAN_POINT('',(-3.227,-0.05,-1.72340514389884)); #518184=CARTESIAN_POINT('Origin',(-4.155,-0.1,-1.72340514389884)); #518185=CARTESIAN_POINT('',(-4.155,0.05,-2.48305252217562)); #518186=CARTESIAN_POINT('',(-4.155,0.05,-1.72340514389884)); #518187=CARTESIAN_POINT('',(-4.155,-0.05,-2.48305252217562)); #518188=CARTESIAN_POINT('',(-4.155,-0.1,-2.48305252217562)); #518189=CARTESIAN_POINT('',(-4.155,-0.05,-1.72340514389884)); #518190=CARTESIAN_POINT('Origin',(4.155,-0.1,-2.48305252217562)); #518191=CARTESIAN_POINT('',(4.155,0.05,-2.48305252217562)); #518192=CARTESIAN_POINT('',(4.155,0.05,-2.48305252217562)); #518193=CARTESIAN_POINT('',(4.155,-0.05,-2.48305252217562)); #518194=CARTESIAN_POINT('',(4.155,-0.1,-2.48305252217562)); #518195=CARTESIAN_POINT('',(4.155,-0.05,-2.48305252217562)); #518196=CARTESIAN_POINT('Origin',(4.155,-0.1,-1.72340514389884)); #518197=CARTESIAN_POINT('',(4.155,0.05,-1.72340514389884)); #518198=CARTESIAN_POINT('',(4.155,0.05,-1.72340514389884)); #518199=CARTESIAN_POINT('',(4.155,-0.05,-1.72340514389884)); #518200=CARTESIAN_POINT('',(4.155,-0.1,-1.72340514389884)); #518201=CARTESIAN_POINT('',(4.155,-0.05,-1.72340514389884)); #518202=CARTESIAN_POINT('Origin',(3.227,-0.1,-1.72340514389884)); #518203=CARTESIAN_POINT('',(3.227,0.05,-1.72340514389884)); #518204=CARTESIAN_POINT('',(3.227,0.05,-1.72340514389884)); #518205=CARTESIAN_POINT('',(3.227,-0.05,-1.72340514389884)); #518206=CARTESIAN_POINT('',(3.227,-0.1,-1.72340514389884)); #518207=CARTESIAN_POINT('',(3.227,-0.05,-1.72340514389884)); #518208=CARTESIAN_POINT('Origin',(3.227,-0.1,-0.893348973774195)); #518209=CARTESIAN_POINT('',(3.227,0.05,-0.893348973774195)); #518210=CARTESIAN_POINT('',(3.227,0.05,-0.893348973774195)); #518211=CARTESIAN_POINT('',(3.227,-0.05,-0.893348973774195)); #518212=CARTESIAN_POINT('',(3.227,-0.1,-0.893348973774195)); #518213=CARTESIAN_POINT('',(3.227,-0.05,-0.893348973774195)); #518214=CARTESIAN_POINT('Origin',(3.30805882352941,-0.1,-0.893348973774195)); #518215=CARTESIAN_POINT('',(3.295,0.05,-0.813348973774195)); #518216=CARTESIAN_POINT('Origin',(3.30805882352941,0.05,-0.893348973774195)); #518217=CARTESIAN_POINT('',(3.295,-0.05,-0.813348973774195)); #518218=CARTESIAN_POINT('',(3.295,-0.1,-0.813348973774195)); #518219=CARTESIAN_POINT('Origin',(3.30805882352941,-0.05,-0.893348973774195)); #518220=CARTESIAN_POINT('Origin',(3.295,-0.1,-0.376087403728294)); #518221=CARTESIAN_POINT('',(3.295,0.05,-0.376087403728294)); #518222=CARTESIAN_POINT('',(3.295,0.05,-0.376087403728294)); #518223=CARTESIAN_POINT('',(3.295,-0.05,-0.376087403728294)); #518224=CARTESIAN_POINT('',(3.295,-0.1,-0.376087403728294)); #518225=CARTESIAN_POINT('',(3.295,-0.05,-0.376087403728294)); #518226=CARTESIAN_POINT('Origin',(3.30805882352942,-0.1,-0.296087403728294)); #518227=CARTESIAN_POINT('',(3.227,0.05,-0.296087403728294)); #518228=CARTESIAN_POINT('Origin',(3.30805882352942,0.05,-0.296087403728294)); #518229=CARTESIAN_POINT('',(3.227,-0.05,-0.296087403728294)); #518230=CARTESIAN_POINT('',(3.227,-0.1,-0.296087403728294)); #518231=CARTESIAN_POINT('Origin',(3.30805882352942,-0.05,-0.296087403728294)); #518232=CARTESIAN_POINT('Origin',(3.227,-0.1,-0.139771241677249)); #518233=CARTESIAN_POINT('',(3.227,0.05,-0.139771241677249)); #518234=CARTESIAN_POINT('',(3.227,0.05,-0.139771241677249)); #518235=CARTESIAN_POINT('',(3.227,-0.05,-0.139771241677249)); #518236=CARTESIAN_POINT('',(3.227,-0.1,-0.139771241677249)); #518237=CARTESIAN_POINT('',(3.227,-0.05,-0.139771241677249)); #518238=CARTESIAN_POINT('Origin',(3.157,-0.1,-0.139771241677249)); #518239=CARTESIAN_POINT('',(3.161,0.05,-0.0698856208386246)); #518240=CARTESIAN_POINT('Origin',(3.157,0.05,-0.139771241677249)); #518241=CARTESIAN_POINT('',(3.161,-0.05,-0.0698856208386246)); #518242=CARTESIAN_POINT('',(3.161,-0.1,-0.0698856208386246)); #518243=CARTESIAN_POINT('Origin',(3.157,-0.05,-0.139771241677249)); #518244=CARTESIAN_POINT('Origin',(3.165,-0.1,0.)); #518245=CARTESIAN_POINT('',(3.095,0.05,-8.57252759403147E-18)); #518246=CARTESIAN_POINT('Origin',(3.165,0.05,0.)); #518247=CARTESIAN_POINT('',(3.095,-0.05,-8.57252759403147E-18)); #518248=CARTESIAN_POINT('',(3.095,-0.1,-8.57252759403147E-18)); #518249=CARTESIAN_POINT('Origin',(3.165,-0.05,0.)); #518250=CARTESIAN_POINT('Origin',(3.095,-0.1,1.61694747782438)); #518251=CARTESIAN_POINT('',(3.095,0.05,1.61694747782438)); #518252=CARTESIAN_POINT('',(3.095,0.05,1.61694747782438)); #518253=CARTESIAN_POINT('',(3.095,-0.05,1.61694747782438)); #518254=CARTESIAN_POINT('',(3.095,-0.1,1.61694747782438)); #518255=CARTESIAN_POINT('',(3.095,-0.05,1.61694747782438)); #518256=CARTESIAN_POINT('Origin',(3.295,-0.1,1.61694747782438)); #518257=CARTESIAN_POINT('',(3.295,0.05,1.81694747782438)); #518258=CARTESIAN_POINT('Origin',(3.295,0.05,1.61694747782438)); #518259=CARTESIAN_POINT('',(3.295,-0.05,1.81694747782438)); #518260=CARTESIAN_POINT('',(3.295,-0.1,1.81694747782438)); #518261=CARTESIAN_POINT('Origin',(3.295,-0.05,1.61694747782438)); #518262=CARTESIAN_POINT('Origin',(3.34,-0.1,1.81694747782438)); #518263=CARTESIAN_POINT('',(3.34,0.05,1.81694747782438)); #518264=CARTESIAN_POINT('',(3.34,0.05,1.81694747782438)); #518265=CARTESIAN_POINT('',(3.34,-0.05,1.81694747782438)); #518266=CARTESIAN_POINT('',(3.34,-0.1,1.81694747782438)); #518267=CARTESIAN_POINT('',(3.34,-0.05,1.81694747782438)); #518268=CARTESIAN_POINT('Origin',(3.34,-0.1,1.81694747782438)); #518269=CARTESIAN_POINT('',(3.34,0.05,2.16352096870596)); #518270=CARTESIAN_POINT('',(3.34,0.05,1.81694747782438)); #518271=CARTESIAN_POINT('',(3.34,-0.05,2.16352096870596)); #518272=CARTESIAN_POINT('',(3.34,-0.1,2.16352096870596)); #518273=CARTESIAN_POINT('',(3.34,-0.05,1.81694747782438)); #518274=CARTESIAN_POINT('Origin',(3.34,-0.1,2.16352096870596)); #518275=CARTESIAN_POINT('',(3.07,0.05,3.04665117569667)); #518276=CARTESIAN_POINT('',(3.34,0.05,2.16352096870596)); #518277=CARTESIAN_POINT('',(3.07,-0.05,3.04665117569667)); #518278=CARTESIAN_POINT('',(3.07,-0.1,3.04665117569667)); #518279=CARTESIAN_POINT('',(3.34,-0.05,2.16352096870596)); #518280=CARTESIAN_POINT('Origin',(2.975,-0.1,3.0176067609581)); #518281=CARTESIAN_POINT('',(2.975,0.05,3.11694747782438)); #518282=CARTESIAN_POINT('Origin',(2.975,0.05,3.0176067609581)); #518283=CARTESIAN_POINT('',(2.975,-0.05,3.11694747782438)); #518284=CARTESIAN_POINT('',(2.975,-0.1,3.11694747782438)); #518285=CARTESIAN_POINT('Origin',(2.975,-0.05,3.0176067609581)); #518286=CARTESIAN_POINT('Origin',(2.895,-0.1,3.11694747782438)); #518287=CARTESIAN_POINT('',(2.895,0.05,3.11694747782438)); #518288=CARTESIAN_POINT('',(2.895,-0.05,3.11694747782438)); #518289=CARTESIAN_POINT('Origin',(-2.975,-0.05,3.0176067609581)); #518290=CARTESIAN_POINT('Origin',(-2.975,0.05,3.0176067609581)); #518291=CARTESIAN_POINT('',(0.,0.,0.)); #518292=CARTESIAN_POINT('Origin',(-0.51,3.49,-1.28)); #518293=CARTESIAN_POINT('',(-0.51,1.89,-1.28)); #518294=CARTESIAN_POINT('',(-0.510000000000001,1.04,-1.28)); #518295=CARTESIAN_POINT('',(-0.51,3.49,-1.28)); #518296=CARTESIAN_POINT('',(-0.51,1.04,-1.58)); #518297=CARTESIAN_POINT('',(-0.510000000000001,1.04,-1.28)); #518298=CARTESIAN_POINT('',(-0.51,1.89,-1.58)); #518299=CARTESIAN_POINT('',(-0.51,3.49,-1.58)); #518300=CARTESIAN_POINT('',(-0.51,1.89,-1.28)); #518301=CARTESIAN_POINT('Origin',(-0.51,3.49,-1.28)); #518302=CARTESIAN_POINT('',(-0.51,-0.0800000000000011,-1.28)); #518303=CARTESIAN_POINT('',(-0.51,-0.0800000000000011,-1.58)); #518304=CARTESIAN_POINT('',(-0.51,-0.0800000000000011,-1.28)); #518305=CARTESIAN_POINT('',(-0.51,-1.27,-1.28)); #518306=CARTESIAN_POINT('',(-0.51,3.49,-1.28)); #518307=CARTESIAN_POINT('',(-0.51,-1.27,-1.58)); #518308=CARTESIAN_POINT('',(-0.51,-1.27,-1.28)); #518309=CARTESIAN_POINT('',(-0.51,3.49,-1.58)); #518310=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.28)); #518311=CARTESIAN_POINT('',(-0.299420132394812,0.91378558069326,-1.28)); #518312=CARTESIAN_POINT('',(-0.500000000000001,1.04,-1.28)); #518313=CARTESIAN_POINT('Origin',(-0.360000000000001,1.04,-1.28)); #518314=CARTESIAN_POINT('',(0.299420132394811,1.20121441930674,-1.28)); #518315=CARTESIAN_POINT('',(0.54255018588915,1.31791096263698,-1.28)); #518316=CARTESIAN_POINT('',(0.499999999999999,1.075,-1.28)); #518317=CARTESIAN_POINT('Origin',(0.359999999999999,1.075,-1.28)); #518318=CARTESIAN_POINT('',(0.5,-0.115,-1.28)); #518319=CARTESIAN_POINT('',(0.499999999999998,3.49,-1.28)); #518320=CARTESIAN_POINT('',(0.299420132394811,-0.241214419306741,-1.28)); #518321=CARTESIAN_POINT('Origin',(0.36,-0.115,-1.28)); #518322=CARTESIAN_POINT('',(-0.299420132394812,0.0462144193067397,-1.28)); #518323=CARTESIAN_POINT('',(-1.80588032049035,0.769278861932123,-1.28)); #518324=CARTESIAN_POINT('',(-0.5,-0.0800000000000011,-1.28)); #518325=CARTESIAN_POINT('Origin',(-0.36,-0.080000000000001,-1.28)); #518326=CARTESIAN_POINT('',(-0.5,-1.27,-1.28)); #518327=CARTESIAN_POINT('',(-0.5,3.49,-1.28)); #518328=CARTESIAN_POINT('',(-0.299420132394812,-1.39621441930674,-1.28)); #518329=CARTESIAN_POINT('Origin',(-0.36,-1.27,-1.28)); #518330=CARTESIAN_POINT('',(0.299420132394811,-1.10878558069326,-1.28)); #518331=CARTESIAN_POINT('',(1.44369212438361,-0.559562709330698,-1.28)); #518332=CARTESIAN_POINT('',(0.5,-1.235,-1.28)); #518333=CARTESIAN_POINT('Origin',(0.36,-1.235,-1.28)); #518334=CARTESIAN_POINT('',(0.5,-2.425,-1.28)); #518335=CARTESIAN_POINT('',(0.5,3.49,-1.28)); #518336=CARTESIAN_POINT('',(0.299420132394811,-2.55121441930674,-1.28)); #518337=CARTESIAN_POINT('Origin',(0.36,-2.425,-1.28)); #518338=CARTESIAN_POINT('',(-0.299420132394812,-2.26378558069326,-1.28)); #518339=CARTESIAN_POINT('',(-2.70702225898481,-1.10819481003555,-1.28)); #518340=CARTESIAN_POINT('',(-0.5,-2.39,-1.28)); #518341=CARTESIAN_POINT('Origin',(-0.36,-2.39,-1.28)); #518342=CARTESIAN_POINT('',(-0.5,-3.39,-1.28)); #518343=CARTESIAN_POINT('',(-0.5,3.49,-1.28)); #518344=CARTESIAN_POINT('',(3.19,-3.39,-1.28)); #518345=CARTESIAN_POINT('',(-0.5,-3.39,-1.28)); #518346=CARTESIAN_POINT('',(3.19,-1.69,-1.28)); #518347=CARTESIAN_POINT('',(3.19,3.49,-1.28)); #518348=CARTESIAN_POINT('',(2.46,-1.69,-1.28)); #518349=CARTESIAN_POINT('',(-0.500000000000001,-1.69,-1.28)); #518350=CARTESIAN_POINT('',(2.26,-1.49,-1.28)); #518351=CARTESIAN_POINT('Origin',(2.46,-1.49,-1.28)); #518352=CARTESIAN_POINT('',(2.26,-0.804854114414314,-1.28)); #518353=CARTESIAN_POINT('',(2.26,3.49,-1.28)); #518354=CARTESIAN_POINT('',(2.207,-0.804854114414314,-1.28)); #518355=CARTESIAN_POINT('',(-0.5,-0.804854114414314,-1.28)); #518356=CARTESIAN_POINT('',(2.057,-0.654854114414314,-1.28)); #518357=CARTESIAN_POINT('Origin',(2.207,-0.654854114414314,-1.28)); #518358=CARTESIAN_POINT('',(2.057,-0.104854114414314,-1.28)); #518359=CARTESIAN_POINT('',(2.057,3.49,-1.28)); #518360=CARTESIAN_POINT('',(2.257,0.0951458855856859,-1.28)); #518361=CARTESIAN_POINT('Origin',(2.257,-0.104854114414314,-1.28)); #518362=CARTESIAN_POINT('',(2.857,0.0951458855856859,-1.28)); #518363=CARTESIAN_POINT('',(-0.5,0.095145885585686,-1.28)); #518364=CARTESIAN_POINT('',(3.05241633642548,0.252572942792843,-1.28)); #518365=CARTESIAN_POINT('Origin',(2.857,0.295145885585686,-1.28)); #518366=CARTESIAN_POINT('',(3.19,0.401455953030751,-1.28)); #518367=CARTESIAN_POINT('Origin',(3.24783267285096,0.21,-1.28)); #518368=CARTESIAN_POINT('',(3.19,2.14,-1.28)); #518369=CARTESIAN_POINT('',(3.19,3.49,-1.28)); #518370=CARTESIAN_POINT('',(2.99,2.34,-1.28)); #518371=CARTESIAN_POINT('Origin',(2.99,2.14,-1.28)); #518372=CARTESIAN_POINT('',(2.23,2.34,-1.28)); #518373=CARTESIAN_POINT('',(-0.500000000000001,2.34,-1.28)); #518374=CARTESIAN_POINT('',(2.086,2.39974148115528,-1.28)); #518375=CARTESIAN_POINT('Origin',(2.23,2.54341849666777,-1.28)); #518376=CARTESIAN_POINT('',(1.856,2.63025851884472,-1.28)); #518377=CARTESIAN_POINT('',(0.250775498836637,4.23909154856077,-1.28)); #518378=CARTESIAN_POINT('',(1.712,2.69,-1.28)); #518379=CARTESIAN_POINT('Origin',(1.712,2.48658150333223,-1.28)); #518380=CARTESIAN_POINT('',(1.042,2.69,-1.28)); #518381=CARTESIAN_POINT('',(-0.500000000000001,2.69,-1.28)); #518382=CARTESIAN_POINT('',(1.042,2.09385164807134,-1.28)); #518383=CARTESIAN_POINT('',(1.042,2.69,-1.28)); #518384=CARTESIAN_POINT('',(0.902,1.89,-1.28)); #518385=CARTESIAN_POINT('Origin',(0.902,2.04,-1.28)); #518386=CARTESIAN_POINT('',(-0.5,1.89,-1.28)); #518387=CARTESIAN_POINT('',(-0.5,1.89,-1.28)); #518388=CARTESIAN_POINT('',(-0.5,3.49,-1.28)); #518389=CARTESIAN_POINT('',(2.64,1.29,-1.28)); #518390=CARTESIAN_POINT('',(1.36,1.29,-1.28)); #518391=CARTESIAN_POINT('',(2.64,1.29,-1.28)); #518392=CARTESIAN_POINT('',(1.36,1.292,-1.28)); #518393=CARTESIAN_POINT('',(1.36,1.29,-1.28)); #518394=CARTESIAN_POINT('',(1.36,1.788,-1.28)); #518395=CARTESIAN_POINT('',(1.36,1.788,-1.28)); #518396=CARTESIAN_POINT('',(1.36,1.79,-1.28)); #518397=CARTESIAN_POINT('',(1.36,1.29,-1.28)); #518398=CARTESIAN_POINT('',(2.64,1.79,-1.28)); #518399=CARTESIAN_POINT('',(1.36,1.79,-1.28)); #518400=CARTESIAN_POINT('',(2.64,1.788,-1.28)); #518401=CARTESIAN_POINT('',(2.64,1.79,-1.28)); #518402=CARTESIAN_POINT('',(2.64,1.292,-1.28)); #518403=CARTESIAN_POINT('',(2.64,1.788,-1.28)); #518404=CARTESIAN_POINT('',(2.64,1.79,-1.28)); #518405=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.58)); #518406=CARTESIAN_POINT('',(-0.299420132394812,0.913785580693259,-1.58)); #518407=CARTESIAN_POINT('',(0.299420132394811,1.20121441930674,-1.58)); #518408=CARTESIAN_POINT('',(-0.299420132394812,0.913785580693259,-1.58)); #518409=CARTESIAN_POINT('',(-0.500000000000001,1.04,-1.58)); #518410=CARTESIAN_POINT('Origin',(-0.360000000000001,1.04,-1.58)); #518411=CARTESIAN_POINT('',(-0.5,1.89,-1.58)); #518412=CARTESIAN_POINT('',(-0.5,3.49,-1.58)); #518413=CARTESIAN_POINT('',(0.902,1.89,-1.58)); #518414=CARTESIAN_POINT('',(-0.902,1.89,-1.58)); #518415=CARTESIAN_POINT('',(1.042,2.09385164807134,-1.58)); #518416=CARTESIAN_POINT('Origin',(0.902,2.04,-1.58)); #518417=CARTESIAN_POINT('',(1.042,2.69,-1.58)); #518418=CARTESIAN_POINT('',(1.042,2.69,-1.58)); #518419=CARTESIAN_POINT('',(1.712,2.69,-1.58)); #518420=CARTESIAN_POINT('',(1.712,2.69,-1.58)); #518421=CARTESIAN_POINT('',(1.856,2.63025851884472,-1.58)); #518422=CARTESIAN_POINT('Origin',(1.712,2.48658150333223,-1.58)); #518423=CARTESIAN_POINT('',(2.086,2.39974148115528,-1.58)); #518424=CARTESIAN_POINT('',(2.086,2.39974148115528,-1.58)); #518425=CARTESIAN_POINT('',(2.23,2.34,-1.58)); #518426=CARTESIAN_POINT('Origin',(2.23,2.54341849666777,-1.58)); #518427=CARTESIAN_POINT('',(2.99,2.34,-1.58)); #518428=CARTESIAN_POINT('',(2.99,2.34,-1.58)); #518429=CARTESIAN_POINT('',(3.19,2.14,-1.58)); #518430=CARTESIAN_POINT('Origin',(2.99,2.14,-1.58)); #518431=CARTESIAN_POINT('',(3.19,0.401455953030752,-1.58)); #518432=CARTESIAN_POINT('',(3.19,3.49,-1.58)); #518433=CARTESIAN_POINT('',(3.05241633642548,0.252572942792843,-1.58)); #518434=CARTESIAN_POINT('Origin',(3.24783267285096,0.21,-1.58)); #518435=CARTESIAN_POINT('',(2.857,0.0951458855856859,-1.58)); #518436=CARTESIAN_POINT('Origin',(2.857,0.295145885585686,-1.58)); #518437=CARTESIAN_POINT('',(2.257,0.0951458855856859,-1.58)); #518438=CARTESIAN_POINT('',(2.257,0.0951458855856859,-1.58)); #518439=CARTESIAN_POINT('',(2.057,-0.104854114414314,-1.58)); #518440=CARTESIAN_POINT('Origin',(2.257,-0.104854114414314,-1.58)); #518441=CARTESIAN_POINT('',(2.057,-0.654854114414314,-1.58)); #518442=CARTESIAN_POINT('',(2.057,-0.654854114414314,-1.58)); #518443=CARTESIAN_POINT('',(2.207,-0.804854114414314,-1.58)); #518444=CARTESIAN_POINT('Origin',(2.207,-0.654854114414314,-1.58)); #518445=CARTESIAN_POINT('',(2.26,-0.804854114414314,-1.58)); #518446=CARTESIAN_POINT('',(2.26,-0.804854114414314,-1.58)); #518447=CARTESIAN_POINT('',(2.26,-1.49,-1.58)); #518448=CARTESIAN_POINT('',(2.26,-1.49,-1.58)); #518449=CARTESIAN_POINT('',(2.46,-1.69,-1.58)); #518450=CARTESIAN_POINT('Origin',(2.46,-1.49,-1.58)); #518451=CARTESIAN_POINT('',(3.19,-1.69,-1.58)); #518452=CARTESIAN_POINT('',(4.47,-1.69,-1.58)); #518453=CARTESIAN_POINT('',(3.19,-3.49,-1.58)); #518454=CARTESIAN_POINT('',(3.19,3.49,-1.58)); #518455=CARTESIAN_POINT('',(-0.5,-3.49,-1.58)); #518456=CARTESIAN_POINT('',(-0.5,-3.49,-1.58)); #518457=CARTESIAN_POINT('',(-0.5,-2.39,-1.58)); #518458=CARTESIAN_POINT('',(-0.5,3.49,-1.58)); #518459=CARTESIAN_POINT('',(-0.299420132394812,-2.26378558069326,-1.58)); #518460=CARTESIAN_POINT('Origin',(-0.36,-2.39,-1.58)); #518461=CARTESIAN_POINT('',(0.299420132394811,-2.55121441930674,-1.58)); #518462=CARTESIAN_POINT('',(-0.299420132394812,-2.26378558069326,-1.58)); #518463=CARTESIAN_POINT('',(0.5,-2.425,-1.58)); #518464=CARTESIAN_POINT('Origin',(0.36,-2.425,-1.58)); #518465=CARTESIAN_POINT('',(0.5,-1.235,-1.58)); #518466=CARTESIAN_POINT('',(0.5,-2.425,-1.58)); #518467=CARTESIAN_POINT('',(0.299420132394811,-1.10878558069326,-1.58)); #518468=CARTESIAN_POINT('Origin',(0.36,-1.235,-1.58)); #518469=CARTESIAN_POINT('',(-0.299420132394812,-1.39621441930674,-1.58)); #518470=CARTESIAN_POINT('',(0.299420132394811,-1.10878558069326,-1.58)); #518471=CARTESIAN_POINT('',(-0.5,-1.27,-1.58)); #518472=CARTESIAN_POINT('Origin',(-0.36,-1.27,-1.58)); #518473=CARTESIAN_POINT('',(-0.5,-0.0800000000000011,-1.58)); #518474=CARTESIAN_POINT('',(-0.5,3.49,-1.58)); #518475=CARTESIAN_POINT('',(-0.299420132394812,0.0462144193067397,-1.58)); #518476=CARTESIAN_POINT('Origin',(-0.36,-0.080000000000001,-1.58)); #518477=CARTESIAN_POINT('',(0.299420132394811,-0.241214419306741,-1.58)); #518478=CARTESIAN_POINT('',(0.299420132394811,-0.241214419306741,-1.58)); #518479=CARTESIAN_POINT('',(0.5,-0.115,-1.58)); #518480=CARTESIAN_POINT('Origin',(0.36,-0.115,-1.58)); #518481=CARTESIAN_POINT('',(0.499999999999999,1.075,-1.58)); #518482=CARTESIAN_POINT('',(0.499999999999999,1.075,-1.58)); #518483=CARTESIAN_POINT('Origin',(0.359999999999999,1.075,-1.58)); #518484=CARTESIAN_POINT('',(1.36,1.29,-1.58)); #518485=CARTESIAN_POINT('',(1.36,1.292,-1.58)); #518486=CARTESIAN_POINT('',(1.36,1.29,-1.58)); #518487=CARTESIAN_POINT('',(2.64,1.29,-1.58)); #518488=CARTESIAN_POINT('',(2.64,1.29,-1.58)); #518489=CARTESIAN_POINT('',(2.64,1.292,-1.58)); #518490=CARTESIAN_POINT('',(2.64,1.79,-1.58)); #518491=CARTESIAN_POINT('',(2.64,1.788,-1.58)); #518492=CARTESIAN_POINT('',(2.64,1.788,-1.58)); #518493=CARTESIAN_POINT('',(2.64,1.79,-1.58)); #518494=CARTESIAN_POINT('',(2.64,1.79,-1.58)); #518495=CARTESIAN_POINT('',(1.36,1.79,-1.58)); #518496=CARTESIAN_POINT('',(1.36,1.79,-1.58)); #518497=CARTESIAN_POINT('',(1.36,1.788,-1.58)); #518498=CARTESIAN_POINT('',(1.36,1.29,-1.58)); #518499=CARTESIAN_POINT('',(1.36,1.788,-1.58)); #518500=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.28)); #518501=CARTESIAN_POINT('',(-0.499999999999999,1.04,11.2985643801531)); #518502=CARTESIAN_POINT('',(-0.5,1.89,-1.28)); #518503=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.28)); #518504=CARTESIAN_POINT('',(-0.499999999999999,-2.39,11.2985643801531)); #518505=CARTESIAN_POINT('',(-0.5,-3.49,-1.38)); #518506=CARTESIAN_POINT('',(-0.5,-3.49,-1.28)); #518507=CARTESIAN_POINT('',(-0.5,0.050000000000004,2.16)); #518508=CARTESIAN_POINT('Origin',(2.75,3.64,1.28)); #518509=CARTESIAN_POINT('',(2.75,3.49,1.28)); #518510=CARTESIAN_POINT('',(2.60857864376269,3.59,1.28)); #518511=CARTESIAN_POINT('Origin',(2.75,3.64,1.28)); #518512=CARTESIAN_POINT('',(2.60857864376269,3.59,1.37903336799206)); #518513=CARTESIAN_POINT('',(2.60857864376269,3.59,1.58)); #518514=CARTESIAN_POINT('',(2.60857864376269,3.59,1.58)); #518515=CARTESIAN_POINT('',(2.60857864376269,3.59,1.58)); #518516=CARTESIAN_POINT('',(2.75,3.49,1.58)); #518517=CARTESIAN_POINT('Origin',(2.75,3.64,1.58)); #518518=CARTESIAN_POINT('',(2.75,3.49,1.28)); #518519=CARTESIAN_POINT('Origin',(-1.6,3.64,1.28)); #518520=CARTESIAN_POINT('',(-1.6,3.49,1.28)); #518521=CARTESIAN_POINT('',(-1.74142135623731,3.59,1.28)); #518522=CARTESIAN_POINT('Origin',(-1.6,3.64,1.28)); #518523=CARTESIAN_POINT('',(-1.74142135623731,3.59,1.37903336799205)); #518524=CARTESIAN_POINT('',(-1.74142135623731,3.59,1.58)); #518525=CARTESIAN_POINT('',(-1.74142135623731,3.59,1.58)); #518526=CARTESIAN_POINT('',(-1.74142135623731,3.59,1.58)); #518527=CARTESIAN_POINT('',(-1.6,3.49,1.58)); #518528=CARTESIAN_POINT('Origin',(-1.6,3.64,1.58)); #518529=CARTESIAN_POINT('',(-1.6,3.49,1.28)); #518530=CARTESIAN_POINT('Origin',(3.19,3.49,1.28)); #518531=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.28)); #518532=CARTESIAN_POINT('',(-2.75,3.49,1.28)); #518533=CARTESIAN_POINT('Origin',(-2.75,3.64,1.28)); #518534=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.28)); #518535=CARTESIAN_POINT('',(1.6,3.49,1.28)); #518536=CARTESIAN_POINT('',(3.19,3.49,1.28)); #518537=CARTESIAN_POINT('',(1.74142135623731,3.59,1.28)); #518538=CARTESIAN_POINT('Origin',(1.6,3.64,1.28)); #518539=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.28)); #518540=CARTESIAN_POINT('',(3.19,3.49,1.28)); #518541=CARTESIAN_POINT('',(3.19,3.49,1.28)); #518542=CARTESIAN_POINT('',(3.19,-3.39,1.28)); #518543=CARTESIAN_POINT('',(3.19,3.49,1.28)); #518544=CARTESIAN_POINT('',(-3.19,-3.39,1.28)); #518545=CARTESIAN_POINT('',(3.19,-3.39,1.28)); #518546=CARTESIAN_POINT('',(-3.19,3.49,1.28)); #518547=CARTESIAN_POINT('',(-3.19,3.49,1.28)); #518548=CARTESIAN_POINT('',(3.19,3.49,1.28)); #518549=CARTESIAN_POINT('',(1.36,1.79,1.28)); #518550=CARTESIAN_POINT('',(1.36,1.788,1.28)); #518551=CARTESIAN_POINT('',(1.36,1.79,1.28)); #518552=CARTESIAN_POINT('',(1.36,1.292,1.28)); #518553=CARTESIAN_POINT('',(1.36,1.788,1.28)); #518554=CARTESIAN_POINT('',(1.36,1.29,1.28)); #518555=CARTESIAN_POINT('',(1.36,1.79,1.28)); #518556=CARTESIAN_POINT('',(2.64,1.29,1.28)); #518557=CARTESIAN_POINT('',(1.36,1.29,1.28)); #518558=CARTESIAN_POINT('',(2.64,1.292,1.28)); #518559=CARTESIAN_POINT('',(2.64,1.29,1.28)); #518560=CARTESIAN_POINT('',(2.64,1.788,1.28)); #518561=CARTESIAN_POINT('',(2.64,1.788,1.28)); #518562=CARTESIAN_POINT('',(2.64,1.79,1.28)); #518563=CARTESIAN_POINT('',(2.64,1.29,1.28)); #518564=CARTESIAN_POINT('',(2.64,1.79,1.28)); #518565=CARTESIAN_POINT('',(-2.64,1.29,1.28)); #518566=CARTESIAN_POINT('',(-1.36,1.29,1.28)); #518567=CARTESIAN_POINT('',(-2.64,1.29,1.28)); #518568=CARTESIAN_POINT('',(-1.36,1.292,1.28)); #518569=CARTESIAN_POINT('',(-1.36,1.29,1.28)); #518570=CARTESIAN_POINT('',(-1.36,1.788,1.28)); #518571=CARTESIAN_POINT('',(-1.36,1.788,1.28)); #518572=CARTESIAN_POINT('',(-1.36,1.79,1.28)); #518573=CARTESIAN_POINT('',(-1.36,1.29,1.28)); #518574=CARTESIAN_POINT('',(-2.64,1.79,1.28)); #518575=CARTESIAN_POINT('',(-1.36,1.79,1.28)); #518576=CARTESIAN_POINT('',(-2.64,1.788,1.28)); #518577=CARTESIAN_POINT('',(-2.64,1.79,1.28)); #518578=CARTESIAN_POINT('',(-2.64,1.292,1.28)); #518579=CARTESIAN_POINT('',(-2.64,1.788,1.28)); #518580=CARTESIAN_POINT('',(-2.64,1.79,1.28)); #518581=CARTESIAN_POINT('Origin',(-4.17,3.49,0.300000000000001)); #518582=CARTESIAN_POINT('',(-4.17,1.94,-0.279999999999999)); #518583=CARTESIAN_POINT('',(-4.17,1.94,-0.300000000000001)); #518584=CARTESIAN_POINT('',(-4.17,1.94,0.300000000000001)); #518585=CARTESIAN_POINT('',(-4.17,2.34,-0.279999999999999)); #518586=CARTESIAN_POINT('Origin',(-4.17,2.14,-0.279999999999999)); #518587=CARTESIAN_POINT('',(-4.17,2.34,-1.076)); #518588=CARTESIAN_POINT('',(-4.17,2.34,-0.0800000000000004)); #518589=CARTESIAN_POINT('',(-4.17,2.53999999999999,-1.276)); #518590=CARTESIAN_POINT('Origin',(-4.17,2.53999999999999,-1.076)); #518591=CARTESIAN_POINT('',(-4.17,2.73999999999998,-1.476)); #518592=CARTESIAN_POINT('Origin',(-4.17,2.53999999999998,-1.476)); #518593=CARTESIAN_POINT('',(-4.17,2.74,-2.03)); #518594=CARTESIAN_POINT('',(-4.17,2.74,-1.476)); #518595=CARTESIAN_POINT('',(-4.17,2.75430509862945,-2.09255811788715)); #518596=CARTESIAN_POINT('Origin',(-4.17,2.8839400757397,-2.03)); #518597=CARTESIAN_POINT('',(-4.17,2.935,-2.467)); #518598=CARTESIAN_POINT('',(-4.17,2.75430509862951,-2.09255811788712)); #518599=CARTESIAN_POINT('',(-4.17,3.115,-2.58000000000004)); #518600=CARTESIAN_POINT('Origin',(-4.17,3.115,-2.38013716814167)); #518601=CARTESIAN_POINT('',(-4.17,3.465,-2.58)); #518602=CARTESIAN_POINT('',(-4.17,3.115,-2.58)); #518603=CARTESIAN_POINT('',(-4.17,3.645,-2.467)); #518604=CARTESIAN_POINT('Origin',(-4.17,3.465,-2.38013716814167)); #518605=CARTESIAN_POINT('',(-4.17,3.82569490137048,-2.09255811788713)); #518606=CARTESIAN_POINT('',(-4.17,3.645,-2.467)); #518607=CARTESIAN_POINT('',(-4.17,3.83999999999999,-2.03)); #518608=CARTESIAN_POINT('Origin',(-4.17,3.69605992426026,-2.03)); #518609=CARTESIAN_POINT('',(-4.17,3.84,-1.58)); #518610=CARTESIAN_POINT('',(-4.17,3.84,-2.03)); #518611=CARTESIAN_POINT('',(-4.17,3.69,-1.426)); #518612=CARTESIAN_POINT('',(-4.17,3.84,-1.58)); #518613=CARTESIAN_POINT('',(-4.17,3.69,0.157)); #518614=CARTESIAN_POINT('',(-4.17,3.69,-1.426)); #518615=CARTESIAN_POINT('',(-4.17,3.59,0.330500000000001)); #518616=CARTESIAN_POINT('Origin',(-4.17,3.48948875,0.157)); #518617=CARTESIAN_POINT('',(-4.17,3.49,0.504000000000001)); #518618=CARTESIAN_POINT('Origin',(-4.17,3.69051125,0.504)); #518619=CARTESIAN_POINT('',(-4.17,3.49,0.300000000000001)); #518620=CARTESIAN_POINT('',(-4.17,3.49,0.504)); #518621=CARTESIAN_POINT('',(-4.17,-3.39,0.300000000000001)); #518622=CARTESIAN_POINT('',(-4.17,3.49,0.300000000000001)); #518623=CARTESIAN_POINT('',(-4.17,-3.39,-0.300000000000001)); #518624=CARTESIAN_POINT('',(-4.17,-3.39,0.300000000000001)); #518625=CARTESIAN_POINT('',(-4.17,-1.69,-0.300000000000001)); #518626=CARTESIAN_POINT('',(-4.17,3.49,-0.300000000000001)); #518627=CARTESIAN_POINT('',(-4.17,-1.69,-0.279999999999999)); #518628=CARTESIAN_POINT('',(-4.17,-1.69,0.300000000000001)); #518629=CARTESIAN_POINT('',(-4.17,-1.29,-0.279999999999999)); #518630=CARTESIAN_POINT('Origin',(-4.17,-1.49,-0.279999999999999)); #518631=CARTESIAN_POINT('',(-4.17,-1.29,-2.147)); #518632=CARTESIAN_POINT('',(-4.17,-1.29,-2.147)); #518633=CARTESIAN_POINT('',(-4.17,-1.28072335600907,-2.20012987012987)); #518634=CARTESIAN_POINT('Origin',(-4.17,-1.13321704990536,-2.147)); #518635=CARTESIAN_POINT('',(-4.17,-1.19072335600907,-2.45)); #518636=CARTESIAN_POINT('',(-4.17,-1.19072335600907,-2.45)); #518637=CARTESIAN_POINT('',(-4.17,-1.00572335600907,-2.58)); #518638=CARTESIAN_POINT('Origin',(-4.17,-1.00572335600907,-2.38336538461539)); #518639=CARTESIAN_POINT('',(-4.17,-0.77427664399093,-2.58)); #518640=CARTESIAN_POINT('',(-4.17,-0.77427664399093,-2.58)); #518641=CARTESIAN_POINT('',(-4.17,-0.58927664399093,-2.45)); #518642=CARTESIAN_POINT('Origin',(-4.17,-0.77427664399093,-2.38336538461539)); #518643=CARTESIAN_POINT('',(-4.17,-0.499276643990934,-2.20012987012986)); #518644=CARTESIAN_POINT('',(-4.17,-0.49927664399093,-2.20012987012987)); #518645=CARTESIAN_POINT('',(-4.17,-0.49,-2.147)); #518646=CARTESIAN_POINT('Origin',(-4.17,-0.646782950094636,-2.147)); #518647=CARTESIAN_POINT('',(-4.17,-0.49,-1.931)); #518648=CARTESIAN_POINT('',(-4.17,-0.49,-1.931)); #518649=CARTESIAN_POINT('',(-4.17,-0.522000000000001,-1.841)); #518650=CARTESIAN_POINT('Origin',(-4.17,-0.6325625,-1.931)); #518651=CARTESIAN_POINT('',(-4.17,-0.382999999999999,-1.69905776454236)); #518652=CARTESIAN_POINT('Origin',(-4.17,-0.444471864358245,-1.77789060750473)); #518653=CARTESIAN_POINT('',(-4.17,-0.292999999999999,-1.73)); #518654=CARTESIAN_POINT('Origin',(-4.17,-0.293,-1.58363982593444)); #518655=CARTESIAN_POINT('',(-4.17,-0.139999999999999,-1.73)); #518656=CARTESIAN_POINT('',(-4.17,-0.139999999999999,-1.73)); #518657=CARTESIAN_POINT('',(-4.17,0.0100000000000011,-1.58)); #518658=CARTESIAN_POINT('Origin',(-4.17,-0.139999999999999,-1.58)); #518659=CARTESIAN_POINT('',(-4.17,0.0100000000000011,-0.279999999999999)); #518660=CARTESIAN_POINT('',(-4.17,0.0100000000000011,-0.0800000000000004)); #518661=CARTESIAN_POINT('',(-4.17,0.41,-0.279999999999999)); #518662=CARTESIAN_POINT('Origin',(-4.17,0.210000000000001,-0.279999999999999)); #518663=CARTESIAN_POINT('',(-4.17,0.41,-0.300000000000001)); #518664=CARTESIAN_POINT('',(-4.17,0.41,0.300000000000001)); #518665=CARTESIAN_POINT('',(-4.17,3.49,-0.300000000000001)); #518666=CARTESIAN_POINT('',(-4.17,2.87,-0.530000000000001)); #518667=CARTESIAN_POINT('',(-4.17,2.87,-0.730000000000002)); #518668=CARTESIAN_POINT('',(-4.17,2.87,-0.530000000000001)); #518669=CARTESIAN_POINT('',(-4.17,3.28,-0.530000000000001)); #518670=CARTESIAN_POINT('',(-4.17,2.87,-0.530000000000001)); #518671=CARTESIAN_POINT('',(-4.17,3.28,-0.730000000000002)); #518672=CARTESIAN_POINT('',(-4.17,3.28,-0.530000000000001)); #518673=CARTESIAN_POINT('',(-4.17,2.87,-0.730000000000002)); #518674=CARTESIAN_POINT('Origin',(-1.712,2.69,-0.0799999999999996)); #518675=CARTESIAN_POINT('',(-1.712,2.69,-1.28)); #518676=CARTESIAN_POINT('',(-1.042,2.69,-1.28)); #518677=CARTESIAN_POINT('',(-0.499999999999999,2.69,-1.28)); #518678=CARTESIAN_POINT('',(-0.967,2.69,-1.25990381056767)); #518679=CARTESIAN_POINT('Origin',(-1.042,2.69,-1.13)); #518680=CARTESIAN_POINT('',(-0.553365564922642,2.69,-1.02109185812965)); #518681=CARTESIAN_POINT('',(-0.610523241572414,2.69,-1.05409185812965)); #518682=CARTESIAN_POINT('',(-0.403365564922642,2.69,-1.28089947926499)); #518683=CARTESIAN_POINT('',(-1.15336556492264,2.69,0.0181386264116724)); #518684=CARTESIAN_POINT('',(-0.817,2.69,-1.519711431703)); #518685=CARTESIAN_POINT('',(-1.51014603095916,2.69,-1.91989947926499)); #518686=CARTESIAN_POINT('',(-1.042,2.69,-1.58)); #518687=CARTESIAN_POINT('Origin',(-1.042,2.69,-1.13)); #518688=CARTESIAN_POINT('',(-1.712,2.69,-1.58)); #518689=CARTESIAN_POINT('',(-1.712,2.69,-1.58)); #518690=CARTESIAN_POINT('',(-1.712,2.69,-0.0799999999999996)); #518691=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.28)); #518692=CARTESIAN_POINT('',(-1.042,2.09385164807135,-1.28)); #518693=CARTESIAN_POINT('',(-0.902,1.89,-1.28)); #518694=CARTESIAN_POINT('Origin',(-0.902,2.04,-1.28)); #518695=CARTESIAN_POINT('',(-1.042,2.09385164807134,-1.28)); #518696=CARTESIAN_POINT('',(-1.856,2.63025851884472,-1.28)); #518697=CARTESIAN_POINT('Origin',(-1.712,2.48658150333223,-1.28)); #518698=CARTESIAN_POINT('',(-2.086,2.39974148115528,-1.28)); #518699=CARTESIAN_POINT('',(-2.09163261917534,2.39409619990861,-1.28)); #518700=CARTESIAN_POINT('',(-2.23,2.33999999999999,-1.28)); #518701=CARTESIAN_POINT('Origin',(-2.23,2.54341849666777,-1.28)); #518702=CARTESIAN_POINT('',(-2.99,2.34,-1.28)); #518703=CARTESIAN_POINT('',(-3.19,2.34,-1.28)); #518704=CARTESIAN_POINT('',(-3.19,2.14,-1.28)); #518705=CARTESIAN_POINT('Origin',(-2.99,2.14,-1.28)); #518706=CARTESIAN_POINT('',(-3.19,0.401455953030751,-1.28)); #518707=CARTESIAN_POINT('',(-3.19,3.49,-1.28)); #518708=CARTESIAN_POINT('',(-3.05241633642548,0.252572942792843,-1.28)); #518709=CARTESIAN_POINT('Origin',(-3.24783267285097,0.21,-1.28)); #518710=CARTESIAN_POINT('',(-2.857,0.0951458855856859,-1.28)); #518711=CARTESIAN_POINT('Origin',(-2.857,0.295145885585686,-1.28)); #518712=CARTESIAN_POINT('',(-2.257,0.0951458855856859,-1.28)); #518713=CARTESIAN_POINT('',(-3.19,0.095145885585686,-1.28)); #518714=CARTESIAN_POINT('',(-2.057,-0.104854114414314,-1.28)); #518715=CARTESIAN_POINT('Origin',(-2.257,-0.104854114414314,-1.28)); #518716=CARTESIAN_POINT('',(-2.057,-0.654854114414314,-1.28)); #518717=CARTESIAN_POINT('',(-2.057,3.49,-1.28)); #518718=CARTESIAN_POINT('',(-2.207,-0.804854114414314,-1.28)); #518719=CARTESIAN_POINT('Origin',(-2.207,-0.654854114414314,-1.28)); #518720=CARTESIAN_POINT('',(-2.26,-0.804854114414314,-1.28)); #518721=CARTESIAN_POINT('',(-3.19,-0.804854114414314,-1.28)); #518722=CARTESIAN_POINT('',(-2.26,-1.49,-1.28)); #518723=CARTESIAN_POINT('',(-2.26,3.49,-1.28)); #518724=CARTESIAN_POINT('',(-2.46,-1.69,-1.28)); #518725=CARTESIAN_POINT('Origin',(-2.46,-1.49,-1.28)); #518726=CARTESIAN_POINT('',(-3.19,-1.69,-1.28)); #518727=CARTESIAN_POINT('',(-3.19,-1.69,-1.28)); #518728=CARTESIAN_POINT('',(-3.19,-3.39,-1.28)); #518729=CARTESIAN_POINT('',(-3.19,3.49,-1.28)); #518730=CARTESIAN_POINT('',(-0.51,-3.39,-1.28)); #518731=CARTESIAN_POINT('',(-0.5,-3.39,-1.28)); #518732=CARTESIAN_POINT('',(-0.51,-2.39,-1.28)); #518733=CARTESIAN_POINT('',(-0.51,3.49,-1.28)); #518734=CARTESIAN_POINT('',(-0.295092998994441,-2.25477026502849,-1.28)); #518735=CARTESIAN_POINT('Origin',(-0.36,-2.39,-1.28)); #518736=CARTESIAN_POINT('',(0.303747265795182,-2.54219910364197,-1.28)); #518737=CARTESIAN_POINT('',(0.303747265795182,-2.54219910364198,-1.28)); #518738=CARTESIAN_POINT('',(0.49,-2.425,-1.28)); #518739=CARTESIAN_POINT('Origin',(0.36,-2.425,-1.28)); #518740=CARTESIAN_POINT('',(0.49,-1.235,-1.28)); #518741=CARTESIAN_POINT('',(0.49,-1.235,-1.28)); #518742=CARTESIAN_POINT('',(0.303747265795183,-1.11780089635803,-1.28)); #518743=CARTESIAN_POINT('Origin',(0.36,-1.235,-1.28)); #518744=CARTESIAN_POINT('',(-0.295092998994441,-1.40522973497151,-1.28)); #518745=CARTESIAN_POINT('',(-0.295092998994441,-1.40522973497151,-1.28)); #518746=CARTESIAN_POINT('Origin',(-0.36,-1.27,-1.28)); #518747=CARTESIAN_POINT('',(-0.295092998994442,0.0552297349715073,-1.28)); #518748=CARTESIAN_POINT('Origin',(-0.36,-0.080000000000001,-1.28)); #518749=CARTESIAN_POINT('',(0.303747265795182,-0.232199103641974,-1.28)); #518750=CARTESIAN_POINT('',(-0.295092998994442,0.0552297349715068,-1.28)); #518751=CARTESIAN_POINT('',(0.49,-0.115,-1.28)); #518752=CARTESIAN_POINT('Origin',(0.36,-0.115,-1.28)); #518753=CARTESIAN_POINT('',(0.489999999999999,1.075,-1.28)); #518754=CARTESIAN_POINT('',(0.49,-0.115,-1.28)); #518755=CARTESIAN_POINT('',(0.303747265795181,1.19219910364197,-1.28)); #518756=CARTESIAN_POINT('Origin',(0.359999999999999,1.075,-1.28)); #518757=CARTESIAN_POINT('',(-0.295092998994442,0.904770265028493,-1.28)); #518758=CARTESIAN_POINT('',(0.303747265795181,1.19219910364197,-1.28)); #518759=CARTESIAN_POINT('Origin',(-0.360000000000001,1.04,-1.28)); #518760=CARTESIAN_POINT('',(-3.19,1.89,-1.28)); #518761=CARTESIAN_POINT('',(-1.36,1.79,-1.28)); #518762=CARTESIAN_POINT('',(-1.36,1.788,-1.28)); #518763=CARTESIAN_POINT('',(-1.36,1.79,-1.28)); #518764=CARTESIAN_POINT('',(-1.36,1.292,-1.28)); #518765=CARTESIAN_POINT('',(-1.36,1.788,-1.28)); #518766=CARTESIAN_POINT('',(-1.36,1.29,-1.28)); #518767=CARTESIAN_POINT('',(-1.36,1.79,-1.28)); #518768=CARTESIAN_POINT('',(-2.64,1.29,-1.28)); #518769=CARTESIAN_POINT('',(-1.36,1.29,-1.28)); #518770=CARTESIAN_POINT('',(-2.64,1.292,-1.28)); #518771=CARTESIAN_POINT('',(-2.64,1.29,-1.28)); #518772=CARTESIAN_POINT('',(-2.64,1.788,-1.28)); #518773=CARTESIAN_POINT('',(-2.64,1.788,-1.28)); #518774=CARTESIAN_POINT('',(-2.64,1.79,-1.28)); #518775=CARTESIAN_POINT('',(-2.64,1.29,-1.28)); #518776=CARTESIAN_POINT('',(-2.64,1.79,-1.28)); #518777=CARTESIAN_POINT('Origin',(-1.36,1.79,-1.28)); #518778=CARTESIAN_POINT('',(-1.36,1.788,-1.58)); #518779=CARTESIAN_POINT('',(-1.36,1.788,-1.58)); #518780=CARTESIAN_POINT('',(-1.36,1.79,-1.58)); #518781=CARTESIAN_POINT('',(-1.36,1.79,-1.28)); #518782=CARTESIAN_POINT('',(-1.36,1.79,-1.58)); #518783=CARTESIAN_POINT('Origin',(-2.64,1.29,-1.28)); #518784=CARTESIAN_POINT('',(-2.64,1.292,-1.58)); #518785=CARTESIAN_POINT('',(-2.64,1.292,-1.58)); #518786=CARTESIAN_POINT('',(-2.64,1.29,-1.58)); #518787=CARTESIAN_POINT('',(-2.64,1.29,-1.28)); #518788=CARTESIAN_POINT('',(-2.64,1.29,-1.58)); #518789=CARTESIAN_POINT('Origin',(-1.36,1.29,1.28)); #518790=CARTESIAN_POINT('',(-1.36,1.292,1.58)); #518791=CARTESIAN_POINT('',(-1.36,1.292,1.58)); #518792=CARTESIAN_POINT('',(-1.36,1.29,1.58)); #518793=CARTESIAN_POINT('',(-1.36,1.29,1.28)); #518794=CARTESIAN_POINT('',(-1.36,1.29,1.58)); #518795=CARTESIAN_POINT('Origin',(-2.64,1.79,1.28)); #518796=CARTESIAN_POINT('',(-2.64,1.788,1.58)); #518797=CARTESIAN_POINT('',(-2.64,1.788,1.58)); #518798=CARTESIAN_POINT('',(-2.64,1.79,1.58)); #518799=CARTESIAN_POINT('',(-2.64,1.79,1.28)); #518800=CARTESIAN_POINT('',(-2.64,1.79,1.58)); #518801=CARTESIAN_POINT('Origin',(-4.32,3.69,-1.426)); #518802=CARTESIAN_POINT('',(-4.46811668758587,3.54188331241413,0.369409890610287)); #518803=CARTESIAN_POINT('',(-4.47,3.54,0.300000000000001)); #518804=CARTESIAN_POINT('Origin',(-3.19,4.82,0.300000000000001)); #518805=CARTESIAN_POINT('',(-4.42,3.59,0.330500000000001)); #518806=CARTESIAN_POINT('Origin',(-4.31948875000001,3.69051125,0.504)); #518807=CARTESIAN_POINT('',(-4.32,3.69,0.157)); #518808=CARTESIAN_POINT('Origin',(-4.52051125,3.48948875,0.157)); #518809=CARTESIAN_POINT('',(-4.32,3.69,-1.426)); #518810=CARTESIAN_POINT('',(-4.32,3.69,-1.426)); #518811=CARTESIAN_POINT('',(-4.47,3.54,-1.48697906874856)); #518812=CARTESIAN_POINT('',(-4.27963780374704,3.73036219625297,-1.40959167239898)); #518813=CARTESIAN_POINT('',(-4.47,3.54,0.300000000000001)); #518814=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.28)); #518815=CARTESIAN_POINT('',(-4.45363918900927,3.49,0.504)); #518816=CARTESIAN_POINT('',(-4.17,3.49,0.504)); #518817=CARTESIAN_POINT('',(-3.19,3.49,1.58)); #518818=CARTESIAN_POINT('Origin',(-3.19,3.49,0.300000000000001)); #518819=CARTESIAN_POINT('',(-2.75,3.49,1.58)); #518820=CARTESIAN_POINT('',(3.19,3.49,1.58)); #518821=CARTESIAN_POINT('',(-2.75,3.49,1.28)); #518822=CARTESIAN_POINT('Origin',(-3.19,3.49,0.300000000000001)); #518823=CARTESIAN_POINT('Origin',(4.17,3.49,-0.300000000000001)); #518824=CARTESIAN_POINT('',(4.17,-1.69,-0.300000000000001)); #518825=CARTESIAN_POINT('',(4.17,-3.39,-0.300000000000001)); #518826=CARTESIAN_POINT('',(4.17,3.49,-0.300000000000001)); #518827=CARTESIAN_POINT('',(4.17,-3.39,0.300000000000001)); #518828=CARTESIAN_POINT('',(4.17,-3.39,-0.300000000000001)); #518829=CARTESIAN_POINT('',(4.17,3.49,0.300000000000001)); #518830=CARTESIAN_POINT('',(4.17,3.49,0.300000000000001)); #518831=CARTESIAN_POINT('',(4.17,3.49,0.504)); #518832=CARTESIAN_POINT('',(4.17,3.49,-0.0800000000000004)); #518833=CARTESIAN_POINT('',(4.17,3.59,0.3305)); #518834=CARTESIAN_POINT('Origin',(4.17,3.69051125,0.504)); #518835=CARTESIAN_POINT('',(4.17,3.69,0.157)); #518836=CARTESIAN_POINT('Origin',(4.17,3.48948875,0.157)); #518837=CARTESIAN_POINT('',(4.17,3.69,-1.426)); #518838=CARTESIAN_POINT('',(4.17,3.69,0.157)); #518839=CARTESIAN_POINT('',(4.17,3.84,-1.58)); #518840=CARTESIAN_POINT('',(4.17,3.69,-1.426)); #518841=CARTESIAN_POINT('',(4.17,3.84,-2.03)); #518842=CARTESIAN_POINT('',(4.17,3.84,-1.58)); #518843=CARTESIAN_POINT('',(4.17,3.82569490137052,-2.09255811788715)); #518844=CARTESIAN_POINT('Origin',(4.17,3.69605992426026,-2.03)); #518845=CARTESIAN_POINT('',(4.17,3.645,-2.467)); #518846=CARTESIAN_POINT('',(4.17,3.82569490137048,-2.09255811788713)); #518847=CARTESIAN_POINT('',(4.17,3.465,-2.58000000000004)); #518848=CARTESIAN_POINT('Origin',(4.17,3.465,-2.38013716814167)); #518849=CARTESIAN_POINT('',(4.17,3.115,-2.58)); #518850=CARTESIAN_POINT('',(4.17,3.465,-2.58)); #518851=CARTESIAN_POINT('',(4.17,2.935,-2.467)); #518852=CARTESIAN_POINT('Origin',(4.17,3.115,-2.38013716814167)); #518853=CARTESIAN_POINT('',(4.17,2.75430509862951,-2.09255811788712)); #518854=CARTESIAN_POINT('',(4.17,2.935,-2.467)); #518855=CARTESIAN_POINT('',(4.17,2.73999999999998,-2.03)); #518856=CARTESIAN_POINT('Origin',(4.17,2.8839400757397,-2.03)); #518857=CARTESIAN_POINT('',(4.17,2.74,-1.476)); #518858=CARTESIAN_POINT('',(4.17,2.74,-2.03)); #518859=CARTESIAN_POINT('',(4.17,2.53999999999999,-1.276)); #518860=CARTESIAN_POINT('Origin',(4.17,2.53999999999998,-1.476)); #518861=CARTESIAN_POINT('',(4.17,2.33999999999999,-1.076)); #518862=CARTESIAN_POINT('Origin',(4.17,2.53999999999999,-1.076)); #518863=CARTESIAN_POINT('',(4.17,2.34,-0.280000000000001)); #518864=CARTESIAN_POINT('',(4.17,2.34,-1.076)); #518865=CARTESIAN_POINT('',(4.17,1.94,-0.28)); #518866=CARTESIAN_POINT('Origin',(4.17,2.14,-0.28)); #518867=CARTESIAN_POINT('',(4.17,1.94,-0.300000000000001)); #518868=CARTESIAN_POINT('',(4.17,1.94,-0.300000000000001)); #518869=CARTESIAN_POINT('',(4.17,0.41,-0.300000000000001)); #518870=CARTESIAN_POINT('',(4.17,3.49,-0.300000000000001)); #518871=CARTESIAN_POINT('',(4.17,0.41,-0.28)); #518872=CARTESIAN_POINT('',(4.17,0.41,-0.300000000000001)); #518873=CARTESIAN_POINT('',(4.17,0.0100000000000011,-0.28)); #518874=CARTESIAN_POINT('Origin',(4.17,0.210000000000001,-0.28)); #518875=CARTESIAN_POINT('',(4.17,0.0100000000000011,-1.58)); #518876=CARTESIAN_POINT('',(4.17,0.0100000000000011,-1.58)); #518877=CARTESIAN_POINT('',(4.17,-0.139999999999999,-1.73)); #518878=CARTESIAN_POINT('Origin',(4.17,-0.139999999999999,-1.58)); #518879=CARTESIAN_POINT('',(4.17,-0.292999999999999,-1.73)); #518880=CARTESIAN_POINT('',(4.17,-0.292999999999999,-1.73)); #518881=CARTESIAN_POINT('',(4.17,-0.383,-1.69905776454236)); #518882=CARTESIAN_POINT('Origin',(4.17,-0.293,-1.58363982593444)); #518883=CARTESIAN_POINT('',(4.17,-0.522,-1.841)); #518884=CARTESIAN_POINT('Origin',(4.17,-0.444471864358245,-1.77789060750473)); #518885=CARTESIAN_POINT('',(4.17,-0.49,-1.931)); #518886=CARTESIAN_POINT('Origin',(4.17,-0.6325625,-1.931)); #518887=CARTESIAN_POINT('',(4.17,-0.490000000000006,-2.147)); #518888=CARTESIAN_POINT('',(4.17,-0.49,-2.147)); #518889=CARTESIAN_POINT('',(4.17,-0.49927664399093,-2.20012987012987)); #518890=CARTESIAN_POINT('Origin',(4.17,-0.646782950094636,-2.147)); #518891=CARTESIAN_POINT('',(4.17,-0.58927664399093,-2.45)); #518892=CARTESIAN_POINT('',(4.17,-0.58927664399093,-2.45)); #518893=CARTESIAN_POINT('',(4.17,-0.77427664399093,-2.58)); #518894=CARTESIAN_POINT('Origin',(4.17,-0.77427664399093,-2.38336538461539)); #518895=CARTESIAN_POINT('',(4.17,-1.00572335600907,-2.58)); #518896=CARTESIAN_POINT('',(4.17,-1.00572335600907,-2.58)); #518897=CARTESIAN_POINT('',(4.17,-1.19072335600907,-2.45)); #518898=CARTESIAN_POINT('Origin',(4.17,-1.00572335600907,-2.38336538461539)); #518899=CARTESIAN_POINT('',(4.17,-1.28072335600907,-2.20012987012987)); #518900=CARTESIAN_POINT('',(4.17,-1.28072335600907,-2.20012987012987)); #518901=CARTESIAN_POINT('',(4.17,-1.29,-2.147)); #518902=CARTESIAN_POINT('Origin',(4.17,-1.13321704990536,-2.147)); #518903=CARTESIAN_POINT('',(4.17,-1.29,-0.28)); #518904=CARTESIAN_POINT('',(4.17,-1.29,-0.0800000000000004)); #518905=CARTESIAN_POINT('',(4.17,-1.69,-0.28)); #518906=CARTESIAN_POINT('Origin',(4.17,-1.49,-0.28)); #518907=CARTESIAN_POINT('',(4.17,-1.69,-0.300000000000001)); #518908=CARTESIAN_POINT('',(4.17,3.28,-0.530000000000001)); #518909=CARTESIAN_POINT('',(4.17,3.28,-0.730000000000002)); #518910=CARTESIAN_POINT('',(4.17,3.28,-0.530000000000001)); #518911=CARTESIAN_POINT('',(4.17,2.87,-0.530000000000001)); #518912=CARTESIAN_POINT('',(4.17,3.28,-0.530000000000001)); #518913=CARTESIAN_POINT('',(4.17,2.87,-0.730000000000002)); #518914=CARTESIAN_POINT('',(4.17,2.87,-0.530000000000001)); #518915=CARTESIAN_POINT('',(4.17,3.28,-0.730000000000002)); #518916=CARTESIAN_POINT('Origin',(0.902,2.04,-0.08)); #518917=CARTESIAN_POINT('',(1.042,2.09385164807134,-1.37923304152418)); #518918=CARTESIAN_POINT('',(1.042,2.09385164807134,-1.58)); #518919=CARTESIAN_POINT('',(1.042,2.09385164807134,-1.58)); #518920=CARTESIAN_POINT('',(0.902,1.89,-0.08)); #518921=CARTESIAN_POINT('Origin',(1.36,1.29,-1.28)); #518922=CARTESIAN_POINT('',(1.36,1.292,-1.58)); #518923=CARTESIAN_POINT('',(1.36,1.29,-1.28)); #518924=CARTESIAN_POINT('Origin',(2.64,1.79,-1.28)); #518925=CARTESIAN_POINT('',(2.64,1.788,-1.58)); #518926=CARTESIAN_POINT('',(2.64,1.79,-1.28)); #518927=CARTESIAN_POINT('Origin',(1.36,1.79,1.28)); #518928=CARTESIAN_POINT('',(1.36,1.788,1.58)); #518929=CARTESIAN_POINT('',(1.36,1.788,1.58)); #518930=CARTESIAN_POINT('',(1.36,1.79,1.58)); #518931=CARTESIAN_POINT('',(1.36,1.79,1.28)); #518932=CARTESIAN_POINT('',(1.36,1.79,1.58)); #518933=CARTESIAN_POINT('Origin',(2.64,1.29,1.28)); #518934=CARTESIAN_POINT('',(2.64,1.292,1.58)); #518935=CARTESIAN_POINT('',(2.64,1.292,1.58)); #518936=CARTESIAN_POINT('',(2.64,1.29,1.58)); #518937=CARTESIAN_POINT('',(2.64,1.29,1.28)); #518938=CARTESIAN_POINT('',(2.64,1.29,1.58)); #518939=CARTESIAN_POINT('Origin',(4.47,3.49,-0.300000000000001)); #518940=CARTESIAN_POINT('',(4.47,3.54,-1.48697906874856)); #518941=CARTESIAN_POINT('',(4.47,3.54,0.300000000000001)); #518942=CARTESIAN_POINT('',(4.47,3.54,-0.300000000000001)); #518943=CARTESIAN_POINT('',(4.47,-3.49,0.300000000000001)); #518944=CARTESIAN_POINT('',(4.47,3.49,0.300000000000001)); #518945=CARTESIAN_POINT('',(4.47,-3.49,-0.300000000000001)); #518946=CARTESIAN_POINT('',(4.47,-3.49,-0.300000000000001)); #518947=CARTESIAN_POINT('',(4.47,-1.69,-0.300000000000001)); #518948=CARTESIAN_POINT('',(4.47,3.49,-0.300000000000001)); #518949=CARTESIAN_POINT('',(4.47,-1.69,-0.28)); #518950=CARTESIAN_POINT('',(4.47,-1.69,-0.0800000000000004)); #518951=CARTESIAN_POINT('',(4.47,-1.29,-0.28)); #518952=CARTESIAN_POINT('Origin',(4.47,-1.49,-0.28)); #518953=CARTESIAN_POINT('',(4.47,-1.29,-2.147)); #518954=CARTESIAN_POINT('',(4.47,-1.29,-0.0800000000000004)); #518955=CARTESIAN_POINT('',(4.47,-1.28072335600907,-2.20012987012987)); #518956=CARTESIAN_POINT('Origin',(4.47,-1.13321704990536,-2.147)); #518957=CARTESIAN_POINT('',(4.47,-1.19072335600907,-2.45)); #518958=CARTESIAN_POINT('',(4.47,-1.28072335600907,-2.20012987012987)); #518959=CARTESIAN_POINT('',(4.47,-1.00572335600907,-2.58)); #518960=CARTESIAN_POINT('Origin',(4.47,-1.00572335600907,-2.38336538461539)); #518961=CARTESIAN_POINT('',(4.47,-0.77427664399093,-2.58)); #518962=CARTESIAN_POINT('',(4.47,-1.00572335600907,-2.58)); #518963=CARTESIAN_POINT('',(4.47,-0.58927664399093,-2.45)); #518964=CARTESIAN_POINT('Origin',(4.47,-0.77427664399093,-2.38336538461539)); #518965=CARTESIAN_POINT('',(4.47,-0.49927664399093,-2.20012987012987)); #518966=CARTESIAN_POINT('',(4.47,-0.58927664399093,-2.45)); #518967=CARTESIAN_POINT('',(4.47,-0.490000000000006,-2.147)); #518968=CARTESIAN_POINT('Origin',(4.47,-0.646782950094636,-2.147)); #518969=CARTESIAN_POINT('',(4.47,-0.49,-1.931)); #518970=CARTESIAN_POINT('',(4.47,-0.49,-2.147)); #518971=CARTESIAN_POINT('',(4.47,-0.522,-1.841)); #518972=CARTESIAN_POINT('Origin',(4.47,-0.6325625,-1.931)); #518973=CARTESIAN_POINT('',(4.47,-0.383,-1.69905776454236)); #518974=CARTESIAN_POINT('Origin',(4.47,-0.444471864358245,-1.77789060750473)); #518975=CARTESIAN_POINT('',(4.47,-0.292999999999999,-1.73)); #518976=CARTESIAN_POINT('Origin',(4.47,-0.293,-1.58363982593444)); #518977=CARTESIAN_POINT('',(4.47,-0.139999999999999,-1.73)); #518978=CARTESIAN_POINT('',(4.47,-0.292999999999999,-1.73)); #518979=CARTESIAN_POINT('',(4.47,0.0100000000000011,-1.58)); #518980=CARTESIAN_POINT('Origin',(4.47,-0.139999999999999,-1.58)); #518981=CARTESIAN_POINT('',(4.47,0.0100000000000011,-0.28)); #518982=CARTESIAN_POINT('',(4.47,0.0100000000000011,-1.58)); #518983=CARTESIAN_POINT('',(4.47,0.41,-0.28)); #518984=CARTESIAN_POINT('Origin',(4.47,0.210000000000001,-0.28)); #518985=CARTESIAN_POINT('',(4.47,0.41,-0.300000000000001)); #518986=CARTESIAN_POINT('',(4.47,0.41,-0.0800000000000004)); #518987=CARTESIAN_POINT('',(4.47,1.94,-0.300000000000001)); #518988=CARTESIAN_POINT('',(4.47,3.49,-0.300000000000001)); #518989=CARTESIAN_POINT('',(4.47,1.94,-0.28)); #518990=CARTESIAN_POINT('',(4.47,1.94,-0.0800000000000004)); #518991=CARTESIAN_POINT('',(4.47,2.34,-0.280000000000001)); #518992=CARTESIAN_POINT('Origin',(4.47,2.14,-0.28)); #518993=CARTESIAN_POINT('',(4.47,2.33999999999999,-1.076)); #518994=CARTESIAN_POINT('',(4.47,2.34,-1.076)); #518995=CARTESIAN_POINT('',(4.47,2.53999999999999,-1.276)); #518996=CARTESIAN_POINT('Origin',(4.47,2.53999999999999,-1.076)); #518997=CARTESIAN_POINT('',(4.47,2.74,-1.476)); #518998=CARTESIAN_POINT('Origin',(4.47,2.53999999999998,-1.476)); #518999=CARTESIAN_POINT('',(4.47,2.73999999999998,-2.03)); #519000=CARTESIAN_POINT('',(4.47,2.74,-2.03)); #519001=CARTESIAN_POINT('',(4.47,2.75430509862951,-2.09255811788712)); #519002=CARTESIAN_POINT('Origin',(4.47,2.8839400757397,-2.03)); #519003=CARTESIAN_POINT('',(4.47,2.935,-2.467)); #519004=CARTESIAN_POINT('',(4.47,2.935,-2.467)); #519005=CARTESIAN_POINT('',(4.47,3.115,-2.58)); #519006=CARTESIAN_POINT('Origin',(4.47,3.115,-2.38013716814167)); #519007=CARTESIAN_POINT('',(4.47,3.465,-2.58000000000004)); #519008=CARTESIAN_POINT('',(4.47,3.465,-2.58)); #519009=CARTESIAN_POINT('',(4.47,3.645,-2.467)); #519010=CARTESIAN_POINT('Origin',(4.47,3.465,-2.38013716814167)); #519011=CARTESIAN_POINT('',(4.47,3.82569490137052,-2.09255811788715)); #519012=CARTESIAN_POINT('',(4.47,3.82569490137048,-2.09255811788713)); #519013=CARTESIAN_POINT('',(4.47,3.84,-2.03)); #519014=CARTESIAN_POINT('Origin',(4.47,3.69605992426026,-2.03)); #519015=CARTESIAN_POINT('',(4.47,3.84,-1.79497906874857)); #519016=CARTESIAN_POINT('',(4.47,3.84,-1.58)); #519017=CARTESIAN_POINT('',(4.47,2.92237371282474,-0.852882747248623)); #519018=CARTESIAN_POINT('',(4.47,3.33,-0.380000000000001)); #519019=CARTESIAN_POINT('',(4.47,2.82,-0.380000000000001)); #519020=CARTESIAN_POINT('',(4.47,3.33,-0.380000000000001)); #519021=CARTESIAN_POINT('',(4.47,3.33,-0.880000000000001)); #519022=CARTESIAN_POINT('',(4.47,3.33,-0.380000000000001)); #519023=CARTESIAN_POINT('',(4.47,2.82,-0.880000000000001)); #519024=CARTESIAN_POINT('',(4.47,3.33,-0.880000000000001)); #519025=CARTESIAN_POINT('',(4.47,2.67,-0.730000000000001)); #519026=CARTESIAN_POINT('Origin',(4.47,2.82,-0.730000000000001)); #519027=CARTESIAN_POINT('',(4.47,2.67,-0.530000000000001)); #519028=CARTESIAN_POINT('',(4.47,2.67,-0.380000000000001)); #519029=CARTESIAN_POINT('Origin',(4.47,2.82,-0.530000000000001)); #519030=CARTESIAN_POINT('Origin',(4.32,3.69,0.157)); #519031=CARTESIAN_POINT('',(4.46811668758587,3.54188331241413,0.369409890610287)); #519032=CARTESIAN_POINT('Origin',(3.19,4.82,0.300000000000001)); #519033=CARTESIAN_POINT('',(4.32,3.69,-1.426)); #519034=CARTESIAN_POINT('',(4.32,3.69,-1.426)); #519035=CARTESIAN_POINT('',(4.32,3.69,0.157)); #519036=CARTESIAN_POINT('',(4.32,3.69,0.157)); #519037=CARTESIAN_POINT('',(4.42,3.59,0.3305)); #519038=CARTESIAN_POINT('Origin',(4.52051125,3.48948875,0.157)); #519039=CARTESIAN_POINT('Origin',(4.31948875000001,3.69051125,0.504)); #519040=CARTESIAN_POINT('Origin',(-3.19,3.49,-1.58)); #519041=CARTESIAN_POINT('',(-1.042,2.09385164807135,-1.58)); #519042=CARTESIAN_POINT('',(-1.042,2.09385164807134,-1.58)); #519043=CARTESIAN_POINT('',(-0.902,1.89,-1.58)); #519044=CARTESIAN_POINT('Origin',(-0.902,2.04,-1.58)); #519045=CARTESIAN_POINT('',(-0.902,1.89,-1.58)); #519046=CARTESIAN_POINT('',(-0.295092998994442,0.904770265028493,-1.58)); #519047=CARTESIAN_POINT('Origin',(-0.360000000000001,1.04,-1.58)); #519048=CARTESIAN_POINT('',(0.303747265795181,1.19219910364197,-1.58)); #519049=CARTESIAN_POINT('',(0.303747265795181,1.19219910364197,-1.58)); #519050=CARTESIAN_POINT('',(0.489999999999999,1.075,-1.58)); #519051=CARTESIAN_POINT('Origin',(0.359999999999999,1.075,-1.58)); #519052=CARTESIAN_POINT('',(0.49,-0.115,-1.58)); #519053=CARTESIAN_POINT('',(0.49,-0.115,-1.58)); #519054=CARTESIAN_POINT('',(0.303747265795182,-0.232199103641974,-1.58)); #519055=CARTESIAN_POINT('Origin',(0.36,-0.115,-1.58)); #519056=CARTESIAN_POINT('',(-0.295092998994441,0.0552297349715073,-1.58)); #519057=CARTESIAN_POINT('',(-0.295092998994442,0.0552297349715068,-1.58)); #519058=CARTESIAN_POINT('Origin',(-0.36,-0.080000000000001,-1.58)); #519059=CARTESIAN_POINT('',(-0.295092998994441,-1.40522973497151,-1.58)); #519060=CARTESIAN_POINT('Origin',(-0.36,-1.27,-1.58)); #519061=CARTESIAN_POINT('',(0.303747265795183,-1.11780089635803,-1.58)); #519062=CARTESIAN_POINT('',(-0.295092998994441,-1.40522973497151,-1.58)); #519063=CARTESIAN_POINT('',(0.49,-1.235,-1.58)); #519064=CARTESIAN_POINT('Origin',(0.36,-1.235,-1.58)); #519065=CARTESIAN_POINT('',(0.49,-2.425,-1.58)); #519066=CARTESIAN_POINT('',(0.49,-1.235,-1.58)); #519067=CARTESIAN_POINT('',(0.303747265795182,-2.54219910364197,-1.58)); #519068=CARTESIAN_POINT('Origin',(0.36,-2.425,-1.58)); #519069=CARTESIAN_POINT('',(-0.295092998994441,-2.25477026502849,-1.58)); #519070=CARTESIAN_POINT('',(0.303747265795182,-2.54219910364198,-1.58)); #519071=CARTESIAN_POINT('',(-0.51,-2.39,-1.58)); #519072=CARTESIAN_POINT('Origin',(-0.36,-2.39,-1.58)); #519073=CARTESIAN_POINT('',(-0.51,-3.49,-1.58)); #519074=CARTESIAN_POINT('',(-0.51,3.49,-1.58)); #519075=CARTESIAN_POINT('',(-3.19,-3.49,-1.58)); #519076=CARTESIAN_POINT('',(-3.19,-3.49,-1.58)); #519077=CARTESIAN_POINT('',(-3.19,-1.69,-1.58)); #519078=CARTESIAN_POINT('',(-3.19,3.49,-1.58)); #519079=CARTESIAN_POINT('',(-2.46,-1.69,-1.58)); #519080=CARTESIAN_POINT('',(-4.47,-1.69,-1.58)); #519081=CARTESIAN_POINT('',(-2.26,-1.49,-1.58)); #519082=CARTESIAN_POINT('Origin',(-2.46,-1.49,-1.58)); #519083=CARTESIAN_POINT('',(-2.26,-0.804854114414314,-1.58)); #519084=CARTESIAN_POINT('',(-2.26,-1.49,-1.58)); #519085=CARTESIAN_POINT('',(-2.207,-0.804854114414314,-1.58)); #519086=CARTESIAN_POINT('',(-2.26,-0.804854114414314,-1.58)); #519087=CARTESIAN_POINT('',(-2.057,-0.654854114414314,-1.58)); #519088=CARTESIAN_POINT('Origin',(-2.207,-0.654854114414314,-1.58)); #519089=CARTESIAN_POINT('',(-2.057,-0.104854114414314,-1.58)); #519090=CARTESIAN_POINT('',(-2.057,-0.654854114414314,-1.58)); #519091=CARTESIAN_POINT('',(-2.257,0.0951458855856859,-1.58)); #519092=CARTESIAN_POINT('Origin',(-2.257,-0.104854114414314,-1.58)); #519093=CARTESIAN_POINT('',(-2.857,0.0951458855856859,-1.58)); #519094=CARTESIAN_POINT('',(-2.257,0.0951458855856859,-1.58)); #519095=CARTESIAN_POINT('',(-3.05241633642548,0.252572942792843,-1.58)); #519096=CARTESIAN_POINT('Origin',(-2.857,0.295145885585686,-1.58)); #519097=CARTESIAN_POINT('',(-3.19,0.401455953030751,-1.58)); #519098=CARTESIAN_POINT('Origin',(-3.24783267285097,0.21,-1.58)); #519099=CARTESIAN_POINT('',(-3.19,2.14,-1.58)); #519100=CARTESIAN_POINT('',(-3.19,3.49,-1.58)); #519101=CARTESIAN_POINT('',(-2.99,2.34,-1.58)); #519102=CARTESIAN_POINT('Origin',(-2.99,2.14,-1.58)); #519103=CARTESIAN_POINT('',(-2.23,2.33999999999999,-1.58)); #519104=CARTESIAN_POINT('',(-2.99,2.34,-1.58)); #519105=CARTESIAN_POINT('',(-2.086,2.39974148115528,-1.58)); #519106=CARTESIAN_POINT('Origin',(-2.23,2.54341849666777,-1.58)); #519107=CARTESIAN_POINT('',(-1.856,2.63025851884472,-1.58)); #519108=CARTESIAN_POINT('',(-2.086,2.39974148115528,-1.58)); #519109=CARTESIAN_POINT('Origin',(-1.712,2.48658150333223,-1.58)); #519110=CARTESIAN_POINT('',(-1.36,1.29,-1.58)); #519111=CARTESIAN_POINT('',(-1.36,1.29,-1.58)); #519112=CARTESIAN_POINT('',(-1.36,1.292,-1.58)); #519113=CARTESIAN_POINT('',(-1.36,1.79,-1.58)); #519114=CARTESIAN_POINT('',(-1.36,1.788,-1.58)); #519115=CARTESIAN_POINT('',(-2.64,1.79,-1.58)); #519116=CARTESIAN_POINT('',(-2.64,1.79,-1.58)); #519117=CARTESIAN_POINT('',(-2.64,1.788,-1.58)); #519118=CARTESIAN_POINT('',(-2.64,1.29,-1.58)); #519119=CARTESIAN_POINT('',(-2.64,1.788,-1.58)); #519120=CARTESIAN_POINT('Origin',(-3.19,3.49,-0.300000000000001)); #519121=CARTESIAN_POINT('Origin',(-3.19,-3.39,-0.300000000000001)); #519122=CARTESIAN_POINT('Origin',(-3.19,-1.69,-0.300000000000001)); #519123=CARTESIAN_POINT('Origin',(-3.19,3.49,-0.300000000000001)); #519124=CARTESIAN_POINT('',(-3.24783267285097,0.41,-1.27829207394873)); #519125=CARTESIAN_POINT('Origin',(-3.19,0.41,-0.300000000000001)); #519126=CARTESIAN_POINT('Ctrl Pts',(-3.24783267285097,0.41,-1.27829207394873)); #519127=CARTESIAN_POINT('Ctrl Pts',(-3.2289730108334,0.41,-1.27940698093059)); #519128=CARTESIAN_POINT('Ctrl Pts',(-3.20913227357147,0.407235196250084, -1.28)); #519129=CARTESIAN_POINT('Ctrl Pts',(-3.19000000000001,0.401455953030752, -1.28)); #519130=CARTESIAN_POINT('',(-3.39,1.94,-1.25937479641692)); #519131=CARTESIAN_POINT('Ctrl Pts',(-3.39,1.94,-1.25937479641692)); #519132=CARTESIAN_POINT('Ctrl Pts',(-3.36519686531833,1.94,-1.26454548357157)); #519133=CARTESIAN_POINT('Ctrl Pts',(-3.33849993428259,1.94490530848043, -1.26899477174075)); #519134=CARTESIAN_POINT('Ctrl Pts',(-3.28914035571771,1.96524807119069, -1.27529808220488)); #519135=CARTESIAN_POINT('Ctrl Pts',(-3.26647812210656,1.98067916541882, -1.27717584753705)); #519136=CARTESIAN_POINT('Ctrl Pts',(-3.23083240946533,2.01632487806005, -1.2793103555194)); #519137=CARTESIAN_POINT('Ctrl Pts',(-3.2154380450618,2.03881010650387,-1.27971547233723)); #519138=CARTESIAN_POINT('Ctrl Pts',(-3.19502193171258,2.08808806060494, -1.28004839950087)); #519139=CARTESIAN_POINT('Ctrl Pts',(-3.19,2.11488054694031,-1.28)); #519140=CARTESIAN_POINT('Ctrl Pts',(-3.19,2.14,-1.28)); #519141=CARTESIAN_POINT('Origin',(-3.19,1.94,-0.300000000000001)); #519142=CARTESIAN_POINT('Origin',(-3.19,3.49,-0.300000000000001)); #519143=CARTESIAN_POINT('',(-4.47,-1.69,-0.3)); #519144=CARTESIAN_POINT('Origin',(-3.19,-1.69,-0.300000000000001)); #519145=CARTESIAN_POINT('',(-4.47,-3.49,-0.3)); #519146=CARTESIAN_POINT('Origin',(-3.19,-3.49,-0.300000000000001)); #519147=CARTESIAN_POINT('',(-4.47,3.49,-0.3)); #519148=CARTESIAN_POINT('Origin',(-3.19,3.49,-0.300000000000001)); #519149=CARTESIAN_POINT('',(-3.24783267285097,0.41,-1.57869284112758)); #519150=CARTESIAN_POINT('Ctrl Pts',(-3.24783267285097,0.41,-1.57869284112758)); #519151=CARTESIAN_POINT('Ctrl Pts',(-3.2289686612236,0.41,-1.57954602195257)); #519152=CARTESIAN_POINT('Ctrl Pts',(-3.20913008495689,0.407234535140157, -1.58)); #519153=CARTESIAN_POINT('Ctrl Pts',(-3.19000000000001,0.401455953030752, -1.58)); #519154=CARTESIAN_POINT('',(-4.47,0.41,-0.3)); #519155=CARTESIAN_POINT('Origin',(-3.19,0.41,-0.300000000000001)); #519156=CARTESIAN_POINT('',(-4.47,1.94,-0.3)); #519157=CARTESIAN_POINT('',(-4.47,3.49,-0.3)); #519158=CARTESIAN_POINT('',(-3.39,1.94,-1.56427845034233)); #519159=CARTESIAN_POINT('Origin',(-3.19,1.94,-0.300000000000001)); #519160=CARTESIAN_POINT('Ctrl Pts',(-3.39,1.94,-1.56427845034233)); #519161=CARTESIAN_POINT('Ctrl Pts',(-3.36506752775227,1.94,-1.56822259290697)); #519162=CARTESIAN_POINT('Ctrl Pts',(-3.3383329271105,1.94495271953366,-1.57161146824546)); #519163=CARTESIAN_POINT('Ctrl Pts',(-3.28900826586259,1.96532401922365, -1.57641183151976)); #519164=CARTESIAN_POINT('Ctrl Pts',(-3.26641843741876,1.98073885010662, -1.5778415976238)); #519165=CARTESIAN_POINT('Ctrl Pts',(-3.23082787289051,2.01632941463487, -1.57947209268886)); #519166=CARTESIAN_POINT('Ctrl Pts',(-3.21543448680069,2.03881643638359, -1.57978223475825)); #519167=CARTESIAN_POINT('Ctrl Pts',(-3.1950206038618,2.08809352480655,-1.5800370414955)); #519168=CARTESIAN_POINT('Ctrl Pts',(-3.19,2.11488345085534,-1.58)); #519169=CARTESIAN_POINT('Ctrl Pts',(-3.19,2.14,-1.58)); #519170=CARTESIAN_POINT('Origin',(3.19,3.49,-0.300000000000001)); #519171=CARTESIAN_POINT('Origin',(3.19,-3.39,-0.300000000000001)); #519172=CARTESIAN_POINT('Origin',(3.19,-1.69,-0.300000000000001)); #519173=CARTESIAN_POINT('Origin',(3.19,3.49,-0.300000000000001)); #519174=CARTESIAN_POINT('Origin',(3.19,-1.69,-0.300000000000001)); #519175=CARTESIAN_POINT('Origin',(3.19,-3.49,-0.300000000000001)); #519176=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.28)); #519177=CARTESIAN_POINT('',(-0.499999999999999,-0.0800000000000011,11.2985643801531)); #519178=CARTESIAN_POINT('',(-0.499999999999999,-1.27,11.2985643801531)); #519179=CARTESIAN_POINT('Origin',(-0.51,3.49,-1.28)); #519180=CARTESIAN_POINT('',(-0.51,-2.39,-1.28)); #519181=CARTESIAN_POINT('',(-0.51,-3.49,-1.38)); #519182=CARTESIAN_POINT('',(-0.510000000000001,0.050000000000004,2.16)); #519183=CARTESIAN_POINT('',(-0.51,-3.49,-1.28)); #519184=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.28)); #519185=CARTESIAN_POINT('',(4.45363918900927,3.49,0.504)); #519186=CARTESIAN_POINT('',(4.17,3.49,0.504)); #519187=CARTESIAN_POINT('Origin',(3.19,3.49,0.300000000000001)); #519188=CARTESIAN_POINT('',(3.19,3.49,1.58)); #519189=CARTESIAN_POINT('',(3.19,3.49,1.58)); #519190=CARTESIAN_POINT('Origin',(3.19,3.49,0.300000000000001)); #519191=CARTESIAN_POINT('Origin',(-0.5,3.49,-1.28)); #519192=CARTESIAN_POINT('',(1.6,3.49,1.58)); #519193=CARTESIAN_POINT('',(1.6,3.49,1.28)); #519194=CARTESIAN_POINT('',(3.19,3.49,1.58)); #519195=CARTESIAN_POINT('Origin',(-3.19,-3.49,-1.28)); #519196=CARTESIAN_POINT('',(-3.19,-3.49,-1.38)); #519197=CARTESIAN_POINT('',(-3.19,-3.49,-1.38)); #519198=CARTESIAN_POINT('',(-4.27,-3.49,-0.300000000000001)); #519199=CARTESIAN_POINT('Origin',(-3.19,-3.49,-0.300000000000001)); #519200=CARTESIAN_POINT('',(-4.27,-3.49,0.300000000000001)); #519201=CARTESIAN_POINT('',(-4.27,-3.49,-1.28)); #519202=CARTESIAN_POINT('',(-3.19,-3.49,1.38)); #519203=CARTESIAN_POINT('Origin',(-3.19,-3.49,0.300000000000001)); #519204=CARTESIAN_POINT('',(3.19,-3.49,1.38)); #519205=CARTESIAN_POINT('',(-3.19,-3.49,1.38)); #519206=CARTESIAN_POINT('',(4.27,-3.49,0.300000000000001)); #519207=CARTESIAN_POINT('Origin',(3.19,-3.49,0.300000000000001)); #519208=CARTESIAN_POINT('',(4.27,-3.49,-0.300000000000001)); #519209=CARTESIAN_POINT('',(4.27,-3.49,-1.28)); #519210=CARTESIAN_POINT('',(3.19,-3.49,-1.38)); #519211=CARTESIAN_POINT('Origin',(3.19,-3.49,-0.300000000000001)); #519212=CARTESIAN_POINT('',(-3.19,-3.49,-1.38)); #519213=CARTESIAN_POINT('',(3.19,-3.49,1.58)); #519214=CARTESIAN_POINT('Origin',(3.19,-3.49,0.300000000000001)); #519215=CARTESIAN_POINT('',(-3.19,-3.49,1.58)); #519216=CARTESIAN_POINT('',(3.19,-3.49,1.58)); #519217=CARTESIAN_POINT('',(-4.47,-3.49,0.300000000000001)); #519218=CARTESIAN_POINT('Origin',(-3.19,-3.49,0.300000000000001)); #519219=CARTESIAN_POINT('',(-4.47,-3.49,0.300000000000001)); #519220=CARTESIAN_POINT('Origin',(-3.19,3.49,0.300000000000001)); #519221=CARTESIAN_POINT('Origin',(-3.19,-3.39,0.300000000000001)); #519222=CARTESIAN_POINT('Origin',(3.19,3.49,0.300000000000001)); #519223=CARTESIAN_POINT('Origin',(3.19,-3.39,0.300000000000001)); #519224=CARTESIAN_POINT('Origin',(3.19,3.49,-0.300000000000001)); #519225=CARTESIAN_POINT('',(3.24783267285096,0.41,-1.27829207394873)); #519226=CARTESIAN_POINT('Ctrl Pts',(3.24783267285096,0.41,-1.27829207394873)); #519227=CARTESIAN_POINT('Ctrl Pts',(3.22897301083339,0.41,-1.27940698093059)); #519228=CARTESIAN_POINT('Ctrl Pts',(3.20913227357147,0.407235196250085, -1.28)); #519229=CARTESIAN_POINT('Ctrl Pts',(3.19,0.401455953030754,-1.28)); #519230=CARTESIAN_POINT('Origin',(3.19,0.41,-0.300000000000001)); #519231=CARTESIAN_POINT('',(3.39,1.94,-1.25937479641692)); #519232=CARTESIAN_POINT('Origin',(3.19,1.94,-0.300000000000001)); #519233=CARTESIAN_POINT('Ctrl Pts',(3.19,2.14,-1.28)); #519234=CARTESIAN_POINT('Ctrl Pts',(3.19,2.11488054694031,-1.28)); #519235=CARTESIAN_POINT('Ctrl Pts',(3.19502193171258,2.08808806060494,-1.28004839950087)); #519236=CARTESIAN_POINT('Ctrl Pts',(3.2154380450618,2.03881010650387,-1.27971547233723)); #519237=CARTESIAN_POINT('Ctrl Pts',(3.23083240946533,2.01632487806005,-1.2793103555194)); #519238=CARTESIAN_POINT('Ctrl Pts',(3.26647812210656,1.98067916541882,-1.27717584753705)); #519239=CARTESIAN_POINT('Ctrl Pts',(3.28914035571771,1.96524807119069,-1.27529808220488)); #519240=CARTESIAN_POINT('Ctrl Pts',(3.33849993428259,1.94490530848043,-1.26899477174075)); #519241=CARTESIAN_POINT('Ctrl Pts',(3.36519686531833,1.94,-1.26454548357157)); #519242=CARTESIAN_POINT('Ctrl Pts',(3.39,1.94,-1.25937479641692)); #519243=CARTESIAN_POINT('Origin',(-4.47,3.49,0.300000000000001)); #519244=CARTESIAN_POINT('',(-4.47,3.49,0.300000000000001)); #519245=CARTESIAN_POINT('',(-4.47,3.84,-1.79497906874857)); #519246=CARTESIAN_POINT('',(-4.47,2.62247757295976,-0.544989376987237)); #519247=CARTESIAN_POINT('',(-4.47,3.83999999999999,-2.03)); #519248=CARTESIAN_POINT('',(-4.47,3.84,-2.03)); #519249=CARTESIAN_POINT('',(-4.47,3.82569490137048,-2.09255811788713)); #519250=CARTESIAN_POINT('Origin',(-4.47,3.69605992426026,-2.03)); #519251=CARTESIAN_POINT('',(-4.47,3.645,-2.467)); #519252=CARTESIAN_POINT('',(-4.47,3.645,-2.467)); #519253=CARTESIAN_POINT('',(-4.47,3.465,-2.58)); #519254=CARTESIAN_POINT('Origin',(-4.47,3.465,-2.38013716814167)); #519255=CARTESIAN_POINT('',(-4.47,3.115,-2.58000000000004)); #519256=CARTESIAN_POINT('',(-4.47,3.115,-2.58)); #519257=CARTESIAN_POINT('',(-4.47,2.935,-2.467)); #519258=CARTESIAN_POINT('Origin',(-4.47,3.115,-2.38013716814167)); #519259=CARTESIAN_POINT('',(-4.47,2.75430509862945,-2.09255811788715)); #519260=CARTESIAN_POINT('',(-4.47,2.75430509862951,-2.09255811788712)); #519261=CARTESIAN_POINT('',(-4.47,2.74,-2.03)); #519262=CARTESIAN_POINT('Origin',(-4.47,2.8839400757397,-2.03)); #519263=CARTESIAN_POINT('',(-4.47,2.73999999999998,-1.476)); #519264=CARTESIAN_POINT('',(-4.47,2.74,-1.476)); #519265=CARTESIAN_POINT('',(-4.47,2.53999999999999,-1.276)); #519266=CARTESIAN_POINT('Origin',(-4.47,2.53999999999998,-1.476)); #519267=CARTESIAN_POINT('',(-4.47,2.34,-1.076)); #519268=CARTESIAN_POINT('Origin',(-4.47,2.53999999999999,-1.076)); #519269=CARTESIAN_POINT('',(-4.47,2.34,-0.279999999999999)); #519270=CARTESIAN_POINT('',(-4.47,2.34,-0.0800000000000004)); #519271=CARTESIAN_POINT('',(-4.47,1.94,-0.279999999999999)); #519272=CARTESIAN_POINT('Origin',(-4.47,2.14,-0.279999999999999)); #519273=CARTESIAN_POINT('',(-4.47,1.94,-0.0799999999999993)); #519274=CARTESIAN_POINT('',(-4.47,0.41,-0.279999999999999)); #519275=CARTESIAN_POINT('',(-4.47,0.41,-0.0799999999999993)); #519276=CARTESIAN_POINT('',(-4.47,0.0100000000000011,-0.279999999999999)); #519277=CARTESIAN_POINT('Origin',(-4.47,0.210000000000001,-0.279999999999999)); #519278=CARTESIAN_POINT('',(-4.47,0.0100000000000011,-1.58)); #519279=CARTESIAN_POINT('',(-4.47,0.0100000000000011,-0.0800000000000004)); #519280=CARTESIAN_POINT('',(-4.47,-0.139999999999999,-1.73)); #519281=CARTESIAN_POINT('Origin',(-4.47,-0.139999999999999,-1.58)); #519282=CARTESIAN_POINT('',(-4.47,-0.292999999999999,-1.73)); #519283=CARTESIAN_POINT('',(-4.47,-0.139999999999999,-1.73)); #519284=CARTESIAN_POINT('',(-4.47,-0.382999999999999,-1.69905776454236)); #519285=CARTESIAN_POINT('Origin',(-4.47,-0.293,-1.58363982593444)); #519286=CARTESIAN_POINT('',(-4.47,-0.522000000000001,-1.841)); #519287=CARTESIAN_POINT('Origin',(-4.47,-0.444471864358245,-1.77789060750473)); #519288=CARTESIAN_POINT('',(-4.47,-0.49,-1.931)); #519289=CARTESIAN_POINT('Origin',(-4.47,-0.6325625,-1.931)); #519290=CARTESIAN_POINT('',(-4.47,-0.49,-2.147)); #519291=CARTESIAN_POINT('',(-4.47,-0.49,-1.931)); #519292=CARTESIAN_POINT('',(-4.47,-0.499276643990934,-2.20012987012986)); #519293=CARTESIAN_POINT('Origin',(-4.47,-0.646782950094636,-2.147)); #519294=CARTESIAN_POINT('',(-4.47,-0.58927664399093,-2.45)); #519295=CARTESIAN_POINT('',(-4.47,-0.49927664399093,-2.20012987012987)); #519296=CARTESIAN_POINT('',(-4.47,-0.77427664399093,-2.58)); #519297=CARTESIAN_POINT('Origin',(-4.47,-0.77427664399093,-2.38336538461539)); #519298=CARTESIAN_POINT('',(-4.47,-1.00572335600907,-2.58)); #519299=CARTESIAN_POINT('',(-4.47,-0.77427664399093,-2.58)); #519300=CARTESIAN_POINT('',(-4.47,-1.19072335600907,-2.45)); #519301=CARTESIAN_POINT('Origin',(-4.47,-1.00572335600907,-2.38336538461539)); #519302=CARTESIAN_POINT('',(-4.47,-1.28072335600907,-2.20012987012987)); #519303=CARTESIAN_POINT('',(-4.47,-1.19072335600907,-2.45)); #519304=CARTESIAN_POINT('',(-4.47,-1.29,-2.147)); #519305=CARTESIAN_POINT('Origin',(-4.47,-1.13321704990536,-2.147)); #519306=CARTESIAN_POINT('',(-4.47,-1.29,-0.279999999999999)); #519307=CARTESIAN_POINT('',(-4.47,-1.29,-2.147)); #519308=CARTESIAN_POINT('',(-4.47,-1.69,-0.279999999999999)); #519309=CARTESIAN_POINT('Origin',(-4.47,-1.49,-0.279999999999999)); #519310=CARTESIAN_POINT('',(-4.47,-1.69,-0.0799999999999993)); #519311=CARTESIAN_POINT('',(-4.47,3.33,-0.880000000000001)); #519312=CARTESIAN_POINT('',(-4.47,2.82,-0.880000000000001)); #519313=CARTESIAN_POINT('',(-4.47,3.33,-0.880000000000001)); #519314=CARTESIAN_POINT('',(-4.47,3.33,-0.380000000000001)); #519315=CARTESIAN_POINT('',(-4.47,3.33,-0.880000000000001)); #519316=CARTESIAN_POINT('',(-4.47,2.82,-0.380000000000001)); #519317=CARTESIAN_POINT('',(-4.47,3.33,-0.380000000000001)); #519318=CARTESIAN_POINT('',(-4.47,2.67,-0.530000000000001)); #519319=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.530000000000001)); #519320=CARTESIAN_POINT('',(-4.47,2.67,-0.730000000000001)); #519321=CARTESIAN_POINT('',(-4.47,2.67,-0.880000000000001)); #519322=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.730000000000001)); #519323=CARTESIAN_POINT('Origin',(-3.19,3.49,0.300000000000001)); #519324=CARTESIAN_POINT('',(-3.19,3.49,1.58)); #519325=CARTESIAN_POINT('Ctrl Pts',(-4.46811668758587,3.54188331241414, 0.369409890610291)); #519326=CARTESIAN_POINT('Ctrl Pts',(-4.46721665650384,3.5268754324413,0.385983101361166)); #519327=CARTESIAN_POINT('Ctrl Pts',(-4.4657950509735,3.5140609185661,0.405964141122854)); #519328=CARTESIAN_POINT('Ctrl Pts',(-4.46115502763314,3.49496358688436, 0.45220637805056)); #519329=CARTESIAN_POINT('Ctrl Pts',(-4.45767378375569,3.49,0.479008470424729)); #519330=CARTESIAN_POINT('Ctrl Pts',(-4.45363918900927,3.49,0.504)); #519331=CARTESIAN_POINT('Origin',(3.19,3.49,1.58)); #519332=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.58)); #519333=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.58)); #519334=CARTESIAN_POINT('Origin',(-2.75,3.64,1.58)); #519335=CARTESIAN_POINT('',(3.19,3.49,1.58)); #519336=CARTESIAN_POINT('',(1.74142135623731,3.59,1.58)); #519337=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.58)); #519338=CARTESIAN_POINT('Origin',(1.6,3.64,1.58)); #519339=CARTESIAN_POINT('',(1.36,1.29,1.58)); #519340=CARTESIAN_POINT('',(1.36,1.29,1.58)); #519341=CARTESIAN_POINT('',(1.36,1.292,1.58)); #519342=CARTESIAN_POINT('',(1.36,1.79,1.58)); #519343=CARTESIAN_POINT('',(1.36,1.788,1.58)); #519344=CARTESIAN_POINT('',(2.64,1.79,1.58)); #519345=CARTESIAN_POINT('',(2.64,1.79,1.58)); #519346=CARTESIAN_POINT('',(2.64,1.788,1.58)); #519347=CARTESIAN_POINT('',(2.64,1.29,1.58)); #519348=CARTESIAN_POINT('',(2.64,1.788,1.58)); #519349=CARTESIAN_POINT('',(-2.64,1.29,1.58)); #519350=CARTESIAN_POINT('',(-2.64,1.29,1.58)); #519351=CARTESIAN_POINT('',(-2.64,1.292,1.58)); #519352=CARTESIAN_POINT('',(-2.64,1.79,1.58)); #519353=CARTESIAN_POINT('',(-2.64,1.788,1.58)); #519354=CARTESIAN_POINT('',(-1.36,1.79,1.58)); #519355=CARTESIAN_POINT('',(-1.36,1.79,1.58)); #519356=CARTESIAN_POINT('',(-1.36,1.788,1.58)); #519357=CARTESIAN_POINT('',(-1.36,1.29,1.58)); #519358=CARTESIAN_POINT('',(-1.36,1.788,1.58)); #519359=CARTESIAN_POINT('Origin',(3.19,3.49,0.300000000000001)); #519360=CARTESIAN_POINT('Ctrl Pts',(4.45363918900927,3.49,0.504)); #519361=CARTESIAN_POINT('Ctrl Pts',(4.45767378375569,3.49,0.479008470424729)); #519362=CARTESIAN_POINT('Ctrl Pts',(4.46115502763314,3.49496358688436,0.45220637805056)); #519363=CARTESIAN_POINT('Ctrl Pts',(4.4657950509735,3.5140609185661,0.405964141122854)); #519364=CARTESIAN_POINT('Ctrl Pts',(4.46721665650384,3.5268754324413,0.385983101361166)); #519365=CARTESIAN_POINT('Ctrl Pts',(4.46811668758587,3.54188331241414,0.369409890610291)); #519366=CARTESIAN_POINT('Origin',(3.19,3.49,-0.300000000000001)); #519367=CARTESIAN_POINT('',(3.24783267285096,0.41,-1.57869284112758)); #519368=CARTESIAN_POINT('Origin',(3.19,0.41,-0.300000000000001)); #519369=CARTESIAN_POINT('Ctrl Pts',(3.24783267285096,0.41,-1.57869284112758)); #519370=CARTESIAN_POINT('Ctrl Pts',(3.22896866122359,0.41,-1.57954602195257)); #519371=CARTESIAN_POINT('Ctrl Pts',(3.20913008495689,0.407234535140158, -1.58)); #519372=CARTESIAN_POINT('Ctrl Pts',(3.19,0.401455953030754,-1.58)); #519373=CARTESIAN_POINT('',(3.39,1.94,-1.56427845034233)); #519374=CARTESIAN_POINT('Ctrl Pts',(3.19,2.14,-1.58)); #519375=CARTESIAN_POINT('Ctrl Pts',(3.19,2.11488345085534,-1.58)); #519376=CARTESIAN_POINT('Ctrl Pts',(3.1950206038618,2.08809352480655,-1.5800370414955)); #519377=CARTESIAN_POINT('Ctrl Pts',(3.21543448680069,2.03881643638359,-1.57978223475825)); #519378=CARTESIAN_POINT('Ctrl Pts',(3.23082787289051,2.01632941463487,-1.57947209268886)); #519379=CARTESIAN_POINT('Ctrl Pts',(3.26641843741876,1.98073885010662,-1.5778415976238)); #519380=CARTESIAN_POINT('Ctrl Pts',(3.28900826586259,1.96532401922365,-1.57641183151976)); #519381=CARTESIAN_POINT('Ctrl Pts',(3.3383329271105,1.94495271953366,-1.57161146824546)); #519382=CARTESIAN_POINT('Ctrl Pts',(3.36506752775227,1.94,-1.56822259290697)); #519383=CARTESIAN_POINT('Ctrl Pts',(3.39,1.94,-1.56427845034233)); #519384=CARTESIAN_POINT('Origin',(3.19,1.94,-0.300000000000001)); #519385=CARTESIAN_POINT('Origin',(1.6,3.64,1.28)); #519386=CARTESIAN_POINT('',(1.74142135623731,3.59,1.37903336799205)); #519387=CARTESIAN_POINT('',(1.74142135623731,3.59,1.58)); #519388=CARTESIAN_POINT('',(1.74142135623731,3.59,1.58)); #519389=CARTESIAN_POINT('Origin',(-2.75,3.64,1.28)); #519390=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.37903336799206)); #519391=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.58)); #519392=CARTESIAN_POINT('',(-2.60857864376269,3.59,1.58)); #519393=CARTESIAN_POINT('Origin',(3.39,2.14,-0.0800000000000002)); #519394=CARTESIAN_POINT('',(3.39,1.94,-0.0800000000000002)); #519395=CARTESIAN_POINT('',(3.19,2.14,-0.0800000000000002)); #519396=CARTESIAN_POINT('Origin',(4.47,1.94,-0.0800000000000004)); #519397=CARTESIAN_POINT('',(4.47,1.94,-0.28)); #519398=CARTESIAN_POINT('Origin',(1.712,2.69,-0.08)); #519399=CARTESIAN_POINT('',(0.817,2.69,-1.519711431703)); #519400=CARTESIAN_POINT('Origin',(1.042,2.69,-1.13)); #519401=CARTESIAN_POINT('',(0.403365564922641,2.69,-1.28089947926499)); #519402=CARTESIAN_POINT('',(1.51014603095915,2.69,-1.91989947926499)); #519403=CARTESIAN_POINT('',(0.553365564922641,2.69,-1.02109185812965)); #519404=CARTESIAN_POINT('',(1.15336556492264,2.69,0.0181386264116725)); #519405=CARTESIAN_POINT('',(0.967,2.69,-1.25990381056767)); #519406=CARTESIAN_POINT('',(-0.255502162212025,2.69,-0.554091858129654)); #519407=CARTESIAN_POINT('Origin',(1.042,2.69,-1.13)); #519408=CARTESIAN_POINT('',(1.712,2.69,-0.08)); #519409=CARTESIAN_POINT('Origin',(1.712,2.48658150333223,-0.08)); #519410=CARTESIAN_POINT('',(1.856,2.63025851884472,-0.08)); #519411=CARTESIAN_POINT('Origin',(2.086,2.39974148115528,-0.08)); #519412=CARTESIAN_POINT('',(2.086,2.39974148115528,-0.08)); #519413=CARTESIAN_POINT('Origin',(2.23,2.54341849666777,-0.08)); #519414=CARTESIAN_POINT('',(2.23,2.34,-0.0800000000000002)); #519415=CARTESIAN_POINT('Origin',(2.99,2.34,-0.0800000000000002)); #519416=CARTESIAN_POINT('',(2.99,2.34,-0.0800000000000002)); #519417=CARTESIAN_POINT('Origin',(-0.902,1.89,-0.0799999999999998)); #519418=CARTESIAN_POINT('Origin',(2.99,2.14,-0.0800000000000002)); #519419=CARTESIAN_POINT('Origin',(-2.99,2.34,-0.0799999999999996)); #519420=CARTESIAN_POINT('',(-2.23,2.33999999999999,-0.0799999999999996)); #519421=CARTESIAN_POINT('',(-2.99,2.34,-0.0799999999999996)); #519422=CARTESIAN_POINT('Origin',(-2.23,2.54341849666777,-0.0799999999999996)); #519423=CARTESIAN_POINT('',(-2.086,2.39974148115528,-0.0799999999999996)); #519424=CARTESIAN_POINT('Origin',(-2.086,2.39974148115528,-0.0799999999999996)); #519425=CARTESIAN_POINT('',(-1.856,2.63025851884472,-0.0799999999999996)); #519426=CARTESIAN_POINT('Origin',(-1.712,2.48658150333223,-0.0799999999999996)); #519427=CARTESIAN_POINT('Origin',(-4.47,1.94,-0.0799999999999993)); #519428=CARTESIAN_POINT('',(-4.47,1.94,-0.279999999999999)); #519429=CARTESIAN_POINT('',(-3.39,1.94,-0.0799999999999993)); #519430=CARTESIAN_POINT('Origin',(-3.39,2.14,-0.0799999999999993)); #519431=CARTESIAN_POINT('',(-3.19,2.14,-0.0799999999999996)); #519432=CARTESIAN_POINT('Origin',(-2.99,2.14,-0.0799999999999996)); #519433=CARTESIAN_POINT('Origin',(-0.902,1.89,-0.0799999999999998)); #519434=CARTESIAN_POINT('',(-0.902,1.89,-0.0799999999999998)); #519435=CARTESIAN_POINT('Origin',(-0.902,2.04,-0.0799999999999998)); #519436=CARTESIAN_POINT('',(-1.042,2.09385164807135,-1.37923304152413)); #519437=CARTESIAN_POINT('',(-1.042,2.09385164807135,-1.58)); #519438=CARTESIAN_POINT('',(-1.042,2.09385164807135,-1.58)); #519439=CARTESIAN_POINT('Origin',(4.47,-1.69,-0.0800000000000004)); #519440=CARTESIAN_POINT('',(4.47,-1.69,-0.28)); #519441=CARTESIAN_POINT('',(2.46,-1.69,-0.0800000000000002)); #519442=CARTESIAN_POINT('Origin',(3.24783267285096,0.21,-0.0800000000000002)); #519443=CARTESIAN_POINT('',(3.05241633642548,0.252572942792843,-0.0800000000000002)); #519444=CARTESIAN_POINT('',(3.24783267285096,0.41,-0.0800000000000002)); #519445=CARTESIAN_POINT('Origin',(3.24783267285096,0.41,-0.0800000000000002)); #519446=CARTESIAN_POINT('',(3.24783267285096,0.41,-0.28)); #519447=CARTESIAN_POINT('Origin',(2.46,-1.49,-0.0800000000000002)); #519448=CARTESIAN_POINT('',(2.26,-1.49,-0.0800000000000002)); #519449=CARTESIAN_POINT('Origin',(2.26,-1.49,-0.08)); #519450=CARTESIAN_POINT('',(2.26,-0.804854114414314,-0.08)); #519451=CARTESIAN_POINT('Origin',(2.26,-0.804854114414314,-0.08)); #519452=CARTESIAN_POINT('',(2.207,-0.804854114414314,-0.08)); #519453=CARTESIAN_POINT('Origin',(2.207,-0.654854114414314,-0.08)); #519454=CARTESIAN_POINT('',(2.057,-0.654854114414314,-0.08)); #519455=CARTESIAN_POINT('Origin',(2.057,-0.654854114414314,-0.08)); #519456=CARTESIAN_POINT('',(2.057,-0.104854114414314,-0.08)); #519457=CARTESIAN_POINT('Origin',(2.257,-0.104854114414314,-0.08)); #519458=CARTESIAN_POINT('',(2.257,0.0951458855856859,-0.08)); #519459=CARTESIAN_POINT('Origin',(2.257,0.0951458855856859,-0.08)); #519460=CARTESIAN_POINT('',(2.857,0.0951458855856859,-0.08)); #519461=CARTESIAN_POINT('Origin',(2.857,0.295145885585686,-0.0800000000000002)); #519462=CARTESIAN_POINT('Origin',(-4.47,-1.69,-0.0799999999999993)); #519463=CARTESIAN_POINT('',(-4.47,-1.69,-0.279999999999999)); #519464=CARTESIAN_POINT('',(-2.46,-1.69,-0.0799999999999996)); #519465=CARTESIAN_POINT('Origin',(-3.24783267285097,0.21,-0.0799999999999996)); #519466=CARTESIAN_POINT('',(-3.24783267285097,0.41,-0.0799999999999996)); #519467=CARTESIAN_POINT('',(-3.05241633642548,0.252572942792843,-0.0799999999999996)); #519468=CARTESIAN_POINT('Origin',(-3.24783267285097,0.41,-0.0799999999999996)); #519469=CARTESIAN_POINT('',(-3.24783267285097,0.41,-0.279999999999999)); #519470=CARTESIAN_POINT('Origin',(-2.46,-1.49,-0.0799999999999996)); #519471=CARTESIAN_POINT('',(-2.26,-1.49,-0.0799999999999996)); #519472=CARTESIAN_POINT('Origin',(-2.26,-1.49,-0.0799999999999996)); #519473=CARTESIAN_POINT('',(-2.26,-0.804854114414314,-0.0799999999999996)); #519474=CARTESIAN_POINT('Origin',(-2.26,-0.804854114414314,-0.0799999999999996)); #519475=CARTESIAN_POINT('',(-2.207,-0.804854114414314,-0.0799999999999996)); #519476=CARTESIAN_POINT('Origin',(-2.207,-0.654854114414314,-0.0799999999999996)); #519477=CARTESIAN_POINT('',(-2.057,-0.654854114414314,-0.0799999999999996)); #519478=CARTESIAN_POINT('Origin',(-2.057,-0.654854114414314,-0.0799999999999996)); #519479=CARTESIAN_POINT('',(-2.057,-0.104854114414314,-0.0799999999999996)); #519480=CARTESIAN_POINT('Origin',(-2.257,-0.104854114414314,-0.0799999999999996)); #519481=CARTESIAN_POINT('',(-2.257,0.0951458855856859,-0.0799999999999996)); #519482=CARTESIAN_POINT('Origin',(-2.257,0.0951458855856859,-0.0799999999999996)); #519483=CARTESIAN_POINT('',(-2.857,0.0951458855856859,-0.0799999999999996)); #519484=CARTESIAN_POINT('Origin',(-2.857,0.295145885585686,-0.0799999999999996)); #519485=CARTESIAN_POINT('Origin',(4.17,-1.29,-0.0800000000000004)); #519486=CARTESIAN_POINT('',(4.17,-1.29,-0.28)); #519487=CARTESIAN_POINT('',(4.17,-1.29,-2.147)); #519488=CARTESIAN_POINT('Origin',(4.17,-1.13321704990536,-2.147)); #519489=CARTESIAN_POINT('',(4.17,-1.28072335600907,-2.20012987012987)); #519490=CARTESIAN_POINT('Origin',(4.17,-1.28072335600907,-2.20012987012987)); #519491=CARTESIAN_POINT('',(4.17,-1.19072335600907,-2.45)); #519492=CARTESIAN_POINT('Origin',(4.17,-1.00572335600907,-2.38336538461539)); #519493=CARTESIAN_POINT('',(4.17,-1.00572335600907,-2.58)); #519494=CARTESIAN_POINT('Origin',(4.17,-1.00572335600907,-2.58)); #519495=CARTESIAN_POINT('',(4.17,-0.77427664399093,-2.58)); #519496=CARTESIAN_POINT('Origin',(4.17,-0.77427664399093,-2.38336538461539)); #519497=CARTESIAN_POINT('',(4.17,-0.58927664399093,-2.45)); #519498=CARTESIAN_POINT('Origin',(4.17,-0.58927664399093,-2.45)); #519499=CARTESIAN_POINT('',(4.17,-0.49927664399093,-2.20012987012987)); #519500=CARTESIAN_POINT('Origin',(4.17,-0.646782950094636,-2.147)); #519501=CARTESIAN_POINT('',(4.17,-0.490000000000006,-2.147)); #519502=CARTESIAN_POINT('Origin',(4.17,-0.49,-2.147)); #519503=CARTESIAN_POINT('',(4.17,-0.49,-1.931)); #519504=CARTESIAN_POINT('Origin',(4.17,-0.6325625,-1.931)); #519505=CARTESIAN_POINT('',(4.17,-0.522,-1.841)); #519506=CARTESIAN_POINT('Origin',(4.17,-0.444471864358245,-1.77789060750473)); #519507=CARTESIAN_POINT('',(4.17,-0.383,-1.69905776454236)); #519508=CARTESIAN_POINT('Origin',(4.17,-0.293,-1.58363982593444)); #519509=CARTESIAN_POINT('',(4.17,-0.292999999999999,-1.73)); #519510=CARTESIAN_POINT('Origin',(4.17,-0.292999999999999,-1.73)); #519511=CARTESIAN_POINT('',(4.17,-0.139999999999999,-1.73)); #519512=CARTESIAN_POINT('Origin',(4.17,-0.139999999999999,-1.58)); #519513=CARTESIAN_POINT('',(4.17,0.0100000000000011,-1.58)); #519514=CARTESIAN_POINT('Origin',(4.17,0.0100000000000011,-1.58)); #519515=CARTESIAN_POINT('',(4.47,0.0100000000000011,-0.28)); #519516=CARTESIAN_POINT('Origin',(4.17,3.69051125,0.504)); #519517=CARTESIAN_POINT('',(4.17,3.59,0.3305)); #519518=CARTESIAN_POINT('Origin',(4.17,3.48948875,0.157)); #519519=CARTESIAN_POINT('',(4.17,3.69,0.157)); #519520=CARTESIAN_POINT('Origin',(4.17,3.69,0.157)); #519521=CARTESIAN_POINT('',(4.17,3.69,-1.426)); #519522=CARTESIAN_POINT('Origin',(4.17,3.69,-1.426)); #519523=CARTESIAN_POINT('',(4.32,3.84,-1.58)); #519524=CARTESIAN_POINT('',(4.32,3.69,-1.426)); #519525=CARTESIAN_POINT('',(4.17,3.84,-1.58)); #519526=CARTESIAN_POINT('Origin',(4.17,3.84,-1.58)); #519527=CARTESIAN_POINT('',(4.2477312927398,3.84,-1.4764249374236)); #519528=CARTESIAN_POINT('',(4.17,3.84,-2.03)); #519529=CARTESIAN_POINT('Origin',(4.17,3.69605992426026,-2.03)); #519530=CARTESIAN_POINT('',(4.17,3.82569490137052,-2.09255811788715)); #519531=CARTESIAN_POINT('Origin',(4.17,3.82569490137048,-2.09255811788713)); #519532=CARTESIAN_POINT('',(4.17,3.645,-2.467)); #519533=CARTESIAN_POINT('Origin',(4.17,3.465,-2.38013716814167)); #519534=CARTESIAN_POINT('',(4.17,3.465,-2.58000000000004)); #519535=CARTESIAN_POINT('Origin',(4.17,3.465,-2.58)); #519536=CARTESIAN_POINT('',(4.17,3.115,-2.58)); #519537=CARTESIAN_POINT('Origin',(4.17,3.115,-2.38013716814167)); #519538=CARTESIAN_POINT('',(4.17,2.935,-2.467)); #519539=CARTESIAN_POINT('Origin',(4.17,2.935,-2.467)); #519540=CARTESIAN_POINT('',(4.17,2.75430509862951,-2.09255811788712)); #519541=CARTESIAN_POINT('Origin',(4.17,2.8839400757397,-2.03)); #519542=CARTESIAN_POINT('',(4.17,2.73999999999998,-2.03)); #519543=CARTESIAN_POINT('Origin',(4.17,2.74,-2.03)); #519544=CARTESIAN_POINT('',(4.17,2.74,-1.476)); #519545=CARTESIAN_POINT('Origin',(4.17,2.53999999999998,-1.476)); #519546=CARTESIAN_POINT('',(4.17,2.53999999999999,-1.276)); #519547=CARTESIAN_POINT('Origin',(4.17,2.53999999999999,-1.076)); #519548=CARTESIAN_POINT('',(4.17,2.33999999999999,-1.076)); #519549=CARTESIAN_POINT('Origin',(4.17,2.34,-1.076)); #519550=CARTESIAN_POINT('',(4.17,2.34,-0.280000000000001)); #519551=CARTESIAN_POINT('Origin',(4.32,3.69,-1.426)); #519552=CARTESIAN_POINT('Origin',(3.39,2.14,-0.28)); #519553=CARTESIAN_POINT('Origin',(2.46,0.21,-0.28)); #519554=CARTESIAN_POINT('Origin',(2.46,-1.49,-0.28)); #519555=CARTESIAN_POINT('Origin',(-3.19,-3.49,-1.38)); #519556=CARTESIAN_POINT('',(3.19,-3.39,-1.28)); #519557=CARTESIAN_POINT('Origin',(3.19,-3.49,-0.300000000000001)); #519558=CARTESIAN_POINT('',(4.17,-3.39,-0.300000000000001)); #519559=CARTESIAN_POINT('Origin',(4.27,-3.49,-1.28)); #519560=CARTESIAN_POINT('',(4.17,-3.39,0.300000000000001)); #519561=CARTESIAN_POINT('Origin',(3.19,-3.49,0.300000000000001)); #519562=CARTESIAN_POINT('',(3.19,-3.39,1.28)); #519563=CARTESIAN_POINT('Origin',(-3.19,-3.49,1.38)); #519564=CARTESIAN_POINT('',(-3.19,-3.39,1.28)); #519565=CARTESIAN_POINT('Origin',(-3.19,-3.49,0.300000000000001)); #519566=CARTESIAN_POINT('',(-4.17,-3.39,0.300000000000001)); #519567=CARTESIAN_POINT('Origin',(-4.27,-3.49,-1.28)); #519568=CARTESIAN_POINT('',(-4.17,-3.39,-0.300000000000001)); #519569=CARTESIAN_POINT('Origin',(-3.19,-3.49,-0.300000000000001)); #519570=CARTESIAN_POINT('',(-3.19,-3.39,-1.28)); #519571=CARTESIAN_POINT('Origin',(-3.19,-3.49,-1.38)); #519572=CARTESIAN_POINT('Origin',(1.36,1.79,1.28)); #519573=CARTESIAN_POINT('',(1.36,1.292,1.58)); #519574=CARTESIAN_POINT('',(1.36,1.29,1.28)); #519575=CARTESIAN_POINT('Origin',(1.36,1.29,1.28)); #519576=CARTESIAN_POINT('Origin',(2.64,1.29,1.28)); #519577=CARTESIAN_POINT('',(2.64,1.788,1.58)); #519578=CARTESIAN_POINT('',(2.64,1.79,1.28)); #519579=CARTESIAN_POINT('Origin',(2.64,1.79,1.28)); #519580=CARTESIAN_POINT('Origin',(1.36,1.788,1.16928079650541)); #519581=CARTESIAN_POINT('',(1.73835222916963,1.788,1.32909273223488)); #519582=CARTESIAN_POINT('Origin',(1.36,1.788,1.16928079650541)); #519583=CARTESIAN_POINT('',(1.81695580930159,1.788,1.143)); #519584=CARTESIAN_POINT('',(1.73835222916963,1.788,1.32909273223488)); #519585=CARTESIAN_POINT('',(1.91195580930159,1.788,1.08)); #519586=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,1.18312698412698)); #519587=CARTESIAN_POINT('',(2.08804419069841,1.788,1.08)); #519588=CARTESIAN_POINT('',(1.91195580930159,1.788,1.08)); #519589=CARTESIAN_POINT('',(2.18304419069841,1.788,1.143)); #519590=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,1.18312698412698)); #519591=CARTESIAN_POINT('',(2.26164777083037,1.788,1.32909273223488)); #519592=CARTESIAN_POINT('',(2.18304419069841,1.788,1.143)); #519593=CARTESIAN_POINT('Origin',(2.64,1.788,1.16928079650541)); #519594=CARTESIAN_POINT('',(2.53800609005463,1.788,1.21236193340465)); #519595=CARTESIAN_POINT('Origin',(2.64,1.788,1.16928079650541)); #519596=CARTESIAN_POINT('',(2.45940250992267,1.788,1.02626920116977)); #519597=CARTESIAN_POINT('',(2.45940250992267,1.788,1.02626920116977)); #519598=CARTESIAN_POINT('',(2.08804419069841,1.788,0.78)); #519599=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,1.18312698412698)); #519600=CARTESIAN_POINT('',(1.91195580930159,1.788,0.78)); #519601=CARTESIAN_POINT('',(1.91195580930159,1.788,0.78)); #519602=CARTESIAN_POINT('',(1.54059749007733,1.788,1.02626920116977)); #519603=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,1.18312698412698)); #519604=CARTESIAN_POINT('',(1.46199390994537,1.788,1.21236193340465)); #519605=CARTESIAN_POINT('',(1.46199390994537,1.788,1.21236193340465)); #519606=CARTESIAN_POINT('Origin',(1.36,1.788,1.16928079650541)); #519607=CARTESIAN_POINT('Origin',(1.73835222916963,1.292,1.32909273223488)); #519608=CARTESIAN_POINT('',(1.46199390994537,1.292,1.21236193340465)); #519609=CARTESIAN_POINT('',(1.54059749007733,1.292,1.02626920116977)); #519610=CARTESIAN_POINT('',(1.46199390994537,1.292,1.21236193340465)); #519611=CARTESIAN_POINT('',(1.91195580930159,1.292,0.78)); #519612=CARTESIAN_POINT('Origin',(1.91195580930159,1.292,1.18312698412698)); #519613=CARTESIAN_POINT('',(2.08804419069841,1.292,0.78)); #519614=CARTESIAN_POINT('',(1.91195580930159,1.292,0.78)); #519615=CARTESIAN_POINT('',(2.45940250992267,1.292,1.02626920116977)); #519616=CARTESIAN_POINT('Origin',(2.08804419069841,1.292,1.18312698412698)); #519617=CARTESIAN_POINT('',(2.53800609005463,1.292,1.21236193340465)); #519618=CARTESIAN_POINT('',(2.45940250992267,1.292,1.02626920116977)); #519619=CARTESIAN_POINT('Origin',(2.64,1.292,1.16928079650541)); #519620=CARTESIAN_POINT('',(2.26164777083037,1.292,1.32909273223488)); #519621=CARTESIAN_POINT('Origin',(2.64,1.292,1.16928079650541)); #519622=CARTESIAN_POINT('',(2.18304419069841,1.292,1.143)); #519623=CARTESIAN_POINT('',(2.18304419069841,1.292,1.143)); #519624=CARTESIAN_POINT('',(2.08804419069841,1.292,1.08)); #519625=CARTESIAN_POINT('Origin',(2.08804419069841,1.292,1.18312698412698)); #519626=CARTESIAN_POINT('',(1.91195580930159,1.292,1.08)); #519627=CARTESIAN_POINT('',(1.91195580930159,1.292,1.08)); #519628=CARTESIAN_POINT('',(1.81695580930159,1.292,1.143)); #519629=CARTESIAN_POINT('Origin',(1.91195580930159,1.292,1.18312698412698)); #519630=CARTESIAN_POINT('',(1.73835222916963,1.292,1.32909273223488)); #519631=CARTESIAN_POINT('',(1.73835222916963,1.292,1.32909273223488)); #519632=CARTESIAN_POINT('Origin',(1.36,1.292,1.16928079650541)); #519633=CARTESIAN_POINT('Origin',(1.36,1.292,1.16928079650541)); #519634=CARTESIAN_POINT('Origin',(1.36,1.788,1.16928079650541)); #519635=CARTESIAN_POINT('',(1.73835222916963,1.788,1.32909273223488)); #519636=CARTESIAN_POINT('Origin',(1.73835222916963,1.788,1.32909273223488)); #519637=CARTESIAN_POINT('',(1.81695580930159,1.788,1.143)); #519638=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,1.18312698412698)); #519639=CARTESIAN_POINT('',(1.91195580930159,1.788,1.08)); #519640=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,1.08)); #519641=CARTESIAN_POINT('',(2.08804419069841,1.788,1.08)); #519642=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,1.18312698412698)); #519643=CARTESIAN_POINT('',(2.18304419069841,1.788,1.143)); #519644=CARTESIAN_POINT('Origin',(2.18304419069841,1.788,1.143)); #519645=CARTESIAN_POINT('',(2.26164777083037,1.788,1.32909273223488)); #519646=CARTESIAN_POINT('Origin',(2.64,1.788,1.16928079650541)); #519647=CARTESIAN_POINT('Origin',(1.36,1.788,1.16928079650541)); #519648=CARTESIAN_POINT('',(1.46199390994537,1.788,1.21236193340465)); #519649=CARTESIAN_POINT('Origin',(1.46199390994537,1.788,1.21236193340465)); #519650=CARTESIAN_POINT('',(1.54059749007733,1.788,1.02626920116977)); #519651=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,1.18312698412698)); #519652=CARTESIAN_POINT('',(1.91195580930159,1.788,0.78)); #519653=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,0.78)); #519654=CARTESIAN_POINT('',(2.08804419069841,1.788,0.78)); #519655=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,1.18312698412698)); #519656=CARTESIAN_POINT('',(2.45940250992267,1.788,1.02626920116977)); #519657=CARTESIAN_POINT('Origin',(2.45940250992267,1.788,1.02626920116977)); #519658=CARTESIAN_POINT('',(2.53800609005463,1.788,1.21236193340465)); #519659=CARTESIAN_POINT('Origin',(2.64,1.788,1.16928079650541)); #519660=CARTESIAN_POINT('Origin',(2.64,1.29,-1.28)); #519661=CARTESIAN_POINT('',(2.64,1.29,-1.28)); #519662=CARTESIAN_POINT('Origin',(1.36,1.29,-1.28)); #519663=CARTESIAN_POINT('',(1.36,1.788,-1.58)); #519664=CARTESIAN_POINT('',(1.36,1.79,-1.28)); #519665=CARTESIAN_POINT('Origin',(1.36,1.79,-1.28)); #519666=CARTESIAN_POINT('Origin',(2.64,1.79,-1.28)); #519667=CARTESIAN_POINT('',(2.64,1.292,-1.58)); #519668=CARTESIAN_POINT('Origin',(2.64,1.788,-1.16928079650541)); #519669=CARTESIAN_POINT('',(2.26164777083037,1.788,-1.32909273223488)); #519670=CARTESIAN_POINT('Origin',(2.64,1.788,-1.16928079650541)); #519671=CARTESIAN_POINT('',(2.18304419069841,1.788,-1.143)); #519672=CARTESIAN_POINT('',(2.26164777083037,1.788,-1.32909273223488)); #519673=CARTESIAN_POINT('',(2.08804419069841,1.788,-1.08)); #519674=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,-1.18312698412698)); #519675=CARTESIAN_POINT('',(1.91195580930159,1.788,-1.08)); #519676=CARTESIAN_POINT('',(2.08804419069841,1.788,-1.08)); #519677=CARTESIAN_POINT('',(1.81695580930159,1.788,-1.143)); #519678=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,-1.18312698412698)); #519679=CARTESIAN_POINT('',(1.73835222916963,1.788,-1.32909273223488)); #519680=CARTESIAN_POINT('',(1.81695580930159,1.788,-1.143)); #519681=CARTESIAN_POINT('Origin',(1.36,1.788,-1.16928079650541)); #519682=CARTESIAN_POINT('',(1.46199390994537,1.788,-1.21236193340465)); #519683=CARTESIAN_POINT('Origin',(1.36,1.788,-1.16928079650541)); #519684=CARTESIAN_POINT('',(1.54059749007733,1.788,-1.02626920116977)); #519685=CARTESIAN_POINT('',(1.54059749007733,1.788,-1.02626920116977)); #519686=CARTESIAN_POINT('',(1.91195580930159,1.788,-0.78)); #519687=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,-1.18312698412698)); #519688=CARTESIAN_POINT('',(2.08804419069841,1.788,-0.78)); #519689=CARTESIAN_POINT('',(2.08804419069841,1.788,-0.78)); #519690=CARTESIAN_POINT('',(2.45940250992267,1.788,-1.02626920116977)); #519691=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,-1.18312698412698)); #519692=CARTESIAN_POINT('',(2.53800609005463,1.788,-1.21236193340465)); #519693=CARTESIAN_POINT('',(2.53800609005463,1.788,-1.21236193340465)); #519694=CARTESIAN_POINT('Origin',(2.64,1.788,-1.16928079650541)); #519695=CARTESIAN_POINT('Origin',(2.26164777083037,1.292,-1.32909273223488)); #519696=CARTESIAN_POINT('',(2.53800609005463,1.292,-1.21236193340465)); #519697=CARTESIAN_POINT('',(2.45940250992267,1.292,-1.02626920116977)); #519698=CARTESIAN_POINT('',(2.53800609005463,1.292,-1.21236193340465)); #519699=CARTESIAN_POINT('',(2.08804419069841,1.292,-0.78)); #519700=CARTESIAN_POINT('Origin',(2.08804419069841,1.292,-1.18312698412698)); #519701=CARTESIAN_POINT('',(1.91195580930159,1.292,-0.78)); #519702=CARTESIAN_POINT('',(2.08804419069841,1.292,-0.78)); #519703=CARTESIAN_POINT('',(1.54059749007733,1.292,-1.02626920116977)); #519704=CARTESIAN_POINT('Origin',(1.91195580930159,1.292,-1.18312698412698)); #519705=CARTESIAN_POINT('',(1.46199390994537,1.292,-1.21236193340465)); #519706=CARTESIAN_POINT('',(1.54059749007733,1.292,-1.02626920116977)); #519707=CARTESIAN_POINT('Origin',(1.36,1.292,-1.16928079650541)); #519708=CARTESIAN_POINT('',(1.73835222916963,1.292,-1.32909273223488)); #519709=CARTESIAN_POINT('Origin',(1.36,1.292,-1.16928079650541)); #519710=CARTESIAN_POINT('',(1.81695580930159,1.292,-1.143)); #519711=CARTESIAN_POINT('',(1.81695580930159,1.292,-1.143)); #519712=CARTESIAN_POINT('',(1.91195580930159,1.292,-1.08)); #519713=CARTESIAN_POINT('Origin',(1.91195580930159,1.292,-1.18312698412698)); #519714=CARTESIAN_POINT('',(2.08804419069841,1.292,-1.08)); #519715=CARTESIAN_POINT('',(2.08804419069841,1.292,-1.08)); #519716=CARTESIAN_POINT('',(2.18304419069841,1.292,-1.143)); #519717=CARTESIAN_POINT('Origin',(2.08804419069841,1.292,-1.18312698412698)); #519718=CARTESIAN_POINT('',(2.26164777083037,1.292,-1.32909273223488)); #519719=CARTESIAN_POINT('',(2.26164777083037,1.292,-1.32909273223488)); #519720=CARTESIAN_POINT('Origin',(2.64,1.292,-1.16928079650541)); #519721=CARTESIAN_POINT('Origin',(2.64,1.292,-1.16928079650541)); #519722=CARTESIAN_POINT('Origin',(2.64,1.788,-1.16928079650541)); #519723=CARTESIAN_POINT('',(2.26164777083037,1.788,-1.32909273223488)); #519724=CARTESIAN_POINT('Origin',(2.26164777083037,1.788,-1.32909273223488)); #519725=CARTESIAN_POINT('',(2.18304419069841,1.788,-1.143)); #519726=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,-1.18312698412698)); #519727=CARTESIAN_POINT('',(2.08804419069841,1.788,-1.08)); #519728=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,-1.08)); #519729=CARTESIAN_POINT('',(1.91195580930159,1.788,-1.08)); #519730=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,-1.18312698412698)); #519731=CARTESIAN_POINT('',(1.81695580930159,1.788,-1.143)); #519732=CARTESIAN_POINT('Origin',(1.81695580930159,1.788,-1.143)); #519733=CARTESIAN_POINT('',(1.73835222916963,1.788,-1.32909273223488)); #519734=CARTESIAN_POINT('Origin',(1.36,1.788,-1.16928079650541)); #519735=CARTESIAN_POINT('Origin',(2.64,1.788,-1.16928079650541)); #519736=CARTESIAN_POINT('',(2.53800609005463,1.788,-1.21236193340465)); #519737=CARTESIAN_POINT('Origin',(2.53800609005463,1.788,-1.21236193340465)); #519738=CARTESIAN_POINT('',(2.45940250992267,1.788,-1.02626920116977)); #519739=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,-1.18312698412698)); #519740=CARTESIAN_POINT('',(2.08804419069841,1.788,-0.78)); #519741=CARTESIAN_POINT('Origin',(2.08804419069841,1.788,-0.78)); #519742=CARTESIAN_POINT('',(1.91195580930159,1.788,-0.78)); #519743=CARTESIAN_POINT('Origin',(1.91195580930159,1.788,-1.18312698412698)); #519744=CARTESIAN_POINT('',(1.54059749007733,1.788,-1.02626920116977)); #519745=CARTESIAN_POINT('Origin',(1.54059749007733,1.788,-1.02626920116977)); #519746=CARTESIAN_POINT('',(1.46199390994537,1.788,-1.21236193340465)); #519747=CARTESIAN_POINT('Origin',(1.36,1.788,-1.16928079650541)); #519748=CARTESIAN_POINT('Origin',(1.04771046566094,2.54,0.0791386264116724)); #519749=CARTESIAN_POINT('',(0.44771046566094,2.28922666666666,-0.960091858129654)); #519750=CARTESIAN_POINT('',(0.44771046566094,2.54,-0.960091858129654)); #519751=CARTESIAN_POINT('',(0.447710465660939,3.49,-0.960091858129654)); #519752=CARTESIAN_POINT('',(0.29771046566094,2.54,-1.21989947926499)); #519753=CARTESIAN_POINT('',(1.04771046566094,2.54,0.0791386264116722)); #519754=CARTESIAN_POINT('',(0.29771046566094,2.28922666666666,-1.21989947926499)); #519755=CARTESIAN_POINT('',(0.29771046566094,2.54,-1.21989947926499)); #519756=CARTESIAN_POINT('',(1.04771046566094,2.28922666666666,0.0791386264116723)); #519757=CARTESIAN_POINT('Origin',(1.15336556492264,2.31461333333333,0.0181386264116723)); #519758=CARTESIAN_POINT('',(0.553365564922641,2.19,-1.02109185812965)); #519759=CARTESIAN_POINT('Origin',(0.553365564922641,2.31461333333333,-1.02109185812965)); #519760=CARTESIAN_POINT('',(0.403365564922641,2.19,-1.28089947926499)); #519761=CARTESIAN_POINT('Origin',(0.403365564922641,2.31461333333333,-1.28089947926499)); #519762=CARTESIAN_POINT('',(1.15336556492264,2.19,0.0181386264116723)); #519763=CARTESIAN_POINT('Origin',(1.15336556492264,2.19,0.0181386264116723)); #519764=CARTESIAN_POINT('',(0.958665453893759,2.19,-1.25509185812965)); #519765=CARTESIAN_POINT('',(-0.255502162212025,2.19,-0.554091858129654)); #519766=CARTESIAN_POINT('',(0.808665453893759,2.19,-1.51489947926499)); #519767=CARTESIAN_POINT('',(0.403365564922641,2.19,-1.28089947926499)); #519768=CARTESIAN_POINT('',(1.55866545389376,2.19,-0.215861373588328)); #519769=CARTESIAN_POINT('Origin',(1.15336556492264,2.56538666666667,0.0181386264116723)); #519770=CARTESIAN_POINT('Origin',(0.553365564922641,2.56538666666667,-1.02109185812965)); #519771=CARTESIAN_POINT('Origin',(0.403365564922641,2.56538666666667,-1.28089947926499)); #519772=CARTESIAN_POINT('Origin',(-0.405502162212024,3.49,-0.813899479264986)); #519773=CARTESIAN_POINT('',(0.817,2.18969094980972,-1.519711431703)); #519774=CARTESIAN_POINT('',(0.817,2.69,-1.519711431703)); #519775=CARTESIAN_POINT('Origin',(0.808665453893759,2.04,-1.51489947926499)); #519776=CARTESIAN_POINT('Origin',(1.55866545389376,2.04,-0.215861373588328)); #519777=CARTESIAN_POINT('',(0.967,2.18969094980972,-1.25990381056767)); #519778=CARTESIAN_POINT('',(0.917514408080425,2.18969094980972,-1.34561537001499)); #519779=CARTESIAN_POINT('',(0.817,2.18969094980972,-1.519711431703)); #519780=CARTESIAN_POINT('Origin',(0.958665453893759,2.04,-1.25509185812965)); #519781=CARTESIAN_POINT('',(0.817,2.18969094980972,-1.519711431703)); #519782=CARTESIAN_POINT('Origin',(-0.255502162212024,3.49,-0.554091858129655)); #519783=CARTESIAN_POINT('',(0.967,2.69,-1.25990381056767)); #519784=CARTESIAN_POINT('Ctrl Pts',(0.817,2.18969094980972,-1.519711431703)); #519785=CARTESIAN_POINT('Ctrl Pts',(0.861647550460583,2.18785690637733, -1.54548870698007)); #519786=CARTESIAN_POINT('Ctrl Pts',(0.909591971884417,2.17773002787251, -1.56207462916777)); #519787=CARTESIAN_POINT('Ctrl Pts',(0.990802106691831,2.14398781452219, -1.57899793629896)); #519788=CARTESIAN_POINT('Ctrl Pts',(1.02356298388176,2.12037366240352,-1.58)); #519789=CARTESIAN_POINT('Ctrl Pts',(1.042,2.09385164807134,-1.58)); #519790=CARTESIAN_POINT('Ctrl Pts',(0.967003156199884,2.18969094980972, -1.25989834386911)); #519791=CARTESIAN_POINT('Ctrl Pts',(0.981878582009259,2.18785770305493, -1.26848667496446)); #519792=CARTESIAN_POINT('Ctrl Pts',(0.997865847989041,2.17773002787251, -1.27401881543862)); #519793=CARTESIAN_POINT('Ctrl Pts',(1.02493475374521,2.14398781452219,-1.27965968042306)); #519794=CARTESIAN_POINT('Ctrl Pts',(1.03583296907771,2.12046695405389,-1.27999368760023)); #519795=CARTESIAN_POINT('Ctrl Pts',(1.042,2.09385164807134,-1.27999368760023)); #519796=CARTESIAN_POINT('Ctrl Pts',(0.967000000000004,2.18969094980972, -1.25990381056767)); #519797=CARTESIAN_POINT('Ctrl Pts',(0.970770511660205,2.18922629169909, -1.26208071648967)); #519798=CARTESIAN_POINT('Ctrl Pts',(0.974551684280639,2.1882356463707,-1.26404402835229)); #519799=CARTESIAN_POINT('Ctrl Pts',(0.97829287058369,2.18678722642523,-1.26579912246231)); #519800=CARTESIAN_POINT('Ctrl Pts',(0.982196225386989,2.18527602209233, -1.26763029430718)); #519801=CARTESIAN_POINT('Ctrl Pts',(0.986008381216334,2.18328506639892, -1.26921294259616)); #519802=CARTESIAN_POINT('Ctrl Pts',(0.989674767539365,2.18092135844651, -1.27057762996985)); #519803=CARTESIAN_POINT('Ctrl Pts',(0.995201941585423,2.17735800638922, -1.2726349321656)); #519804=CARTESIAN_POINT('Ctrl Pts',(1.00065884364745,2.1727838539401,-1.27429040110087)); #519805=CARTESIAN_POINT('Ctrl Pts',(1.00586839118512,2.16723672511816,-1.2755833329899)); #519806=CARTESIAN_POINT('Ctrl Pts',(1.00773971017691,2.16524414372038,-1.27604776641364)); #519807=CARTESIAN_POINT('Ctrl Pts',(1.00957915851179,2.16312601775248,-1.27646592986454)); #519808=CARTESIAN_POINT('Ctrl Pts',(1.01137880699386,2.1608841003324,-1.27684121539568)); #519809=CARTESIAN_POINT('Ctrl Pts',(1.01618856816892,2.15489232612079,-1.27784420781071)); #519810=CARTESIAN_POINT('Ctrl Pts',(1.0204732689578,2.14830660571331,-1.2784953982168)); #519811=CARTESIAN_POINT('Ctrl Pts',(1.02422598684638,2.14145434643594,-1.27894322561438)); #519812=CARTESIAN_POINT('Ctrl Pts',(1.02610067951941,2.13803125931819,-1.2791669404701)); #519813=CARTESIAN_POINT('Ctrl Pts',(1.02784315291006,2.13454165577825,-1.27933921192191)); #519814=CARTESIAN_POINT('Ctrl Pts',(1.02945505727925,2.13102635279781,-1.27947449418591)); #519815=CARTESIAN_POINT('Ctrl Pts',(1.03327889225303,2.12268718656875,-1.2797954171031)); #519816=CARTESIAN_POINT('Ctrl Pts',(1.03648190134414,2.11395573167925,-1.27992084478368)); #519817=CARTESIAN_POINT('Ctrl Pts',(1.03907160332681,2.10506648719001,-1.27997141225222)); #519818=CARTESIAN_POINT('Ctrl Pts',(1.04015430486917,2.10135007550117,-1.27999255347872)); #519819=CARTESIAN_POINT('Ctrl Pts',(1.04113002347144,2.09760608368246,-1.28)); #519820=CARTESIAN_POINT('Ctrl Pts',(1.042,2.09385164807134,-1.28)); #519821=CARTESIAN_POINT('Ctrl Pts',(0.817,2.18969094980972,-1.519711431703)); #519822=CARTESIAN_POINT('Ctrl Pts',(0.846804960112271,2.18846661407836, -1.536919333447)); #519823=CARTESIAN_POINT('Ctrl Pts',(0.878130528708108,2.18354320585705, -1.55005680979201)); #519824=CARTESIAN_POINT('Ctrl Pts',(0.907786436207732,2.17535650125316, -1.55940494685622)); #519825=CARTESIAN_POINT('Ctrl Pts',(0.922463325194177,2.1713048513538,-1.56403139674393)); #519826=CARTESIAN_POINT('Ctrl Pts',(0.936730421278745,2.16645420187331, -1.56772998628857)); #519827=CARTESIAN_POINT('Ctrl Pts',(0.950197039288123,2.16085892119735, -1.57053628273336)); #519828=CARTESIAN_POINT('Ctrl Pts',(0.982039643313399,2.14762855356899, -1.57717193441717)); #519829=CARTESIAN_POINT('Ctrl Pts',(1.00909614443207,2.1302330583685,-1.57922370849935)); #519830=CARTESIAN_POINT('Ctrl Pts',(1.02818448576243,2.11051871379248,-1.57979681121896)); #519831=CARTESIAN_POINT('Ctrl Pts',(1.0334081322544,2.10512375751504,-1.57995364443768)); #519832=CARTESIAN_POINT('Ctrl Pts',(1.03803503114378,2.09955533462002,-1.58)); #519833=CARTESIAN_POINT('Ctrl Pts',(1.042,2.09385164807134,-1.58)); #519834=CARTESIAN_POINT('Origin',(1.042,2.09385164807134,-1.13)); #519835=CARTESIAN_POINT('Origin',(1.042,2.09385164807134,-1.13)); #519836=CARTESIAN_POINT('Origin',(4.47,3.33,-0.730000000000001)); #519837=CARTESIAN_POINT('',(4.62,3.33,-0.730000000000001)); #519838=CARTESIAN_POINT('',(4.62,2.82,-0.730000000000001)); #519839=CARTESIAN_POINT('',(4.62,3.33,-0.730000000000001)); #519840=CARTESIAN_POINT('Origin',(4.47,2.82,-0.730000000000001)); #519841=CARTESIAN_POINT('Origin',(4.47,3.33,-0.730000000000001)); #519842=CARTESIAN_POINT('Origin',(4.62,3.33,-0.730000000000001)); #519843=CARTESIAN_POINT('',(4.62,3.33,-0.530000000000001)); #519844=CARTESIAN_POINT('',(4.62,2.82,-0.530000000000001)); #519845=CARTESIAN_POINT('',(4.62,3.33,-0.530000000000001)); #519846=CARTESIAN_POINT('',(4.62,2.82,-0.730000000000001)); #519847=CARTESIAN_POINT('',(4.62,3.33,-0.730000000000001)); #519848=CARTESIAN_POINT('Origin',(4.47,3.33,-0.530000000000001)); #519849=CARTESIAN_POINT('Origin',(4.47,2.82,-0.530000000000001)); #519850=CARTESIAN_POINT('Origin',(4.47,3.33,-0.530000000000001)); #519851=CARTESIAN_POINT('Origin',(4.47,3.33,-0.730000000000001)); #519852=CARTESIAN_POINT('Origin',(4.47,2.82,-0.530000000000001)); #519853=CARTESIAN_POINT('Origin',(4.47,2.82,-0.530000000000001)); #519854=CARTESIAN_POINT('Origin',(4.47,2.82,-0.730000000000001)); #519855=CARTESIAN_POINT('Origin',(4.47,2.82,-0.730000000000001)); #519856=CARTESIAN_POINT('Origin',(4.47,2.82,-0.730000000000001)); #519857=CARTESIAN_POINT('Origin',(4.32,3.28,-0.530000000000001)); #519858=CARTESIAN_POINT('',(4.32,3.28,-0.730000000000002)); #519859=CARTESIAN_POINT('',(4.32,3.28,-0.730000000000002)); #519860=CARTESIAN_POINT('',(4.32,3.28,-0.530000000000001)); #519861=CARTESIAN_POINT('',(4.32,3.28,-0.530000000000001)); #519862=CARTESIAN_POINT('',(4.32,3.28,-0.530000000000001)); #519863=CARTESIAN_POINT('Origin',(4.17,2.87,-0.530000000000001)); #519864=CARTESIAN_POINT('',(4.32,2.87,-0.730000000000002)); #519865=CARTESIAN_POINT('',(4.17,2.87,-0.730000000000002)); #519866=CARTESIAN_POINT('',(4.32,2.87,-0.530000000000001)); #519867=CARTESIAN_POINT('',(4.17,2.87,-0.530000000000001)); #519868=CARTESIAN_POINT('',(4.32,2.87,-0.530000000000001)); #519869=CARTESIAN_POINT('Origin',(4.32,2.87,-0.530000000000001)); #519870=CARTESIAN_POINT('',(4.32,2.87,-0.730000000000002)); #519871=CARTESIAN_POINT('',(4.32,2.87,-0.530000000000001)); #519872=CARTESIAN_POINT('Origin',(-3.24531401774049E-17,0.,-0.530000000000001)); #519873=CARTESIAN_POINT('Origin',(-4.46996081688785E-17,0.,-0.730000000000002)); #519874=CARTESIAN_POINT('Origin',(-4.17,-1.29,-2.147)); #519875=CARTESIAN_POINT('',(-4.17,-1.29,-0.279999999999999)); #519876=CARTESIAN_POINT('',(-4.17,-1.29,-2.147)); #519877=CARTESIAN_POINT('Origin',(-4.17,0.0100000000000011,-0.0800000000000004)); #519878=CARTESIAN_POINT('',(-4.17,0.0100000000000011,-0.279999999999999)); #519879=CARTESIAN_POINT('',(-4.17,0.0100000000000011,-1.58)); #519880=CARTESIAN_POINT('Origin',(-4.17,-0.139999999999999,-1.58)); #519881=CARTESIAN_POINT('',(-4.17,-0.139999999999999,-1.73)); #519882=CARTESIAN_POINT('Origin',(-4.17,-0.139999999999999,-1.73)); #519883=CARTESIAN_POINT('',(-4.17,-0.292999999999999,-1.73)); #519884=CARTESIAN_POINT('Origin',(-4.17,-0.293,-1.58363982593444)); #519885=CARTESIAN_POINT('',(-4.17,-0.382999999999999,-1.69905776454236)); #519886=CARTESIAN_POINT('Origin',(-4.17,-0.444471864358245,-1.77789060750473)); #519887=CARTESIAN_POINT('',(-4.17,-0.522000000000001,-1.841)); #519888=CARTESIAN_POINT('Origin',(-4.17,-0.6325625,-1.931)); #519889=CARTESIAN_POINT('',(-4.17,-0.49,-1.931)); #519890=CARTESIAN_POINT('Origin',(-4.17,-0.49,-1.931)); #519891=CARTESIAN_POINT('',(-4.17,-0.49,-2.147)); #519892=CARTESIAN_POINT('Origin',(-4.17,-0.646782950094636,-2.147)); #519893=CARTESIAN_POINT('',(-4.17,-0.499276643990934,-2.20012987012986)); #519894=CARTESIAN_POINT('Origin',(-4.17,-0.49927664399093,-2.20012987012987)); #519895=CARTESIAN_POINT('',(-4.17,-0.58927664399093,-2.45)); #519896=CARTESIAN_POINT('Origin',(-4.17,-0.77427664399093,-2.38336538461539)); #519897=CARTESIAN_POINT('',(-4.17,-0.77427664399093,-2.58)); #519898=CARTESIAN_POINT('Origin',(-4.17,-0.77427664399093,-2.58)); #519899=CARTESIAN_POINT('',(-4.17,-1.00572335600907,-2.58)); #519900=CARTESIAN_POINT('Origin',(-4.17,-1.00572335600907,-2.38336538461539)); #519901=CARTESIAN_POINT('',(-4.17,-1.19072335600907,-2.45)); #519902=CARTESIAN_POINT('Origin',(-4.17,-1.19072335600907,-2.45)); #519903=CARTESIAN_POINT('',(-4.17,-1.28072335600907,-2.20012987012987)); #519904=CARTESIAN_POINT('Origin',(-4.17,-1.13321704990536,-2.147)); #519905=CARTESIAN_POINT('Origin',(-4.17,2.34,-0.0800000000000004)); #519906=CARTESIAN_POINT('',(-4.17,2.34,-0.279999999999999)); #519907=CARTESIAN_POINT('',(-4.17,2.34,-1.076)); #519908=CARTESIAN_POINT('Origin',(-4.17,2.53999999999999,-1.076)); #519909=CARTESIAN_POINT('',(-4.17,2.53999999999999,-1.276)); #519910=CARTESIAN_POINT('Origin',(-4.17,2.53999999999998,-1.476)); #519911=CARTESIAN_POINT('',(-4.17,2.73999999999998,-1.476)); #519912=CARTESIAN_POINT('Origin',(-4.17,2.74,-1.476)); #519913=CARTESIAN_POINT('',(-4.17,2.74,-2.03)); #519914=CARTESIAN_POINT('Origin',(-4.17,2.8839400757397,-2.03)); #519915=CARTESIAN_POINT('',(-4.17,2.75430509862945,-2.09255811788715)); #519916=CARTESIAN_POINT('Origin',(-4.17,2.75430509862951,-2.09255811788712)); #519917=CARTESIAN_POINT('',(-4.17,2.935,-2.467)); #519918=CARTESIAN_POINT('Origin',(-4.17,3.115,-2.38013716814167)); #519919=CARTESIAN_POINT('',(-4.17,3.115,-2.58000000000004)); #519920=CARTESIAN_POINT('Origin',(-4.17,3.115,-2.58)); #519921=CARTESIAN_POINT('',(-4.17,3.465,-2.58)); #519922=CARTESIAN_POINT('Origin',(-4.17,3.465,-2.38013716814167)); #519923=CARTESIAN_POINT('',(-4.17,3.645,-2.467)); #519924=CARTESIAN_POINT('Origin',(-4.17,3.645,-2.467)); #519925=CARTESIAN_POINT('',(-4.17,3.82569490137048,-2.09255811788713)); #519926=CARTESIAN_POINT('Origin',(-4.17,3.69605992426026,-2.03)); #519927=CARTESIAN_POINT('',(-4.17,3.83999999999999,-2.03)); #519928=CARTESIAN_POINT('Origin',(-4.17,3.84,-2.03)); #519929=CARTESIAN_POINT('',(-4.32,3.84,-1.58)); #519930=CARTESIAN_POINT('',(-4.32,3.84,-1.58)); #519931=CARTESIAN_POINT('',(-4.17,3.84,-1.58)); #519932=CARTESIAN_POINT('Origin',(-4.17,3.84,-1.58)); #519933=CARTESIAN_POINT('',(-4.32,3.84,-1.58)); #519934=CARTESIAN_POINT('',(-4.17,3.69,-1.426)); #519935=CARTESIAN_POINT('Origin',(-4.17,3.69,-1.426)); #519936=CARTESIAN_POINT('',(-4.17,3.69,0.157)); #519937=CARTESIAN_POINT('Origin',(-4.17,3.48948875,0.157)); #519938=CARTESIAN_POINT('',(-4.17,3.59,0.330500000000001)); #519939=CARTESIAN_POINT('Origin',(-4.17,3.69051125,0.504)); #519940=CARTESIAN_POINT('Origin',(-4.32,3.84,-1.58)); #519941=CARTESIAN_POINT('Origin',(3.39,2.14,-0.28)); #519942=CARTESIAN_POINT('Origin',(-3.24783267285097,0.21,-0.279999999999999)); #519943=CARTESIAN_POINT('Origin',(-4.47,-1.49,-0.279999999999999)); #519944=CARTESIAN_POINT('Origin',(-2.64,1.29,1.28)); #519945=CARTESIAN_POINT('',(-2.64,1.29,1.28)); #519946=CARTESIAN_POINT('Origin',(-1.36,1.29,1.28)); #519947=CARTESIAN_POINT('',(-1.36,1.788,1.58)); #519948=CARTESIAN_POINT('',(-1.36,1.79,1.28)); #519949=CARTESIAN_POINT('Origin',(-1.36,1.79,1.28)); #519950=CARTESIAN_POINT('Origin',(-2.64,1.79,1.28)); #519951=CARTESIAN_POINT('',(-2.64,1.292,1.58)); #519952=CARTESIAN_POINT('Origin',(-2.64,1.788,1.16928079650541)); #519953=CARTESIAN_POINT('',(-2.26164777083037,1.788,1.32909273223488)); #519954=CARTESIAN_POINT('Origin',(-2.64,1.788,1.16928079650541)); #519955=CARTESIAN_POINT('',(-2.18304419069841,1.788,1.143)); #519956=CARTESIAN_POINT('',(-2.26164777083037,1.788,1.32909273223488)); #519957=CARTESIAN_POINT('',(-2.08804419069841,1.788,1.08)); #519958=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,1.18312698412698)); #519959=CARTESIAN_POINT('',(-1.91195580930159,1.788,1.08)); #519960=CARTESIAN_POINT('',(-2.08804419069841,1.788,1.08)); #519961=CARTESIAN_POINT('',(-1.81695580930159,1.788,1.143)); #519962=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,1.18312698412698)); #519963=CARTESIAN_POINT('',(-1.73835222916963,1.788,1.32909273223488)); #519964=CARTESIAN_POINT('',(-1.81695580930159,1.788,1.143)); #519965=CARTESIAN_POINT('Origin',(-1.36,1.788,1.16928079650541)); #519966=CARTESIAN_POINT('',(-1.46199390994537,1.788,1.21236193340465)); #519967=CARTESIAN_POINT('Origin',(-1.36,1.788,1.16928079650541)); #519968=CARTESIAN_POINT('',(-1.54059749007733,1.788,1.02626920116977)); #519969=CARTESIAN_POINT('',(-1.54059749007733,1.788,1.02626920116977)); #519970=CARTESIAN_POINT('',(-1.91195580930159,1.788,0.78)); #519971=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,1.18312698412698)); #519972=CARTESIAN_POINT('',(-2.08804419069841,1.788,0.78)); #519973=CARTESIAN_POINT('',(-2.08804419069841,1.788,0.78)); #519974=CARTESIAN_POINT('',(-2.45940250992267,1.788,1.02626920116977)); #519975=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,1.18312698412698)); #519976=CARTESIAN_POINT('',(-2.53800609005463,1.788,1.21236193340465)); #519977=CARTESIAN_POINT('',(-2.53800609005463,1.788,1.21236193340465)); #519978=CARTESIAN_POINT('Origin',(-2.64,1.788,1.16928079650541)); #519979=CARTESIAN_POINT('Origin',(-2.26164777083037,1.292,1.32909273223488)); #519980=CARTESIAN_POINT('',(-2.53800609005463,1.292,1.21236193340465)); #519981=CARTESIAN_POINT('',(-2.45940250992267,1.292,1.02626920116977)); #519982=CARTESIAN_POINT('',(-2.53800609005463,1.292,1.21236193340465)); #519983=CARTESIAN_POINT('',(-2.08804419069841,1.292,0.78)); #519984=CARTESIAN_POINT('Origin',(-2.08804419069841,1.292,1.18312698412698)); #519985=CARTESIAN_POINT('',(-1.91195580930159,1.292,0.78)); #519986=CARTESIAN_POINT('',(-2.08804419069841,1.292,0.78)); #519987=CARTESIAN_POINT('',(-1.54059749007733,1.292,1.02626920116977)); #519988=CARTESIAN_POINT('Origin',(-1.91195580930159,1.292,1.18312698412698)); #519989=CARTESIAN_POINT('',(-1.46199390994537,1.292,1.21236193340465)); #519990=CARTESIAN_POINT('',(-1.54059749007733,1.292,1.02626920116977)); #519991=CARTESIAN_POINT('Origin',(-1.36,1.292,1.16928079650541)); #519992=CARTESIAN_POINT('',(-1.73835222916963,1.292,1.32909273223488)); #519993=CARTESIAN_POINT('Origin',(-1.36,1.292,1.16928079650541)); #519994=CARTESIAN_POINT('',(-1.81695580930159,1.292,1.143)); #519995=CARTESIAN_POINT('',(-1.81695580930159,1.292,1.143)); #519996=CARTESIAN_POINT('',(-1.91195580930159,1.292,1.08)); #519997=CARTESIAN_POINT('Origin',(-1.91195580930159,1.292,1.18312698412698)); #519998=CARTESIAN_POINT('',(-2.08804419069841,1.292,1.08)); #519999=CARTESIAN_POINT('',(-2.08804419069841,1.292,1.08)); #520000=CARTESIAN_POINT('',(-2.18304419069841,1.292,1.143)); #520001=CARTESIAN_POINT('Origin',(-2.08804419069841,1.292,1.18312698412698)); #520002=CARTESIAN_POINT('',(-2.26164777083037,1.292,1.32909273223488)); #520003=CARTESIAN_POINT('',(-2.26164777083037,1.292,1.32909273223488)); #520004=CARTESIAN_POINT('Origin',(-2.64,1.292,1.16928079650541)); #520005=CARTESIAN_POINT('Origin',(-2.64,1.292,1.16928079650541)); #520006=CARTESIAN_POINT('Origin',(-2.64,1.788,1.16928079650541)); #520007=CARTESIAN_POINT('',(-2.26164777083037,1.788,1.32909273223488)); #520008=CARTESIAN_POINT('Origin',(-2.26164777083037,1.788,1.32909273223488)); #520009=CARTESIAN_POINT('',(-2.18304419069841,1.788,1.143)); #520010=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,1.18312698412698)); #520011=CARTESIAN_POINT('',(-2.08804419069841,1.788,1.08)); #520012=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,1.08)); #520013=CARTESIAN_POINT('',(-1.91195580930159,1.788,1.08)); #520014=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,1.18312698412698)); #520015=CARTESIAN_POINT('',(-1.81695580930159,1.788,1.143)); #520016=CARTESIAN_POINT('Origin',(-1.81695580930159,1.788,1.143)); #520017=CARTESIAN_POINT('',(-1.73835222916963,1.788,1.32909273223488)); #520018=CARTESIAN_POINT('Origin',(-1.36,1.788,1.16928079650541)); #520019=CARTESIAN_POINT('Origin',(-2.64,1.788,1.16928079650541)); #520020=CARTESIAN_POINT('',(-2.53800609005463,1.788,1.21236193340465)); #520021=CARTESIAN_POINT('Origin',(-2.53800609005463,1.788,1.21236193340465)); #520022=CARTESIAN_POINT('',(-2.45940250992267,1.788,1.02626920116977)); #520023=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,1.18312698412698)); #520024=CARTESIAN_POINT('',(-2.08804419069841,1.788,0.78)); #520025=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,0.78)); #520026=CARTESIAN_POINT('',(-1.91195580930159,1.788,0.78)); #520027=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,1.18312698412698)); #520028=CARTESIAN_POINT('',(-1.54059749007733,1.788,1.02626920116977)); #520029=CARTESIAN_POINT('Origin',(-1.54059749007733,1.788,1.02626920116977)); #520030=CARTESIAN_POINT('',(-1.46199390994537,1.788,1.21236193340465)); #520031=CARTESIAN_POINT('Origin',(-1.36,1.788,1.16928079650541)); #520032=CARTESIAN_POINT('Origin',(-1.36,1.79,-1.28)); #520033=CARTESIAN_POINT('',(-1.36,1.292,-1.58)); #520034=CARTESIAN_POINT('',(-1.36,1.29,-1.28)); #520035=CARTESIAN_POINT('Origin',(-1.36,1.29,-1.28)); #520036=CARTESIAN_POINT('Origin',(-2.64,1.29,-1.28)); #520037=CARTESIAN_POINT('',(-2.64,1.788,-1.58)); #520038=CARTESIAN_POINT('',(-2.64,1.79,-1.28)); #520039=CARTESIAN_POINT('Origin',(-2.64,1.79,-1.28)); #520040=CARTESIAN_POINT('Origin',(-1.36,1.788,-1.16928079650541)); #520041=CARTESIAN_POINT('',(-1.73835222916963,1.788,-1.32909273223488)); #520042=CARTESIAN_POINT('Origin',(-1.36,1.788,-1.16928079650541)); #520043=CARTESIAN_POINT('',(-1.81695580930159,1.788,-1.143)); #520044=CARTESIAN_POINT('',(-1.73835222916963,1.788,-1.32909273223488)); #520045=CARTESIAN_POINT('',(-1.91195580930159,1.788,-1.08)); #520046=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,-1.18312698412698)); #520047=CARTESIAN_POINT('',(-2.08804419069841,1.788,-1.08)); #520048=CARTESIAN_POINT('',(-1.91195580930159,1.788,-1.08)); #520049=CARTESIAN_POINT('',(-2.18304419069841,1.788,-1.143)); #520050=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,-1.18312698412698)); #520051=CARTESIAN_POINT('',(-2.26164777083037,1.788,-1.32909273223488)); #520052=CARTESIAN_POINT('',(-2.18304419069841,1.788,-1.143)); #520053=CARTESIAN_POINT('Origin',(-2.64,1.788,-1.16928079650541)); #520054=CARTESIAN_POINT('',(-2.53800609005463,1.788,-1.21236193340465)); #520055=CARTESIAN_POINT('Origin',(-2.64,1.788,-1.16928079650541)); #520056=CARTESIAN_POINT('',(-2.45940250992267,1.788,-1.02626920116977)); #520057=CARTESIAN_POINT('',(-2.45940250992267,1.788,-1.02626920116977)); #520058=CARTESIAN_POINT('',(-2.08804419069841,1.788,-0.78)); #520059=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,-1.18312698412698)); #520060=CARTESIAN_POINT('',(-1.91195580930159,1.788,-0.78)); #520061=CARTESIAN_POINT('',(-1.91195580930159,1.788,-0.78)); #520062=CARTESIAN_POINT('',(-1.54059749007733,1.788,-1.02626920116977)); #520063=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,-1.18312698412698)); #520064=CARTESIAN_POINT('',(-1.46199390994537,1.788,-1.21236193340465)); #520065=CARTESIAN_POINT('',(-1.46199390994537,1.788,-1.21236193340465)); #520066=CARTESIAN_POINT('Origin',(-1.36,1.788,-1.16928079650541)); #520067=CARTESIAN_POINT('Origin',(-1.73835222916963,1.292,-1.32909273223488)); #520068=CARTESIAN_POINT('',(-1.46199390994537,1.292,-1.21236193340465)); #520069=CARTESIAN_POINT('',(-1.54059749007733,1.292,-1.02626920116977)); #520070=CARTESIAN_POINT('',(-1.46199390994537,1.292,-1.21236193340465)); #520071=CARTESIAN_POINT('',(-1.91195580930159,1.292,-0.78)); #520072=CARTESIAN_POINT('Origin',(-1.91195580930159,1.292,-1.18312698412698)); #520073=CARTESIAN_POINT('',(-2.08804419069841,1.292,-0.78)); #520074=CARTESIAN_POINT('',(-1.91195580930159,1.292,-0.78)); #520075=CARTESIAN_POINT('',(-2.45940250992267,1.292,-1.02626920116977)); #520076=CARTESIAN_POINT('Origin',(-2.08804419069841,1.292,-1.18312698412698)); #520077=CARTESIAN_POINT('',(-2.53800609005463,1.292,-1.21236193340465)); #520078=CARTESIAN_POINT('',(-2.45940250992267,1.292,-1.02626920116977)); #520079=CARTESIAN_POINT('Origin',(-2.64,1.292,-1.16928079650541)); #520080=CARTESIAN_POINT('',(-2.26164777083037,1.292,-1.32909273223488)); #520081=CARTESIAN_POINT('Origin',(-2.64,1.292,-1.16928079650541)); #520082=CARTESIAN_POINT('',(-2.18304419069841,1.292,-1.143)); #520083=CARTESIAN_POINT('',(-2.18304419069841,1.292,-1.143)); #520084=CARTESIAN_POINT('',(-2.08804419069841,1.292,-1.08)); #520085=CARTESIAN_POINT('Origin',(-2.08804419069841,1.292,-1.18312698412698)); #520086=CARTESIAN_POINT('',(-1.91195580930159,1.292,-1.08)); #520087=CARTESIAN_POINT('',(-1.91195580930159,1.292,-1.08)); #520088=CARTESIAN_POINT('',(-1.81695580930159,1.292,-1.143)); #520089=CARTESIAN_POINT('Origin',(-1.91195580930159,1.292,-1.18312698412698)); #520090=CARTESIAN_POINT('',(-1.73835222916963,1.292,-1.32909273223488)); #520091=CARTESIAN_POINT('',(-1.73835222916963,1.292,-1.32909273223488)); #520092=CARTESIAN_POINT('Origin',(-1.36,1.292,-1.16928079650541)); #520093=CARTESIAN_POINT('Origin',(-1.36,1.292,-1.16928079650541)); #520094=CARTESIAN_POINT('Origin',(-1.36,1.788,-1.16928079650541)); #520095=CARTESIAN_POINT('',(-1.73835222916963,1.788,-1.32909273223488)); #520096=CARTESIAN_POINT('Origin',(-1.73835222916963,1.788,-1.32909273223488)); #520097=CARTESIAN_POINT('',(-1.81695580930159,1.788,-1.143)); #520098=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,-1.18312698412698)); #520099=CARTESIAN_POINT('',(-1.91195580930159,1.788,-1.08)); #520100=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,-1.08)); #520101=CARTESIAN_POINT('',(-2.08804419069841,1.788,-1.08)); #520102=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,-1.18312698412698)); #520103=CARTESIAN_POINT('',(-2.18304419069841,1.788,-1.143)); #520104=CARTESIAN_POINT('Origin',(-2.18304419069841,1.788,-1.143)); #520105=CARTESIAN_POINT('',(-2.26164777083037,1.788,-1.32909273223488)); #520106=CARTESIAN_POINT('Origin',(-2.64,1.788,-1.16928079650541)); #520107=CARTESIAN_POINT('Origin',(-1.36,1.788,-1.16928079650541)); #520108=CARTESIAN_POINT('',(-1.46199390994537,1.788,-1.21236193340465)); #520109=CARTESIAN_POINT('Origin',(-1.46199390994537,1.788,-1.21236193340465)); #520110=CARTESIAN_POINT('',(-1.54059749007733,1.788,-1.02626920116977)); #520111=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,-1.18312698412698)); #520112=CARTESIAN_POINT('',(-1.91195580930159,1.788,-0.78)); #520113=CARTESIAN_POINT('Origin',(-1.91195580930159,1.788,-0.78)); #520114=CARTESIAN_POINT('',(-2.08804419069841,1.788,-0.78)); #520115=CARTESIAN_POINT('Origin',(-2.08804419069841,1.788,-1.18312698412698)); #520116=CARTESIAN_POINT('',(-2.45940250992267,1.788,-1.02626920116977)); #520117=CARTESIAN_POINT('Origin',(-2.45940250992267,1.788,-1.02626920116977)); #520118=CARTESIAN_POINT('',(-2.53800609005463,1.788,-1.21236193340465)); #520119=CARTESIAN_POINT('Origin',(-2.64,1.788,-1.16928079650541)); #520120=CARTESIAN_POINT('Origin',(-1.15336556492264,2.31461333333333,0.0181386264116724)); #520121=CARTESIAN_POINT('',(-0.44771046566094,2.28922666666666,-0.960091858129655)); #520122=CARTESIAN_POINT('',(-0.553365564922642,2.19,-1.02109185812965)); #520123=CARTESIAN_POINT('Origin',(-0.553365564922642,2.31461333333333,-1.02109185812965)); #520124=CARTESIAN_POINT('',(-0.403365564922642,2.19,-1.28089947926499)); #520125=CARTESIAN_POINT('',(-1.15336556492264,2.19,0.0181386264116724)); #520126=CARTESIAN_POINT('',(-0.29771046566094,2.28922666666666,-1.21989947926499)); #520127=CARTESIAN_POINT('Origin',(-0.403365564922642,2.31461333333333,-1.28089947926499)); #520128=CARTESIAN_POINT('',(-1.04771046566094,2.28922666666666,0.0791386264116722)); #520129=CARTESIAN_POINT('Origin',(-1.04771046566094,2.54,0.0791386264116723)); #520130=CARTESIAN_POINT('',(-0.44771046566094,2.54,-0.960091858129655)); #520131=CARTESIAN_POINT('',(-0.447710465660939,3.49,-0.960091858129654)); #520132=CARTESIAN_POINT('',(-0.29771046566094,2.54,-1.21989947926499)); #520133=CARTESIAN_POINT('',(-0.29771046566094,2.54,-1.21989947926499)); #520134=CARTESIAN_POINT('',(-1.04771046566094,2.54,0.0791386264116723)); #520135=CARTESIAN_POINT('Origin',(-1.15336556492264,2.56538666666667,0.0181386264116724)); #520136=CARTESIAN_POINT('Origin',(-0.553365564922642,2.56538666666667,-1.02109185812965)); #520137=CARTESIAN_POINT('Origin',(-0.403365564922642,2.56538666666667,-1.28089947926499)); #520138=CARTESIAN_POINT('Origin',(-1.15336556492264,2.19,0.0181386264116724)); #520139=CARTESIAN_POINT('',(-0.958665453893759,2.19,-1.25509185812965)); #520140=CARTESIAN_POINT('',(-0.808665453893759,2.19,-1.51489947926499)); #520141=CARTESIAN_POINT('',(-1.55866545389376,2.19,-0.215861373588328)); #520142=CARTESIAN_POINT('',(-0.403365564922642,2.19,-1.28089947926499)); #520143=CARTESIAN_POINT('',(-0.610523241572413,2.19,-1.05409185812965)); #520144=CARTESIAN_POINT('Origin',(-1.55866545389376,2.04,-0.215861373588328)); #520145=CARTESIAN_POINT('',(-0.967,2.18969094980972,-1.25990381056767)); #520146=CARTESIAN_POINT('Origin',(-0.958665453893759,2.04,-1.25509185812965)); #520147=CARTESIAN_POINT('',(-0.917514408080421,2.18969094980972,-1.345615370015)); #520148=CARTESIAN_POINT('',(-0.817,2.18969094980972,-1.519711431703)); #520149=CARTESIAN_POINT('',(-0.817,2.18969094980972,-1.519711431703)); #520150=CARTESIAN_POINT('',(-0.817,2.18969094980972,-1.519711431703)); #520151=CARTESIAN_POINT('Origin',(-0.808665453893759,2.04,-1.51489947926499)); #520152=CARTESIAN_POINT('Origin',(-2.79013157775255,3.49,-2.65889947926499)); #520153=CARTESIAN_POINT('',(-0.817,2.09385164807134,-1.519711431703)); #520154=CARTESIAN_POINT('Origin',(-0.610523241572414,3.49,-1.05409185812965)); #520155=CARTESIAN_POINT('',(-0.967,2.09385164807134,-1.25990381056767)); #520156=CARTESIAN_POINT('Ctrl Pts',(-1.042,2.09385164807135,-1.58)); #520157=CARTESIAN_POINT('Ctrl Pts',(-1.02356298388176,2.12037366240352, -1.58)); #520158=CARTESIAN_POINT('Ctrl Pts',(-0.990802106691829,2.1439878145222, -1.57899793629896)); #520159=CARTESIAN_POINT('Ctrl Pts',(-0.909591971884418,2.17773002787251, -1.56207462916777)); #520160=CARTESIAN_POINT('Ctrl Pts',(-0.861647550460582,2.18785690637733, -1.54548870698007)); #520161=CARTESIAN_POINT('Ctrl Pts',(-0.817,2.18969094980972,-1.519711431703)); #520162=CARTESIAN_POINT('Ctrl Pts',(-1.042,2.09385164807135,-1.27999562328633)); #520163=CARTESIAN_POINT('Ctrl Pts',(-1.03583288990991,2.12046695345196, -1.27999562328633)); #520164=CARTESIAN_POINT('Ctrl Pts',(-1.02493453351621,2.1439878145222,-1.27966161179875)); #520165=CARTESIAN_POINT('Ctrl Pts',(-0.997865278432643,2.17773002787251, -1.27402067401829)); #520166=CARTESIAN_POINT('Ctrl Pts',(-0.981877806260461,2.18785770304979, -1.26848846222346)); #520167=CARTESIAN_POINT('Ctrl Pts',(-0.967002188356836,2.18969094980972, -1.25990002022245)); #520168=CARTESIAN_POINT('Ctrl Pts',(-1.042,2.09385164807135,-1.28)); #520169=CARTESIAN_POINT('Ctrl Pts',(-1.03991911265227,2.10283184156461, -1.28)); #520170=CARTESIAN_POINT('Ctrl Pts',(-1.0372324810687,2.11175228472919,-1.27996077193467)); #520171=CARTESIAN_POINT('Ctrl Pts',(-1.03392633568465,2.12037847850442, -1.27978256221778)); #520172=CARTESIAN_POINT('Ctrl Pts',(-1.03254410377898,2.12398491405868, -1.27970805637246)); #520173=CARTESIAN_POINT('Ctrl Pts',(-1.03105372463618,2.1275399176023,-1.27960866550745)); #520174=CARTESIAN_POINT('Ctrl Pts',(-1.02945505727925,2.13102635279782, -1.27947449418591)); #520175=CARTESIAN_POINT('Ctrl Pts',(-1.02622838314332,2.13806320772776, -1.27920368917376)); #520176=CARTESIAN_POINT('Ctrl Pts',(-1.02247702092014,2.14499708207631, -1.27878734849975)); #520177=CARTESIAN_POINT('Ctrl Pts',(-1.01819360051821,2.15150056851751, -1.27809880264105)); #520178=CARTESIAN_POINT('Ctrl Pts',(-1.01605379222947,2.15474942407844, -1.27775483543789)); #520179=CARTESIAN_POINT('Ctrl Pts',(-1.0137815519648,2.1578908736773,-1.27734226625733)); #520180=CARTESIAN_POINT('Ctrl Pts',(-1.01137880699386,2.16088410033241, -1.27684121539568)); #520181=CARTESIAN_POINT('Ctrl Pts',(-1.00636878232161,2.16712535338804, -1.27579646149575)); #520182=CARTESIAN_POINT('Ctrl Pts',(-1.00104954892794,2.17240721681797, -1.27441590488398)); #520183=CARTESIAN_POINT('Ctrl Pts',(-0.995595651439929,2.1766918666032, -1.27264163639946)); #520184=CARTESIAN_POINT('Ctrl Pts',(-0.993636559623551,2.17823095353117, -1.27200430229559)); #520185=CARTESIAN_POINT('Ctrl Pts',(-0.99166018099191,2.17964136851825, -1.271316632473)); #520186=CARTESIAN_POINT('Ctrl Pts',(-0.989674767539365,2.18092135844651, -1.27057762996985)); #520187=CARTESIAN_POINT('Ctrl Pts',(-0.986008381216332,2.18328506639892, -1.26921294259616)); #520188=CARTESIAN_POINT('Ctrl Pts',(-0.982196225386988,2.18527602209233, -1.26763029430718)); #520189=CARTESIAN_POINT('Ctrl Pts',(-0.97829287058369,2.18678722642523, -1.26579912246231)); #520190=CARTESIAN_POINT('Ctrl Pts',(-0.974551684280639,2.1882356463707, -1.26404402835229)); #520191=CARTESIAN_POINT('Ctrl Pts',(-0.970770511660205,2.18922629169909, -1.26208071648967)); #520192=CARTESIAN_POINT('Ctrl Pts',(-0.967000000000004,2.18969094980972, -1.25990381056767)); #520193=CARTESIAN_POINT('Ctrl Pts',(-1.042,2.09385164807135,-1.58)); #520194=CARTESIAN_POINT('Ctrl Pts',(-1.0275111407376,2.11469416025172,-1.58)); #520195=CARTESIAN_POINT('Ctrl Pts',(-1.00418298214832,2.13372839351959, -1.57937830321256)); #520196=CARTESIAN_POINT('Ctrl Pts',(-0.975198276914128,2.14909962190338, -1.57501822775026)); #520197=CARTESIAN_POINT('Ctrl Pts',(-0.967266427231351,2.1533060567108, -1.5738250652567)); #520198=CARTESIAN_POINT('Ctrl Pts',(-0.958910971221718,2.15723834660872, -1.57235217119091)); #520199=CARTESIAN_POINT('Ctrl Pts',(-0.950197039288124,2.16085892119736, -1.57053628273336)); #520200=CARTESIAN_POINT('Ctrl Pts',(-0.922986587650753,2.17216466359864, -1.56486592112973)); #520201=CARTESIAN_POINT('Ctrl Pts',(-0.892510451045024,2.1804292183697, -1.55555152507457)); #520202=CARTESIAN_POINT('Ctrl Pts',(-0.861981770484315,2.18520820134402, -1.54230372798919)); #520203=CARTESIAN_POINT('Ctrl Pts',(-0.846872940794248,2.18757334908458, -1.5357473126363)); #520204=CARTESIAN_POINT('Ctrl Pts',(-0.831750656035904,2.18908501860975, -1.52822772693605)); #520205=CARTESIAN_POINT('Ctrl Pts',(-0.817,2.18969094980972,-1.519711431703)); #520206=CARTESIAN_POINT('Origin',(-1.042,2.69,-1.13)); #520207=CARTESIAN_POINT('Origin',(-1.042,2.69,-1.13)); #520208=CARTESIAN_POINT('Origin',(-4.47,3.33,-0.730000000000001)); #520209=CARTESIAN_POINT('',(-4.62,2.82,-0.730000000000001)); #520210=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.730000000000001)); #520211=CARTESIAN_POINT('',(-4.62,3.33,-0.730000000000001)); #520212=CARTESIAN_POINT('',(-4.62,3.33,-0.730000000000001)); #520213=CARTESIAN_POINT('Origin',(-4.47,3.33,-0.730000000000001)); #520214=CARTESIAN_POINT('Origin',(-4.47,3.33,-0.530000000000001)); #520215=CARTESIAN_POINT('',(-4.62,3.33,-0.530000000000001)); #520216=CARTESIAN_POINT('',(-4.62,2.82,-0.530000000000001)); #520217=CARTESIAN_POINT('',(-4.62,3.33,-0.530000000000001)); #520218=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.530000000000001)); #520219=CARTESIAN_POINT('Origin',(-4.47,3.33,-0.530000000000001)); #520220=CARTESIAN_POINT('Origin',(-4.62,3.33,-0.530000000000001)); #520221=CARTESIAN_POINT('',(-4.62,2.82,-0.530000000000001)); #520222=CARTESIAN_POINT('',(-4.62,3.33,-0.530000000000001)); #520223=CARTESIAN_POINT('Origin',(-4.47,3.33,-0.730000000000001)); #520224=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.530000000000001)); #520225=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.530000000000001)); #520226=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.730000000000001)); #520227=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.730000000000001)); #520228=CARTESIAN_POINT('Origin',(-4.47,2.82,-0.730000000000001)); #520229=CARTESIAN_POINT('Origin',(-4.17,3.28,-0.530000000000001)); #520230=CARTESIAN_POINT('',(-4.32,3.28,-0.730000000000002)); #520231=CARTESIAN_POINT('',(-4.17,3.28,-0.730000000000002)); #520232=CARTESIAN_POINT('',(-4.32,3.28,-0.530000000000001)); #520233=CARTESIAN_POINT('',(-4.17,3.28,-0.530000000000001)); #520234=CARTESIAN_POINT('',(-4.32,3.28,-0.530000000000001)); #520235=CARTESIAN_POINT('Origin',(-4.32,3.28,-0.530000000000001)); #520236=CARTESIAN_POINT('',(-4.32,2.87,-0.730000000000002)); #520237=CARTESIAN_POINT('',(-4.32,3.28,-0.730000000000002)); #520238=CARTESIAN_POINT('',(-4.32,2.87,-0.530000000000001)); #520239=CARTESIAN_POINT('',(-4.32,3.28,-0.530000000000001)); #520240=CARTESIAN_POINT('',(-4.32,2.87,-0.530000000000001)); #520241=CARTESIAN_POINT('Origin',(-4.32,2.87,-0.530000000000001)); #520242=CARTESIAN_POINT('',(-4.32,2.87,-0.730000000000002)); #520243=CARTESIAN_POINT('',(-4.32,2.87,-0.530000000000001)); #520244=CARTESIAN_POINT('Origin',(3.24531401774049E-17,0.,-0.530000000000001)); #520245=CARTESIAN_POINT('Origin',(4.46996081688785E-17,0.,-0.730000000000002)); #520246=CARTESIAN_POINT('Origin',(-4.48997740356225,2.24112828537193,-0.178871714628076)); #520247=CARTESIAN_POINT('',(-4.63997740356225,2.24112828537193,-1.07887171462808)); #520248=CARTESIAN_POINT('',(-4.78997740356225,2.24112828537193,-1.07887171462808)); #520249=CARTESIAN_POINT('',(-4.48997740356225,2.24112828537193,-1.07887171462808)); #520250=CARTESIAN_POINT('',(-4.63997740356225,2.24112828537193,-0.178871714628076)); #520251=CARTESIAN_POINT('',(-4.63997740356225,2.24112828537193,-0.178871714628076)); #520252=CARTESIAN_POINT('',(-4.78997740356225,2.24112828537193,-0.178871714628076)); #520253=CARTESIAN_POINT('',(-4.48997740356225,2.24112828537193,-0.178871714628076)); #520254=CARTESIAN_POINT('',(-4.78997740356225,2.24112828537193,-0.178871714628076)); #520255=CARTESIAN_POINT('Origin',(-4.48997740356225,2.44112828537193,-0.178871714628076)); #520256=CARTESIAN_POINT('',(-4.53997740356225,2.44112828537193,0.0211282853719236)); #520257=CARTESIAN_POINT('Origin',(-4.53997740356225,2.44112828537193,-0.178871714628076)); #520258=CARTESIAN_POINT('',(-4.78997740356225,2.44112828537193,0.0211282853719236)); #520259=CARTESIAN_POINT('',(-4.48997740356225,2.44112828537193,0.0211282853719236)); #520260=CARTESIAN_POINT('Origin',(-4.78997740356225,2.44112828537193,-0.178871714628076)); #520261=CARTESIAN_POINT('Origin',(-4.48997740356225,3.192,-0.278871714628082)); #520262=CARTESIAN_POINT('',(-4.78997740356225,3.192,-0.278871714628076)); #520263=CARTESIAN_POINT('',(-4.78997740356225,2.904,-0.278871714628082)); #520264=CARTESIAN_POINT('',(-4.78997740356225,3.192,-0.278871714628082)); #520265=CARTESIAN_POINT('',(-4.48997740356225,3.192,-0.278871714628076)); #520266=CARTESIAN_POINT('',(-4.48997740356225,3.192,-0.278871714628076)); #520267=CARTESIAN_POINT('',(-4.48997740356225,2.904,-0.278871714628082)); #520268=CARTESIAN_POINT('',(-4.48997740356225,3.192,-0.278871714628082)); #520269=CARTESIAN_POINT('',(-4.48997740356225,2.904,-0.278871714628082)); #520270=CARTESIAN_POINT('Origin',(-4.48997740356225,2.904,-0.14685319610957)); #520271=CARTESIAN_POINT('',(-4.78997740356225,2.824,-0.251871714628079)); #520272=CARTESIAN_POINT('Origin',(-4.78997740356225,2.904,-0.14685319610957)); #520273=CARTESIAN_POINT('',(-4.48997740356225,2.824,-0.251871714628079)); #520274=CARTESIAN_POINT('Origin',(-4.48997740356225,2.904,-0.14685319610957)); #520275=CARTESIAN_POINT('',(-4.48997740356225,2.824,-0.251871714628079)); #520276=CARTESIAN_POINT('Origin',(-4.48997740356225,2.73430795522054,-0.369613285448516)); #520277=CARTESIAN_POINT('',(-4.78997740356225,2.617,-0.459871714628076)); #520278=CARTESIAN_POINT('Origin',(-4.78997740356225,2.73430795522054,-0.369613285448516)); #520279=CARTESIAN_POINT('',(-4.48997740356225,2.617,-0.459871714628076)); #520280=CARTESIAN_POINT('Origin',(-4.48997740356225,2.73430795522054,-0.369613285448516)); #520281=CARTESIAN_POINT('',(-4.48997740356225,2.617,-0.459871714628076)); #520282=CARTESIAN_POINT('Origin',(-4.48997740356225,2.52912795875345,-0.527481727920053)); #520283=CARTESIAN_POINT('',(-4.78997740356225,2.64,-0.527481727920053)); #520284=CARTESIAN_POINT('Origin',(-4.78997740356225,2.52912795875345,-0.527481727920053)); #520285=CARTESIAN_POINT('',(-4.48997740356225,2.64,-0.527481727920053)); #520286=CARTESIAN_POINT('Origin',(-4.48997740356225,2.52912795875345,-0.527481727920053)); #520287=CARTESIAN_POINT('',(-4.48997740356225,2.64,-0.527481727920053)); #520288=CARTESIAN_POINT('Origin',(-4.48997740356225,2.64,-0.527481727920053)); #520289=CARTESIAN_POINT('',(-4.78997740356225,2.64,-0.7302617013361)); #520290=CARTESIAN_POINT('',(-4.78997740356225,2.64,-0.527481727920053)); #520291=CARTESIAN_POINT('',(-4.48997740356225,2.64,-0.7302617013361)); #520292=CARTESIAN_POINT('',(-4.48997740356225,2.64,-0.527481727920053)); #520293=CARTESIAN_POINT('',(-4.48997740356225,2.64,-0.7302617013361)); #520294=CARTESIAN_POINT('Origin',(-4.48997740356225,2.52912795875345,-0.7302617013361)); #520295=CARTESIAN_POINT('',(-4.78997740356225,2.617,-0.797871714628077)); #520296=CARTESIAN_POINT('Origin',(-4.78997740356225,2.52912795875345,-0.7302617013361)); #520297=CARTESIAN_POINT('',(-4.48997740356225,2.617,-0.797871714628077)); #520298=CARTESIAN_POINT('Origin',(-4.48997740356225,2.52912795875345,-0.7302617013361)); #520299=CARTESIAN_POINT('',(-4.48997740356225,2.617,-0.797871714628077)); #520300=CARTESIAN_POINT('Origin',(-4.48997740356225,2.73430795522054,-0.888130143807637)); #520301=CARTESIAN_POINT('',(-4.78997740356225,2.824,-1.00587171462807)); #520302=CARTESIAN_POINT('Origin',(-4.78997740356225,2.73430795522054,-0.888130143807637)); #520303=CARTESIAN_POINT('',(-4.48997740356225,2.824,-1.00587171462807)); #520304=CARTESIAN_POINT('Origin',(-4.48997740356225,2.73430795522054,-0.888130143807637)); #520305=CARTESIAN_POINT('',(-4.48997740356225,2.824,-1.00587171462807)); #520306=CARTESIAN_POINT('Origin',(-4.48997740356225,2.904,-1.11089023314658)); #520307=CARTESIAN_POINT('',(-4.78997740356225,2.904,-0.978871714628071)); #520308=CARTESIAN_POINT('Origin',(-4.78997740356225,2.904,-1.11089023314658)); #520309=CARTESIAN_POINT('',(-4.48997740356225,2.904,-0.978871714628071)); #520310=CARTESIAN_POINT('Origin',(-4.48997740356225,2.904,-1.11089023314658)); #520311=CARTESIAN_POINT('',(-4.48997740356225,2.904,-0.978871714628071)); #520312=CARTESIAN_POINT('Origin',(-4.48997740356225,2.904,-0.978871714628071)); #520313=CARTESIAN_POINT('',(-4.78997740356225,3.192,-0.978871714628071)); #520314=CARTESIAN_POINT('',(-4.78997740356225,2.904,-0.978871714628071)); #520315=CARTESIAN_POINT('',(-4.48997740356225,3.192,-0.978871714628076)); #520316=CARTESIAN_POINT('',(-4.48997740356225,2.904,-0.978871714628071)); #520317=CARTESIAN_POINT('',(-4.48997740356225,3.192,-0.978871714628071)); #520318=CARTESIAN_POINT('Origin',(-4.48997740356225,3.192,-0.828871714628076)); #520319=CARTESIAN_POINT('',(-4.78997740356225,3.342,-0.828871714628076)); #520320=CARTESIAN_POINT('Origin',(-4.78997740356225,3.192,-0.828871714628076)); #520321=CARTESIAN_POINT('',(-4.48997740356225,3.342,-0.828871714628076)); #520322=CARTESIAN_POINT('Origin',(-4.48997740356225,3.192,-0.828871714628076)); #520323=CARTESIAN_POINT('',(-4.48997740356225,3.342,-0.828871714628076)); #520324=CARTESIAN_POINT('Origin',(-4.48997740356225,3.342,-0.828871714628076)); #520325=CARTESIAN_POINT('',(-4.78997740356225,3.342,-0.428871714628076)); #520326=CARTESIAN_POINT('',(-4.78997740356225,3.342,-0.828871714628076)); #520327=CARTESIAN_POINT('',(-4.48997740356225,3.342,-0.428871714628076)); #520328=CARTESIAN_POINT('',(-4.48997740356225,3.342,-0.828871714628076)); #520329=CARTESIAN_POINT('',(-4.48997740356225,3.342,-0.428871714628076)); #520330=CARTESIAN_POINT('Origin',(-4.48997740356225,3.192,-0.428871714628076)); #520331=CARTESIAN_POINT('Origin',(-4.78997740356225,3.192,-0.428871714628076)); #520332=CARTESIAN_POINT('Origin',(-4.48997740356225,3.192,-0.428871714628076)); #520333=CARTESIAN_POINT('Origin',(4.48997740356225,2.904,-0.278871714628082)); #520334=CARTESIAN_POINT('',(4.78997740356225,2.904,-0.278871714628082)); #520335=CARTESIAN_POINT('',(4.78997740356225,3.192,-0.278871714628082)); #520336=CARTESIAN_POINT('',(4.78997740356225,2.904,-0.278871714628082)); #520337=CARTESIAN_POINT('',(4.48997740356225,2.904,-0.278871714628082)); #520338=CARTESIAN_POINT('',(4.48997740356225,2.904,-0.278871714628082)); #520339=CARTESIAN_POINT('',(4.48997740356225,3.192,-0.278871714628082)); #520340=CARTESIAN_POINT('',(4.48997740356225,2.904,-0.278871714628082)); #520341=CARTESIAN_POINT('',(4.48997740356225,3.192,-0.278871714628082)); #520342=CARTESIAN_POINT('Origin',(4.48997740356225,2.904,-0.14685319610957)); #520343=CARTESIAN_POINT('',(4.78997740356225,2.824,-0.251871714628079)); #520344=CARTESIAN_POINT('Origin',(4.78997740356225,2.904,-0.14685319610957)); #520345=CARTESIAN_POINT('',(4.48997740356225,2.824,-0.251871714628079)); #520346=CARTESIAN_POINT('',(4.48997740356225,2.824,-0.251871714628079)); #520347=CARTESIAN_POINT('Origin',(4.48997740356225,2.904,-0.14685319610957)); #520348=CARTESIAN_POINT('Origin',(4.48997740356225,2.73430795522054,-0.369613285448516)); #520349=CARTESIAN_POINT('',(4.78997740356225,2.617,-0.459871714628076)); #520350=CARTESIAN_POINT('Origin',(4.78997740356225,2.73430795522054,-0.369613285448516)); #520351=CARTESIAN_POINT('',(4.48997740356225,2.617,-0.459871714628076)); #520352=CARTESIAN_POINT('',(4.48997740356225,2.617,-0.459871714628076)); #520353=CARTESIAN_POINT('Origin',(4.48997740356225,2.73430795522054,-0.369613285448516)); #520354=CARTESIAN_POINT('Origin',(4.48997740356225,2.52912795875345,-0.527481727920053)); #520355=CARTESIAN_POINT('',(4.78997740356225,2.64,-0.527481727920053)); #520356=CARTESIAN_POINT('Origin',(4.78997740356225,2.52912795875345,-0.527481727920053)); #520357=CARTESIAN_POINT('',(4.48997740356225,2.64,-0.527481727920053)); #520358=CARTESIAN_POINT('',(4.48997740356225,2.64,-0.527481727920053)); #520359=CARTESIAN_POINT('Origin',(4.48997740356225,2.52912795875345,-0.527481727920053)); #520360=CARTESIAN_POINT('Origin',(4.48997740356225,2.64,-0.7302617013361)); #520361=CARTESIAN_POINT('',(4.78997740356225,2.64,-0.7302617013361)); #520362=CARTESIAN_POINT('',(4.78997740356225,2.64,-0.7302617013361)); #520363=CARTESIAN_POINT('',(4.48997740356225,2.64,-0.7302617013361)); #520364=CARTESIAN_POINT('',(4.48997740356225,2.64,-0.7302617013361)); #520365=CARTESIAN_POINT('',(4.48997740356225,2.64,-0.7302617013361)); #520366=CARTESIAN_POINT('Origin',(4.48997740356225,2.52912795875345,-0.7302617013361)); #520367=CARTESIAN_POINT('',(4.78997740356225,2.617,-0.797871714628077)); #520368=CARTESIAN_POINT('Origin',(4.78997740356225,2.52912795875345,-0.7302617013361)); #520369=CARTESIAN_POINT('',(4.48997740356225,2.617,-0.797871714628077)); #520370=CARTESIAN_POINT('',(4.48997740356225,2.617,-0.797871714628077)); #520371=CARTESIAN_POINT('Origin',(4.48997740356225,2.52912795875345,-0.7302617013361)); #520372=CARTESIAN_POINT('Origin',(4.48997740356225,2.73430795522054,-0.888130143807637)); #520373=CARTESIAN_POINT('',(4.78997740356225,2.824,-1.00587171462807)); #520374=CARTESIAN_POINT('Origin',(4.78997740356225,2.73430795522054,-0.888130143807637)); #520375=CARTESIAN_POINT('',(4.48997740356225,2.824,-1.00587171462807)); #520376=CARTESIAN_POINT('',(4.48997740356225,2.824,-1.00587171462807)); #520377=CARTESIAN_POINT('Origin',(4.48997740356225,2.73430795522054,-0.888130143807637)); #520378=CARTESIAN_POINT('Origin',(4.48997740356225,2.904,-1.11089023314658)); #520379=CARTESIAN_POINT('',(4.78997740356225,2.904,-0.978871714628071)); #520380=CARTESIAN_POINT('Origin',(4.78997740356225,2.904,-1.11089023314658)); #520381=CARTESIAN_POINT('',(4.48997740356225,2.904,-0.978871714628071)); #520382=CARTESIAN_POINT('',(4.48997740356225,2.904,-0.978871714628071)); #520383=CARTESIAN_POINT('Origin',(4.48997740356225,2.904,-1.11089023314658)); #520384=CARTESIAN_POINT('Origin',(4.48997740356225,3.192,-0.978871714628071)); #520385=CARTESIAN_POINT('',(4.78997740356225,3.192,-0.978871714628076)); #520386=CARTESIAN_POINT('',(4.78997740356225,3.192,-0.978871714628071)); #520387=CARTESIAN_POINT('',(4.48997740356225,3.192,-0.978871714628076)); #520388=CARTESIAN_POINT('',(4.48997740356225,3.192,-0.978871714628076)); #520389=CARTESIAN_POINT('',(4.48997740356225,3.192,-0.978871714628071)); #520390=CARTESIAN_POINT('Origin',(4.48997740356225,3.192,-0.828871714628076)); #520391=CARTESIAN_POINT('',(4.78997740356225,3.342,-0.828871714628076)); #520392=CARTESIAN_POINT('Origin',(4.78997740356225,3.192,-0.828871714628076)); #520393=CARTESIAN_POINT('',(4.48997740356225,3.342,-0.828871714628076)); #520394=CARTESIAN_POINT('',(4.48997740356225,3.342,-0.828871714628076)); #520395=CARTESIAN_POINT('Origin',(4.48997740356225,3.192,-0.828871714628076)); #520396=CARTESIAN_POINT('Origin',(4.48997740356225,3.342,-0.428871714628076)); #520397=CARTESIAN_POINT('',(4.78997740356225,3.342,-0.428871714628076)); #520398=CARTESIAN_POINT('',(4.78997740356225,3.342,-0.428871714628076)); #520399=CARTESIAN_POINT('',(4.48997740356225,3.342,-0.428871714628076)); #520400=CARTESIAN_POINT('',(4.48997740356225,3.342,-0.428871714628076)); #520401=CARTESIAN_POINT('',(4.48997740356225,3.342,-0.428871714628076)); #520402=CARTESIAN_POINT('Origin',(4.48997740356225,3.192,-0.428871714628076)); #520403=CARTESIAN_POINT('Origin',(4.78997740356225,3.192,-0.428871714628076)); #520404=CARTESIAN_POINT('Origin',(4.48997740356225,3.192,-0.428871714628076)); #520405=CARTESIAN_POINT('Origin',(4.48997740356225,2.24112828537193,-0.178871714628076)); #520406=CARTESIAN_POINT('',(4.63997740356225,2.24112828537193,-0.178871714628076)); #520407=CARTESIAN_POINT('',(4.78997740356225,2.24112828537193,-0.178871714628076)); #520408=CARTESIAN_POINT('',(4.48997740356225,2.24112828537193,-0.178871714628076)); #520409=CARTESIAN_POINT('',(4.63997740356225,2.24112828537193,-1.07887171462808)); #520410=CARTESIAN_POINT('',(4.63997740356225,2.24112828537193,-0.178871714628076)); #520411=CARTESIAN_POINT('',(4.78997740356225,2.24112828537193,-1.07887171462808)); #520412=CARTESIAN_POINT('',(4.48997740356225,2.24112828537193,-1.07887171462808)); #520413=CARTESIAN_POINT('',(4.78997740356225,2.24112828537193,-0.178871714628076)); #520414=CARTESIAN_POINT('Origin',(4.48997740356225,2.44112828537193,-0.178871714628076)); #520415=CARTESIAN_POINT('',(4.53997740356225,2.44112828537193,0.0211282853719236)); #520416=CARTESIAN_POINT('',(4.78997740356225,2.44112828537193,0.0211282853719236)); #520417=CARTESIAN_POINT('',(4.48997740356225,2.44112828537193,0.0211282853719236)); #520418=CARTESIAN_POINT('Origin',(4.53997740356225,2.44112828537193,-0.178871714628076)); #520419=CARTESIAN_POINT('Origin',(4.78997740356225,2.44112828537193,-0.178871714628076)); #520420=CARTESIAN_POINT('Ctrl Pts',(-4.37612383502704,4.03854591235721, 0.168524745334394)); #520421=CARTESIAN_POINT('Ctrl Pts',(-4.37407970344023,4.03871064033772, 0.233892393968742)); #520422=CARTESIAN_POINT('Ctrl Pts',(-4.36199530575025,4.04,0.299140710028597)); #520423=CARTESIAN_POINT('Ctrl Pts',(-4.33997740356225,4.04,0.363375606739761)); #520424=CARTESIAN_POINT('Ctrl Pts',(-4.35202621405051,3.73951530411907, 0.168524745334394)); #520425=CARTESIAN_POINT('Ctrl Pts',(-4.35134489242778,3.73957020896752, 0.233887062607087)); #520426=CARTESIAN_POINT('Ctrl Pts',(-4.3473157973903,3.74,0.299148647393757)); #520427=CARTESIAN_POINT('Ctrl Pts',(-4.33997740356225,3.74,0.363375606739761)); #520428=CARTESIAN_POINT('',(-4.35202621405051,3.73951530411907,0.168524745334394)); #520429=CARTESIAN_POINT('',(-4.37612383502704,4.03854591235721,0.168524745334394)); #520430=CARTESIAN_POINT('',(-4.36407502453878,3.88903060823814,0.168524745334394)); #520431=CARTESIAN_POINT('',(-4.33997740356225,3.74,0.363375606739761)); #520432=CARTESIAN_POINT('Ctrl Pts',(-4.35202621405051,3.73951530411907, 0.168524745334393)); #520433=CARTESIAN_POINT('Ctrl Pts',(-4.35134490386219,3.73957020804607, 0.233885965651727)); #520434=CARTESIAN_POINT('Ctrl Pts',(-4.34731569400471,3.74,0.299149552243306)); #520435=CARTESIAN_POINT('Ctrl Pts',(-4.33997740356225,3.74,0.36337560673976)); #520436=CARTESIAN_POINT('',(-4.33997740356225,3.83899180341332,0.363375606739761)); #520437=CARTESIAN_POINT('',(-4.33997740356225,4.04,0.363375606739761)); #520438=CARTESIAN_POINT('',(-4.33997740356225,4.04,0.363375606739761)); #520439=CARTESIAN_POINT('',(-4.33997740356225,4.04,0.363375606739761)); #520440=CARTESIAN_POINT('Ctrl Pts',(-4.37612383502704,4.03854591235721, 0.168524745334394)); #520441=CARTESIAN_POINT('Ctrl Pts',(-4.3754186461376,4.03860274056846,0.191075416652656)); #520442=CARTESIAN_POINT('Ctrl Pts',(-4.37351774498315,4.03875878375092, 0.213533092953359)); #520443=CARTESIAN_POINT('Ctrl Pts',(-4.3704587639851,4.03896646496901,0.235847487530113)); #520444=CARTESIAN_POINT('Ctrl Pts',(-4.36905948221684,4.03906146540945, 0.246054849236811)); #520445=CARTESIAN_POINT('Ctrl Pts',(-4.36741783208832,4.03916652883701, 0.256232229841575)); #520446=CARTESIAN_POINT('Ctrl Pts',(-4.36553732700447,4.03927351392401, 0.266374816094833)); #520447=CARTESIAN_POINT('Ctrl Pts',(-4.36107792198631,4.03952721695196, 0.290426811711962)); #520448=CARTESIAN_POINT('Ctrl Pts',(-4.35529697886365,4.03979402409131, 0.314170360381684)); #520449=CARTESIAN_POINT('Ctrl Pts',(-4.34827296835175,4.03992353084143, 0.337568132741318)); #520450=CARTESIAN_POINT('Ctrl Pts',(-4.34567612375795,4.03997141073902, 0.346218515390418)); #520451=CARTESIAN_POINT('Ctrl Pts',(-4.34290946247777,4.04,0.354821635522089)); #520452=CARTESIAN_POINT('Ctrl Pts',(-4.33997740356225,4.04,0.36337560673976)); #520453=CARTESIAN_POINT('Ctrl Pts',(-4.62388877933813,3.9391336860073,0.0211282853719236)); #520454=CARTESIAN_POINT('Ctrl Pts',(-4.56889473649018,3.98385418990079, 0.0211282853719236)); #520455=CARTESIAN_POINT('Ctrl Pts',(-4.50423951239333,4.01192856055822, 0.0369239289767804)); #520456=CARTESIAN_POINT('Ctrl Pts',(-4.40750169157324,4.03771220794275, 0.0913675464565018)); #520457=CARTESIAN_POINT('Ctrl Pts',(-4.37734075124306,4.03844784618965, 0.129609955239916)); #520458=CARTESIAN_POINT('Ctrl Pts',(-4.37612383502704,4.03854591235721, 0.168524745334394)); #520459=CARTESIAN_POINT('Ctrl Pts',(-4.43461452882088,3.70637789533577, 0.0211282853719236)); #520460=CARTESIAN_POINT('Ctrl Pts',(-4.41628318120489,3.72128472996693, 0.0211282853719236)); #520461=CARTESIAN_POINT('Ctrl Pts',(-4.39473143983928,3.73064285351941, 0.0369239289767804)); #520462=CARTESIAN_POINT('Ctrl Pts',(-4.36248549956591,3.73923740264758, 0.0913675464565018)); #520463=CARTESIAN_POINT('Ctrl Pts',(-4.35243203566747,3.73948260065916, 0.129592410884706)); #520464=CARTESIAN_POINT('Ctrl Pts',(-4.35202621405051,3.73951530411907, 0.168524745334394)); #520465=CARTESIAN_POINT('',(-4.43461452882088,3.70637789533577,0.0211282853719236)); #520466=CARTESIAN_POINT('',(-4.62388877933813,3.9391336860073,0.0211282853719236)); #520467=CARTESIAN_POINT('',(-4.43461452882088,3.70637789533577,0.0211282853719236)); #520468=CARTESIAN_POINT('Ctrl Pts',(-4.43461452882088,3.70637789533577, 0.0211282853719235)); #520469=CARTESIAN_POINT('Ctrl Pts',(-4.42966961951917,3.71039903697636, 0.0211282853719235)); #520470=CARTESIAN_POINT('Ctrl Pts',(-4.42450135098483,3.7140726105889,0.0222793188185634)); #520471=CARTESIAN_POINT('Ctrl Pts',(-4.41924669914345,3.71734354627566, 0.0245100296415135)); #520472=CARTESIAN_POINT('Ctrl Pts',(-4.41662835759187,3.71897342149474, 0.0256215709983148)); #520473=CARTESIAN_POINT('Ctrl Pts',(-4.41398895501429,3.72050406904983, 0.0270011888302481)); #520474=CARTESIAN_POINT('Ctrl Pts',(-4.41134709935778,3.72193318961525, 0.0286400548190804)); #520475=CARTESIAN_POINT('Ctrl Pts',(-4.40617158867834,3.72473289925507, 0.031850664909188)); #520476=CARTESIAN_POINT('Ctrl Pts',(-4.40098972459307,3.72713727311113, 0.0360562379982965)); #520477=CARTESIAN_POINT('Ctrl Pts',(-4.39598237983903,3.72915258758729, 0.0411790503856035)); #520478=CARTESIAN_POINT('Ctrl Pts',(-4.39253875219596,3.7305385502043,0.0447020868877306)); #520479=CARTESIAN_POINT('Ctrl Pts',(-4.3891779466139,3.7317418285714,0.0486589338675648)); #520480=CARTESIAN_POINT('Ctrl Pts',(-4.38595855654957,3.73277861734152, 0.0530243111808961)); #520481=CARTESIAN_POINT('Ctrl Pts',(-4.3834098151445,3.73359942700234,0.0564803129964262)); #520482=CARTESIAN_POINT('Ctrl Pts',(-4.38095020748312,3.7343159819048,0.0601919787355128)); #520483=CARTESIAN_POINT('Ctrl Pts',(-4.37860846970259,3.7349401280835,0.0641457377166406)); #520484=CARTESIAN_POINT('Ctrl Pts',(-4.37347502186306,3.73630835209184, 0.0728129829003243)); #520485=CARTESIAN_POINT('Ctrl Pts',(-4.36894436109083,3.737226679877,0.0826020074058987)); #520486=CARTESIAN_POINT('Ctrl Pts',(-4.36515443916326,3.73787196109589, 0.0931699622575064)); #520487=CARTESIAN_POINT('Ctrl Pts',(-4.36274233688974,3.73828265142801, 0.0998959551436777)); #520488=CARTESIAN_POINT('Ctrl Pts',(-4.36062928887694,3.73858243962108, 0.106937469947306)); #520489=CARTESIAN_POINT('Ctrl Pts',(-4.35884310531781,3.73880888850223, 0.114206117465014)); #520490=CARTESIAN_POINT('Ctrl Pts',(-4.35635869616925,3.739123857067,0.124316105488608)); #520491=CARTESIAN_POINT('Ctrl Pts',(-4.35450796923164,3.73929896001742, 0.134864226414844)); #520492=CARTESIAN_POINT('Ctrl Pts',(-4.35336502929537,3.73940137709282, 0.145611915556029)); #520493=CARTESIAN_POINT('Ctrl Pts',(-4.35256039185654,3.739473479406,0.153178361341629)); #520494=CARTESIAN_POINT('Ctrl Pts',(-4.35210627929409,3.73950885199731, 0.160843718226532)); #520495=CARTESIAN_POINT('Ctrl Pts',(-4.35202621405051,3.73951530411907, 0.168524745334394)); #520496=CARTESIAN_POINT('Ctrl Pts',(-4.62388877933812,3.9391336860073,0.0211282853719236)); #520497=CARTESIAN_POINT('Ctrl Pts',(-4.60487390506523,3.95459635641519, 0.021128285371924)); #520498=CARTESIAN_POINT('Ctrl Pts',(-4.58462662124424,3.96812683567607, 0.0230186242363753)); #520499=CARTESIAN_POINT('Ctrl Pts',(-4.56424027112047,3.97973241443818, 0.0266504581612157)); #520500=CARTESIAN_POINT('Ctrl Pts',(-4.54058217008687,3.99320054155463, 0.0308651554174436)); #520501=CARTESIAN_POINT('Ctrl Pts',(-4.51673808624099,4.0040757312249,0.0374255639634415)); #520502=CARTESIAN_POINT('Ctrl Pts',(-4.49447626466564,4.01240146017952, 0.0460637631787654)); #520503=CARTESIAN_POINT('Ctrl Pts',(-4.48088095116448,4.01748598998229, 0.051339118837346)); #520504=CARTESIAN_POINT('Ctrl Pts',(-4.46787683226017,4.02162034929774, 0.0573886844459887)); #520505=CARTESIAN_POINT('Ctrl Pts',(-4.45587060198328,4.02482038425048, 0.0641457377166415)); #520506=CARTESIAN_POINT('Ctrl Pts',(-4.43576526471207,4.03017908390232, 0.0754609325640683)); #520507=CARTESIAN_POINT('Ctrl Pts',(-4.41879355051275,4.03343969476473, 0.0886908903166324)); #520508=CARTESIAN_POINT('Ctrl Pts',(-4.40575294046099,4.03542434644802, 0.103072128225935)); #520509=CARTESIAN_POINT('Ctrl Pts',(-4.3937581899072,4.0372498286749,0.116299988018693)); #520510=CARTESIAN_POINT('Ctrl Pts',(-4.38508985936361,4.03799717717276, 0.130500635815576)); #520511=CARTESIAN_POINT('Ctrl Pts',(-4.38032767660626,4.03831271613667, 0.145073893368756)); #520512=CARTESIAN_POINT('Ctrl Pts',(-4.37779794257926,4.03848033457858, 0.152815399185502)); #520513=CARTESIAN_POINT('Ctrl Pts',(-4.37636971171388,4.03852609818755, 0.160662051476301)); #520514=CARTESIAN_POINT('Ctrl Pts',(-4.37612383502704,4.03854591235721, 0.168524745334394)); #520515=CARTESIAN_POINT('Origin',(-4.48997740356225,3.81112828537193,0.0211282853719236)); #520516=CARTESIAN_POINT('',(-4.48997740356225,2.54112828537193,0.0211282853719236)); #520517=CARTESIAN_POINT('',(-3.98197740356225,3.55712828537193,0.0211282853719236)); #520518=CARTESIAN_POINT('',(-4.48997740356225,3.59,0.0211282853719236)); #520519=CARTESIAN_POINT('',(-4.48997740356225,3.81112828537193,0.0211282853719236)); #520520=CARTESIAN_POINT('Origin',(-4.33997740356225,3.59,0.0211282853719236)); #520521=CARTESIAN_POINT('',(-4.78997740356225,3.59,0.0211282853719236)); #520522=CARTESIAN_POINT('Origin',(-4.33997740356225,3.59,0.0211282853719236)); #520523=CARTESIAN_POINT('',(-4.78997740356225,3.81112828537193,0.0211282853719236)); #520524=CARTESIAN_POINT('Origin',(-4.78997740356225,11.5111056889342,1.62112828537193)); #520525=CARTESIAN_POINT('',(-4.78997740356225,3.59,-1.27887171462808)); #520526=CARTESIAN_POINT('',(-4.78997740356225,3.59,0.0224675978987383)); #520527=CARTESIAN_POINT('',(-4.78997740356225,2.44112828537193,-1.27887171462808)); #520528=CARTESIAN_POINT('',(-4.78997740356225,2.44112828537193,-1.27887171462808)); #520529=CARTESIAN_POINT('Origin',(-4.78997740356225,2.44112828537193,-1.07887171462808)); #520530=CARTESIAN_POINT('Origin',(-4.48997740356225,2.44112828537193,-1.07887171462808)); #520531=CARTESIAN_POINT('',(-4.53997740356225,2.44112828537193,-1.27887171462808)); #520532=CARTESIAN_POINT('',(-4.48997740356225,2.44112828537193,-1.27887171462808)); #520533=CARTESIAN_POINT('Origin',(-4.53997740356225,2.44112828537193,-1.07887171462808)); #520534=CARTESIAN_POINT('Origin',(-4.63997740356225,2.24112828537193,-0.178871714628076)); #520535=CARTESIAN_POINT('',(-4.48997740356225,2.54112828537193,-1.27887171462808)); #520536=CARTESIAN_POINT('',(-4.28842871771102,2.94422565707439,-1.27887171462808)); #520537=CARTESIAN_POINT('',(-4.48997740356225,2.54112828537193,1.62112828537193)); #520538=CARTESIAN_POINT('Origin',(-4.48997740356225,11.5111056889342,1.62112828537193)); #520539=CARTESIAN_POINT('',(-4.48997740356225,3.59,-1.27887171462808)); #520540=CARTESIAN_POINT('',(-4.48997740356225,2.44112828537193,-1.27887171462808)); #520541=CARTESIAN_POINT('',(-4.48997740356225,3.59,0.0224675978987383)); #520542=CARTESIAN_POINT('Origin',(4.48997740356225,5.13110568893418,1.62112828537193)); #520543=CARTESIAN_POINT('',(4.48997740356225,2.54112828537193,-1.27887171462808)); #520544=CARTESIAN_POINT('',(4.48997740356225,3.59,-1.27887171462808)); #520545=CARTESIAN_POINT('',(4.48997740356225,2.44112828537193,-1.27887171462808)); #520546=CARTESIAN_POINT('',(4.48997740356225,2.54112828537193,0.0211282853719236)); #520547=CARTESIAN_POINT('',(4.48997740356225,2.54112828537193,1.62112828537193)); #520548=CARTESIAN_POINT('',(4.48997740356225,3.59,0.0211282853719236)); #520549=CARTESIAN_POINT('',(4.48997740356225,3.81112828537193,0.0211282853719236)); #520550=CARTESIAN_POINT('',(4.48997740356225,3.59,-1.27887171462808)); #520551=CARTESIAN_POINT('Origin',(4.63997740356225,2.24112828537193,-0.178871714628076)); #520552=CARTESIAN_POINT('',(3.98197740356225,3.55712828537193,0.0211282853719236)); #520553=CARTESIAN_POINT('',(4.53997740356225,2.44112828537193,-1.27887171462808)); #520554=CARTESIAN_POINT('',(4.28842871771102,2.94422565707438,-1.27887171462808)); #520555=CARTESIAN_POINT('Origin',(4.53997740356225,2.44112828537193,-1.07887171462808)); #520556=CARTESIAN_POINT('Origin',(4.48997740356225,2.44112828537193,-1.07887171462808)); #520557=CARTESIAN_POINT('',(4.78997740356225,2.44112828537193,-1.27887171462808)); #520558=CARTESIAN_POINT('',(4.48997740356225,2.44112828537193,-1.27887171462808)); #520559=CARTESIAN_POINT('Origin',(4.78997740356225,2.44112828537193,-1.07887171462808)); #520560=CARTESIAN_POINT('Ctrl Pts',(4.37612383502704,4.03854591235721,0.168524745334394)); #520561=CARTESIAN_POINT('Ctrl Pts',(4.37734075124306,4.03844784618965,0.129609955239916)); #520562=CARTESIAN_POINT('Ctrl Pts',(4.40750169157324,4.03771220794275,0.0913675464565018)); #520563=CARTESIAN_POINT('Ctrl Pts',(4.50423951239333,4.01192856055822,0.0369239289767804)); #520564=CARTESIAN_POINT('Ctrl Pts',(4.56889473649018,3.98385418990079,0.0211282853719236)); #520565=CARTESIAN_POINT('Ctrl Pts',(4.62388877933813,3.9391336860073,0.0211282853719236)); #520566=CARTESIAN_POINT('Ctrl Pts',(4.35202621405051,3.73951530411907,0.168524745334394)); #520567=CARTESIAN_POINT('Ctrl Pts',(4.35243203566747,3.73948260065916,0.129592410884709)); #520568=CARTESIAN_POINT('Ctrl Pts',(4.36248549956591,3.73923740264758,0.0913675464565018)); #520569=CARTESIAN_POINT('Ctrl Pts',(4.39473143983928,3.73064285351941,0.0369239289767804)); #520570=CARTESIAN_POINT('Ctrl Pts',(4.41628318120489,3.72128472996693,0.0211282853719236)); #520571=CARTESIAN_POINT('Ctrl Pts',(4.43461452882088,3.70637789533577,0.0211282853719236)); #520572=CARTESIAN_POINT('',(4.35202621405051,3.73951530411907,0.168524745334394)); #520573=CARTESIAN_POINT('',(4.37612383502704,4.03854591235721,0.168524745334394)); #520574=CARTESIAN_POINT('',(4.36407502453878,3.88903060823814,0.168524745334394)); #520575=CARTESIAN_POINT('',(4.43461452882088,3.70637789533577,0.0211282853719236)); #520576=CARTESIAN_POINT('Ctrl Pts',(4.35202621405051,3.73951530411907,0.168524745334394)); #520577=CARTESIAN_POINT('Ctrl Pts',(4.35214000920933,3.73950613384509,0.157607852303539)); #520578=CARTESIAN_POINT('Ctrl Pts',(4.35300965013283,3.73944098513292,0.146722605425058)); #520579=CARTESIAN_POINT('Ctrl Pts',(4.35456667783703,3.73928882435111,0.136108007145529)); #520580=CARTESIAN_POINT('Ctrl Pts',(4.35568354863373,3.73917967797358,0.128494054737935)); #520581=CARTESIAN_POINT('Ctrl Pts',(4.35715405239255,3.73902429789029,0.121019359952085)); #520582=CARTESIAN_POINT('Ctrl Pts',(4.35895020758427,3.73879527111955,0.113772133663528)); #520583=CARTESIAN_POINT('Ctrl Pts',(4.36177767035966,3.73843474288023,0.102363730508737)); #520584=CARTESIAN_POINT('Ctrl Pts',(4.36541264530714,3.73789371479259,0.0915206321823993)); #520585=CARTESIAN_POINT('Ctrl Pts',(4.36974098777825,3.73701744472891,0.0815858454360989)); #520586=CARTESIAN_POINT('Ctrl Pts',(4.37243963508099,3.73647110537161,0.0753916762743149)); #520587=CARTESIAN_POINT('Ctrl Pts',(4.37540727292495,3.7357933468993,0.0695505958588464)); #520588=CARTESIAN_POINT('Ctrl Pts',(4.37860846970259,3.7349401280835,0.0641457377166409)); #520589=CARTESIAN_POINT('Ctrl Pts',(4.38305463902126,3.73375508524345,0.0566388844636941)); #520590=CARTESIAN_POINT('Ctrl Pts',(4.38792599915986,3.73223443659326,0.0500060434808132)); #520591=CARTESIAN_POINT('Ctrl Pts',(4.39302384624504,3.73030707365882,0.0443365932925027)); #520592=CARTESIAN_POINT('Ctrl Pts',(4.39632910561848,3.72905744135353,0.0406607271741144)); #520593=CARTESIAN_POINT('Ctrl Pts',(4.39972917954914,3.72763758538095,0.0373898470225831)); #520594=CARTESIAN_POINT('Ctrl Pts',(4.4031683185593,3.7260400979926,0.0345483135177831)); #520595=CARTESIAN_POINT('Ctrl Pts',(4.40827338037433,3.72366878591646,0.0303303379870986)); #520596=CARTESIAN_POINT('Ctrl Pts',(4.41346043932735,3.72090559174823,0.0270584143487259)); #520597=CARTESIAN_POINT('Ctrl Pts',(4.41857302085958,3.71776043574461,0.0248018327512707)); #520598=CARTESIAN_POINT('Ctrl Pts',(4.42138435797178,3.71603095842656,0.0235609700771721)); #520599=CARTESIAN_POINT('Ctrl Pts',(4.42417329437571,3.71418686692735,0.0226271210824183)); #520600=CARTESIAN_POINT('Ctrl Pts',(4.42691579195697,3.71223631466683,0.0220118067498326)); #520601=CARTESIAN_POINT('Ctrl Pts',(4.42952796829275,3.71037845105687,0.0214257316518545)); #520602=CARTESIAN_POINT('Ctrl Pts',(4.43209921405932,3.70842331949014,0.0211282853719235)); #520603=CARTESIAN_POINT('Ctrl Pts',(4.43461452882088,3.70637789533577,0.0211282853719235)); #520604=CARTESIAN_POINT('',(4.62388877933813,3.9391336860073,0.0211282853719236)); #520605=CARTESIAN_POINT('',(4.62388877933813,3.9391336860073,0.0211282853719236)); #520606=CARTESIAN_POINT('Ctrl Pts',(4.37612383502704,4.03854591235721,0.168524745334394)); #520607=CARTESIAN_POINT('Ctrl Pts',(4.37658205125731,4.03850898663543,0.153871815096515)); #520608=CARTESIAN_POINT('Ctrl Pts',(4.38114977590636,4.03838261597411,0.139273997098208)); #520609=CARTESIAN_POINT('Ctrl Pts',(4.38929125806214,4.03754337334594,0.125310395883257)); #520610=CARTESIAN_POINT('Ctrl Pts',(4.39818951883456,4.03662612024461,0.110048830168906)); #520611=CARTESIAN_POINT('Ctrl Pts',(4.41135635842715,4.03485590817517,0.09554612677057)); #520612=CARTESIAN_POINT('Ctrl Pts',(4.428030029951,4.03141517582364,0.0825614133656725)); #520613=CARTESIAN_POINT('Ctrl Pts',(4.43645085541935,4.02967747776631,0.0760036492174054)); #520614=CARTESIAN_POINT('Ctrl Pts',(4.44576524469488,4.02751377724621,0.0698329880809946)); #520615=CARTESIAN_POINT('Ctrl Pts',(4.45587060198329,4.02482038425048,0.0641457377166399)); #520616=CARTESIAN_POINT('Ctrl Pts',(4.47606036003302,4.01943918382732,0.0527830312286363)); #520617=CARTESIAN_POINT('Ctrl Pts',(4.49907134680602,4.01141399334396,0.0434227083751921)); #520618=CARTESIAN_POINT('Ctrl Pts',(4.52297177388024,4.00067662713836,0.0363754892800609)); #520619=CARTESIAN_POINT('Ctrl Pts',(4.54328286296987,3.99155178594148,0.0303866132473942)); #520620=CARTESIAN_POINT('Ctrl Pts',(4.56423473798704,3.98046872740882,0.0260679927779785)); #520621=CARTESIAN_POINT('Ctrl Pts',(4.58466349436997,3.96740646632199,0.0235893916589643)); #520622=CARTESIAN_POINT('Ctrl Pts',(4.59806958468527,3.95883453719574,0.0219628437754689)); #520623=CARTESIAN_POINT('Ctrl Pts',(4.61125088856515,3.94941066900856,0.0211282853719236)); #520624=CARTESIAN_POINT('Ctrl Pts',(4.62388877933813,3.9391336860073,0.0211282853719236)); #520625=CARTESIAN_POINT('Origin',(4.78997740356225,5.13110568893418,1.62112828537193)); #520626=CARTESIAN_POINT('',(4.78997740356225,3.59,-1.27887171462808)); #520627=CARTESIAN_POINT('',(4.78997740356225,2.44112828537193,-1.27887171462808)); #520628=CARTESIAN_POINT('',(4.78997740356225,3.59,0.0211282853719236)); #520629=CARTESIAN_POINT('',(4.78997740356225,3.59,-1.27887171462808)); #520630=CARTESIAN_POINT('',(4.78997740356225,3.81112828537193,0.0211282853719236)); #520631=CARTESIAN_POINT('Origin',(4.48997740356225,3.81112828537193,0.0211282853719236)); #520632=CARTESIAN_POINT('Origin',(4.33997740356225,3.59,0.0211282853719236)); #520633=CARTESIAN_POINT('Origin',(4.33997740356225,3.59,0.0211282853719236)); #520634=CARTESIAN_POINT('Ctrl Pts',(4.33997740356225,4.04,0.363375606739761)); #520635=CARTESIAN_POINT('Ctrl Pts',(4.36199530575024,4.04,0.299140710028607)); #520636=CARTESIAN_POINT('Ctrl Pts',(4.37407970344023,4.03871064033772,0.233892393968739)); #520637=CARTESIAN_POINT('Ctrl Pts',(4.37612383502704,4.03854591235721,0.168524745334394)); #520638=CARTESIAN_POINT('Ctrl Pts',(4.33997740356225,3.74,0.363375606739761)); #520639=CARTESIAN_POINT('Ctrl Pts',(4.3473157973903,3.74,0.299148647393748)); #520640=CARTESIAN_POINT('Ctrl Pts',(4.35134489242778,3.73957020896752,0.2338870626071)); #520641=CARTESIAN_POINT('Ctrl Pts',(4.35202621405051,3.73951530411907,0.168524745334394)); #520642=CARTESIAN_POINT('',(4.33997740356225,3.74,0.363375606739761)); #520643=CARTESIAN_POINT('',(4.33997740356225,3.8389918034134,0.363375606739761)); #520644=CARTESIAN_POINT('',(4.33997740356225,4.04,0.363375606739761)); #520645=CARTESIAN_POINT('Ctrl Pts',(4.33997740356225,3.74,0.363375606739761)); #520646=CARTESIAN_POINT('Ctrl Pts',(4.34731569400471,3.74,0.299149552243305)); #520647=CARTESIAN_POINT('Ctrl Pts',(4.35134490386219,3.73957020804607,0.233885965651731)); #520648=CARTESIAN_POINT('Ctrl Pts',(4.35202621405051,3.73951530411907,0.168524745334394)); #520649=CARTESIAN_POINT('',(4.33997740356225,4.04,0.363375606739761)); #520650=CARTESIAN_POINT('Ctrl Pts',(4.33997740356225,4.04,0.363375606739761)); #520651=CARTESIAN_POINT('Ctrl Pts',(4.34790811019493,4.04,0.340238610442517)); #520652=CARTESIAN_POINT('Ctrl Pts',(4.35462770557757,4.03979424864115,0.316742036808904)); #520653=CARTESIAN_POINT('Ctrl Pts',(4.36005345430453,4.03955194603804,0.292923215201604)); #520654=CARTESIAN_POINT('Ctrl Pts',(4.36205940606458,4.03946236442311,0.28411716657498)); #520655=CARTESIAN_POINT('Ctrl Pts',(4.36388864185609,4.03936731038622,0.2752670714072)); #520656=CARTESIAN_POINT('Ctrl Pts',(4.36553732700447,4.03927351392401,0.266374816094834)); #520657=CARTESIAN_POINT('Ctrl Pts',(4.36964831411852,4.03903963297477,0.244202027651819)); #520658=CARTESIAN_POINT('Ctrl Pts',(4.37261782731939,4.03881825962301,0.221862954637996)); #520659=CARTESIAN_POINT('Ctrl Pts',(4.37440906683635,4.03868080030705,0.199407883759755)); #520660=CARTESIAN_POINT('Ctrl Pts',(4.37522844060829,4.03861792174886,0.189136171936463)); #520661=CARTESIAN_POINT('Ctrl Pts',(4.37580125768616,4.03857190751049,0.178840188044819)); #520662=CARTESIAN_POINT('Ctrl Pts',(4.37612383502704,4.03854591235721,0.168524745334394)); #520663=CARTESIAN_POINT('',(4.33997740356225,4.04,0.363375606739761)); #520664=CARTESIAN_POINT('Origin',(4.33997740356225,3.59,0.363375606739761)); #520665=CARTESIAN_POINT('',(4.33997740356225,3.74,-1.27887171462808)); #520666=CARTESIAN_POINT('',(4.33997740356225,3.74,-1.27887171462808)); #520667=CARTESIAN_POINT('Origin',(4.33997740356225,3.59,-1.27887171462808)); #520668=CARTESIAN_POINT('Origin',(2.141,3.74,-0.67887171462807)); #520669=CARTESIAN_POINT('',(1.541,3.74,-0.67887171462807)); #520670=CARTESIAN_POINT('',(1.541,3.96,-0.67887171462807)); #520671=CARTESIAN_POINT('',(1.541,3.74,-0.67887171462807)); #520672=CARTESIAN_POINT('',(2.141,3.96,-0.67887171462807)); #520673=CARTESIAN_POINT('',(2.141,3.96,-0.67887171462807)); #520674=CARTESIAN_POINT('',(2.141,3.74,-0.67887171462807)); #520675=CARTESIAN_POINT('',(2.141,3.74,-0.67887171462807)); #520676=CARTESIAN_POINT('',(2.141,3.74,-0.67887171462807)); #520677=CARTESIAN_POINT('Origin',(-2.141,3.74,-0.67887171462807)); #520678=CARTESIAN_POINT('',(-2.141,3.74,-0.67887171462807)); #520679=CARTESIAN_POINT('',(-2.141,3.96,-0.67887171462807)); #520680=CARTESIAN_POINT('',(-2.141,3.74,-0.67887171462807)); #520681=CARTESIAN_POINT('',(-1.541,3.96,-0.67887171462807)); #520682=CARTESIAN_POINT('',(-1.541,3.96,-0.67887171462807)); #520683=CARTESIAN_POINT('',(-1.541,3.74,-0.67887171462807)); #520684=CARTESIAN_POINT('',(-1.541,3.74,-0.67887171462807)); #520685=CARTESIAN_POINT('',(-2.141,3.74,-0.67887171462807)); #520686=CARTESIAN_POINT('Origin',(-4.33997740356225,3.59,-1.27887171462808)); #520687=CARTESIAN_POINT('',(-4.33997740356225,3.74,-1.27887171462808)); #520688=CARTESIAN_POINT('Origin',(-4.33997740356225,3.59,-1.27887171462808)); #520689=CARTESIAN_POINT('',(-4.33997740356225,3.74,0.0224675978987383)); #520690=CARTESIAN_POINT('Origin',(-2.75,3.74,0.994524745334394)); #520691=CARTESIAN_POINT('',(-2.92,4.04,0.994524745334393)); #520692=CARTESIAN_POINT('',(-2.75,4.04,0.994524745334394)); #520693=CARTESIAN_POINT('',(-2.75,4.04,0.994524745334394)); #520694=CARTESIAN_POINT('',(-2.92,3.74,0.994524745334393)); #520695=CARTESIAN_POINT('',(-2.92,3.74,0.994524745334393)); #520696=CARTESIAN_POINT('',(-2.75,3.74,0.994524745334394)); #520697=CARTESIAN_POINT('',(-2.75,3.74,0.994524745334394)); #520698=CARTESIAN_POINT('',(-2.75,3.74,0.994524745334394)); #520699=CARTESIAN_POINT('Origin',(-2.92,3.74,1.14252474533439)); #520700=CARTESIAN_POINT('',(-3.06,4.04,1.09452474533439)); #520701=CARTESIAN_POINT('Origin',(-2.92,4.04,1.14252474533439)); #520702=CARTESIAN_POINT('',(-3.06,3.74,1.09452474533439)); #520703=CARTESIAN_POINT('',(-3.06,3.74,1.09452474533439)); #520704=CARTESIAN_POINT('Origin',(-2.92,3.74,1.14252474533439)); #520705=CARTESIAN_POINT('Origin',(-3.33622069431921,3.74,0.999820507282096)); #520706=CARTESIAN_POINT('',(-3.38,4.04,1.28852474533439)); #520707=CARTESIAN_POINT('Origin',(-3.33622069431921,4.04,0.999820507282096)); #520708=CARTESIAN_POINT('',(-3.38,3.74,1.28852474533439)); #520709=CARTESIAN_POINT('',(-3.38,3.74,1.28852474533439)); #520710=CARTESIAN_POINT('Origin',(-3.33622069431921,3.74,0.999820507282096)); #520711=CARTESIAN_POINT('Origin',(-3.20712760635963,3.74,0.148511400899072)); #520712=CARTESIAN_POINT('Origin',(-3.20712760635963,3.74,0.148511400899072)); #520713=CARTESIAN_POINT('Origin',(-3.20712760635963,4.04,0.148511400899072)); #520714=CARTESIAN_POINT('Origin',(-4.33997740356225,3.59,-1.27887171462808)); #520715=CARTESIAN_POINT('',(-4.33997740356225,4.04,-1.27887171462808)); #520716=CARTESIAN_POINT('Origin',(-4.33997740356225,3.59,-1.27887171462808)); #520717=CARTESIAN_POINT('',(-4.33997740356225,4.04,0.0224675978987383)); #520718=CARTESIAN_POINT('Origin',(-5.87997740356225,3.74,-1.27887171462808)); #520719=CARTESIAN_POINT('',(-4.2,4.04,-1.27887171462808)); #520720=CARTESIAN_POINT('',(-5.87997740356225,4.04,-1.27887171462808)); #520721=CARTESIAN_POINT('',(-4.2,3.74,-1.27887171462808)); #520722=CARTESIAN_POINT('',(-4.2,3.74,-1.27887171462808)); #520723=CARTESIAN_POINT('',(-5.87997740356225,3.74,-1.27887171462808)); #520724=CARTESIAN_POINT('Origin',(-4.2,3.74,-1.13149376486263)); #520725=CARTESIAN_POINT('',(-4.087,4.04,-1.2261048639846)); #520726=CARTESIAN_POINT('Origin',(-4.2,4.04,-1.13149376486263)); #520727=CARTESIAN_POINT('',(-4.087,3.74,-1.2261048639846)); #520728=CARTESIAN_POINT('',(-4.087,3.74,-1.2261048639846)); #520729=CARTESIAN_POINT('Origin',(-4.2,3.74,-1.13149376486263)); #520730=CARTESIAN_POINT('Origin',(-4.087,3.74,-1.2261048639846)); #520731=CARTESIAN_POINT('',(-3.673,4.04,-0.731638565271536)); #520732=CARTESIAN_POINT('',(-4.087,4.04,-1.2261048639846)); #520733=CARTESIAN_POINT('',(-3.673,3.74,-0.731638565271536)); #520734=CARTESIAN_POINT('',(-3.673,3.74,-0.731638565271536)); #520735=CARTESIAN_POINT('',(-4.087,3.74,-1.2261048639846)); #520736=CARTESIAN_POINT('Origin',(-3.56,3.74,-0.826249664393507)); #520737=CARTESIAN_POINT('',(-3.56,4.04,-0.67887171462807)); #520738=CARTESIAN_POINT('Origin',(-3.56,4.04,-0.826249664393507)); #520739=CARTESIAN_POINT('',(-3.56,3.74,-0.67887171462807)); #520740=CARTESIAN_POINT('',(-3.56,3.74,-0.67887171462807)); #520741=CARTESIAN_POINT('Origin',(-3.56,3.74,-0.826249664393507)); #520742=CARTESIAN_POINT('Origin',(-3.56,3.74,-0.67887171462807)); #520743=CARTESIAN_POINT('',(-2.605,4.04,-0.678871714628075)); #520744=CARTESIAN_POINT('',(-3.56,4.04,-0.67887171462807)); #520745=CARTESIAN_POINT('',(-2.605,3.74,-0.678871714628075)); #520746=CARTESIAN_POINT('',(-2.605,3.74,-0.678871714628075)); #520747=CARTESIAN_POINT('',(-3.56,3.74,-0.67887171462807)); #520748=CARTESIAN_POINT('Origin',(-2.605,3.74,-0.534645697888131)); #520749=CARTESIAN_POINT('',(-2.47586378246832,4.04,-0.598871714628071)); #520750=CARTESIAN_POINT('Origin',(-2.605,4.04,-0.534645697888131)); #520751=CARTESIAN_POINT('',(-2.47586378246832,3.74,-0.598871714628071)); #520752=CARTESIAN_POINT('',(-2.47586378246832,3.74,-0.598871714628071)); #520753=CARTESIAN_POINT('Origin',(-2.605,3.74,-0.534645697888131)); #520754=CARTESIAN_POINT('Origin',(-2.31767191599201,3.74,-0.677548585134494)); #520755=CARTESIAN_POINT('',(-2.1594800495157,4.04,-0.598871714628069)); #520756=CARTESIAN_POINT('Origin',(-2.31767191599201,4.04,-0.677548585134494)); #520757=CARTESIAN_POINT('Origin',(-2.31767191599201,3.96132312949358,-0.677548585134494)); #520758=CARTESIAN_POINT('Origin',(-2.31767191599201,3.74,-0.677548585134494)); #520759=CARTESIAN_POINT('Origin',(3.19,4.04,-0.598871714628069)); #520760=CARTESIAN_POINT('',(-1.52251995048431,4.04,-0.598871714628069)); #520761=CARTESIAN_POINT('Origin',(-1.364328084008,3.96132312949358,-0.677548585134489)); #520762=CARTESIAN_POINT('',(-2.141,4.04,-0.598871714628069)); #520763=CARTESIAN_POINT('Origin',(-1.364328084008,3.74,-0.677548585134489)); #520764=CARTESIAN_POINT('',(-1.20613621753169,4.04,-0.598871714628067)); #520765=CARTESIAN_POINT('Origin',(-1.364328084008,4.04,-0.677548585134489)); #520766=CARTESIAN_POINT('',(-1.20613621753169,3.74,-0.598871714628067)); #520767=CARTESIAN_POINT('',(-1.20613621753169,3.74,-0.598871714628067)); #520768=CARTESIAN_POINT('Origin',(-1.364328084008,3.74,-0.677548585134489)); #520769=CARTESIAN_POINT('Origin',(-1.077,3.74,-0.534645697888127)); #520770=CARTESIAN_POINT('',(-1.077,4.04,-0.67887171462807)); #520771=CARTESIAN_POINT('Origin',(-1.077,4.04,-0.534645697888127)); #520772=CARTESIAN_POINT('',(-1.077,3.74,-0.67887171462807)); #520773=CARTESIAN_POINT('',(-1.077,3.74,-0.67887171462807)); #520774=CARTESIAN_POINT('Origin',(-1.077,3.74,-0.534645697888127)); #520775=CARTESIAN_POINT('Origin',(1.077,3.74,-0.67887171462807)); #520776=CARTESIAN_POINT('',(1.077,4.04,-0.67887171462807)); #520777=CARTESIAN_POINT('',(1.077,4.04,-0.67887171462807)); #520778=CARTESIAN_POINT('',(1.077,3.74,-0.67887171462807)); #520779=CARTESIAN_POINT('',(1.077,3.74,-0.67887171462807)); #520780=CARTESIAN_POINT('',(1.077,3.74,-0.67887171462807)); #520781=CARTESIAN_POINT('Origin',(1.077,3.74,-0.534645697888127)); #520782=CARTESIAN_POINT('',(1.20613621753169,4.04,-0.598871714628067)); #520783=CARTESIAN_POINT('Origin',(1.077,4.04,-0.534645697888127)); #520784=CARTESIAN_POINT('',(1.20613621753169,3.74,-0.598871714628067)); #520785=CARTESIAN_POINT('',(1.20613621753169,3.74,-0.598871714628067)); #520786=CARTESIAN_POINT('Origin',(1.077,3.74,-0.534645697888127)); #520787=CARTESIAN_POINT('Origin',(1.364328084008,3.74,-0.677548585134489)); #520788=CARTESIAN_POINT('',(1.52251995048431,4.04,-0.598871714628069)); #520789=CARTESIAN_POINT('Origin',(1.364328084008,4.04,-0.677548585134489)); #520790=CARTESIAN_POINT('Origin',(1.364328084008,3.96132312949358,-0.677548585134489)); #520791=CARTESIAN_POINT('Origin',(1.364328084008,3.74,-0.677548585134489)); #520792=CARTESIAN_POINT('Origin',(3.19,4.04,-0.598871714628069)); #520793=CARTESIAN_POINT('',(2.1594800495157,4.04,-0.598871714628069)); #520794=CARTESIAN_POINT('Origin',(2.31767191599201,3.96132312949358,-0.677548585134494)); #520795=CARTESIAN_POINT('',(1.541,4.04,-0.598871714628069)); #520796=CARTESIAN_POINT('Origin',(2.31767191599201,3.74,-0.677548585134494)); #520797=CARTESIAN_POINT('',(2.47586378246831,4.04,-0.598871714628071)); #520798=CARTESIAN_POINT('Origin',(2.31767191599201,4.04,-0.677548585134494)); #520799=CARTESIAN_POINT('',(2.47586378246831,3.74,-0.598871714628071)); #520800=CARTESIAN_POINT('',(2.47586378246831,3.74,-0.598871714628071)); #520801=CARTESIAN_POINT('Origin',(2.31767191599201,3.74,-0.677548585134494)); #520802=CARTESIAN_POINT('Origin',(2.605,3.74,-0.534645697888131)); #520803=CARTESIAN_POINT('',(2.605,4.04,-0.67887171462807)); #520804=CARTESIAN_POINT('Origin',(2.605,4.04,-0.534645697888131)); #520805=CARTESIAN_POINT('',(2.605,3.74,-0.67887171462807)); #520806=CARTESIAN_POINT('',(2.605,3.74,-0.67887171462807)); #520807=CARTESIAN_POINT('Origin',(2.605,3.74,-0.534645697888131)); #520808=CARTESIAN_POINT('Origin',(3.56,3.74,-0.67887171462807)); #520809=CARTESIAN_POINT('',(3.56,4.04,-0.678871714628057)); #520810=CARTESIAN_POINT('',(3.56,4.04,-0.67887171462807)); #520811=CARTESIAN_POINT('',(3.56,3.74,-0.678871714628057)); #520812=CARTESIAN_POINT('',(3.56,3.74,-0.678871714628057)); #520813=CARTESIAN_POINT('',(3.56,3.74,-0.67887171462807)); #520814=CARTESIAN_POINT('Origin',(3.56,3.74,-0.826249664393507)); #520815=CARTESIAN_POINT('',(3.67299999999992,4.04,-0.731638565271604)); #520816=CARTESIAN_POINT('Origin',(3.56,4.04,-0.826249664393507)); #520817=CARTESIAN_POINT('',(3.67299999999992,3.74,-0.731638565271604)); #520818=CARTESIAN_POINT('',(3.67299999999992,3.74,-0.731638565271604)); #520819=CARTESIAN_POINT('Origin',(3.56,3.74,-0.826249664393507)); #520820=CARTESIAN_POINT('Origin',(4.087,3.74,-1.2261048639846)); #520821=CARTESIAN_POINT('',(4.087,4.04,-1.2261048639846)); #520822=CARTESIAN_POINT('',(4.087,4.04,-1.2261048639846)); #520823=CARTESIAN_POINT('',(4.087,3.74,-1.2261048639846)); #520824=CARTESIAN_POINT('',(4.087,3.74,-1.2261048639846)); #520825=CARTESIAN_POINT('',(4.087,3.74,-1.2261048639846)); #520826=CARTESIAN_POINT('Origin',(4.2,3.74,-1.13149376486263)); #520827=CARTESIAN_POINT('',(4.2,4.04,-1.27887171462808)); #520828=CARTESIAN_POINT('Origin',(4.2,4.04,-1.13149376486263)); #520829=CARTESIAN_POINT('',(4.2,3.74,-1.27887171462808)); #520830=CARTESIAN_POINT('',(4.2,3.74,-1.27887171462808)); #520831=CARTESIAN_POINT('Origin',(4.2,3.74,-1.13149376486263)); #520832=CARTESIAN_POINT('Origin',(5.87997740356225,3.74,-1.27887171462808)); #520833=CARTESIAN_POINT('',(5.87997740356225,3.74,-1.27887171462808)); #520834=CARTESIAN_POINT('',(4.33997740356225,4.04,-1.27887171462808)); #520835=CARTESIAN_POINT('',(5.87997740356225,4.04,-1.27887171462808)); #520836=CARTESIAN_POINT('Origin',(4.33997740356225,3.59,-1.27887171462808)); #520837=CARTESIAN_POINT('Origin',(4.33997740356225,3.59,0.363375606739761)); #520838=CARTESIAN_POINT('',(4.33997740356225,4.04,-1.27887171462808)); #520839=CARTESIAN_POINT('Origin',(3.20712760635963,3.74,0.148511400899072)); #520840=CARTESIAN_POINT('',(3.38,3.74,1.28852474533439)); #520841=CARTESIAN_POINT('Origin',(3.20712760635963,3.74,0.148511400899072)); #520842=CARTESIAN_POINT('',(3.38,4.04,1.28852474533439)); #520843=CARTESIAN_POINT('Origin',(3.20712760635963,4.04,0.148511400899072)); #520844=CARTESIAN_POINT('',(3.38,3.74,1.28852474533439)); #520845=CARTESIAN_POINT('Origin',(3.33622069431921,3.74,0.999820507282096)); #520846=CARTESIAN_POINT('',(3.06,4.04,1.09452474533439)); #520847=CARTESIAN_POINT('Origin',(3.33622069431921,4.04,0.999820507282096)); #520848=CARTESIAN_POINT('',(3.06,3.74,1.09452474533439)); #520849=CARTESIAN_POINT('',(3.06,3.74,1.09452474533439)); #520850=CARTESIAN_POINT('Origin',(3.33622069431921,3.74,0.999820507282096)); #520851=CARTESIAN_POINT('Origin',(2.92,3.74,1.14252474533439)); #520852=CARTESIAN_POINT('',(2.92,4.04,0.994524745334394)); #520853=CARTESIAN_POINT('Origin',(2.92,4.04,1.14252474533439)); #520854=CARTESIAN_POINT('',(2.92,3.74,0.994524745334394)); #520855=CARTESIAN_POINT('',(2.92,3.74,0.994524745334394)); #520856=CARTESIAN_POINT('Origin',(2.92,3.74,1.14252474533439)); #520857=CARTESIAN_POINT('Origin',(2.75,3.74,0.994524745334394)); #520858=CARTESIAN_POINT('',(2.75,4.04,0.994524745334393)); #520859=CARTESIAN_POINT('',(2.75,4.04,0.994524745334394)); #520860=CARTESIAN_POINT('',(2.75,3.74,0.994524745334393)); #520861=CARTESIAN_POINT('',(2.75,3.74,0.994524745334393)); #520862=CARTESIAN_POINT('',(2.75,3.74,0.994524745334394)); #520863=CARTESIAN_POINT('Origin',(-1.6,3.74,0.931128285371929)); #520864=CARTESIAN_POINT('',(-1.6,4.04,0.931128285371929)); #520865=CARTESIAN_POINT('',(1.6,4.04,0.931128285371929)); #520866=CARTESIAN_POINT('',(-1.6,4.04,0.931128285371929)); #520867=CARTESIAN_POINT('',(-1.6,3.74,0.931128285371929)); #520868=CARTESIAN_POINT('',(-1.6,3.74,0.931128285371929)); #520869=CARTESIAN_POINT('',(1.6,3.74,0.931128285371929)); #520870=CARTESIAN_POINT('',(-1.6,3.74,0.931128285371929)); #520871=CARTESIAN_POINT('',(1.6,3.74,0.931128285371929)); #520872=CARTESIAN_POINT('Origin',(-1.6,3.74,1.08112828537193)); #520873=CARTESIAN_POINT('',(-1.75,4.04,1.08112828537193)); #520874=CARTESIAN_POINT('Origin',(-1.6,4.04,1.08112828537193)); #520875=CARTESIAN_POINT('',(-1.75,3.74,1.08112828537193)); #520876=CARTESIAN_POINT('',(-1.75,3.74,1.08112828537193)); #520877=CARTESIAN_POINT('Origin',(-1.6,3.74,1.08112828537193)); #520878=CARTESIAN_POINT('Origin',(1.6,3.74,1.08112828537193)); #520879=CARTESIAN_POINT('',(1.75,4.04,1.08112828537193)); #520880=CARTESIAN_POINT('Origin',(1.6,4.04,1.08112828537193)); #520881=CARTESIAN_POINT('',(1.75,3.74,1.08112828537193)); #520882=CARTESIAN_POINT('Origin',(1.6,3.74,1.08112828537193)); #520883=CARTESIAN_POINT('',(1.75,3.74,1.08112828537193)); #520884=CARTESIAN_POINT('Origin',(1.75,3.74,1.08112828537193)); #520885=CARTESIAN_POINT('',(1.75,3.74,1.13)); #520886=CARTESIAN_POINT('',(1.75,3.74,1.08112828537193)); #520887=CARTESIAN_POINT('',(1.75,3.61991847030427,1.276986003193)); #520888=CARTESIAN_POINT('Origin',(1.75,3.59,1.13)); #520889=CARTESIAN_POINT('',(1.75,3.67975541091281,1.570958009579)); #520890=CARTESIAN_POINT('',(1.75,3.61991847030427,1.276986003193)); #520891=CARTESIAN_POINT('',(1.75,4.04,1.13)); #520892=CARTESIAN_POINT('Origin',(1.75,3.59,1.13)); #520893=CARTESIAN_POINT('',(1.75,4.04,1.08112828537193)); #520894=CARTESIAN_POINT('Origin',(3.19,4.04,1.62112828537193)); #520895=CARTESIAN_POINT('',(-2.60070488362652,4.04,1.13)); #520896=CARTESIAN_POINT('Origin',(-2.75,4.04,1.14452474533439)); #520897=CARTESIAN_POINT('',(-1.75,4.04,1.13)); #520898=CARTESIAN_POINT('',(-2.60857864376269,4.04,1.13)); #520899=CARTESIAN_POINT('',(-1.75,4.04,1.08112828537193)); #520900=CARTESIAN_POINT('',(2.60070488362652,4.04,1.13)); #520901=CARTESIAN_POINT('',(-2.60857864376269,4.04,1.13)); #520902=CARTESIAN_POINT('Origin',(2.75,4.04,1.14452474533439)); #520903=CARTESIAN_POINT('Origin',(2.75,3.74,1.14452474533439)); #520904=CARTESIAN_POINT('',(2.60070488362652,3.74,1.13)); #520905=CARTESIAN_POINT('',(2.60070488362652,3.83900047400574,1.13)); #520906=CARTESIAN_POINT('',(2.60070488362652,4.04,1.13)); #520907=CARTESIAN_POINT('Origin',(2.75,3.74,1.14452474533439)); #520908=CARTESIAN_POINT('',(2.60070488362652,4.04,1.13)); #520909=CARTESIAN_POINT('Origin',(3.19,3.74,1.62112828537193)); #520910=CARTESIAN_POINT('',(-2.60070488362652,3.74,1.13)); #520911=CARTESIAN_POINT('',(-1.75,3.74,1.13)); #520912=CARTESIAN_POINT('',(-2.60857864376269,3.74,1.13)); #520913=CARTESIAN_POINT('Origin',(-2.75,3.74,1.14452474533439)); #520914=CARTESIAN_POINT('',(-2.60857864376269,3.74,1.13)); #520915=CARTESIAN_POINT('',(-1.75,3.74,1.08112828537193)); #520916=CARTESIAN_POINT('Origin',(-2.75,3.74,1.14452474533439)); #520917=CARTESIAN_POINT('',(-2.60070488362652,3.83900047400574,1.13)); #520918=CARTESIAN_POINT('',(-2.60070488362652,4.04,1.13)); #520919=CARTESIAN_POINT('',(-2.60070488362652,4.04,1.13)); #520920=CARTESIAN_POINT('Origin',(-1.75,3.74,1.08112828537193)); #520921=CARTESIAN_POINT('',(-1.75,3.67975541091281,1.570958009579)); #520922=CARTESIAN_POINT('Origin',(-1.75,3.59,1.13)); #520923=CARTESIAN_POINT('',(-1.75,3.61991847030427,1.276986003193)); #520924=CARTESIAN_POINT('',(-1.75,3.67975541091281,1.570958009579)); #520925=CARTESIAN_POINT('Origin',(-1.75,3.59,1.13)); #520926=CARTESIAN_POINT('Ctrl Pts',(-2.60070488362652,4.04180013273845, 1.13)); #520927=CARTESIAN_POINT('Ctrl Pts',(-2.60023532785105,4.04180013273845, 1.13875732124636)); #520928=CARTESIAN_POINT('Ctrl Pts',(-2.6,4.04154464668182,1.14755587952074)); #520929=CARTESIAN_POINT('Ctrl Pts',(-2.6,4.04103168989984,1.15633960228591)); #520930=CARTESIAN_POINT('Ctrl Pts',(-2.60070488362652,4.04,1.13)); #520931=CARTESIAN_POINT('Ctrl Pts',(-2.60023532783092,4.04,1.13872242908181)); #520932=CARTESIAN_POINT('Ctrl Pts',(-2.6,4.0397455318963,1.14748593054733)); #520933=CARTESIAN_POINT('Ctrl Pts',(-2.6,4.03923461891151,1.15623465592366)); #520934=CARTESIAN_POINT('Ctrl Pts',(-2.60070488362652,3.74,1.13)); #520935=CARTESIAN_POINT('Ctrl Pts',(-2.60023532447539,3.74,1.13290749713794)); #520936=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.73991517820697,1.13582862796395)); #520937=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.7397448729705,1.13874488530789)); #520938=CARTESIAN_POINT('',(-2.6,3.7397448729705,1.13874488530789)); #520939=CARTESIAN_POINT('Origin',(-2.69143462423446,3.70681107558669,1.13604494466206)); #520940=CARTESIAN_POINT('',(-2.6,4.03923461891151,1.15623465592366)); #520941=CARTESIAN_POINT('',(-2.6,4.03923461891151,1.15623465592366)); #520942=CARTESIAN_POINT('Origin',(-2.7457722232602,3.88161594360175,1.14506560738283)); #520943=CARTESIAN_POINT('Origin',(-2.6,3.59,1.13)); #520944=CARTESIAN_POINT('',(-2.6,3.61991847030427,1.276986003193)); #520945=CARTESIAN_POINT('Origin',(-2.6,3.59,1.13)); #520946=CARTESIAN_POINT('',(-2.6,3.67975541091281,1.570958009579)); #520947=CARTESIAN_POINT('',(-2.6,3.61991847030427,1.276986003193)); #520948=CARTESIAN_POINT('Origin',(-2.6,3.59,1.13)); #520949=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.67975541091281,1.570958009579)); #520950=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.64964030115724,1.57708783144883)); #520951=CARTESIAN_POINT('Ctrl Pts',(-2.60291194415313,3.61896598834363, 1.58)); #520952=CARTESIAN_POINT('Ctrl Pts',(-2.60857864376269,3.59,1.58)); #520953=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.61991847030427,1.276986003193)); #520954=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.60987659691167,1.27902999026911)); #520955=CARTESIAN_POINT('Ctrl Pts',(-2.602909092988,3.59966018746762,1.28)); #520956=CARTESIAN_POINT('Ctrl Pts',(-2.60857864376269,3.59,1.28)); #520957=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.61991847030427,1.276986003193)); #520958=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.61421659669191,1.27814659898202)); #520959=CARTESIAN_POINT('Ctrl Pts',(-2.60093961213708,3.60844696781098, 1.27897087260549)); #520960=CARTESIAN_POINT('Ctrl Pts',(-2.60279349270571,3.60275700940234, 1.27945654455842)); #520961=CARTESIAN_POINT('Ctrl Pts',(-2.60420084154215,3.59843755297063, 1.27982523597761)); #520962=CARTESIAN_POINT('Ctrl Pts',(-2.60613442707989,3.59416463178578, 1.28)); #520963=CARTESIAN_POINT('Ctrl Pts',(-2.60857864376269,3.59,1.28)); #520964=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.67975541091281,1.570958009579)); #520965=CARTESIAN_POINT('Ctrl Pts',(-2.6,3.65579652983356,1.57583475332685)); #520966=CARTESIAN_POINT('Ctrl Pts',(-2.60184609436138,3.63144341789715, 1.57867966564652)); #520967=CARTESIAN_POINT('Ctrl Pts',(-2.60545924891797,3.60789928371808, 1.57963471829085)); #520968=CARTESIAN_POINT('Ctrl Pts',(-2.606384031189,3.60187319279544,1.57987916278929)); #520969=CARTESIAN_POINT('Ctrl Pts',(-2.60742439253633,3.59590008821217, 1.58)); #520970=CARTESIAN_POINT('Ctrl Pts',(-2.60857864376269,3.59,1.58)); #520971=CARTESIAN_POINT('Ctrl Pts',(-1.74142135623731,3.59,1.58)); #520972=CARTESIAN_POINT('Ctrl Pts',(-1.74708805584687,3.61896598834363, 1.58)); #520973=CARTESIAN_POINT('Ctrl Pts',(-1.75,3.64964030115725,1.57708783144883)); #520974=CARTESIAN_POINT('Ctrl Pts',(-1.75,3.67975541091281,1.570958009579)); #520975=CARTESIAN_POINT('Ctrl Pts',(-1.74142135623731,3.59,1.28)); #520976=CARTESIAN_POINT('Ctrl Pts',(-1.747090907012,3.59966018746762,1.28)); #520977=CARTESIAN_POINT('Ctrl Pts',(-1.75,3.60987659691168,1.27902999026911)); #520978=CARTESIAN_POINT('Ctrl Pts',(-1.75,3.61991847030427,1.276986003193)); #520979=CARTESIAN_POINT('Ctrl Pts',(-1.74142135623731,3.59,1.28)); #520980=CARTESIAN_POINT('Ctrl Pts',(-1.74464108804541,3.5954860101087,1.28)); #520981=CARTESIAN_POINT('Ctrl Pts',(-1.74697449850219,3.6011604795177,1.27969495783221)); #520982=CARTESIAN_POINT('Ctrl Pts',(-1.74838677362004,3.60688036149803, 1.27904715159006)); #520983=CARTESIAN_POINT('Ctrl Pts',(-1.74945888353772,3.61122253401215, 1.27855537807642)); #520984=CARTESIAN_POINT('Ctrl Pts',(-1.75,3.61558996860375,1.27786705408748)); #520985=CARTESIAN_POINT('Ctrl Pts',(-1.75,3.61991847030427,1.276986003193)); #520986=CARTESIAN_POINT('Ctrl Pts',(-1.74142135623731,3.59,1.58)); #520987=CARTESIAN_POINT('Ctrl Pts',(-1.74593105350274,3.6130518374758,1.58)); #520988=CARTESIAN_POINT('Ctrl Pts',(-1.74869955782538,3.63721958372107, 1.57815258395213)); #520989=CARTESIAN_POINT('Ctrl Pts',(-1.7496388385008,3.66130141327419,1.57430602231532)); #520990=CARTESIAN_POINT('Ctrl Pts',(-1.74987924618381,3.66746512663168, 1.57332149980266)); #520991=CARTESIAN_POINT('Ctrl Pts',(-1.75,3.67362316605815,1.57220620588067)); #520992=CARTESIAN_POINT('Ctrl Pts',(-1.75,3.67975541091281,1.570958009579)); #520993=CARTESIAN_POINT('Origin',(-1.74142135623731,3.59,1.13)); #520994=CARTESIAN_POINT('Origin',(-1.74142135623731,3.59,1.13)); #520995=CARTESIAN_POINT('Ctrl Pts',(1.75,3.67975541091281,1.570958009579)); #520996=CARTESIAN_POINT('Ctrl Pts',(1.75,3.64964030115725,1.57708783144883)); #520997=CARTESIAN_POINT('Ctrl Pts',(1.74708805584687,3.61896598834363,1.58)); #520998=CARTESIAN_POINT('Ctrl Pts',(1.74142135623731,3.59,1.58)); #520999=CARTESIAN_POINT('Ctrl Pts',(1.75,3.61991847030427,1.276986003193)); #521000=CARTESIAN_POINT('Ctrl Pts',(1.75,3.60987659691167,1.27902999026911)); #521001=CARTESIAN_POINT('Ctrl Pts',(1.747090907012,3.59966018746762,1.28)); #521002=CARTESIAN_POINT('Ctrl Pts',(1.74142135623731,3.59,1.28)); #521003=CARTESIAN_POINT('Ctrl Pts',(1.75,3.61991847030427,1.276986003193)); #521004=CARTESIAN_POINT('Ctrl Pts',(1.75,3.61421659669191,1.27814659898202)); #521005=CARTESIAN_POINT('Ctrl Pts',(1.74906038786292,3.60844696781098,1.27897087260549)); #521006=CARTESIAN_POINT('Ctrl Pts',(1.74720650729429,3.60275700940234,1.27945654455842)); #521007=CARTESIAN_POINT('Ctrl Pts',(1.74579915845785,3.59843755297064,1.27982523597761)); #521008=CARTESIAN_POINT('Ctrl Pts',(1.74386557292011,3.59416463178578,1.28)); #521009=CARTESIAN_POINT('Ctrl Pts',(1.74142135623731,3.59,1.28)); #521010=CARTESIAN_POINT('Ctrl Pts',(1.75,3.67975541091281,1.570958009579)); #521011=CARTESIAN_POINT('Ctrl Pts',(1.75,3.65579652983153,1.57583475332726)); #521012=CARTESIAN_POINT('Ctrl Pts',(1.74815390563831,3.63144341789302,1.57867966564701)); #521013=CARTESIAN_POINT('Ctrl Pts',(1.74454075108111,3.60789928371209,1.5796347182911)); #521014=CARTESIAN_POINT('Ctrl Pts',(1.74361596881031,3.60187319279149,1.57987916278937)); #521015=CARTESIAN_POINT('Ctrl Pts',(1.74257560746328,3.59590008821021,1.58)); #521016=CARTESIAN_POINT('Ctrl Pts',(1.74142135623731,3.59,1.58)); #521017=CARTESIAN_POINT('Ctrl Pts',(2.60857864376269,3.59,1.58)); #521018=CARTESIAN_POINT('Ctrl Pts',(2.60291194415313,3.61896598834363,1.58)); #521019=CARTESIAN_POINT('Ctrl Pts',(2.6,3.64964030115725,1.57708783144883)); #521020=CARTESIAN_POINT('Ctrl Pts',(2.6,3.67975541091281,1.570958009579)); #521021=CARTESIAN_POINT('Ctrl Pts',(2.60857864376269,3.59,1.28)); #521022=CARTESIAN_POINT('Ctrl Pts',(2.602909092988,3.59966018746762,1.28)); #521023=CARTESIAN_POINT('Ctrl Pts',(2.6,3.60987659691167,1.27902999026911)); #521024=CARTESIAN_POINT('Ctrl Pts',(2.6,3.61991847030427,1.276986003193)); #521025=CARTESIAN_POINT('',(2.6,3.61991847030427,1.276986003193)); #521026=CARTESIAN_POINT('Ctrl Pts',(2.60857864376269,3.59,1.28)); #521027=CARTESIAN_POINT('Ctrl Pts',(2.60535891195459,3.5954860101087,1.28)); #521028=CARTESIAN_POINT('Ctrl Pts',(2.60302550149781,3.6011604795177,1.27969495783221)); #521029=CARTESIAN_POINT('Ctrl Pts',(2.60161322637996,3.60688036149803,1.27904715159006)); #521030=CARTESIAN_POINT('Ctrl Pts',(2.60054111646227,3.61122253401215,1.27855537807642)); #521031=CARTESIAN_POINT('Ctrl Pts',(2.6,3.61558996860375,1.27786705408748)); #521032=CARTESIAN_POINT('Ctrl Pts',(2.6,3.61991847030427,1.276986003193)); #521033=CARTESIAN_POINT('',(2.6,3.67975541091281,1.570958009579)); #521034=CARTESIAN_POINT('',(2.6,3.67975541091281,1.570958009579)); #521035=CARTESIAN_POINT('Ctrl Pts',(2.60857864376269,3.59,1.58)); #521036=CARTESIAN_POINT('Ctrl Pts',(2.60406894649735,3.61305183747532,1.58)); #521037=CARTESIAN_POINT('Ctrl Pts',(2.60130044217474,3.63721958372005,1.57815258395221)); #521038=CARTESIAN_POINT('Ctrl Pts',(2.60036116149926,3.66130141327266,1.57430602231557)); #521039=CARTESIAN_POINT('Ctrl Pts',(2.60012075381621,3.66746512663067,1.57332149980284)); #521040=CARTESIAN_POINT('Ctrl Pts',(2.6,3.67362316605764,1.57220620588077)); #521041=CARTESIAN_POINT('Ctrl Pts',(2.6,3.67975541091281,1.570958009579)); #521042=CARTESIAN_POINT('Origin',(2.6,3.59,1.13)); #521043=CARTESIAN_POINT('',(2.6,3.7397448729705,1.13874488530789)); #521044=CARTESIAN_POINT('Origin',(2.6,3.59,1.13)); #521045=CARTESIAN_POINT('',(2.6,4.03923461891151,1.15623465592366)); #521046=CARTESIAN_POINT('',(2.6,3.7397448729705,1.13874488530789)); #521047=CARTESIAN_POINT('Origin',(2.6,3.59,1.13)); #521048=CARTESIAN_POINT('Ctrl Pts',(2.6,4.04103168989165,1.15633960228543)); #521049=CARTESIAN_POINT('Ctrl Pts',(2.6,4.04154464667362,1.14755587952042)); #521050=CARTESIAN_POINT('Ctrl Pts',(2.60023532785106,4.04180013273025,1.1387573212462)); #521051=CARTESIAN_POINT('Ctrl Pts',(2.60070488362652,4.04180013273025,1.13)); #521052=CARTESIAN_POINT('Ctrl Pts',(2.6,4.03923461891151,1.15623465592366)); #521053=CARTESIAN_POINT('Ctrl Pts',(2.6,4.0397455318963,1.14748593054733)); #521054=CARTESIAN_POINT('Ctrl Pts',(2.60023532783092,4.04,1.13872242908181)); #521055=CARTESIAN_POINT('Ctrl Pts',(2.60070488362652,4.04,1.13)); #521056=CARTESIAN_POINT('Ctrl Pts',(2.6,3.7397448729705,1.13874488530789)); #521057=CARTESIAN_POINT('Ctrl Pts',(2.6,3.73991517820697,1.13582862796395)); #521058=CARTESIAN_POINT('Ctrl Pts',(2.60023532447539,3.74,1.13290749713794)); #521059=CARTESIAN_POINT('Ctrl Pts',(2.60070488362652,3.74,1.13)); #521060=CARTESIAN_POINT('Origin',(2.69143462416612,3.70681107561114,1.13604494466512)); #521061=CARTESIAN_POINT('Origin',(2.74577222355375,3.88161594328166,1.14506560740615)); #521062=CARTESIAN_POINT('Origin',(-1.74142135623731,3.59,1.13)); #521063=CARTESIAN_POINT('Origin',(-1.74142135623731,3.59,1.13)); #521064=CARTESIAN_POINT('Origin',(-0.359999999999999,-2.39,11.2985643801531)); #521065=CARTESIAN_POINT('',(-0.29942013239481,-2.26378558069326,11.2985643801531)); #521066=CARTESIAN_POINT('Origin',(-0.29942013239481,-2.26378558069326,11.2985643801531)); #521067=CARTESIAN_POINT('',(0.299420132394813,-2.55121441930674,11.2985643801531)); #521068=CARTESIAN_POINT('Origin',(0.360000000000001,-2.425,11.2985643801531)); #521069=CARTESIAN_POINT('',(0.500000000000001,-2.425,11.2985643801531)); #521070=CARTESIAN_POINT('Origin',(0.500000000000001,-2.425,11.2985643801531)); #521071=CARTESIAN_POINT('',(0.500000000000002,-1.235,11.2985643801531)); #521072=CARTESIAN_POINT('Origin',(0.360000000000002,-1.235,11.2985643801531)); #521073=CARTESIAN_POINT('',(0.299420132394813,-1.10878558069326,11.2985643801531)); #521074=CARTESIAN_POINT('Origin',(0.299420132394813,-1.10878558069326,11.2985643801531)); #521075=CARTESIAN_POINT('',(-0.29942013239481,-1.39621441930674,11.2985643801531)); #521076=CARTESIAN_POINT('Origin',(-0.359999999999999,-1.27,11.2985643801531)); #521077=CARTESIAN_POINT('Origin',(-0.359999999999999,1.04,11.2985643801531)); #521078=CARTESIAN_POINT('',(-0.299420132394811,0.913785580693259,11.2985643801531)); #521079=CARTESIAN_POINT('Origin',(-0.299420132394811,0.913785580693259, 11.2985643801531)); #521080=CARTESIAN_POINT('',(0.299420132394812,1.20121441930674,11.2985643801531)); #521081=CARTESIAN_POINT('Origin',(0.360000000000001,1.075,11.2985643801531)); #521082=CARTESIAN_POINT('',(0.500000000000001,1.075,11.2985643801531)); #521083=CARTESIAN_POINT('Origin',(0.500000000000001,1.075,11.2985643801531)); #521084=CARTESIAN_POINT('',(0.500000000000001,-0.115,11.2985643801531)); #521085=CARTESIAN_POINT('Origin',(0.360000000000001,-0.115,11.2985643801531)); #521086=CARTESIAN_POINT('',(0.299420132394813,-0.241214419306741,11.2985643801531)); #521087=CARTESIAN_POINT('Origin',(0.299420132394813,-0.241214419306741, 11.2985643801531)); #521088=CARTESIAN_POINT('',(-0.299420132394811,0.0462144193067397,11.2985643801531)); #521089=CARTESIAN_POINT('Origin',(-0.359999999999999,-0.080000000000001, 11.2985643801531)); #521090=CARTESIAN_POINT('Origin',(-0.36,-1.27,-1.28)); #521091=CARTESIAN_POINT('',(-0.295092998994441,-1.40522973497151,-1.28)); #521092=CARTESIAN_POINT('Origin',(-0.295092998994441,-1.40522973497151, -1.28)); #521093=CARTESIAN_POINT('',(0.303747265795183,-1.11780089635803,-1.28)); #521094=CARTESIAN_POINT('Origin',(0.36,-1.235,-1.28)); #521095=CARTESIAN_POINT('',(0.49,-1.235,-1.28)); #521096=CARTESIAN_POINT('Origin',(0.49,-1.235,-1.28)); #521097=CARTESIAN_POINT('',(0.49,-2.425,-1.28)); #521098=CARTESIAN_POINT('Origin',(0.36,-2.425,-1.28)); #521099=CARTESIAN_POINT('',(0.303747265795182,-2.54219910364197,-1.28)); #521100=CARTESIAN_POINT('Origin',(0.303747265795182,-2.54219910364198,-1.28)); #521101=CARTESIAN_POINT('',(-0.295092998994441,-2.25477026502849,-1.28)); #521102=CARTESIAN_POINT('Origin',(-0.36,-2.39,-1.28)); #521103=CARTESIAN_POINT('Origin',(-0.36,-0.080000000000001,-1.28)); #521104=CARTESIAN_POINT('',(-0.295092998994442,0.0552297349715073,-1.28)); #521105=CARTESIAN_POINT('Origin',(-0.295092998994442,0.0552297349715068, -1.28)); #521106=CARTESIAN_POINT('',(0.303747265795182,-0.232199103641974,-1.28)); #521107=CARTESIAN_POINT('Origin',(0.36,-0.115,-1.28)); #521108=CARTESIAN_POINT('',(0.49,-0.115,-1.28)); #521109=CARTESIAN_POINT('Origin',(0.49,-0.115,-1.28)); #521110=CARTESIAN_POINT('',(0.489999999999999,1.075,-1.28)); #521111=CARTESIAN_POINT('Origin',(0.359999999999999,1.075,-1.28)); #521112=CARTESIAN_POINT('',(0.303747265795181,1.19219910364197,-1.28)); #521113=CARTESIAN_POINT('Origin',(0.303747265795181,1.19219910364197,-1.28)); #521114=CARTESIAN_POINT('',(-0.295092998994442,0.904770265028493,-1.28)); #521115=CARTESIAN_POINT('Origin',(-0.360000000000001,1.04,-1.28)); #521116=CARTESIAN_POINT('',(0.,0.,0.)); #521117=CARTESIAN_POINT('Origin',(-0.125,-1.5,-0.12)); #521118=CARTESIAN_POINT('',(-0.125,-1.5,0.)); #521119=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #521120=CARTESIAN_POINT('',(-0.125,-1.5,0.)); #521121=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #521122=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #521123=CARTESIAN_POINT('',(-0.125,-1.5,-0.12)); #521124=CARTESIAN_POINT('',(-0.125,-1.5,-0.12)); #521125=CARTESIAN_POINT('',(-0.125,-1.5,-0.12)); #521126=CARTESIAN_POINT('Origin',(-0.120000000000002,-0.5,-0.12)); #521127=CARTESIAN_POINT('',(-0.12,-1.41361293861773E-16,0.)); #521128=CARTESIAN_POINT('',(-0.120000000000002,-0.5,0.)); #521129=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #521130=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #521131=CARTESIAN_POINT('',(-0.12,0.22,-1.826)); #521132=CARTESIAN_POINT('',(-0.12,0.22,-0.22)); #521133=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.906)); #521134=CARTESIAN_POINT('Origin',(-0.12,0.3,-1.826)); #521135=CARTESIAN_POINT('',(-0.119999999999998,0.888,-1.906)); #521136=CARTESIAN_POINT('',(-0.119999999999999,0.3,-1.906)); #521137=CARTESIAN_POINT('',(-0.119999999999998,0.888,-2.026)); #521138=CARTESIAN_POINT('',(-0.119999999999998,0.888,-2.026)); #521139=CARTESIAN_POINT('',(-0.119999999999999,0.3,-2.026)); #521140=CARTESIAN_POINT('',(-0.119999999999999,0.3,-2.026)); #521141=CARTESIAN_POINT('',(-0.12,0.1,-1.826)); #521142=CARTESIAN_POINT('Origin',(-0.119999999999999,0.3,-1.826)); #521143=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #521144=CARTESIAN_POINT('',(-0.12,0.0999999999999999,-0.22)); #521145=CARTESIAN_POINT('',(-0.12,4.33680868994202E-16,-0.12)); #521146=CARTESIAN_POINT('Origin',(-0.12,-1.41361293861773E-16,-0.22)); #521147=CARTESIAN_POINT('',(-0.120000000000002,-0.5,-0.12)); #521148=CARTESIAN_POINT('Origin',(0.12,2.71050543121376E-17,-0.12)); #521149=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,0.)); #521150=CARTESIAN_POINT('',(0.12,-0.5,0.)); #521151=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,0.)); #521152=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #521153=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #521154=CARTESIAN_POINT('',(0.12,-1.76182853028894E-16,-0.12)); #521155=CARTESIAN_POINT('',(0.12,2.71050543121376E-17,-0.12)); #521156=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #521157=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #521158=CARTESIAN_POINT('',(0.12,0.1,-1.826)); #521159=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #521160=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #521161=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #521162=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #521163=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #521164=CARTESIAN_POINT('',(0.120000000000002,0.888,-1.906)); #521165=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #521166=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #521167=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #521168=CARTESIAN_POINT('',(0.12,0.22,-1.826)); #521169=CARTESIAN_POINT('Origin',(0.12,0.3,-1.826)); #521170=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #521171=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #521172=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #521173=CARTESIAN_POINT('Origin',(0.12,-0.5,-0.12)); #521174=CARTESIAN_POINT('',(0.125,-1.5,0.)); #521175=CARTESIAN_POINT('',(0.12,-0.5,0.)); #521176=CARTESIAN_POINT('',(0.125,-1.5,-0.12)); #521177=CARTESIAN_POINT('',(0.125,-1.5,-0.12)); #521178=CARTESIAN_POINT('',(0.12,-0.5,-0.12)); #521179=CARTESIAN_POINT('Origin',(0.125,-1.5,-0.12)); #521180=CARTESIAN_POINT('',(0.125,-5.51,-0.08)); #521181=CARTESIAN_POINT('',(0.125,-5.51,-0.04)); #521182=CARTESIAN_POINT('',(0.125,-5.51,-0.12)); #521183=CARTESIAN_POINT('',(0.125,-5.47,-0.12)); #521184=CARTESIAN_POINT('',(0.125,-5.47,-0.12)); #521185=CARTESIAN_POINT('',(0.125,-1.5,-0.12)); #521186=CARTESIAN_POINT('',(0.125,-5.47,0.)); #521187=CARTESIAN_POINT('',(0.125,-1.5,0.)); #521188=CARTESIAN_POINT('',(0.125,-5.51,-0.04)); #521189=CARTESIAN_POINT('Origin',(0.125,-5.51,-0.12)); #521190=CARTESIAN_POINT('',(-0.125,-5.51,-0.08)); #521191=CARTESIAN_POINT('',(-0.125,-5.51,-0.04)); #521192=CARTESIAN_POINT('',(-0.125,-5.51,-0.12)); #521193=CARTESIAN_POINT('',(0.125,-5.51,-0.08)); #521194=CARTESIAN_POINT('',(0.125,-5.51,-0.04)); #521195=CARTESIAN_POINT('Origin',(-0.125,-5.51,-0.12)); #521196=CARTESIAN_POINT('',(-0.125,-5.47,-0.12)); #521197=CARTESIAN_POINT('',(-0.125,-5.51,-0.12)); #521198=CARTESIAN_POINT('',(-0.125,-5.47,-0.12)); #521199=CARTESIAN_POINT('',(-0.125,-5.47,0.)); #521200=CARTESIAN_POINT('',(-0.125,-5.51,-0.04)); #521201=CARTESIAN_POINT('',(-0.125,-5.51,0.)); #521202=CARTESIAN_POINT('Origin',(0.,0.,-0.12)); #521203=CARTESIAN_POINT('',(-0.125,-5.47,-0.12)); #521204=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,-0.12)); #521205=CARTESIAN_POINT('Origin',(0.,0.,0.)); #521206=CARTESIAN_POINT('',(0.,-5.47,0.)); #521207=CARTESIAN_POINT('',(-0.12,2.03287907341032E-16,0.)); #521208=CARTESIAN_POINT('Origin',(0.120000000000002,0.888,-2.026)); #521209=CARTESIAN_POINT('',(0.120000000000001,0.888,-1.906)); #521210=CARTESIAN_POINT('',(0.120000000000002,0.888,-2.026)); #521211=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #521212=CARTESIAN_POINT('',(0.12,0.0999999999999999,-0.22)); #521213=CARTESIAN_POINT('Origin',(0.12,0.0999999999999999,-0.22)); #521214=CARTESIAN_POINT('',(0.12,0.1,-1.826)); #521215=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #521216=CARTESIAN_POINT('',(0.120000000000001,0.3,-2.026)); #521217=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-2.026)); #521218=CARTESIAN_POINT('Origin',(0.12,-1.41361293861773E-16,-0.22)); #521219=CARTESIAN_POINT('',(0.12,0.22,-0.22)); #521220=CARTESIAN_POINT('Origin',(0.12,0.22,-0.22)); #521221=CARTESIAN_POINT('',(0.120000000000001,0.22,-1.826)); #521222=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.826)); #521223=CARTESIAN_POINT('',(0.120000000000001,0.3,-1.906)); #521224=CARTESIAN_POINT('Origin',(0.120000000000001,0.3,-1.906)); #521225=CARTESIAN_POINT('Origin',(0.,-5.47,-0.12)); #521226=CARTESIAN_POINT('Origin',(0.125,-5.51,-0.04)); #521227=CARTESIAN_POINT('',(0.,0.,0.)); #521228=CARTESIAN_POINT('',(-3.33,2.07733538461548,0.345999999999996)); #521229=CARTESIAN_POINT('',(-0.749999999999999,2.07733538461548,-0.232)); #521230=CARTESIAN_POINT('',(-0.25,2.07733538461548,0.346)); #521231=CARTESIAN_POINT('',(-3.07,2.07733538461548,-0.232000000000002)); #521232=CARTESIAN_POINT('',(-1.75149064031341,2.07733538461548,-0.231999999999999)); #521233=CARTESIAN_POINT('',(-1.25149064031342,2.07733538461547,0.345999999999999)); #521234=CARTESIAN_POINT('',(-2.52999999999999,2.07733538461549,0.346)); #521235=CARTESIAN_POINT('',(-2.27,2.07733538461548,-0.232000000000001)); #521236=CARTESIAN_POINT('',(3.33,2.07733538461548,0.346000000000001)); #521237=CARTESIAN_POINT('',(3.07,2.07733538461548,-0.232000000000004)); #521238=CARTESIAN_POINT('',(2.52999999999999,2.07733538461549,0.346000000000004)); #521239=CARTESIAN_POINT('',(2.27,2.07733538461548,-0.23200000000001)); #521240=CARTESIAN_POINT('',(1.25149064031342,2.07733538461547,0.346000000000002)); #521241=CARTESIAN_POINT('',(1.75149064031341,2.07733538461548,-0.23199999999999)); #521242=CARTESIAN_POINT('',(0.749999999999999,2.07733538461548,-0.231999999999996)); #521243=CARTESIAN_POINT('',(1.24581445653504E-15,-1.35305252217564,-7.94258378380899E-16)); #521244=CARTESIAN_POINT('',(-1.78974188916623E-16,-1.25466461538453,-6.11557787917605E-16)); #521245=CARTESIAN_POINT('',(0.25,2.07733538461548,0.346)); #521246=CARTESIAN_POINT('',(0.,2.1555,1.78023)); #521247=CARTESIAN_POINT('',(0.,0.,0.)); #521248=CARTESIAN_POINT('',(0.,0.,0.)); #521249=CARTESIAN_POINT('',(0.,0.,0.)); #521250=CARTESIAN_POINT('Origin',(-3.749999945539,3.495012662024,7.000050744431)); #521251=CARTESIAN_POINT('',(0.64999999056,3.495012662024,5.08460913699604E-5)); #521252=CARTESIAN_POINT('',(-0.64999999056,3.495012662024,5.08460913699604E-5)); #521253=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,5.08460913699604E-5)); #521254=CARTESIAN_POINT('',(-0.64999999056,3.495012662024,0.800050834473)); #521255=CARTESIAN_POINT('',(-0.64999999056,3.495012662024,0.800050834473)); #521256=CARTESIAN_POINT('',(-1.849999973133,3.495012662024,0.800050834473)); #521257=CARTESIAN_POINT('',(-1.849999973133,3.495012662024,0.800050834473)); #521258=CARTESIAN_POINT('',(-1.849999973133,3.495012662024,5.08460913699604E-5)); #521259=CARTESIAN_POINT('',(-1.849999973133,3.495012662024,0.800050834473)); #521260=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,5.08460913699604E-5)); #521261=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,5.08460913699604E-5)); #521262=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,7.000050744431)); #521263=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,7.000050744431)); #521264=CARTESIAN_POINT('',(3.749999945539,3.495012662024,7.000050744431)); #521265=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,7.000050744431)); #521266=CARTESIAN_POINT('',(3.749999945539,3.495012662024,5.08460913699604E-5)); #521267=CARTESIAN_POINT('',(3.749999945539,3.495012662024,7.000050744431)); #521268=CARTESIAN_POINT('',(1.849999973133,3.495012662024,5.08460913699604E-5)); #521269=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,5.08460913699604E-5)); #521270=CARTESIAN_POINT('',(1.849999973133,3.495012662024,0.800050834473)); #521271=CARTESIAN_POINT('',(1.849999973133,3.495012662024,0.800050834473)); #521272=CARTESIAN_POINT('',(0.64999999056,3.495012662024,0.800050834473)); #521273=CARTESIAN_POINT('',(0.64999999056,3.495012662024,0.800050834473)); #521274=CARTESIAN_POINT('',(0.64999999056,3.495012662024,0.800050834473)); #521275=CARTESIAN_POINT('Origin',(0.,0.545012704866,7.000050744431)); #521276=CARTESIAN_POINT('',(-3.749999945539,-1.604987263909,7.000050744431)); #521277=CARTESIAN_POINT('',(-2.249999967323,-1.604987263909,7.000050744431)); #521278=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,7.000050744431)); #521279=CARTESIAN_POINT('',(-3.749999945539,-2.404987252291,7.000050744431)); #521280=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,7.000050744431)); #521281=CARTESIAN_POINT('',(-2.249999967323,-2.404987252291,7.000050744431)); #521282=CARTESIAN_POINT('',(-3.749999945539,-2.404987252291,7.000050744431)); #521283=CARTESIAN_POINT('',(-2.249999967323,-1.404987266814,7.000050744431)); #521284=CARTESIAN_POINT('Origin',(0.,0.545012704866,7.000050744431)); #521285=CARTESIAN_POINT('',(3.749999945539,-2.404987252291,7.000050744431)); #521286=CARTESIAN_POINT('',(3.749999945539,-1.604987263909,7.000050744431)); #521287=CARTESIAN_POINT('',(3.749999945539,3.495012662024,7.000050744431)); #521288=CARTESIAN_POINT('',(2.249999967323,-1.604987263909,7.000050744431)); #521289=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,7.000050744431)); #521290=CARTESIAN_POINT('',(2.249999967323,-2.404987252291,7.000050744431)); #521291=CARTESIAN_POINT('',(2.249999967323,-1.404987266814,7.000050744431)); #521292=CARTESIAN_POINT('',(-3.749999945539,-2.404987252291,7.000050744431)); #521293=CARTESIAN_POINT('Origin',(-2.499999963693,0.545012704866,0.500050838829999)); #521294=CARTESIAN_POINT('',(-1.849999973133,-0.993598239945,0.500050838829999)); #521295=CARTESIAN_POINT('',(-1.849999973133,2.083623649678,0.500050838829999)); #521296=CARTESIAN_POINT('',(-1.849999973133,-0.954987273349,0.500050838829999)); #521297=CARTESIAN_POINT('',(-0.64999999056,2.083623649678,0.500050838829999)); #521298=CARTESIAN_POINT('',(-1.849999973133,2.083623649678,0.500050838829999)); #521299=CARTESIAN_POINT('',(-0.64999999056,-0.993598239945,0.500050838829999)); #521300=CARTESIAN_POINT('',(-0.64999999056,-0.954987273349,0.500050838829999)); #521301=CARTESIAN_POINT('',(-1.849999973133,-0.993598239945,0.500050838829999)); #521302=CARTESIAN_POINT('',(-1.569999977199,-0.324987282499,0.500050838829999)); #521303=CARTESIAN_POINT('',(-0.929999986494,-0.324987282499,0.500050838829999)); #521304=CARTESIAN_POINT('',(-2.499999963693,-0.324987282499,0.500050838829999)); #521305=CARTESIAN_POINT('',(-0.929999986494,0.315012708207,0.500050838829999)); #521306=CARTESIAN_POINT('',(-0.929999986494,0.545012704866,0.500050838829999)); #521307=CARTESIAN_POINT('',(-1.569999977199,0.315012708207,0.500050838829999)); #521308=CARTESIAN_POINT('',(-2.499999963693,0.315012708207,0.500050838829999)); #521309=CARTESIAN_POINT('',(-1.569999977199,0.545012704866,0.500050838829999)); #521310=CARTESIAN_POINT('Origin',(0.,0.545012704866,0.500050838829999)); #521311=CARTESIAN_POINT('',(0.64999999056,-0.993598239945,0.500050838829999)); #521312=CARTESIAN_POINT('',(0.64999999056,2.083623649678,0.500050838829999)); #521313=CARTESIAN_POINT('',(0.64999999056,-0.954987273349,0.500050838829999)); #521314=CARTESIAN_POINT('',(1.849999973133,2.083623649678,0.500050838829999)); #521315=CARTESIAN_POINT('',(0.64999999056,2.083623649678,0.500050838829999)); #521316=CARTESIAN_POINT('',(1.849999973133,-0.993598239945,0.500050838829999)); #521317=CARTESIAN_POINT('',(1.849999973133,-0.954987273349,0.500050838829999)); #521318=CARTESIAN_POINT('',(0.64999999056,-0.993598239945,0.500050838829999)); #521319=CARTESIAN_POINT('',(0.929999986494,-0.324987282499,0.500050838829999)); #521320=CARTESIAN_POINT('',(1.569999977199,-0.324987282499,0.500050838829999)); #521321=CARTESIAN_POINT('',(0.,-0.324987282499,0.500050838829999)); #521322=CARTESIAN_POINT('',(1.569999977199,0.315012708207,0.500050838829999)); #521323=CARTESIAN_POINT('',(1.569999977199,0.545012704866,0.500050838829999)); #521324=CARTESIAN_POINT('',(0.929999986494,0.315012708207,0.500050838829999)); #521325=CARTESIAN_POINT('',(0.,0.315012708207,0.500050838829999)); #521326=CARTESIAN_POINT('',(0.929999986494,0.545012704866,0.500050838829999)); #521327=CARTESIAN_POINT('Origin',(0.,0.545012704866,2.000050817045)); #521328=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,2.000050817045)); #521329=CARTESIAN_POINT('',(2.949999957157,-1.604987263909,2.000050817045)); #521330=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,2.000050817045)); #521331=CARTESIAN_POINT('',(2.949999957157,2.695012673642,2.000050817045)); #521332=CARTESIAN_POINT('',(2.949999957157,-1.604987263909,2.000050817045)); #521333=CARTESIAN_POINT('',(-2.949999957157,2.695012673642,2.000050817045)); #521334=CARTESIAN_POINT('',(2.949999957157,2.695012673642,2.000050817045)); #521335=CARTESIAN_POINT('',(-2.949999957157,2.695012673642,2.000050817045)); #521336=CARTESIAN_POINT('',(1.549999977489,0.295012708497,2.000050817045)); #521337=CARTESIAN_POINT('',(0.949999986203,0.295012708497,2.000050817045)); #521338=CARTESIAN_POINT('',(0.949999986203,0.295012708497,2.000050817045)); #521339=CARTESIAN_POINT('',(1.549999977489,-0.304987282789,2.000050817045)); #521340=CARTESIAN_POINT('',(1.549999977489,0.295012708497,2.000050817045)); #521341=CARTESIAN_POINT('',(0.949999986203,-0.304987282789,2.000050817045)); #521342=CARTESIAN_POINT('',(0.949999986203,-0.304987282789,2.000050817045)); #521343=CARTESIAN_POINT('',(0.949999986203,0.295012708497,2.000050817045)); #521344=CARTESIAN_POINT('',(-0.949999986203,0.295012708497,2.000050817045)); #521345=CARTESIAN_POINT('',(-1.549999977489,0.295012708497,2.000050817045)); #521346=CARTESIAN_POINT('',(-1.549999977489,0.295012708497,2.000050817045)); #521347=CARTESIAN_POINT('',(-0.949999986203,-0.304987282789,2.000050817045)); #521348=CARTESIAN_POINT('',(-0.949999986203,0.295012708497,2.000050817045)); #521349=CARTESIAN_POINT('',(-1.549999977489,-0.304987282789,2.000050817045)); #521350=CARTESIAN_POINT('',(-1.549999977489,-0.304987282789,2.000050817045)); #521351=CARTESIAN_POINT('',(-1.549999977489,0.295012708497,2.000050817045)); #521352=CARTESIAN_POINT('Origin',(-0.64999999056,-2.404987252291,0.800050834473)); #521353=CARTESIAN_POINT('',(-0.64999999056,-2.404987252291,0.800050834473)); #521354=CARTESIAN_POINT('',(-0.64999999056,-0.954987273349,0.491843824527999)); #521355=CARTESIAN_POINT('',(-0.64999999056,2.045012683082,0.491843824527999)); #521356=CARTESIAN_POINT('',(-0.64999999056,-2.404987252291,5.08460913699604E-5)); #521357=CARTESIAN_POINT('',(-0.64999999056,-2.404987252291,5.08460913699604E-5)); #521358=CARTESIAN_POINT('',(-0.64999999056,-2.404987252291,0.800050834473)); #521359=CARTESIAN_POINT('Origin',(-1.849999973133,-2.404987252291,0.800050834473)); #521360=CARTESIAN_POINT('',(-1.849999973133,-2.404987252291,0.800050834473)); #521361=CARTESIAN_POINT('',(-1.849999973133,-0.954987273349,0.491843824527999)); #521362=CARTESIAN_POINT('',(-1.849999973133,-2.404987252291,5.08460913699604E-5)); #521363=CARTESIAN_POINT('',(-1.849999973133,-2.404987252291,0.800050834473)); #521364=CARTESIAN_POINT('',(-1.849999973133,-2.404987252291,5.08460913699604E-5)); #521365=CARTESIAN_POINT('',(-1.849999973133,2.045012683082,0.491843824527999)); #521366=CARTESIAN_POINT('Origin',(0.,0.545012704866,5.08460913699604E-5)); #521367=CARTESIAN_POINT('',(0.64999999056,-2.404987252291,5.08460913699604E-5)); #521368=CARTESIAN_POINT('',(-3.749999945539,-2.404987252291,5.08460913699604E-5)); #521369=CARTESIAN_POINT('',(0.64999999056,-2.404987252291,5.08460913699604E-5)); #521370=CARTESIAN_POINT('Origin',(1.849999973133,-2.404987252291,0.800050834473)); #521371=CARTESIAN_POINT('',(1.849999973133,-2.404987252291,0.800050834473)); #521372=CARTESIAN_POINT('',(1.849999973133,-0.954987273349,0.491843824527999)); #521373=CARTESIAN_POINT('',(1.849999973133,2.045012683082,0.491843824527999)); #521374=CARTESIAN_POINT('',(1.849999973133,-2.404987252291,5.08460913699604E-5)); #521375=CARTESIAN_POINT('',(1.849999973133,-2.404987252291,5.08460913699604E-5)); #521376=CARTESIAN_POINT('',(1.849999973133,-2.404987252291,0.800050834473)); #521377=CARTESIAN_POINT('Origin',(0.64999999056,-2.404987252291,0.800050834473)); #521378=CARTESIAN_POINT('',(0.64999999056,-2.404987252291,0.800050834473)); #521379=CARTESIAN_POINT('',(0.64999999056,-0.954987273349,0.491843824527999)); #521380=CARTESIAN_POINT('',(0.64999999056,-2.404987252291,0.800050834473)); #521381=CARTESIAN_POINT('',(0.64999999056,2.045012683082,0.491843824527999)); #521382=CARTESIAN_POINT('Origin',(0.,0.545012704866,5.08460913699604E-5)); #521383=CARTESIAN_POINT('',(3.749999945539,-2.404987252291,5.08460913699604E-5)); #521384=CARTESIAN_POINT('',(-3.749999945539,-2.404987252291,5.08460913699604E-5)); #521385=CARTESIAN_POINT('',(3.749999945539,3.495012662024,5.08460913699604E-5)); #521386=CARTESIAN_POINT('Origin',(0.,0.545012704866,7.000050744431)); #521387=CARTESIAN_POINT('',(-3.2499999528,-0.604987278432,7.000050744431)); #521388=CARTESIAN_POINT('',(-3.749999945539,-0.604987278432,7.000050744431)); #521389=CARTESIAN_POINT('',(-2.949999957157,-0.604987278432,7.000050744431)); #521390=CARTESIAN_POINT('',(-3.2499999528,2.995012669285,7.000050744431)); #521391=CARTESIAN_POINT('',(-3.2499999528,2.695012673642,7.000050744431)); #521392=CARTESIAN_POINT('',(3.2499999528,2.995012669285,7.000050744431)); #521393=CARTESIAN_POINT('',(2.949999957157,2.995012669285,7.000050744431)); #521394=CARTESIAN_POINT('',(3.2499999528,-0.604987278432,7.000050744431)); #521395=CARTESIAN_POINT('',(3.2499999528,-0.604987278432,7.000050744431)); #521396=CARTESIAN_POINT('',(3.749999945539,-0.604987278432,7.000050744431)); #521397=CARTESIAN_POINT('',(2.949999957157,-0.604987278432,7.000050744431)); #521398=CARTESIAN_POINT('',(3.749999945539,3.495012662024,7.000050744431)); #521399=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,7.000050744431)); #521400=CARTESIAN_POINT('Origin',(3.749999945539,3.495012662024,7.000050744431)); #521401=CARTESIAN_POINT('',(3.749999945539,-1.104987271171,4.000050788)); #521402=CARTESIAN_POINT('',(3.749999945539,-0.604987278432,4.000050788)); #521403=CARTESIAN_POINT('',(3.749999945539,-1.604987263909,4.000050788)); #521404=CARTESIAN_POINT('',(3.749999945539,-1.604987263909,4.500050780738)); #521405=CARTESIAN_POINT('Origin',(3.749999945539,-1.104987271171,4.500050780738)); #521406=CARTESIAN_POINT('',(3.749999945539,-1.604987263909,4.000050788)); #521407=CARTESIAN_POINT('',(3.749999945539,-2.404987252291,7.000050744431)); #521408=CARTESIAN_POINT('',(3.749999945539,-0.604987278432,4.000050788)); #521409=CARTESIAN_POINT('Origin',(-3.749999945539,3.495012662024,7.000050744431)); #521410=CARTESIAN_POINT('',(-3.749999945539,-1.604987263909,4.500050780738)); #521411=CARTESIAN_POINT('',(-3.749999945539,-1.604987263909,4.000050788)); #521412=CARTESIAN_POINT('',(-3.749999945539,-1.104987271171,4.000050788)); #521413=CARTESIAN_POINT('Origin',(-3.749999945539,-1.104987271171,4.500050780738)); #521414=CARTESIAN_POINT('',(-3.749999945539,-0.604987278432,4.000050788)); #521415=CARTESIAN_POINT('',(-3.749999945539,-1.604987263909,4.000050788)); #521416=CARTESIAN_POINT('',(-3.749999945539,-0.604987278432,4.000050788)); #521417=CARTESIAN_POINT('',(-3.749999945539,-2.404987252291,5.08460913699604E-5)); #521418=CARTESIAN_POINT('',(-3.749999945539,3.495012662024,5.08460913699604E-5)); #521419=CARTESIAN_POINT('',(-3.749999945539,-2.404987252291,7.000050744431)); #521420=CARTESIAN_POINT('Origin',(-3.749999945539,-2.404987252291,7.000050744431)); #521421=CARTESIAN_POINT('',(1.249999981846,-2.404987252291,4.000050788)); #521422=CARTESIAN_POINT('',(2.249999967323,-2.404987252291,5.000050773477)); #521423=CARTESIAN_POINT('Origin',(1.249999981846,-2.404987252291,5.000050773477)); #521424=CARTESIAN_POINT('',(-1.249999981846,-2.404987252291,4.000050788)); #521425=CARTESIAN_POINT('',(-1.249999981846,-2.404987252291,4.000050788)); #521426=CARTESIAN_POINT('',(-2.249999967323,-2.404987252291,5.000050773477)); #521427=CARTESIAN_POINT('Origin',(-1.249999981846,-2.404987252291,5.000050773477)); #521428=CARTESIAN_POINT('',(-2.249999967323,-2.404987252291,6.000050758954)); #521429=CARTESIAN_POINT('',(-2.249999967323,-2.404987252291,6.000050758954)); #521430=CARTESIAN_POINT('',(-1.94999997168,-2.404987252291,6.300050754597)); #521431=CARTESIAN_POINT('',(-1.94999997168,-2.404987252291,6.300050754597)); #521432=CARTESIAN_POINT('',(-2.249999967323,-2.404987252291,6.60005075024)); #521433=CARTESIAN_POINT('',(-2.249999967323,-2.404987252291,6.60005075024)); #521434=CARTESIAN_POINT('',(-2.249999967323,-2.404987252291,7.000050744431)); #521435=CARTESIAN_POINT('',(-3.749999945539,-2.404987252291,5.08460913699604E-5)); #521436=CARTESIAN_POINT('',(-1.849999973133,-2.404987252291,0.800050834473)); #521437=CARTESIAN_POINT('',(0.64999999056,-2.404987252291,0.800050834473)); #521438=CARTESIAN_POINT('',(2.249999967323,-2.404987252291,6.60005075024)); #521439=CARTESIAN_POINT('',(2.249999967323,-2.404987252291,7.000050744431)); #521440=CARTESIAN_POINT('',(1.94999997168,-2.404987252291,6.300050754597)); #521441=CARTESIAN_POINT('',(2.249999967323,-2.404987252291,6.60005075024)); #521442=CARTESIAN_POINT('',(2.249999967323,-2.404987252291,6.000050758954)); #521443=CARTESIAN_POINT('',(1.94999997168,-2.404987252291,6.300050754597)); #521444=CARTESIAN_POINT('',(2.249999967323,-2.404987252291,6.000050758954)); #521445=CARTESIAN_POINT('Origin',(0.,0.545012704866,5.08460913699604E-5)); #521446=CARTESIAN_POINT('Origin',(-2.949999957157,-1.604987263909,2.000050817045)); #521447=CARTESIAN_POINT('',(-2.249999967323,-1.604987263909,5.000050773477)); #521448=CARTESIAN_POINT('',(-1.249999981846,-1.604987263909,4.000050788)); #521449=CARTESIAN_POINT('Origin',(-1.249999981846,-1.604987263909,5.000050773477)); #521450=CARTESIAN_POINT('',(1.249999981846,-1.604987263909,4.000050788)); #521451=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,4.000050788)); #521452=CARTESIAN_POINT('',(2.249999967323,-1.604987263909,5.000050773477)); #521453=CARTESIAN_POINT('Origin',(1.249999981846,-1.604987263909,5.000050773477)); #521454=CARTESIAN_POINT('',(2.249999967323,-1.604987263909,6.000050758954)); #521455=CARTESIAN_POINT('',(2.249999967323,-1.604987263909,2.000050817045)); #521456=CARTESIAN_POINT('',(1.94999997168,-1.604987263909,6.300050754597)); #521457=CARTESIAN_POINT('',(1.649999976037,-1.604987263909,6.60005075024)); #521458=CARTESIAN_POINT('',(2.249999967323,-1.604987263909,6.60005075024)); #521459=CARTESIAN_POINT('',(-2.649999961514,-1.604987263909,1.700050821402)); #521460=CARTESIAN_POINT('',(2.249999967323,-1.604987263909,2.000050817045)); #521461=CARTESIAN_POINT('',(2.949999957157,-1.604987263909,4.500050780738)); #521462=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,4.500050780738)); #521463=CARTESIAN_POINT('',(2.949999957157,-1.604987263909,2.000050817045)); #521464=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,4.500050780738)); #521465=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,2.000050817045)); #521466=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,4.500050780738)); #521467=CARTESIAN_POINT('',(-2.249999967323,-1.604987263909,6.60005075024)); #521468=CARTESIAN_POINT('',(-2.249999967323,-1.604987263909,2.000050817045)); #521469=CARTESIAN_POINT('',(-1.94999997168,-1.604987263909,6.300050754597)); #521470=CARTESIAN_POINT('',(-0.299999995643,-1.604987263909,4.65005077856)); #521471=CARTESIAN_POINT('',(-2.249999967323,-1.604987263909,6.000050758954)); #521472=CARTESIAN_POINT('',(-4.599999933194,-1.604987263909,3.650050793083)); #521473=CARTESIAN_POINT('',(-2.249999967323,-1.604987263909,2.000050817045)); #521474=CARTESIAN_POINT('Origin',(-2.949999957157,2.695012673642,2.000050817045)); #521475=CARTESIAN_POINT('',(-2.949999957157,2.695012673642,6.700050748788)); #521476=CARTESIAN_POINT('',(-2.949999957157,2.695012673642,2.000050817045)); #521477=CARTESIAN_POINT('',(-2.949999957157,-0.604987278432,6.700050748788)); #521478=CARTESIAN_POINT('',(-2.949999957157,-0.604987278432,6.700050748788)); #521479=CARTESIAN_POINT('',(-2.949999957157,-0.604987278432,4.000050788)); #521480=CARTESIAN_POINT('',(-2.949999957157,-0.604987278432,4.000050788)); #521481=CARTESIAN_POINT('',(-2.949999957157,-1.104987271171,4.000050788)); #521482=CARTESIAN_POINT('',(-2.949999957157,-1.604987263909,4.000050788)); #521483=CARTESIAN_POINT('Origin',(-2.949999957157,-1.104987271171,4.500050780738)); #521484=CARTESIAN_POINT('Origin',(2.949999957157,2.695012673642,2.000050817045)); #521485=CARTESIAN_POINT('',(2.949999957157,2.695012673642,6.700050748788)); #521486=CARTESIAN_POINT('',(2.949999957157,2.695012673642,2.000050817045)); #521487=CARTESIAN_POINT('',(-2.949999957157,2.695012673642,6.700050748788)); #521488=CARTESIAN_POINT('Origin',(2.949999957157,-1.604987263909,2.000050817045)); #521489=CARTESIAN_POINT('',(2.949999957157,-0.604987278432,4.000050788)); #521490=CARTESIAN_POINT('',(2.949999957157,-0.604987278432,6.700050748788)); #521491=CARTESIAN_POINT('',(2.949999957157,-0.604987278432,4.000050788)); #521492=CARTESIAN_POINT('',(2.949999957157,2.695012673642,6.700050748788)); #521493=CARTESIAN_POINT('',(2.949999957157,-1.104987271171,4.000050788)); #521494=CARTESIAN_POINT('Origin',(2.949999957157,-1.104987271171,4.500050780738)); #521495=CARTESIAN_POINT('',(2.949999957157,-1.604987263909,4.000050788)); #521496=CARTESIAN_POINT('Origin',(2.249999967323,-1.404987266814,7.000050744431)); #521497=CARTESIAN_POINT('',(2.249999967323,-1.404987266814,6.60005075024)); #521498=CARTESIAN_POINT('Origin',(2.249999967323,-1.404987266814,6.60005075024)); #521499=CARTESIAN_POINT('',(1.94999997168,-1.404987266814,6.300050754597)); #521500=CARTESIAN_POINT('Origin',(1.94999997168,-1.404987266814,6.300050754597)); #521501=CARTESIAN_POINT('',(2.249999967323,-1.404987266814,6.000050758954)); #521502=CARTESIAN_POINT('Origin',(2.249999967323,-1.404987266814,6.000050758954)); #521503=CARTESIAN_POINT('',(2.249999967323,-1.404987266814,5.000050773477)); #521504=CARTESIAN_POINT('Origin',(1.249999981846,-1.404987266814,5.000050773477)); #521505=CARTESIAN_POINT('',(1.249999981846,-1.404987266814,4.000050788)); #521506=CARTESIAN_POINT('Origin',(-2.249999967323,-1.404987266814,7.000050744431)); #521507=CARTESIAN_POINT('',(-2.249999967323,-1.404987266814,6.60005075024)); #521508=CARTESIAN_POINT('Origin',(-1.249999981846,-1.404987266814,4.000050788)); #521509=CARTESIAN_POINT('',(-1.249999981846,-1.404987266814,4.000050788)); #521510=CARTESIAN_POINT('Origin',(-1.249999981846,-1.404987266814,5.000050773477)); #521511=CARTESIAN_POINT('',(-2.249999967323,-1.404987266814,5.000050773477)); #521512=CARTESIAN_POINT('Origin',(-2.249999967323,-1.404987266814,6.000050758954)); #521513=CARTESIAN_POINT('',(-2.249999967323,-1.404987266814,6.000050758954)); #521514=CARTESIAN_POINT('Origin',(-1.94999997168,-1.404987266814,6.300050754597)); #521515=CARTESIAN_POINT('',(-1.94999997168,-1.404987266814,6.300050754597)); #521516=CARTESIAN_POINT('Origin',(-2.249999967323,-1.404987266814,6.60005075024)); #521517=CARTESIAN_POINT('Origin',(0.64999999056,-0.954987273349,0.491843824527999)); #521518=CARTESIAN_POINT('Origin',(0.64999999056,2.045012683082,0.491843824527999)); #521519=CARTESIAN_POINT('Origin',(-1.849999973133,-0.954987273349,0.491843824527999)); #521520=CARTESIAN_POINT('Origin',(-1.849999973133,2.045012683082,0.491843824527999)); #521521=CARTESIAN_POINT('Origin',(1.549999977489,0.295012708497,6.500050751692)); #521522=CARTESIAN_POINT('',(1.549999977489,0.295012708497,6.000050758954)); #521523=CARTESIAN_POINT('',(1.549999977489,0.295012708497,6.500050751692)); #521524=CARTESIAN_POINT('',(1.549999977489,-0.304987282789,6.000050758954)); #521525=CARTESIAN_POINT('',(1.549999977489,-0.304987282789,6.000050758954)); #521526=CARTESIAN_POINT('',(1.549999977489,-0.304987282789,6.500050751692)); #521527=CARTESIAN_POINT('Origin',(0.949999986203,0.295012708497,6.500050751692)); #521528=CARTESIAN_POINT('',(0.949999986203,0.295012708497,6.000050758954)); #521529=CARTESIAN_POINT('',(0.949999986203,0.295012708497,6.500050751692)); #521530=CARTESIAN_POINT('',(1.549999977489,0.295012708497,6.000050758954)); #521531=CARTESIAN_POINT('Origin',(0.949999986203,0.295012708497,6.500050751692)); #521532=CARTESIAN_POINT('',(0.949999986203,-0.304987282789,6.000050758954)); #521533=CARTESIAN_POINT('',(0.949999986203,-0.304987282789,6.500050751692)); #521534=CARTESIAN_POINT('',(0.949999986203,0.295012708497,6.000050758954)); #521535=CARTESIAN_POINT('Origin',(0.949999986203,-0.304987282789,6.500050751692)); #521536=CARTESIAN_POINT('',(0.949999986203,-0.304987282789,6.000050758954)); #521537=CARTESIAN_POINT('Origin',(0.,0.545012704866,6.500050751692)); #521538=CARTESIAN_POINT('',(1.131985100693,0.113027594007,6.500050751692)); #521539=CARTESIAN_POINT('',(1.131985100693,-0.123002168299,6.500050751692)); #521540=CARTESIAN_POINT('',(1.131985100693,-0.304987282789,6.500050751692)); #521541=CARTESIAN_POINT('',(1.368014862999,-0.123002168299,6.500050751692)); #521542=CARTESIAN_POINT('',(1.549999977489,-0.123002168299,6.500050751692)); #521543=CARTESIAN_POINT('',(1.368014862999,0.113027594007,6.500050751692)); #521544=CARTESIAN_POINT('',(1.368014862999,0.295012708497,6.500050751692)); #521545=CARTESIAN_POINT('',(0.949999986203,0.113027594007,6.500050751692)); #521546=CARTESIAN_POINT('Origin',(0.,0.113027594007,6.500050751692)); #521547=CARTESIAN_POINT('',(1.413255385741,0.158268116749,6.375753437015)); #521548=CARTESIAN_POINT('',(1.013435649789,0.231577044912,6.174338812243)); #521549=CARTESIAN_POINT('Origin',(1.131985100693,0.545012704866,6.500050751692)); #521550=CARTESIAN_POINT('',(1.201944322207,-0.05304294678499,6.692262133084)); #521551=CARTESIAN_POINT('Origin',(1.368014862999,0.545012704866,6.500050751692)); #521552=CARTESIAN_POINT('',(1.298055641485,-0.05304294678499,6.692262133084)); #521553=CARTESIAN_POINT('Origin',(0.,-0.123002168299,6.500050751692)); #521554=CARTESIAN_POINT('Origin',(-0.949999986203,0.295012708497,6.500050751692)); #521555=CARTESIAN_POINT('',(-0.949999986203,0.295012708497,6.000050758954)); #521556=CARTESIAN_POINT('',(-0.949999986203,0.295012708497,6.500050751692)); #521557=CARTESIAN_POINT('',(-0.949999986203,-0.304987282789,6.000050758954)); #521558=CARTESIAN_POINT('',(-0.949999986203,-0.304987282789,6.000050758954)); #521559=CARTESIAN_POINT('',(-0.949999986203,-0.304987282789,6.500050751692)); #521560=CARTESIAN_POINT('Origin',(-1.549999977489,0.295012708497,6.500050751692)); #521561=CARTESIAN_POINT('',(-1.549999977489,0.295012708497,6.000050758954)); #521562=CARTESIAN_POINT('',(-1.549999977489,0.295012708497,6.500050751692)); #521563=CARTESIAN_POINT('',(-0.949999986203,0.295012708497,6.000050758954)); #521564=CARTESIAN_POINT('Origin',(-1.549999977489,0.295012708497,6.500050751692)); #521565=CARTESIAN_POINT('',(-1.549999977489,-0.304987282789,6.000050758954)); #521566=CARTESIAN_POINT('',(-1.549999977489,-0.304987282789,6.500050751692)); #521567=CARTESIAN_POINT('',(-1.549999977489,0.295012708497,6.000050758954)); #521568=CARTESIAN_POINT('Origin',(-1.549999977489,-0.304987282789,6.500050751692)); #521569=CARTESIAN_POINT('',(-1.549999977489,-0.304987282789,6.000050758954)); #521570=CARTESIAN_POINT('Origin',(-2.499999963693,0.545012704866,6.500050751692)); #521571=CARTESIAN_POINT('',(-1.368014862999,0.113027594007,6.500050751692)); #521572=CARTESIAN_POINT('',(-1.368014862999,-0.123002168299,6.500050751692)); #521573=CARTESIAN_POINT('',(-1.368014862999,-0.304987282789,6.500050751692)); #521574=CARTESIAN_POINT('',(-1.131985100693,-0.123002168299,6.500050751692)); #521575=CARTESIAN_POINT('',(-0.949999986203,-0.123002168299,6.500050751692)); #521576=CARTESIAN_POINT('',(-1.131985100693,0.113027594007,6.500050751692)); #521577=CARTESIAN_POINT('',(-1.131985100693,0.295012708497,6.500050751692)); #521578=CARTESIAN_POINT('',(-1.549999977489,0.113027594007,6.500050751692)); #521579=CARTESIAN_POINT('Origin',(-2.499999963693,0.113027594007,6.500050751692)); #521580=CARTESIAN_POINT('',(-1.086744577951,0.158268116749,6.375753437015)); #521581=CARTESIAN_POINT('',(-1.486564313904,0.231577044912,6.174338812243)); #521582=CARTESIAN_POINT('Origin',(-1.368014862999,0.545012704866,6.500050751692)); #521583=CARTESIAN_POINT('',(-1.298055641485,-0.05304294678499,6.692262133084)); #521584=CARTESIAN_POINT('Origin',(-1.131985100693,0.545012704866,6.500050751692)); #521585=CARTESIAN_POINT('',(-1.201944322207,-0.05304294678499,6.692262133084)); #521586=CARTESIAN_POINT('Origin',(-2.499999963693,-0.123002168299,6.500050751692)); #521587=CARTESIAN_POINT('Origin',(1.569999977199,-0.324987282499,2.000050817045)); #521588=CARTESIAN_POINT('',(0.929999986494,-0.324987282499,-2.899949111792)); #521589=CARTESIAN_POINT('',(0.929999986494,-0.324987282499,2.000050817045)); #521590=CARTESIAN_POINT('',(1.569999977199,-0.324987282499,-2.899949111792)); #521591=CARTESIAN_POINT('',(1.569999977199,-0.324987282499,-2.899949111792)); #521592=CARTESIAN_POINT('',(1.569999977199,-0.324987282499,2.000050817045)); #521593=CARTESIAN_POINT('Origin',(1.569999977199,0.315012708207,-3.399949104531)); #521594=CARTESIAN_POINT('',(1.388014862709,0.133027593717,-3.399949104531)); #521595=CARTESIAN_POINT('',(1.388014862709,-0.143002168009,-3.399949104531)); #521596=CARTESIAN_POINT('',(1.388014862709,-0.324987282499,-3.399949104531)); #521597=CARTESIAN_POINT('',(1.111985100984,-0.143002168009,-3.399949104531)); #521598=CARTESIAN_POINT('',(0.929999986494,-0.143002168009,-3.399949104531)); #521599=CARTESIAN_POINT('',(1.111985100984,0.133027593717,-3.399949104531)); #521600=CARTESIAN_POINT('',(1.111985100984,0.315012708207,-3.399949104531)); #521601=CARTESIAN_POINT('',(1.569999977199,0.133027593717,-3.399949104531)); #521602=CARTESIAN_POINT('Origin',(1.569999977199,0.315012708207,2.000050817045)); #521603=CARTESIAN_POINT('',(1.569999977199,0.315012708207,-2.899949111792)); #521604=CARTESIAN_POINT('',(1.569999977199,0.315012708207,2.000050817045)); #521605=CARTESIAN_POINT('',(0.929999986494,0.315012708207,-2.899949111792)); #521606=CARTESIAN_POINT('',(1.569999977199,0.315012708207,-2.899949111792)); #521607=CARTESIAN_POINT('',(0.929999986494,0.315012708207,2.000050817045)); #521608=CARTESIAN_POINT('Origin',(1.569999977199,0.545012704866,5.08460913699604E-5)); #521609=CARTESIAN_POINT('',(1.569999977199,0.315012708207,-2.899949111792)); #521610=CARTESIAN_POINT('Origin',(0.929999986494,0.545012704866,5.08460913699604E-5)); #521611=CARTESIAN_POINT('',(0.929999986494,0.545012704866,-2.899949111792)); #521612=CARTESIAN_POINT('Origin',(1.569999977199,0.315012708207,-2.899949111792)); #521613=CARTESIAN_POINT('',(0.90591276724,0.33909992746,-2.833770020796)); #521614=CARTESIAN_POINT('',(1.569999977199,0.315012708207,-2.899949111792)); #521615=CARTESIAN_POINT('Origin',(1.388014862709,0.315012708207,-3.399949104531)); #521616=CARTESIAN_POINT('',(1.340048319644,-0.09503562494337,-3.531736098491)); #521617=CARTESIAN_POINT('Origin',(0.929999986494,0.545012704866,-2.899949111792)); #521618=CARTESIAN_POINT('',(1.021112511496,-0.233874757496,-3.150278716866)); #521619=CARTESIAN_POINT('Origin',(1.569999977199,-0.143002168009,-3.399949104531)); #521620=CARTESIAN_POINT('Origin',(-0.929999986494,-0.324987282499,2.000050817045)); #521621=CARTESIAN_POINT('',(-1.569999977199,-0.324987282499,-2.899949111792)); #521622=CARTESIAN_POINT('',(-1.569999977199,-0.324987282499,2.000050817045)); #521623=CARTESIAN_POINT('',(-0.929999986494,-0.324987282499,-2.899949111792)); #521624=CARTESIAN_POINT('',(-0.929999986494,-0.324987282499,-2.899949111792)); #521625=CARTESIAN_POINT('',(-0.929999986494,-0.324987282499,2.000050817045)); #521626=CARTESIAN_POINT('Origin',(-0.929999986494,0.315012708207,-3.399949104531)); #521627=CARTESIAN_POINT('',(-1.111985100984,0.133027593717,-3.399949104531)); #521628=CARTESIAN_POINT('',(-1.111985100984,-0.143002168009,-3.399949104531)); #521629=CARTESIAN_POINT('',(-1.111985100984,-0.324987282499,-3.399949104531)); #521630=CARTESIAN_POINT('',(-1.388014862709,-0.143002168009,-3.399949104531)); #521631=CARTESIAN_POINT('',(-1.569999977199,-0.143002168009,-3.399949104531)); #521632=CARTESIAN_POINT('',(-1.388014862709,0.133027593717,-3.399949104531)); #521633=CARTESIAN_POINT('',(-1.388014862709,0.315012708207,-3.399949104531)); #521634=CARTESIAN_POINT('',(-0.929999986494,0.133027593717,-3.399949104531)); #521635=CARTESIAN_POINT('Origin',(-0.929999986494,0.315012708207,2.000050817045)); #521636=CARTESIAN_POINT('',(-0.929999986494,0.315012708207,-2.899949111792)); #521637=CARTESIAN_POINT('',(-0.929999986494,0.315012708207,2.000050817045)); #521638=CARTESIAN_POINT('',(-1.569999977199,0.315012708207,-2.899949111792)); #521639=CARTESIAN_POINT('',(-0.929999986494,0.315012708207,-2.899949111792)); #521640=CARTESIAN_POINT('',(-1.569999977199,0.315012708207,2.000050817045)); #521641=CARTESIAN_POINT('Origin',(-0.929999986494,0.545012704866,5.08460913699604E-5)); #521642=CARTESIAN_POINT('',(-0.929999986494,0.315012708207,-2.899949111792)); #521643=CARTESIAN_POINT('Origin',(-1.569999977199,0.545012704866,5.08460913699604E-5)); #521644=CARTESIAN_POINT('',(-1.569999977199,0.545012704866,-2.899949111792)); #521645=CARTESIAN_POINT('Origin',(-0.929999986494,0.315012708207,-2.899949111792)); #521646=CARTESIAN_POINT('',(-1.594087196452,0.33909992746,-2.833770020796)); #521647=CARTESIAN_POINT('',(-0.929999986494,0.315012708207,-2.899949111792)); #521648=CARTESIAN_POINT('Origin',(-1.111985100984,0.315012708207,-3.399949104531)); #521649=CARTESIAN_POINT('',(-1.159951644049,-0.09503562494337,-3.531736098491)); #521650=CARTESIAN_POINT('Origin',(-1.569999977199,0.545012704866,-2.899949111792)); #521651=CARTESIAN_POINT('',(-1.478887452197,-0.233874757496,-3.150278716866)); #521652=CARTESIAN_POINT('Origin',(-0.929999986494,-0.143002168009,-3.399949104531)); #521653=CARTESIAN_POINT('Origin',(2.949999957157,-0.604987278432,4.000050788)); #521654=CARTESIAN_POINT('',(2.949999957157,-0.604987278432,6.700050748788)); #521655=CARTESIAN_POINT('',(2.949999957157,-0.604987278432,4.000050788)); #521656=CARTESIAN_POINT('Origin',(0.,0.545012704866,4.000050788)); #521657=CARTESIAN_POINT('',(0.,-1.104987271171,4.000050788)); #521658=CARTESIAN_POINT('Origin',(-2.949999957157,-0.604987278432,4.000050788)); #521659=CARTESIAN_POINT('',(-2.949999957157,-0.604987278432,6.700050748788)); #521660=CARTESIAN_POINT('',(-2.949999957157,-0.604987278432,4.000050788)); #521661=CARTESIAN_POINT('Origin',(0.,0.545012704866,4.000050788)); #521662=CARTESIAN_POINT('',(0.,-1.104987271171,4.000050788)); #521663=CARTESIAN_POINT('Origin',(0.,-1.104987271171,4.500050780738)); #521664=CARTESIAN_POINT('Origin',(0.,-1.104987271171,4.500050780738)); #521665=CARTESIAN_POINT('Origin',(2.949999957157,-1.604987263909,6.700050748788)); #521666=CARTESIAN_POINT('',(2.949999957157,2.695012673642,6.700050748788)); #521667=CARTESIAN_POINT('Origin',(2.949999957157,2.695012673642,6.700050748788)); #521668=CARTESIAN_POINT('',(-2.949999957157,2.695012673642,6.700050748788)); #521669=CARTESIAN_POINT('Origin',(-2.949999957157,2.695012673642,6.700050748788)); #521670=CARTESIAN_POINT('',(0.,0.,0.)); #521671=CARTESIAN_POINT('',(0.,0.,0.)); #521672=CARTESIAN_POINT('',(0.,0.,0.)); #521673=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.41)); #521674=CARTESIAN_POINT('',(-0.85,-0.41,0.41)); #521675=CARTESIAN_POINT('',(-0.81,-0.41,0.45)); #521676=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.41)); #521677=CARTESIAN_POINT('',(-0.81,-0.45,0.41)); #521678=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.41)); #521679=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.41)); #521680=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.41)); #521681=CARTESIAN_POINT('',(-0.39,-0.45,0.41)); #521682=CARTESIAN_POINT('',(-0.39,-0.41,0.45)); #521683=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.41)); #521684=CARTESIAN_POINT('',(-0.35,-0.41,0.41)); #521685=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.41)); #521686=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.41)); #521687=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.04)); #521688=CARTESIAN_POINT('',(-0.85,-0.41,0.04)); #521689=CARTESIAN_POINT('',(-0.81,-0.45,0.04)); #521690=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.04)); #521691=CARTESIAN_POINT('',(-0.81,-0.41,0.)); #521692=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.04)); #521693=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.04)); #521694=CARTESIAN_POINT('Origin',(-0.81,0.41,0.41)); #521695=CARTESIAN_POINT('',(-0.81,0.45,0.41)); #521696=CARTESIAN_POINT('',(-0.81,0.41,0.45)); #521697=CARTESIAN_POINT('Origin',(-0.81,0.41,0.41)); #521698=CARTESIAN_POINT('',(-0.85,0.41,0.41)); #521699=CARTESIAN_POINT('Origin',(-0.81,0.41,0.41)); #521700=CARTESIAN_POINT('Origin',(-0.81,0.41,0.41)); #521701=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.04)); #521702=CARTESIAN_POINT('',(-0.39,-0.45,0.04)); #521703=CARTESIAN_POINT('',(-0.35,-0.41,0.04)); #521704=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.04)); #521705=CARTESIAN_POINT('',(-0.39,-0.41,0.)); #521706=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.04)); #521707=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.04)); #521708=CARTESIAN_POINT('Origin',(-0.725,-0.41,0.04)); #521709=CARTESIAN_POINT('',(-0.725,-0.45,0.04)); #521710=CARTESIAN_POINT('',(-0.725,-0.41,0.)); #521711=CARTESIAN_POINT('Origin',(-0.39,0.41,0.41)); #521712=CARTESIAN_POINT('',(-0.35,0.41,0.41)); #521713=CARTESIAN_POINT('',(-0.39,0.41,0.45)); #521714=CARTESIAN_POINT('Origin',(-0.39,0.41,0.41)); #521715=CARTESIAN_POINT('',(-0.39,0.45,0.41)); #521716=CARTESIAN_POINT('Origin',(-0.39,0.41,0.41)); #521717=CARTESIAN_POINT('Origin',(-0.39,0.41,0.41)); #521718=CARTESIAN_POINT('Origin',(-0.81,0.41,0.04)); #521719=CARTESIAN_POINT('',(-0.81,0.41,0.)); #521720=CARTESIAN_POINT('',(-0.81,0.45,0.04)); #521721=CARTESIAN_POINT('Origin',(-0.81,0.41,0.04)); #521722=CARTESIAN_POINT('',(-0.85,0.41,0.04)); #521723=CARTESIAN_POINT('Origin',(-0.81,0.41,0.04)); #521724=CARTESIAN_POINT('Origin',(-0.81,0.41,0.04)); #521725=CARTESIAN_POINT('Origin',(-0.81,0.225,0.04)); #521726=CARTESIAN_POINT('',(-0.85,0.225,0.04)); #521727=CARTESIAN_POINT('',(-0.81,0.225,0.)); #521728=CARTESIAN_POINT('Origin',(-0.81,0.41,0.)); #521729=CARTESIAN_POINT('',(-0.81,0.45,0.)); #521730=CARTESIAN_POINT('',(-0.85,0.41,0.)); #521731=CARTESIAN_POINT('Origin',(-0.39,0.41,0.04)); #521732=CARTESIAN_POINT('',(-0.35,0.41,0.04)); #521733=CARTESIAN_POINT('',(-0.39,0.45,0.04)); #521734=CARTESIAN_POINT('Origin',(-0.39,0.41,0.04)); #521735=CARTESIAN_POINT('',(-0.39,0.41,0.)); #521736=CARTESIAN_POINT('Origin',(-0.39,0.41,0.04)); #521737=CARTESIAN_POINT('Origin',(-0.39,0.41,0.04)); #521738=CARTESIAN_POINT('Origin',(-0.39,-0.225,0.04)); #521739=CARTESIAN_POINT('',(-0.39,-0.225,0.)); #521740=CARTESIAN_POINT('',(-0.35,-0.225,0.04)); #521741=CARTESIAN_POINT('Origin',(-0.475,0.41,0.04)); #521742=CARTESIAN_POINT('',(-0.475,0.45,0.04)); #521743=CARTESIAN_POINT('',(-0.475,0.41,0.)); #521744=CARTESIAN_POINT('Origin',(-0.39,0.41,0.)); #521745=CARTESIAN_POINT('',(-0.35,0.41,0.)); #521746=CARTESIAN_POINT('',(-0.39,0.45,0.)); #521747=CARTESIAN_POINT('Origin',(-0.475,0.41,0.41)); #521748=CARTESIAN_POINT('',(-0.475,0.41,0.45)); #521749=CARTESIAN_POINT('',(-0.475,0.45,0.41)); #521750=CARTESIAN_POINT('Origin',(-0.39,-0.225,0.41)); #521751=CARTESIAN_POINT('',(-0.39,-0.225,0.45)); #521752=CARTESIAN_POINT('',(-0.35,-0.225,0.41)); #521753=CARTESIAN_POINT('Origin',(-0.39,-0.41,0.)); #521754=CARTESIAN_POINT('',(-0.39,-0.45,0.)); #521755=CARTESIAN_POINT('',(-0.35,-0.41,0.)); #521756=CARTESIAN_POINT('Origin',(-0.81,0.225,0.41)); #521757=CARTESIAN_POINT('',(-0.81,0.225,0.45)); #521758=CARTESIAN_POINT('',(-0.85,0.225,0.41)); #521759=CARTESIAN_POINT('Origin',(-0.81,-0.41,0.)); #521760=CARTESIAN_POINT('',(-0.85,-0.41,0.)); #521761=CARTESIAN_POINT('',(-0.81,-0.45,0.)); #521762=CARTESIAN_POINT('Origin',(-0.725,-0.41,0.41)); #521763=CARTESIAN_POINT('',(-0.725,-0.41,0.45)); #521764=CARTESIAN_POINT('',(-0.725,-0.45,0.41)); #521765=CARTESIAN_POINT('Origin',(-0.85,-0.45,0.)); #521766=CARTESIAN_POINT('Origin',(-0.6,0.,0.)); #521767=CARTESIAN_POINT('Origin',(-0.85,0.45,0.)); #521768=CARTESIAN_POINT('Origin',(-0.35,0.45,0.)); #521769=CARTESIAN_POINT('Origin',(-0.35,-0.45,0.)); #521770=CARTESIAN_POINT('Origin',(-0.6,0.,0.45)); #521771=CARTESIAN_POINT('Origin',(0.39,-0.41,0.41)); #521772=CARTESIAN_POINT('',(0.35,-0.41,0.41)); #521773=CARTESIAN_POINT('',(0.39,-0.41,0.45)); #521774=CARTESIAN_POINT('Origin',(0.39,-0.41,0.41)); #521775=CARTESIAN_POINT('',(0.39,-0.45,0.41)); #521776=CARTESIAN_POINT('Origin',(0.39,-0.41,0.41)); #521777=CARTESIAN_POINT('Origin',(0.39,-0.41,0.41)); #521778=CARTESIAN_POINT('Origin',(0.81,-0.41,0.41)); #521779=CARTESIAN_POINT('',(0.81,-0.45,0.41)); #521780=CARTESIAN_POINT('',(0.81,-0.41,0.45)); #521781=CARTESIAN_POINT('Origin',(0.81,-0.41,0.41)); #521782=CARTESIAN_POINT('',(0.85,-0.41,0.41)); #521783=CARTESIAN_POINT('Origin',(0.81,-0.41,0.41)); #521784=CARTESIAN_POINT('Origin',(0.81,-0.41,0.41)); #521785=CARTESIAN_POINT('Origin',(0.39,-0.41,0.04)); #521786=CARTESIAN_POINT('',(0.35,-0.41,0.04)); #521787=CARTESIAN_POINT('',(0.39,-0.45,0.04)); #521788=CARTESIAN_POINT('Origin',(0.39,-0.41,0.04)); #521789=CARTESIAN_POINT('',(0.39,-0.41,0.)); #521790=CARTESIAN_POINT('Origin',(0.39,-0.41,0.04)); #521791=CARTESIAN_POINT('Origin',(0.39,-0.41,0.04)); #521792=CARTESIAN_POINT('Origin',(0.39,0.41,0.41)); #521793=CARTESIAN_POINT('',(0.39,0.45,0.41)); #521794=CARTESIAN_POINT('',(0.39,0.41,0.45)); #521795=CARTESIAN_POINT('Origin',(0.39,0.41,0.41)); #521796=CARTESIAN_POINT('',(0.35,0.41,0.41)); #521797=CARTESIAN_POINT('Origin',(0.39,0.41,0.41)); #521798=CARTESIAN_POINT('Origin',(0.39,0.41,0.41)); #521799=CARTESIAN_POINT('Origin',(0.81,-0.41,0.04)); #521800=CARTESIAN_POINT('',(0.81,-0.45,0.04)); #521801=CARTESIAN_POINT('',(0.85,-0.41,0.04)); #521802=CARTESIAN_POINT('Origin',(0.81,-0.41,0.04)); #521803=CARTESIAN_POINT('',(0.81,-0.41,0.)); #521804=CARTESIAN_POINT('Origin',(0.81,-0.41,0.04)); #521805=CARTESIAN_POINT('Origin',(0.81,-0.41,0.04)); #521806=CARTESIAN_POINT('Origin',(0.475,-0.41,0.04)); #521807=CARTESIAN_POINT('',(0.475,-0.45,0.04)); #521808=CARTESIAN_POINT('',(0.475,-0.41,0.)); #521809=CARTESIAN_POINT('Origin',(0.81,0.41,0.41)); #521810=CARTESIAN_POINT('',(0.85,0.41,0.41)); #521811=CARTESIAN_POINT('',(0.81,0.41,0.45)); #521812=CARTESIAN_POINT('Origin',(0.81,0.41,0.41)); #521813=CARTESIAN_POINT('',(0.81,0.45,0.41)); #521814=CARTESIAN_POINT('Origin',(0.81,0.41,0.41)); #521815=CARTESIAN_POINT('Origin',(0.81,0.41,0.41)); #521816=CARTESIAN_POINT('Origin',(0.39,0.41,0.04)); #521817=CARTESIAN_POINT('',(0.39,0.41,0.)); #521818=CARTESIAN_POINT('',(0.39,0.45,0.04)); #521819=CARTESIAN_POINT('Origin',(0.39,0.41,0.04)); #521820=CARTESIAN_POINT('',(0.35,0.41,0.04)); #521821=CARTESIAN_POINT('Origin',(0.39,0.41,0.04)); #521822=CARTESIAN_POINT('Origin',(0.39,0.41,0.04)); #521823=CARTESIAN_POINT('Origin',(0.39,0.225,0.04)); #521824=CARTESIAN_POINT('',(0.35,0.225,0.04)); #521825=CARTESIAN_POINT('',(0.39,0.225,0.)); #521826=CARTESIAN_POINT('Origin',(0.39,0.41,0.)); #521827=CARTESIAN_POINT('',(0.39,0.45,0.)); #521828=CARTESIAN_POINT('',(0.35,0.41,0.)); #521829=CARTESIAN_POINT('Origin',(0.81,0.41,0.04)); #521830=CARTESIAN_POINT('',(0.85,0.41,0.04)); #521831=CARTESIAN_POINT('',(0.81,0.45,0.04)); #521832=CARTESIAN_POINT('Origin',(0.81,0.41,0.04)); #521833=CARTESIAN_POINT('',(0.81,0.41,0.)); #521834=CARTESIAN_POINT('Origin',(0.81,0.41,0.04)); #521835=CARTESIAN_POINT('Origin',(0.81,0.41,0.04)); #521836=CARTESIAN_POINT('Origin',(0.81,-0.225,0.04)); #521837=CARTESIAN_POINT('',(0.85,-0.225,0.04)); #521838=CARTESIAN_POINT('',(0.81,-0.225,0.)); #521839=CARTESIAN_POINT('Origin',(0.725,0.41,0.04)); #521840=CARTESIAN_POINT('',(0.725,0.45,0.04)); #521841=CARTESIAN_POINT('',(0.725,0.41,0.)); #521842=CARTESIAN_POINT('Origin',(0.81,0.41,0.)); #521843=CARTESIAN_POINT('',(0.85,0.41,0.)); #521844=CARTESIAN_POINT('',(0.81,0.45,0.)); #521845=CARTESIAN_POINT('Origin',(0.725,0.41,0.41)); #521846=CARTESIAN_POINT('',(0.725,0.41,0.45)); #521847=CARTESIAN_POINT('',(0.725,0.45,0.41)); #521848=CARTESIAN_POINT('Origin',(0.81,-0.225,0.41)); #521849=CARTESIAN_POINT('',(0.81,-0.225,0.45)); #521850=CARTESIAN_POINT('',(0.85,-0.225,0.41)); #521851=CARTESIAN_POINT('Origin',(0.81,-0.41,0.)); #521852=CARTESIAN_POINT('',(0.81,-0.45,0.)); #521853=CARTESIAN_POINT('',(0.85,-0.41,0.)); #521854=CARTESIAN_POINT('Origin',(0.39,0.225,0.41)); #521855=CARTESIAN_POINT('',(0.39,0.225,0.45)); #521856=CARTESIAN_POINT('',(0.35,0.225,0.41)); #521857=CARTESIAN_POINT('Origin',(0.39,-0.41,0.)); #521858=CARTESIAN_POINT('',(0.35,-0.41,0.)); #521859=CARTESIAN_POINT('',(0.39,-0.45,0.)); #521860=CARTESIAN_POINT('Origin',(0.475,-0.41,0.41)); #521861=CARTESIAN_POINT('',(0.475,-0.41,0.45)); #521862=CARTESIAN_POINT('',(0.475,-0.45,0.41)); #521863=CARTESIAN_POINT('Origin',(0.35,-0.45,0.)); #521864=CARTESIAN_POINT('Origin',(0.6,0.,0.)); #521865=CARTESIAN_POINT('Origin',(0.35,0.45,0.)); #521866=CARTESIAN_POINT('Origin',(0.85,0.45,0.)); #521867=CARTESIAN_POINT('Origin',(0.85,-0.45,0.)); #521868=CARTESIAN_POINT('Origin',(0.6,0.,0.45)); #521869=CARTESIAN_POINT('Origin',(0.35,-0.45,0.)); #521870=CARTESIAN_POINT('',(0.35,0.45,0.)); #521871=CARTESIAN_POINT('',(0.35,-0.45,0.)); #521872=CARTESIAN_POINT('',(0.35,0.45,0.)); #521873=CARTESIAN_POINT('',(0.35,0.45,0.45)); #521874=CARTESIAN_POINT('',(0.35,0.45,0.)); #521875=CARTESIAN_POINT('',(0.35,-0.45,0.45)); #521876=CARTESIAN_POINT('',(0.35,0.45,0.45)); #521877=CARTESIAN_POINT('',(0.35,-0.45,0.)); #521878=CARTESIAN_POINT('Origin',(-0.35,-0.45,0.)); #521879=CARTESIAN_POINT('',(-0.35,-0.45,0.)); #521880=CARTESIAN_POINT('',(-0.85,-0.45,0.)); #521881=CARTESIAN_POINT('',(-0.35,-0.45,0.45)); #521882=CARTESIAN_POINT('',(-0.85,-0.45,0.45)); #521883=CARTESIAN_POINT('',(-0.35,-0.45,0.)); #521884=CARTESIAN_POINT('Origin',(-0.35,0.45,0.)); #521885=CARTESIAN_POINT('',(-0.35,0.45,0.)); #521886=CARTESIAN_POINT('',(-0.35,0.45,0.)); #521887=CARTESIAN_POINT('',(-0.35,0.45,0.45)); #521888=CARTESIAN_POINT('',(-0.35,0.45,0.45)); #521889=CARTESIAN_POINT('',(-0.35,0.45,0.)); #521890=CARTESIAN_POINT('Origin',(0.35,0.45,0.)); #521891=CARTESIAN_POINT('',(0.85,0.45,0.)); #521892=CARTESIAN_POINT('',(0.85,0.45,0.45)); #521893=CARTESIAN_POINT('Origin',(0.,0.,0.45)); #521894=CARTESIAN_POINT('Origin',(0.,0.,0.)); #521895=CARTESIAN_POINT('',(0.,0.,0.)); #521896=CARTESIAN_POINT('',(0.,0.,0.)); #521897=CARTESIAN_POINT('',(0.,0.,0.)); #521898=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.768)); #521899=CARTESIAN_POINT('',(-0.85,-0.418,0.768)); #521900=CARTESIAN_POINT('',(-0.818,-0.418,0.8)); #521901=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.768)); #521902=CARTESIAN_POINT('',(-0.818,-0.45,0.768)); #521903=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.768)); #521904=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.768)); #521905=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.768)); #521906=CARTESIAN_POINT('',(-0.482,-0.45,0.768)); #521907=CARTESIAN_POINT('',(-0.482,-0.418,0.8)); #521908=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.768)); #521909=CARTESIAN_POINT('',(-0.45,-0.418,0.768)); #521910=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.768)); #521911=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.768)); #521912=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.032)); #521913=CARTESIAN_POINT('',(-0.85,-0.418,0.032)); #521914=CARTESIAN_POINT('',(-0.818,-0.45,0.032)); #521915=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.032)); #521916=CARTESIAN_POINT('',(-0.818,-0.418,0.)); #521917=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.032)); #521918=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.032)); #521919=CARTESIAN_POINT('Origin',(-0.818,0.418,0.768)); #521920=CARTESIAN_POINT('',(-0.818,0.45,0.768)); #521921=CARTESIAN_POINT('',(-0.818,0.418,0.8)); #521922=CARTESIAN_POINT('Origin',(-0.818,0.418,0.768)); #521923=CARTESIAN_POINT('',(-0.85,0.418,0.768)); #521924=CARTESIAN_POINT('Origin',(-0.818,0.418,0.768)); #521925=CARTESIAN_POINT('Origin',(-0.818,0.418,0.768)); #521926=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.032)); #521927=CARTESIAN_POINT('',(-0.482,-0.45,0.032)); #521928=CARTESIAN_POINT('',(-0.45,-0.418,0.032)); #521929=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.032)); #521930=CARTESIAN_POINT('',(-0.482,-0.418,0.)); #521931=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.032)); #521932=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.032)); #521933=CARTESIAN_POINT('Origin',(-0.75,-0.418,0.032)); #521934=CARTESIAN_POINT('',(-0.75,-0.45,0.032)); #521935=CARTESIAN_POINT('',(-0.75,-0.418,0.)); #521936=CARTESIAN_POINT('Origin',(-0.482,0.418,0.768)); #521937=CARTESIAN_POINT('',(-0.45,0.418,0.768)); #521938=CARTESIAN_POINT('',(-0.482,0.418,0.8)); #521939=CARTESIAN_POINT('Origin',(-0.482,0.418,0.768)); #521940=CARTESIAN_POINT('',(-0.482,0.45,0.768)); #521941=CARTESIAN_POINT('Origin',(-0.482,0.418,0.768)); #521942=CARTESIAN_POINT('Origin',(-0.482,0.418,0.768)); #521943=CARTESIAN_POINT('Origin',(-0.818,0.418,0.032)); #521944=CARTESIAN_POINT('',(-0.818,0.418,0.)); #521945=CARTESIAN_POINT('',(-0.818,0.45,0.032)); #521946=CARTESIAN_POINT('Origin',(-0.818,0.418,0.032)); #521947=CARTESIAN_POINT('',(-0.85,0.418,0.032)); #521948=CARTESIAN_POINT('Origin',(-0.818,0.418,0.032)); #521949=CARTESIAN_POINT('Origin',(-0.818,0.418,0.032)); #521950=CARTESIAN_POINT('Origin',(-0.818,0.225,0.032)); #521951=CARTESIAN_POINT('',(-0.85,0.225,0.032)); #521952=CARTESIAN_POINT('',(-0.818,0.225,0.)); #521953=CARTESIAN_POINT('Origin',(-0.818,0.418,0.)); #521954=CARTESIAN_POINT('',(-0.818,0.45,0.)); #521955=CARTESIAN_POINT('',(-0.85,0.418,0.)); #521956=CARTESIAN_POINT('Origin',(-0.482,0.418,0.032)); #521957=CARTESIAN_POINT('',(-0.45,0.418,0.032)); #521958=CARTESIAN_POINT('',(-0.482,0.45,0.032)); #521959=CARTESIAN_POINT('Origin',(-0.482,0.418,0.032)); #521960=CARTESIAN_POINT('',(-0.482,0.418,0.)); #521961=CARTESIAN_POINT('Origin',(-0.482,0.418,0.032)); #521962=CARTESIAN_POINT('Origin',(-0.482,0.418,0.032)); #521963=CARTESIAN_POINT('Origin',(-0.482,-0.225,0.032)); #521964=CARTESIAN_POINT('',(-0.482,-0.225,0.)); #521965=CARTESIAN_POINT('',(-0.45,-0.225,0.032)); #521966=CARTESIAN_POINT('Origin',(-0.55,0.418,0.032)); #521967=CARTESIAN_POINT('',(-0.55,0.45,0.032)); #521968=CARTESIAN_POINT('',(-0.55,0.418,0.)); #521969=CARTESIAN_POINT('Origin',(-0.482,0.418,0.)); #521970=CARTESIAN_POINT('',(-0.45,0.418,0.)); #521971=CARTESIAN_POINT('',(-0.482,0.45,0.)); #521972=CARTESIAN_POINT('Origin',(-0.55,0.418,0.768)); #521973=CARTESIAN_POINT('',(-0.55,0.418,0.8)); #521974=CARTESIAN_POINT('',(-0.55,0.45,0.768)); #521975=CARTESIAN_POINT('Origin',(-0.482,-0.225,0.768)); #521976=CARTESIAN_POINT('',(-0.482,-0.225,0.8)); #521977=CARTESIAN_POINT('',(-0.45,-0.225,0.768)); #521978=CARTESIAN_POINT('Origin',(-0.482,-0.418,0.)); #521979=CARTESIAN_POINT('',(-0.482,-0.45,0.)); #521980=CARTESIAN_POINT('',(-0.45,-0.418,0.)); #521981=CARTESIAN_POINT('Origin',(-0.818,0.225,0.768)); #521982=CARTESIAN_POINT('',(-0.818,0.225,0.8)); #521983=CARTESIAN_POINT('',(-0.85,0.225,0.768)); #521984=CARTESIAN_POINT('Origin',(-0.818,-0.418,0.)); #521985=CARTESIAN_POINT('',(-0.85,-0.418,0.)); #521986=CARTESIAN_POINT('',(-0.818,-0.45,0.)); #521987=CARTESIAN_POINT('Origin',(-0.75,-0.418,0.768)); #521988=CARTESIAN_POINT('',(-0.75,-0.418,0.8)); #521989=CARTESIAN_POINT('',(-0.75,-0.45,0.768)); #521990=CARTESIAN_POINT('Origin',(-0.85,-0.45,0.)); #521991=CARTESIAN_POINT('Origin',(-0.65,0.,0.)); #521992=CARTESIAN_POINT('Origin',(-0.85,0.45,0.)); #521993=CARTESIAN_POINT('Origin',(-0.45,0.45,0.)); #521994=CARTESIAN_POINT('Origin',(-0.45,-0.45,0.)); #521995=CARTESIAN_POINT('Origin',(-0.65,0.,0.8)); #521996=CARTESIAN_POINT('Origin',(0.482,-0.418,0.768)); #521997=CARTESIAN_POINT('',(0.45,-0.418,0.768)); #521998=CARTESIAN_POINT('',(0.482,-0.418,0.8)); #521999=CARTESIAN_POINT('Origin',(0.482,-0.418,0.768)); #522000=CARTESIAN_POINT('',(0.482,-0.45,0.768)); #522001=CARTESIAN_POINT('Origin',(0.482,-0.418,0.768)); #522002=CARTESIAN_POINT('Origin',(0.482,-0.418,0.768)); #522003=CARTESIAN_POINT('Origin',(0.818,-0.418,0.768)); #522004=CARTESIAN_POINT('',(0.818,-0.45,0.768)); #522005=CARTESIAN_POINT('',(0.818,-0.418,0.8)); #522006=CARTESIAN_POINT('Origin',(0.818,-0.418,0.768)); #522007=CARTESIAN_POINT('',(0.85,-0.418,0.768)); #522008=CARTESIAN_POINT('Origin',(0.818,-0.418,0.768)); #522009=CARTESIAN_POINT('Origin',(0.818,-0.418,0.768)); #522010=CARTESIAN_POINT('Origin',(0.482,-0.418,0.032)); #522011=CARTESIAN_POINT('',(0.45,-0.418,0.032)); #522012=CARTESIAN_POINT('',(0.482,-0.45,0.032)); #522013=CARTESIAN_POINT('Origin',(0.482,-0.418,0.032)); #522014=CARTESIAN_POINT('',(0.482,-0.418,0.)); #522015=CARTESIAN_POINT('Origin',(0.482,-0.418,0.032)); #522016=CARTESIAN_POINT('Origin',(0.482,-0.418,0.032)); #522017=CARTESIAN_POINT('Origin',(0.482,0.418,0.768)); #522018=CARTESIAN_POINT('',(0.482,0.45,0.768)); #522019=CARTESIAN_POINT('',(0.482,0.418,0.8)); #522020=CARTESIAN_POINT('Origin',(0.482,0.418,0.768)); #522021=CARTESIAN_POINT('',(0.45,0.418,0.768)); #522022=CARTESIAN_POINT('Origin',(0.482,0.418,0.768)); #522023=CARTESIAN_POINT('Origin',(0.482,0.418,0.768)); #522024=CARTESIAN_POINT('Origin',(0.818,-0.418,0.032)); #522025=CARTESIAN_POINT('',(0.818,-0.45,0.032)); #522026=CARTESIAN_POINT('',(0.85,-0.418,0.032)); #522027=CARTESIAN_POINT('Origin',(0.818,-0.418,0.032)); #522028=CARTESIAN_POINT('',(0.818,-0.418,0.)); #522029=CARTESIAN_POINT('Origin',(0.818,-0.418,0.032)); #522030=CARTESIAN_POINT('Origin',(0.818,-0.418,0.032)); #522031=CARTESIAN_POINT('Origin',(0.55,-0.418,0.032)); #522032=CARTESIAN_POINT('',(0.55,-0.45,0.032)); #522033=CARTESIAN_POINT('',(0.55,-0.418,0.)); #522034=CARTESIAN_POINT('Origin',(0.818,0.418,0.768)); #522035=CARTESIAN_POINT('',(0.85,0.418,0.768)); #522036=CARTESIAN_POINT('',(0.818,0.418,0.8)); #522037=CARTESIAN_POINT('Origin',(0.818,0.418,0.768)); #522038=CARTESIAN_POINT('',(0.818,0.45,0.768)); #522039=CARTESIAN_POINT('Origin',(0.818,0.418,0.768)); #522040=CARTESIAN_POINT('Origin',(0.818,0.418,0.768)); #522041=CARTESIAN_POINT('Origin',(0.482,0.418,0.032)); #522042=CARTESIAN_POINT('',(0.482,0.418,0.)); #522043=CARTESIAN_POINT('',(0.482,0.45,0.032)); #522044=CARTESIAN_POINT('Origin',(0.482,0.418,0.032)); #522045=CARTESIAN_POINT('',(0.45,0.418,0.032)); #522046=CARTESIAN_POINT('Origin',(0.482,0.418,0.032)); #522047=CARTESIAN_POINT('Origin',(0.482,0.418,0.032)); #522048=CARTESIAN_POINT('Origin',(0.482,0.225,0.032)); #522049=CARTESIAN_POINT('',(0.45,0.225,0.032)); #522050=CARTESIAN_POINT('',(0.482,0.225,0.)); #522051=CARTESIAN_POINT('Origin',(0.482,0.418,0.)); #522052=CARTESIAN_POINT('',(0.482,0.45,0.)); #522053=CARTESIAN_POINT('',(0.45,0.418,0.)); #522054=CARTESIAN_POINT('Origin',(0.818,0.418,0.032)); #522055=CARTESIAN_POINT('',(0.85,0.418,0.032)); #522056=CARTESIAN_POINT('',(0.818,0.45,0.032)); #522057=CARTESIAN_POINT('Origin',(0.818,0.418,0.032)); #522058=CARTESIAN_POINT('',(0.818,0.418,0.)); #522059=CARTESIAN_POINT('Origin',(0.818,0.418,0.032)); #522060=CARTESIAN_POINT('Origin',(0.818,0.418,0.032)); #522061=CARTESIAN_POINT('Origin',(0.818,-0.225,0.032)); #522062=CARTESIAN_POINT('',(0.85,-0.225,0.032)); #522063=CARTESIAN_POINT('',(0.818,-0.225,0.)); #522064=CARTESIAN_POINT('Origin',(0.75,0.418,0.032)); #522065=CARTESIAN_POINT('',(0.75,0.45,0.032)); #522066=CARTESIAN_POINT('',(0.75,0.418,0.)); #522067=CARTESIAN_POINT('Origin',(0.818,0.418,0.)); #522068=CARTESIAN_POINT('',(0.85,0.418,0.)); #522069=CARTESIAN_POINT('',(0.818,0.45,0.)); #522070=CARTESIAN_POINT('Origin',(0.75,0.418,0.768)); #522071=CARTESIAN_POINT('',(0.75,0.418,0.8)); #522072=CARTESIAN_POINT('',(0.75,0.45,0.768)); #522073=CARTESIAN_POINT('Origin',(0.818,-0.225,0.768)); #522074=CARTESIAN_POINT('',(0.818,-0.225,0.8)); #522075=CARTESIAN_POINT('',(0.85,-0.225,0.768)); #522076=CARTESIAN_POINT('Origin',(0.818,-0.418,0.)); #522077=CARTESIAN_POINT('',(0.818,-0.45,0.)); #522078=CARTESIAN_POINT('',(0.85,-0.418,0.)); #522079=CARTESIAN_POINT('Origin',(0.482,0.225,0.768)); #522080=CARTESIAN_POINT('',(0.482,0.225,0.8)); #522081=CARTESIAN_POINT('',(0.45,0.225,0.768)); #522082=CARTESIAN_POINT('Origin',(0.482,-0.418,0.)); #522083=CARTESIAN_POINT('',(0.45,-0.418,0.)); #522084=CARTESIAN_POINT('',(0.482,-0.45,0.)); #522085=CARTESIAN_POINT('Origin',(0.55,-0.418,0.768)); #522086=CARTESIAN_POINT('',(0.55,-0.418,0.8)); #522087=CARTESIAN_POINT('',(0.55,-0.45,0.768)); #522088=CARTESIAN_POINT('Origin',(0.45,-0.45,0.)); #522089=CARTESIAN_POINT('Origin',(0.65,0.,0.)); #522090=CARTESIAN_POINT('Origin',(0.45,0.45,0.)); #522091=CARTESIAN_POINT('Origin',(0.85,0.45,0.)); #522092=CARTESIAN_POINT('Origin',(0.85,-0.45,0.)); #522093=CARTESIAN_POINT('Origin',(0.65,0.,0.8)); #522094=CARTESIAN_POINT('Origin',(0.45,-0.45,0.)); #522095=CARTESIAN_POINT('',(0.45,0.45,0.)); #522096=CARTESIAN_POINT('',(0.45,-0.45,0.)); #522097=CARTESIAN_POINT('',(0.45,0.45,0.)); #522098=CARTESIAN_POINT('',(0.45,0.45,0.8)); #522099=CARTESIAN_POINT('',(0.45,0.45,0.)); #522100=CARTESIAN_POINT('',(0.45,-0.45,0.8)); #522101=CARTESIAN_POINT('',(0.45,0.45,0.8)); #522102=CARTESIAN_POINT('',(0.45,-0.45,0.)); #522103=CARTESIAN_POINT('Origin',(-0.45,-0.45,0.)); #522104=CARTESIAN_POINT('',(-0.45,-0.45,0.)); #522105=CARTESIAN_POINT('',(-0.85,-0.45,0.)); #522106=CARTESIAN_POINT('',(-0.45,-0.45,0.8)); #522107=CARTESIAN_POINT('',(-0.85,-0.45,0.8)); #522108=CARTESIAN_POINT('',(-0.45,-0.45,0.)); #522109=CARTESIAN_POINT('Origin',(-0.45,0.45,0.)); #522110=CARTESIAN_POINT('',(-0.45,0.45,0.)); #522111=CARTESIAN_POINT('',(-0.45,0.45,0.)); #522112=CARTESIAN_POINT('',(-0.45,0.45,0.8)); #522113=CARTESIAN_POINT('',(-0.45,0.45,0.8)); #522114=CARTESIAN_POINT('',(-0.45,0.45,0.)); #522115=CARTESIAN_POINT('Origin',(0.45,0.45,0.)); #522116=CARTESIAN_POINT('',(0.85,0.45,0.)); #522117=CARTESIAN_POINT('',(0.85,0.45,0.8)); #522118=CARTESIAN_POINT('Origin',(0.,0.,0.8)); #522119=CARTESIAN_POINT('Origin',(0.,0.,0.)); #522120=CARTESIAN_POINT('',(0.,0.,0.)); #522121=CARTESIAN_POINT('',(0.,0.,0.)); #522122=CARTESIAN_POINT('',(0.,0.,0.)); #522123=CARTESIAN_POINT('Origin',(-1.71,-1.36,2.76)); #522124=CARTESIAN_POINT('',(-1.75,-1.36,2.76)); #522125=CARTESIAN_POINT('',(-1.71,-1.36,2.8)); #522126=CARTESIAN_POINT('Origin',(-1.71,-1.36,2.76)); #522127=CARTESIAN_POINT('',(-1.71,-1.4,2.76)); #522128=CARTESIAN_POINT('Origin',(-1.71,-1.36,2.76)); #522129=CARTESIAN_POINT('Origin',(-1.71,-1.36,2.76)); #522130=CARTESIAN_POINT('Origin',(-0.89,-1.36,2.76)); #522131=CARTESIAN_POINT('',(-0.89,-1.4,2.76)); #522132=CARTESIAN_POINT('',(-0.89,-1.36,2.8)); #522133=CARTESIAN_POINT('Origin',(-0.89,-1.36,2.76)); #522134=CARTESIAN_POINT('',(-0.85,-1.36,2.76)); #522135=CARTESIAN_POINT('Origin',(-0.89,-1.36,2.76)); #522136=CARTESIAN_POINT('Origin',(-0.89,-1.36,2.76)); #522137=CARTESIAN_POINT('Origin',(-1.71,-1.36,0.04)); #522138=CARTESIAN_POINT('',(-1.75,-1.36,0.04)); #522139=CARTESIAN_POINT('',(-1.71,-1.4,0.04)); #522140=CARTESIAN_POINT('Origin',(-1.71,-1.36,0.04)); #522141=CARTESIAN_POINT('',(-1.71,-1.36,0.)); #522142=CARTESIAN_POINT('Origin',(-1.71,-1.36,0.04)); #522143=CARTESIAN_POINT('Origin',(-1.71,-1.36,0.04)); #522144=CARTESIAN_POINT('Origin',(-1.71,1.36,2.76)); #522145=CARTESIAN_POINT('',(-1.71,1.4,2.76)); #522146=CARTESIAN_POINT('',(-1.71,1.36,2.8)); #522147=CARTESIAN_POINT('Origin',(-1.71,1.36,2.76)); #522148=CARTESIAN_POINT('',(-1.75,1.36,2.76)); #522149=CARTESIAN_POINT('Origin',(-1.71,1.36,2.76)); #522150=CARTESIAN_POINT('Origin',(-1.71,1.36,2.76)); #522151=CARTESIAN_POINT('Origin',(-0.89,-1.36,0.04)); #522152=CARTESIAN_POINT('',(-0.89,-1.4,0.04)); #522153=CARTESIAN_POINT('',(-0.85,-1.36,0.04)); #522154=CARTESIAN_POINT('Origin',(-0.89,-1.36,0.04)); #522155=CARTESIAN_POINT('',(-0.89,-1.36,0.)); #522156=CARTESIAN_POINT('Origin',(-0.89,-1.36,0.04)); #522157=CARTESIAN_POINT('Origin',(-0.89,-1.36,0.04)); #522158=CARTESIAN_POINT('Origin',(-1.525,-1.36,0.04)); #522159=CARTESIAN_POINT('',(-1.525,-1.4,0.04)); #522160=CARTESIAN_POINT('',(-1.525,-1.36,0.)); #522161=CARTESIAN_POINT('Origin',(-0.89,1.36,2.76)); #522162=CARTESIAN_POINT('',(-0.85,1.36,2.76)); #522163=CARTESIAN_POINT('',(-0.89,1.36,2.8)); #522164=CARTESIAN_POINT('Origin',(-0.89,1.36,2.76)); #522165=CARTESIAN_POINT('',(-0.89,1.4,2.76)); #522166=CARTESIAN_POINT('Origin',(-0.89,1.36,2.76)); #522167=CARTESIAN_POINT('Origin',(-0.89,1.36,2.76)); #522168=CARTESIAN_POINT('Origin',(-1.71,1.36,0.04)); #522169=CARTESIAN_POINT('',(-1.71,1.36,0.)); #522170=CARTESIAN_POINT('',(-1.71,1.4,0.04)); #522171=CARTESIAN_POINT('Origin',(-1.71,1.36,0.04)); #522172=CARTESIAN_POINT('',(-1.75,1.36,0.04)); #522173=CARTESIAN_POINT('Origin',(-1.71,1.36,0.04)); #522174=CARTESIAN_POINT('Origin',(-1.71,1.36,0.04)); #522175=CARTESIAN_POINT('Origin',(-1.71,0.7,0.04)); #522176=CARTESIAN_POINT('',(-1.75,0.7,0.04)); #522177=CARTESIAN_POINT('',(-1.71,0.7,0.)); #522178=CARTESIAN_POINT('Origin',(-1.71,1.36,0.)); #522179=CARTESIAN_POINT('',(-1.71,1.4,0.)); #522180=CARTESIAN_POINT('',(-1.75,1.36,0.)); #522181=CARTESIAN_POINT('Origin',(-0.89,1.36,0.04)); #522182=CARTESIAN_POINT('',(-0.85,1.36,0.04)); #522183=CARTESIAN_POINT('',(-0.89,1.4,0.04)); #522184=CARTESIAN_POINT('Origin',(-0.89,1.36,0.04)); #522185=CARTESIAN_POINT('',(-0.89,1.36,0.)); #522186=CARTESIAN_POINT('Origin',(-0.89,1.36,0.04)); #522187=CARTESIAN_POINT('Origin',(-0.89,1.36,0.04)); #522188=CARTESIAN_POINT('Origin',(-0.89,-0.7,0.04)); #522189=CARTESIAN_POINT('',(-0.89,-0.7,0.)); #522190=CARTESIAN_POINT('',(-0.85,-0.7,0.04)); #522191=CARTESIAN_POINT('Origin',(-1.075,1.36,0.04)); #522192=CARTESIAN_POINT('',(-1.075,1.4,0.04)); #522193=CARTESIAN_POINT('',(-1.075,1.36,0.)); #522194=CARTESIAN_POINT('Origin',(-0.89,1.36,0.)); #522195=CARTESIAN_POINT('',(-0.85,1.36,0.)); #522196=CARTESIAN_POINT('',(-0.89,1.4,0.)); #522197=CARTESIAN_POINT('Origin',(-1.075,1.36,2.76)); #522198=CARTESIAN_POINT('',(-1.075,1.36,2.8)); #522199=CARTESIAN_POINT('',(-1.075,1.4,2.76)); #522200=CARTESIAN_POINT('Origin',(-0.89,-0.7,2.76)); #522201=CARTESIAN_POINT('',(-0.89,-0.7,2.8)); #522202=CARTESIAN_POINT('',(-0.85,-0.7,2.76)); #522203=CARTESIAN_POINT('Origin',(-0.89,-1.36,0.)); #522204=CARTESIAN_POINT('',(-0.89,-1.4,0.)); #522205=CARTESIAN_POINT('',(-0.85,-1.36,0.)); #522206=CARTESIAN_POINT('Origin',(-1.71,0.7,2.76)); #522207=CARTESIAN_POINT('',(-1.71,0.7,2.8)); #522208=CARTESIAN_POINT('',(-1.75,0.7,2.76)); #522209=CARTESIAN_POINT('Origin',(-1.71,-1.36,0.)); #522210=CARTESIAN_POINT('',(-1.75,-1.36,0.)); #522211=CARTESIAN_POINT('',(-1.71,-1.4,0.)); #522212=CARTESIAN_POINT('Origin',(-1.525,-1.36,2.76)); #522213=CARTESIAN_POINT('',(-1.525,-1.36,2.8)); #522214=CARTESIAN_POINT('',(-1.525,-1.4,2.76)); #522215=CARTESIAN_POINT('Origin',(-1.75,-1.4,0.)); #522216=CARTESIAN_POINT('Origin',(-1.3,0.,0.)); #522217=CARTESIAN_POINT('Origin',(-1.75,1.4,0.)); #522218=CARTESIAN_POINT('Origin',(-0.85,1.4,0.)); #522219=CARTESIAN_POINT('Origin',(-0.85,-1.4,0.)); #522220=CARTESIAN_POINT('Origin',(-1.3,0.,2.8)); #522221=CARTESIAN_POINT('Origin',(0.89,-1.36,2.76)); #522222=CARTESIAN_POINT('',(0.85,-1.36,2.76)); #522223=CARTESIAN_POINT('',(0.89,-1.36,2.8)); #522224=CARTESIAN_POINT('Origin',(0.89,-1.36,2.76)); #522225=CARTESIAN_POINT('',(0.89,-1.4,2.76)); #522226=CARTESIAN_POINT('Origin',(0.89,-1.36,2.76)); #522227=CARTESIAN_POINT('Origin',(0.89,-1.36,2.76)); #522228=CARTESIAN_POINT('Origin',(1.71,-1.36,2.76)); #522229=CARTESIAN_POINT('',(1.71,-1.4,2.76)); #522230=CARTESIAN_POINT('',(1.71,-1.36,2.8)); #522231=CARTESIAN_POINT('Origin',(1.71,-1.36,2.76)); #522232=CARTESIAN_POINT('',(1.75,-1.36,2.76)); #522233=CARTESIAN_POINT('Origin',(1.71,-1.36,2.76)); #522234=CARTESIAN_POINT('Origin',(1.71,-1.36,2.76)); #522235=CARTESIAN_POINT('Origin',(0.89,-1.36,0.04)); #522236=CARTESIAN_POINT('',(0.85,-1.36,0.04)); #522237=CARTESIAN_POINT('',(0.89,-1.4,0.04)); #522238=CARTESIAN_POINT('Origin',(0.89,-1.36,0.04)); #522239=CARTESIAN_POINT('',(0.89,-1.36,0.)); #522240=CARTESIAN_POINT('Origin',(0.89,-1.36,0.04)); #522241=CARTESIAN_POINT('Origin',(0.89,-1.36,0.04)); #522242=CARTESIAN_POINT('Origin',(0.89,1.36,2.76)); #522243=CARTESIAN_POINT('',(0.89,1.4,2.76)); #522244=CARTESIAN_POINT('',(0.89,1.36,2.8)); #522245=CARTESIAN_POINT('Origin',(0.89,1.36,2.76)); #522246=CARTESIAN_POINT('',(0.85,1.36,2.76)); #522247=CARTESIAN_POINT('Origin',(0.89,1.36,2.76)); #522248=CARTESIAN_POINT('Origin',(0.89,1.36,2.76)); #522249=CARTESIAN_POINT('Origin',(1.71,-1.36,0.04)); #522250=CARTESIAN_POINT('',(1.71,-1.4,0.04)); #522251=CARTESIAN_POINT('',(1.75,-1.36,0.04)); #522252=CARTESIAN_POINT('Origin',(1.71,-1.36,0.04)); #522253=CARTESIAN_POINT('',(1.71,-1.36,0.)); #522254=CARTESIAN_POINT('Origin',(1.71,-1.36,0.04)); #522255=CARTESIAN_POINT('Origin',(1.71,-1.36,0.04)); #522256=CARTESIAN_POINT('Origin',(1.075,-1.36,0.04)); #522257=CARTESIAN_POINT('',(1.075,-1.4,0.04)); #522258=CARTESIAN_POINT('',(1.075,-1.36,0.)); #522259=CARTESIAN_POINT('Origin',(1.71,1.36,2.76)); #522260=CARTESIAN_POINT('',(1.75,1.36,2.76)); #522261=CARTESIAN_POINT('',(1.71,1.36,2.8)); #522262=CARTESIAN_POINT('Origin',(1.71,1.36,2.76)); #522263=CARTESIAN_POINT('',(1.71,1.4,2.76)); #522264=CARTESIAN_POINT('Origin',(1.71,1.36,2.76)); #522265=CARTESIAN_POINT('Origin',(1.71,1.36,2.76)); #522266=CARTESIAN_POINT('Origin',(0.89,1.36,0.04)); #522267=CARTESIAN_POINT('',(0.89,1.36,0.)); #522268=CARTESIAN_POINT('',(0.89,1.4,0.04)); #522269=CARTESIAN_POINT('Origin',(0.89,1.36,0.04)); #522270=CARTESIAN_POINT('',(0.85,1.36,0.04)); #522271=CARTESIAN_POINT('Origin',(0.89,1.36,0.04)); #522272=CARTESIAN_POINT('Origin',(0.89,1.36,0.04)); #522273=CARTESIAN_POINT('Origin',(0.89,0.7,0.04)); #522274=CARTESIAN_POINT('',(0.85,0.7,0.04)); #522275=CARTESIAN_POINT('',(0.89,0.7,0.)); #522276=CARTESIAN_POINT('Origin',(0.89,1.36,0.)); #522277=CARTESIAN_POINT('',(0.89,1.4,0.)); #522278=CARTESIAN_POINT('',(0.85,1.36,0.)); #522279=CARTESIAN_POINT('Origin',(1.71,1.36,0.04)); #522280=CARTESIAN_POINT('',(1.75,1.36,0.04)); #522281=CARTESIAN_POINT('',(1.71,1.4,0.04)); #522282=CARTESIAN_POINT('Origin',(1.71,1.36,0.04)); #522283=CARTESIAN_POINT('',(1.71,1.36,0.)); #522284=CARTESIAN_POINT('Origin',(1.71,1.36,0.04)); #522285=CARTESIAN_POINT('Origin',(1.71,1.36,0.04)); #522286=CARTESIAN_POINT('Origin',(1.71,-0.7,0.04)); #522287=CARTESIAN_POINT('',(1.75,-0.7,0.04)); #522288=CARTESIAN_POINT('',(1.71,-0.7,0.)); #522289=CARTESIAN_POINT('Origin',(1.525,1.36,0.04)); #522290=CARTESIAN_POINT('',(1.525,1.4,0.04)); #522291=CARTESIAN_POINT('',(1.525,1.36,0.)); #522292=CARTESIAN_POINT('Origin',(1.71,1.36,0.)); #522293=CARTESIAN_POINT('',(1.75,1.36,0.)); #522294=CARTESIAN_POINT('',(1.71,1.4,0.)); #522295=CARTESIAN_POINT('Origin',(1.525,1.36,2.76)); #522296=CARTESIAN_POINT('',(1.525,1.36,2.8)); #522297=CARTESIAN_POINT('',(1.525,1.4,2.76)); #522298=CARTESIAN_POINT('Origin',(1.71,-0.7,2.76)); #522299=CARTESIAN_POINT('',(1.71,-0.7,2.8)); #522300=CARTESIAN_POINT('',(1.75,-0.7,2.76)); #522301=CARTESIAN_POINT('Origin',(1.71,-1.36,0.)); #522302=CARTESIAN_POINT('',(1.71,-1.4,0.)); #522303=CARTESIAN_POINT('',(1.75,-1.36,0.)); #522304=CARTESIAN_POINT('Origin',(0.89,0.7,2.76)); #522305=CARTESIAN_POINT('',(0.89,0.7,2.8)); #522306=CARTESIAN_POINT('',(0.85,0.7,2.76)); #522307=CARTESIAN_POINT('Origin',(0.89,-1.36,0.)); #522308=CARTESIAN_POINT('',(0.85,-1.36,0.)); #522309=CARTESIAN_POINT('',(0.89,-1.4,0.)); #522310=CARTESIAN_POINT('Origin',(1.075,-1.36,2.76)); #522311=CARTESIAN_POINT('',(1.075,-1.36,2.8)); #522312=CARTESIAN_POINT('',(1.075,-1.4,2.76)); #522313=CARTESIAN_POINT('Origin',(0.85,-1.4,0.)); #522314=CARTESIAN_POINT('Origin',(1.3,0.,0.)); #522315=CARTESIAN_POINT('Origin',(0.85,1.4,0.)); #522316=CARTESIAN_POINT('Origin',(1.75,1.4,0.)); #522317=CARTESIAN_POINT('Origin',(1.75,-1.4,0.)); #522318=CARTESIAN_POINT('Origin',(1.3,0.,2.8)); #522319=CARTESIAN_POINT('Origin',(0.85,-1.4,0.)); #522320=CARTESIAN_POINT('',(0.85,1.4,0.)); #522321=CARTESIAN_POINT('',(0.85,-1.4,0.)); #522322=CARTESIAN_POINT('',(0.85,1.4,0.)); #522323=CARTESIAN_POINT('',(0.85,1.4,2.8)); #522324=CARTESIAN_POINT('',(0.85,1.4,0.)); #522325=CARTESIAN_POINT('',(0.85,-1.4,2.8)); #522326=CARTESIAN_POINT('',(0.85,1.4,2.8)); #522327=CARTESIAN_POINT('',(0.85,-1.4,0.)); #522328=CARTESIAN_POINT('Origin',(-0.85,-1.4,0.)); #522329=CARTESIAN_POINT('',(-0.85,-1.4,0.)); #522330=CARTESIAN_POINT('',(-1.75,-1.4,0.)); #522331=CARTESIAN_POINT('',(-0.85,-1.4,2.8)); #522332=CARTESIAN_POINT('',(-1.75,-1.4,2.8)); #522333=CARTESIAN_POINT('',(-0.85,-1.4,0.)); #522334=CARTESIAN_POINT('Origin',(-0.85,1.4,0.)); #522335=CARTESIAN_POINT('',(-0.85,1.4,0.)); #522336=CARTESIAN_POINT('',(-0.85,1.4,0.)); #522337=CARTESIAN_POINT('',(-0.85,1.4,2.8)); #522338=CARTESIAN_POINT('',(-0.85,1.4,2.8)); #522339=CARTESIAN_POINT('',(-0.85,1.4,0.)); #522340=CARTESIAN_POINT('Origin',(0.85,1.4,0.)); #522341=CARTESIAN_POINT('',(1.75,1.4,0.)); #522342=CARTESIAN_POINT('',(1.75,1.4,2.8)); #522343=CARTESIAN_POINT('Origin',(0.,0.,2.8)); #522344=CARTESIAN_POINT('Origin',(0.,0.,0.)); #522345=CARTESIAN_POINT('',(0.,0.,0.)); #522346=CARTESIAN_POINT('',(0.,0.,0.)); #522347=CARTESIAN_POINT('',(0.,0.,0.)); #522348=CARTESIAN_POINT('Origin',(0.,0.,9.)); #522349=CARTESIAN_POINT('',(-3.11666666666667,-3.81681585734258E-16,9.)); #522350=CARTESIAN_POINT('Origin',(0.,0.,9.)); #522351=CARTESIAN_POINT('',(-3.11666666666667,-3.81681585734258E-16,8.9)); #522352=CARTESIAN_POINT('',(-3.11666666666667,3.81681585734258E-16,9.)); #522353=CARTESIAN_POINT('Origin',(0.,0.,8.9)); #522354=CARTESIAN_POINT('Origin',(0.,0.,8.9)); #522355=CARTESIAN_POINT('Origin',(4.10833333333333,0.,0.)); #522356=CARTESIAN_POINT('',(-4.10833333333333,-5.03125726649704E-16,0.)); #522357=CARTESIAN_POINT('Origin',(0.,0.,0.)); #522358=CARTESIAN_POINT('Origin',(0.,0.,0.141666666666667)); #522359=CARTESIAN_POINT('',(-4.25,-5.20474889637625E-16,0.141666666666667)); #522360=CARTESIAN_POINT('Origin',(0.,0.,0.141666666666667)); #522361=CARTESIAN_POINT('Origin',(-4.10833333333333,-5.03125726649704E-16, 0.141666666666667)); #522362=CARTESIAN_POINT('Origin',(0.,0.,0.671497012976974)); #522363=CARTESIAN_POINT('',(-4.25,-5.20474889637625E-16,1.20132735928728)); #522364=CARTESIAN_POINT('Origin',(0.,0.,1.20132735928728)); #522365=CARTESIAN_POINT('',(-4.25,-5.20474889637625E-16,0.671497012976974)); #522366=CARTESIAN_POINT('Origin',(0.,0.,1.20132735928728)); #522367=CARTESIAN_POINT('',(-4.14375,-5.07463017396684E-16,1.33849551946546)); #522368=CARTESIAN_POINT('Origin',(0.,0.,1.33849551946546)); #522369=CARTESIAN_POINT('Origin',(-4.10833333333333,-5.03125726649704E-16, 1.20132735928728)); #522370=CARTESIAN_POINT('Origin',(0.,0.,1.75)); #522371=CARTESIAN_POINT('',(-4.14375,-5.07463017396684E-16,2.16150448053454)); #522372=CARTESIAN_POINT('Origin',(-4.25,-5.20474889637625E-16,1.75)); #522373=CARTESIAN_POINT('Origin',(0.,0.,2.16150448053454)); #522374=CARTESIAN_POINT('Origin',(0.,0.,2.29867264071272)); #522375=CARTESIAN_POINT('',(-4.25,-5.20474889637625E-16,2.29867264071272)); #522376=CARTESIAN_POINT('Origin',(0.,0.,2.29867264071272)); #522377=CARTESIAN_POINT('Origin',(-4.10833333333333,-5.03125726649704E-16, 2.29867264071272)); #522378=CARTESIAN_POINT('Origin',(0.,0.,5.57850298702303)); #522379=CARTESIAN_POINT('',(-4.25,-5.20474889637625E-16,8.85833333333333)); #522380=CARTESIAN_POINT('Origin',(0.,0.,8.85833333333333)); #522381=CARTESIAN_POINT('',(-4.25,-5.20474889637625E-16,5.57850298702303)); #522382=CARTESIAN_POINT('Origin',(0.,0.,8.85833333333333)); #522383=CARTESIAN_POINT('',(-4.10833333333333,-5.03125726649704E-16,9.)); #522384=CARTESIAN_POINT('Origin',(0.,0.,9.)); #522385=CARTESIAN_POINT('Origin',(-4.10833333333333,-5.03125726649704E-16, 8.85833333333333)); #522386=CARTESIAN_POINT('Origin',(0.,0.,9.)); #522387=CARTESIAN_POINT('Origin',(3.99766855855882,-0.633168497250334,4.5)); #522388=CARTESIAN_POINT('',(4.21742921434124,-0.667975165721786,1.21166150673268)); #522389=CARTESIAN_POINT('',(4.11311572268108,-0.651453531726893,1.34866381789352)); #522390=CARTESIAN_POINT('Origin',(4.07750669942359,-0.645813616507753,1.21166150673268)); #522391=CARTESIAN_POINT('',(4.11311572268108,-0.651453531726893,2.15133618210648)); #522392=CARTESIAN_POINT('Origin',(4.21742921434124,-0.667975165721786,1.75)); #522393=CARTESIAN_POINT('',(4.21742921434124,-0.667975165721786,2.28833849326732)); #522394=CARTESIAN_POINT('Origin',(4.07750669942359,-0.645813616507753,2.28833849326732)); #522395=CARTESIAN_POINT('',(4.21742921434124,-0.667975165721786,8.85833333333333)); #522396=CARTESIAN_POINT('',(4.21742921434124,-0.667975165721786,8.85833333333333)); #522397=CARTESIAN_POINT('',(4.07750669942359,-0.645813616507753,9.)); #522398=CARTESIAN_POINT('Origin',(4.07750669942359,-0.645813616507753,8.85833333333333)); #522399=CARTESIAN_POINT('',(4.05775293261169,-0.642684927206948,9.)); #522400=CARTESIAN_POINT('',(0.,0.,9.)); #522401=CARTESIAN_POINT('',(4.19767544752934,-0.664846476420981,8.85833333333333)); #522402=CARTESIAN_POINT('Origin',(4.05775293261169,-0.642684927206948,8.85833333333333)); #522403=CARTESIAN_POINT('',(4.19767544752934,-0.664846476420981,2.29867264071272)); #522404=CARTESIAN_POINT('',(4.19767544752934,-0.664846476420981,2.29867264071272)); #522405=CARTESIAN_POINT('',(4.0927335613411,-0.648225314510457,2.16150448053454)); #522406=CARTESIAN_POINT('Origin',(4.05775293261169,-0.642684927206948,2.29867264071272)); #522407=CARTESIAN_POINT('',(4.0927335613411,-0.648225314510457,1.33849551946546)); #522408=CARTESIAN_POINT('Origin',(4.19767544752934,-0.664846476420981,1.75)); #522409=CARTESIAN_POINT('',(4.19767544752934,-0.664846476420981,1.20132735928728)); #522410=CARTESIAN_POINT('Origin',(4.05775293261169,-0.642684927206948,1.20132735928728)); #522411=CARTESIAN_POINT('',(4.19767544752934,-0.664846476420981,0.141666666666666)); #522412=CARTESIAN_POINT('',(4.19767544752934,-0.664846476420981,0.141666666666666)); #522413=CARTESIAN_POINT('',(4.05775293261169,-0.642684927206948,0.)); #522414=CARTESIAN_POINT('Origin',(4.05775293261169,-0.642684927206948,0.141666666666666)); #522415=CARTESIAN_POINT('',(4.07750669942359,-0.645813616507753,0.)); #522416=CARTESIAN_POINT('',(0.,0.,0.)); #522417=CARTESIAN_POINT('',(4.21742921434124,-0.667975165721786,0.141666666666667)); #522418=CARTESIAN_POINT('Origin',(4.07750669942359,-0.645813616507753,0.141666666666667)); #522419=CARTESIAN_POINT('',(4.21742921434124,-0.667975165721786,8.85833333333333)); #522420=CARTESIAN_POINT('Origin',(0.,0.,1.75)); #522421=CARTESIAN_POINT('',(4.11311572268108,0.651453531726893,1.34866381789352)); #522422=CARTESIAN_POINT('Origin',(-7.32747196252603E-14,1.00613961606655E-14, 1.34866381789352)); #522423=CARTESIAN_POINT('',(4.11311572268108,0.651453531726893,2.15133618210648)); #522424=CARTESIAN_POINT('Origin',(4.21742921434124,0.667975165721786,1.75)); #522425=CARTESIAN_POINT('Origin',(-7.32747196252603E-14,-1.00613961606655E-14, 2.15133618210648)); #522426=CARTESIAN_POINT('Origin',(0.,0.,2.28833849326732)); #522427=CARTESIAN_POINT('',(4.21742921434124,0.667975165721786,2.28833849326732)); #522428=CARTESIAN_POINT('Origin',(4.07750669942359,0.645813616507753,2.28833849326732)); #522429=CARTESIAN_POINT('Origin',(2.22044604925031E-14,9.57567358739198E-15, 2.28833849326724)); #522430=CARTESIAN_POINT('Origin',(0.,0.,5.57333591330033)); #522431=CARTESIAN_POINT('',(4.21742921434124,0.667975165721786,8.85833333333333)); #522432=CARTESIAN_POINT('',(4.21742921434124,0.667975165721786,8.85833333333333)); #522433=CARTESIAN_POINT('Origin',(2.22044604925031E-14,9.57567358739198E-15, 8.85833333333261)); #522434=CARTESIAN_POINT('Origin',(0.,0.,8.85833333333333)); #522435=CARTESIAN_POINT('',(4.07750669942359,0.645813616507753,9.)); #522436=CARTESIAN_POINT('Origin',(4.07750669942359,0.645813616507753,8.85833333333333)); #522437=CARTESIAN_POINT('Origin',(2.55351295663786E-14,2.4980018054066E-15, 8.99999999999928)); #522438=CARTESIAN_POINT('Origin',(4.10833333333333,0.,9.)); #522439=CARTESIAN_POINT('',(4.05775293261169,0.642684927206948,9.)); #522440=CARTESIAN_POINT('',(0.,0.,9.)); #522441=CARTESIAN_POINT('Origin',(-8.71525074330748E-14,1.31838984174237E-15, 8.99999999999928)); #522442=CARTESIAN_POINT('Origin',(0.,0.,8.85833333333333)); #522443=CARTESIAN_POINT('',(4.19767544752934,0.664846476420981,8.85833333333333)); #522444=CARTESIAN_POINT('Origin',(4.05775293261169,0.642684927206948,8.85833333333333)); #522445=CARTESIAN_POINT('Origin',(-2.22044604925031E-15,-5.06539254985228E-15, 8.85833333333261)); #522446=CARTESIAN_POINT('Origin',(0.,0.,5.57850298702303)); #522447=CARTESIAN_POINT('',(4.19767544752934,0.664846476420981,2.29867264071272)); #522448=CARTESIAN_POINT('',(4.19767544752934,0.664846476420981,2.29867264071272)); #522449=CARTESIAN_POINT('Origin',(-2.22044604925031E-15,-5.06539254985228E-15, 2.29867264071263)); #522450=CARTESIAN_POINT('Origin',(0.,0.,2.29867264071272)); #522451=CARTESIAN_POINT('',(4.0927335613411,0.648225314510457,2.16150448053454)); #522452=CARTESIAN_POINT('Origin',(4.05775293261169,0.642684927206948,2.29867264071272)); #522453=CARTESIAN_POINT('Origin',(7.105427357601E-14,6.52256026967279E-15, 2.16150448053445)); #522454=CARTESIAN_POINT('Origin',(0.,0.,1.75)); #522455=CARTESIAN_POINT('',(4.0927335613411,0.648225314510457,1.33849551946546)); #522456=CARTESIAN_POINT('Origin',(4.19767544752934,0.664846476420981,1.75)); #522457=CARTESIAN_POINT('Origin',(7.105427357601E-14,6.52256026967279E-15, 1.33849551946546)); #522458=CARTESIAN_POINT('Origin',(0.,0.,1.20132735928728)); #522459=CARTESIAN_POINT('',(4.19767544752934,0.664846476420981,1.20132735928728)); #522460=CARTESIAN_POINT('Origin',(4.05775293261169,0.642684927206948,1.20132735928728)); #522461=CARTESIAN_POINT('Origin',(-2.22044604925031E-15,-5.06539254985228E-15, 1.20132735928728)); #522462=CARTESIAN_POINT('Origin',(0.,0.,0.671497012976974)); #522463=CARTESIAN_POINT('',(4.19767544752934,0.664846476420981,0.141666666666666)); #522464=CARTESIAN_POINT('',(4.19767544752934,0.664846476420981,0.141666666666666)); #522465=CARTESIAN_POINT('Origin',(0.,3.81639164714898E-15,0.141666666666753)); #522466=CARTESIAN_POINT('Origin',(0.,0.,0.141666666666666)); #522467=CARTESIAN_POINT('',(4.05775293261169,0.642684927206948,0.)); #522468=CARTESIAN_POINT('Origin',(4.05775293261169,0.642684927206948,0.141666666666666)); #522469=CARTESIAN_POINT('Origin',(-8.77076189453874E-14,1.31838984174237E-15, 8.976000657716E-14)); #522470=CARTESIAN_POINT('Origin',(4.12833333333333,0.,0.)); #522471=CARTESIAN_POINT('',(4.07750669942359,0.645813616507753,0.)); #522472=CARTESIAN_POINT('',(0.,0.,0.)); #522473=CARTESIAN_POINT('Origin',(2.77555756156289E-14,1.20736753927986E-14, 1.01014701868819E-13)); #522474=CARTESIAN_POINT('Origin',(0.,0.,0.141666666666667)); #522475=CARTESIAN_POINT('',(4.21742921434124,0.667975165721786,0.141666666666667)); #522476=CARTESIAN_POINT('Origin',(4.07750669942359,0.645813616507753,0.141666666666667)); #522477=CARTESIAN_POINT('Origin',(2.66453525910038E-14,-7.21644966006352E-15, 0.141666666666768)); #522478=CARTESIAN_POINT('Origin',(0.,0.,0.676664086699671)); #522479=CARTESIAN_POINT('',(4.21742921434124,0.667975165721786,1.21166150673268)); #522480=CARTESIAN_POINT('',(4.21742921434124,0.667975165721786,8.85833333333333)); #522481=CARTESIAN_POINT('Origin',(2.66453525910038E-14,-7.21644966006352E-15, 1.21166150673268)); #522482=CARTESIAN_POINT('Origin',(0.,0.,1.21166150673268)); #522483=CARTESIAN_POINT('Origin',(4.07750669942359,0.645813616507753,1.21166150673268)); #522484=CARTESIAN_POINT('Origin',(3.99766855855882,0.633168497250334,4.5)); #522485=CARTESIAN_POINT('Origin',(1.75,0.,0.)); #522486=CARTESIAN_POINT('',(1.425,-3.9801020972289E-17,-1.922)); #522487=CARTESIAN_POINT('Origin',(1.75,0.,-1.922)); #522488=CARTESIAN_POINT('',(1.425,-3.9801020972289E-17,0.)); #522489=CARTESIAN_POINT('',(1.425,3.9801020972289E-17,0.)); #522490=CARTESIAN_POINT('Origin',(1.75,0.,0.)); #522491=CARTESIAN_POINT('Origin',(1.75,0.,0.)); #522492=CARTESIAN_POINT('Origin',(1.75,0.,-1.922)); #522493=CARTESIAN_POINT('Origin',(-1.75,0.,0.)); #522494=CARTESIAN_POINT('',(-1.425,-3.9801020972289E-17,-1.922)); #522495=CARTESIAN_POINT('Origin',(-1.75,0.,-1.922)); #522496=CARTESIAN_POINT('',(-1.425,-3.9801020972289E-17,0.)); #522497=CARTESIAN_POINT('',(-1.425,3.9801020972289E-17,0.)); #522498=CARTESIAN_POINT('Origin',(-1.75,0.,0.)); #522499=CARTESIAN_POINT('Origin',(-1.75,0.,0.)); #522500=CARTESIAN_POINT('Origin',(-1.75,0.,-1.922)); #522501=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,3.36833333333333)); #522502=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.27833333333333)); #522503=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,2.45833333333333)); #522504=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,2.45833333333333)); #522505=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,2.45833333333333)); #522506=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,2.45833333333333)); #522507=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.27833333333333)); #522508=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.27833333333333)); #522509=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.27833333333333)); #522510=CARTESIAN_POINT('Origin',(4.2715,0.,2.45833333333333)); #522511=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,2.45833333333333)); #522512=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 2.45833333333333)); #522513=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,2.45833333333333)); #522514=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,2.45833333333333)); #522515=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 2.45833333333333)); #522516=CARTESIAN_POINT('Origin',(0.,0.,3.36833333333333)); #522517=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.27833333333333)); #522518=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.27833333333333)); #522519=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 4.27833333333333)); #522520=CARTESIAN_POINT('Origin',(4.2705,0.,4.27833333333333)); #522521=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.27833333333333)); #522522=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.27833333333333)); #522523=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 4.27833333333333)); #522524=CARTESIAN_POINT('Origin',(0.,0.,3.36833333333333)); #522525=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,2.45833333333333)); #522526=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,3.36833333333333)); #522527=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,5.5875)); #522528=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.4975)); #522529=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.6775)); #522530=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.6775)); #522531=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.6775)); #522532=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.6775)); #522533=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.4975)); #522534=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.4975)); #522535=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.4975)); #522536=CARTESIAN_POINT('Origin',(4.2715,0.,4.6775)); #522537=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.6775)); #522538=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 4.6775)); #522539=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.6775)); #522540=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.6775)); #522541=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 4.6775)); #522542=CARTESIAN_POINT('Origin',(0.,0.,5.5875)); #522543=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.4975)); #522544=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.4975)); #522545=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 6.4975)); #522546=CARTESIAN_POINT('Origin',(4.2705,0.,6.4975)); #522547=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.4975)); #522548=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.4975)); #522549=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 6.4975)); #522550=CARTESIAN_POINT('Origin',(0.,0.,5.5875)); #522551=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.6775)); #522552=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,5.5875)); #522553=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,7.80666666666667)); #522554=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,8.71666666666667)); #522555=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.89666666666667)); #522556=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.89666666666667)); #522557=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.89666666666667)); #522558=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.89666666666667)); #522559=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,8.71666666666667)); #522560=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,8.71666666666667)); #522561=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,8.71666666666667)); #522562=CARTESIAN_POINT('Origin',(4.2715,0.,6.89666666666667)); #522563=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.89666666666667)); #522564=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 6.89666666666667)); #522565=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.89666666666667)); #522566=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.89666666666667)); #522567=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 6.89666666666667)); #522568=CARTESIAN_POINT('Origin',(0.,0.,7.80666666666667)); #522569=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,8.71666666666667)); #522570=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,8.71666666666667)); #522571=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 8.71666666666667)); #522572=CARTESIAN_POINT('Origin',(4.2705,0.,8.71666666666667)); #522573=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,8.71666666666667)); #522574=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,8.71666666666667)); #522575=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 8.71666666666667)); #522576=CARTESIAN_POINT('Origin',(0.,0.,7.80666666666667)); #522577=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.89666666666667)); #522578=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,7.80666666666667)); #522579=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,3.36833333333333)); #522580=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.27833333333333)); #522581=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,2.45833333333333)); #522582=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,2.45833333333333)); #522583=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,2.45833333333333)); #522584=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,2.45833333333333)); #522585=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.27833333333333)); #522586=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.27833333333333)); #522587=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.27833333333333)); #522588=CARTESIAN_POINT('Origin',(4.2715,0.,2.45833333333333)); #522589=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,2.45833333333333)); #522590=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 2.45833333333333)); #522591=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,2.45833333333333)); #522592=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,2.45833333333333)); #522593=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 2.45833333333333)); #522594=CARTESIAN_POINT('Origin',(0.,0.,3.36833333333333)); #522595=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.27833333333333)); #522596=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.27833333333333)); #522597=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 4.27833333333333)); #522598=CARTESIAN_POINT('Origin',(4.2705,0.,4.27833333333333)); #522599=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.27833333333333)); #522600=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.27833333333333)); #522601=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 4.27833333333333)); #522602=CARTESIAN_POINT('Origin',(0.,0.,3.36833333333333)); #522603=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,2.45833333333333)); #522604=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,3.36833333333333)); #522605=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,5.5875)); #522606=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.4975)); #522607=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.6775)); #522608=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.6775)); #522609=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.6775)); #522610=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.6775)); #522611=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.4975)); #522612=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.4975)); #522613=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.4975)); #522614=CARTESIAN_POINT('Origin',(4.2715,0.,4.6775)); #522615=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.6775)); #522616=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 4.6775)); #522617=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.6775)); #522618=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.6775)); #522619=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 4.6775)); #522620=CARTESIAN_POINT('Origin',(0.,0.,5.5875)); #522621=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.4975)); #522622=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.4975)); #522623=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 6.4975)); #522624=CARTESIAN_POINT('Origin',(4.2705,0.,6.4975)); #522625=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.4975)); #522626=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.4975)); #522627=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 6.4975)); #522628=CARTESIAN_POINT('Origin',(0.,0.,5.5875)); #522629=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.6775)); #522630=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,5.5875)); #522631=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,7.80666666666667)); #522632=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,8.71666666666667)); #522633=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.89666666666667)); #522634=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.89666666666667)); #522635=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.89666666666667)); #522636=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.89666666666667)); #522637=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,8.71666666666667)); #522638=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,8.71666666666667)); #522639=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,8.71666666666667)); #522640=CARTESIAN_POINT('Origin',(4.2715,0.,6.89666666666667)); #522641=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.89666666666667)); #522642=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 6.89666666666667)); #522643=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.89666666666667)); #522644=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.89666666666667)); #522645=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 6.89666666666667)); #522646=CARTESIAN_POINT('Origin',(0.,0.,7.80666666666667)); #522647=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,8.71666666666667)); #522648=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,8.71666666666667)); #522649=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 8.71666666666667)); #522650=CARTESIAN_POINT('Origin',(4.2705,0.,8.71666666666667)); #522651=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,8.71666666666667)); #522652=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,8.71666666666667)); #522653=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 8.71666666666667)); #522654=CARTESIAN_POINT('Origin',(0.,0.,7.80666666666667)); #522655=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.89666666666667)); #522656=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,7.80666666666667)); #522657=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,3.36833333333333)); #522658=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.27833333333333)); #522659=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,2.45833333333333)); #522660=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,2.45833333333333)); #522661=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,2.45833333333333)); #522662=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,2.45833333333333)); #522663=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.27833333333333)); #522664=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.27833333333333)); #522665=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.27833333333333)); #522666=CARTESIAN_POINT('Origin',(4.2715,0.,2.45833333333333)); #522667=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,2.45833333333333)); #522668=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 2.45833333333333)); #522669=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,2.45833333333333)); #522670=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,2.45833333333333)); #522671=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 2.45833333333333)); #522672=CARTESIAN_POINT('Origin',(0.,0.,3.36833333333333)); #522673=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.27833333333333)); #522674=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.27833333333333)); #522675=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 4.27833333333333)); #522676=CARTESIAN_POINT('Origin',(4.2705,0.,4.27833333333333)); #522677=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.27833333333333)); #522678=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.27833333333333)); #522679=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 4.27833333333333)); #522680=CARTESIAN_POINT('Origin',(0.,0.,3.36833333333333)); #522681=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,2.45833333333333)); #522682=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,3.36833333333333)); #522683=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,5.5875)); #522684=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.4975)); #522685=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.6775)); #522686=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,4.6775)); #522687=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.6775)); #522688=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,4.6775)); #522689=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.4975)); #522690=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.4975)); #522691=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.4975)); #522692=CARTESIAN_POINT('Origin',(4.2715,0.,4.6775)); #522693=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.6775)); #522694=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 4.6775)); #522695=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.6775)); #522696=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,4.6775)); #522697=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 4.6775)); #522698=CARTESIAN_POINT('Origin',(0.,0.,5.5875)); #522699=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.4975)); #522700=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.4975)); #522701=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 6.4975)); #522702=CARTESIAN_POINT('Origin',(4.2705,0.,6.4975)); #522703=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.4975)); #522704=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.4975)); #522705=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 6.4975)); #522706=CARTESIAN_POINT('Origin',(0.,0.,5.5875)); #522707=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,4.6775)); #522708=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,5.5875)); #522709=CARTESIAN_POINT('Origin',(4.26514674293679,-0.223526869113613,7.80666666666667)); #522710=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,8.71666666666667)); #522711=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.89666666666667)); #522712=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,6.89666666666667)); #522713=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.89666666666667)); #522714=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,6.89666666666667)); #522715=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,8.71666666666667)); #522716=CARTESIAN_POINT('',(4.26564605770416,-0.223553037091735,8.71666666666667)); #522717=CARTESIAN_POINT('',(4.26464742816941,-0.223500701135492,8.71666666666667)); #522718=CARTESIAN_POINT('Origin',(4.2715,0.,6.89666666666667)); #522719=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.89666666666667)); #522720=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,1.89084858881472E-14, 6.89666666666667)); #522721=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.89666666666667)); #522722=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,6.89666666666667)); #522723=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 6.89666666666667)); #522724=CARTESIAN_POINT('Origin',(0.,0.,7.80666666666667)); #522725=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,8.71666666666667)); #522726=CARTESIAN_POINT('',(4.26564605770416,0.223553037091735,8.71666666666667)); #522727=CARTESIAN_POINT('Origin',(-9.12603326241879E-13,-6.92501611609941E-14, 8.71666666666667)); #522728=CARTESIAN_POINT('Origin',(4.2705,0.,8.71666666666667)); #522729=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,8.71666666666667)); #522730=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,8.71666666666667)); #522731=CARTESIAN_POINT('Origin',(-4.77395900588817E-13,-1.89084858881472E-14, 8.71666666666667)); #522732=CARTESIAN_POINT('Origin',(0.,0.,7.80666666666667)); #522733=CARTESIAN_POINT('',(4.26464742816941,0.223500701135492,6.89666666666667)); #522734=CARTESIAN_POINT('Origin',(4.26514674293679,0.223526869113613,7.80666666666667)); #522735=CARTESIAN_POINT('',(0.,0.,0.)); #522736=CARTESIAN_POINT('',(0.,0.,0.)); #522737=CARTESIAN_POINT('',(0.,0.,0.)); #522738=CARTESIAN_POINT('Origin',(-1.3,1.3,0.75)); #522739=CARTESIAN_POINT('',(-1.375,1.3,0.75)); #522740=CARTESIAN_POINT('Origin',(-1.3,1.3,0.75)); #522741=CARTESIAN_POINT('',(-1.375,1.3,0.675)); #522742=CARTESIAN_POINT('',(-1.375,1.3,0.75)); #522743=CARTESIAN_POINT('Origin',(-1.3,1.3,0.675)); #522744=CARTESIAN_POINT('Origin',(-1.3,1.3,0.675)); #522745=CARTESIAN_POINT('Origin',(1.5625,0.8,0.7125)); #522746=CARTESIAN_POINT('',(1.525,1.525,0.75)); #522747=CARTESIAN_POINT('',(1.525,-1.525,0.75)); #522748=CARTESIAN_POINT('',(1.525,0.8,0.75)); #522749=CARTESIAN_POINT('',(1.6,-1.6,0.675)); #522750=CARTESIAN_POINT('',(1.525,-1.525,0.75)); #522751=CARTESIAN_POINT('',(1.6,1.6,0.675)); #522752=CARTESIAN_POINT('',(1.6,0.8,0.675)); #522753=CARTESIAN_POINT('',(1.6,1.6,0.675)); #522754=CARTESIAN_POINT('Origin',(0.8,-1.5625,0.7125)); #522755=CARTESIAN_POINT('',(-1.6,-1.6,0.675)); #522756=CARTESIAN_POINT('',(0.8,-1.6,0.675)); #522757=CARTESIAN_POINT('',(-1.525,-1.525,0.75)); #522758=CARTESIAN_POINT('',(0.8,-1.525,0.75)); #522759=CARTESIAN_POINT('',(-1.6,-1.6,0.675)); #522760=CARTESIAN_POINT('Origin',(-0.8,1.5625,0.7125)); #522761=CARTESIAN_POINT('',(-1.525,1.525,0.75)); #522762=CARTESIAN_POINT('',(-0.8,1.525,0.75)); #522763=CARTESIAN_POINT('',(-1.6,1.6,0.675)); #522764=CARTESIAN_POINT('',(-0.8,1.6,0.675)); #522765=CARTESIAN_POINT('',(-1.6,1.6,0.675)); #522766=CARTESIAN_POINT('Origin',(-1.5625,-0.8,0.7125)); #522767=CARTESIAN_POINT('',(-1.525,-0.8,0.75)); #522768=CARTESIAN_POINT('',(-1.6,-0.8,0.675)); #522769=CARTESIAN_POINT('Origin',(-1.6,1.6,0.75)); #522770=CARTESIAN_POINT('',(1.6,1.6,0.05)); #522771=CARTESIAN_POINT('',(1.6,1.6,0.75)); #522772=CARTESIAN_POINT('',(-1.6,1.6,0.05)); #522773=CARTESIAN_POINT('',(1.6,1.6,0.05)); #522774=CARTESIAN_POINT('',(-1.6,1.6,0.75)); #522775=CARTESIAN_POINT('Origin',(1.6,1.6,0.75)); #522776=CARTESIAN_POINT('',(1.6,-1.6,0.05)); #522777=CARTESIAN_POINT('',(1.6,-1.6,0.75)); #522778=CARTESIAN_POINT('',(1.6,-1.6,0.05)); #522779=CARTESIAN_POINT('Origin',(0.,0.,0.75)); #522780=CARTESIAN_POINT('Origin',(-1.6,-1.6,0.75)); #522781=CARTESIAN_POINT('',(-1.6,-1.6,0.05)); #522782=CARTESIAN_POINT('',(-1.6,1.6,0.05)); #522783=CARTESIAN_POINT('',(-1.6,-1.6,0.75)); #522784=CARTESIAN_POINT('Origin',(1.6,-1.6,0.75)); #522785=CARTESIAN_POINT('',(-1.6,-1.6,0.05)); #522786=CARTESIAN_POINT('Origin',(0.,0.,0.05)); #522787=CARTESIAN_POINT('Origin',(1.601,1.15,0.)); #522788=CARTESIAN_POINT('',(1.601,1.15,0.)); #522789=CARTESIAN_POINT('',(1.6,1.15,0.)); #522790=CARTESIAN_POINT('',(1.601,1.15,0.)); #522791=CARTESIAN_POINT('',(1.6,1.15,0.14)); #522792=CARTESIAN_POINT('',(1.6,1.15,0.)); #522793=CARTESIAN_POINT('',(1.601,1.15,0.14)); #522794=CARTESIAN_POINT('',(1.601,1.15,0.14)); #522795=CARTESIAN_POINT('',(1.601,1.15,0.)); #522796=CARTESIAN_POINT('Origin',(1.601,0.8,0.)); #522797=CARTESIAN_POINT('',(1.601,0.8,0.)); #522798=CARTESIAN_POINT('',(1.601,0.8,0.)); #522799=CARTESIAN_POINT('',(1.601,0.8,0.14)); #522800=CARTESIAN_POINT('',(1.601,0.8,0.14)); #522801=CARTESIAN_POINT('',(1.601,0.8,0.)); #522802=CARTESIAN_POINT('Origin',(1.6,0.8,0.)); #522803=CARTESIAN_POINT('',(1.6,0.8,0.)); #522804=CARTESIAN_POINT('',(1.101,0.8,0.)); #522805=CARTESIAN_POINT('',(1.6,0.8,0.14)); #522806=CARTESIAN_POINT('',(1.101,0.8,0.14)); #522807=CARTESIAN_POINT('',(1.6,0.8,0.)); #522808=CARTESIAN_POINT('Origin',(1.6,1.15,0.)); #522809=CARTESIAN_POINT('',(1.6,1.15,0.)); #522810=CARTESIAN_POINT('',(1.6,1.15,0.14)); #522811=CARTESIAN_POINT('Origin',(1.6005,0.975,0.14)); #522812=CARTESIAN_POINT('Origin',(1.6005,0.975,0.)); #522813=CARTESIAN_POINT('Origin',(1.6,0.8,0.)); #522814=CARTESIAN_POINT('',(1.6,1.15,0.)); #522815=CARTESIAN_POINT('',(1.6,0.8,0.)); #522816=CARTESIAN_POINT('',(1.6,1.15,0.)); #522817=CARTESIAN_POINT('',(1.6,1.15,0.05)); #522818=CARTESIAN_POINT('',(1.6,1.15,0.)); #522819=CARTESIAN_POINT('',(1.6,0.8,0.05)); #522820=CARTESIAN_POINT('',(1.6,1.15,0.05)); #522821=CARTESIAN_POINT('',(1.6,0.8,0.)); #522822=CARTESIAN_POINT('Origin',(1.275,0.8,0.)); #522823=CARTESIAN_POINT('',(1.275,0.8,0.)); #522824=CARTESIAN_POINT('',(1.101,0.8,0.)); #522825=CARTESIAN_POINT('',(1.275,0.8,0.05)); #522826=CARTESIAN_POINT('',(1.101,0.8,0.05)); #522827=CARTESIAN_POINT('',(1.275,0.8,0.)); #522828=CARTESIAN_POINT('Origin',(1.275,0.975,0.)); #522829=CARTESIAN_POINT('',(1.101,0.956318458307731,0.)); #522830=CARTESIAN_POINT('Origin',(1.275,0.975,0.)); #522831=CARTESIAN_POINT('',(1.101,0.956318458307731,0.05)); #522832=CARTESIAN_POINT('Origin',(1.275,0.975,0.05)); #522833=CARTESIAN_POINT('',(1.101,0.956318458307731,0.)); #522834=CARTESIAN_POINT('Origin',(1.101,0.993681541692269,0.)); #522835=CARTESIAN_POINT('',(1.101,0.993681541692269,0.)); #522836=CARTESIAN_POINT('',(1.101,1.15,0.)); #522837=CARTESIAN_POINT('',(1.101,0.993681541692269,0.05)); #522838=CARTESIAN_POINT('',(1.101,1.15,0.05)); #522839=CARTESIAN_POINT('',(1.101,0.993681541692269,0.)); #522840=CARTESIAN_POINT('Origin',(1.275,0.975,0.)); #522841=CARTESIAN_POINT('',(1.275,1.15,0.)); #522842=CARTESIAN_POINT('Origin',(1.275,0.975,0.)); #522843=CARTESIAN_POINT('',(1.275,1.15,0.05)); #522844=CARTESIAN_POINT('Origin',(1.275,0.975,0.05)); #522845=CARTESIAN_POINT('',(1.275,1.15,0.)); #522846=CARTESIAN_POINT('Origin',(1.6,1.15,0.)); #522847=CARTESIAN_POINT('',(1.601,1.15,0.)); #522848=CARTESIAN_POINT('',(1.601,1.15,0.05)); #522849=CARTESIAN_POINT('Origin',(1.3505,0.975,0.05)); #522850=CARTESIAN_POINT('Origin',(1.3505,0.975,0.)); #522851=CARTESIAN_POINT('Origin',(-1.6,0.8,0.)); #522852=CARTESIAN_POINT('',(-1.6,1.15,0.)); #522853=CARTESIAN_POINT('',(-1.6,0.8,0.)); #522854=CARTESIAN_POINT('',(-1.6,1.15,0.)); #522855=CARTESIAN_POINT('',(-1.6,0.8,0.05)); #522856=CARTESIAN_POINT('',(-1.6,0.8,0.)); #522857=CARTESIAN_POINT('',(-1.6,1.15,0.05)); #522858=CARTESIAN_POINT('',(-1.6,1.15,0.05)); #522859=CARTESIAN_POINT('',(-1.6,1.15,0.)); #522860=CARTESIAN_POINT('Origin',(-1.275,0.8,0.)); #522861=CARTESIAN_POINT('',(-1.275,0.8,0.)); #522862=CARTESIAN_POINT('',(-1.101,0.8,0.)); #522863=CARTESIAN_POINT('',(-1.275,0.8,0.05)); #522864=CARTESIAN_POINT('',(-1.275,0.8,0.)); #522865=CARTESIAN_POINT('',(-1.101,0.8,0.05)); #522866=CARTESIAN_POINT('Origin',(-1.275,0.975,0.)); #522867=CARTESIAN_POINT('',(-1.101,0.956318458307731,0.)); #522868=CARTESIAN_POINT('Origin',(-1.275,0.975,0.)); #522869=CARTESIAN_POINT('',(-1.101,0.956318458307731,0.05)); #522870=CARTESIAN_POINT('',(-1.101,0.956318458307731,0.)); #522871=CARTESIAN_POINT('Origin',(-1.275,0.975,0.05)); #522872=CARTESIAN_POINT('Origin',(-1.101,0.993681541692269,0.)); #522873=CARTESIAN_POINT('',(-1.101,0.993681541692269,0.)); #522874=CARTESIAN_POINT('',(-1.101,1.15,0.)); #522875=CARTESIAN_POINT('',(-1.101,0.993681541692269,0.05)); #522876=CARTESIAN_POINT('',(-1.101,0.993681541692269,0.)); #522877=CARTESIAN_POINT('',(-1.101,1.15,0.05)); #522878=CARTESIAN_POINT('Origin',(-1.275,0.975,0.)); #522879=CARTESIAN_POINT('',(-1.275,1.15,0.)); #522880=CARTESIAN_POINT('Origin',(-1.275,0.975,0.)); #522881=CARTESIAN_POINT('',(-1.275,1.15,0.05)); #522882=CARTESIAN_POINT('',(-1.275,1.15,0.)); #522883=CARTESIAN_POINT('Origin',(-1.275,0.975,0.05)); #522884=CARTESIAN_POINT('Origin',(-1.6,1.15,0.)); #522885=CARTESIAN_POINT('',(-1.601,1.15,0.)); #522886=CARTESIAN_POINT('',(-1.601,1.15,0.05)); #522887=CARTESIAN_POINT('Origin',(-1.3505,0.975,0.05)); #522888=CARTESIAN_POINT('Origin',(-1.3505,0.975,0.)); #522889=CARTESIAN_POINT('Origin',(1.6,0.15,0.)); #522890=CARTESIAN_POINT('',(1.6,0.5,0.)); #522891=CARTESIAN_POINT('',(1.6,0.15,0.)); #522892=CARTESIAN_POINT('',(1.6,0.5,0.)); #522893=CARTESIAN_POINT('',(1.6,0.5,0.05)); #522894=CARTESIAN_POINT('',(1.6,0.5,0.)); #522895=CARTESIAN_POINT('',(1.6,0.15,0.05)); #522896=CARTESIAN_POINT('',(1.6,0.5,0.05)); #522897=CARTESIAN_POINT('',(1.6,0.15,0.)); #522898=CARTESIAN_POINT('Origin',(1.275,0.15,0.)); #522899=CARTESIAN_POINT('',(1.275,0.15,0.)); #522900=CARTESIAN_POINT('',(1.101,0.15,0.)); #522901=CARTESIAN_POINT('',(1.275,0.15,0.05)); #522902=CARTESIAN_POINT('',(1.101,0.15,0.05)); #522903=CARTESIAN_POINT('',(1.275,0.15,0.)); #522904=CARTESIAN_POINT('Origin',(1.275,0.325,0.)); #522905=CARTESIAN_POINT('',(1.101,0.306318458307731,0.)); #522906=CARTESIAN_POINT('Origin',(1.275,0.325,0.)); #522907=CARTESIAN_POINT('',(1.101,0.306318458307731,0.05)); #522908=CARTESIAN_POINT('Origin',(1.275,0.325,0.05)); #522909=CARTESIAN_POINT('',(1.101,0.306318458307731,0.)); #522910=CARTESIAN_POINT('Origin',(1.101,0.343681541692269,0.)); #522911=CARTESIAN_POINT('',(1.101,0.343681541692269,0.)); #522912=CARTESIAN_POINT('',(1.101,0.5,0.)); #522913=CARTESIAN_POINT('',(1.101,0.343681541692269,0.05)); #522914=CARTESIAN_POINT('',(1.101,0.5,0.05)); #522915=CARTESIAN_POINT('',(1.101,0.343681541692269,0.)); #522916=CARTESIAN_POINT('Origin',(1.275,0.325,0.)); #522917=CARTESIAN_POINT('',(1.275,0.5,0.)); #522918=CARTESIAN_POINT('Origin',(1.275,0.325,0.)); #522919=CARTESIAN_POINT('',(1.275,0.5,0.05)); #522920=CARTESIAN_POINT('Origin',(1.275,0.325,0.05)); #522921=CARTESIAN_POINT('',(1.275,0.5,0.)); #522922=CARTESIAN_POINT('Origin',(1.6,0.5,0.)); #522923=CARTESIAN_POINT('',(1.601,0.5,0.)); #522924=CARTESIAN_POINT('',(1.601,0.5,0.05)); #522925=CARTESIAN_POINT('Origin',(1.3505,0.325,0.05)); #522926=CARTESIAN_POINT('Origin',(1.3505,0.325,0.)); #522927=CARTESIAN_POINT('Origin',(1.6,-0.5,0.)); #522928=CARTESIAN_POINT('',(1.6,-0.15,0.)); #522929=CARTESIAN_POINT('',(1.6,-0.5,0.)); #522930=CARTESIAN_POINT('',(1.6,-0.15,0.)); #522931=CARTESIAN_POINT('',(1.6,-0.15,0.05)); #522932=CARTESIAN_POINT('',(1.6,-0.15,0.)); #522933=CARTESIAN_POINT('',(1.6,-0.5,0.05)); #522934=CARTESIAN_POINT('',(1.6,-0.15,0.05)); #522935=CARTESIAN_POINT('',(1.6,-0.5,0.)); #522936=CARTESIAN_POINT('Origin',(1.275,-0.5,0.)); #522937=CARTESIAN_POINT('',(1.275,-0.5,0.)); #522938=CARTESIAN_POINT('',(1.101,-0.5,0.)); #522939=CARTESIAN_POINT('',(1.275,-0.5,0.05)); #522940=CARTESIAN_POINT('',(1.101,-0.5,0.05)); #522941=CARTESIAN_POINT('',(1.275,-0.5,0.)); #522942=CARTESIAN_POINT('Origin',(1.275,-0.325,0.)); #522943=CARTESIAN_POINT('',(1.101,-0.343681541692269,0.)); #522944=CARTESIAN_POINT('Origin',(1.275,-0.325,0.)); #522945=CARTESIAN_POINT('',(1.101,-0.343681541692269,0.05)); #522946=CARTESIAN_POINT('Origin',(1.275,-0.325,0.05)); #522947=CARTESIAN_POINT('',(1.101,-0.343681541692269,0.)); #522948=CARTESIAN_POINT('Origin',(1.101,-0.306318458307731,0.)); #522949=CARTESIAN_POINT('',(1.101,-0.306318458307731,0.)); #522950=CARTESIAN_POINT('',(1.101,-0.15,0.)); #522951=CARTESIAN_POINT('',(1.101,-0.306318458307731,0.05)); #522952=CARTESIAN_POINT('',(1.101,-0.15,0.05)); #522953=CARTESIAN_POINT('',(1.101,-0.306318458307731,0.)); #522954=CARTESIAN_POINT('Origin',(1.275,-0.325,0.)); #522955=CARTESIAN_POINT('',(1.275,-0.15,0.)); #522956=CARTESIAN_POINT('Origin',(1.275,-0.325,0.)); #522957=CARTESIAN_POINT('',(1.275,-0.15,0.05)); #522958=CARTESIAN_POINT('Origin',(1.275,-0.325,0.05)); #522959=CARTESIAN_POINT('',(1.275,-0.15,0.)); #522960=CARTESIAN_POINT('Origin',(1.6,-0.15,0.)); #522961=CARTESIAN_POINT('',(1.601,-0.15,0.)); #522962=CARTESIAN_POINT('',(1.601,-0.15,0.05)); #522963=CARTESIAN_POINT('Origin',(1.3505,-0.325,0.05)); #522964=CARTESIAN_POINT('Origin',(1.3505,-0.325,0.)); #522965=CARTESIAN_POINT('Origin',(1.6,-1.15,0.)); #522966=CARTESIAN_POINT('',(1.6,-0.8,0.)); #522967=CARTESIAN_POINT('',(1.6,-1.15,0.)); #522968=CARTESIAN_POINT('',(1.6,-0.8,0.)); #522969=CARTESIAN_POINT('',(1.6,-0.8,0.05)); #522970=CARTESIAN_POINT('',(1.6,-0.8,0.)); #522971=CARTESIAN_POINT('',(1.6,-1.15,0.05)); #522972=CARTESIAN_POINT('',(1.6,-0.8,0.05)); #522973=CARTESIAN_POINT('',(1.6,-1.15,0.)); #522974=CARTESIAN_POINT('Origin',(1.275,-1.15,0.)); #522975=CARTESIAN_POINT('',(1.275,-1.15,0.)); #522976=CARTESIAN_POINT('',(1.101,-1.15,0.)); #522977=CARTESIAN_POINT('',(1.275,-1.15,0.05)); #522978=CARTESIAN_POINT('',(1.101,-1.15,0.05)); #522979=CARTESIAN_POINT('',(1.275,-1.15,0.)); #522980=CARTESIAN_POINT('Origin',(1.275,-0.975,0.)); #522981=CARTESIAN_POINT('',(1.101,-0.993681541692269,0.)); #522982=CARTESIAN_POINT('Origin',(1.275,-0.975,0.)); #522983=CARTESIAN_POINT('',(1.101,-0.993681541692269,0.05)); #522984=CARTESIAN_POINT('Origin',(1.275,-0.975,0.05)); #522985=CARTESIAN_POINT('',(1.101,-0.993681541692269,0.)); #522986=CARTESIAN_POINT('Origin',(1.101,-0.956318458307731,0.)); #522987=CARTESIAN_POINT('',(1.101,-0.956318458307731,0.)); #522988=CARTESIAN_POINT('',(1.101,-0.8,0.)); #522989=CARTESIAN_POINT('',(1.101,-0.956318458307731,0.05)); #522990=CARTESIAN_POINT('',(1.101,-0.8,0.05)); #522991=CARTESIAN_POINT('',(1.101,-0.956318458307731,0.)); #522992=CARTESIAN_POINT('Origin',(1.275,-0.975,0.)); #522993=CARTESIAN_POINT('',(1.275,-0.8,0.)); #522994=CARTESIAN_POINT('Origin',(1.275,-0.975,0.)); #522995=CARTESIAN_POINT('',(1.275,-0.8,0.05)); #522996=CARTESIAN_POINT('Origin',(1.275,-0.975,0.05)); #522997=CARTESIAN_POINT('',(1.275,-0.8,0.)); #522998=CARTESIAN_POINT('Origin',(1.6,-0.8,0.)); #522999=CARTESIAN_POINT('',(1.601,-0.8,0.)); #523000=CARTESIAN_POINT('',(1.601,-0.8,0.05)); #523001=CARTESIAN_POINT('Origin',(1.3505,-0.975,0.05)); #523002=CARTESIAN_POINT('Origin',(1.3505,-0.975,0.)); #523003=CARTESIAN_POINT('Origin',(-1.6,0.15,0.)); #523004=CARTESIAN_POINT('',(-1.6,0.5,0.)); #523005=CARTESIAN_POINT('',(-1.6,0.15,0.)); #523006=CARTESIAN_POINT('',(-1.6,0.5,0.)); #523007=CARTESIAN_POINT('',(-1.6,0.15,0.05)); #523008=CARTESIAN_POINT('',(-1.6,0.15,0.)); #523009=CARTESIAN_POINT('',(-1.6,0.5,0.05)); #523010=CARTESIAN_POINT('',(-1.6,0.5,0.05)); #523011=CARTESIAN_POINT('',(-1.6,0.5,0.)); #523012=CARTESIAN_POINT('Origin',(-1.275,0.15,0.)); #523013=CARTESIAN_POINT('',(-1.275,0.15,0.)); #523014=CARTESIAN_POINT('',(-1.101,0.15,0.)); #523015=CARTESIAN_POINT('',(-1.275,0.15,0.05)); #523016=CARTESIAN_POINT('',(-1.275,0.15,0.)); #523017=CARTESIAN_POINT('',(-1.101,0.15,0.05)); #523018=CARTESIAN_POINT('Origin',(-1.275,0.325,0.)); #523019=CARTESIAN_POINT('',(-1.101,0.306318458307731,0.)); #523020=CARTESIAN_POINT('Origin',(-1.275,0.325,0.)); #523021=CARTESIAN_POINT('',(-1.101,0.306318458307731,0.05)); #523022=CARTESIAN_POINT('',(-1.101,0.306318458307731,0.)); #523023=CARTESIAN_POINT('Origin',(-1.275,0.325,0.05)); #523024=CARTESIAN_POINT('Origin',(-1.101,0.343681541692269,0.)); #523025=CARTESIAN_POINT('',(-1.101,0.343681541692269,0.)); #523026=CARTESIAN_POINT('',(-1.101,0.5,0.)); #523027=CARTESIAN_POINT('',(-1.101,0.343681541692269,0.05)); #523028=CARTESIAN_POINT('',(-1.101,0.343681541692269,0.)); #523029=CARTESIAN_POINT('',(-1.101,0.5,0.05)); #523030=CARTESIAN_POINT('Origin',(-1.275,0.325,0.)); #523031=CARTESIAN_POINT('',(-1.275,0.5,0.)); #523032=CARTESIAN_POINT('Origin',(-1.275,0.325,0.)); #523033=CARTESIAN_POINT('',(-1.275,0.5,0.05)); #523034=CARTESIAN_POINT('',(-1.275,0.5,0.)); #523035=CARTESIAN_POINT('Origin',(-1.275,0.325,0.05)); #523036=CARTESIAN_POINT('Origin',(-1.6,0.5,0.)); #523037=CARTESIAN_POINT('',(-1.601,0.5,0.)); #523038=CARTESIAN_POINT('',(-1.601,0.5,0.05)); #523039=CARTESIAN_POINT('Origin',(-1.3505,0.325,0.05)); #523040=CARTESIAN_POINT('Origin',(-1.3505,0.325,0.)); #523041=CARTESIAN_POINT('Origin',(-1.6,-0.5,0.)); #523042=CARTESIAN_POINT('',(-1.6,-0.15,0.)); #523043=CARTESIAN_POINT('',(-1.6,-0.5,0.)); #523044=CARTESIAN_POINT('',(-1.6,-0.15,0.)); #523045=CARTESIAN_POINT('',(-1.6,-0.5,0.05)); #523046=CARTESIAN_POINT('',(-1.6,-0.5,0.)); #523047=CARTESIAN_POINT('',(-1.6,-0.15,0.05)); #523048=CARTESIAN_POINT('',(-1.6,-0.15,0.05)); #523049=CARTESIAN_POINT('',(-1.6,-0.15,0.)); #523050=CARTESIAN_POINT('Origin',(-1.275,-0.5,0.)); #523051=CARTESIAN_POINT('',(-1.275,-0.5,0.)); #523052=CARTESIAN_POINT('',(-1.101,-0.5,0.)); #523053=CARTESIAN_POINT('',(-1.275,-0.5,0.05)); #523054=CARTESIAN_POINT('',(-1.275,-0.5,0.)); #523055=CARTESIAN_POINT('',(-1.101,-0.5,0.05)); #523056=CARTESIAN_POINT('Origin',(-1.275,-0.325,0.)); #523057=CARTESIAN_POINT('',(-1.101,-0.343681541692269,0.)); #523058=CARTESIAN_POINT('Origin',(-1.275,-0.325,0.)); #523059=CARTESIAN_POINT('',(-1.101,-0.343681541692269,0.05)); #523060=CARTESIAN_POINT('',(-1.101,-0.343681541692269,0.)); #523061=CARTESIAN_POINT('Origin',(-1.275,-0.325,0.05)); #523062=CARTESIAN_POINT('Origin',(-1.101,-0.306318458307731,0.)); #523063=CARTESIAN_POINT('',(-1.101,-0.306318458307731,0.)); #523064=CARTESIAN_POINT('',(-1.101,-0.15,0.)); #523065=CARTESIAN_POINT('',(-1.101,-0.306318458307731,0.05)); #523066=CARTESIAN_POINT('',(-1.101,-0.306318458307731,0.)); #523067=CARTESIAN_POINT('',(-1.101,-0.15,0.05)); #523068=CARTESIAN_POINT('Origin',(-1.275,-0.325,0.)); #523069=CARTESIAN_POINT('',(-1.275,-0.15,0.)); #523070=CARTESIAN_POINT('Origin',(-1.275,-0.325,0.)); #523071=CARTESIAN_POINT('',(-1.275,-0.15,0.05)); #523072=CARTESIAN_POINT('',(-1.275,-0.15,0.)); #523073=CARTESIAN_POINT('Origin',(-1.275,-0.325,0.05)); #523074=CARTESIAN_POINT('Origin',(-1.6,-0.15,0.)); #523075=CARTESIAN_POINT('',(-1.601,-0.15,0.)); #523076=CARTESIAN_POINT('',(-1.601,-0.15,0.05)); #523077=CARTESIAN_POINT('Origin',(-1.3505,-0.325,0.05)); #523078=CARTESIAN_POINT('Origin',(-1.3505,-0.325,0.)); #523079=CARTESIAN_POINT('Origin',(-1.6,-1.15,0.)); #523080=CARTESIAN_POINT('',(-1.6,-0.8,0.)); #523081=CARTESIAN_POINT('',(-1.6,-1.15,0.)); #523082=CARTESIAN_POINT('',(-1.6,-0.8,0.)); #523083=CARTESIAN_POINT('',(-1.6,-1.15,0.05)); #523084=CARTESIAN_POINT('',(-1.6,-1.15,0.)); #523085=CARTESIAN_POINT('',(-1.6,-0.8,0.05)); #523086=CARTESIAN_POINT('',(-1.6,-0.8,0.05)); #523087=CARTESIAN_POINT('',(-1.6,-0.8,0.)); #523088=CARTESIAN_POINT('Origin',(-1.275,-1.15,0.)); #523089=CARTESIAN_POINT('',(-1.275,-1.15,0.)); #523090=CARTESIAN_POINT('',(-1.101,-1.15,0.)); #523091=CARTESIAN_POINT('',(-1.275,-1.15,0.05)); #523092=CARTESIAN_POINT('',(-1.275,-1.15,0.)); #523093=CARTESIAN_POINT('',(-1.101,-1.15,0.05)); #523094=CARTESIAN_POINT('Origin',(-1.275,-0.975,0.)); #523095=CARTESIAN_POINT('',(-1.101,-0.993681541692269,0.)); #523096=CARTESIAN_POINT('Origin',(-1.275,-0.975,0.)); #523097=CARTESIAN_POINT('',(-1.101,-0.993681541692269,0.05)); #523098=CARTESIAN_POINT('',(-1.101,-0.993681541692269,0.)); #523099=CARTESIAN_POINT('Origin',(-1.275,-0.975,0.05)); #523100=CARTESIAN_POINT('Origin',(-1.101,-0.956318458307731,0.)); #523101=CARTESIAN_POINT('',(-1.101,-0.956318458307731,0.)); #523102=CARTESIAN_POINT('',(-1.101,-0.8,0.)); #523103=CARTESIAN_POINT('',(-1.101,-0.956318458307731,0.05)); #523104=CARTESIAN_POINT('',(-1.101,-0.956318458307731,0.)); #523105=CARTESIAN_POINT('',(-1.101,-0.8,0.05)); #523106=CARTESIAN_POINT('Origin',(-1.275,-0.975,0.)); #523107=CARTESIAN_POINT('',(-1.275,-0.8,0.)); #523108=CARTESIAN_POINT('Origin',(-1.275,-0.975,0.)); #523109=CARTESIAN_POINT('',(-1.275,-0.8,0.05)); #523110=CARTESIAN_POINT('',(-1.275,-0.8,0.)); #523111=CARTESIAN_POINT('Origin',(-1.275,-0.975,0.05)); #523112=CARTESIAN_POINT('Origin',(-1.6,-0.8,0.)); #523113=CARTESIAN_POINT('',(-1.601,-0.8,0.)); #523114=CARTESIAN_POINT('',(-1.601,-0.8,0.05)); #523115=CARTESIAN_POINT('Origin',(-1.3505,-0.975,0.05)); #523116=CARTESIAN_POINT('Origin',(-1.3505,-0.975,0.)); #523117=CARTESIAN_POINT('Origin',(-1.601,1.15,0.)); #523118=CARTESIAN_POINT('',(-1.601,1.15,0.)); #523119=CARTESIAN_POINT('',(-1.6,1.15,0.)); #523120=CARTESIAN_POINT('',(-1.601,1.15,0.)); #523121=CARTESIAN_POINT('',(-1.601,1.15,0.14)); #523122=CARTESIAN_POINT('',(-1.601,1.15,0.)); #523123=CARTESIAN_POINT('',(-1.6,1.15,0.14)); #523124=CARTESIAN_POINT('',(-1.601,1.15,0.14)); #523125=CARTESIAN_POINT('',(-1.6,1.15,0.)); #523126=CARTESIAN_POINT('Origin',(-1.601,0.8,0.)); #523127=CARTESIAN_POINT('',(-1.601,0.8,0.)); #523128=CARTESIAN_POINT('',(-1.601,0.8,0.)); #523129=CARTESIAN_POINT('',(-1.601,0.8,0.14)); #523130=CARTESIAN_POINT('',(-1.601,0.8,0.)); #523131=CARTESIAN_POINT('',(-1.601,0.8,0.14)); #523132=CARTESIAN_POINT('Origin',(-1.6,0.8,0.)); #523133=CARTESIAN_POINT('',(-1.6,0.8,0.)); #523134=CARTESIAN_POINT('',(-1.101,0.8,0.)); #523135=CARTESIAN_POINT('',(-1.6,0.8,0.14)); #523136=CARTESIAN_POINT('',(-1.6,0.8,0.)); #523137=CARTESIAN_POINT('',(-1.101,0.8,0.14)); #523138=CARTESIAN_POINT('Origin',(-1.6,1.15,0.)); #523139=CARTESIAN_POINT('',(-1.6,1.15,0.)); #523140=CARTESIAN_POINT('',(-1.6,1.15,0.14)); #523141=CARTESIAN_POINT('Origin',(-1.6005,0.975,0.14)); #523142=CARTESIAN_POINT('Origin',(-1.6005,0.975,0.)); #523143=CARTESIAN_POINT('Origin',(1.601,0.5,0.)); #523144=CARTESIAN_POINT('',(1.601,0.5,0.)); #523145=CARTESIAN_POINT('',(1.6,0.5,0.)); #523146=CARTESIAN_POINT('',(1.601,0.5,0.)); #523147=CARTESIAN_POINT('',(1.6,0.5,0.14)); #523148=CARTESIAN_POINT('',(1.6,0.5,0.)); #523149=CARTESIAN_POINT('',(1.601,0.5,0.14)); #523150=CARTESIAN_POINT('',(1.601,0.5,0.14)); #523151=CARTESIAN_POINT('',(1.601,0.5,0.)); #523152=CARTESIAN_POINT('Origin',(1.601,0.15,0.)); #523153=CARTESIAN_POINT('',(1.601,0.15,0.)); #523154=CARTESIAN_POINT('',(1.601,0.15,0.)); #523155=CARTESIAN_POINT('',(1.601,0.15,0.14)); #523156=CARTESIAN_POINT('',(1.601,0.15,0.14)); #523157=CARTESIAN_POINT('',(1.601,0.15,0.)); #523158=CARTESIAN_POINT('Origin',(1.6,0.15,0.)); #523159=CARTESIAN_POINT('',(1.6,0.15,0.)); #523160=CARTESIAN_POINT('',(1.101,0.15,0.)); #523161=CARTESIAN_POINT('',(1.6,0.15,0.14)); #523162=CARTESIAN_POINT('',(1.101,0.15,0.14)); #523163=CARTESIAN_POINT('',(1.6,0.15,0.)); #523164=CARTESIAN_POINT('Origin',(1.6,0.5,0.)); #523165=CARTESIAN_POINT('',(1.6,0.5,0.)); #523166=CARTESIAN_POINT('',(1.6,0.5,0.14)); #523167=CARTESIAN_POINT('Origin',(1.6005,0.325,0.14)); #523168=CARTESIAN_POINT('Origin',(1.6005,0.325,0.)); #523169=CARTESIAN_POINT('Origin',(1.601,-0.15,0.)); #523170=CARTESIAN_POINT('',(1.601,-0.15,0.)); #523171=CARTESIAN_POINT('',(1.6,-0.15,0.)); #523172=CARTESIAN_POINT('',(1.601,-0.15,0.)); #523173=CARTESIAN_POINT('',(1.6,-0.15,0.14)); #523174=CARTESIAN_POINT('',(1.6,-0.15,0.)); #523175=CARTESIAN_POINT('',(1.601,-0.15,0.14)); #523176=CARTESIAN_POINT('',(1.601,-0.15,0.14)); #523177=CARTESIAN_POINT('',(1.601,-0.15,0.)); #523178=CARTESIAN_POINT('Origin',(1.601,-0.5,0.)); #523179=CARTESIAN_POINT('',(1.601,-0.5,0.)); #523180=CARTESIAN_POINT('',(1.601,-0.5,0.)); #523181=CARTESIAN_POINT('',(1.601,-0.5,0.14)); #523182=CARTESIAN_POINT('',(1.601,-0.5,0.14)); #523183=CARTESIAN_POINT('',(1.601,-0.5,0.)); #523184=CARTESIAN_POINT('Origin',(1.6,-0.5,0.)); #523185=CARTESIAN_POINT('',(1.6,-0.5,0.)); #523186=CARTESIAN_POINT('',(1.101,-0.5,0.)); #523187=CARTESIAN_POINT('',(1.6,-0.5,0.14)); #523188=CARTESIAN_POINT('',(1.101,-0.5,0.14)); #523189=CARTESIAN_POINT('',(1.6,-0.5,0.)); #523190=CARTESIAN_POINT('Origin',(1.6,-0.15,0.)); #523191=CARTESIAN_POINT('',(1.6,-0.15,0.)); #523192=CARTESIAN_POINT('',(1.6,-0.15,0.14)); #523193=CARTESIAN_POINT('Origin',(1.6005,-0.325,0.14)); #523194=CARTESIAN_POINT('Origin',(1.6005,-0.325,0.)); #523195=CARTESIAN_POINT('Origin',(1.601,-0.8,0.)); #523196=CARTESIAN_POINT('',(1.601,-0.8,0.)); #523197=CARTESIAN_POINT('',(1.6,-0.8,0.)); #523198=CARTESIAN_POINT('',(1.601,-0.8,0.)); #523199=CARTESIAN_POINT('',(1.6,-0.8,0.14)); #523200=CARTESIAN_POINT('',(1.6,-0.8,0.)); #523201=CARTESIAN_POINT('',(1.601,-0.8,0.14)); #523202=CARTESIAN_POINT('',(1.601,-0.8,0.14)); #523203=CARTESIAN_POINT('',(1.601,-0.8,0.)); #523204=CARTESIAN_POINT('Origin',(1.601,-1.15,0.)); #523205=CARTESIAN_POINT('',(1.601,-1.15,0.)); #523206=CARTESIAN_POINT('',(1.601,-1.15,0.)); #523207=CARTESIAN_POINT('',(1.601,-1.15,0.14)); #523208=CARTESIAN_POINT('',(1.601,-1.15,0.14)); #523209=CARTESIAN_POINT('',(1.601,-1.15,0.)); #523210=CARTESIAN_POINT('Origin',(1.6,-1.15,0.)); #523211=CARTESIAN_POINT('',(1.6,-1.15,0.)); #523212=CARTESIAN_POINT('',(1.101,-1.15,0.)); #523213=CARTESIAN_POINT('',(1.6,-1.15,0.14)); #523214=CARTESIAN_POINT('',(1.101,-1.15,0.14)); #523215=CARTESIAN_POINT('',(1.6,-1.15,0.)); #523216=CARTESIAN_POINT('Origin',(1.6,-0.8,0.)); #523217=CARTESIAN_POINT('',(1.6,-0.8,0.)); #523218=CARTESIAN_POINT('',(1.6,-0.8,0.14)); #523219=CARTESIAN_POINT('Origin',(1.6005,-0.975,0.14)); #523220=CARTESIAN_POINT('Origin',(1.6005,-0.975,0.)); #523221=CARTESIAN_POINT('Origin',(-1.601,0.5,0.)); #523222=CARTESIAN_POINT('',(-1.601,0.5,0.)); #523223=CARTESIAN_POINT('',(-1.6,0.5,0.)); #523224=CARTESIAN_POINT('',(-1.601,0.5,0.)); #523225=CARTESIAN_POINT('',(-1.601,0.5,0.14)); #523226=CARTESIAN_POINT('',(-1.601,0.5,0.)); #523227=CARTESIAN_POINT('',(-1.6,0.5,0.14)); #523228=CARTESIAN_POINT('',(-1.601,0.5,0.14)); #523229=CARTESIAN_POINT('',(-1.6,0.5,0.)); #523230=CARTESIAN_POINT('Origin',(-1.601,0.15,0.)); #523231=CARTESIAN_POINT('',(-1.601,0.15,0.)); #523232=CARTESIAN_POINT('',(-1.601,0.15,0.)); #523233=CARTESIAN_POINT('',(-1.601,0.15,0.14)); #523234=CARTESIAN_POINT('',(-1.601,0.15,0.)); #523235=CARTESIAN_POINT('',(-1.601,0.15,0.14)); #523236=CARTESIAN_POINT('Origin',(-1.6,0.15,0.)); #523237=CARTESIAN_POINT('',(-1.6,0.15,0.)); #523238=CARTESIAN_POINT('',(-1.101,0.15,0.)); #523239=CARTESIAN_POINT('',(-1.6,0.15,0.14)); #523240=CARTESIAN_POINT('',(-1.6,0.15,0.)); #523241=CARTESIAN_POINT('',(-1.101,0.15,0.14)); #523242=CARTESIAN_POINT('Origin',(-1.6,0.5,0.)); #523243=CARTESIAN_POINT('',(-1.6,0.5,0.)); #523244=CARTESIAN_POINT('',(-1.6,0.5,0.14)); #523245=CARTESIAN_POINT('Origin',(-1.6005,0.325,0.14)); #523246=CARTESIAN_POINT('Origin',(-1.6005,0.325,0.)); #523247=CARTESIAN_POINT('Origin',(-1.601,-0.15,0.)); #523248=CARTESIAN_POINT('',(-1.601,-0.15,0.)); #523249=CARTESIAN_POINT('',(-1.6,-0.15,0.)); #523250=CARTESIAN_POINT('',(-1.601,-0.15,0.)); #523251=CARTESIAN_POINT('',(-1.601,-0.15,0.14)); #523252=CARTESIAN_POINT('',(-1.601,-0.15,0.)); #523253=CARTESIAN_POINT('',(-1.6,-0.15,0.14)); #523254=CARTESIAN_POINT('',(-1.601,-0.15,0.14)); #523255=CARTESIAN_POINT('',(-1.6,-0.15,0.)); #523256=CARTESIAN_POINT('Origin',(-1.601,-0.5,0.)); #523257=CARTESIAN_POINT('',(-1.601,-0.5,0.)); #523258=CARTESIAN_POINT('',(-1.601,-0.5,0.)); #523259=CARTESIAN_POINT('',(-1.601,-0.5,0.14)); #523260=CARTESIAN_POINT('',(-1.601,-0.5,0.)); #523261=CARTESIAN_POINT('',(-1.601,-0.5,0.14)); #523262=CARTESIAN_POINT('Origin',(-1.6,-0.5,0.)); #523263=CARTESIAN_POINT('',(-1.6,-0.5,0.)); #523264=CARTESIAN_POINT('',(-1.101,-0.5,0.)); #523265=CARTESIAN_POINT('',(-1.6,-0.5,0.14)); #523266=CARTESIAN_POINT('',(-1.6,-0.5,0.)); #523267=CARTESIAN_POINT('',(-1.101,-0.5,0.14)); #523268=CARTESIAN_POINT('Origin',(-1.6,-0.15,0.)); #523269=CARTESIAN_POINT('',(-1.6,-0.15,0.)); #523270=CARTESIAN_POINT('',(-1.6,-0.15,0.14)); #523271=CARTESIAN_POINT('Origin',(-1.6005,-0.325,0.14)); #523272=CARTESIAN_POINT('Origin',(-1.6005,-0.325,0.)); #523273=CARTESIAN_POINT('Origin',(-1.601,-0.8,0.)); #523274=CARTESIAN_POINT('',(-1.601,-0.8,0.)); #523275=CARTESIAN_POINT('',(-1.6,-0.8,0.)); #523276=CARTESIAN_POINT('',(-1.601,-0.8,0.)); #523277=CARTESIAN_POINT('',(-1.601,-0.8,0.14)); #523278=CARTESIAN_POINT('',(-1.601,-0.8,0.)); #523279=CARTESIAN_POINT('',(-1.6,-0.8,0.14)); #523280=CARTESIAN_POINT('',(-1.601,-0.8,0.14)); #523281=CARTESIAN_POINT('',(-1.6,-0.8,0.)); #523282=CARTESIAN_POINT('Origin',(-1.601,-1.15,0.)); #523283=CARTESIAN_POINT('',(-1.601,-1.15,0.)); #523284=CARTESIAN_POINT('',(-1.601,-1.15,0.)); #523285=CARTESIAN_POINT('',(-1.601,-1.15,0.14)); #523286=CARTESIAN_POINT('',(-1.601,-1.15,0.)); #523287=CARTESIAN_POINT('',(-1.601,-1.15,0.14)); #523288=CARTESIAN_POINT('Origin',(-1.6,-1.15,0.)); #523289=CARTESIAN_POINT('',(-1.6,-1.15,0.)); #523290=CARTESIAN_POINT('',(-1.101,-1.15,0.)); #523291=CARTESIAN_POINT('',(-1.6,-1.15,0.14)); #523292=CARTESIAN_POINT('',(-1.6,-1.15,0.)); #523293=CARTESIAN_POINT('',(-1.101,-1.15,0.14)); #523294=CARTESIAN_POINT('Origin',(-1.6,-0.8,0.)); #523295=CARTESIAN_POINT('',(-1.6,-0.8,0.)); #523296=CARTESIAN_POINT('',(-1.6,-0.8,0.14)); #523297=CARTESIAN_POINT('Origin',(-1.6005,-0.975,0.14)); #523298=CARTESIAN_POINT('Origin',(-1.6005,-0.975,0.)); #523299=CARTESIAN_POINT('Origin',(-0.625,-1.175,0.)); #523300=CARTESIAN_POINT('',(-0.625,-1.175,0.)); #523301=CARTESIAN_POINT('',(0.625,-1.175,0.)); #523302=CARTESIAN_POINT('',(-0.625,-1.175,0.)); #523303=CARTESIAN_POINT('',(0.625,-1.175,0.05)); #523304=CARTESIAN_POINT('',(0.625,-1.175,0.)); #523305=CARTESIAN_POINT('',(-0.625,-1.175,0.05)); #523306=CARTESIAN_POINT('',(-0.625,-1.175,0.05)); #523307=CARTESIAN_POINT('',(-0.625,-1.175,0.)); #523308=CARTESIAN_POINT('Origin',(-0.625,1.175,0.)); #523309=CARTESIAN_POINT('',(-0.625,1.175,0.)); #523310=CARTESIAN_POINT('',(-0.625,1.175,0.)); #523311=CARTESIAN_POINT('',(-0.625,1.175,0.05)); #523312=CARTESIAN_POINT('',(-0.625,1.175,0.05)); #523313=CARTESIAN_POINT('',(-0.625,1.175,0.)); #523314=CARTESIAN_POINT('Origin',(0.625,1.175,0.)); #523315=CARTESIAN_POINT('',(0.625,1.175,0.)); #523316=CARTESIAN_POINT('',(0.625,1.175,0.)); #523317=CARTESIAN_POINT('',(0.625,1.175,0.05)); #523318=CARTESIAN_POINT('',(0.625,1.175,0.05)); #523319=CARTESIAN_POINT('',(0.625,1.175,0.)); #523320=CARTESIAN_POINT('Origin',(0.625,-1.175,0.)); #523321=CARTESIAN_POINT('',(0.625,-1.175,0.)); #523322=CARTESIAN_POINT('',(0.625,-1.175,0.05)); #523323=CARTESIAN_POINT('Origin',(0.,1.38777878078145E-16,0.05)); #523324=CARTESIAN_POINT('Origin',(0.,1.38777878078145E-16,0.)); #523325=CARTESIAN_POINT('',(0.,0.,0.)); #523326=CARTESIAN_POINT('',(0.,0.,0.)); #523327=CARTESIAN_POINT('',(0.,0.,0.)); #523328=CARTESIAN_POINT('Origin',(-2.7,2.2,1.)); #523329=CARTESIAN_POINT('',(-2.775,2.2,1.)); #523330=CARTESIAN_POINT('Origin',(-2.7,2.2,1.)); #523331=CARTESIAN_POINT('',(-2.775,2.2,0.9)); #523332=CARTESIAN_POINT('',(-2.775,2.2,1.)); #523333=CARTESIAN_POINT('Origin',(-2.7,2.2,0.9)); #523334=CARTESIAN_POINT('Origin',(-2.7,2.2,0.9)); #523335=CARTESIAN_POINT('Origin',(3.,1.275,0.95)); #523336=CARTESIAN_POINT('',(2.95,2.45,1.)); #523337=CARTESIAN_POINT('',(2.95,-2.45,1.)); #523338=CARTESIAN_POINT('',(2.95,1.275,1.)); #523339=CARTESIAN_POINT('',(3.05,-2.55,0.9)); #523340=CARTESIAN_POINT('',(2.95,-2.45,1.)); #523341=CARTESIAN_POINT('',(3.05,2.55,0.9)); #523342=CARTESIAN_POINT('',(3.05,1.275,0.9)); #523343=CARTESIAN_POINT('',(3.05,2.55,0.9)); #523344=CARTESIAN_POINT('Origin',(1.525,-2.5,0.95)); #523345=CARTESIAN_POINT('',(-3.05,-2.55,0.9)); #523346=CARTESIAN_POINT('',(1.525,-2.55,0.9)); #523347=CARTESIAN_POINT('',(-2.95,-2.45,1.)); #523348=CARTESIAN_POINT('',(1.525,-2.45,1.)); #523349=CARTESIAN_POINT('',(-3.05,-2.55,0.9)); #523350=CARTESIAN_POINT('Origin',(-1.525,2.5,0.95)); #523351=CARTESIAN_POINT('',(-2.95,2.45,1.)); #523352=CARTESIAN_POINT('',(-1.525,2.45,1.)); #523353=CARTESIAN_POINT('',(-3.05,2.55,0.9)); #523354=CARTESIAN_POINT('',(-1.525,2.55,0.9)); #523355=CARTESIAN_POINT('',(-3.05,2.55,0.9)); #523356=CARTESIAN_POINT('Origin',(-3.,-1.275,0.95)); #523357=CARTESIAN_POINT('',(-2.95,-1.275,1.)); #523358=CARTESIAN_POINT('',(-3.05,-1.275,0.9)); #523359=CARTESIAN_POINT('Origin',(-3.05,2.55,1.)); #523360=CARTESIAN_POINT('',(3.05,2.55,0.05)); #523361=CARTESIAN_POINT('',(3.05,2.55,1.)); #523362=CARTESIAN_POINT('',(-3.05,2.55,0.05)); #523363=CARTESIAN_POINT('',(3.05,2.55,0.05)); #523364=CARTESIAN_POINT('',(-3.05,2.55,1.)); #523365=CARTESIAN_POINT('Origin',(3.05,2.55,1.)); #523366=CARTESIAN_POINT('',(3.05,-2.55,0.05)); #523367=CARTESIAN_POINT('',(3.05,-2.55,1.)); #523368=CARTESIAN_POINT('',(3.05,-2.55,0.05)); #523369=CARTESIAN_POINT('Origin',(0.,0.,1.)); #523370=CARTESIAN_POINT('Origin',(-3.05,-2.55,1.)); #523371=CARTESIAN_POINT('',(-3.05,-2.55,0.05)); #523372=CARTESIAN_POINT('',(-3.05,2.55,0.05)); #523373=CARTESIAN_POINT('',(-3.05,-2.55,1.)); #523374=CARTESIAN_POINT('Origin',(3.05,-2.55,1.)); #523375=CARTESIAN_POINT('',(-3.05,-2.55,0.05)); #523376=CARTESIAN_POINT('Origin',(0.,0.,0.05)); #523377=CARTESIAN_POINT('Origin',(3.051,2.155,0.)); #523378=CARTESIAN_POINT('',(3.051,2.155,0.)); #523379=CARTESIAN_POINT('',(3.05,2.155,0.)); #523380=CARTESIAN_POINT('',(3.051,2.155,0.)); #523381=CARTESIAN_POINT('',(3.05,2.155,0.19)); #523382=CARTESIAN_POINT('',(3.05,2.155,0.)); #523383=CARTESIAN_POINT('',(3.051,2.155,0.19)); #523384=CARTESIAN_POINT('',(3.051,2.155,0.19)); #523385=CARTESIAN_POINT('',(3.051,2.155,0.)); #523386=CARTESIAN_POINT('Origin',(3.051,1.655,0.)); #523387=CARTESIAN_POINT('',(3.051,1.655,0.)); #523388=CARTESIAN_POINT('',(3.051,1.655,0.)); #523389=CARTESIAN_POINT('',(3.051,1.655,0.19)); #523390=CARTESIAN_POINT('',(3.051,1.655,0.19)); #523391=CARTESIAN_POINT('',(3.051,1.655,0.)); #523392=CARTESIAN_POINT('Origin',(3.05,1.655,0.)); #523393=CARTESIAN_POINT('',(3.05,1.655,0.)); #523394=CARTESIAN_POINT('',(2.151,1.655,0.)); #523395=CARTESIAN_POINT('',(3.05,1.655,0.19)); #523396=CARTESIAN_POINT('',(2.151,1.655,0.19)); #523397=CARTESIAN_POINT('',(3.05,1.655,0.)); #523398=CARTESIAN_POINT('Origin',(3.05,2.155,0.)); #523399=CARTESIAN_POINT('',(3.05,2.155,0.)); #523400=CARTESIAN_POINT('',(3.05,2.155,0.19)); #523401=CARTESIAN_POINT('Origin',(3.0505,1.905,0.19)); #523402=CARTESIAN_POINT('Origin',(3.0505,1.905,0.)); #523403=CARTESIAN_POINT('Origin',(3.05,1.655,0.)); #523404=CARTESIAN_POINT('',(3.05,2.155,0.)); #523405=CARTESIAN_POINT('',(3.05,1.655,0.)); #523406=CARTESIAN_POINT('',(3.05,2.155,0.)); #523407=CARTESIAN_POINT('',(3.05,2.155,0.05)); #523408=CARTESIAN_POINT('',(3.05,2.155,0.)); #523409=CARTESIAN_POINT('',(3.05,1.655,0.05)); #523410=CARTESIAN_POINT('',(3.05,2.155,0.05)); #523411=CARTESIAN_POINT('',(3.05,1.655,0.)); #523412=CARTESIAN_POINT('Origin',(2.4,1.655,0.)); #523413=CARTESIAN_POINT('',(2.4,1.655,0.)); #523414=CARTESIAN_POINT('',(2.151,1.655,0.)); #523415=CARTESIAN_POINT('',(2.4,1.655,0.05)); #523416=CARTESIAN_POINT('',(2.151,1.655,0.05)); #523417=CARTESIAN_POINT('',(2.4,1.655,0.)); #523418=CARTESIAN_POINT('Origin',(2.4,1.905,0.)); #523419=CARTESIAN_POINT('',(2.151,1.88266169209631,0.)); #523420=CARTESIAN_POINT('Origin',(2.4,1.905,0.)); #523421=CARTESIAN_POINT('',(2.151,1.88266169209631,0.05)); #523422=CARTESIAN_POINT('Origin',(2.4,1.905,0.05)); #523423=CARTESIAN_POINT('',(2.151,1.88266169209631,0.)); #523424=CARTESIAN_POINT('Origin',(2.151,1.92733830790369,0.)); #523425=CARTESIAN_POINT('',(2.151,1.92733830790369,0.)); #523426=CARTESIAN_POINT('',(2.151,2.155,0.)); #523427=CARTESIAN_POINT('',(2.151,1.92733830790369,0.05)); #523428=CARTESIAN_POINT('',(2.151,2.155,0.05)); #523429=CARTESIAN_POINT('',(2.151,1.92733830790369,0.)); #523430=CARTESIAN_POINT('Origin',(2.4,1.905,0.)); #523431=CARTESIAN_POINT('',(2.4,2.155,0.)); #523432=CARTESIAN_POINT('Origin',(2.4,1.905,0.)); #523433=CARTESIAN_POINT('',(2.4,2.155,0.05)); #523434=CARTESIAN_POINT('Origin',(2.4,1.905,0.05)); #523435=CARTESIAN_POINT('',(2.4,2.155,0.)); #523436=CARTESIAN_POINT('Origin',(3.05,2.155,0.)); #523437=CARTESIAN_POINT('',(3.051,2.155,0.)); #523438=CARTESIAN_POINT('',(3.051,2.155,0.05)); #523439=CARTESIAN_POINT('Origin',(2.6005,1.905,0.05)); #523440=CARTESIAN_POINT('Origin',(2.6005,1.905,0.)); #523441=CARTESIAN_POINT('Origin',(-3.05,1.655,0.)); #523442=CARTESIAN_POINT('',(-3.05,2.155,0.)); #523443=CARTESIAN_POINT('',(-3.05,1.655,0.)); #523444=CARTESIAN_POINT('',(-3.05,2.155,0.)); #523445=CARTESIAN_POINT('',(-3.05,1.655,0.05)); #523446=CARTESIAN_POINT('',(-3.05,1.655,0.)); #523447=CARTESIAN_POINT('',(-3.05,2.155,0.05)); #523448=CARTESIAN_POINT('',(-3.05,2.155,0.05)); #523449=CARTESIAN_POINT('',(-3.05,2.155,0.)); #523450=CARTESIAN_POINT('Origin',(-2.4,1.655,0.)); #523451=CARTESIAN_POINT('',(-2.4,1.655,0.)); #523452=CARTESIAN_POINT('',(-2.151,1.655,0.)); #523453=CARTESIAN_POINT('',(-2.4,1.655,0.05)); #523454=CARTESIAN_POINT('',(-2.4,1.655,0.)); #523455=CARTESIAN_POINT('',(-2.151,1.655,0.05)); #523456=CARTESIAN_POINT('Origin',(-2.4,1.905,0.)); #523457=CARTESIAN_POINT('',(-2.151,1.88266169209631,0.)); #523458=CARTESIAN_POINT('Origin',(-2.4,1.905,0.)); #523459=CARTESIAN_POINT('',(-2.151,1.88266169209631,0.05)); #523460=CARTESIAN_POINT('',(-2.151,1.88266169209631,0.)); #523461=CARTESIAN_POINT('Origin',(-2.4,1.905,0.05)); #523462=CARTESIAN_POINT('Origin',(-2.151,1.92733830790369,0.)); #523463=CARTESIAN_POINT('',(-2.151,1.92733830790369,0.)); #523464=CARTESIAN_POINT('',(-2.151,2.155,0.)); #523465=CARTESIAN_POINT('',(-2.151,1.92733830790369,0.05)); #523466=CARTESIAN_POINT('',(-2.151,1.92733830790369,0.)); #523467=CARTESIAN_POINT('',(-2.151,2.155,0.05)); #523468=CARTESIAN_POINT('Origin',(-2.4,1.905,0.)); #523469=CARTESIAN_POINT('',(-2.4,2.155,0.)); #523470=CARTESIAN_POINT('Origin',(-2.4,1.905,0.)); #523471=CARTESIAN_POINT('',(-2.4,2.155,0.05)); #523472=CARTESIAN_POINT('',(-2.4,2.155,0.)); #523473=CARTESIAN_POINT('Origin',(-2.4,1.905,0.05)); #523474=CARTESIAN_POINT('Origin',(-3.05,2.155,0.)); #523475=CARTESIAN_POINT('',(-3.051,2.155,0.)); #523476=CARTESIAN_POINT('',(-3.051,2.155,0.05)); #523477=CARTESIAN_POINT('Origin',(-2.6005,1.905,0.05)); #523478=CARTESIAN_POINT('Origin',(-2.6005,1.905,0.)); #523479=CARTESIAN_POINT('Origin',(3.05,0.385,0.)); #523480=CARTESIAN_POINT('',(3.05,0.885,0.)); #523481=CARTESIAN_POINT('',(3.05,0.385,0.)); #523482=CARTESIAN_POINT('',(3.05,0.885,0.)); #523483=CARTESIAN_POINT('',(3.05,0.885,0.05)); #523484=CARTESIAN_POINT('',(3.05,0.885,0.)); #523485=CARTESIAN_POINT('',(3.05,0.385,0.05)); #523486=CARTESIAN_POINT('',(3.05,0.885,0.05)); #523487=CARTESIAN_POINT('',(3.05,0.385,0.)); #523488=CARTESIAN_POINT('Origin',(2.4,0.385,0.)); #523489=CARTESIAN_POINT('',(2.4,0.385,0.)); #523490=CARTESIAN_POINT('',(2.151,0.385,0.)); #523491=CARTESIAN_POINT('',(2.4,0.385,0.05)); #523492=CARTESIAN_POINT('',(2.151,0.385,0.05)); #523493=CARTESIAN_POINT('',(2.4,0.385,0.)); #523494=CARTESIAN_POINT('Origin',(2.4,0.635,0.)); #523495=CARTESIAN_POINT('',(2.151,0.612661692096306,0.)); #523496=CARTESIAN_POINT('Origin',(2.4,0.635,0.)); #523497=CARTESIAN_POINT('',(2.151,0.612661692096306,0.05)); #523498=CARTESIAN_POINT('Origin',(2.4,0.635,0.05)); #523499=CARTESIAN_POINT('',(2.151,0.612661692096306,0.)); #523500=CARTESIAN_POINT('Origin',(2.151,0.657338307903694,0.)); #523501=CARTESIAN_POINT('',(2.151,0.657338307903694,0.)); #523502=CARTESIAN_POINT('',(2.151,0.885,0.)); #523503=CARTESIAN_POINT('',(2.151,0.657338307903694,0.05)); #523504=CARTESIAN_POINT('',(2.151,0.885,0.05)); #523505=CARTESIAN_POINT('',(2.151,0.657338307903694,0.)); #523506=CARTESIAN_POINT('Origin',(2.4,0.635,0.)); #523507=CARTESIAN_POINT('',(2.4,0.885,0.)); #523508=CARTESIAN_POINT('Origin',(2.4,0.635,0.)); #523509=CARTESIAN_POINT('',(2.4,0.885,0.05)); #523510=CARTESIAN_POINT('Origin',(2.4,0.635,0.05)); #523511=CARTESIAN_POINT('',(2.4,0.885,0.)); #523512=CARTESIAN_POINT('Origin',(3.05,0.885,0.)); #523513=CARTESIAN_POINT('',(3.051,0.885,0.)); #523514=CARTESIAN_POINT('',(3.051,0.885,0.05)); #523515=CARTESIAN_POINT('Origin',(2.6005,0.635,0.05)); #523516=CARTESIAN_POINT('Origin',(2.6005,0.635,0.)); #523517=CARTESIAN_POINT('Origin',(3.05,-0.885,0.)); #523518=CARTESIAN_POINT('',(3.05,-0.385,0.)); #523519=CARTESIAN_POINT('',(3.05,-0.885,0.)); #523520=CARTESIAN_POINT('',(3.05,-0.385,0.)); #523521=CARTESIAN_POINT('',(3.05,-0.385,0.05)); #523522=CARTESIAN_POINT('',(3.05,-0.385,0.)); #523523=CARTESIAN_POINT('',(3.05,-0.885,0.05)); #523524=CARTESIAN_POINT('',(3.05,-0.385,0.05)); #523525=CARTESIAN_POINT('',(3.05,-0.885,0.)); #523526=CARTESIAN_POINT('Origin',(2.4,-0.885,0.)); #523527=CARTESIAN_POINT('',(2.4,-0.885,0.)); #523528=CARTESIAN_POINT('',(2.151,-0.885,0.)); #523529=CARTESIAN_POINT('',(2.4,-0.885,0.05)); #523530=CARTESIAN_POINT('',(2.151,-0.885,0.05)); #523531=CARTESIAN_POINT('',(2.4,-0.885,0.)); #523532=CARTESIAN_POINT('Origin',(2.4,-0.635,0.)); #523533=CARTESIAN_POINT('',(2.151,-0.657338307903694,0.)); #523534=CARTESIAN_POINT('Origin',(2.4,-0.635,0.)); #523535=CARTESIAN_POINT('',(2.151,-0.657338307903694,0.05)); #523536=CARTESIAN_POINT('Origin',(2.4,-0.635,0.05)); #523537=CARTESIAN_POINT('',(2.151,-0.657338307903694,0.)); #523538=CARTESIAN_POINT('Origin',(2.151,-0.612661692096306,0.)); #523539=CARTESIAN_POINT('',(2.151,-0.612661692096306,0.)); #523540=CARTESIAN_POINT('',(2.151,-0.385,0.)); #523541=CARTESIAN_POINT('',(2.151,-0.612661692096306,0.05)); #523542=CARTESIAN_POINT('',(2.151,-0.385,0.05)); #523543=CARTESIAN_POINT('',(2.151,-0.612661692096306,0.)); #523544=CARTESIAN_POINT('Origin',(2.4,-0.635,0.)); #523545=CARTESIAN_POINT('',(2.4,-0.385,0.)); #523546=CARTESIAN_POINT('Origin',(2.4,-0.635,0.)); #523547=CARTESIAN_POINT('',(2.4,-0.385,0.05)); #523548=CARTESIAN_POINT('Origin',(2.4,-0.635,0.05)); #523549=CARTESIAN_POINT('',(2.4,-0.385,0.)); #523550=CARTESIAN_POINT('Origin',(3.05,-0.385,0.)); #523551=CARTESIAN_POINT('',(3.051,-0.385,0.)); #523552=CARTESIAN_POINT('',(3.051,-0.385,0.05)); #523553=CARTESIAN_POINT('Origin',(2.6005,-0.635,0.05)); #523554=CARTESIAN_POINT('Origin',(2.6005,-0.635,0.)); #523555=CARTESIAN_POINT('Origin',(3.05,-2.155,0.)); #523556=CARTESIAN_POINT('',(3.05,-1.655,0.)); #523557=CARTESIAN_POINT('',(3.05,-2.155,0.)); #523558=CARTESIAN_POINT('',(3.05,-1.655,0.)); #523559=CARTESIAN_POINT('',(3.05,-1.655,0.05)); #523560=CARTESIAN_POINT('',(3.05,-1.655,0.)); #523561=CARTESIAN_POINT('',(3.05,-2.155,0.05)); #523562=CARTESIAN_POINT('',(3.05,-1.655,0.05)); #523563=CARTESIAN_POINT('',(3.05,-2.155,0.)); #523564=CARTESIAN_POINT('Origin',(2.4,-2.155,0.)); #523565=CARTESIAN_POINT('',(2.4,-2.155,0.)); #523566=CARTESIAN_POINT('',(2.151,-2.155,0.)); #523567=CARTESIAN_POINT('',(2.4,-2.155,0.05)); #523568=CARTESIAN_POINT('',(2.151,-2.155,0.05)); #523569=CARTESIAN_POINT('',(2.4,-2.155,0.)); #523570=CARTESIAN_POINT('Origin',(2.4,-1.905,0.)); #523571=CARTESIAN_POINT('',(2.151,-1.92733830790369,0.)); #523572=CARTESIAN_POINT('Origin',(2.4,-1.905,0.)); #523573=CARTESIAN_POINT('',(2.151,-1.92733830790369,0.05)); #523574=CARTESIAN_POINT('Origin',(2.4,-1.905,0.05)); #523575=CARTESIAN_POINT('',(2.151,-1.92733830790369,0.)); #523576=CARTESIAN_POINT('Origin',(2.151,-1.88266169209631,0.)); #523577=CARTESIAN_POINT('',(2.151,-1.88266169209631,0.)); #523578=CARTESIAN_POINT('',(2.151,-1.655,0.)); #523579=CARTESIAN_POINT('',(2.151,-1.88266169209631,0.05)); #523580=CARTESIAN_POINT('',(2.151,-1.655,0.05)); #523581=CARTESIAN_POINT('',(2.151,-1.88266169209631,0.)); #523582=CARTESIAN_POINT('Origin',(2.4,-1.905,0.)); #523583=CARTESIAN_POINT('',(2.4,-1.655,0.)); #523584=CARTESIAN_POINT('Origin',(2.4,-1.905,0.)); #523585=CARTESIAN_POINT('',(2.4,-1.655,0.05)); #523586=CARTESIAN_POINT('Origin',(2.4,-1.905,0.05)); #523587=CARTESIAN_POINT('',(2.4,-1.655,0.)); #523588=CARTESIAN_POINT('Origin',(3.05,-1.655,0.)); #523589=CARTESIAN_POINT('',(3.051,-1.655,0.)); #523590=CARTESIAN_POINT('',(3.051,-1.655,0.05)); #523591=CARTESIAN_POINT('Origin',(2.6005,-1.905,0.05)); #523592=CARTESIAN_POINT('Origin',(2.6005,-1.905,0.)); #523593=CARTESIAN_POINT('Origin',(-3.05,0.385,0.)); #523594=CARTESIAN_POINT('',(-3.05,0.885,0.)); #523595=CARTESIAN_POINT('',(-3.05,0.385,0.)); #523596=CARTESIAN_POINT('',(-3.05,0.885,0.)); #523597=CARTESIAN_POINT('',(-3.05,0.385,0.05)); #523598=CARTESIAN_POINT('',(-3.05,0.385,0.)); #523599=CARTESIAN_POINT('',(-3.05,0.885,0.05)); #523600=CARTESIAN_POINT('',(-3.05,0.885,0.05)); #523601=CARTESIAN_POINT('',(-3.05,0.885,0.)); #523602=CARTESIAN_POINT('Origin',(-2.4,0.385,0.)); #523603=CARTESIAN_POINT('',(-2.4,0.385,0.)); #523604=CARTESIAN_POINT('',(-2.151,0.385,0.)); #523605=CARTESIAN_POINT('',(-2.4,0.385,0.05)); #523606=CARTESIAN_POINT('',(-2.4,0.385,0.)); #523607=CARTESIAN_POINT('',(-2.151,0.385,0.05)); #523608=CARTESIAN_POINT('Origin',(-2.4,0.635,0.)); #523609=CARTESIAN_POINT('',(-2.151,0.612661692096306,0.)); #523610=CARTESIAN_POINT('Origin',(-2.4,0.635,0.)); #523611=CARTESIAN_POINT('',(-2.151,0.612661692096306,0.05)); #523612=CARTESIAN_POINT('',(-2.151,0.612661692096306,0.)); #523613=CARTESIAN_POINT('Origin',(-2.4,0.635,0.05)); #523614=CARTESIAN_POINT('Origin',(-2.151,0.657338307903694,0.)); #523615=CARTESIAN_POINT('',(-2.151,0.657338307903694,0.)); #523616=CARTESIAN_POINT('',(-2.151,0.885,0.)); #523617=CARTESIAN_POINT('',(-2.151,0.657338307903694,0.05)); #523618=CARTESIAN_POINT('',(-2.151,0.657338307903694,0.)); #523619=CARTESIAN_POINT('',(-2.151,0.885,0.05)); #523620=CARTESIAN_POINT('Origin',(-2.4,0.635,0.)); #523621=CARTESIAN_POINT('',(-2.4,0.885,0.)); #523622=CARTESIAN_POINT('Origin',(-2.4,0.635,0.)); #523623=CARTESIAN_POINT('',(-2.4,0.885,0.05)); #523624=CARTESIAN_POINT('',(-2.4,0.885,0.)); #523625=CARTESIAN_POINT('Origin',(-2.4,0.635,0.05)); #523626=CARTESIAN_POINT('Origin',(-3.05,0.885,0.)); #523627=CARTESIAN_POINT('',(-3.051,0.885,0.)); #523628=CARTESIAN_POINT('',(-3.051,0.885,0.05)); #523629=CARTESIAN_POINT('Origin',(-2.6005,0.635,0.05)); #523630=CARTESIAN_POINT('Origin',(-2.6005,0.635,0.)); #523631=CARTESIAN_POINT('Origin',(-3.05,-0.885,0.)); #523632=CARTESIAN_POINT('',(-3.05,-0.385,0.)); #523633=CARTESIAN_POINT('',(-3.05,-0.885,0.)); #523634=CARTESIAN_POINT('',(-3.05,-0.385,0.)); #523635=CARTESIAN_POINT('',(-3.05,-0.885,0.05)); #523636=CARTESIAN_POINT('',(-3.05,-0.885,0.)); #523637=CARTESIAN_POINT('',(-3.05,-0.385,0.05)); #523638=CARTESIAN_POINT('',(-3.05,-0.385,0.05)); #523639=CARTESIAN_POINT('',(-3.05,-0.385,0.)); #523640=CARTESIAN_POINT('Origin',(-2.4,-0.885,0.)); #523641=CARTESIAN_POINT('',(-2.4,-0.885,0.)); #523642=CARTESIAN_POINT('',(-2.151,-0.885,0.)); #523643=CARTESIAN_POINT('',(-2.4,-0.885,0.05)); #523644=CARTESIAN_POINT('',(-2.4,-0.885,0.)); #523645=CARTESIAN_POINT('',(-2.151,-0.885,0.05)); #523646=CARTESIAN_POINT('Origin',(-2.4,-0.635,0.)); #523647=CARTESIAN_POINT('',(-2.151,-0.657338307903694,0.)); #523648=CARTESIAN_POINT('Origin',(-2.4,-0.635,0.)); #523649=CARTESIAN_POINT('',(-2.151,-0.657338307903694,0.05)); #523650=CARTESIAN_POINT('',(-2.151,-0.657338307903694,0.)); #523651=CARTESIAN_POINT('Origin',(-2.4,-0.635,0.05)); #523652=CARTESIAN_POINT('Origin',(-2.151,-0.612661692096306,0.)); #523653=CARTESIAN_POINT('',(-2.151,-0.612661692096306,0.)); #523654=CARTESIAN_POINT('',(-2.151,-0.385,0.)); #523655=CARTESIAN_POINT('',(-2.151,-0.612661692096306,0.05)); #523656=CARTESIAN_POINT('',(-2.151,-0.612661692096306,0.)); #523657=CARTESIAN_POINT('',(-2.151,-0.385,0.05)); #523658=CARTESIAN_POINT('Origin',(-2.4,-0.635,0.)); #523659=CARTESIAN_POINT('',(-2.4,-0.385,0.)); #523660=CARTESIAN_POINT('Origin',(-2.4,-0.635,0.)); #523661=CARTESIAN_POINT('',(-2.4,-0.385,0.05)); #523662=CARTESIAN_POINT('',(-2.4,-0.385,0.)); #523663=CARTESIAN_POINT('Origin',(-2.4,-0.635,0.05)); #523664=CARTESIAN_POINT('Origin',(-3.05,-0.385,0.)); #523665=CARTESIAN_POINT('',(-3.051,-0.385,0.)); #523666=CARTESIAN_POINT('',(-3.051,-0.385,0.05)); #523667=CARTESIAN_POINT('Origin',(-2.6005,-0.635,0.05)); #523668=CARTESIAN_POINT('Origin',(-2.6005,-0.635,0.)); #523669=CARTESIAN_POINT('Origin',(-3.05,-2.155,0.)); #523670=CARTESIAN_POINT('',(-3.05,-1.655,0.)); #523671=CARTESIAN_POINT('',(-3.05,-2.155,0.)); #523672=CARTESIAN_POINT('',(-3.05,-1.655,0.)); #523673=CARTESIAN_POINT('',(-3.05,-2.155,0.05)); #523674=CARTESIAN_POINT('',(-3.05,-2.155,0.)); #523675=CARTESIAN_POINT('',(-3.05,-1.655,0.05)); #523676=CARTESIAN_POINT('',(-3.05,-1.655,0.05)); #523677=CARTESIAN_POINT('',(-3.05,-1.655,0.)); #523678=CARTESIAN_POINT('Origin',(-2.4,-2.155,0.)); #523679=CARTESIAN_POINT('',(-2.4,-2.155,0.)); #523680=CARTESIAN_POINT('',(-2.151,-2.155,0.)); #523681=CARTESIAN_POINT('',(-2.4,-2.155,0.05)); #523682=CARTESIAN_POINT('',(-2.4,-2.155,0.)); #523683=CARTESIAN_POINT('',(-2.151,-2.155,0.05)); #523684=CARTESIAN_POINT('Origin',(-2.4,-1.905,0.)); #523685=CARTESIAN_POINT('',(-2.151,-1.92733830790369,0.)); #523686=CARTESIAN_POINT('Origin',(-2.4,-1.905,0.)); #523687=CARTESIAN_POINT('',(-2.151,-1.92733830790369,0.05)); #523688=CARTESIAN_POINT('',(-2.151,-1.92733830790369,0.)); #523689=CARTESIAN_POINT('Origin',(-2.4,-1.905,0.05)); #523690=CARTESIAN_POINT('Origin',(-2.151,-1.88266169209631,0.)); #523691=CARTESIAN_POINT('',(-2.151,-1.88266169209631,0.)); #523692=CARTESIAN_POINT('',(-2.151,-1.655,0.)); #523693=CARTESIAN_POINT('',(-2.151,-1.88266169209631,0.05)); #523694=CARTESIAN_POINT('',(-2.151,-1.88266169209631,0.)); #523695=CARTESIAN_POINT('',(-2.151,-1.655,0.05)); #523696=CARTESIAN_POINT('Origin',(-2.4,-1.905,0.)); #523697=CARTESIAN_POINT('',(-2.4,-1.655,0.)); #523698=CARTESIAN_POINT('Origin',(-2.4,-1.905,0.)); #523699=CARTESIAN_POINT('',(-2.4,-1.655,0.05)); #523700=CARTESIAN_POINT('',(-2.4,-1.655,0.)); #523701=CARTESIAN_POINT('Origin',(-2.4,-1.905,0.05)); #523702=CARTESIAN_POINT('Origin',(-3.05,-1.655,0.)); #523703=CARTESIAN_POINT('',(-3.051,-1.655,0.)); #523704=CARTESIAN_POINT('',(-3.051,-1.655,0.05)); #523705=CARTESIAN_POINT('Origin',(-2.6005,-1.905,0.05)); #523706=CARTESIAN_POINT('Origin',(-2.6005,-1.905,0.)); #523707=CARTESIAN_POINT('Origin',(-3.051,2.155,0.)); #523708=CARTESIAN_POINT('',(-3.051,2.155,0.)); #523709=CARTESIAN_POINT('',(-3.05,2.155,0.)); #523710=CARTESIAN_POINT('',(-3.051,2.155,0.)); #523711=CARTESIAN_POINT('',(-3.051,2.155,0.19)); #523712=CARTESIAN_POINT('',(-3.051,2.155,0.)); #523713=CARTESIAN_POINT('',(-3.05,2.155,0.19)); #523714=CARTESIAN_POINT('',(-3.051,2.155,0.19)); #523715=CARTESIAN_POINT('',(-3.05,2.155,0.)); #523716=CARTESIAN_POINT('Origin',(-3.051,1.655,0.)); #523717=CARTESIAN_POINT('',(-3.051,1.655,0.)); #523718=CARTESIAN_POINT('',(-3.051,1.655,0.)); #523719=CARTESIAN_POINT('',(-3.051,1.655,0.19)); #523720=CARTESIAN_POINT('',(-3.051,1.655,0.)); #523721=CARTESIAN_POINT('',(-3.051,1.655,0.19)); #523722=CARTESIAN_POINT('Origin',(-3.05,1.655,0.)); #523723=CARTESIAN_POINT('',(-3.05,1.655,0.)); #523724=CARTESIAN_POINT('',(-2.151,1.655,0.)); #523725=CARTESIAN_POINT('',(-3.05,1.655,0.19)); #523726=CARTESIAN_POINT('',(-3.05,1.655,0.)); #523727=CARTESIAN_POINT('',(-2.151,1.655,0.19)); #523728=CARTESIAN_POINT('Origin',(-3.05,2.155,0.)); #523729=CARTESIAN_POINT('',(-3.05,2.155,0.)); #523730=CARTESIAN_POINT('',(-3.05,2.155,0.19)); #523731=CARTESIAN_POINT('Origin',(-3.0505,1.905,0.19)); #523732=CARTESIAN_POINT('Origin',(-3.0505,1.905,0.)); #523733=CARTESIAN_POINT('Origin',(3.051,0.885,0.)); #523734=CARTESIAN_POINT('',(3.051,0.885,0.)); #523735=CARTESIAN_POINT('',(3.05,0.885,0.)); #523736=CARTESIAN_POINT('',(3.051,0.885,0.)); #523737=CARTESIAN_POINT('',(3.05,0.885,0.19)); #523738=CARTESIAN_POINT('',(3.05,0.885,0.)); #523739=CARTESIAN_POINT('',(3.051,0.885,0.19)); #523740=CARTESIAN_POINT('',(3.051,0.885,0.19)); #523741=CARTESIAN_POINT('',(3.051,0.885,0.)); #523742=CARTESIAN_POINT('Origin',(3.051,0.385,0.)); #523743=CARTESIAN_POINT('',(3.051,0.385,0.)); #523744=CARTESIAN_POINT('',(3.051,0.385,0.)); #523745=CARTESIAN_POINT('',(3.051,0.385,0.19)); #523746=CARTESIAN_POINT('',(3.051,0.385,0.19)); #523747=CARTESIAN_POINT('',(3.051,0.385,0.)); #523748=CARTESIAN_POINT('Origin',(3.05,0.385,0.)); #523749=CARTESIAN_POINT('',(3.05,0.385,0.)); #523750=CARTESIAN_POINT('',(2.151,0.385,0.)); #523751=CARTESIAN_POINT('',(3.05,0.385,0.19)); #523752=CARTESIAN_POINT('',(2.151,0.385,0.19)); #523753=CARTESIAN_POINT('',(3.05,0.385,0.)); #523754=CARTESIAN_POINT('Origin',(3.05,0.885,0.)); #523755=CARTESIAN_POINT('',(3.05,0.885,0.)); #523756=CARTESIAN_POINT('',(3.05,0.885,0.19)); #523757=CARTESIAN_POINT('Origin',(3.0505,0.635,0.19)); #523758=CARTESIAN_POINT('Origin',(3.0505,0.635,0.)); #523759=CARTESIAN_POINT('Origin',(3.051,-0.385,0.)); #523760=CARTESIAN_POINT('',(3.051,-0.385,0.)); #523761=CARTESIAN_POINT('',(3.05,-0.385,0.)); #523762=CARTESIAN_POINT('',(3.051,-0.385,0.)); #523763=CARTESIAN_POINT('',(3.05,-0.385,0.19)); #523764=CARTESIAN_POINT('',(3.05,-0.385,0.)); #523765=CARTESIAN_POINT('',(3.051,-0.385,0.19)); #523766=CARTESIAN_POINT('',(3.051,-0.385,0.19)); #523767=CARTESIAN_POINT('',(3.051,-0.385,0.)); #523768=CARTESIAN_POINT('Origin',(3.051,-0.885,0.)); #523769=CARTESIAN_POINT('',(3.051,-0.885,0.)); #523770=CARTESIAN_POINT('',(3.051,-0.885,0.)); #523771=CARTESIAN_POINT('',(3.051,-0.885,0.19)); #523772=CARTESIAN_POINT('',(3.051,-0.885,0.19)); #523773=CARTESIAN_POINT('',(3.051,-0.885,0.)); #523774=CARTESIAN_POINT('Origin',(3.05,-0.885,0.)); #523775=CARTESIAN_POINT('',(3.05,-0.885,0.)); #523776=CARTESIAN_POINT('',(2.151,-0.885,0.)); #523777=CARTESIAN_POINT('',(3.05,-0.885,0.19)); #523778=CARTESIAN_POINT('',(2.151,-0.885,0.19)); #523779=CARTESIAN_POINT('',(3.05,-0.885,0.)); #523780=CARTESIAN_POINT('Origin',(3.05,-0.385,0.)); #523781=CARTESIAN_POINT('',(3.05,-0.385,0.)); #523782=CARTESIAN_POINT('',(3.05,-0.385,0.19)); #523783=CARTESIAN_POINT('Origin',(3.0505,-0.635,0.19)); #523784=CARTESIAN_POINT('Origin',(3.0505,-0.635,0.)); #523785=CARTESIAN_POINT('Origin',(3.051,-1.655,0.)); #523786=CARTESIAN_POINT('',(3.051,-1.655,0.)); #523787=CARTESIAN_POINT('',(3.05,-1.655,0.)); #523788=CARTESIAN_POINT('',(3.051,-1.655,0.)); #523789=CARTESIAN_POINT('',(3.05,-1.655,0.19)); #523790=CARTESIAN_POINT('',(3.05,-1.655,0.)); #523791=CARTESIAN_POINT('',(3.051,-1.655,0.19)); #523792=CARTESIAN_POINT('',(3.051,-1.655,0.19)); #523793=CARTESIAN_POINT('',(3.051,-1.655,0.)); #523794=CARTESIAN_POINT('Origin',(3.051,-2.155,0.)); #523795=CARTESIAN_POINT('',(3.051,-2.155,0.)); #523796=CARTESIAN_POINT('',(3.051,-2.155,0.)); #523797=CARTESIAN_POINT('',(3.051,-2.155,0.19)); #523798=CARTESIAN_POINT('',(3.051,-2.155,0.19)); #523799=CARTESIAN_POINT('',(3.051,-2.155,0.)); #523800=CARTESIAN_POINT('Origin',(3.05,-2.155,0.)); #523801=CARTESIAN_POINT('',(3.05,-2.155,0.)); #523802=CARTESIAN_POINT('',(2.151,-2.155,0.)); #523803=CARTESIAN_POINT('',(3.05,-2.155,0.19)); #523804=CARTESIAN_POINT('',(2.151,-2.155,0.19)); #523805=CARTESIAN_POINT('',(3.05,-2.155,0.)); #523806=CARTESIAN_POINT('Origin',(3.05,-1.655,0.)); #523807=CARTESIAN_POINT('',(3.05,-1.655,0.)); #523808=CARTESIAN_POINT('',(3.05,-1.655,0.19)); #523809=CARTESIAN_POINT('Origin',(3.0505,-1.905,0.19)); #523810=CARTESIAN_POINT('Origin',(3.0505,-1.905,0.)); #523811=CARTESIAN_POINT('Origin',(-3.051,0.885,0.)); #523812=CARTESIAN_POINT('',(-3.051,0.885,0.)); #523813=CARTESIAN_POINT('',(-3.05,0.885,0.)); #523814=CARTESIAN_POINT('',(-3.051,0.885,0.)); #523815=CARTESIAN_POINT('',(-3.051,0.885,0.19)); #523816=CARTESIAN_POINT('',(-3.051,0.885,0.)); #523817=CARTESIAN_POINT('',(-3.05,0.885,0.19)); #523818=CARTESIAN_POINT('',(-3.051,0.885,0.19)); #523819=CARTESIAN_POINT('',(-3.05,0.885,0.)); #523820=CARTESIAN_POINT('Origin',(-3.051,0.385,0.)); #523821=CARTESIAN_POINT('',(-3.051,0.385,0.)); #523822=CARTESIAN_POINT('',(-3.051,0.385,0.)); #523823=CARTESIAN_POINT('',(-3.051,0.385,0.19)); #523824=CARTESIAN_POINT('',(-3.051,0.385,0.)); #523825=CARTESIAN_POINT('',(-3.051,0.385,0.19)); #523826=CARTESIAN_POINT('Origin',(-3.05,0.385,0.)); #523827=CARTESIAN_POINT('',(-3.05,0.385,0.)); #523828=CARTESIAN_POINT('',(-2.151,0.385,0.)); #523829=CARTESIAN_POINT('',(-3.05,0.385,0.19)); #523830=CARTESIAN_POINT('',(-3.05,0.385,0.)); #523831=CARTESIAN_POINT('',(-2.151,0.385,0.19)); #523832=CARTESIAN_POINT('Origin',(-3.05,0.885,0.)); #523833=CARTESIAN_POINT('',(-3.05,0.885,0.)); #523834=CARTESIAN_POINT('',(-3.05,0.885,0.19)); #523835=CARTESIAN_POINT('Origin',(-3.0505,0.635,0.19)); #523836=CARTESIAN_POINT('Origin',(-3.0505,0.635,0.)); #523837=CARTESIAN_POINT('Origin',(-3.051,-0.385,0.)); #523838=CARTESIAN_POINT('',(-3.051,-0.385,0.)); #523839=CARTESIAN_POINT('',(-3.05,-0.385,0.)); #523840=CARTESIAN_POINT('',(-3.051,-0.385,0.)); #523841=CARTESIAN_POINT('',(-3.051,-0.385,0.19)); #523842=CARTESIAN_POINT('',(-3.051,-0.385,0.)); #523843=CARTESIAN_POINT('',(-3.05,-0.385,0.19)); #523844=CARTESIAN_POINT('',(-3.051,-0.385,0.19)); #523845=CARTESIAN_POINT('',(-3.05,-0.385,0.)); #523846=CARTESIAN_POINT('Origin',(-3.051,-0.885,0.)); #523847=CARTESIAN_POINT('',(-3.051,-0.885,0.)); #523848=CARTESIAN_POINT('',(-3.051,-0.885,0.)); #523849=CARTESIAN_POINT('',(-3.051,-0.885,0.19)); #523850=CARTESIAN_POINT('',(-3.051,-0.885,0.)); #523851=CARTESIAN_POINT('',(-3.051,-0.885,0.19)); #523852=CARTESIAN_POINT('Origin',(-3.05,-0.885,0.)); #523853=CARTESIAN_POINT('',(-3.05,-0.885,0.)); #523854=CARTESIAN_POINT('',(-2.151,-0.885,0.)); #523855=CARTESIAN_POINT('',(-3.05,-0.885,0.19)); #523856=CARTESIAN_POINT('',(-3.05,-0.885,0.)); #523857=CARTESIAN_POINT('',(-2.151,-0.885,0.19)); #523858=CARTESIAN_POINT('Origin',(-3.05,-0.385,0.)); #523859=CARTESIAN_POINT('',(-3.05,-0.385,0.)); #523860=CARTESIAN_POINT('',(-3.05,-0.385,0.19)); #523861=CARTESIAN_POINT('Origin',(-3.0505,-0.635,0.19)); #523862=CARTESIAN_POINT('Origin',(-3.0505,-0.635,0.)); #523863=CARTESIAN_POINT('Origin',(-3.051,-1.655,0.)); #523864=CARTESIAN_POINT('',(-3.051,-1.655,0.)); #523865=CARTESIAN_POINT('',(-3.05,-1.655,0.)); #523866=CARTESIAN_POINT('',(-3.051,-1.655,0.)); #523867=CARTESIAN_POINT('',(-3.051,-1.655,0.19)); #523868=CARTESIAN_POINT('',(-3.051,-1.655,0.)); #523869=CARTESIAN_POINT('',(-3.05,-1.655,0.19)); #523870=CARTESIAN_POINT('',(-3.051,-1.655,0.19)); #523871=CARTESIAN_POINT('',(-3.05,-1.655,0.)); #523872=CARTESIAN_POINT('Origin',(-3.051,-2.155,0.)); #523873=CARTESIAN_POINT('',(-3.051,-2.155,0.)); #523874=CARTESIAN_POINT('',(-3.051,-2.155,0.)); #523875=CARTESIAN_POINT('',(-3.051,-2.155,0.19)); #523876=CARTESIAN_POINT('',(-3.051,-2.155,0.)); #523877=CARTESIAN_POINT('',(-3.051,-2.155,0.19)); #523878=CARTESIAN_POINT('Origin',(-3.05,-2.155,0.)); #523879=CARTESIAN_POINT('',(-3.05,-2.155,0.)); #523880=CARTESIAN_POINT('',(-2.151,-2.155,0.)); #523881=CARTESIAN_POINT('',(-3.05,-2.155,0.19)); #523882=CARTESIAN_POINT('',(-3.05,-2.155,0.)); #523883=CARTESIAN_POINT('',(-2.151,-2.155,0.19)); #523884=CARTESIAN_POINT('Origin',(-3.05,-1.655,0.)); #523885=CARTESIAN_POINT('',(-3.05,-1.655,0.)); #523886=CARTESIAN_POINT('',(-3.05,-1.655,0.19)); #523887=CARTESIAN_POINT('Origin',(-3.0505,-1.905,0.19)); #523888=CARTESIAN_POINT('Origin',(-3.0505,-1.905,0.)); #523889=CARTESIAN_POINT('Origin',(-1.25,-2.205,0.)); #523890=CARTESIAN_POINT('',(-1.25,-2.205,0.)); #523891=CARTESIAN_POINT('',(1.25,-2.205,0.)); #523892=CARTESIAN_POINT('',(-1.25,-2.205,0.)); #523893=CARTESIAN_POINT('',(1.25,-2.205,0.05)); #523894=CARTESIAN_POINT('',(1.25,-2.205,0.)); #523895=CARTESIAN_POINT('',(-1.25,-2.205,0.05)); #523896=CARTESIAN_POINT('',(-1.25,-2.205,0.05)); #523897=CARTESIAN_POINT('',(-1.25,-2.205,0.)); #523898=CARTESIAN_POINT('Origin',(-1.25,2.205,0.)); #523899=CARTESIAN_POINT('',(-1.25,2.205,0.)); #523900=CARTESIAN_POINT('',(-1.25,2.205,0.)); #523901=CARTESIAN_POINT('',(-1.25,2.205,0.05)); #523902=CARTESIAN_POINT('',(-1.25,2.205,0.05)); #523903=CARTESIAN_POINT('',(-1.25,2.205,0.)); #523904=CARTESIAN_POINT('Origin',(1.25,2.205,0.)); #523905=CARTESIAN_POINT('',(1.25,2.205,0.)); #523906=CARTESIAN_POINT('',(1.25,2.205,0.)); #523907=CARTESIAN_POINT('',(1.25,2.205,0.05)); #523908=CARTESIAN_POINT('',(1.25,2.205,0.05)); #523909=CARTESIAN_POINT('',(1.25,2.205,0.)); #523910=CARTESIAN_POINT('Origin',(1.25,-2.205,0.)); #523911=CARTESIAN_POINT('',(1.25,-2.205,0.)); #523912=CARTESIAN_POINT('',(1.25,-2.205,0.05)); #523913=CARTESIAN_POINT('Origin',(0.,0.,0.05)); #523914=CARTESIAN_POINT('Origin',(0.,0.,0.)); #523915=CARTESIAN_POINT('',(0.,0.,0.)); #523916=CARTESIAN_POINT('',(0.,0.,0.)); #523917=CARTESIAN_POINT('',(0.,0.,0.)); #523918=CARTESIAN_POINT('Origin',(-6.6,3.225,4.575)); #523919=CARTESIAN_POINT('',(-6.45,-6.15,6.)); #523920=CARTESIAN_POINT('',(-6.45,6.15,6.)); #523921=CARTESIAN_POINT('',(-6.45,3.225,6.)); #523922=CARTESIAN_POINT('',(-6.75,6.45,3.15)); #523923=CARTESIAN_POINT('',(-6.45,6.15,6.)); #523924=CARTESIAN_POINT('',(-6.75,-6.45,3.15)); #523925=CARTESIAN_POINT('',(-6.75,3.225,3.15)); #523926=CARTESIAN_POINT('',(-6.45,-6.15,6.)); #523927=CARTESIAN_POINT('Origin',(3.375,6.3,4.575)); #523928=CARTESIAN_POINT('',(6.45,6.15,6.)); #523929=CARTESIAN_POINT('',(3.375,6.15,6.)); #523930=CARTESIAN_POINT('',(6.75,6.45,3.15)); #523931=CARTESIAN_POINT('',(6.45,6.15,6.)); #523932=CARTESIAN_POINT('',(3.375,6.45,3.15)); #523933=CARTESIAN_POINT('Origin',(6.6,-3.225,4.575)); #523934=CARTESIAN_POINT('',(6.45,-6.15,6.)); #523935=CARTESIAN_POINT('',(6.45,-3.225,6.)); #523936=CARTESIAN_POINT('',(6.75,-6.45,3.15)); #523937=CARTESIAN_POINT('',(6.45,-6.15,6.)); #523938=CARTESIAN_POINT('',(6.75,-3.225,3.15)); #523939=CARTESIAN_POINT('Origin',(-3.375,-6.3,4.575)); #523940=CARTESIAN_POINT('',(-3.375,-6.45,3.15)); #523941=CARTESIAN_POINT('',(-3.375,-6.15,6.)); #523942=CARTESIAN_POINT('Origin',(-6.75,-6.45,0.15)); #523943=CARTESIAN_POINT('',(-6.75,-6.45,0.15)); #523944=CARTESIAN_POINT('',(-6.75,-6.45,0.15)); #523945=CARTESIAN_POINT('',(6.75,-6.45,0.15)); #523946=CARTESIAN_POINT('',(-6.75,-6.45,0.15)); #523947=CARTESIAN_POINT('',(6.75,-6.45,0.15)); #523948=CARTESIAN_POINT('Origin',(-6.75,6.45,0.15)); #523949=CARTESIAN_POINT('',(-6.75,6.45,0.15)); #523950=CARTESIAN_POINT('',(-6.75,6.45,0.15)); #523951=CARTESIAN_POINT('',(-6.75,6.45,0.15)); #523952=CARTESIAN_POINT('Origin',(0.,0.,6.)); #523953=CARTESIAN_POINT('Origin',(6.75,6.45,0.15)); #523954=CARTESIAN_POINT('',(6.75,6.45,0.15)); #523955=CARTESIAN_POINT('',(6.75,6.45,0.15)); #523956=CARTESIAN_POINT('',(6.75,6.45,0.15)); #523957=CARTESIAN_POINT('Origin',(6.75,-6.45,0.15)); #523958=CARTESIAN_POINT('',(6.75,-6.45,0.15)); #523959=CARTESIAN_POINT('Origin',(0.,0.,0.15)); #523960=CARTESIAN_POINT('Origin',(6.75,-2.65,0.)); #523961=CARTESIAN_POINT('',(6.75,2.65,0.)); #523962=CARTESIAN_POINT('',(6.75,-2.65,0.)); #523963=CARTESIAN_POINT('',(6.75,0.,0.)); #523964=CARTESIAN_POINT('',(6.75,2.65,0.15)); #523965=CARTESIAN_POINT('',(6.75,2.65,0.)); #523966=CARTESIAN_POINT('',(6.75,-2.65,0.15)); #523967=CARTESIAN_POINT('',(6.75,0.,0.15)); #523968=CARTESIAN_POINT('',(6.75,-2.65,0.)); #523969=CARTESIAN_POINT('Origin',(4.4,-2.65,0.)); #523970=CARTESIAN_POINT('',(4.4,-2.65,0.)); #523971=CARTESIAN_POINT('',(4.4,-2.65,0.)); #523972=CARTESIAN_POINT('',(4.4,-2.65,0.15)); #523973=CARTESIAN_POINT('',(4.4,-2.65,0.15)); #523974=CARTESIAN_POINT('',(4.4,-2.65,0.)); #523975=CARTESIAN_POINT('Origin',(4.4,2.65,0.)); #523976=CARTESIAN_POINT('',(4.4,2.65,0.)); #523977=CARTESIAN_POINT('',(4.4,2.65,0.)); #523978=CARTESIAN_POINT('',(4.4,2.65,0.15)); #523979=CARTESIAN_POINT('',(4.4,2.65,0.15)); #523980=CARTESIAN_POINT('',(4.4,2.65,0.)); #523981=CARTESIAN_POINT('Origin',(6.75,2.65,0.)); #523982=CARTESIAN_POINT('',(6.9,2.65,0.)); #523983=CARTESIAN_POINT('',(6.9,2.65,0.15)); #523984=CARTESIAN_POINT('Origin',(5.575,0.,0.15)); #523985=CARTESIAN_POINT('Origin',(5.575,0.,0.)); #523986=CARTESIAN_POINT('Origin',(6.9,2.65,0.)); #523987=CARTESIAN_POINT('',(6.9,2.65,0.)); #523988=CARTESIAN_POINT('',(6.75,2.65,0.)); #523989=CARTESIAN_POINT('',(6.9,2.65,0.)); #523990=CARTESIAN_POINT('',(6.75,2.65,3.15)); #523991=CARTESIAN_POINT('',(6.75,2.65,0.)); #523992=CARTESIAN_POINT('',(6.9,2.65,3.15)); #523993=CARTESIAN_POINT('',(6.9,2.65,3.15)); #523994=CARTESIAN_POINT('',(6.9,2.65,0.)); #523995=CARTESIAN_POINT('Origin',(6.9,-2.65,0.)); #523996=CARTESIAN_POINT('',(6.9,-2.65,0.)); #523997=CARTESIAN_POINT('',(6.9,-2.65,0.)); #523998=CARTESIAN_POINT('',(6.9,-2.65,3.15)); #523999=CARTESIAN_POINT('',(6.9,-2.65,3.15)); #524000=CARTESIAN_POINT('',(6.9,-2.65,0.)); #524001=CARTESIAN_POINT('Origin',(6.75,-2.65,0.)); #524002=CARTESIAN_POINT('',(6.75,-2.65,0.)); #524003=CARTESIAN_POINT('',(4.4,-2.65,0.)); #524004=CARTESIAN_POINT('',(6.75,-2.65,3.15)); #524005=CARTESIAN_POINT('',(4.4,-2.65,3.15)); #524006=CARTESIAN_POINT('',(6.75,-2.65,0.)); #524007=CARTESIAN_POINT('Origin',(6.75,2.65,0.)); #524008=CARTESIAN_POINT('',(6.75,0.,0.)); #524009=CARTESIAN_POINT('',(6.75,0.,3.15)); #524010=CARTESIAN_POINT('Origin',(6.825,0.,3.15)); #524011=CARTESIAN_POINT('Origin',(6.825,0.,0.)); #524012=CARTESIAN_POINT('Origin',(-4.4,2.65,0.)); #524013=CARTESIAN_POINT('',(-6.75,2.65,0.)); #524014=CARTESIAN_POINT('',(-4.4,2.65,0.)); #524015=CARTESIAN_POINT('',(-6.9,2.65,0.)); #524016=CARTESIAN_POINT('',(-6.75,2.65,0.15)); #524017=CARTESIAN_POINT('',(-6.75,2.65,0.)); #524018=CARTESIAN_POINT('',(-4.4,2.65,0.15)); #524019=CARTESIAN_POINT('',(-6.9,2.65,0.15)); #524020=CARTESIAN_POINT('',(-4.4,2.65,0.)); #524021=CARTESIAN_POINT('Origin',(-4.4,-2.65,0.)); #524022=CARTESIAN_POINT('',(-4.4,-2.65,0.)); #524023=CARTESIAN_POINT('',(-4.4,2.65,0.)); #524024=CARTESIAN_POINT('',(-4.4,-2.65,0.15)); #524025=CARTESIAN_POINT('',(-4.4,2.65,0.15)); #524026=CARTESIAN_POINT('',(-4.4,-2.65,0.)); #524027=CARTESIAN_POINT('Origin',(-6.75,-2.65,0.)); #524028=CARTESIAN_POINT('',(-6.75,-2.65,0.)); #524029=CARTESIAN_POINT('',(-4.4,-2.65,0.)); #524030=CARTESIAN_POINT('',(-6.75,-2.65,0.15)); #524031=CARTESIAN_POINT('',(-4.4,-2.65,0.15)); #524032=CARTESIAN_POINT('',(-6.75,-2.65,0.)); #524033=CARTESIAN_POINT('Origin',(-6.75,2.65,0.)); #524034=CARTESIAN_POINT('',(-6.75,0.,0.)); #524035=CARTESIAN_POINT('',(-6.75,0.,0.15)); #524036=CARTESIAN_POINT('Origin',(-5.575,0.,0.15)); #524037=CARTESIAN_POINT('Origin',(-5.575,0.,0.)); #524038=CARTESIAN_POINT('Origin',(-6.9,-2.65,0.)); #524039=CARTESIAN_POINT('',(-6.75,-2.65,0.)); #524040=CARTESIAN_POINT('',(-6.9,-2.65,0.)); #524041=CARTESIAN_POINT('',(-4.4,-2.65,0.)); #524042=CARTESIAN_POINT('',(-6.75,-2.65,3.15)); #524043=CARTESIAN_POINT('',(-6.75,-2.65,0.)); #524044=CARTESIAN_POINT('',(-6.9,-2.65,3.15)); #524045=CARTESIAN_POINT('',(-4.4,-2.65,3.15)); #524046=CARTESIAN_POINT('',(-6.9,-2.65,0.)); #524047=CARTESIAN_POINT('Origin',(-6.9,2.65,0.)); #524048=CARTESIAN_POINT('',(-6.9,2.65,0.)); #524049=CARTESIAN_POINT('',(-6.9,-2.65,0.)); #524050=CARTESIAN_POINT('',(-6.9,2.65,3.15)); #524051=CARTESIAN_POINT('',(-6.9,-2.65,3.15)); #524052=CARTESIAN_POINT('',(-6.9,2.65,0.)); #524053=CARTESIAN_POINT('Origin',(-6.75,2.65,0.)); #524054=CARTESIAN_POINT('',(-6.75,2.65,0.)); #524055=CARTESIAN_POINT('',(-6.9,2.65,0.)); #524056=CARTESIAN_POINT('',(-6.75,2.65,3.15)); #524057=CARTESIAN_POINT('',(-6.9,2.65,3.15)); #524058=CARTESIAN_POINT('',(-6.75,2.65,0.)); #524059=CARTESIAN_POINT('Origin',(-6.75,-2.65,0.)); #524060=CARTESIAN_POINT('',(-6.75,0.,0.)); #524061=CARTESIAN_POINT('',(-6.75,0.,3.15)); #524062=CARTESIAN_POINT('Origin',(-6.825,0.,3.15)); #524063=CARTESIAN_POINT('Origin',(-6.825,0.,0.)); #524064=CARTESIAN_POINT('',(0.,0.,0.)); #524065=CARTESIAN_POINT('',(0.,0.,0.)); #524066=CARTESIAN_POINT('',(0.,0.,0.)); #524067=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 16.044051007151)); #524068=CARTESIAN_POINT('',(3.11336113587006,-1.797499999801,16.044051007151)); #524069=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 16.044051007151)); #524070=CARTESIAN_POINT('',(3.11336113587006,-1.797499999801,15.674051007151)); #524071=CARTESIAN_POINT('',(3.11336113587006,-1.797499999801,16.044051007151)); #524072=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 15.674051007151)); #524073=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 16.044051007151)); #524074=CARTESIAN_POINT('',(4.98830613506337,-2.879999999801,15.674051007151)); #524075=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 15.674051007151)); #524076=CARTESIAN_POINT('',(4.98830613506337,-2.879999999801,16.044051007151)); #524077=CARTESIAN_POINT('',(4.98830613506337,-2.879999999801,16.044051007151)); #524078=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 16.044051007151)); #524079=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 16.044051007151)); #524080=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 15.674051007151)); #524081=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 14.324051007151)); #524082=CARTESIAN_POINT('',(3.50077447871504,-0.00250521355199761,17.7456121063289)); #524083=CARTESIAN_POINT('',(3.50119743520703,-0.00241438678840638,17.8774899079731)); #524084=CARTESIAN_POINT('',(3.49999980926514,1.99000689340779E-10,14.324051007151)); #524085=CARTESIAN_POINT('',(-3.0801133874021,-1.66219815155855,18.311560189113)); #524086=CARTESIAN_POINT('Ctrl Pts',(3.50119743520703,-0.00241438678840638, 17.8774899079731)); #524087=CARTESIAN_POINT('Ctrl Pts',(3.49403446034205,0.895668273932843, 17.9081585404955)); #524088=CARTESIAN_POINT('Ctrl Pts',(3.13825198486631,1.79868410977275,17.9388271730178)); #524089=CARTESIAN_POINT('Ctrl Pts',(2.51415673850413,2.44152802441589,17.9694958055401)); #524090=CARTESIAN_POINT('Ctrl Pts',(1.7407216637425,3.23819813860154,18.0075031389175)); #524091=CARTESIAN_POINT('Ctrl Pts',(0.555201100394608,3.63528580686561, 18.0455104722949)); #524092=CARTESIAN_POINT('Ctrl Pts',(-0.54175844566201,3.46312096360294, 18.0835178056723)); #524093=CARTESIAN_POINT('Ctrl Pts',(-1.63871799171863,3.29095612034027, 18.1215251390498)); #524094=CARTESIAN_POINT('Ctrl Pts',(-2.64711652048399,2.54953876555084, 18.1595324724272)); #524095=CARTESIAN_POINT('Ctrl Pts',(-3.13977797878872,1.55642229447125, 18.1975398058046)); #524096=CARTESIAN_POINT('Ctrl Pts',(-3.63243245118904,0.563319905712549, 18.2355466002407)); #524097=CARTESIAN_POINT('Ctrl Pts',(-3.60936447925496,-0.681474461235813, 18.2735533946768)); #524098=CARTESIAN_POINT('Ctrl Pts',(-3.0801133873574,-1.66219815159538, 18.3115601891129)); #524099=CARTESIAN_POINT('',(-1.75000019073497,-3.03108891304648,18.3115601891129)); #524100=CARTESIAN_POINT('Ctrl Pts',(-1.75000019073496,-3.03108891304648, 18.311560189113)); #524101=CARTESIAN_POINT('Ctrl Pts',(-1.96226300479069,-2.90853892021245, 18.311560189113)); #524102=CARTESIAN_POINT('Ctrl Pts',(-2.16106688685548,-2.76403052385329, 18.311560189113)); #524103=CARTESIAN_POINT('Ctrl Pts',(-2.5623619784978,-2.40237296536939, 18.311560189113)); #524104=CARTESIAN_POINT('Ctrl Pts',(-2.75572061995343,-2.17786563226526, 18.311560189113)); #524105=CARTESIAN_POINT('Ctrl Pts',(-2.97653747665333,-1.84424582051648, 18.311560189113)); #524106=CARTESIAN_POINT('Ctrl Pts',(-3.03041503479378,-1.75429099353694, 18.311560189113)); #524107=CARTESIAN_POINT('Ctrl Pts',(-3.08011338740337,-1.66219815155924, 18.311560189113)); #524108=CARTESIAN_POINT('',(0.0988340980890994,-3.50066939859337,18.3115601891129)); #524109=CARTESIAN_POINT('Ctrl Pts',(0.101088816931864,-3.49853983986699, 18.311560189113)); #524110=CARTESIAN_POINT('Ctrl Pts',(-0.0958496389313897,-3.50423030331309, 18.311560189113)); #524111=CARTESIAN_POINT('Ctrl Pts',(-0.29337644191164,-3.49329516625616, 18.311560189113)); #524112=CARTESIAN_POINT('Ctrl Pts',(-0.933536000759611,-3.40289951578085, 18.311560189113)); #524113=CARTESIAN_POINT('Ctrl Pts',(-1.36152796735106,-3.25537345578988, 18.311560189113)); #524114=CARTESIAN_POINT('Ctrl Pts',(-1.75000019073497,-3.03108891304648, 18.311560189113)); #524115=CARTESIAN_POINT('Ctrl Pts',(0.0988340980890994,-3.50066939859337, 18.3115601891129)); #524116=CARTESIAN_POINT('Ctrl Pts',(-0.0864533114556529,-3.50758222417586, 18.3052287684602)); #524117=CARTESIAN_POINT('Ctrl Pts',(-0.271958224637835,-3.49949651112486, 18.2988973478074)); #524118=CARTESIAN_POINT('Ctrl Pts',(-0.455372714609442,-3.47555859123774, 18.2925659271546)); #524119=CARTESIAN_POINT('Ctrl Pts',(-1.55747025421494,-3.33172086819332, 18.254521805751)); #524120=CARTESIAN_POINT('Ctrl Pts',(-2.5840921198942,-2.61553205943515, 18.2164776843474)); #524121=CARTESIAN_POINT('Ctrl Pts',(-3.10147307901249,-1.63188810682342, 18.1784335629438)); #524122=CARTESIAN_POINT('Ctrl Pts',(-3.61885403813079,-0.64824415421168, 18.1403894415403)); #524123=CARTESIAN_POINT('Ctrl Pts',(-3.62699409068811,0.602854942253622, 18.1023453201367)); #524124=CARTESIAN_POINT('Ctrl Pts',(-3.12204230325031,1.59262257477227, 18.0643011987331)); #524125=CARTESIAN_POINT('Ctrl Pts',(-2.6170905158125,2.58239020729092,18.0262570773296)); #524126=CARTESIAN_POINT('Ctrl Pts',(-1.59904688837957,3.31082637586291, 17.988212955926)); #524127=CARTESIAN_POINT('Ctrl Pts',(-0.499084890223093,3.46913681920486, 17.9501688345224)); #524128=CARTESIAN_POINT('Ctrl Pts',(0.600877107933383,3.62744726254681, 17.9121247131189)); #524129=CARTESIAN_POINT('Ctrl Pts',(1.7827574768134,3.21563198065872,17.8740805917153)); #524130=CARTESIAN_POINT('Ctrl Pts',(2.54632887788783,2.40823861177409,17.8360364703117)); #524131=CARTESIAN_POINT('Ctrl Pts',(3.15128838061191,1.76856000378031,17.8058950156508)); #524132=CARTESIAN_POINT('Ctrl Pts',(3.49367463709319,0.880576361854126, 17.7757535609898)); #524133=CARTESIAN_POINT('Ctrl Pts',(3.50077447871504,-0.00250521355199761, 17.7456121063289)); #524134=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 14.324051007151)); #524135=CARTESIAN_POINT('',(3.49669267738282,0.00186730564810401,16.9956121063289)); #524136=CARTESIAN_POINT('',(3.49632362596931,0.00162994858308695,17.1274899079731)); #524137=CARTESIAN_POINT('',(3.49999980926514,1.99000689340779E-10,14.324051007151)); #524138=CARTESIAN_POINT('Ctrl Pts',(3.49632362596931,0.00162994858308695, 17.1274899079731)); #524139=CARTESIAN_POINT('Ctrl Pts',(3.49830622939087,0.431300343431323, 17.1421072068536)); #524140=CARTESIAN_POINT('Ctrl Pts',(3.42427347066553,0.860311984961587, 17.156724505734)); #524141=CARTESIAN_POINT('Ctrl Pts',(3.27091914919329,1.25879592451337,17.1713418046145)); #524142=CARTESIAN_POINT('Ctrl Pts',(2.87217319286249,2.29491840240391,17.2093491379919)); #524143=CARTESIAN_POINT('Ctrl Pts',(1.93714698036931,3.12464802480692,17.2473564713693)); #524144=CARTESIAN_POINT('Ctrl Pts',(0.860964450354169,3.3973780583869,17.2853638047467)); #524145=CARTESIAN_POINT('Ctrl Pts',(-0.21521807966097,3.67010809196688, 17.3233711381241)); #524146=CARTESIAN_POINT('Ctrl Pts',(-1.43255692719809,3.38583853672384, 17.3613784715015)); #524147=CARTESIAN_POINT('Ctrl Pts',(-2.27663672121424,2.6646640762726,17.399385804879)); #524148=CARTESIAN_POINT('Ctrl Pts',(-3.1207165152304,1.94348961582137,17.4373931382564)); #524149=CARTESIAN_POINT('Ctrl Pts',(-3.59153725572559,0.785410250161928, 17.4754004716338)); #524150=CARTESIAN_POINT('Ctrl Pts',(-3.49013086260879,-0.320135945910613, 17.5134078050112)); #524151=CARTESIAN_POINT('Ctrl Pts',(-3.38872446949199,-1.42568214198316, 17.5514151383886)); #524152=CARTESIAN_POINT('Ctrl Pts',(-2.71509094276319,-2.4786951684688, 17.589422471766)); #524153=CARTESIAN_POINT('Ctrl Pts',(-1.75391206409369,-3.03431209934008, 17.6274298051434)); #524154=CARTESIAN_POINT('Ctrl Pts',(-0.792733185424188,-3.58992903021136, 17.6654371385208)); #524155=CARTESIAN_POINT('Ctrl Pts',(0.455991045186007,-3.64814986546828, 17.7034444718982)); #524156=CARTESIAN_POINT('Ctrl Pts',(1.4646157787945,-3.18413970130658,17.7414518052757)); #524157=CARTESIAN_POINT('Ctrl Pts',(2.47324051240299,-2.72012953714488, 17.7794591386531)); #524158=CARTESIAN_POINT('Ctrl Pts',(3.24176574900977,-1.73388837356456, 17.8174664720305)); #524159=CARTESIAN_POINT('Ctrl Pts',(3.44535357422546,-0.642641511720778, 17.8554738054079)); #524160=CARTESIAN_POINT('Ctrl Pts',(3.48466362347724,-0.431936535431168, 17.862812506263)); #524161=CARTESIAN_POINT('Ctrl Pts',(3.50291146434505,-0.217316697329021, 17.870151207118)); #524162=CARTESIAN_POINT('Ctrl Pts',(3.50119743520703,-0.00241438678840638, 17.8774899079731)); #524163=CARTESIAN_POINT('Ctrl Pts',(3.50077447871504,-0.00250521355199761, 17.7456121063289)); #524164=CARTESIAN_POINT('Ctrl Pts',(3.50263595762818,-0.23403681929203, 17.7377094395862)); #524165=CARTESIAN_POINT('Ctrl Pts',(3.48144934994456,-0.465231449692026, 17.7298067728436)); #524166=CARTESIAN_POINT('Ctrl Pts',(3.43590414231161,-0.691525539548846, 17.721904106101)); #524167=CARTESIAN_POINT('Ctrl Pts',(3.21664557239211,-1.78092486610598, 17.6838599846974)); #524168=CARTESIAN_POINT('Ctrl Pts',(2.43286599928426,-2.75675206333908, 17.6458158632939)); #524169=CARTESIAN_POINT('Ctrl Pts',(1.41639100543108,-3.2058528989913,17.6077717418903)); #524170=CARTESIAN_POINT('Ctrl Pts',(0.399916011577904,-3.65495373464352, 17.5697276204867)); #524171=CARTESIAN_POINT('Ctrl Pts',(-0.849254403020602,-3.57732820871485, 17.5316834990831)); #524172=CARTESIAN_POINT('Ctrl Pts',(-1.80231884469063,-3.00586645702327, 17.4936393776796)); #524173=CARTESIAN_POINT('Ctrl Pts',(-2.75538328636067,-2.4344047053317, 17.455595256276)); #524174=CARTESIAN_POINT('Ctrl Pts',(-3.41234175510223,-1.36910672787724, 17.4175511348724)); #524175=CARTESIAN_POINT('Ctrl Pts',(-3.49507433654449,-0.260931130896027, 17.3795070134689)); #524176=CARTESIAN_POINT('Ctrl Pts',(-3.57780691798675,0.847244466085184, 17.3414628920653)); #524177=CARTESIAN_POINT('Ctrl Pts',(-3.08631361212968,1.99829768259314, 17.3034187706617)); #524178=CARTESIAN_POINT('Ctrl Pts',(-2.22866560310931,2.7049360104527,17.2653746492581)); #524179=CARTESIAN_POINT('Ctrl Pts',(-1.37101759408895,3.41157433831226, 17.2273305278546)); #524180=CARTESIAN_POINT('Ctrl Pts',(-0.14721488190529,3.67379777752345, 17.189286406451)); #524181=CARTESIAN_POINT('Ctrl Pts',(0.9246735352013,3.3806159484567,17.1512422850474)); #524182=CARTESIAN_POINT('Ctrl Pts',(1.9965619523079,3.08743411938994,17.1131981636439)); #524183=CARTESIAN_POINT('Ctrl Pts',(2.91653607433742,2.23884702204523,17.0751540422403)); #524184=CARTESIAN_POINT('Ctrl Pts',(3.29512922508106,1.19405986672491,17.0371099208367)); #524185=CARTESIAN_POINT('Ctrl Pts',(3.43278332917453,0.814181785065222, 17.0232773160008)); #524186=CARTESIAN_POINT('Ctrl Pts',(3.49886664345197,0.408365974050336, 17.0094447111648)); #524187=CARTESIAN_POINT('Ctrl Pts',(3.49669267738282,0.00186730564810401, 16.9956121063289)); #524188=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 14.324051007151)); #524189=CARTESIAN_POINT('',(3.50378688793346,-0.00181106164791798,16.2456121063289)); #524190=CARTESIAN_POINT('',(3.50458162263321,-0.000783563652514622,16.3774899079731)); #524191=CARTESIAN_POINT('',(3.49999980926514,1.99000689340779E-10,14.324051007151)); #524192=CARTESIAN_POINT('',(1.83658619856034,-2.97942115744983,16.124051007151)); #524193=CARTESIAN_POINT('Ctrl Pts',(3.50378688793346,-0.00181106164791798, 16.2456121063289)); #524194=CARTESIAN_POINT('Ctrl Pts',(3.50410870908636,-0.0740965350522147, 16.2431358613398)); #524195=CARTESIAN_POINT('Ctrl Pts',(3.5020585515028,-0.146350152014978, 16.2406596163508)); #524196=CARTESIAN_POINT('Ctrl Pts',(3.4975778159998,-0.218436865819315, 16.2381833713617)); #524197=CARTESIAN_POINT('Ctrl Pts',(3.42873743622841,-1.32595074416319, 16.2001392499581)); #524198=CARTESIAN_POINT('Ctrl Pts',(2.78618041601978,-2.39406859304226, 16.1620951285546)); #524199=CARTESIAN_POINT('Ctrl Pts',(1.83658619851954,-2.97942115747668, 16.124051007151)); #524200=CARTESIAN_POINT('',(-1.83658657998938,-2.97942115747661,16.124051007151)); #524201=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 16.124051007151)); #524202=CARTESIAN_POINT('Ctrl Pts',(-1.83532161846428,-2.98194527446114, 16.124051007151)); #524203=CARTESIAN_POINT('Ctrl Pts',(-1.6609084695426,-3.09154162917814, 16.1310819392421)); #524204=CARTESIAN_POINT('Ctrl Pts',(-1.47707857230228,-3.18534506960692, 16.1381128713333)); #524205=CARTESIAN_POINT('Ctrl Pts',(-1.28603104466333,-3.26080257841467, 16.1451438034244)); #524206=CARTESIAN_POINT('Ctrl Pts',(-0.253279344067756,-3.66870563535021, 16.1831511368019)); #524207=CARTESIAN_POINT('Ctrl Pts',(0.990385494320833,-3.54050504188829, 16.2211584701793)); #524208=CARTESIAN_POINT('Ctrl Pts',(1.91932965035895,-2.93227166507413, 16.2591658035567)); #524209=CARTESIAN_POINT('Ctrl Pts',(2.84827380639707,-2.32403828825998, 16.2971731369341)); #524210=CARTESIAN_POINT('Ctrl Pts',(3.46249728008472,-1.23577212809358, 16.3351804703115)); #524211=CARTESIAN_POINT('Ctrl Pts',(3.50249453760997,-0.126417389765751, 16.3731878036889)); #524212=CARTESIAN_POINT('Ctrl Pts',(3.50400365315571,-0.0845609079731615, 16.3746218384503)); #524213=CARTESIAN_POINT('Ctrl Pts',(3.50469530670144,-0.04267440471726, 16.3760558732117)); #524214=CARTESIAN_POINT('Ctrl Pts',(3.50458162263321,-0.000783563652514622, 16.3774899079731)); #524215=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 16.124051007151)); #524216=CARTESIAN_POINT('',(3.1385813749323,0.00167606689432474,16.7886609023379)); #524217=CARTESIAN_POINT('',(3.14566237948984,-0.000703315520355346,16.5844411119641)); #524218=CARTESIAN_POINT('',(3.14154980926514,1.99000645443314E-10,16.124051007151)); #524219=CARTESIAN_POINT('',(-2.37141664527386,2.06051459651752,16.124051007151)); #524220=CARTESIAN_POINT('Ctrl Pts',(-2.37141664527386,2.06051459651752, 16.124051007151)); #524221=CARTESIAN_POINT('Ctrl Pts',(-3.02750405016273,1.30543308903922, 16.1620583405284)); #524222=CARTESIAN_POINT('Ctrl Pts',(-3.29207572160733,0.219682551835687, 16.2000656739058)); #524223=CARTESIAN_POINT('Ctrl Pts',(-3.0555262806193,-0.746856184112077, 16.2380730072832)); #524224=CARTESIAN_POINT('Ctrl Pts',(-2.81897683963126,-1.71339492005984, 16.2760803406606)); #524225=CARTESIAN_POINT('Ctrl Pts',(-2.08130628621058,-2.56072185475183, 16.314087674038)); #524226=CARTESIAN_POINT('Ctrl Pts',(-1.15432311335172,-2.92684981147065, 16.3520950074155)); #524227=CARTESIAN_POINT('Ctrl Pts',(-0.227339940492851,-3.29297776818946, 16.3901023407929)); #524228=CARTESIAN_POINT('Ctrl Pts',(0.888955851804198,-3.1779067469351, 16.4281096741703)); #524229=CARTESIAN_POINT('Ctrl Pts',(1.72276285563321,-2.63196515695495, 16.4661170075477)); #524230=CARTESIAN_POINT('Ctrl Pts',(2.55656985946223,-2.0860235669748,16.5041243409251)); #524231=CARTESIAN_POINT('Ctrl Pts',(3.10788807482321,-1.10921140826887, 16.5421316743025)); #524232=CARTESIAN_POINT('Ctrl Pts',(3.14378904178848,-0.113470443070646, 16.5801390076799)); #524233=CARTESIAN_POINT('Ctrl Pts',(3.14514360234355,-0.0759006629633598, 16.5815730424413)); #524234=CARTESIAN_POINT('Ctrl Pts',(3.14576442068543,-0.0383039360194823, 16.5830070772027)); #524235=CARTESIAN_POINT('Ctrl Pts',(3.14566237948984,-0.000703315520355346, 16.5844411119641)); #524236=CARTESIAN_POINT('',(2.3709648013008,2.06300092528248,16.124051007151)); #524237=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 16.124051007151)); #524238=CARTESIAN_POINT('Ctrl Pts',(2.3709648013008,2.06300092528248,16.124051007151)); #524239=CARTESIAN_POINT('Ctrl Pts',(2.24964783498891,2.20508873367577,16.1311555152945)); #524240=CARTESIAN_POINT('Ctrl Pts',(2.11578217948704,2.33594270487341,16.1382600234379)); #524241=CARTESIAN_POINT('Ctrl Pts',(1.97061002675178,2.45270010364637,16.1453645315814)); #524242=CARTESIAN_POINT('Ctrl Pts',(1.19322376840033,3.07792743310866,16.183408652985)); #524243=CARTESIAN_POINT('Ctrl Pts',(0.0916209707530859,3.29893214321143, 16.2214527743885)); #524244=CARTESIAN_POINT('Ctrl Pts',(-0.867265180916358,3.02375996935802, 16.2594968957921)); #524245=CARTESIAN_POINT('Ctrl Pts',(-1.8261513325858,2.74858779550461,16.2975410171957)); #524246=CARTESIAN_POINT('Ctrl Pts',(-2.64232083827745,1.97723873769502, 16.3355851385993)); #524247=CARTESIAN_POINT('Ctrl Pts',(-2.97055860389092,1.0354632560108,16.3736292600028)); #524248=CARTESIAN_POINT('Ctrl Pts',(-3.2987963695044,0.0936877743265825, 16.4116733814064)); #524249=CARTESIAN_POINT('Ctrl Pts',(-3.1391023950397,-1.01851413123227, 16.44971750281)); #524250=CARTESIAN_POINT('Ctrl Pts',(-2.55893144065064,-1.82991702684246, 16.4877616242135)); #524251=CARTESIAN_POINT('Ctrl Pts',(-1.97876048626159,-2.64131992245265, 16.5258057456171)); #524252=CARTESIAN_POINT('Ctrl Pts',(-0.978112551948179,-3.15192380811417, 16.5638498670207)); #524253=CARTESIAN_POINT('Ctrl Pts',(0.0193302946311844,-3.14577109118591, 16.6018939884243)); #524254=CARTESIAN_POINT('Ctrl Pts',(1.01677314121055,-3.13961837425765, 16.6399381098278)); #524255=CARTESIAN_POINT('Ctrl Pts',(2.01101090005587,-2.6167090547396,16.6779822312314)); #524256=CARTESIAN_POINT('Ctrl Pts',(2.58118779056774,-1.79830819784774, 16.716026352635)); #524257=CARTESIAN_POINT('Ctrl Pts',(2.94405192625548,-1.27747277900857, 16.7402378692026)); #524258=CARTESIAN_POINT('Ctrl Pts',(3.1351659344896,-0.636959471933993, 16.7644493857702)); #524259=CARTESIAN_POINT('Ctrl Pts',(3.1385813749323,0.00167606689432474, 16.7886609023379)); #524260=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 16.124051007151)); #524261=CARTESIAN_POINT('',(3.14224514149666,-0.00224864387512761,17.5386609023379)); #524262=CARTESIAN_POINT('',(3.13825011965571,0.00146301858357948,17.3344411119642)); #524263=CARTESIAN_POINT('',(3.14154980926514,1.99000645443314E-10,16.124051007151)); #524264=CARTESIAN_POINT('Ctrl Pts',(3.14566237948984,-0.000703315520355346, 16.5844411119641)); #524265=CARTESIAN_POINT('Ctrl Pts',(3.14305994388805,0.95825441595745,16.6210144105801)); #524266=CARTESIAN_POINT('Ctrl Pts',(2.67027894815754,1.9197446812211,16.6575877091962)); #524267=CARTESIAN_POINT('Ctrl Pts',(1.90784925334683,2.50134262618629,16.6941610078122)); #524268=CARTESIAN_POINT('Ctrl Pts',(1.11552477937034,3.10574495855448,16.7321683411896)); #524269=CARTESIAN_POINT('Ctrl Pts',(0.0103921128477903,3.29987985160032, 16.770175674567)); #524270=CARTESIAN_POINT('Ctrl Pts',(-0.940532194943093,3.0019209868773, 16.8081830079444)); #524271=CARTESIAN_POINT('Ctrl Pts',(-1.89145650273398,2.70396212215429, 16.8461903413218)); #524272=CARTESIAN_POINT('Ctrl Pts',(-2.6881724517932,1.91390949966241,16.8841976746992)); #524273=CARTESIAN_POINT('Ctrl Pts',(-2.99401171356589,0.965515570849051, 16.9222050080766)); #524274=CARTESIAN_POINT('Ctrl Pts',(-3.29985097533857,0.0171216420356902, 16.960212341454)); #524275=CARTESIAN_POINT('Ctrl Pts',(-3.11481354982473,-1.08961359309916, 16.9982196748314)); #524276=CARTESIAN_POINT('Ctrl Pts',(-2.51708896090651,-1.88695442741115, 17.0362270082088)); #524277=CARTESIAN_POINT('Ctrl Pts',(-1.9193643719883,-2.68429526172315, 17.0742343415862)); #524278=CARTESIAN_POINT('Ctrl Pts',(-0.908952619665728,-3.17224169521228, 17.1122416749637)); #524279=CARTESIAN_POINT('Ctrl Pts',(0.0871669527012596,-3.14462681073701, 17.1502490083411)); #524280=CARTESIAN_POINT('Ctrl Pts',(1.08328652506825,-3.11701192626175, 17.1882563417185)); #524281=CARTESIAN_POINT('Ctrl Pts',(2.06511391747966,-2.57383572382207, 17.2262636750959)); #524282=CARTESIAN_POINT('Ctrl Pts',(2.6177520250619,-1.74461561609168,17.2642710084733)); #524283=CARTESIAN_POINT('Ctrl Pts',(2.95785019170573,-1.23430654671334, 17.2876610429702)); #524284=CARTESIAN_POINT('Ctrl Pts',(3.13540254238041,-0.615664763467291, 17.3110510774672)); #524285=CARTESIAN_POINT('Ctrl Pts',(3.13825011965571,0.00146301858357948, 17.3344411119642)); #524286=CARTESIAN_POINT('Ctrl Pts',(3.1385813749323,0.00167606689432474, 16.7886609023379)); #524287=CARTESIAN_POINT('Ctrl Pts',(3.14053269581932,0.366543464528334, 16.8024935071738)); #524288=CARTESIAN_POINT('Ctrl Pts',(3.08121725697124,0.730797939126566, 16.8163261120097)); #524289=CARTESIAN_POINT('Ctrl Pts',(2.95766089962414,1.07177107839456,16.8301587168457)); #524290=CARTESIAN_POINT('Ctrl Pts',(2.61784109599023,2.0095571034793,16.8682028382493)); #524291=CARTESIAN_POINT('Ctrl Pts',(1.79208546654399,2.77123675938308,16.9062469596528)); #524292=CARTESIAN_POINT('Ctrl Pts',(0.829973736040782,3.03439258084156, 16.9442910810564)); #524293=CARTESIAN_POINT('Ctrl Pts',(-0.132137994462421,3.29754840230003, 16.98233520246)); #524294=CARTESIAN_POINT('Ctrl Pts',(-1.23060582602259,3.0621803893132,17.0203793238635)); #524295=CARTESIAN_POINT('Ctrl Pts',(-2.00041842680485,2.42791192105972, 17.0584234452671)); #524296=CARTESIAN_POINT('Ctrl Pts',(-2.77023102758712,1.79364345280623, 17.0964675666707)); #524297=CARTESIAN_POINT('Ctrl Pts',(-3.21138839759148,0.760474529286069, 17.1345116880743)); #524298=CARTESIAN_POINT('Ctrl Pts',(-3.13712881438293,-0.234208055484309, 17.1725558094778)); #524299=CARTESIAN_POINT('Ctrl Pts',(-3.06286923117438,-1.22889064025469, 17.2105999308814)); #524300=CARTESIAN_POINT('Ctrl Pts',(-2.47319269475294,-2.18508688627528, 17.248644052285)); #524301=CARTESIAN_POINT('Ctrl Pts',(-1.61773566711622,-2.69802279085432, 17.2866881736885)); #524302=CARTESIAN_POINT('Ctrl Pts',(-0.76227863947951,-3.21095869543337, 17.3247322950921)); #524303=CARTESIAN_POINT('Ctrl Pts',(0.358958879372473,-3.28063425857086, 17.3627764164957)); #524304=CARTESIAN_POINT('Ctrl Pts',(1.27133231278374,-2.87752776421565, 17.4008205378993)); #524305=CARTESIAN_POINT('Ctrl Pts',(2.18370574619501,-2.47442126986045, 17.4388646593028)); #524306=CARTESIAN_POINT('Ctrl Pts',(2.88721509416557,-1.59853271801255, 17.4769087807064)); #524307=CARTESIAN_POINT('Ctrl Pts',(3.08401845426004,-0.620703445342384, 17.51495290211)); #524308=CARTESIAN_POINT('Ctrl Pts',(3.12489918198555,-0.417585103059615, 17.5228555688526)); #524309=CARTESIAN_POINT('Ctrl Pts',(3.14391597837654,-0.210068105593013, 17.5307582355952)); #524310=CARTESIAN_POINT('Ctrl Pts',(3.14224514149666,-0.00224864387512761, 17.5386609023379)); #524311=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 16.124051007151)); #524312=CARTESIAN_POINT('',(3.1384377634285,-7.23539389673E-5,18.2886609023379)); #524313=CARTESIAN_POINT('',(3.14262478120164,-0.00216711906965229,18.0844411119642)); #524314=CARTESIAN_POINT('',(3.14154980926514,1.99000645443314E-10,16.124051007151)); #524315=CARTESIAN_POINT('',(3.07169836564184,0.658790089114503,18.313879451585)); #524316=CARTESIAN_POINT('Ctrl Pts',(3.13843734257202,-7.23637237550255E-5, 18.2886609023379)); #524317=CARTESIAN_POINT('Ctrl Pts',(3.13990061928093,0.221238178794897, 18.2970670854202)); #524318=CARTESIAN_POINT('Ctrl Pts',(3.11809265280788,0.442470403512261, 18.3054732685026)); #524319=CARTESIAN_POINT('Ctrl Pts',(3.07169836564184,0.658790089114501, 18.313879451585)); #524320=CARTESIAN_POINT('',(1.57077480926503,2.72066210745806,18.313879451585)); #524321=CARTESIAN_POINT('Ctrl Pts',(1.57077480926503,2.72066210745806,18.313879451585)); #524322=CARTESIAN_POINT('Ctrl Pts',(1.91946192737125,2.5193475059565,18.313879451585)); #524323=CARTESIAN_POINT('Ctrl Pts',(2.22621839667792,2.25286428343241,18.313879451585)); #524324=CARTESIAN_POINT('Ctrl Pts',(2.72762914395201,1.61195245191927,18.313879451585)); #524325=CARTESIAN_POINT('Ctrl Pts',(2.91494274079418,1.24155555674097,18.313879451585)); #524326=CARTESIAN_POINT('Ctrl Pts',(3.04289427873002,0.783745047518918, 18.313879451585)); #524327=CARTESIAN_POINT('Ctrl Pts',(3.05826161392635,0.721440774881168, 18.313879451585)); #524328=CARTESIAN_POINT('Ctrl Pts',(3.07169836564184,0.658790089114503, 18.313879451585)); #524329=CARTESIAN_POINT('',(-1.0793726629548,2.95111452644882,18.3138794515849)); #524330=CARTESIAN_POINT('Ctrl Pts',(-1.08118963110113,2.94963824861871, 18.313879451585)); #524331=CARTESIAN_POINT('Ctrl Pts',(-1.02754735142733,2.96930081787497, 18.313879451585)); #524332=CARTESIAN_POINT('Ctrl Pts',(-0.973321148270182,2.9875175224576, 18.313879451585)); #524333=CARTESIAN_POINT('Ctrl Pts',(-0.559294415507785,3.1141082915632, 18.313879451585)); #524334=CARTESIAN_POINT('Ctrl Pts',(-0.183212301633368,3.15862530286276, 18.313879451585)); #524335=CARTESIAN_POINT('Ctrl Pts',(0.456176878905867,3.11952029700139, 18.313879451585)); #524336=CARTESIAN_POINT('Ctrl Pts',(0.717471597194611,3.06997371906914, 18.313879451585)); #524337=CARTESIAN_POINT('Ctrl Pts',(1.1786979722647,2.92034351957403,18.313879451585)); #524338=CARTESIAN_POINT('Ctrl Pts',(1.38025073969482,2.83066123031154,18.313879451585)); #524339=CARTESIAN_POINT('Ctrl Pts',(1.57077480926532,2.7206621074579,18.313879451585)); #524340=CARTESIAN_POINT('Ctrl Pts',(3.14262478120164,-0.00216711906965229, 18.0844411119642)); #524341=CARTESIAN_POINT('Ctrl Pts',(3.13619539729102,0.803939047441443, 18.1151097444865)); #524342=CARTESIAN_POINT('Ctrl Pts',(2.81685012991075,1.6144731614651,18.1457783770088)); #524343=CARTESIAN_POINT('Ctrl Pts',(2.25667115242244,2.19148067576424,18.1764470095312)); #524344=CARTESIAN_POINT('Ctrl Pts',(1.56244687838888,2.90656038925561,18.2144543429086)); #524345=CARTESIAN_POINT('Ctrl Pts',(0.498340556735892,3.26298060760841, 18.252461676286)); #524346=CARTESIAN_POINT('Ctrl Pts',(-0.486274660953809,3.10844790379356, 18.2904690096635)); #524347=CARTESIAN_POINT('Ctrl Pts',(-0.688431063594321,3.076720001429,18.2982724903039)); #524348=CARTESIAN_POINT('Ctrl Pts',(-0.887236574143348,3.0234532347054, 18.3060759709444)); #524349=CARTESIAN_POINT('Ctrl Pts',(-1.0793726629548,2.95111452644882,18.3138794515849)); #524350=CARTESIAN_POINT('Ctrl Pts',(1.83658670077403,-2.97942197226418, 16.1240515597625)); #524351=CARTESIAN_POINT('Ctrl Pts',(2.78618117796146,-2.39406924775238, 16.162095681166)); #524352=CARTESIAN_POINT('Ctrl Pts',(4.07129556982109,-0.257832965794138, 16.2381839239732)); #524353=CARTESIAN_POINT('Ctrl Pts',(2.55666637361539,3.18289769857429,16.3523162881839)); #524354=CARTESIAN_POINT('Ctrl Pts',(-1.12522076442065,3.92156176270944, 16.4664486523946)); #524355=CARTESIAN_POINT('Ctrl Pts',(-3.85310418290991,1.34348173154855, 16.5805810166053)); #524356=CARTESIAN_POINT('Ctrl Pts',(-3.31935899647865,-2.37383080589438, 16.694713380816)); #524357=CARTESIAN_POINT('Ctrl Pts',(0.0251066919887215,-4.08042222274951, 16.8088457450267)); #524358=CARTESIAN_POINT('Ctrl Pts',(3.34814765036379,-2.33270235311535, 16.9229781092374)); #524359=CARTESIAN_POINT('Ctrl Pts',(3.83651124554415,1.39026030489733,17.0371104734482)); #524360=CARTESIAN_POINT('Ctrl Pts',(1.07658812469478,3.93602229312524,17.1512428376589)); #524361=CARTESIAN_POINT('Ctrl Pts',(-2.59482101588262,3.14935176035956, 17.2653752018696)); #524362=CARTESIAN_POINT('Ctrl Pts',(-4.06930133668239,-0.303808833505861, 17.3795075660803)); #524363=CARTESIAN_POINT('Ctrl Pts',(-2.09842539147896,-3.49970363985598, 17.493639930291)); #524364=CARTESIAN_POINT('Ctrl Pts',(1.649086877155,-3.73258028132716,17.6077722945018)); #524365=CARTESIAN_POINT('Ctrl Pts',(4.00042623950327,-0.805097889044338, 17.7219046587124)); #524366=CARTESIAN_POINT('Ctrl Pts',(2.96463865643817,2.80381746553527,17.8360370229232)); #524367=CARTESIAN_POINT('Ctrl Pts',(-0.58100341983456,4.03926364905909, 17.9501693871339)); #524368=CARTESIAN_POINT('Ctrl Pts',(-3.63513513735187,1.85395454572297, 18.0643017513446)); #524369=CARTESIAN_POINT('Ctrl Pts',(-3.61071497300167,-1.89934377009369, 18.1784341155553)); #524370=CARTESIAN_POINT('Ctrl Pts',(-0.530848533707648,-4.04791078394044, 18.292566479766)); #524371=CARTESIAN_POINT('Ctrl Pts',(3.00187207298658,-2.7623703443735,18.4066988439767)); #524372=CARTESIAN_POINT('Ctrl Pts',(3.65610468526816,-0.356751059959043, 18.4827870867839)); #524373=CARTESIAN_POINT('Ctrl Pts',(3.42217927080423,0.733958059681078, 18.5208312081874)); #524374=CARTESIAN_POINT('Ctrl Pts',(1.77388897228651,-2.87770993743322, 16.055067640895)); #524375=CARTESIAN_POINT('Ctrl Pts',(2.69106602507697,-2.31234008787306, 16.0931117622985)); #524376=CARTESIAN_POINT('Ctrl Pts',(3.93230895428557,-0.249031018349284, 16.1692000051057)); #524377=CARTESIAN_POINT('Ctrl Pts',(2.46938643775945,3.07423937338482,16.2833323693164)); #524378=CARTESIAN_POINT('Ctrl Pts',(-1.08680778524515,3.78768679291102, 16.3974647335271)); #524379=CARTESIAN_POINT('Ctrl Pts',(-3.7215662365963,1.29761771432751,16.5115970977378)); #524380=CARTESIAN_POINT('Ctrl Pts',(-3.2060421378441,-2.29279254952663, 16.6257294619485)); #524381=CARTESIAN_POINT('Ctrl Pts',(0.0242495887808903,-3.9411240464249, 16.7398618261592)); #524382=CARTESIAN_POINT('Ctrl Pts',(3.23384798654292,-2.25306814715075, 16.85399419037)); #524383=CARTESIAN_POINT('Ctrl Pts',(3.70553973884159,1.34279935245672,16.9681265545807)); #524384=CARTESIAN_POINT('Ctrl Pts',(1.03983536216244,3.80165366717912,17.0822589187914)); #524385=CARTESIAN_POINT('Ctrl Pts',(-2.506238563116,3.04183863234849,17.1963912830021)); #524386=CARTESIAN_POINT('Ctrl Pts',(-3.93038281365353,-0.293437353748599, 17.3105236472128)); #524387=CARTESIAN_POINT('Ctrl Pts',(-2.0267889804298,-3.38023013733594, 17.4246560114235)); #524388=CARTESIAN_POINT('Ctrl Pts',(1.59279005084482,-3.60515679478756, 17.5387883756343)); #524389=CARTESIAN_POINT('Ctrl Pts',(3.86385897371422,-0.777613314751103, 17.6529207398449)); #524390=CARTESIAN_POINT('Ctrl Pts',(2.86343129055585,2.70810024846106,17.7670531040557)); #524391=CARTESIAN_POINT('Ctrl Pts',(-0.561169028727404,3.90137055142423, 17.8811854682664)); #524392=CARTESIAN_POINT('Ctrl Pts',(-3.51103825690902,1.79066391718758, 17.9953178324771)); #524393=CARTESIAN_POINT('Ctrl Pts',(-3.48745175245323,-1.83450363616655, 18.1094501966878)); #524394=CARTESIAN_POINT('Ctrl Pts',(-0.512726338983489,-3.90972248887035, 18.2235825608985)); #524395=CARTESIAN_POINT('Ctrl Pts',(2.8993936261376,-2.66806805644652,18.3377149251092)); #524396=CARTESIAN_POINT('Ctrl Pts',(3.531291929663,-0.344572229101197,18.4138031679164)); #524397=CARTESIAN_POINT('Ctrl Pts',(3.30535230241676,0.708902069492218, 18.45184728932)); #524398=CARTESIAN_POINT('Ctrl Pts',(1.71119124379899,-2.77599790260225, 15.9860837220275)); #524399=CARTESIAN_POINT('Ctrl Pts',(2.59595087219249,-2.23061092799375, 16.024127843431)); #524400=CARTESIAN_POINT('Ctrl Pts',(3.79332233875004,-0.240229070904429, 16.1002160862382)); #524401=CARTESIAN_POINT('Ctrl Pts',(2.38210650190351,2.96558104819535,16.2143484504489)); #524402=CARTESIAN_POINT('Ctrl Pts',(-1.04839480606966,3.65381182311261, 16.3284808146596)); #524403=CARTESIAN_POINT('Ctrl Pts',(-3.59002829028269,1.25175369710647, 16.4426131788703)); #524404=CARTESIAN_POINT('Ctrl Pts',(-3.09272527920955,-2.21175429315887, 16.556745543081)); #524405=CARTESIAN_POINT('Ctrl Pts',(0.0233924855730612,-3.80182587010029, 16.6708779072918)); #524406=CARTESIAN_POINT('Ctrl Pts',(3.11954832272205,-2.17343394118615, 16.7850102715025)); #524407=CARTESIAN_POINT('Ctrl Pts',(3.57456823213903,1.29533840001611,16.8991426357132)); #524408=CARTESIAN_POINT('Ctrl Pts',(1.00308259963009,3.66728504123299,17.0132749999239)); #524409=CARTESIAN_POINT('Ctrl Pts',(-2.41765611034938,2.93432550433743, 17.1274073641346)); #524410=CARTESIAN_POINT('Ctrl Pts',(-3.79146429062468,-0.283065873991335, 17.2415397283453)); #524411=CARTESIAN_POINT('Ctrl Pts',(-1.95515256938064,-3.26075663481591, 17.355672092556)); #524412=CARTESIAN_POINT('Ctrl Pts',(1.53649322453463,-3.47773330824795, 17.4698044567668)); #524413=CARTESIAN_POINT('Ctrl Pts',(3.72729170792517,-0.750128740457872, 17.5839368209774)); #524414=CARTESIAN_POINT('Ctrl Pts',(2.76222392467353,2.61238303138684,17.6980691851882)); #524415=CARTESIAN_POINT('Ctrl Pts',(-0.541334637620248,3.76347745378937, 17.8122015493989)); #524416=CARTESIAN_POINT('Ctrl Pts',(-3.38694137646616,1.72737328865218, 17.9263339136096)); #524417=CARTESIAN_POINT('Ctrl Pts',(-3.36418853190479,-1.7696635022394, 18.0404662778203)); #524418=CARTESIAN_POINT('Ctrl Pts',(-0.494604144259334,-3.77153419380027, 18.154598642031)); #524419=CARTESIAN_POINT('Ctrl Pts',(2.79691517928862,-2.57376576851955, 18.2687310062417)); #524420=CARTESIAN_POINT('Ctrl Pts',(3.40647917405784,-0.332393398243351, 18.3448192490489)); #524421=CARTESIAN_POINT('Ctrl Pts',(3.1885253340293,0.683846079303359,18.3828633704525)); #524422=CARTESIAN_POINT('Ctrl Pts',(1.64849351531147,-2.67428586777128, 15.91709980316)); #524423=CARTESIAN_POINT('Ctrl Pts',(2.500835719308,-2.14888176811444,15.9551439245635)); #524424=CARTESIAN_POINT('Ctrl Pts',(3.65433572321452,-0.231427123459575, 16.0312321673707)); #524425=CARTESIAN_POINT('Ctrl Pts',(2.29482656604758,2.85692272300588,16.1453645315814)); #524426=CARTESIAN_POINT('Ctrl Pts',(-1.00998182689416,3.51993685331419, 16.2594968957921)); #524427=CARTESIAN_POINT('Ctrl Pts',(-3.45849034396908,1.20588967988543, 16.3736292600028)); #524428=CARTESIAN_POINT('Ctrl Pts',(-2.979408420575,-2.13071603679112,16.4877616242135)); #524429=CARTESIAN_POINT('Ctrl Pts',(0.0225353823652307,-3.66252769377569, 16.6018939884242)); #524430=CARTESIAN_POINT('Ctrl Pts',(3.00524865890118,-2.09379973522155, 16.716026352635)); #524431=CARTESIAN_POINT('Ctrl Pts',(3.44359672543647,1.2478774475755,16.8301587168457)); #524432=CARTESIAN_POINT('Ctrl Pts',(0.96632983709775,3.53291641528686,16.9442910810564)); #524433=CARTESIAN_POINT('Ctrl Pts',(-2.32907365758277,2.82681237632636, 17.0584234452671)); #524434=CARTESIAN_POINT('Ctrl Pts',(-3.65254576759582,-0.272694394234074, 17.1725558094778)); #524435=CARTESIAN_POINT('Ctrl Pts',(-1.88351615833149,-3.14128313229587, 17.2866881736885)); #524436=CARTESIAN_POINT('Ctrl Pts',(1.48019639822445,-3.35030982170834, 17.4008205378993)); #524437=CARTESIAN_POINT('Ctrl Pts',(3.59072444213613,-0.722644166164638, 17.51495290211)); #524438=CARTESIAN_POINT('Ctrl Pts',(2.66101655879121,2.51666581431262,17.6290852663207)); #524439=CARTESIAN_POINT('Ctrl Pts',(-0.521500246513092,3.6255843561545, 17.7432176305314)); #524440=CARTESIAN_POINT('Ctrl Pts',(-3.2628444960233,1.66408266011678,17.8573499947421)); #524441=CARTESIAN_POINT('Ctrl Pts',(-3.24092531135635,-1.70482336831226, 17.9714823589528)); #524442=CARTESIAN_POINT('Ctrl Pts',(-0.476481949535174,-3.63334589873018, 18.0856147231635)); #524443=CARTESIAN_POINT('Ctrl Pts',(2.69443673243964,-2.47946348059257, 18.1997470873742)); #524444=CARTESIAN_POINT('Ctrl Pts',(3.28166641845268,-0.320214567385505, 18.2758353301814)); #524445=CARTESIAN_POINT('Ctrl Pts',(3.07169836564183,0.658790089114499, 18.313879451585)); #524446=CARTESIAN_POINT('Ctrl Pts',(1.83658619855958,-2.97942115744861, 16.124051007151)); #524447=CARTESIAN_POINT('Ctrl Pts',(1.89215985003611,-2.94046286380568, 16.124051007151)); #524448=CARTESIAN_POINT('Ctrl Pts',(1.94653484304246,-2.89975409891685, 16.124051007151)); #524449=CARTESIAN_POINT('Ctrl Pts',(1.99966975293879,-2.85736659941074, 16.124051007151)); #524450=CARTESIAN_POINT('Ctrl Pts',(2.02837441557352,-2.83446792741474, 16.124051007151)); #524451=CARTESIAN_POINT('Ctrl Pts',(2.05671706358885,-2.81107925408046, 16.124051007151)); #524452=CARTESIAN_POINT('Ctrl Pts',(2.08469036598821,-2.78721139969541, 16.124051007151)); #524453=CARTESIAN_POINT('Ctrl Pts',(2.09994254159134,-2.77419768035854, 16.124051007151)); #524454=CARTESIAN_POINT('Ctrl Pts',(2.11508747355436,-2.76103910516192, 16.124051007151)); #524455=CARTESIAN_POINT('Ctrl Pts',(2.13010446571208,-2.74775495647365, 16.124051007151)); #524456=CARTESIAN_POINT('Ctrl Pts',(2.21275000662401,-2.67464606473515, 16.124051007151)); #524457=CARTESIAN_POINT('Ctrl Pts',(2.2916415100986,-2.59763872070582,16.124051007151)); #524458=CARTESIAN_POINT('Ctrl Pts',(2.36664851057009,-2.5171160537863,16.124051007151)); #524459=CARTESIAN_POINT('Ctrl Pts',(2.44327880067379,-2.43485072805431, 16.124051007151)); #524460=CARTESIAN_POINT('Ctrl Pts',(2.51585513965461,-2.34891556299222, 16.124051007151)); #524461=CARTESIAN_POINT('Ctrl Pts',(2.58419781905241,-2.25968361709366, 16.124051007151)); #524462=CARTESIAN_POINT('Ctrl Pts',(2.65225631749946,-2.17082271341126, 16.124051007151)); #524463=CARTESIAN_POINT('Ctrl Pts',(2.71611663587206,-2.07869154495977, 16.124051007151)); #524464=CARTESIAN_POINT('Ctrl Pts',(2.77555532207805,-1.98363569299008, 16.124051007151)); #524465=CARTESIAN_POINT('Ctrl Pts',(2.81717591891057,-1.91707498070094, 16.124051007151)); #524466=CARTESIAN_POINT('Ctrl Pts',(2.856627560429,-1.84907975558213,16.124051007151)); #524467=CARTESIAN_POINT('Ctrl Pts',(2.89381862766435,-1.77976527303981, 16.124051007151)); #524468=CARTESIAN_POINT('Ctrl Pts',(2.90358706192063,-1.76155945061914, 16.124051007151)); #524469=CARTESIAN_POINT('Ctrl Pts',(2.91319947471766,-1.74326260806439, 16.124051007151)); #524470=CARTESIAN_POINT('Ctrl Pts',(2.92265405537008,-1.72487682305488, 16.124051007151)); #524471=CARTESIAN_POINT('Ctrl Pts',(2.93036451978628,-1.7098827216461,16.124051007151)); #524472=CARTESIAN_POINT('Ctrl Pts',(2.9379662111014,-1.69483679481584,16.124051007151)); #524473=CARTESIAN_POINT('Ctrl Pts',(2.94545589912988,-1.67974562055623, 16.124051007151)); #524474=CARTESIAN_POINT('Ctrl Pts',(2.99468877117078,-1.58054497982919, 16.124051007151)); #524475=CARTESIAN_POINT('Ctrl Pts',(3.03912313093138,-1.47931862906617, 16.124051007151)); #524476=CARTESIAN_POINT('Ctrl Pts',(3.07858762606338,-1.37642057002605, 16.124051007151)); #524477=CARTESIAN_POINT('Ctrl Pts',(3.11750833788834,-1.27494034863077, 16.124051007151)); #524478=CARTESIAN_POINT('Ctrl Pts',(3.1515954195832,-1.17183335265399,16.124051007151)); #524479=CARTESIAN_POINT('Ctrl Pts',(3.18063671070418,-1.06742474212113, 16.124051007151)); #524480=CARTESIAN_POINT('Ctrl Pts',(3.20916981544692,-0.964843152068247, 16.124051007151)); #524481=CARTESIAN_POINT('Ctrl Pts',(3.23283233980177,-0.861004387878595, 16.124051007151)); #524482=CARTESIAN_POINT('Ctrl Pts',(3.25137384721072,-0.7562140746629,16.124051007151)); #524483=CARTESIAN_POINT('Ctrl Pts',(3.25967112214571,-0.709320689993696, 16.124051007151)); #524484=CARTESIAN_POINT('Ctrl Pts',(3.26694221467541,-0.662236780705958, 16.124051007151)); #524485=CARTESIAN_POINT('Ctrl Pts',(3.27316126747323,-0.614990116551343, 16.124051007151)); #524486=CARTESIAN_POINT('Ctrl Pts',(3.27842103343564,-0.575031234702829, 16.124051007151)); #524487=CARTESIAN_POINT('Ctrl Pts',(3.28292824757364,-0.534955936578829, 16.124051007151)); #524488=CARTESIAN_POINT('Ctrl Pts',(3.28666602562668,-0.494781289354301, 16.124051007151)); #524489=CARTESIAN_POINT('Ctrl Pts',(3.28814006086123,-0.47893796085698, 16.124051007151)); #524490=CARTESIAN_POINT('Ctrl Pts',(3.28949438972728,-0.46307865766969, 16.124051007151)); #524491=CARTESIAN_POINT('Ctrl Pts',(3.29072693505982,-0.447219949637573, 16.124051007151)); #524492=CARTESIAN_POINT('Ctrl Pts',(3.29697080996258,-0.366882304881134, 16.124051007151)); #524493=CARTESIAN_POINT('Ctrl Pts',(3.30010023844037,-0.286464189319955, 16.124051007151)); #524494=CARTESIAN_POINT('Ctrl Pts',(3.3000315586916,-0.206099361049728, 16.124051007151)); #524495=CARTESIAN_POINT('Ctrl Pts',(3.2999402523377,-0.0992582676844699, 16.124051007151)); #524496=CARTESIAN_POINT('Ctrl Pts',(3.29419850969426,0.00748921634354088, 16.124051007151)); #524497=CARTESIAN_POINT('Ctrl Pts',(3.28303919283747,0.113781382803308, 16.124051007151)); #524498=CARTESIAN_POINT('Ctrl Pts',(3.27176873039012,0.221132207760332, 16.124051007151)); #524499=CARTESIAN_POINT('Ctrl Pts',(3.25497183359767,0.328019467597519, 16.124051007151)); #524500=CARTESIAN_POINT('Ctrl Pts',(3.23283616428531,0.434057472339201, 16.124051007151)); #524501=CARTESIAN_POINT('Ctrl Pts',(3.21701129861958,0.509864404436948, 16.124051007151)); #524502=CARTESIAN_POINT('Ctrl Pts',(3.19845674800975,0.585237051476139, 16.124051007151)); #524503=CARTESIAN_POINT('Ctrl Pts',(3.17722673202067,0.660026711956177, 16.124051007151)); #524504=CARTESIAN_POINT('Ctrl Pts',(3.16882872771667,0.689611423099539, 16.124051007151)); #524505=CARTESIAN_POINT('Ctrl Pts',(3.16001195111445,0.71910482249221,16.124051007151)); #524506=CARTESIAN_POINT('Ctrl Pts',(3.15077929558574,0.748497328616704, 16.124051007151)); #524507=CARTESIAN_POINT('Ctrl Pts',(3.14420663032776,0.769421655669574, 16.124051007151)); #524508=CARTESIAN_POINT('Ctrl Pts',(3.1374220633295,0.790297894203342,16.124051007151)); #524509=CARTESIAN_POINT('Ctrl Pts',(3.13043494481488,0.811098526616193, 16.124051007151)); #524510=CARTESIAN_POINT('Ctrl Pts',(3.09622816365857,0.912932019116787, 16.124051007151)); #524511=CARTESIAN_POINT('Ctrl Pts',(3.0571356860537,1.0130712704444,16.124051007151)); #524512=CARTESIAN_POINT('Ctrl Pts',(3.01335093299407,1.11113927630149,16.124051007151)); #524513=CARTESIAN_POINT('Ctrl Pts',(2.97409533651081,1.19906298939408,16.124051007151)); #524514=CARTESIAN_POINT('Ctrl Pts',(2.93106710559657,1.28532197934821,16.124051007151)); #524515=CARTESIAN_POINT('Ctrl Pts',(2.88437622976558,1.3696243751211,16.124051007151)); #524516=CARTESIAN_POINT('Ctrl Pts',(2.86717223458524,1.40068693252133,16.124051007151)); #524517=CARTESIAN_POINT('Ctrl Pts',(2.84947072363823,1.43148362369134,16.124051007151)); #524518=CARTESIAN_POINT('Ctrl Pts',(2.83127636952416,1.46199898670638,16.124051007151)); #524519=CARTESIAN_POINT('Ctrl Pts',(2.82148273731608,1.47842475484255,16.124051007151)); #524520=CARTESIAN_POINT('Ctrl Pts',(2.81154862236839,1.4947650159177,16.124051007151)); #524521=CARTESIAN_POINT('Ctrl Pts',(2.80147921311222,1.51101073392397,16.124051007151)); #524522=CARTESIAN_POINT('Ctrl Pts',(2.74581722414915,1.60081431160736,16.124051007151)); #524523=CARTESIAN_POINT('Ctrl Pts',(2.68598627143523,1.68779556160269,16.124051007151)); #524524=CARTESIAN_POINT('Ctrl Pts',(2.62214607261027,1.77157558616152,16.124051007151)); #524525=CARTESIAN_POINT('Ctrl Pts',(2.55969098963038,1.85353786826884,16.124051007151)); #524526=CARTESIAN_POINT('Ctrl Pts',(2.49339819324477,1.93243691037874,16.124051007151)); #524527=CARTESIAN_POINT('Ctrl Pts',(2.42338487830086,2.00788018792936,16.124051007151)); #524528=CARTESIAN_POINT('Ctrl Pts',(2.40613695031394,2.02646579867117,16.124051007151)); #524529=CARTESIAN_POINT('Ctrl Pts',(2.38866309151567,2.04484147533165,16.124051007151)); #524530=CARTESIAN_POINT('Ctrl Pts',(2.37096480130085,2.06300092528242,16.124051007151)); #524531=CARTESIAN_POINT('Ctrl Pts',(3.49669267738282,0.00186730564810401, 16.9956121063289)); #524532=CARTESIAN_POINT('Ctrl Pts',(3.49288753611514,-0.70963637435034, 16.9714005897612)); #524533=CARTESIAN_POINT('Ctrl Pts',(3.27996747155483,-1.42323207544089, 16.9471890731936)); #524534=CARTESIAN_POINT('Ctrl Pts',(2.87570063674174,-2.00349467381975, 16.922977556626)); #524535=CARTESIAN_POINT('Ctrl Pts',(2.24046671820103,-2.91527484574811, 16.8849334352224)); #524536=CARTESIAN_POINT('Ctrl Pts',(1.13278670166186,-3.49784797630887, 16.8468893138189)); #524537=CARTESIAN_POINT('Ctrl Pts',(0.0215358977504794,-3.50470271656412, 16.8088451924153)); #524538=CARTESIAN_POINT('Ctrl Pts',(-1.08971490616089,-3.51155745681938, 16.7708010710117)); #524539=CARTESIAN_POINT('Ctrl Pts',(-2.20453649744446,-2.94269380676914, 16.7327569496081)); #524540=CARTESIAN_POINT('Ctrl Pts',(-2.85090479983077,-2.03871006160015, 16.6947128282046)); #524541=CARTESIAN_POINT('Ctrl Pts',(-3.49727310221707,-1.13472631643115, 16.656668706801)); #524542=CARTESIAN_POINT('Ctrl Pts',(-3.67518811570609,0.104377523856602, 16.6186245853974)); #524543=CARTESIAN_POINT('Ctrl Pts',(-3.30949851036887,1.15360933168865, 16.5805804639939)); #524544=CARTESIAN_POINT('Ctrl Pts',(-2.94380890503164,2.2028411395207,16.5425363425903)); #524545=CARTESIAN_POINT('Ctrl Pts',(-2.03451468086817,3.06220091489704, 16.5044922211867)); #524546=CARTESIAN_POINT('Ctrl Pts',(-0.9662198802624,3.36877015889664,16.4664480997831)); #524547=CARTESIAN_POINT('Ctrl Pts',(0.102074920343371,3.67533940289624, 16.4284039783796)); #524548=CARTESIAN_POINT('Ctrl Pts',(1.32937029739144,3.42911811551908,16.390359856976)); #524549=CARTESIAN_POINT('Ctrl Pts',(2.19545611624839,2.73255251792617,16.3523157355724)); #524550=CARTESIAN_POINT('Ctrl Pts',(3.00516947623622,2.08132551686146,16.3167478591579)); #524551=CARTESIAN_POINT('Ctrl Pts',(3.49916436671901,1.03647101301514,16.2811799827434)); #524552=CARTESIAN_POINT('Ctrl Pts',(3.50378688793346,-0.00181106164791798, 16.2456121063289)); #524553=CARTESIAN_POINT('Ctrl Pts',(3.07169836564184,0.658790089114501, 18.313879451585)); #524554=CARTESIAN_POINT('Ctrl Pts',(3.09948672514699,0.56094035811476,18.3138628738418)); #524555=CARTESIAN_POINT('Ctrl Pts',(3.12210615386819,0.461889142831178, 18.3138451122158)); #524556=CARTESIAN_POINT('Ctrl Pts',(3.13973720232315,0.36199781193028,18.3138261374291)); #524557=CARTESIAN_POINT('Ctrl Pts',(3.15769542828677,0.260252808125917, 18.3138068105292)); #524558=CARTESIAN_POINT('Ctrl Pts',(3.17047847189138,0.157635514392792, 18.3137862250258)); #524559=CARTESIAN_POINT('Ctrl Pts',(3.17822643044008,0.0545071814366362, 18.3137643499807)); #524560=CARTESIAN_POINT('Ctrl Pts',(3.1861029150987,-0.050331883885189, 18.3137421120639)); #524561=CARTESIAN_POINT('Ctrl Pts',(3.18877592820569,-0.155699904605395, 18.3137185414678)); #524562=CARTESIAN_POINT('Ctrl Pts',(3.18634000468336,-0.261226787175135, 18.3136936056888)); #524563=CARTESIAN_POINT('Ctrl Pts',(3.18545806517412,-0.299433375424603, 18.3136845775529)); #524564=CARTESIAN_POINT('Ctrl Pts',(3.18390592809865,-0.337660814735819, 18.313675370463)); #524565=CARTESIAN_POINT('Ctrl Pts',(3.18168640091088,-0.375891506873506, 18.3136659828767)); #524566=CARTESIAN_POINT('Ctrl Pts',(3.17539908019635,-0.484188726178672, 18.3136393903815)); #524567=CARTESIAN_POINT('Ctrl Pts',(3.16379237726326,-0.591960737539302, 18.3136114861663)); #524568=CARTESIAN_POINT('Ctrl Pts',(3.1470556990264,-0.698802318222372, 18.3135822224676)); #524569=CARTESIAN_POINT('Ctrl Pts',(3.13040038082277,-0.805124522573343, 18.313553101025)); #524570=CARTESIAN_POINT('Ctrl Pts',(3.10866465573229,-0.910526234868536, 18.3135226332842)); #524571=CARTESIAN_POINT('Ctrl Pts',(3.081981382689,-1.01461839078732,18.3134907721748)); #524572=CARTESIAN_POINT('Ctrl Pts',(3.05510259083711,-1.11947327076461, 18.3134586776066)); #524573=CARTESIAN_POINT('Ctrl Pts',(3.02320341394098,-1.22300026871316, 18.3134251691755)); #524574=CARTESIAN_POINT('Ctrl Pts',(2.98636666562221,-1.32479827598105, 18.3133901987689)); #524575=CARTESIAN_POINT('Ctrl Pts',(2.94952991730344,-1.42659628324895, 18.3133552283624)); #524576=CARTESIAN_POINT('Ctrl Pts',(2.90775547922652,-1.52666626636195, 18.3133187959804)); #524577=CARTESIAN_POINT('Ctrl Pts',(2.86107485570497,-1.62458940788037, 18.3132808535104)); #524578=CARTESIAN_POINT('Ctrl Pts',(2.81385850477636,-1.72363635852426, 18.3132424755958)); #524579=CARTESIAN_POINT('Ctrl Pts',(2.76185747909841,-1.81999259688256, 18.3132027420494)); #524580=CARTESIAN_POINT('Ctrl Pts',(2.70520716145139,-1.91326379952785, 18.3131615901987)); #524581=CARTESIAN_POINT('Ctrl Pts',(2.64895519612123,-2.00587914001362, 18.3131207277185)); #524582=CARTESIAN_POINT('Ctrl Pts',(2.58811888230296,-2.09545357734137, 18.3130784668102)); #524583=CARTESIAN_POINT('Ctrl Pts',(2.52278122568432,-2.18158945736802, 18.313034746114)); #524584=CARTESIAN_POINT('Ctrl Pts',(2.50138858385768,-2.20979178547703, 18.3130204312267)); #524585=CARTESIAN_POINT('Ctrl Pts',(2.47951300629633,-2.23762534401917, 18.3130059598476)); #524586=CARTESIAN_POINT('Ctrl Pts',(2.45715629767528,-2.26507565283712, 18.3129913298231)); #524587=CARTESIAN_POINT('Ctrl Pts',(2.38943968765183,-2.34822035399845, 18.3129470166961)); #524588=CARTESIAN_POINT('Ctrl Pts',(2.31731216846123,-2.42785148426232, 18.3129012481023)); #524589=CARTESIAN_POINT('Ctrl Pts',(2.24115458976056,-2.50385589279324, 18.3128539641938)); #524590=CARTESIAN_POINT('Ctrl Pts',(2.19583326437802,-2.54908606670771, 18.3128258255707)); #524591=CARTESIAN_POINT('Ctrl Pts',(2.14908387538874,-2.59303125349991, 18.3127971503091)); #524592=CARTESIAN_POINT('Ctrl Pts',(2.10098037214153,-2.63566160771841, 18.3127679257962)); #524593=CARTESIAN_POINT('Ctrl Pts',(2.01989347652605,-2.70752255025312, 18.3127186627516)); #524594=CARTESIAN_POINT('Ctrl Pts',(1.9353367515444,-2.77531635014735,18.3126680654504)); #524595=CARTESIAN_POINT('Ctrl Pts',(1.84774456000939,-2.8389716332409,18.3126160620018)); #524596=CARTESIAN_POINT('Ctrl Pts',(1.75858573015443,-2.90376542884354, 18.3125631284407)); #524597=CARTESIAN_POINT('Ctrl Pts',(1.66628125824312,-2.96427181068222, 18.3125087379828)); #524598=CARTESIAN_POINT('Ctrl Pts',(1.5712443126537,-3.02038332255174,18.3124528148104)); #524599=CARTESIAN_POINT('Ctrl Pts',(1.47501793909359,-3.07719709396129, 18.3123961917355)); #524600=CARTESIAN_POINT('Ctrl Pts',(1.37598953523807,-3.12950579627697, 18.312337997341)); #524601=CARTESIAN_POINT('Ctrl Pts',(1.27455271384306,-3.17715788828015, 18.3122781529264)); #524602=CARTESIAN_POINT('Ctrl Pts',(1.1921461516537,-3.21587011357577,18.3122295357438)); #524603=CARTESIAN_POINT('Ctrl Pts',(1.10814922325215,-3.25150840927214, 18.3121798295771)); #524604=CARTESIAN_POINT('Ctrl Pts',(1.02276137037514,-3.2839690096504,18.3121289922301)); #524605=CARTESIAN_POINT('Ctrl Pts',(0.916477915690889,-3.32437317292519, 18.3120657142732)); #524606=CARTESIAN_POINT('Ctrl Pts',(0.808524752464887,-3.35967084910363, 18.3120009679371)); #524607=CARTESIAN_POINT('Ctrl Pts',(0.699330282149988,-3.38975166233564, 18.3119346609001)); #524608=CARTESIAN_POINT('Ctrl Pts',(0.589779838635767,-3.41993053880559, 18.3118681377027)); #524609=CARTESIAN_POINT('Ctrl Pts',(0.478979150907185,-3.44485891466822, 18.3118000436121)); #524610=CARTESIAN_POINT('Ctrl Pts',(0.367332431792537,-3.4643817509362, 18.3117302854009)); #524611=CARTESIAN_POINT('Ctrl Pts',(0.278305826030826,-3.47994917523279, 18.3116746605083)); #524612=CARTESIAN_POINT('Ctrl Pts',(0.188740568735725,-3.49207878378274, 18.3116179775015)); #524613=CARTESIAN_POINT('Ctrl Pts',(0.0988340980891243,-3.50066939859337, 18.311560189113)); #524614=CARTESIAN_POINT('Ctrl Pts',(3.14224514149666,-0.00224864387512761, 17.5386609023379)); #524615=CARTESIAN_POINT('Ctrl Pts',(3.1358724250832,0.790392762758331,17.5688023569988)); #524616=CARTESIAN_POINT('Ctrl Pts',(2.82855141249783,1.58743419427067,17.5989438116597)); #524617=CARTESIAN_POINT('Ctrl Pts',(2.28554840513132,2.16160057454006,17.6290852663207)); #524618=CARTESIAN_POINT('Ctrl Pts',(1.60017762368978,2.88630532825992,17.6671293877243)); #524619=CARTESIAN_POINT('Ctrl Pts',(0.539338688588343,3.25594484220722, 17.7051735091278)); #524620=CARTESIAN_POINT('Ctrl Pts',(-0.447971487214078,3.11384764984124, 17.7432176305314)); #524621=CARTESIAN_POINT('Ctrl Pts',(-1.4352816630165,2.97175045747527,17.781261751935)); #524622=CARTESIAN_POINT('Ctrl Pts',(-2.3490630795199,2.31791655879604,17.8193058733385)); #524623=CARTESIAN_POINT('Ctrl Pts',(-2.80230059032711,1.42951527138491, 17.8573499947421)); #524624=CARTESIAN_POINT('Ctrl Pts',(-3.25553810113433,0.541113983973781, 17.8953941161457)); #524625=CARTESIAN_POINT('Ctrl Pts',(-3.24823170624534,-0.58185469216925, 17.9334382375492)); #524626=CARTESIAN_POINT('Ctrl Pts',(-2.78383794849732,-1.46475945197708, 17.9714823589528)); #524627=CARTESIAN_POINT('Ctrl Pts',(-2.3194441907493,-2.34766421178491, 18.0095264803564)); #524628=CARTESIAN_POINT('Ctrl Pts',(-1.39796307014224,-2.99050505525754, 18.04757060176)); #524629=CARTESIAN_POINT('Ctrl Pts',(-0.408736062842915,-3.1196117406376, 18.0856147231635)); #524630=CARTESIAN_POINT('Ctrl Pts',(0.580490944456428,-3.24871842601765, 18.1236588445671)); #524631=CARTESIAN_POINT('Ctrl Pts',(1.63746383844805,-2.86409095330511, 18.1617029659707)); #524632=CARTESIAN_POINT('Ctrl Pts',(2.31275770694711,-2.13196498864707, 18.1997470873743)); #524633=CARTESIAN_POINT('Ctrl Pts',(2.83883945847313,-1.56160869223786, 18.2293850256955)); #524634=CARTESIAN_POINT('Ctrl Pts',(3.13327822285973,-0.780353752402233, 18.2590229640167)); #524635=CARTESIAN_POINT('Ctrl Pts',(3.13843734257202,-7.23637237550255E-5, 18.2886609023379)); #524636=CARTESIAN_POINT('Ctrl Pts',(-2.37141664527353,2.06051459651724, 16.1240510071513)); #524637=CARTESIAN_POINT('Ctrl Pts',(-3.0275040501623,1.30543308903905,16.1620583405287)); #524638=CARTESIAN_POINT('Ctrl Pts',(-3.55664739305144,-0.866067985367731, 16.2380730072835)); #524639=CARTESIAN_POINT('Ctrl Pts',(-1.34363573278971,-3.40804878944336, 16.3520950074157)); #524640=CARTESIAN_POINT('Ctrl Pts',(2.00525164410097,-3.0628357256803,16.466117007548)); #524641=CARTESIAN_POINT('Ctrl Pts',(3.65920629018368,-0.132399249562929, 16.5801390076802)); #524642=CARTESIAN_POINT('Ctrl Pts',(2.22065744589257,2.91161006550824,16.6941610078124)); #524643=CARTESIAN_POINT('Ctrl Pts',(-1.09474055367461,3.49401474464567, 16.8081830079446)); #524644=CARTESIAN_POINT('Ctrl Pts',(-3.48488840085194,1.12385687717039, 16.9222050080769)); #524645=CARTESIAN_POINT('Ctrl Pts',(-2.92977612431047,-2.1963488282337, 17.0362270082091)); #524646=CARTESIAN_POINT('Ctrl Pts',(0.101459132656836,-3.66018812870091, 17.1502490083413)); #524647=CARTESIAN_POINT('Ctrl Pts',(3.04694130989064,-2.03065952138212, 17.2642710084735)); #524648=CARTESIAN_POINT('Ctrl Pts',(3.41728777814984,1.31513251768073,17.3782930086058)); #524649=CARTESIAN_POINT('Ctrl Pts',(0.899489265700557,3.54939288504627, 17.492315008738)); #524650=CARTESIAN_POINT('Ctrl Pts',(-2.37850861128204,2.78392400966886, 17.6063370088703)); #524651=CARTESIAN_POINT('Ctrl Pts',(-3.64631452325559,-0.334502474205637, 17.7203590090024)); #524652=CARTESIAN_POINT('Ctrl Pts',(-1.83238303248882,-3.17001082279607, 17.8343810091347)); #524653=CARTESIAN_POINT('Ctrl Pts',(1.53012805894512,-3.32678539279723, 17.9484030092669)); #524654=CARTESIAN_POINT('Ctrl Pts',(3.59957987928372,-0.671077454986942, 18.0624250093992)); #524655=CARTESIAN_POINT('Ctrl Pts',(2.6265532000416,2.5501401709025,18.1764470095314)); #524656=CARTESIAN_POINT('Ctrl Pts',(-0.565765764917012,3.61940082596093, 18.2904690096636)); #524657=CARTESIAN_POINT('Ctrl Pts',(-3.28113810609616,1.62294394801373, 18.4044910097958)); #524658=CARTESIAN_POINT('Ctrl Pts',(-3.23971342645715,-0.611713428272497, 18.4805056765507)); #524659=CARTESIAN_POINT('Ctrl Pts',(-2.76464558539531,-1.49200276262688, 18.5185130099281)); #524660=CARTESIAN_POINT('Ctrl Pts',(-2.46160998518763,2.13888324114227, 16.0550667504322)); #524661=CARTESIAN_POINT('Ctrl Pts',(-3.14265071036277,1.35508331816329, 16.0930740838097)); #524662=CARTESIAN_POINT('Ctrl Pts',(-3.69191924269181,-0.89900760846153, 16.1690887505645)); #524663=CARTESIAN_POINT('Ctrl Pts',(-1.39473893608126,-3.53766891683166, 16.2831107506967)); #524664=CARTESIAN_POINT('Ctrl Pts',(2.08151845080485,-3.17932618149904, 16.3971327508289)); #524665=CARTESIAN_POINT('Ctrl Pts',(3.79837883126886,-0.137434860459234, 16.5111547509612)); #524666=CARTESIAN_POINT('Ctrl Pts',(2.30511689571626,3.02234887556209,16.6251767510934)); #524667=CARTESIAN_POINT('Ctrl Pts',(-1.13637739459444,3.62690446079299, 16.7391987512256)); #524668=CARTESIAN_POINT('Ctrl Pts',(-3.61743100267801,1.16660112191301, 16.8532207513578)); #524669=CARTESIAN_POINT('Ctrl Pts',(-3.04120584646803,-2.27988372826091, 16.9672427514901)); #524670=CARTESIAN_POINT('Ctrl Pts',(0.105317988921666,-3.79939800532447, 17.0812647516223)); #524671=CARTESIAN_POINT('Ctrl Pts',(3.16282725220933,-2.10789267211329, 17.1952867517545)); #524672=CARTESIAN_POINT('Ctrl Pts',(3.54725930414312,1.36515165031949,17.3093087518868)); #524673=CARTESIAN_POINT('Ctrl Pts',(0.933700025324052,3.68438882738234, 17.423330752019)); #524674=CARTESIAN_POINT('Ctrl Pts',(-2.46897168370121,2.88980646823094, 17.5373527521512)); #524675=CARTESIAN_POINT('Ctrl Pts',(-3.78499673042221,-0.347224784248795, 17.6513747522834)); #524676=CARTESIAN_POINT('Ctrl Pts',(-1.90207502108186,-3.29057752593357, 17.7653967524157)); #524677=CARTESIAN_POINT('Ctrl Pts',(1.58832423830931,-3.45331478631539, 17.8794187525479)); #524678=CARTESIAN_POINT('Ctrl Pts',(3.73648461745479,-0.696600899807623, 17.9934407526802)); #524679=CARTESIAN_POINT('Ctrl Pts',(2.72645029838694,2.64713100471604,18.1074627528124)); #524680=CARTESIAN_POINT('Ctrl Pts',(-0.587283827105642,3.75705941744894, 18.2214847529446)); #524681=CARTESIAN_POINT('Ctrl Pts',(-3.4059313648841,1.68467023605843,18.3355067530768)); #524682=CARTESIAN_POINT('Ctrl Pts',(-3.36293115843099,-0.63497904957598, 18.4115214198317)); #524683=CARTESIAN_POINT('Ctrl Pts',(-2.86979481017416,-1.5487488951262, 18.4495287532091)); #524684=CARTESIAN_POINT('Ctrl Pts',(-2.55180332510172,2.2172518857673,15.9860824937132)); #524685=CARTESIAN_POINT('Ctrl Pts',(-3.25779737056325,1.40473354728754, 16.0240898270906)); #524686=CARTESIAN_POINT('Ctrl Pts',(-3.82719109233218,-0.931947231555327, 16.1001044938455)); #524687=CARTESIAN_POINT('Ctrl Pts',(-1.44584213937281,-3.66728904421997, 16.2141264939777)); #524688=CARTESIAN_POINT('Ctrl Pts',(2.15778525750874,-3.29581663731778, 16.3281484941099)); #524689=CARTESIAN_POINT('Ctrl Pts',(3.93755137235403,-0.142470471355539, 16.4421704942421)); #524690=CARTESIAN_POINT('Ctrl Pts',(2.38957634553994,3.13308768561594,16.5561924943744)); #524691=CARTESIAN_POINT('Ctrl Pts',(-1.17801423551428,3.75979417694032, 16.6702144945066)); #524692=CARTESIAN_POINT('Ctrl Pts',(-3.74997360450408,1.20934536665562, 16.7842364946389)); #524693=CARTESIAN_POINT('Ctrl Pts',(-3.1526355686256,-2.36341862828811, 16.8982584947711)); #524694=CARTESIAN_POINT('Ctrl Pts',(0.1091768451865,-3.93860788194803,17.0122804949033)); #524695=CARTESIAN_POINT('Ctrl Pts',(3.27871319452803,-2.18512582284446, 17.1263024950355)); #524696=CARTESIAN_POINT('Ctrl Pts',(3.6772308301364,1.41517078295825,17.2403244951678)); #524697=CARTESIAN_POINT('Ctrl Pts',(0.967910784947548,3.8193847697184,17.3543464953)); #524698=CARTESIAN_POINT('Ctrl Pts',(-2.55943475612038,2.99568892679302, 17.4683684954322)); #524699=CARTESIAN_POINT('Ctrl Pts',(-3.92367893758884,-0.359947094291952, 17.5823904955644)); #524700=CARTESIAN_POINT('Ctrl Pts',(-1.97176700967491,-3.41114422907107, 17.6964124956967)); #524701=CARTESIAN_POINT('Ctrl Pts',(1.64652041767351,-3.57984417983355, 17.8104344958289)); #524702=CARTESIAN_POINT('Ctrl Pts',(3.87338935562586,-0.722124344628302, 17.9244564959611)); #524703=CARTESIAN_POINT('Ctrl Pts',(2.82634739673229,2.74412183852958,18.0384784960934)); #524704=CARTESIAN_POINT('Ctrl Pts',(-0.608801889294272,3.89471800893696, 18.1525004962256)); #524705=CARTESIAN_POINT('Ctrl Pts',(-3.53072462367203,1.74639652410312, 18.2665224963578)); #524706=CARTESIAN_POINT('Ctrl Pts',(-3.48614889040482,-0.658244670879463, 18.3425371631126)); #524707=CARTESIAN_POINT('Ctrl Pts',(-2.97494403495301,-1.60549502762552, 18.38054449649)); #524708=CARTESIAN_POINT('Ctrl Pts',(-2.64199666501581,2.29562053039233, 15.9170982369942)); #524709=CARTESIAN_POINT('Ctrl Pts',(-3.37294403076372,1.45438377641179, 15.9551055703716)); #524710=CARTESIAN_POINT('Ctrl Pts',(-3.96246294197255,-0.964886854649123, 16.0311202371264)); #524711=CARTESIAN_POINT('Ctrl Pts',(-1.49694534266436,-3.79690917160828, 16.1451422372587)); #524712=CARTESIAN_POINT('Ctrl Pts',(2.23405206421262,-3.41230709313651, 16.2591642373909)); #524713=CARTESIAN_POINT('Ctrl Pts',(4.0767239134392,-0.147506082251842, 16.3731862375231)); #524714=CARTESIAN_POINT('Ctrl Pts',(2.47403579536363,3.24382649566979,16.4872082376554)); #524715=CARTESIAN_POINT('Ctrl Pts',(-1.21965107643411,3.89268389308764, 16.6012302377876)); #524716=CARTESIAN_POINT('Ctrl Pts',(-3.88251620633015,1.25208961139824, 16.7152522379198)); #524717=CARTESIAN_POINT('Ctrl Pts',(-3.26406529078316,-2.44695352831532, 16.829274238052)); #524718=CARTESIAN_POINT('Ctrl Pts',(0.113035701451331,-4.07781775857158, 16.9432962381843)); #524719=CARTESIAN_POINT('Ctrl Pts',(3.39459913684673,-2.26235897357563, 17.0573182383165)); #524720=CARTESIAN_POINT('Ctrl Pts',(3.80720235612968,1.46518991559702,17.1713402384487)); #524721=CARTESIAN_POINT('Ctrl Pts',(1.00212154457104,3.95438071205446,17.285362238581)); #524722=CARTESIAN_POINT('Ctrl Pts',(-2.64989782853955,3.10157138535509, 17.3993842387132)); #524723=CARTESIAN_POINT('Ctrl Pts',(-4.06236114475546,-0.372669404335105, 17.5134062388454)); #524724=CARTESIAN_POINT('Ctrl Pts',(-2.04145899826795,-3.53171093220858, 17.6274282389777)); #524725=CARTESIAN_POINT('Ctrl Pts',(1.70471659703771,-3.7063735733517,17.7414502391099)); #524726=CARTESIAN_POINT('Ctrl Pts',(4.01029409379693,-0.747647789448987, 17.8554722392421)); #524727=CARTESIAN_POINT('Ctrl Pts',(2.92624449507763,2.84111267234312,17.9694942393743)); #524728=CARTESIAN_POINT('Ctrl Pts',(-0.630319951482903,4.03237660042498, 18.0835162395066)); #524729=CARTESIAN_POINT('Ctrl Pts',(-3.65551788245996,1.80812281214781, 18.1975382396388)); #524730=CARTESIAN_POINT('Ctrl Pts',(-3.60936662237866,-0.681510292182946, 18.2735529063936)); #524731=CARTESIAN_POINT('Ctrl Pts',(-3.08009325973186,-1.66224116012484, 18.311560239771)); #524732=CARTESIAN_POINT('Ctrl Pts',(-3.08011338737932,-1.66219815155173, 18.3115601891121)); #524733=CARTESIAN_POINT('Ctrl Pts',(-3.12755529475444,-1.56002732684,18.3116350166318)); #524734=CARTESIAN_POINT('Ctrl Pts',(-3.16966236113749,-1.45548440299574, 18.3117079746464)); #524735=CARTESIAN_POINT('Ctrl Pts',(-3.20646436546035,-1.3489800124434, 18.3117791689376)); #524736=CARTESIAN_POINT('Ctrl Pts',(-3.2435192771981,-1.24174371202408, 18.3118508524838)); #524737=CARTESIAN_POINT('Ctrl Pts',(-3.27519594764884,-1.13251797657293, 18.3119207479821)); #524738=CARTESIAN_POINT('Ctrl Pts',(-3.30147254460431,-1.02171956270581, 18.3119889634101)); #524739=CARTESIAN_POINT('Ctrl Pts',(-3.30831055542627,-0.992886272216799, 18.3120067152459)); #524740=CARTESIAN_POINT('Ctrl Pts',(-3.31478259704342,-0.9639465073099, 18.3120243533062)); #524741=CARTESIAN_POINT('Ctrl Pts',(-3.32088771173614,-0.934907705704997, 18.3120418794939)); #524742=CARTESIAN_POINT('Ctrl Pts',(-3.34405692378637,-0.824704018152585, 18.3121083922425)); #524743=CARTESIAN_POINT('Ctrl Pts',(-3.36183345093774,-0.713609420112219, 18.3121729814089)); #524744=CARTESIAN_POINT('Ctrl Pts',(-3.37429047554258,-0.602039679005553, 18.3122357413556)); #524745=CARTESIAN_POINT('Ctrl Pts',(-3.38670410958344,-0.490858559912777, 18.3122982826956)); #524746=CARTESIAN_POINT('Ctrl Pts',(-3.39383508984289,-0.379204668740189, 18.312359007537)); #524747=CARTESIAN_POINT('Ctrl Pts',(-3.39570272916448,-0.267484773440434, 18.3124180092597)); #524748=CARTESIAN_POINT('Ctrl Pts',(-3.39758016069397,-0.155179120265728, 18.312477320334)); #524749=CARTESIAN_POINT('Ctrl Pts',(-3.39413886356703,-0.0428058373552128, 18.3125348901733)); #524750=CARTESIAN_POINT('Ctrl Pts',(-3.38534604924066,0.0692126035747402, 18.3125908136341)); #524751=CARTESIAN_POINT('Ctrl Pts',(-3.37655323491429,0.181231044504693, 18.312646737095)); #524752=CARTESIAN_POINT('Ctrl Pts',(-3.3624088228669,0.292895569816155, 18.3127010141773)); #524753=CARTESIAN_POINT('Ctrl Pts',(-3.34282880705117,0.403760517866894, 18.3127537397378)); #524754=CARTESIAN_POINT('Ctrl Pts',(-3.32380264414549,0.511489468752044, 18.3128049738691)); #524755=CARTESIAN_POINT('Ctrl Pts',(-3.29973674333482,0.617938238113554, 18.3128544936923)); #524756=CARTESIAN_POINT('Ctrl Pts',(-3.27065060742202,0.722727622047374, 18.3129023713378)); #524757=CARTESIAN_POINT('Ctrl Pts',(-3.24188900994803,0.826347782844503, 18.3129497147721)); #524758=CARTESIAN_POINT('Ctrl Pts',(-3.20821838125152,0.92834617136316, 18.3129954524706)); #524759=CARTESIAN_POINT('Ctrl Pts',(-3.16960981296821,1.0283400946553,18.313039654176)); #524760=CARTESIAN_POINT('Ctrl Pts',(-3.13205756206422,1.12559821800207, 18.3130826465376)); #524761=CARTESIAN_POINT('Ctrl Pts',(-3.08983359555504,1.22096066180025, 18.3131241858048)); #524762=CARTESIAN_POINT('Ctrl Pts',(-3.04286957845119,1.31404998109596, 18.3131643361512)); #524763=CARTESIAN_POINT('Ctrl Pts',(-3.01672809943572,1.36586608706389, 18.3131866849514)); #524764=CARTESIAN_POINT('Ctrl Pts',(-2.98911726309679,1.41697739499897, 18.313208603416)); #524765=CARTESIAN_POINT('Ctrl Pts',(-2.96001989451247,1.46731424007079, 18.3132301026124)); #524766=CARTESIAN_POINT('Ctrl Pts',(-2.93082365205233,1.51782213150218, 18.3132516748639)); #524767=CARTESIAN_POINT('Ctrl Pts',(-2.90013063407669,1.56755027230487, 18.313272824993)); #524768=CARTESIAN_POINT('Ctrl Pts',(-2.86799153661844,1.61645690652746, 18.3132935641805)); #524769=CARTESIAN_POINT('Ctrl Pts',(-2.80987018561691,1.7049011964658,18.3133310695771)); #524770=CARTESIAN_POINT('Ctrl Pts',(-2.74730008729432,1.79023842234007, 18.3133670505875)); #524771=CARTESIAN_POINT('Ctrl Pts',(-2.68067155680452,1.87229506599523, 18.313401559783)); #524772=CARTESIAN_POINT('Ctrl Pts',(-2.61312363987423,1.95548398384245, 18.3134365451601)); #524773=CARTESIAN_POINT('Ctrl Pts',(-2.54140376442889,2.03530170530408, 18.3134700178238)); #524774=CARTESIAN_POINT('Ctrl Pts',(-2.46587680283621,2.11153238348901, 18.3135020325515)); #524775=CARTESIAN_POINT('Ctrl Pts',(-2.39042328586154,2.18768893274141, 18.3135340161472)); #524776=CARTESIAN_POINT('Ctrl Pts',(-2.31116924700254,2.26026588105439, 18.3135645446412)); #524777=CARTESIAN_POINT('Ctrl Pts',(-2.2284491520542,2.32900575139855,18.3135936726512)); #524778=CARTESIAN_POINT('Ctrl Pts',(-2.15458061278241,2.39039003553442, 18.3136196837862)); #524779=CARTESIAN_POINT('Ctrl Pts',(-2.0779473229637,2.44871415233344,18.3136445781219)); #524780=CARTESIAN_POINT('Ctrl Pts',(-1.99877573807506,2.50376159067402, 18.3136683945524)); #524781=CARTESIAN_POINT('Ctrl Pts',(-1.91140570324188,2.56450935279168, 18.3136946772441)); #524782=CARTESIAN_POINT('Ctrl Pts',(-1.82135885865023,2.62097997071043, 18.3137195209037)); #524783=CARTESIAN_POINT('Ctrl Pts',(-1.72898576782612,2.67296659803936, 18.3137429648443)); #524784=CARTESIAN_POINT('Ctrl Pts',(-1.6370974200304,2.72468041696767,18.313766285759)); #524785=CARTESIAN_POINT('Ctrl Pts',(-1.5429063379098,2.77195758199667,18.3137882216068)); #524786=CARTESIAN_POINT('Ctrl Pts',(-1.44673579099345,2.81454811030857, 18.3138088110851)); #524787=CARTESIAN_POINT('Ctrl Pts',(-1.35270836274651,2.85618952728345, 18.3138289417358)); #524788=CARTESIAN_POINT('Ctrl Pts',(-1.25678794365842,2.89335108274677, 18.3138477853549)); #524789=CARTESIAN_POINT('Ctrl Pts',(-1.15926762608478,2.92575033440832, 18.3138653781101)); #524790=CARTESIAN_POINT('Ctrl Pts',(-1.13275230328174,2.93455954091787, 18.3138701614987)); #524791=CARTESIAN_POINT('Ctrl Pts',(-1.10611868778556,2.94301638965893, 18.3138748524147)); #524792=CARTESIAN_POINT('Ctrl Pts',(-1.07937266295482,2.95111452644881, 18.3138794515849)); #524793=CARTESIAN_POINT('Ctrl Pts',(3.50458162263321,-0.000783563652514622, 16.3774899079731)); #524794=CARTESIAN_POINT('Ctrl Pts',(3.50168224983752,1.06759098399827,16.4140632065891)); #524795=CARTESIAN_POINT('Ctrl Pts',(2.9749570711656,2.1387870268506,16.4506365052051)); #524796=CARTESIAN_POINT('Ctrl Pts',(2.12553435567882,2.78674513904942,16.4872098038211)); #524797=CARTESIAN_POINT('Ctrl Pts',(1.24280587485957,3.46010961304749,16.5252171371985)); #524798=CARTESIAN_POINT('Ctrl Pts',(0.0115778718582153,3.67639524455437, 16.5632244705759)); #524799=CARTESIAN_POINT('Ctrl Pts',(-1.04784664064933,3.34443935445854, 16.6012318039534)); #524800=CARTESIAN_POINT('Ctrl Pts',(-2.10727115315689,3.01248346436271, 16.6392391373308)); #524801=CARTESIAN_POINT('Ctrl Pts',(-2.99489217517063,2.13228605266417, 16.6772464707082)); #524802=CARTESIAN_POINT('Ctrl Pts',(-3.33562761347478,1.07568063468681, 16.7152538040856)); #524803=CARTESIAN_POINT('Ctrl Pts',(-3.67636305177893,0.0190752167094535, 16.753261137463)); #524804=CARTESIAN_POINT('Ctrl Pts',(-3.47021290637349,-1.21393820754672, 16.7912684708404)); #524805=CARTESIAN_POINT('Ctrl Pts',(-2.80428810453562,-2.10225541405051, 16.8292758042178)); #524806=CARTESIAN_POINT('Ctrl Pts',(-2.13836330269776,-2.99057262055429, 16.8672831375952)); #524807=CARTESIAN_POINT('Ctrl Pts',(-1.01266384442748,-3.5341936093057, 16.9052904709726)); #524808=CARTESIAN_POINT('Ctrl Pts',(0.0971127000440298,-3.50342787402743, 16.94329780435)); #524809=CARTESIAN_POINT('Ctrl Pts',(1.20688924451554,-3.47266213874917, 16.9813051377275)); #524810=CARTESIAN_POINT('Ctrl Pts',(2.30074287518827,-2.86750967944123, 17.0193124711049)); #524811=CARTESIAN_POINT('Ctrl Pts',(2.91643684044041,-1.94367578309822, 17.0573198044823)); #524812=CARTESIAN_POINT('Ctrl Pts',(3.29534011533764,-1.37514058778884, 17.0807098389792)); #524813=CARTESIAN_POINT('Ctrl Pts',(3.4931511409019,-0.685911945443126, 17.1040998734762)); #524814=CARTESIAN_POINT('Ctrl Pts',(3.49632362596931,0.00162994858308695, 17.1274899079731)); #524815=CARTESIAN_POINT('Ctrl Pts',(-2.37141664527386,2.06051459651753, 16.124051007151)); #524816=CARTESIAN_POINT('Ctrl Pts',(-2.44214361828451,1.98917395493029, 16.124051007151)); #524817=CARTESIAN_POINT('Ctrl Pts',(-2.50897656225981,1.91442186329908, 16.124051007151)); #524818=CARTESIAN_POINT('Ctrl Pts',(-2.57191359317738,1.83666299234245, 16.124051007151)); #524819=CARTESIAN_POINT('Ctrl Pts',(-2.63650488362648,1.75686027928577, 16.124051007151)); #524820=CARTESIAN_POINT('Ctrl Pts',(-2.69699315915055,1.67389015413741, 16.124051007151)); #524821=CARTESIAN_POINT('Ctrl Pts',(-2.75334507429016,1.58814514530577, 16.124051007151)); #524822=CARTESIAN_POINT('Ctrl Pts',(-2.81120785110125,1.50010121110411, 16.124051007151)); #524823=CARTESIAN_POINT('Ctrl Pts',(-2.86470997243158,1.40913099165824, 16.124051007151)); #524824=CARTESIAN_POINT('Ctrl Pts',(-2.91377601505494,1.31562122259399, 16.124051007151)); #524825=CARTESIAN_POINT('Ctrl Pts',(-2.95589594520226,1.23534931292906, 16.124051007151)); #524826=CARTESIAN_POINT('Ctrl Pts',(-2.99474637506649,1.15320506770804, 16.124051007151)); #524827=CARTESIAN_POINT('Ctrl Pts',(-3.03025341018708,1.06941716329604, 16.124051007151)); #524828=CARTESIAN_POINT('Ctrl Pts',(-3.03617065459227,1.05545391609952, 16.124051007151)); #524829=CARTESIAN_POINT('Ctrl Pts',(-3.04199587107726,1.04144282211957, 16.124051007151)); #524830=CARTESIAN_POINT('Ctrl Pts',(-3.04772575828144,1.02739201903385, 16.124051007151)); #524831=CARTESIAN_POINT('Ctrl Pts',(-3.0881074407653,0.928368242713008, 16.124051007151)); #524832=CARTESIAN_POINT('Ctrl Pts',(-3.12366146677958,0.827615203794612, 16.124051007151)); #524833=CARTESIAN_POINT('Ctrl Pts',(-3.15435207033404,0.725549487208643, 16.124051007151)); #524834=CARTESIAN_POINT('Ctrl Pts',(-3.18544600765309,0.622142430116533, 16.124051007151)); #524835=CARTESIAN_POINT('Ctrl Pts',(-3.21154825408961,0.517387188336046, 16.124051007151)); #524836=CARTESIAN_POINT('Ctrl Pts',(-3.23257843336762,0.41168555540489, 16.124051007151)); #524837=CARTESIAN_POINT('Ctrl Pts',(-3.25347433994412,0.306658802303325, 16.124051007151)); #524838=CARTESIAN_POINT('Ctrl Pts',(-3.2693630133406,0.20069683897191,16.124051007151)); #524839=CARTESIAN_POINT('Ctrl Pts',(-3.28011841366945,0.0941754603226097, 16.124051007151)); #524840=CARTESIAN_POINT('Ctrl Pts',(-3.28759302813405,0.0201469524756768, 16.124051007151)); #524841=CARTESIAN_POINT('Ctrl Pts',(-3.29258742874778,-0.054152129950411, 16.124051007151)); #524842=CARTESIAN_POINT('Ctrl Pts',(-3.29504464812079,-0.128597579162497, 16.124051007151)); #524843=CARTESIAN_POINT('Ctrl Pts',(-3.2956689599147,-0.147512118278049, 16.124051007151)); #524844=CARTESIAN_POINT('Ctrl Pts',(-3.29612942606251,-0.1664361064508, 16.124051007151)); #524845=CARTESIAN_POINT('Ctrl Pts',(-3.29642498069625,-0.185367503336492, 16.124051007151)); #524846=CARTESIAN_POINT('Ctrl Pts',(-3.29667036553421,-0.201085334244317, 16.124051007151)); #524847=CARTESIAN_POINT('Ctrl Pts',(-3.29680200417082,-0.216808537341485, 16.124051007151)); #524848=CARTESIAN_POINT('Ctrl Pts',(-3.29681944812247,-0.23252090230389, 16.124051007151)); #524849=CARTESIAN_POINT('Ctrl Pts',(-3.29694123408776,-0.342217694198129, 16.124051007151)); #524850=CARTESIAN_POINT('Ctrl Pts',(-3.29150461527403,-0.451563983780675, 16.124051007151)); #524851=CARTESIAN_POINT('Ctrl Pts',(-3.28042871482182,-0.560154794449618, 16.124051007151)); #524852=CARTESIAN_POINT('Ctrl Pts',(-3.27243972874173,-0.638480748949061, 16.124051007151)); #524853=CARTESIAN_POINT('Ctrl Pts',(-3.2615158626528,-0.716414191564232, 16.124051007151)); #524854=CARTESIAN_POINT('Ctrl Pts',(-3.24761032991851,-0.793806907459393, 16.124051007151)); #524855=CARTESIAN_POINT('Ctrl Pts',(-3.22810929366584,-0.902341991098639, 16.124051007151)); #524856=CARTESIAN_POINT('Ctrl Pts',(-3.20274693155229,-1.0098142680964, 16.124051007151)); #524857=CARTESIAN_POINT('Ctrl Pts',(-3.17184790309905,-1.11591959799057, 16.124051007151)); #524858=CARTESIAN_POINT('Ctrl Pts',(-3.14481125320246,-1.20876176164797, 16.124051007151)); #524859=CARTESIAN_POINT('Ctrl Pts',(-3.11353442398982,-1.3005576768015, 16.124051007151)); #524860=CARTESIAN_POINT('Ctrl Pts',(-3.0781990472013,-1.3910961524289,16.124051007151)); #524861=CARTESIAN_POINT('Ctrl Pts',(-3.0699456560315,-1.41224349888973, 16.124051007151)); #524862=CARTESIAN_POINT('Ctrl Pts',(-3.06147071152359,-1.43332219805768, 16.124051007151)); #524863=CARTESIAN_POINT('Ctrl Pts',(-3.0527762896075,-1.45432946499559, 16.124051007151)); #524864=CARTESIAN_POINT('Ctrl Pts',(-3.04735999954319,-1.46741618338724, 16.124051007151)); #524865=CARTESIAN_POINT('Ctrl Pts',(-3.04185955101063,-1.48047262629433, 16.124051007151)); #524866=CARTESIAN_POINT('Ctrl Pts',(-3.03627897390358,-1.49349037674851, 16.124051007151)); #524867=CARTESIAN_POINT('Ctrl Pts',(-2.99336942618153,-1.59358500381523, 16.124051007151)); #524868=CARTESIAN_POINT('Ctrl Pts',(-2.94567152017839,-1.69152687830485, 16.124051007151)); #524869=CARTESIAN_POINT('Ctrl Pts',(-2.89352174820976,-1.7870431237112, 16.124051007151)); #524870=CARTESIAN_POINT('Ctrl Pts',(-2.84031657064404,-1.88449242416668, 16.124051007151)); #524871=CARTESIAN_POINT('Ctrl Pts',(-2.78247720068821,-1.97941765392533, 16.124051007151)); #524872=CARTESIAN_POINT('Ctrl Pts',(-2.72030738041635,-2.07151978677124, 16.124051007151)); #524873=CARTESIAN_POINT('Ctrl Pts',(-2.65752011374679,-2.16453664206442, 16.124051007151)); #524874=CARTESIAN_POINT('Ctrl Pts',(-2.59031552259768,-2.2546748406676, 16.124051007151)); #524875=CARTESIAN_POINT('Ctrl Pts',(-2.51895813648399,-2.3416054284758, 16.124051007151)); #524876=CARTESIAN_POINT('Ctrl Pts',(-2.46931710544998,-2.40208023342893, 16.124051007151)); #524877=CARTESIAN_POINT('Ctrl Pts',(-2.41766529711762,-2.4610022789741, 16.124051007151)); #524878=CARTESIAN_POINT('Ctrl Pts',(-2.36407989964532,-2.51825178117521, 16.124051007151)); #524879=CARTESIAN_POINT('Ctrl Pts',(-2.35024748734782,-2.53303003711112, 16.124051007151)); #524880=CARTESIAN_POINT('Ctrl Pts',(-2.33628618422589,-2.54769679752543, 16.124051007151)); #524881=CARTESIAN_POINT('Ctrl Pts',(-2.32219722496809,-2.56224990250384, 16.124051007151)); #524882=CARTESIAN_POINT('Ctrl Pts',(-2.30902190016221,-2.57585927431876, 16.124051007151)); #524883=CARTESIAN_POINT('Ctrl Pts',(-2.29573979124062,-2.58936417922306, 16.124051007151)); #524884=CARTESIAN_POINT('Ctrl Pts',(-2.28235789357924,-2.60275744689703, 16.124051007151)); #524885=CARTESIAN_POINT('Ctrl Pts',(-2.20209920471078,-2.6830843280598, 16.124051007151)); #524886=CARTESIAN_POINT('Ctrl Pts',(-2.11819262881756,-2.7594619539411, 16.124051007151)); #524887=CARTESIAN_POINT('Ctrl Pts',(-2.03092205705493,-2.83156941462679, 16.124051007151)); #524888=CARTESIAN_POINT('Ctrl Pts',(-1.96746939180974,-2.88399728890512, 16.124051007151)); #524889=CARTESIAN_POINT('Ctrl Pts',(-1.90223734389352,-2.9341673433025, 16.124051007151)); #524890=CARTESIAN_POINT('Ctrl Pts',(-1.83532161846428,-2.98194527446114, 16.124051007151)); #524891=CARTESIAN_POINT('Ctrl Pts',(3.13825011965571,0.00146301858357948, 17.3344411119642)); #524892=CARTESIAN_POINT('Ctrl Pts',(3.14002967616399,0.387129026850857, 17.3490584108446)); #524893=CARTESIAN_POINT('Ctrl Pts',(3.07357892954297,0.772203747550687, 17.3636757097251)); #524894=CARTESIAN_POINT('Ctrl Pts',(2.9359302813655,1.12987723906466,17.3782930086055)); #524895=CARTESIAN_POINT('Ctrl Pts',(2.57802160733378,2.05988597346953,17.4163003419829)); #524896=CARTESIAN_POINT('Ctrl Pts',(1.73875543651723,2.80463942925815,17.4543076753603)); #524897=CARTESIAN_POINT('Ctrl Pts',(0.772789371611779,3.0494380112562,17.4923150087377)); #524898=CARTESIAN_POINT('Ctrl Pts',(-0.193176693293666,3.29423659325426, 17.5303223421152)); #524899=CARTESIAN_POINT('Ctrl Pts',(-1.28584265228802,3.03908030146175, 17.5683296754926)); #524900=CARTESIAN_POINT('Ctrl Pts',(-2.04347661711415,2.39176440825301, 17.60633700887)); #524901=CARTESIAN_POINT('Ctrl Pts',(-2.80111058194028,1.74444851504427, 17.6443443422474)); #524902=CARTESIAN_POINT('Ctrl Pts',(-3.22371255259818,0.704973020419295, 17.6823516756248)); #524903=CARTESIAN_POINT('Ctrl Pts',(-3.1326916227993,-0.28734945165833, 17.7203590090022)); #524904=CARTESIAN_POINT('Ctrl Pts',(-3.04167069300042,-1.27967192373596, 17.7583663423796)); #524905=CARTESIAN_POINT('Ctrl Pts',(-2.43702686274474,-2.22484137326624, 17.796373675757)); #524906=CARTESIAN_POINT('Ctrl Pts',(-1.57428643237784,-2.72355519303157, 17.8343810091345)); #524907=CARTESIAN_POINT('Ctrl Pts',(-0.711546002010934,-3.22226901279691, 17.8723883425119)); #524908=CARTESIAN_POINT('Ctrl Pts',(0.409291028467197,-3.2745272027973, 17.9103956758893)); #524909=CARTESIAN_POINT('Ctrl Pts',(1.31461818042941,-2.85803830816239, 17.9484030092667)); #524910=CARTESIAN_POINT('Ctrl Pts',(2.21994533239162,-2.44154941352748, 17.9864103426441)); #524911=CARTESIAN_POINT('Ctrl Pts',(2.90976260583792,-1.55631343425726, 18.0244176760215)); #524912=CARTESIAN_POINT('Ctrl Pts',(3.09250012935403,-0.576825840307164, 18.0624250093989)); #524913=CARTESIAN_POINT('Ctrl Pts',(3.12778426799029,-0.3877000636607,18.069763710254)); #524914=CARTESIAN_POINT('Ctrl Pts',(3.14416326926979,-0.1950603629779,18.0771024111091)); #524915=CARTESIAN_POINT('Ctrl Pts',(3.14262478120164,-0.00216711906965229, 18.0844411119642)); #524916=CARTESIAN_POINT('Ctrl Pts',(-0.0160099836410213,-0.0277297745328858, 18.3149809276045)); #524917=CARTESIAN_POINT('Ctrl Pts',(-0.0714695331047943,0.00428981127916173, 18.3149809276045)); #524918=CARTESIAN_POINT('Ctrl Pts',(-0.0394499472927501,0.0597493607429389, 18.3149809276045)); #524919=CARTESIAN_POINT('Ctrl Pts',(0.0160096021710243,0.0277297749308825, 18.3149809276045)); #524920=CARTESIAN_POINT('Ctrl Pts',(-0.513856556605425,-0.89002533328128, 18.31466996382)); #524921=CARTESIAN_POINT('Ctrl Pts',(-2.29390722356617,0.13768739845968, 18.31466996382)); #524922=CARTESIAN_POINT('Ctrl Pts',(-1.26619449182512,1.91773806542038, 18.31466996382)); #524923=CARTESIAN_POINT('Ctrl Pts',(0.51385617513552,0.890025333679225, 18.31466996382)); #524924=CARTESIAN_POINT('Ctrl Pts',(-1.01170312956983,-1.75232089202967, 18.3143590000356)); #524925=CARTESIAN_POINT('Ctrl Pts',(-4.51634491402755,0.271084985640199, 18.3143590000356)); #524926=CARTESIAN_POINT('Ctrl Pts',(-2.49293903635749,3.77572677009781, 18.3143590000356)); #524927=CARTESIAN_POINT('Ctrl Pts',(1.01170274810002,1.75232089242757,18.3143590000356)); #524928=CARTESIAN_POINT('Ctrl Pts',(-1.50954970253423,-2.61461645077807, 18.3140480362511)); #524929=CARTESIAN_POINT('Ctrl Pts',(-6.73878260448893,0.404482572820717, 18.3140480362511)); #524930=CARTESIAN_POINT('Ctrl Pts',(-3.71968358088986,5.63371547477525, 18.3140480362511)); #524931=CARTESIAN_POINT('Ctrl Pts',(1.50954932106451,2.61461645117591,18.3140480362511)); #524932=CARTESIAN_POINT('Ctrl Pts',(-2.28473192196713,-3.95727143995986, 18.3135638437113)); #524933=CARTESIAN_POINT('Ctrl Pts',(-10.1992748022857,0.612192022504891, 18.3135638437113)); #524934=CARTESIAN_POINT('Ctrl Pts',(-5.62981133982052,8.52673490282321, 18.3135638437113)); #524935=CARTESIAN_POINT('Ctrl Pts',(2.28473154049755,3.95727144035762,18.3135638437113)); #524936=CARTESIAN_POINT('Ctrl Pts',(-2.70202113414801,-4.68003755690754, 18.2536625286325)); #524937=CARTESIAN_POINT('Ctrl Pts',(-12.0620962483621,0.724004329919066, 18.2536625286325)); #524938=CARTESIAN_POINT('Ctrl Pts',(-6.65805436153498,10.0840794441328, 18.2536625286325)); #524939=CARTESIAN_POINT('Ctrl Pts',(2.70202075267851,4.68003755730525,18.2536625286325)); #524940=CARTESIAN_POINT('Ctrl Pts',(-2.8549972718777,-4.944999999801,17.964051007151)); #524941=CARTESIAN_POINT('Ctrl Pts',(-12.7449972718787,0.764994162484998, 17.964051007151)); #524942=CARTESIAN_POINT('Ctrl Pts',(-7.03500310959222,10.6549941624858, 17.964051007151)); #524943=CARTESIAN_POINT('Ctrl Pts',(2.85499689040822,4.9450000001987,17.964051007151)); #524944=CARTESIAN_POINT('',(2.8549968904077,4.945000000199,17.964051007151)); #524945=CARTESIAN_POINT('Ctrl Pts',(1.57077480926503,2.72066210745806,18.313879451585)); #524946=CARTESIAN_POINT('Ctrl Pts',(2.30678911385998,3.99547627811398,18.3102073500071)); #524947=CARTESIAN_POINT('Ctrl Pts',(2.70609827140737,4.6871000269136,18.2459430475382)); #524948=CARTESIAN_POINT('Ctrl Pts',(2.8549968904077,4.945000000199,17.964051007151)); #524949=CARTESIAN_POINT('',(-2.8549972718777,4.945000000199,17.964051007151)); #524950=CARTESIAN_POINT('Ctrl Pts',(-2.8549972718777,4.945000000199,17.964051007151)); #524951=CARTESIAN_POINT('Ctrl Pts',(-2.7281426015286,4.945000000199,18.024090720913)); #524952=CARTESIAN_POINT('Ctrl Pts',(-2.5550038365687,4.945000000199,18.0716790504236)); #524953=CARTESIAN_POINT('Ctrl Pts',(-2.07169385380438,4.945000000199,18.1532971651828)); #524954=CARTESIAN_POINT('Ctrl Pts',(-1.76876011491407,4.945000000199,18.1787158583826)); #524955=CARTESIAN_POINT('Ctrl Pts',(-1.26073358016641,4.945000000199,18.2095277509016)); #524956=CARTESIAN_POINT('Ctrl Pts',(-0.998562559839005,4.945000000199,18.2189048223699)); #524957=CARTESIAN_POINT('Ctrl Pts',(-0.61192287540116,4.945000000199,18.2278311587443)); #524958=CARTESIAN_POINT('Ctrl Pts',(-0.47200982339528,4.945000000199,18.2300277259199)); #524959=CARTESIAN_POINT('Ctrl Pts',(-0.22265469653927,4.945000000199,18.2324209181407)); #524960=CARTESIAN_POINT('Ctrl Pts',(-0.111004396666305,4.945000000199,18.2329436952408)); #524961=CARTESIAN_POINT('Ctrl Pts',(0.120073313138468,4.945000000199,18.2329439902268)); #524962=CARTESIAN_POINT('Ctrl Pts',(0.24107192676755,4.94500000019854,18.2323316632643)); #524963=CARTESIAN_POINT('Ctrl Pts',(0.537252465917842,4.94500000019854, 18.2292453499795)); #524964=CARTESIAN_POINT('Ctrl Pts',(0.716617124004781,4.945000000199,18.2259830650625)); #524965=CARTESIAN_POINT('Ctrl Pts',(1.20600156742045,4.945000000199,18.21205852668)); #524966=CARTESIAN_POINT('Ctrl Pts',(1.55925000827572,4.945000000199,18.196184537705)); #524967=CARTESIAN_POINT('Ctrl Pts',(2.33398025565266,4.945000000199,18.12417643463)); #524968=CARTESIAN_POINT('Ctrl Pts',(2.65367845000837,4.945000000199,18.0593340700712)); #524969=CARTESIAN_POINT('Ctrl Pts',(2.8549968904077,4.945000000199,17.964051007151)); #524970=CARTESIAN_POINT('',(-5.7099943530204,1.99000260714399E-10,17.964051007151)); #524971=CARTESIAN_POINT('Ctrl Pts',(-5.7099943530204,1.98999480088835E-10, 17.964051007151)); #524972=CARTESIAN_POINT('Ctrl Pts',(-5.62768981485035,0.142555642003016, 18.0419597021867)); #524973=CARTESIAN_POINT('Ctrl Pts',(-5.50668270237086,0.352146108894715, 18.0987722309254)); #524974=CARTESIAN_POINT('Ctrl Pts',(-5.21236506922882,0.861919203060151, 18.1731742004074)); #524975=CARTESIAN_POINT('Ctrl Pts',(-5.06589322015699,1.11561588753109, 18.1929508890728)); #524976=CARTESIAN_POINT('Ctrl Pts',(-4.82264920562478,1.53692687933791, 18.2162404241063)); #524977=CARTESIAN_POINT('Ctrl Pts',(-4.70557335225435,1.73970820571497, 18.2231396742222)); #524978=CARTESIAN_POINT('Ctrl Pts',(-4.53308766256014,2.03846218384391, 18.2295574506759)); #524979=CARTESIAN_POINT('Ctrl Pts',(-4.47277170376442,2.14293248898527, 18.2310836298718)); #524980=CARTESIAN_POINT('Ctrl Pts',(-4.36951004689332,2.32178692515974, 18.2326235883654)); #524981=CARTESIAN_POINT('Ctrl Pts',(-4.32593206365621,2.39726620621787, 18.2329437260465)); #524982=CARTESIAN_POINT('Ctrl Pts',(-4.23910709389264,2.547651465214,18.2329439477222)); #524983=CARTESIAN_POINT('Ctrl Pts',(-4.19553156372707,2.62312649742746, 18.232624068288)); #524984=CARTESIAN_POINT('Ctrl Pts',(-4.09228329538639,2.80195774398703, 18.2310849406016)); #524985=CARTESIAN_POINT('Ctrl Pts',(-4.03197858573357,2.90640856504147, 18.2295595424098)); #524986=CARTESIAN_POINT('Ctrl Pts',(-3.85952784795053,3.20510200468441, 18.2231450387213)); #524987=CARTESIAN_POINT('Ctrl Pts',(-3.74247982662443,3.40783512454663, 18.2162494725465)); #524988=CARTESIAN_POINT('Ctrl Pts',(-3.49928714915977,3.82905719794413, 18.1929737061676)); #524989=CARTESIAN_POINT('Ctrl Pts',(-3.35286441602505,4.08266881111659, 18.1732112868476)); #524990=CARTESIAN_POINT('Ctrl Pts',(-3.05843341799641,4.59263825902542, 18.0988323311294)); #524991=CARTESIAN_POINT('Ctrl Pts',(-2.9373422218108,4.80237436316815,18.0419979555783)); #524992=CARTESIAN_POINT('Ctrl Pts',(-2.8549972718777,4.945000000199,17.964051007151)); #524993=CARTESIAN_POINT('',(-2.8549972718777,-4.944999999801,17.964051007151)); #524994=CARTESIAN_POINT('Ctrl Pts',(-2.8549972718777,-4.944999999801,17.964051007151)); #524995=CARTESIAN_POINT('Ctrl Pts',(-2.95563444950019,-4.77069129502851, 18.0593132047767)); #524996=CARTESIAN_POINT('Ctrl Pts',(-3.11543167472747,-4.49391438202633, 18.1241456068853)); #524997=CARTESIAN_POINT('Ctrl Pts',(-3.50272333355143,-3.82310555159561, 18.1961689169884)); #524998=CARTESIAN_POINT('Ctrl Pts',(-3.67938048930847,-3.51712638230381, 18.2120496266348)); #524999=CARTESIAN_POINT('Ctrl Pts',(-3.92410390407261,-3.09325299413057, 18.2259796684665)); #525000=CARTESIAN_POINT('Ctrl Pts',(-4.01380013185172,-2.93789457036893, 18.2292433099049)); #525001=CARTESIAN_POINT('Ctrl Pts',(-4.16190712832726,-2.68136572751687, 18.2323309718999)); #525002=CARTESIAN_POINT('Ctrl Pts',(-4.22241023817219,-2.57657126725046, 18.2329436835386)); #525003=CARTESIAN_POINT('Ctrl Pts',(-4.33795179694437,-2.37644741707135, 18.2329439785315)); #525004=CARTESIAN_POINT('Ctrl Pts',(-4.39377591347312,-2.27975721095591, 18.2324215055073)); #525005=CARTESIAN_POINT('Ctrl Pts',(-4.51844518666628,-2.06382369564268, 18.2300291860773)); #525006=CARTESIAN_POINT('Ctrl Pts',(-4.58839414776669,-1.9426685410801, 18.2278333680434)); #525007=CARTESIAN_POINT('Ctrl Pts',(-4.78167974031375,-1.60788807441755, 18.2189105674925)); #525008=CARTESIAN_POINT('Ctrl Pts',(-4.91273193609658,-1.38089901287822, 18.2095380920163)); #525009=CARTESIAN_POINT('Ctrl Pts',(-5.16669986909313,-0.941013649434943, 18.1787429155285)); #525010=CARTESIAN_POINT('Ctrl Pts',(-5.31812100710871,-0.678744545052054, 18.1533409255178)); #525011=CARTESIAN_POINT('Ctrl Pts',(-5.55987361661817,-0.260016742519312, 18.0717474329886)); #525012=CARTESIAN_POINT('Ctrl Pts',(-5.64652176384888,-0.109937749134022, 18.0241335579172)); #525013=CARTESIAN_POINT('Ctrl Pts',(-5.7099943530204,1.99002116868519E-10, 17.964051007151)); #525014=CARTESIAN_POINT('Ctrl Pts',(-1.75000019073497,-3.03108891304648, 18.3115601891129)); #525015=CARTESIAN_POINT('Ctrl Pts',(-2.37241271887758,-4.10913903505687, 18.2978085079384)); #525016=CARTESIAN_POINT('Ctrl Pts',(-2.71866640546267,-4.70886801253029, 18.222150016796)); #525017=CARTESIAN_POINT('Ctrl Pts',(-2.8549972718777,-4.944999999801,17.964051007151)); #525018=CARTESIAN_POINT('Ctrl Pts',(1.21936042635376,2.11199454174527,18.314051007151)); #525019=CARTESIAN_POINT('Ctrl Pts',(5.4433495094463,-0.326726692432249, 18.314051007151)); #525020=CARTESIAN_POINT('Ctrl Pts',(3.00462827526878,-4.55071577552479, 18.314051007151)); #525021=CARTESIAN_POINT('Ctrl Pts',(-1.21936080782376,-2.11199454134727, 18.314051007151)); #525022=CARTESIAN_POINT('Ctrl Pts',(1.31290688732417,2.27402176501428,18.314051007151)); #525023=CARTESIAN_POINT('Ctrl Pts',(5.86095041695474,-0.35179239110406, 18.314051007151)); #525024=CARTESIAN_POINT('Ctrl Pts',(3.2351362608364,-4.89983592073463,18.314051007151)); #525025=CARTESIAN_POINT('Ctrl Pts',(-1.31290726879417,-2.27402176461628, 18.314051007151)); #525026=CARTESIAN_POINT('Ctrl Pts',(1.40645334829459,2.4360489882833,18.314051007151)); #525027=CARTESIAN_POINT('Ctrl Pts',(6.27855132446319,-0.376858089775873, 18.314051007151)); #525028=CARTESIAN_POINT('Ctrl Pts',(3.46564424640401,-5.24895606594447, 18.314051007151)); #525029=CARTESIAN_POINT('Ctrl Pts',(-1.40645372976459,-2.4360489878853, 18.314051007151)); #525030=CARTESIAN_POINT('Ctrl Pts',(1.499999809265,2.59807621155232,18.314051007151)); #525031=CARTESIAN_POINT('Ctrl Pts',(6.69615223197163,-0.401923788447685, 18.314051007151)); #525032=CARTESIAN_POINT('Ctrl Pts',(3.69615223197163,-5.59807621115432, 18.314051007151)); #525033=CARTESIAN_POINT('Ctrl Pts',(-1.500000190735,-2.59807621115432,18.314051007151)); #525034=CARTESIAN_POINT('Ctrl Pts',(2.28130650317594,3.95133910169973,18.314051007151)); #525035=CARTESIAN_POINT('Ctrl Pts',(10.1839847061774,-0.611274286122152, 18.314051007151)); #525036=CARTESIAN_POINT('Ctrl Pts',(5.62137131835551,-8.5139524891236,18.314051007151)); #525037=CARTESIAN_POINT('Ctrl Pts',(-2.28130688464594,-3.95133910130173, 18.314051007151)); #525038=CARTESIAN_POINT('Ctrl Pts',(2.70139376575453,4.67895158409837,18.25484952838)); #525039=CARTESIAN_POINT('Ctrl Pts',(12.0592969335533,-0.723836328880685, 18.25484952838)); #525040=CARTESIAN_POINT('Ctrl Pts',(6.65650902057421,-10.0817394966794, 18.25484952838)); #525041=CARTESIAN_POINT('Ctrl Pts',(-2.70139414722453,-4.67895158370037, 18.25484952838)); #525042=CARTESIAN_POINT('Ctrl Pts',(2.8549968904077,4.945000000199,17.964051007151)); #525043=CARTESIAN_POINT('Ctrl Pts',(12.7449968904077,-0.764994162086399, 17.964051007151)); #525044=CARTESIAN_POINT('Ctrl Pts',(7.0350027281223,-10.6549941620864,17.964051007151)); #525045=CARTESIAN_POINT('Ctrl Pts',(-2.8549972718777,-4.944999999801,17.964051007151)); #525046=CARTESIAN_POINT('',(2.8549968904077,-4.944999999801,17.964051007151)); #525047=CARTESIAN_POINT('Ctrl Pts',(2.8549968904077,-4.944999999801,17.964051007151)); #525048=CARTESIAN_POINT('Ctrl Pts',(2.72898213136721,-4.944999999801,18.0236931948746)); #525049=CARTESIAN_POINT('Ctrl Pts',(2.55730283851302,-4.944999999801,18.0710439341294)); #525050=CARTESIAN_POINT('Ctrl Pts',(2.07611779359043,-4.944999999801,18.1528369416605)); #525051=CARTESIAN_POINT('Ctrl Pts',(1.77278972118093,-4.944999999801,18.1783982398747)); #525052=CARTESIAN_POINT('Ctrl Pts',(1.2643118118621,-4.944999999801,18.2093799886683)); #525053=CARTESIAN_POINT('Ctrl Pts',(1.00161086232922,-4.944999999801,18.218810719268)); #525054=CARTESIAN_POINT('Ctrl Pts',(0.614117458620587,-4.944999999801,18.2277935323282)); #525055=CARTESIAN_POINT('Ctrl Pts',(0.473747268113343,-4.944999999801,18.2300056708288)); #525056=CARTESIAN_POINT('Ctrl Pts',(0.223521585838776,-4.944999999801,18.2324168627049)); #525057=CARTESIAN_POINT('Ctrl Pts',(0.11143356538113,-4.944999999801,18.2329436946924)); #525058=CARTESIAN_POINT('Ctrl Pts',(-0.120575763743601,-4.944999999801, 18.2329439908677)); #525059=CARTESIAN_POINT('Ctrl Pts',(-0.242087708309884,-4.94499999980053, 18.2323265337874)); #525060=CARTESIAN_POINT('Ctrl Pts',(-0.539453599379372,-4.94499999980053, 18.2292143442289)); #525061=CARTESIAN_POINT('Ctrl Pts',(-0.719545656409636,-4.944999999801, 18.2259247849209)); #525062=CARTESIAN_POINT('Ctrl Pts',(-1.21031694465831,-4.944999999801,18.2118905697928)); #525063=CARTESIAN_POINT('Ctrl Pts',(-1.56483859523592,-4.944999999801,18.1958882785332)); #525064=CARTESIAN_POINT('Ctrl Pts',(-2.33678020218094,-4.944999999801,18.123590334487)); #525065=CARTESIAN_POINT('Ctrl Pts',(-2.65450731472648,-4.944999999801,18.0589419528796)); #525066=CARTESIAN_POINT('Ctrl Pts',(-2.8549972718777,-4.944999999801,17.964051007151)); #525067=CARTESIAN_POINT('',(5.7099939715504,1.99000260714399E-10,17.964051007151)); #525068=CARTESIAN_POINT('Ctrl Pts',(5.7099939715504,1.98999029060731E-10, 17.964051007151)); #525069=CARTESIAN_POINT('Ctrl Pts',(5.62813701343044,-0.1417804102178,18.0415360271574)); #525070=CARTESIAN_POINT('Ctrl Pts',(5.50807636595551,-0.349731551634038, 18.0980939913237)); #525071=CARTESIAN_POINT('Ctrl Pts',(5.21520318777909,-0.857002776409773, 18.1727162489422)); #525072=CARTESIAN_POINT('Ctrl Pts',(5.06836383323483,-1.11133599903101, 18.1926457584467)); #525073=CARTESIAN_POINT('Ctrl Pts',(4.82460221116841,-1.53354351338545, 18.2161116373776)); #525074=CARTESIAN_POINT('Ctrl Pts',(4.70712387992997,-1.73702195187884, 18.223063679812)); #525075=CARTESIAN_POINT('Ctrl Pts',(4.53406833216299,-2.03676295314291, 18.2295305231358)); #525076=CARTESIAN_POINT('Ctrl Pts',(4.47352369285057,-2.14162934455789, 18.2310686841829)); #525077=CARTESIAN_POINT('Ctrl Pts',(4.36986321021144,-2.32117456722598, 18.2326209909357)); #525078=CARTESIAN_POINT('Ctrl Pts',(4.32610744441243,-2.39696177671401, 18.2329437255978)); #525079=CARTESIAN_POINT('Ctrl Pts',(4.23893094806142,-2.54795589761981, 18.2329439481709)); #525080=CARTESIAN_POINT('Ctrl Pts',(4.19517763343399,-2.623738861554,18.2326214727767)); #525081=CARTESIAN_POINT('Ctrl Pts',(4.0915305201623,-2.80326092779842,18.2310699998877)); #525082=CARTESIAN_POINT('Ctrl Pts',(4.03099711509937,-2.9081078609226,18.2295326224881)); #525083=CARTESIAN_POINT('Ctrl Pts',(3.85797641849998,-3.20778849819371, 18.2230690615258)); #525084=CARTESIAN_POINT('Ctrl Pts',(3.74052586740834,-3.4112188200614,18.2161207142477)); #525085=CARTESIAN_POINT('Ctrl Pts',(3.49681519354897,-3.83333808953267, 18.192668637817)); #525086=CARTESIAN_POINT('Ctrl Pts',(3.35002482501104,-4.08758646590215, 18.1727534421261)); #525087=CARTESIAN_POINT('Ctrl Pts',(3.05703583992838,-4.59505827412337, 18.0981534455611)); #525088=CARTESIAN_POINT('Ctrl Pts',(2.93689241584286,-4.80315278883475, 18.0415725346121)); #525089=CARTESIAN_POINT('Ctrl Pts',(2.8549968904077,-4.944999999801,17.964051007151)); #525090=CARTESIAN_POINT('Ctrl Pts',(2.8549968904077,4.945000000199,17.964051007151)); #525091=CARTESIAN_POINT('Ctrl Pts',(2.95522046152023,4.7714076829161,18.0589216887781)); #525092=CARTESIAN_POINT('Ctrl Pts',(3.11403236206139,4.49633740233224,18.1235595891337)); #525093=CARTESIAN_POINT('Ctrl Pts',(3.49992917329062,3.8279445188044,18.1958726097439)); #525094=CARTESIAN_POINT('Ctrl Pts',(3.67722287433591,3.52086282073203,18.2118816420921)); #525095=CARTESIAN_POINT('Ctrl Pts',(3.92263948369249,3.09578878430515,18.2259213744411)); #525096=CARTESIAN_POINT('Ctrl Pts',(4.01269939312664,2.93980044543921,18.2292122955712)); #525097=CARTESIAN_POINT('Ctrl Pts',(4.16139904178455,2.68224509889607,18.2323258394714)); #525098=CARTESIAN_POINT('Ctrl Pts',(4.2221588200621,2.57700607586368,18.2329436828976)); #525099=CARTESIAN_POINT('Ctrl Pts',(4.33816619806183,2.3760754031153,18.2329439790799)); #525100=CARTESIAN_POINT('Ctrl Pts',(4.39420918228793,2.2790061070279,18.2324174522178)); #525101=CARTESIAN_POINT('Ctrl Pts',(4.51931376505235,2.06231861342023,18.2300071355865)); #525102=CARTESIAN_POINT('Ctrl Pts',(4.58949131822066,1.94076752578185,18.2277957479217)); #525103=CARTESIAN_POINT('Ctrl Pts',(4.78320394568619,1.60524741294388,18.2188164739503)); #525104=CARTESIAN_POINT('Ctrl Pts',(4.91452123645619,1.37779919341795,18.2093903412765)); #525105=CARTESIAN_POINT('Ctrl Pts',(5.16871529015023,0.937522177437986, 18.1784253047055)); #525106=CARTESIAN_POINT('Ctrl Pts',(5.32033379133511,0.674911230018326, 18.1528807162269)); #525107=CARTESIAN_POINT('Ctrl Pts',(5.56102341316755,0.258024576150008, 18.0711122794431)); #525108=CARTESIAN_POINT('Ctrl Pts',(5.64694143059258,0.10921020468427,18.023735944263)); #525109=CARTESIAN_POINT('Ctrl Pts',(5.7099939715504,1.99002932188552E-10, 17.964051007151)); #525110=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 16.124051007151)); #525111=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 14.324051007151)); #525112=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 16.124051007151)); #525113=CARTESIAN_POINT('',(2.8549968904077,4.945000000199,16.124051007151)); #525114=CARTESIAN_POINT('',(-2.8549972718777,4.945000000199,16.124051007151)); #525115=CARTESIAN_POINT('',(2.8549968904077,4.945000000199,16.124051007151)); #525116=CARTESIAN_POINT('',(5.7099939715504,1.99000260714399E-10,16.124051007151)); #525117=CARTESIAN_POINT('',(5.7099939715504,1.99000260714399E-10,16.124051007151)); #525118=CARTESIAN_POINT('',(2.8549968904077,-4.944999999801,16.124051007151)); #525119=CARTESIAN_POINT('',(2.8549968904077,-4.944999999801,16.124051007151)); #525120=CARTESIAN_POINT('',(-2.8549972718777,-4.944999999801,16.124051007151)); #525121=CARTESIAN_POINT('',(-2.8549972718777,-4.944999999801,16.124051007151)); #525122=CARTESIAN_POINT('',(-5.7099943530204,1.99000815825912E-10,16.124051007151)); #525123=CARTESIAN_POINT('',(-5.7099943530204,1.99000815825912E-10,16.124051007151)); #525124=CARTESIAN_POINT('',(-2.8549972718777,4.945000000199,16.124051007151)); #525125=CARTESIAN_POINT('Origin',(2.8549968904077,4.945000000199,18.314051007151)); #525126=CARTESIAN_POINT('',(-2.8549972718777,4.945000000199,18.314051007151)); #525127=CARTESIAN_POINT('',(2.8549968904077,4.945000000199,18.314051007151)); #525128=CARTESIAN_POINT('Origin',(-2.8549972718777,4.945000000199,18.314051007151)); #525129=CARTESIAN_POINT('',(-5.7099943530204,1.99000815825912E-10,18.314051007151)); #525130=CARTESIAN_POINT('Origin',(-5.7099943530204,1.99000815825912E-10, 18.314051007151)); #525131=CARTESIAN_POINT('',(-2.8549972718777,-4.944999999801,18.314051007151)); #525132=CARTESIAN_POINT('Origin',(-2.8549972718777,-4.944999999801,18.314051007151)); #525133=CARTESIAN_POINT('',(2.8549968904077,-4.944999999801,18.314051007151)); #525134=CARTESIAN_POINT('Origin',(2.8549968904077,-4.944999999801,18.314051007151)); #525135=CARTESIAN_POINT('',(5.7099939715504,1.99000260714399E-10,18.314051007151)); #525136=CARTESIAN_POINT('Origin',(5.7099939715504,1.99000260714399E-10, 18.314051007151)); #525137=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #525138=CARTESIAN_POINT('',(3.45837177288913,-0.00211939515415836,14.1142108517818)); #525139=CARTESIAN_POINT('',(3.45683895952193,-0.00372587101543185,14.0088911625202)); #525140=CARTESIAN_POINT('',(3.453999809265,1.99000683707404E-10,13.874051007151)); #525141=CARTESIAN_POINT('',(-1.47482563170083,-3.12330048465351,13.874051007151)); #525142=CARTESIAN_POINT('Ctrl Pts',(3.45837177288913,-0.00211939515415836, 14.1142108517818)); #525143=CARTESIAN_POINT('Ctrl Pts',(3.45910212754905,-0.112031653782136, 14.1103984934749)); #525144=CARTESIAN_POINT('Ctrl Pts',(3.45432021762865,-0.221875597891643, 14.106586135168)); #525145=CARTESIAN_POINT('Ctrl Pts',(3.44381872045727,-0.331164983670826, 14.1027737768611)); #525146=CARTESIAN_POINT('Ctrl Pts',(3.33429431258744,-1.47098867438719, 14.0630131332754)); #525147=CARTESIAN_POINT('Ctrl Pts',(2.60263570225897,-2.5504916305097,14.0232524896896)); #525148=CARTESIAN_POINT('Ctrl Pts',(1.58446452383186,-3.07528276307912, 13.9834918461039)); #525149=CARTESIAN_POINT('Ctrl Pts',(0.650295305934962,-3.55677716427495, 13.9470115664529)); #525150=CARTESIAN_POINT('Ctrl Pts',(-0.525060516881966,-3.57131452299401, 13.910531286802)); #525151=CARTESIAN_POINT('Ctrl Pts',(-1.47855217503811,-3.12762804142261, 13.874051007151)); #525152=CARTESIAN_POINT('',(1.47482525023077,-3.12330048465354,13.874051007151)); #525153=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #525154=CARTESIAN_POINT('Ctrl Pts',(1.47482525023077,-3.12330048465354, 13.874051007151)); #525155=CARTESIAN_POINT('Ctrl Pts',(2.51519036007817,-2.6320390964722,13.9138116507367)); #525156=CARTESIAN_POINT('Ctrl Pts',(3.28162781954056,-1.58409225853239, 13.9535722943225)); #525157=CARTESIAN_POINT('Ctrl Pts',(3.4298971908396,-0.450440827480726, 13.9933329379082)); #525158=CARTESIAN_POINT('Ctrl Pts',(3.44923631594518,-0.302575987567105, 13.9985190127789)); #525159=CARTESIAN_POINT('Ctrl Pts',(3.45805879311631,-0.153253089482283, 14.0037050876496)); #525160=CARTESIAN_POINT('Ctrl Pts',(3.45683895952193,-0.00372587101543185, 14.0088911625202)); #525161=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #525162=CARTESIAN_POINT('',(3.44955758497144,-0.00205639974999977,14.8642108517818)); #525163=CARTESIAN_POINT('',(3.44892868033218,-0.00152673186797919,14.7588911625202)); #525164=CARTESIAN_POINT('',(3.453999809265,1.99000683707404E-10,13.874051007151)); #525165=CARTESIAN_POINT('Ctrl Pts',(3.44955758497144,-0.00205639974999977, 14.8642108517818)); #525166=CARTESIAN_POINT('Ctrl Pts',(3.45194694521049,-0.444605157375076, 14.8489623549894)); #525167=CARTESIAN_POINT('Ctrl Pts',(3.37298845123262,-0.886332962300586, 14.833713858197)); #525168=CARTESIAN_POINT('Ctrl Pts',(3.20875794468802,-1.29368006709991, 14.8184653614046)); #525169=CARTESIAN_POINT('Ctrl Pts',(2.78052487191125,-2.35584267041356, 14.7787047178189)); #525170=CARTESIAN_POINT('Ctrl Pts',(1.77251710064383,-3.18424674675501, 14.7389440742331)); #525171=CARTESIAN_POINT('Ctrl Pts',(0.647509424896414,-3.39859130147394, 14.6991834306474)); #525172=CARTESIAN_POINT('Ctrl Pts',(-0.477498250850995,-3.61293585619286, 14.6594227870616)); #525173=CARTESIAN_POINT('Ctrl Pts',(-1.71950583107839,-3.21322088928928, 14.6196621434759)); #525174=CARTESIAN_POINT('Ctrl Pts',(-2.50829266755499,-2.38291351557436, 14.5799014998901)); #525175=CARTESIAN_POINT('Ctrl Pts',(-3.29707950403158,-1.55260614185945, 14.5401408563044)); #525176=CARTESIAN_POINT('Ctrl Pts',(-3.63264559675737,-0.291706361333214, 14.5003802127186)); #525177=CARTESIAN_POINT('Ctrl Pts',(-3.36095140037091,0.820817512842688, 14.4606195691329)); #525178=CARTESIAN_POINT('Ctrl Pts',(-3.08925720398444,1.93334138701859, 14.4208589255471)); #525179=CARTESIAN_POINT('Ctrl Pts',(-2.21030271848572,2.89748935484416, 14.3810982819614)); #525180=CARTESIAN_POINT('Ctrl Pts',(-1.12758018038027,3.27078171125632, 14.3413376383756)); #525181=CARTESIAN_POINT('Ctrl Pts',(-0.0448576422748258,3.64407406766848, 14.3015769947899)); #525182=CARTESIAN_POINT('Ctrl Pts',(1.24163294843734,3.42651081266722,14.2618163512041)); #525183=CARTESIAN_POINT('Ctrl Pts',(2.14118314108782,2.71765697251149,14.2220557076184)); #525184=CARTESIAN_POINT('Ctrl Pts',(2.9544820223881,2.07676996040891,14.1861074223395)); #525185=CARTESIAN_POINT('Ctrl Pts',(3.45148496017217,1.03428823255558,14.1501591370606)); #525186=CARTESIAN_POINT('Ctrl Pts',(3.45837177288913,-0.00211939515415836, 14.1142108517818)); #525187=CARTESIAN_POINT('Ctrl Pts',(3.45683895952193,-0.00372587101543185, 14.0088911625202)); #525188=CARTESIAN_POINT('Ctrl Pts',(3.44870656238504,0.993143479811309, 14.0434657312353)); #525189=CARTESIAN_POINT('Ctrl Pts',(2.99423023330454,1.9990941232629,14.0780402999504)); #525190=CARTESIAN_POINT('Ctrl Pts',(2.23396329742657,2.64262446369856,14.1126148686655)); #525191=CARTESIAN_POINT('Ctrl Pts',(1.35965874957883,3.38268229966265,14.1523755122512)); #525192=CARTESIAN_POINT('Ctrl Pts',(0.0809483707476506,3.64344194742733, 14.192136155837)); #525193=CARTESIAN_POINT('Ctrl Pts',(-1.01413522208485,3.30748013111602, 14.2318967994227)); #525194=CARTESIAN_POINT('Ctrl Pts',(-2.10921881491736,2.97151831480471, 14.2716574430085)); #525195=CARTESIAN_POINT('Ctrl Pts',(-3.02067562175119,2.03883503441741, 14.3114180865942)); #525196=CARTESIAN_POINT('Ctrl Pts',(-3.33054861525846,0.936486357905289, 14.35117873018)); #525197=CARTESIAN_POINT('Ctrl Pts',(-3.64042160876573,-0.165862318606825, 14.3909393737657)); #525198=CARTESIAN_POINT('Ctrl Pts',(-3.34871078894644,-1.43787639124375, 14.4307000173515)); #525199=CARTESIAN_POINT('Ctrl Pts',(-2.58908668266058,-2.29498521494977, 14.4704606609372)); #525200=CARTESIAN_POINT('Ctrl Pts',(-1.82946257637471,-3.15209403865579, 14.510221304523)); #525201=CARTESIAN_POINT('Ctrl Pts',(-0.601925183622274,-3.59429761343091, 14.5499819481087)); #525202=CARTESIAN_POINT('Ctrl Pts',(0.529827295961748,-3.41887899416434, 14.5897425916945)); #525203=CARTESIAN_POINT('Ctrl Pts',(1.66157977554577,-3.24346037489777, 14.6295032352802)); #525204=CARTESIAN_POINT('Ctrl Pts',(2.69754734196138,-2.4504195615895,14.669263878866)); #525205=CARTESIAN_POINT('Ctrl Pts',(3.16218096499044,-1.40368997503667, 14.7090245224517)); #525206=CARTESIAN_POINT('Ctrl Pts',(3.35642427993538,-0.966097397432243, 14.7256467358079)); #525207=CARTESIAN_POINT('Ctrl Pts',(3.45081464015606,-0.48416722378251, 14.7422689491641)); #525208=CARTESIAN_POINT('Ctrl Pts',(3.44892868033218,-0.00152673186797919, 14.7588911625202)); #525209=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #525210=CARTESIAN_POINT('',(3.45090661466127,0.00273453970870585,15.6142108517818)); #525211=CARTESIAN_POINT('',(3.4519468768847,0.00301719107020691,15.5088911625202)); #525212=CARTESIAN_POINT('',(3.453999809265,1.99000683707404E-10,13.874051007151)); #525213=CARTESIAN_POINT('Ctrl Pts',(3.45090661466127,0.00273453970870585, 15.6142108517818)); #525214=CARTESIAN_POINT('Ctrl Pts',(3.44519680944158,-0.770957830861942, 15.5875262165039)); #525215=CARTESIAN_POINT('Ctrl Pts',(3.18808851602794,-1.54809017908061, 15.560841581226)); #525216=CARTESIAN_POINT('Ctrl Pts',(2.71039698391824,-2.15022805919748, 15.5341569459481)); #525217=CARTESIAN_POINT('Ctrl Pts',(1.99862698765062,-3.0474256184478,15.4943963023624)); #525218=CARTESIAN_POINT('Ctrl Pts',(0.797126914298543,-3.55610746426742, 15.4546356587766)); #525219=CARTESIAN_POINT('Ctrl Pts',(-0.342490179508144,-3.44273628477494, 15.4148750151909)); #525220=CARTESIAN_POINT('Ctrl Pts',(-1.48210727331483,-3.32936510528246, 15.3751143716051)); #525221=CARTESIAN_POINT('Ctrl Pts',(-2.55984138757613,-2.59394090047786, 15.3353537280194)); #525222=CARTESIAN_POINT('Ctrl Pts',(-3.08090058663446,-1.57409885028488, 15.2955930844336)); #525223=CARTESIAN_POINT('Ctrl Pts',(-3.60195978569278,-0.554256800091893, 15.2558324408479)); #525224=CARTESIAN_POINT('Ctrl Pts',(-3.56634406954814,0.750003095489476, 15.2160717972621)); #525225=CARTESIAN_POINT('Ctrl Pts',(-2.9904051200017,1.73988869094356,15.1763111536764)); #525226=CARTESIAN_POINT('Ctrl Pts',(-2.41446617045525,2.72977428639764, 15.1365505100906)); #525227=CARTESIAN_POINT('Ctrl Pts',(-1.29820398750701,3.40528558172444, 15.0967898665049)); #525228=CARTESIAN_POINT('Ctrl Pts',(-0.154097967600261,3.45629588267687, 15.0570292229191)); #525229=CARTESIAN_POINT('Ctrl Pts',(0.990008052306499,3.5073061836293,15.0172685793334)); #525230=CARTESIAN_POINT('Ctrl Pts',(2.16195790917177,2.93381549020735,14.9775079357476)); #525231=CARTESIAN_POINT('Ctrl Pts',(2.82370365046134,1.99910957835345,14.9377472921619)); #525232=CARTESIAN_POINT('Ctrl Pts',(3.23166507380689,1.42287020057322,14.9132351453685)); #525233=CARTESIAN_POINT('Ctrl Pts',(3.44571665889726,0.709346190146674, 14.8887229985751)); #525234=CARTESIAN_POINT('Ctrl Pts',(3.44955758497144,-0.00205639974999977, 14.8642108517818)); #525235=CARTESIAN_POINT('Ctrl Pts',(3.44892868033218,-0.00152673186797919, 14.7588911625202)); #525236=CARTESIAN_POINT('Ctrl Pts',(3.44630338917117,0.670317811866395, 14.7820295927498)); #525237=CARTESIAN_POINT('Ctrl Pts',(3.25712195211316,1.34353875052093,14.8051680229794)); #525238=CARTESIAN_POINT('Ctrl Pts',(2.89103175618977,1.90045863823539,14.828306453209)); #525239=CARTESIAN_POINT('Ctrl Pts',(2.26194924471754,2.85745930515725,14.8680670967947)); #525240=CARTESIAN_POINT('Ctrl Pts',(1.11048454213056,3.47104227920359,14.9078277403805)); #525241=CARTESIAN_POINT('Ctrl Pts',(-0.03470272797119,3.45955028305813, 14.9475883839662)); #525242=CARTESIAN_POINT('Ctrl Pts',(-1.17988999807294,3.44805828691267, 14.987349027552)); #525243=CARTESIAN_POINT('Ctrl Pts',(-2.31879983568946,2.81149132057542, 15.0271096711377)); #525244=CARTESIAN_POINT('Ctrl Pts',(-2.92856370531278,1.84207856962227, 15.0668703147235)); #525245=CARTESIAN_POINT('Ctrl Pts',(-3.5383275749361,0.872665818669123, 15.1066309583092)); #525246=CARTESIAN_POINT('Ctrl Pts',(-3.61894547656621,-0.429592716899934, 15.146391601895)); #525247=CARTESIAN_POINT('Ctrl Pts',(-3.13340069088571,-1.46681444699104, 15.1861522454807)); #525248=CARTESIAN_POINT('Ctrl Pts',(-2.64785590520522,-2.50403617708213, 15.2259128890665)); #525249=CARTESIAN_POINT('Ctrl Pts',(-1.59614843221413,-3.27622110169528, 15.2656735326522)); #525250=CARTESIAN_POINT('Ctrl Pts',(-0.461123527339457,-3.42886183236222, 15.305434176238)); #525251=CARTESIAN_POINT('Ctrl Pts',(0.673901377535226,-3.58150256302916, 15.3451948198237)); #525252=CARTESIAN_POINT('Ctrl Pts',(1.8922437142935,-3.11459909974987,15.3849554634094)); #525253=CARTESIAN_POINT('Ctrl Pts',(2.63455923745405,-2.24250568583499, 15.4247161069952)); #525254=CARTESIAN_POINT('Ctrl Pts',(3.15839759237053,-1.62708547027274, 15.4527744588369)); #525255=CARTESIAN_POINT('Ctrl Pts',(3.44518105699584,-0.809886160442538, 15.4808328106786)); #525256=CARTESIAN_POINT('Ctrl Pts',(3.4519468768847,0.00301719107020691, 15.5088911625202)); #525257=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #525258=CARTESIAN_POINT('',(3.45946180716485,0.00087544254832872,16.3642108517818)); #525259=CARTESIAN_POINT('',(3.45972266776172,0.000144265412425977,16.2588911625202)); #525260=CARTESIAN_POINT('',(3.453999809265,1.99000683707404E-10,13.874051007151)); #525261=CARTESIAN_POINT('Ctrl Pts',(3.45946180716485,0.00087544254832872, 16.3642108517818)); #525262=CARTESIAN_POINT('Ctrl Pts',(3.45573915157542,-1.09736159596028, 16.3260900780184)); #525263=CARTESIAN_POINT('Ctrl Pts',(2.8879318138174,-2.19890991951559,16.287969304255)); #525264=CARTESIAN_POINT('Ctrl Pts',(1.98966351544516,-2.8303660031375,16.2498485304916)); #525265=CARTESIAN_POINT('Ctrl Pts',(1.0527537381769,-3.48898590515672,16.2100878869058)); #525266=CARTESIAN_POINT('Ctrl Pts',(-0.243659898865985,-3.63619903043584, 16.1703272433201)); #525267=CARTESIAN_POINT('Ctrl Pts',(-1.30438906743395,-3.20441929344768, 16.1305665997343)); #525268=CARTESIAN_POINT('Ctrl Pts',(-2.36511823600193,-2.77263955645952, 16.0908059561486)); #525269=CARTESIAN_POINT('Ctrl Pts',(-3.19016293609499,-1.76186695720406, 16.0510453125628)); #525270=CARTESIAN_POINT('Ctrl Pts',(-3.400742073603,-0.636150942788447, 16.0112846689771)); #525271=CARTESIAN_POINT('Ctrl Pts',(-3.61132121111102,0.489565071627162, 15.9715240253913)); #525272=CARTESIAN_POINT('Ctrl Pts',(-3.20743478603399,1.73022450120292, 15.9317633818056)); #525273=CARTESIAN_POINT('Ctrl Pts',(-2.37450856526759,2.51623595469587, 15.8920027382198)); #525274=CARTESIAN_POINT('Ctrl Pts',(-1.54158234450119,3.30224740818881, 15.8522420946341)); #525275=CARTESIAN_POINT('Ctrl Pts',(-0.279616328045435,3.63361088559895, 15.8124814510484)); #525276=CARTESIAN_POINT('Ctrl Pts',(0.832016201872743,3.35819623803831, 15.7727208074626)); #525277=CARTESIAN_POINT('Ctrl Pts',(1.94364873179093,3.08278159047768,15.7329601638769)); #525278=CARTESIAN_POINT('Ctrl Pts',(2.90494777517153,2.20058881794627,15.6931995202911)); #525279=CARTESIAN_POINT('Ctrl Pts',(3.27457733727026,1.11663579534006,15.6534388767053)); #525280=CARTESIAN_POINT('Ctrl Pts',(3.39613671833035,0.760158197125051, 15.6403628683975)); #525281=CARTESIAN_POINT('Ctrl Pts',(3.45370453412734,0.381859371656284, 15.6272868600896)); #525282=CARTESIAN_POINT('Ctrl Pts',(3.45090661466127,0.00273453970870585, 15.6142108517818)); #525283=CARTESIAN_POINT('Ctrl Pts',(3.4519468768847,0.00301719107020691, 15.5088911625202)); #525284=CARTESIAN_POINT('Ctrl Pts',(3.45476869616596,0.342054645330194, 15.5205934542643)); #525285=CARTESIAN_POINT('Ctrl Pts',(3.40888222052965,0.680344829521263, 15.5322957460084)); #525286=CARTESIAN_POINT('Ctrl Pts',(3.31117058197678,1.00293738151953,15.5439980377524)); #525287=CARTESIAN_POINT('Ctrl Pts',(2.97917769377611,2.09900367040867,15.5837586813382)); #525288=CARTESIAN_POINT('Ctrl Pts',(2.04890320781195,3.01385288363646,15.6235193249239)); #525289=CARTESIAN_POINT('Ctrl Pts',(0.947439935803248,3.32747510393531, 15.6632799685097)); #525290=CARTESIAN_POINT('Ctrl Pts',(-0.154023336205458,3.64109732423416, 15.7030406120954)); #525291=CARTESIAN_POINT('Ctrl Pts',(-1.42667539425871,3.35349255160407, 15.7428012556812)); #525292=CARTESIAN_POINT('Ctrl Pts',(-2.28623711290949,2.59670081559539, 15.7825618992669)); #525293=CARTESIAN_POINT('Ctrl Pts',(-3.14579883156026,1.83990907958671, 15.8223225428527)); #525294=CARTESIAN_POINT('Ctrl Pts',(-3.59227021080856,0.613930380199436, 15.8620831864384)); #525295=CARTESIAN_POINT('Ctrl Pts',(-3.42067449065201,-0.518383696768789, 15.9018438300242)); #525296=CARTESIAN_POINT('Ctrl Pts',(-3.24907877049545,-1.65069777373702, 15.9416044736099)); #525297=CARTESIAN_POINT('Ctrl Pts',(-2.45941595093402,-2.68934722828621, 15.9813651171957)); #525298=CARTESIAN_POINT('Ctrl Pts',(-1.41422321665755,-3.15748433392406, 16.0211257607814)); #525299=CARTESIAN_POINT('Ctrl Pts',(-0.369030482381066,-3.62562143956191, 16.0608864043672)); #525300=CARTESIAN_POINT('Ctrl Pts',(0.931692166610471,-3.52324619628841, 16.1006470479529)); #525301=CARTESIAN_POINT('Ctrl Pts',(1.89077814370212,-2.89735940571161, 16.1404076915387)); #525302=CARTESIAN_POINT('Ctrl Pts',(2.84344410755899,-2.27566223063848, 16.1799021818659)); #525303=CARTESIAN_POINT('Ctrl Pts',(3.45903184602861,-1.13743870506151, 16.219396672193)); #525304=CARTESIAN_POINT('Ctrl Pts',(3.45972266776172,0.000144265412425977, 16.2588911625202)); #525305=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #525306=CARTESIAN_POINT('',(3.45354995871696,-0.00318586796853315,17.1142108517818)); #525307=CARTESIAN_POINT('',(3.45237964564211,-0.00309158234549324,17.0088911625202)); #525308=CARTESIAN_POINT('',(3.453999809265,1.99000683707404E-10,13.874051007151)); #525309=CARTESIAN_POINT('Ctrl Pts',(3.45354995871696,-0.00318586796853315, 17.1142108517818)); #525310=CARTESIAN_POINT('Ctrl Pts',(3.45613791891426,-0.286667604971698, 17.1044145831186)); #525311=CARTESIAN_POINT('Ctrl Pts',(3.4241692801647,-0.569573345427285, 17.0946183144555)); #525312=CARTESIAN_POINT('Ctrl Pts',(3.35539772522613,-0.843230303958615, 17.0848220457923)); #525313=CARTESIAN_POINT('Ctrl Pts',(3.07627090880514,-1.9539365500879,17.0450614022066)); #525314=CARTESIAN_POINT('Ctrl Pts',(2.19087187178519,-2.91228314280668, 17.0053007586208)); #525315=CARTESIAN_POINT('Ctrl Pts',(1.10569018175771,-3.27828954649469, 16.9655401150351)); #525316=CARTESIAN_POINT('Ctrl Pts',(0.0205084917302364,-3.6442959501827, 16.9257794714493)); #525317=CARTESIAN_POINT('Ctrl Pts',(-1.26445585130476,-3.41796216483997, 16.8860188278636)); #525318=CARTESIAN_POINT('Ctrl Pts',(-2.15927071568023,-2.70319889851528, 16.8462581842778)); #525319=CARTESIAN_POINT('Ctrl Pts',(-3.05408558005571,-1.98843563219059, 16.8064975406921)); #525320=CARTESIAN_POINT('Ctrl Pts',(-3.55875096577166,-0.785242884883928, 16.7667368971063)); #525321=CARTESIAN_POINT('Ctrl Pts',(-3.4415732286445,0.353989087799876, 16.7269762535206)); #525322=CARTESIAN_POINT('Ctrl Pts',(-3.32439549151734,1.49322106048367, 16.6872156099348)); #525323=CARTESIAN_POINT('Ctrl Pts',(-2.58537463154711,2.56849225854461, 16.6474549663491)); #525324=CARTESIAN_POINT('Ctrl Pts',(-1.56379781822791,3.08614166572178, 16.6076943227633)); #525325=CARTESIAN_POINT('Ctrl Pts',(-0.542221004908718,3.60379107289895, 16.5679336791776)); #525326=CARTESIAN_POINT('Ctrl Pts',(0.761911761759431,3.56381868919235, 16.5281730355918)); #525327=CARTESIAN_POINT('Ctrl Pts',(1.74986824497236,2.98457598915815,16.4884123920061)); #525328=CARTESIAN_POINT('Ctrl Pts',(2.7378247281853,2.40533328912395,16.4486517484203)); #525329=CARTESIAN_POINT('Ctrl Pts',(3.40960492794301,1.28682027276215,16.4088911048346)); #525330=CARTESIAN_POINT('Ctrl Pts',(3.45679206907505,0.142550425201595, 16.3691304612488)); #525331=CARTESIAN_POINT('Ctrl Pts',(3.45873823396996,0.0953566822834696, 16.3674905914265)); #525332=CARTESIAN_POINT('Ctrl Pts',(3.45962194742323,0.0481191262264366, 16.3658507216041)); #525333=CARTESIAN_POINT('Ctrl Pts',(3.45946180716485,0.00087544254832872, 16.3642108517818)); #525334=CARTESIAN_POINT('Ctrl Pts',(3.45972266776172,0.000144265412425977, 16.2588911625202)); #525335=CARTESIAN_POINT('Ctrl Pts',(3.45972732320742,0.00781043383156234, 16.2591573157788)); #525336=CARTESIAN_POINT('Ctrl Pts',(3.4597040536736,0.0154765731604767, 16.2594234690374)); #525337=CARTESIAN_POINT('Ctrl Pts',(3.45965277413512,0.023142525122628, 16.2596896222959)); #525338=CARTESIAN_POINT('Ctrl Pts',(3.45199212228471,1.16835932749995,16.2994502658817)); #525339=CARTESIAN_POINT('Ctrl Pts',(2.81922151339213,2.3093945943745,16.3392109094674)); #525340=CARTESIAN_POINT('Ctrl Pts',(1.85184829333964,2.92239480255981,16.3789715530532)); #525341=CARTESIAN_POINT('Ctrl Pts',(0.884475073287143,3.53539501074512, 16.4187321966389)); #525342=CARTESIAN_POINT('Ctrl Pts',(-0.417500757925268,3.62036016024121, 16.4584928402247)); #525343=CARTESIAN_POINT('Ctrl Pts',(-1.45633724949806,3.1382824262132,16.4982534838104)); #525344=CARTESIAN_POINT('Ctrl Pts',(-2.49517374107084,2.65620469218519, 16.5380141273961)); #525345=CARTESIAN_POINT('Ctrl Pts',(-3.27087089300401,1.60708407463308, 16.5777747709819)); #525346=CARTESIAN_POINT('Ctrl Pts',(-3.42730326168641,0.472575759926493, 16.6175354145677)); #525347=CARTESIAN_POINT('Ctrl Pts',(-3.58373563036881,-0.661932554780101, 16.6572960581534)); #525348=CARTESIAN_POINT('Ctrl Pts',(-3.12090321580043,-1.88182856664117, 16.6970567017392)); #525349=CARTESIAN_POINT('Ctrl Pts',(-2.25129408820285,-2.62705348251095, 16.7368173453249)); #525350=CARTESIAN_POINT('Ctrl Pts',(-1.38168496060527,-3.37227839838072, 16.7765779889107)); #525351=CARTESIAN_POINT('Ctrl Pts',(-0.10529911997849,-3.64283221825918, 16.8163386324964)); #525352=CARTESIAN_POINT('Ctrl Pts',(0.991869915198562,-3.31450266686145, 16.8560992760822)); #525353=CARTESIAN_POINT('Ctrl Pts',(2.08903895037561,-2.98617311546373, 16.8958599196679)); #525354=CARTESIAN_POINT('Ctrl Pts',(3.00699118010293,-2.05896019278982, 16.9356205632537)); #525355=CARTESIAN_POINT('Ctrl Pts',(3.32429137477893,-0.958550819911761, 16.9753812068394)); #525356=CARTESIAN_POINT('Ctrl Pts',(3.4134307389191,-0.649412050260517, 16.9865511920663)); #525357=CARTESIAN_POINT('Ctrl Pts',(3.45516540995541,-0.326604260794987, 16.9977211772933)); #525358=CARTESIAN_POINT('Ctrl Pts',(3.45237964564211,-0.00309158234549324, 17.0088911625202)); #525359=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #525360=CARTESIAN_POINT('',(3.44843195761728,0.000643874095852814,17.8642108517818)); #525361=CARTESIAN_POINT('',(3.44875392709393,0.00127338827508482,17.7588911625202)); #525362=CARTESIAN_POINT('',(3.453999809265,1.99000683707404E-10,13.874051007151)); #525363=CARTESIAN_POINT('Ctrl Pts',(3.44843195761728,0.000643874095852814, 17.8642108517818)); #525364=CARTESIAN_POINT('Ctrl Pts',(3.44740059212339,-0.616078933972656, 17.8429784446331)); #525365=CARTESIAN_POINT('Ctrl Pts',(3.28978968777021,-1.23329067940578, 17.8217460374844)); #525366=CARTESIAN_POINT('Ctrl Pts',(2.97870686027894,-1.75982835574425, 17.8005136303358)); #525367=CARTESIAN_POINT('Ctrl Pts',(2.39616088030948,-2.74584358881606, 17.76075298675)); #525368=CARTESIAN_POINT('Ctrl Pts',(1.27542284921421,-3.41388493955066, 17.7209923431643)); #525369=CARTESIAN_POINT('Ctrl Pts',(0.131004888091775,-3.45724956520578, 17.6812316995785)); #525370=CARTESIAN_POINT('Ctrl Pts',(-1.01341307303067,-3.5006141908609, 17.6414710559928)); #525371=CARTESIAN_POINT('Ctrl Pts',(-2.18151096418028,-2.91930209143651, 17.601710412407)); #525372=CARTESIAN_POINT('Ctrl Pts',(-2.83699891757896,-1.98019713951755, 17.5619497688213)); #525373=CARTESIAN_POINT('Ctrl Pts',(-3.49248687097764,-1.04109218759859, 17.5221891252355)); #525374=CARTESIAN_POINT('Ctrl Pts',(-3.63536488662539,0.255805616814941, 17.4824284816498)); #525375=CARTESIAN_POINT('Ctrl Pts',(-3.20004319894693,1.31508634628514, 17.442667838064)); #525376=CARTESIAN_POINT('Ctrl Pts',(-2.76472151126848,2.37436707575534, 17.4029071944783)); #525377=CARTESIAN_POINT('Ctrl Pts',(-1.75120012026379,3.1960307302822,17.3631465508925)); #525378=CARTESIAN_POINT('Ctrl Pts',(-0.624787347669739,3.40284811695249, 17.3233859073068)); #525379=CARTESIAN_POINT('Ctrl Pts',(0.501625424924314,3.60966550362279, 17.2836252637211)); #525380=CARTESIAN_POINT('Ctrl Pts',(1.74092957910774,3.20163662243651,17.2438646201353)); #525381=CARTESIAN_POINT('Ctrl Pts',(2.52415435828844,2.36608923207348,17.2041039765496)); #525382=CARTESIAN_POINT('Ctrl Pts',(3.11440740289584,1.73640487557055,17.174139601627)); #525383=CARTESIAN_POINT('Ctrl Pts',(3.44563402538529,0.863914999040338, 17.1441752267044)); #525384=CARTESIAN_POINT('Ctrl Pts',(3.45354995871696,-0.00318586796853315, 17.1142108517818)); #525385=CARTESIAN_POINT('Ctrl Pts',(3.45237964564211,-0.00309158234549324, 17.0088911625202)); #525386=CARTESIAN_POINT('Ctrl Pts',(3.4452492122412,0.824970431736543,17.037481820879)); #525387=CARTESIAN_POINT('Ctrl Pts',(3.14644155548638,1.65765055118977,17.0660724792378)); #525388=CARTESIAN_POINT('Ctrl Pts',(2.60432362970656,2.27754950826142,17.0946631375966)); #525389=CARTESIAN_POINT('Ctrl Pts',(1.8504075303335,3.13963464047434,17.1344237811824)); #525390=CARTESIAN_POINT('Ctrl Pts',(0.625927171962679,3.59019908181797, 17.1741844247681)); #525391=CARTESIAN_POINT('Ctrl Pts',(-0.506953744413779,3.42238695719357, 17.2139450683539)); #525392=CARTESIAN_POINT('Ctrl Pts',(-1.63983466079024,3.25457483256918, 17.2537057119396)); #525393=CARTESIAN_POINT('Ctrl Pts',(-2.68111613517234,2.46838614197675, 17.2934663555254)); #525394=CARTESIAN_POINT('Ctrl Pts',(-3.1527423769387,1.42476316181263,17.3332269991111)); #525395=CARTESIAN_POINT('Ctrl Pts',(-3.62436861870506,0.381140181648496, 17.3729876426969)); #525396=CARTESIAN_POINT('Ctrl Pts',(-3.52633962785568,-0.919917088087326, 17.4127482862826)); #525397=CARTESIAN_POINT('Ctrl Pts',(-2.90366008253745,-1.88108864993117, 17.4525089298684)); #525398=CARTESIAN_POINT('Ctrl Pts',(-2.28098053721924,-2.842260211775,17.4922695734541)); #525399=CARTESIAN_POINT('Ctrl Pts',(-1.13365043743219,-3.46354606572685, 17.5320302170399)); #525400=CARTESIAN_POINT('Ctrl Pts',(0.0115851503723349,-3.45971110225248, 17.5717908606256)); #525401=CARTESIAN_POINT('Ctrl Pts',(1.15682073817686,-3.45587613877811, 17.6115515042114)); #525402=CARTESIAN_POINT('Ctrl Pts',(2.29996181399887,-2.82692035787752, 17.6513121477971)); #525403=CARTESIAN_POINT('Ctrl Pts',(2.91619106118666,-1.86160059621578, 17.6910727913829)); #525404=CARTESIAN_POINT('Ctrl Pts',(3.26655145128237,-1.3127629520982,17.7136789150953)); #525405=CARTESIAN_POINT('Ctrl Pts',(3.44658490416676,-0.6551939348783,17.7362850388078)); #525406=CARTESIAN_POINT('Ctrl Pts',(3.44875392709393,0.00127338827508482, 17.7588911625202)); #525407=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #525408=CARTESIAN_POINT('',(3.45676666130078,0.00285083838310718,18.6142108517818)); #525409=CARTESIAN_POINT('',(3.45717382987894,0.00256648380479073,18.5088911625202)); #525410=CARTESIAN_POINT('',(3.453999809265,1.99000683707404E-10,13.874051007151)); #525411=CARTESIAN_POINT('Ctrl Pts',(3.45676666130078,0.00285083838310718, 18.6142108517818)); #525412=CARTESIAN_POINT('Ctrl Pts',(3.44827487506845,-0.940853654570495, 18.5815423061476)); #525413=CARTESIAN_POINT('Ctrl Pts',(3.04622530289543,-1.8908152456668,18.5488737605134)); #525414=CARTESIAN_POINT('Ctrl Pts',(2.35729387523107,-2.53200655437074, 18.5162052148793)); #525415=CARTESIAN_POINT('Ctrl Pts',(1.51880056557687,-3.31239572079239, 18.4764445712935)); #525416=CARTESIAN_POINT('Ctrl Pts',(0.255345066464068,-3.63539905840144, 18.4366839277078)); #525417=CARTESIAN_POINT('Ctrl Pts',(-0.854253299070007,-3.35258267089608, 18.396923284122)); #525418=CARTESIAN_POINT('Ctrl Pts',(-1.9638516646041,-3.06976628339071, 18.3571626405363)); #525419=CARTESIAN_POINT('Ctrl Pts',(-2.91959289655946,-2.18113017077092, 18.3174019969505)); #525420=CARTESIAN_POINT('Ctrl Pts',(-3.2820588793649,-1.09472199374446, 18.2776413533648)); #525421=CARTESIAN_POINT('Ctrl Pts',(-3.64452486217034,-0.00831381671800815, 18.237880709779)); #525422=CARTESIAN_POINT('Ctrl Pts',(-3.41371559582586,1.27586642471511, 18.1981200661933)); #525423=CARTESIAN_POINT('Ctrl Pts',(-2.69592237908466,2.16828346616914, 18.1583594226075)); #525424=CARTESIAN_POINT('Ctrl Pts',(-1.97812916234345,3.06070050762317, 18.1185987790218)); #525425=CARTESIAN_POINT('Ctrl Pts',(-0.773351995205526,3.5613543490981, 18.078838135436)); #525426=CARTESIAN_POINT('Ctrl Pts',(0.36545917603066,3.440374089431,18.0390774918503)); #525427=CARTESIAN_POINT('Ctrl Pts',(1.50427034726684,3.31939382976389,17.9993168482645)); #525428=CARTESIAN_POINT('Ctrl Pts',(2.57711552260128,2.57677946895475,17.9595562046788)); #525429=CARTESIAN_POINT('Ctrl Pts',(3.09136114584667,1.55347773084567,17.919795561093)); #525430=CARTESIAN_POINT('Ctrl Pts',(3.33099671597219,1.07662487233299,17.9012673246559)); #525431=CARTESIAN_POINT('Ctrl Pts',(3.44933196785117,0.538820536027425, 17.8827390882188)); #525432=CARTESIAN_POINT('Ctrl Pts',(3.44843195761728,0.000643874095852814, 17.8642108517818)); #525433=CARTESIAN_POINT('Ctrl Pts',(3.44875392709393,0.00127338827508482, 17.7588911625202)); #525434=CARTESIAN_POINT('Ctrl Pts',(3.45039987698711,0.499429634982708, 17.7760456823935)); #525435=CARTESIAN_POINT('Ctrl Pts',(3.34962364114093,0.996951477642622, 17.7932002022668)); #525436=CARTESIAN_POINT('Ctrl Pts',(3.14313151751043,1.44584452207967,17.8103547221401)); #525437=CARTESIAN_POINT('Ctrl Pts',(2.66452530809282,2.48628613629049,17.8501153657259)); #525438=CARTESIAN_POINT('Ctrl Pts',(1.61799547070403,3.26548562228923,17.8898760093116)); #525439=CARTESIAN_POINT('Ctrl Pts',(0.48401909475832,3.42570621149547,17.9296366528974)); #525440=CARTESIAN_POINT('Ctrl Pts',(-0.649957281187394,3.5859268007017, 17.9693972964831)); #525441=CARTESIAN_POINT('Ctrl Pts',(-1.87138019569003,3.12716849311544, 18.0091579400689)); #525442=CARTESIAN_POINT('Ctrl Pts',(-2.61951188508239,2.26005551033554, 18.0489185836546)); #525443=CARTESIAN_POINT('Ctrl Pts',(-3.36764357447474,1.39294252755566, 18.0886792272404)); #525444=CARTESIAN_POINT('Ctrl Pts',(-3.64248403875683,0.117474869582156, 18.1284398708261)); #525445=CARTESIAN_POINT('Ctrl Pts',(-3.31781017411624,-0.980788288476244, 18.1682005144119)); #525446=CARTESIAN_POINT('Ctrl Pts',(-2.99313630947565,-2.07905144653467, 18.2079611579976)); #525447=CARTESIAN_POINT('Ctrl Pts',(-2.06894811591235,-3.00011010467798, 18.2477218015834)); #525448=CARTESIAN_POINT('Ctrl Pts',(-0.969627030318212,-3.32107798746736, 18.2874824451691)); #525449=CARTESIAN_POINT('Ctrl Pts',(0.12969405527592,-3.64204587025674, 18.3272430887549)); #525450=CARTESIAN_POINT('Ctrl Pts',(1.40414803290089,-3.3629229776922,18.3670037323406)); #525451=CARTESIAN_POINT('Ctrl Pts',(2.26878997755794,-2.61190388700964, 18.4067643759264)); #525452=CARTESIAN_POINT('Ctrl Pts',(3.00907899536247,-1.96889646824004, 18.4408066381243)); #525453=CARTESIAN_POINT('Ctrl Pts',(3.44895778174161,-0.979968396387772, 18.4748489003223)); #525454=CARTESIAN_POINT('Ctrl Pts',(3.45717382987894,0.00256648380479073, 18.5088911625202)); #525455=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 12.4876158051006)); #525456=CARTESIAN_POINT('',(3.02617200638231,0.00249572166953512,18.8626158051006)); #525457=CARTESIAN_POINT('',(3.023749809265,1.99075015959625E-10,18.874051007151)); #525458=CARTESIAN_POINT('',(3.023749809265,1.99075015959625E-10,12.4876158051006)); #525459=CARTESIAN_POINT('',(3.00988516885489,0.289230331641654,18.874051007151)); #525460=CARTESIAN_POINT('Ctrl Pts',(3.02617200638231,0.00249572166953493, 18.8626158051006)); #525461=CARTESIAN_POINT('Ctrl Pts',(3.02703939833448,0.098890244567446, 18.8664275391174)); #525462=CARTESIAN_POINT('Ctrl Pts',(3.02321630658325,0.195210194383798, 18.8702392731342)); #525463=CARTESIAN_POINT('Ctrl Pts',(3.01455438768732,0.291017494361003, 18.874051007151)); #525464=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 18.874051007151)); #525465=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 12.4876158051006)); #525466=CARTESIAN_POINT('',(3.01887552107167,0.000563669479750672,18.1126158051006)); #525467=CARTESIAN_POINT('',(3.026528455713,0.00224678792425714,18.2604862092014)); #525468=CARTESIAN_POINT('',(3.023749809265,1.99075015959625E-10,12.4876158051006)); #525469=CARTESIAN_POINT('Ctrl Pts',(3.01887552107166,0.000563669479750686, 18.1126158051006)); #525470=CARTESIAN_POINT('Ctrl Pts',(3.01966342088194,0.471701967544478, 18.1311440415377)); #525471=CARTESIAN_POINT('Ctrl Pts',(2.69375970340913,1.95285343924806,18.1894329215605)); #525472=CARTESIAN_POINT('Ctrl Pts',(0.377684360615742,3.55602121202399, 18.2874824451691)); #525473=CARTESIAN_POINT('Ctrl Pts',(-2.78642533174091,2.24115260765816, 18.4067643759264)); #525474=CARTESIAN_POINT('Ctrl Pts',(-3.39260036281425,-1.13149360400952, 18.5260463066836)); #525475=CARTESIAN_POINT('Ctrl Pts',(-0.882534453614746,-3.46532114790131, 18.6453282374408)); #525476=CARTESIAN_POINT('Ctrl Pts',(2.23839242433519,-2.66745387143649, 18.7575180702465)); #525477=CARTESIAN_POINT('Ctrl Pts',(3.01873800562377,-0.823655540799612, 18.8299472594664)); #525478=CARTESIAN_POINT('Ctrl Pts',(3.02617200638231,0.00249572166953493, 18.8626158051006)); #525479=CARTESIAN_POINT('',(1.25446167448542,-2.75125234940612,18.874051007151)); #525480=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 18.874051007151)); #525481=CARTESIAN_POINT('Ctrl Pts',(3.02652845571301,0.00224678792425687, 18.2604862092014)); #525482=CARTESIAN_POINT('Ctrl Pts',(3.02773666238074,0.146732941569457, 18.2662045905892)); #525483=CARTESIAN_POINT('Ctrl Pts',(2.95254184017693,1.29459396607607,18.3116836155627)); #525484=CARTESIAN_POINT('Ctrl Pts',(1.48293322509107,3.25395540133379,18.396923284122)); #525485=CARTESIAN_POINT('Ctrl Pts',(-1.93311610876594,3.00738972921925, 18.5162052148793)); #525486=CARTESIAN_POINT('Ctrl Pts',(-3.57830962953201,0.000666454663338376, 18.6354871456365)); #525487=CARTESIAN_POINT('Ctrl Pts',(-1.92952695901439,-3.00929427707297, 18.7547690763938)); #525488=CARTESIAN_POINT('Ctrl Pts',(0.338025050216778,-3.1691110737072, 18.8342903635652)); #525489=CARTESIAN_POINT('Ctrl Pts',(1.25446167448542,-2.75125234940612, 18.874051007151)); #525490=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 12.4876158051006)); #525491=CARTESIAN_POINT('',(3.02335599467478,-0.00278901800346786,17.3626158051006)); #525492=CARTESIAN_POINT('',(3.01915738418834,0.00111476777149289,17.5104862092014)); #525493=CARTESIAN_POINT('',(3.023749809265,1.99075015959625E-10,12.4876158051006)); #525494=CARTESIAN_POINT('Ctrl Pts',(3.02335599467478,-0.00278901800346759, 17.3626158051006)); #525495=CARTESIAN_POINT('Ctrl Pts',(3.01642611528517,0.756300804410545, 17.3925801800232)); #525496=CARTESIAN_POINT('Ctrl Pts',(2.34169272875362,2.53362789448903,17.4623051985316)); #525497=CARTESIAN_POINT('Ctrl Pts',(-0.645789270312973,3.51722738742097, 17.5717908606256)); #525498=CARTESIAN_POINT('Ctrl Pts',(-3.30760337516276,1.35928980023891, 17.6910727913829)); #525499=CARTESIAN_POINT('Ctrl Pts',(-2.93236243728067,-2.04675658316766, 17.8103547221401)); #525500=CARTESIAN_POINT('Ctrl Pts',(0.135416368478119,-3.57345819923697, 17.9296366528974)); #525501=CARTESIAN_POINT('Ctrl Pts',(2.62161161335373,-2.09150507237329, 18.0303903472175)); #525502=CARTESIAN_POINT('Ctrl Pts',(3.01797262836404,-0.539336617404203, 18.0913833979519)); #525503=CARTESIAN_POINT('Ctrl Pts',(3.01887552107166,0.000563669479750686, 18.1126158051006)); #525504=CARTESIAN_POINT('Ctrl Pts',(3.01915738418834,0.00111476777149313, 17.5104862092014)); #525505=CARTESIAN_POINT('Ctrl Pts',(3.0205983051465,0.437217822485471,17.5276407290747)); #525506=CARTESIAN_POINT('Ctrl Pts',(2.72789265824333,1.88227529641706,17.5845558925337)); #525507=CARTESIAN_POINT('Ctrl Pts',(0.500280580970817,3.54085599197203, 17.6812316995785)); #525508=CARTESIAN_POINT('Ctrl Pts',(-2.70754588491859,2.33601774712781, 17.8005136303358)); #525509=CARTESIAN_POINT('Ctrl Pts',(-3.42936017606475,-1.01374658187979, 17.919795561093)); #525510=CARTESIAN_POINT('Ctrl Pts',(-1.0021621011195,-3.43272554907215, 18.0390774918503)); #525511=CARTESIAN_POINT('Ctrl Pts',(2.18447976385771,-2.73480729503112, 18.1526410412197)); #525512=CARTESIAN_POINT('Ctrl Pts',(3.01933584553487,-0.857897926604489, 18.2264439470034)); #525513=CARTESIAN_POINT('Ctrl Pts',(3.02652845571301,0.00224678792425687, 18.2604862092014)); #525514=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 12.4876158051006)); #525515=CARTESIAN_POINT('',(3.02853142945889,0.000766392441006698,16.6126158051006)); #525516=CARTESIAN_POINT('',(3.02233146249177,-0.00270647713703234,16.7604862092014)); #525517=CARTESIAN_POINT('',(3.023749809265,1.99075015959625E-10,12.4876158051006)); #525518=CARTESIAN_POINT('Ctrl Pts',(3.02853142945889,0.00076639244100664, 16.6126158051006)); #525519=CARTESIAN_POINT('Ctrl Pts',(3.02867162173054,0.0421251326036163, 16.6142556749229)); #525520=CARTESIAN_POINT('Ctrl Pts',(3.00914031197734,1.08614153397219,16.655656188331)); #525521=CARTESIAN_POINT('Ctrl Pts',(1.80868617856664,3.08489585011528,16.7368173453249)); #525522=CARTESIAN_POINT('Ctrl Pts',(-1.61636140673693,3.18987543485716, 16.8560992760822)); #525523=CARTESIAN_POINT('Ctrl Pts',(-3.55725398809333,0.365887636533355, 16.9753812068394)); #525524=CARTESIAN_POINT('Ctrl Pts',(-2.23184985370544,-2.79406088952494, 17.0946631375966)); #525525=CARTESIAN_POINT('Ctrl Pts',(1.14285564622401,-3.38848195214517, 17.2139450683539)); #525526=CARTESIAN_POINT('Ctrl Pts',(2.88404506019069,-1.50383686853483, 17.3032626241885)); #525527=CARTESIAN_POINT('Ctrl Pts',(3.02562158374444,-0.250958648073935, 17.3528195364374)); #525528=CARTESIAN_POINT('Ctrl Pts',(3.02335599467478,-0.00278901800346759, 17.3626158051006)); #525529=CARTESIAN_POINT('Ctrl Pts',(3.02233146249177,-0.00270647713703213, 16.7604862092014)); #525530=CARTESIAN_POINT('Ctrl Pts',(3.01608923666779,0.722207395208216, 16.7890768675602)); #525531=CARTESIAN_POINT('Ctrl Pts',(2.39071799585677,2.46491441000329,16.8574281695047)); #525532=CARTESIAN_POINT('Ctrl Pts',(-0.523994001003293,3.537422901924,16.9655401150351)); #525533=CARTESIAN_POINT('Ctrl Pts',(-3.25871449159062,1.47265331317572, 17.0848220457923)); #525534=CARTESIAN_POINT('Ctrl Pts',(-3.00126067478619,-1.94431708580838, 17.2041039765496)); #525535=CARTESIAN_POINT('Ctrl Pts',(0.0119756215928765,-3.57600188681032, 17.3233859073068)); #525536=CARTESIAN_POINT('Ctrl Pts',(2.58244417295976,-2.16173107702174, 17.4255133181908)); #525537=CARTESIAN_POINT('Ctrl Pts',(3.01725854716577,-0.573579230023859, 17.487880085489)); #525538=CARTESIAN_POINT('Ctrl Pts',(3.01915738418834,0.00111476777149313, 17.5104862092014)); #525539=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 12.4876158051006)); #525540=CARTESIAN_POINT('',(3.02104192067698,0.00239390982333918,15.8626158051006)); #525541=CARTESIAN_POINT('',(3.02875979576745,0.00012629491215721,16.0104862092014)); #525542=CARTESIAN_POINT('',(3.023749809265,1.99075015959625E-10,12.4876158051006)); #525543=CARTESIAN_POINT('Ctrl Pts',(3.02104192067698,0.00239390982333901, 15.8626158051006)); #525544=CARTESIAN_POINT('Ctrl Pts',(3.02349131528772,0.334292783767024, 15.8756918134085)); #525545=CARTESIAN_POINT('Ctrl Pts',(2.81985116815183,1.67248544251706,15.9285284653021)); #525546=CARTESIAN_POINT('Ctrl Pts',(0.859982567535311,3.47107447314255, 16.0211257607815)); #525547=CARTESIAN_POINT('Ctrl Pts',(-2.45432236204614,2.60081363224597, 16.1404076915386)); #525548=CARTESIAN_POINT('Ctrl Pts',(-3.51505042616889,-0.657533747759548, 16.2596896222959)); #525549=CARTESIAN_POINT('Ctrl Pts',(-1.34823318942332,-3.31212869303924, 16.3789715530531)); #525550=CARTESIAN_POINT('Ctrl Pts',(2.00973329811733,-2.930817723231,16.4966136139881)); #525551=CARTESIAN_POINT('Ctrl Pts',(3.02527248914663,-0.960667957643083, 16.5744950313372)); #525552=CARTESIAN_POINT('Ctrl Pts',(3.02853142945889,0.00076639244100664, 16.6126158051006)); #525553=CARTESIAN_POINT('Ctrl Pts',(3.02875979576745,0.000126294912157171, 16.0104862092014)); #525554=CARTESIAN_POINT('Ctrl Pts',(3.0287638713042,0.00683752153558703, 16.01075236246)); #525555=CARTESIAN_POINT('Ctrl Pts',(3.02570028386496,1.01613527253253,16.0507791593043)); #525556=CARTESIAN_POINT('Ctrl Pts',(1.91409404760184,3.02062457818107,16.1305665997344)); #525557=CARTESIAN_POINT('Ctrl Pts',(-1.50528877199445,3.2437687913734,16.2498485304916)); #525558=CARTESIAN_POINT('Ctrl Pts',(-3.54250440299437,0.488460336836762, 16.3691304612488)); #525559=CARTESIAN_POINT('Ctrl Pts',(-2.32696631942361,-2.71535602031285, 16.4884123920061)); #525560=CARTESIAN_POINT('Ctrl Pts',(1.02520943529142,-3.42591228509932, 16.6076943227633)); #525561=CARTESIAN_POINT('Ctrl Pts',(2.85818096250691,-1.57444896065987, 16.6983855951618)); #525562=CARTESIAN_POINT('Ctrl Pts',(3.02477021606513,-0.285920565574129, 16.7493162239745)); #525563=CARTESIAN_POINT('Ctrl Pts',(3.02233146249177,-0.00270647713703213, 16.7604862092014)); #525564=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 12.4876158051006)); #525565=CARTESIAN_POINT('',(3.01986093384298,-0.00180024280786706,15.1126158051006)); #525566=CARTESIAN_POINT('',(3.02195260188661,0.00264135251424213,15.2604862092014)); #525567=CARTESIAN_POINT('',(3.023749809265,1.99075015959625E-10,12.4876158051006)); #525568=CARTESIAN_POINT('Ctrl Pts',(3.01986093384298,-0.001800242807867, 15.1126158051006)); #525569=CARTESIAN_POINT('Ctrl Pts',(3.01649845549417,0.620985970625849, 15.137127951894)); #525570=CARTESIAN_POINT('Ctrl Pts',(2.52515202809451,2.25885038185616,15.2014007422731)); #525571=CARTESIAN_POINT('Ctrl Pts',(-0.159278116270508,3.5724694722262, 15.3054341762379)); #525572=CARTESIAN_POINT('Ctrl Pts',(-3.09092065450713,1.7983722406879,15.4247161069952)); #525573=CARTESIAN_POINT('Ctrl Pts',(-3.18445831086989,-1.62700922364113, 15.5439980377525)); #525574=CARTESIAN_POINT('Ctrl Pts',(-0.354002148741127,-3.55845589933124, 15.6632799685097)); #525575=CARTESIAN_POINT('Ctrl Pts',(2.45558611215188,-2.3689540638824,15.7694858909591)); #525576=CARTESIAN_POINT('Ctrl Pts',(3.0160433614607,-0.67492291284979,15.8359311698227)); #525577=CARTESIAN_POINT('Ctrl Pts',(3.02104192067698,0.00239390982333901, 15.8626158051006)); #525578=CARTESIAN_POINT('Ctrl Pts',(3.02195260188662,0.00264135251424182, 15.2604862092014)); #525579=CARTESIAN_POINT('Ctrl Pts',(3.02442291921485,0.299446361871114, 15.2721885009455)); #525580=CARTESIAN_POINT('Ctrl Pts',(2.84776549928966,1.60182294007854,15.3236514362753)); #525581=CARTESIAN_POINT('Ctrl Pts',(0.979285904928633,3.43932048797883, 15.4148750151909)); #525582=CARTESIAN_POINT('Ctrl Pts',(-2.3630838060197,2.68398325760225,15.5341569459481)); #525583=CARTESIAN_POINT('Ctrl Pts',(-3.53565285610833,-0.535808079055788, 15.6534388767053)); #525584=CARTESIAN_POINT('Ctrl Pts',(-1.46175915259166,-3.2636160884881, 15.7727208074626)); #525585=CARTESIAN_POINT('Ctrl Pts',(1.94671012053896,-2.99534762149592, 15.8917365849613)); #525586=CARTESIAN_POINT('Ctrl Pts',(3.02815502674155,-0.995752832757384, 15.9709917188742)); #525587=CARTESIAN_POINT('Ctrl Pts',(3.02875979576745,0.000126294912157171, 16.0104862092014)); #525588=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 12.4876158051006)); #525589=CARTESIAN_POINT('',(3.02757717608853,-0.0018553911440936,14.3626158051006)); #525590=CARTESIAN_POINT('',(3.01931036916349,-0.00133655338743016,14.5104862092014)); #525591=CARTESIAN_POINT('',(3.023749809265,1.99075015959625E-10,12.4876158051006)); #525592=CARTESIAN_POINT('Ctrl Pts',(3.02757717608853,-0.00185539114409359, 14.3626158051006)); #525593=CARTESIAN_POINT('Ctrl Pts',(3.02154822416238,0.9054513732703,14.3985640903795)); #525594=CARTESIAN_POINT('Ctrl Pts',(2.10521923484674,2.82748473653963,14.4742730192441)); #525595=CARTESIAN_POINT('Ctrl Pts',(-1.16550790433069,3.38060968572907, 14.5897425916945)); #525596=CARTESIAN_POINT('Ctrl Pts',(-3.47390856344484,0.848465142261291, 14.7090245224517)); #525597=CARTESIAN_POINT('Ctrl Pts',(-2.59261038202073,-2.46303952887323, 14.828306453209)); #525598=CARTESIAN_POINT('Ctrl Pts',(0.669277649866304,-3.51281208055943, 14.9475883839662)); #525599=CARTESIAN_POINT('Ctrl Pts',(2.77259068616694,-1.78426083050036, 15.0423581679301)); #525600=CARTESIAN_POINT('Ctrl Pts',(3.02195266170136,-0.389222595404519, 15.0973673083082)); #525601=CARTESIAN_POINT('Ctrl Pts',(3.01986093384298,-0.001800242807867, 15.1126158051006)); #525602=CARTESIAN_POINT('Ctrl Pts',(3.01931036916349,-0.0013365533874302, 14.5104862092014)); #525603=CARTESIAN_POINT('Ctrl Pts',(3.01701209928853,0.586819190421727, 14.533624639431)); #525604=CARTESIAN_POINT('Ctrl Pts',(2.56680490903003,2.1889262043457,14.5965237132463)); #525605=CARTESIAN_POINT('Ctrl Pts',(-0.0358754609854743,3.57582096398381, 14.6991834306474)); #525606=CARTESIAN_POINT('Ctrl Pts',(-3.02699759893537,1.90400333416712, 14.8184653614046)); #525607=CARTESIAN_POINT('Ctrl Pts',(-3.23872462272288,-1.51612195268313, 14.9377472921619)); #525608=CARTESIAN_POINT('Ctrl Pts',(-0.476622302407161,-3.54411341110727, 15.0570292229191)); #525609=CARTESIAN_POINT('Ctrl Pts',(2.4091998395351,-2.43818422205354,15.1646088619323)); #525610=CARTESIAN_POINT('Ctrl Pts',(3.01602957122972,-0.709002106992609, 15.2324278573597)); #525611=CARTESIAN_POINT('Ctrl Pts',(3.02195260188662,0.00264135251424182, 15.2604862092014)); #525612=CARTESIAN_POINT('Origin',(-4.44428170931488E-7,4.80010000606,1.224050998027)); #525613=CARTESIAN_POINT('',(-1.600000192668,4.80010000606,1.124050997906)); #525614=CARTESIAN_POINT('',(-4.45000048946549,4.80000000609964,1.12405099790598)); #525615=CARTESIAN_POINT('',(-0.800000318548086,4.80010000606,1.124050997906)); #525616=CARTESIAN_POINT('',(-4.45000048946543,4.80000000607335,1.324050998148)); #525617=CARTESIAN_POINT('',(-4.45000048946543,4.80000000606,1.3990509982385)); #525618=CARTESIAN_POINT('',(-1.600000192668,4.80000000606,1.324050998148)); #525619=CARTESIAN_POINT('',(0.374999600083595,4.80000000606,1.324050998148)); #525620=CARTESIAN_POINT('',(-1.600000192668,4.80010000606,1.2740509980875)); #525621=CARTESIAN_POINT('Origin',(-4.44428170931488E-7,4.80010000606,1.224050998027)); #525622=CARTESIAN_POINT('',(4.45000006701829,4.80000000606987,1.324050998148)); #525623=CARTESIAN_POINT('',(1.599999811199,4.80000000606,1.324050998148)); #525624=CARTESIAN_POINT('',(3.399999813374,4.80000000606,1.324050998148)); #525625=CARTESIAN_POINT('',(4.45000006701731,4.80000000634984,1.12405099790602)); #525626=CARTESIAN_POINT('',(4.4500000670183,4.80000000606,1.39905099823851)); #525627=CARTESIAN_POINT('',(1.599999811199,4.80010000606,1.124050997906)); #525628=CARTESIAN_POINT('',(2.22499964104865,4.80010000606,1.124050997906)); #525629=CARTESIAN_POINT('',(1.599999811199,4.80010000606,1.1740509979665)); #525630=CARTESIAN_POINT('Origin',(-4.75010061538184,3.52500000467047,1.22405099802707)); #525631=CARTESIAN_POINT('',(-4.75005067834004,4.50000000605998,1.32405099814807)); #525632=CARTESIAN_POINT('',(-4.75010061538184,4.50000000605997,1.12405099790607)); #525633=CARTESIAN_POINT('',(-4.75010061538184,4.50000000605997,1.27405099808757)); #525634=CARTESIAN_POINT('',(-4.75010061538184,2.55000000328097,1.12405099790607)); #525635=CARTESIAN_POINT('',(-4.75010061538184,4.01250000536522,1.12405099790607)); #525636=CARTESIAN_POINT('',(-4.75000061538183,2.55000000328101,1.32405099814811)); #525637=CARTESIAN_POINT('',(-4.75010061538184,2.55000000328097,1.17405099796657)); #525638=CARTESIAN_POINT('',(-4.75000061538183,-0.0499999998610168,1.32405099814807)); #525639=CARTESIAN_POINT('Origin',(-4.75010061538181,-3.52500000427253,1.22405099802707)); #525640=CARTESIAN_POINT('',(-4.75010061538182,-2.55000000288303,1.12405099790607)); #525641=CARTESIAN_POINT('',(-4.75000061538181,-4.500000005662,1.12405099790598)); #525642=CARTESIAN_POINT('',(-4.75010061538182,-3.03750000357778,1.12405099790607)); #525643=CARTESIAN_POINT('',(-4.75000061538181,-4.500000005662,1.324050998148)); #525644=CARTESIAN_POINT('',(-4.75000061538181,-4.500000005662,1.3990509982385)); #525645=CARTESIAN_POINT('',(-4.75000061538182,-2.55000000288299,1.32405099814811)); #525646=CARTESIAN_POINT('',(-4.75000061538181,-3.57500000433252,1.32405099814807)); #525647=CARTESIAN_POINT('',(-4.75010061538182,-2.55000000288303,1.27405099808757)); #525648=CARTESIAN_POINT('Origin',(4.75009972652544,1.99000815825912E-10, 1.224050998027)); #525649=CARTESIAN_POINT('',(4.75009972652544,2.550000003281,1.124050997906)); #525650=CARTESIAN_POINT('',(4.75000006701829,4.50000000606,1.12405099790602)); #525651=CARTESIAN_POINT('',(4.75009972652544,2.550000003281,1.124050997906)); #525652=CARTESIAN_POINT('',(4.75000006701829,4.50000000606,1.324050998148)); #525653=CARTESIAN_POINT('',(4.7500000670183,4.50000000606,1.3990509982385)); #525654=CARTESIAN_POINT('',(4.74999972652544,2.55000000328099,1.324050998148)); #525655=CARTESIAN_POINT('',(4.74999972652545,0.9750000015885,1.324050998148)); #525656=CARTESIAN_POINT('',(4.75009972652544,2.550000003281,1.324050998148)); #525657=CARTESIAN_POINT('Origin',(4.75009972652547,1.99000815825912E-10, 1.224050998027)); #525658=CARTESIAN_POINT('',(4.75004972652547,-4.500000005662,1.324050998148)); #525659=CARTESIAN_POINT('',(4.75009972652547,-4.500000005662,1.124050997906)); #525660=CARTESIAN_POINT('',(4.75009972652547,-4.500000005662,1.324050998148)); #525661=CARTESIAN_POINT('',(4.75009972652546,-2.550000002883,1.124050997906)); #525662=CARTESIAN_POINT('',(4.75009972652547,-4.500000005662,1.124050997906)); #525663=CARTESIAN_POINT('',(4.74999972652546,-2.55000000288301,1.324050998148)); #525664=CARTESIAN_POINT('',(4.75009972652546,-2.550000002883,1.124050997906)); #525665=CARTESIAN_POINT('',(4.74999972652546,-2.550000002883,1.324050998148)); #525666=CARTESIAN_POINT('Origin',(1.92624008029336,-1.86267068874964,28.5149676238849)); #525667=CARTESIAN_POINT('',(1.92624008029336,-1.86267068874964,28.5149676238849)); #525668=CARTESIAN_POINT('',(1.92624008029336,-1.86267068874963,20.374051007151)); #525669=CARTESIAN_POINT('',(1.92624008029336,-1.86267068874964,28.874051007151)); #525670=CARTESIAN_POINT('',(2.00124008029336,-1.73276687818197,20.374051007151)); #525671=CARTESIAN_POINT('',(1.92624008029336,-1.86267068874964,20.374051007151)); #525672=CARTESIAN_POINT('',(2.00124008029336,-1.73276687818197,28.5149676238849)); #525673=CARTESIAN_POINT('',(2.00124008029336,-1.73276687818197,28.874051007151)); #525674=CARTESIAN_POINT('',(1.92624008029336,-1.86267068874964,28.5149676238849)); #525675=CARTESIAN_POINT('Ctrl Pts',(1.76560378897995,-1.76992728271821, 28.874051007151)); #525676=CARTESIAN_POINT('Ctrl Pts',(1.84060378897995,-1.64002347215055, 28.874051007151)); #525677=CARTESIAN_POINT('Ctrl Pts',(1.81925121761711,-1.80090064008321, 28.7544184441533)); #525678=CARTESIAN_POINT('Ctrl Pts',(1.89425121761711,-1.67099682951555, 28.7544184441533)); #525679=CARTESIAN_POINT('Ctrl Pts',(1.87278956094194,-1.83181101701377, 28.6347194078531)); #525680=CARTESIAN_POINT('Ctrl Pts',(1.94778956094194,-1.70190720644611, 28.6347194078531)); #525681=CARTESIAN_POINT('Ctrl Pts',(1.92624008029336,-1.86267068874964, 28.5149676238849)); #525682=CARTESIAN_POINT('Ctrl Pts',(2.00124008029336,-1.73276687818197, 28.5149676238849)); #525683=CARTESIAN_POINT('',(1.76560378897995,-1.76992728271821,28.874051007151)); #525684=CARTESIAN_POINT('Ctrl Pts',(1.76560378897995,-1.76992728271821, 28.874051007151)); #525685=CARTESIAN_POINT('Ctrl Pts',(1.81925121761711,-1.80090064008321, 28.7544184441533)); #525686=CARTESIAN_POINT('Ctrl Pts',(1.87278956094194,-1.83181101701377, 28.6347194078531)); #525687=CARTESIAN_POINT('Ctrl Pts',(1.92624008029336,-1.86267068874964, 28.5149676238849)); #525688=CARTESIAN_POINT('',(1.84060378897995,-1.64002347215055,28.874051007151)); #525689=CARTESIAN_POINT('Ctrl Pts',(1.84060378897995,-1.64002347215055, 28.874051007151)); #525690=CARTESIAN_POINT('Ctrl Pts',(1.89425121761711,-1.67099682951555, 28.7544184441533)); #525691=CARTESIAN_POINT('Ctrl Pts',(1.94778956094195,-1.70190720644611, 28.6347194078531)); #525692=CARTESIAN_POINT('Ctrl Pts',(2.00124008029336,-1.73276687818197, 28.5149676238849)); #525693=CARTESIAN_POINT('',(1.76560378897995,-1.76992728271821,28.874051007151)); #525694=CARTESIAN_POINT('Ctrl Pts',(-2.57624046176341,0.736837664227892, 28.5149676238849)); #525695=CARTESIAN_POINT('Ctrl Pts',(-2.50124046176341,0.866741474795558, 28.5149676238849)); #525696=CARTESIAN_POINT('Ctrl Pts',(-2.52278932187929,0.705977634227306, 28.6347207981089)); #525697=CARTESIAN_POINT('Ctrl Pts',(-2.44778932187929,0.835881444794972, 28.6347207981089)); #525698=CARTESIAN_POINT('Ctrl Pts',(-2.46925080609658,0.675067157728143, 28.7544202125044)); #525699=CARTESIAN_POINT('Ctrl Pts',(-2.39425080609658,0.804970968295809, 28.7544202125044)); #525700=CARTESIAN_POINT('Ctrl Pts',(-2.41560417044995,0.644094258196443, 28.874051007151)); #525701=CARTESIAN_POINT('Ctrl Pts',(-2.34060417044995,0.773998068764108, 28.874051007151)); #525702=CARTESIAN_POINT('',(-2.57624046176341,0.736837664227892,28.5149676238849)); #525703=CARTESIAN_POINT('',(-2.41560417044995,0.644094258196443,28.874051007151)); #525704=CARTESIAN_POINT('Ctrl Pts',(-2.57624046176341,0.736837664227892, 28.5149676238849)); #525705=CARTESIAN_POINT('Ctrl Pts',(-2.52278932187929,0.705977634227306, 28.6347207981089)); #525706=CARTESIAN_POINT('Ctrl Pts',(-2.46925080609658,0.675067157728143, 28.7544202125044)); #525707=CARTESIAN_POINT('Ctrl Pts',(-2.41560417044995,0.644094258196443, 28.874051007151)); #525708=CARTESIAN_POINT('',(-2.34060417044995,0.773998068764108,28.874051007151)); #525709=CARTESIAN_POINT('',(-2.41560417044995,0.644094258196443,28.874051007151)); #525710=CARTESIAN_POINT('',(-2.50124046176341,0.866741474795558,28.5149676238849)); #525711=CARTESIAN_POINT('Ctrl Pts',(-2.50124046176341,0.866741474795557, 28.5149676238849)); #525712=CARTESIAN_POINT('Ctrl Pts',(-2.44778932187929,0.835881444794971, 28.6347207981089)); #525713=CARTESIAN_POINT('Ctrl Pts',(-2.39425080609658,0.804970968295808, 28.7544202125044)); #525714=CARTESIAN_POINT('Ctrl Pts',(-2.34060417044995,0.773998068764107, 28.874051007151)); #525715=CARTESIAN_POINT('',(-2.57624046176341,0.736837664227892,28.5149676238849)); #525716=CARTESIAN_POINT('Origin',(-2.57624046176339,0.73683766422788,20.374051007151)); #525717=CARTESIAN_POINT('',(-2.57624046176339,0.736837664227881,20.374051007151)); #525718=CARTESIAN_POINT('',(-2.57624046176339,0.73683766422788,28.874051007151)); #525719=CARTESIAN_POINT('',(-2.50124046176339,0.866741474795547,20.374051007151)); #525720=CARTESIAN_POINT('',(-2.50124046176339,0.866741474795544,28.874051007151)); #525721=CARTESIAN_POINT('',(-2.57624046176339,0.73683766422788,20.374051007151)); #525722=CARTESIAN_POINT('Origin',(-7.32542773965386,3.65198729830678,28.874051007151)); #525723=CARTESIAN_POINT('',(-7.32542773965386,3.65198729830678,20.374051007151)); #525724=CARTESIAN_POINT('',(-7.32542773965386,3.65198729830678,28.874051007151)); #525725=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #525726=CARTESIAN_POINT('',(1.94459602586772,-2.28441361260723,20.374051007151)); #525727=CARTESIAN_POINT('',(1.79489676448307,1.15504985387193,20.374051007151)); #525728=CARTESIAN_POINT('',(2.59807602061832,-1.499999999801,20.374051007151)); #525729=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #525730=CARTESIAN_POINT('',(2.95065813900754,-0.541862917078966,20.374051007151)); #525731=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #525732=CARTESIAN_POINT('',(2.57624008029337,-0.736837663829872,20.374051007151)); #525733=CARTESIAN_POINT('',(-0.589125236220423,-2.38517242385953,20.374051007151)); #525734=CARTESIAN_POINT('',(2.50124008029337,-0.866741474397538,20.374051007151)); #525735=CARTESIAN_POINT('',(2.25124008029338,-1.29975417628976,20.374051007151)); #525736=CARTESIAN_POINT('',(-2.00124046176335,1.73276687857996,20.374051007151)); #525737=CARTESIAN_POINT('',(-6.82542773965386,4.51801270209122,20.374051007151)); #525738=CARTESIAN_POINT('',(-1.92624046176335,1.86267068914763,20.374051007151)); #525739=CARTESIAN_POINT('',(-2.25124046176335,1.29975417668774,20.374051007151)); #525740=CARTESIAN_POINT('',(-1.9445964073377,2.28441361300524,20.374051007151)); #525741=CARTESIAN_POINT('',(-1.96189493723401,2.6818615432879,20.374051007151)); #525742=CARTESIAN_POINT('',(-2.95065852047753,0.541862917476987,20.374051007151)); #525743=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #525744=CARTESIAN_POINT('',(-3.78977879690826,0.104898781846103,20.374051007151)); #525745=CARTESIAN_POINT('Origin',(-1.92624046176335,1.86267068914763,28.5149676238849)); #525746=CARTESIAN_POINT('',(-1.92624046176335,1.86267068914763,28.5149676238849)); #525747=CARTESIAN_POINT('',(-1.92624046176335,1.86267068914763,28.874051007151)); #525748=CARTESIAN_POINT('',(-2.00124046176335,1.73276687857996,28.5149676238849)); #525749=CARTESIAN_POINT('',(-2.00124046176335,1.73276687857996,28.874051007151)); #525750=CARTESIAN_POINT('',(-1.92624046176335,1.86267068914763,28.5149676238849)); #525751=CARTESIAN_POINT('Ctrl Pts',(-1.76560417044995,1.76992728311621, 28.874051007151)); #525752=CARTESIAN_POINT('Ctrl Pts',(-1.84060417044995,1.64002347254855, 28.874051007151)); #525753=CARTESIAN_POINT('Ctrl Pts',(-1.81925159908711,1.80090064048121, 28.7544184441533)); #525754=CARTESIAN_POINT('Ctrl Pts',(-1.89425159908711,1.67099682991354, 28.7544184441533)); #525755=CARTESIAN_POINT('Ctrl Pts',(-1.87278994241194,1.83181101741176, 28.6347194078531)); #525756=CARTESIAN_POINT('Ctrl Pts',(-1.94778994241194,1.7019072068441,28.6347194078531)); #525757=CARTESIAN_POINT('Ctrl Pts',(-1.92624046176335,1.86267068914763, 28.5149676238849)); #525758=CARTESIAN_POINT('Ctrl Pts',(-2.00124046176335,1.73276687857996, 28.5149676238849)); #525759=CARTESIAN_POINT('',(-1.76560417044995,1.76992728311621,28.874051007151)); #525760=CARTESIAN_POINT('Ctrl Pts',(-1.76560417044995,1.76992728311621, 28.874051007151)); #525761=CARTESIAN_POINT('Ctrl Pts',(-1.81925159908711,1.80090064048121, 28.7544184441533)); #525762=CARTESIAN_POINT('Ctrl Pts',(-1.87278994241194,1.83181101741176, 28.6347194078531)); #525763=CARTESIAN_POINT('Ctrl Pts',(-1.92624046176335,1.86267068914763, 28.5149676238849)); #525764=CARTESIAN_POINT('',(-1.84060417044995,1.64002347254855,28.874051007151)); #525765=CARTESIAN_POINT('Ctrl Pts',(-1.84060417044995,1.64002347254855, 28.874051007151)); #525766=CARTESIAN_POINT('Ctrl Pts',(-1.89425159908711,1.67099682991354, 28.7544184441533)); #525767=CARTESIAN_POINT('Ctrl Pts',(-1.94778994241194,1.7019072068441,28.6347194078531)); #525768=CARTESIAN_POINT('Ctrl Pts',(-2.00124046176335,1.73276687857996, 28.5149676238849)); #525769=CARTESIAN_POINT('',(-1.76560417044995,1.76992728311621,28.874051007151)); #525770=CARTESIAN_POINT('Ctrl Pts',(2.5762400802934,-0.736837663829886, 28.5149676238849)); #525771=CARTESIAN_POINT('Ctrl Pts',(2.5012400802934,-0.866741474397552, 28.5149676238849)); #525772=CARTESIAN_POINT('Ctrl Pts',(2.52278894040928,-0.705977633829302, 28.6347207981089)); #525773=CARTESIAN_POINT('Ctrl Pts',(2.44778894040929,-0.835881444396968, 28.6347207981089)); #525774=CARTESIAN_POINT('Ctrl Pts',(2.46925042462657,-0.675067157330142, 28.7544202125044)); #525775=CARTESIAN_POINT('Ctrl Pts',(2.39425042462657,-0.804970967897808, 28.7544202125044)); #525776=CARTESIAN_POINT('Ctrl Pts',(2.41560378897995,-0.644094257798444, 28.874051007151)); #525777=CARTESIAN_POINT('Ctrl Pts',(2.34060378897995,-0.77399806836611, 28.874051007151)); #525778=CARTESIAN_POINT('',(2.5762400802934,-0.736837663829886,28.5149676238849)); #525779=CARTESIAN_POINT('',(2.41560378897995,-0.644094257798444,28.874051007151)); #525780=CARTESIAN_POINT('Ctrl Pts',(2.5762400802934,-0.736837663829886, 28.5149676238849)); #525781=CARTESIAN_POINT('Ctrl Pts',(2.52278894040928,-0.705977633829302, 28.6347207981089)); #525782=CARTESIAN_POINT('Ctrl Pts',(2.46925042462657,-0.675067157330142, 28.7544202125044)); #525783=CARTESIAN_POINT('Ctrl Pts',(2.41560378897995,-0.644094257798444, 28.874051007151)); #525784=CARTESIAN_POINT('',(2.34060378897995,-0.77399806836611,28.874051007151)); #525785=CARTESIAN_POINT('',(2.41560378897995,-0.644094257798444,28.874051007151)); #525786=CARTESIAN_POINT('',(2.5012400802934,-0.866741474397552,28.5149676238849)); #525787=CARTESIAN_POINT('Ctrl Pts',(2.5012400802934,-0.866741474397553, 28.5149676238849)); #525788=CARTESIAN_POINT('Ctrl Pts',(2.44778894040928,-0.835881444396969, 28.6347207981089)); #525789=CARTESIAN_POINT('Ctrl Pts',(2.39425042462657,-0.804970967897808, 28.7544202125044)); #525790=CARTESIAN_POINT('Ctrl Pts',(2.34060378897995,-0.773998068366111, 28.874051007151)); #525791=CARTESIAN_POINT('',(2.5762400802934,-0.736837663829886,28.5149676238849)); #525792=CARTESIAN_POINT('Origin',(2.57624008029337,-0.736837663829872,20.374051007151)); #525793=CARTESIAN_POINT('',(2.57624008029337,-0.736837663829873,28.874051007151)); #525794=CARTESIAN_POINT('',(2.50124008029337,-0.866741474397539,28.874051007151)); #525795=CARTESIAN_POINT('Origin',(-6.82542773965386,4.51801270209122,28.874051007151)); #525796=CARTESIAN_POINT('',(-6.82542773965386,4.51801270209122,28.874051007151)); #525797=CARTESIAN_POINT('Origin',(-0.43412063490234,-2.46201938233151,15.751915342762)); #525798=CARTESIAN_POINT('',(-0.43412063490234,-2.46201938233151,20.374051007151)); #525799=CARTESIAN_POINT('',(-1.00606230387483,-2.82627652988517,20.374051007151)); #525800=CARTESIAN_POINT('',(-1.55646407602668,-3.17681538796959,20.374051007151)); #525801=CARTESIAN_POINT('',(-0.43412063490234,-2.46201938233151,28.874051007151)); #525802=CARTESIAN_POINT('',(-0.43412063490234,-2.46201938233151,15.751915342762)); #525803=CARTESIAN_POINT('',(-1.00606230387483,-2.82627652988517,27.874051007151)); #525804=CARTESIAN_POINT('Ctrl Pts',(-0.434120634902071,-2.46201938233134, 28.8740510071514)); #525805=CARTESIAN_POINT('Ctrl Pts',(-0.694094120798766,-2.62759081303762, 28.4576511057424)); #525806=CARTESIAN_POINT('Ctrl Pts',(-1.00606230387483,-2.82627652988517, 27.874051007151)); #525807=CARTESIAN_POINT('',(-1.00606230387483,-2.82627652988517,15.751915342762)); #525808=CARTESIAN_POINT('Origin',(-0.43412063490234,-2.46201938233151,15.751915342762)); #525809=CARTESIAN_POINT('',(-0.0212545508626227,-2.99992470755211,20.374051007151)); #525810=CARTESIAN_POINT('',(-3.17055966245198,1.10316841575079,20.374051007151)); #525811=CARTESIAN_POINT('',(-0.0212545508626227,-2.99992470755211,27.874051007151)); #525812=CARTESIAN_POINT('',(-0.0212545508626227,-2.99992470755211,15.751915342762)); #525813=CARTESIAN_POINT('Ctrl Pts',(-0.0212545508626208,-2.99992470755211, 27.874051007151)); #525814=CARTESIAN_POINT('Ctrl Pts',(-0.246454233066228,-2.70652180288617, 28.4576511057424)); #525815=CARTESIAN_POINT('Ctrl Pts',(-0.434120634902549,-2.46201938233124, 28.8740510071515)); #525816=CARTESIAN_POINT('Origin',(0.434120253432312,-2.46201938233152,15.751915342762)); #525817=CARTESIAN_POINT('',(0.434120253432312,-2.46201938233152,20.374051007151)); #525818=CARTESIAN_POINT('',(0.0212541693925872,-2.99992470755211,20.374051007151)); #525819=CARTESIAN_POINT('',(0.427054330068471,-2.47122526760992,20.374051007151)); #525820=CARTESIAN_POINT('',(0.434120253432312,-2.46201938233152,28.874051007151)); #525821=CARTESIAN_POINT('',(0.434120253432312,-2.46201938233152,15.751915342762)); #525822=CARTESIAN_POINT('',(0.0212541693925886,-2.99992470755211,27.874051007151)); #525823=CARTESIAN_POINT('Ctrl Pts',(0.434120253432504,-2.46201938233127, 28.8740510071514)); #525824=CARTESIAN_POINT('Ctrl Pts',(0.246453851596188,-2.70652180288618, 28.4576511057424)); #525825=CARTESIAN_POINT('Ctrl Pts',(0.0212541693925879,-2.99992470755211, 27.874051007151)); #525826=CARTESIAN_POINT('',(0.0212541693925869,-2.99992470755211,15.751915342762)); #525827=CARTESIAN_POINT('Origin',(0.434120253432312,-2.46201938233152,15.751915342762)); #525828=CARTESIAN_POINT('',(1.0060619224048,-2.82627652988518,20.374051007151)); #525829=CARTESIAN_POINT('',(-3.70844457374861,0.176289608541564,20.374051007151)); #525830=CARTESIAN_POINT('',(1.0060619224048,-2.82627652988518,27.874051007151)); #525831=CARTESIAN_POINT('',(1.0060619224048,-2.82627652988518,15.751915342762)); #525832=CARTESIAN_POINT('Ctrl Pts',(1.0060619224048,-2.82627652988518,27.874051007151)); #525833=CARTESIAN_POINT('Ctrl Pts',(0.694093739328748,-2.62759081303763, 28.4576511057424)); #525834=CARTESIAN_POINT('Ctrl Pts',(0.434120253432062,-2.46201938233136, 28.8740510071514)); #525835=CARTESIAN_POINT('Origin',(1.24999980926499,-2.1650635092621,15.751915342762)); #525836=CARTESIAN_POINT('',(1.24999980926499,-2.1650635092621,20.374051007151)); #525837=CARTESIAN_POINT('',(1.04600705314767,-2.81173769129091,20.374051007151)); #525838=CARTESIAN_POINT('',(1.67437934683947,-0.819744706995834,20.374051007151)); #525839=CARTESIAN_POINT('',(1.24999980926499,-2.1650635092621,28.874051007151)); #525840=CARTESIAN_POINT('',(1.24999980926499,-2.1650635092621,15.751915342762)); #525841=CARTESIAN_POINT('',(1.04600705314767,-2.8117376912909,27.874051007151)); #525842=CARTESIAN_POINT('Ctrl Pts',(1.24999980926508,-2.1650635092618,28.8740510071514)); #525843=CARTESIAN_POINT('Ctrl Pts',(1.15727582921172,-2.45900631927501, 28.4576511057424)); #525844=CARTESIAN_POINT('Ctrl Pts',(1.04600705314767,-2.8117376912909,27.874051007151)); #525845=CARTESIAN_POINT('',(1.04600705314767,-2.81173769129091,15.751915342762)); #525846=CARTESIAN_POINT('Origin',(1.24999980926499,-2.1650635092621,15.751915342762)); #525847=CARTESIAN_POINT('',(1.91203245693211,-2.31173769129091,20.374051007151)); #525848=CARTESIAN_POINT('',(-3.47336509236803,-1.11859595843677,20.374051007151)); #525849=CARTESIAN_POINT('',(1.91203245693211,-2.31173769129091,27.874051007151)); #525850=CARTESIAN_POINT('',(1.91203245693211,-2.31173769129091,15.751915342762)); #525851=CARTESIAN_POINT('Ctrl Pts',(1.9120324569321,-2.31173769129091,27.874051007151)); #525852=CARTESIAN_POINT('Ctrl Pts',(1.55092374002255,-2.23173359200242, 28.4576511057424)); #525853=CARTESIAN_POINT('Ctrl Pts',(1.24999980926463,-2.16506350926202, 28.8740510071515)); #525854=CARTESIAN_POINT('Origin',(1.91511091706243,-1.60696902401735,15.751915342762)); #525855=CARTESIAN_POINT('',(1.94459602586772,-2.28441361260723,27.874051007151)); #525856=CARTESIAN_POINT('Ctrl Pts',(1.92624008029336,-1.86267068874963, 28.5149676238849)); #525857=CARTESIAN_POINT('Ctrl Pts',(1.93490020185357,-2.06164409346862, 28.2258857969732)); #525858=CARTESIAN_POINT('Ctrl Pts',(1.94459602586772,-2.28441361260723, 27.874051007151)); #525859=CARTESIAN_POINT('',(1.94459602586772,-2.28441361260723,15.751915342762)); #525860=CARTESIAN_POINT('Origin',(-2.34923174269976,0.855050358513194,15.751915342762)); #525861=CARTESIAN_POINT('',(-2.95065852047753,0.541862917476987,27.874051007151)); #525862=CARTESIAN_POINT('',(-2.95065852047753,0.541862917476987,15.751915342762)); #525863=CARTESIAN_POINT('Ctrl Pts',(-2.95065852047753,0.541862917476988, 27.874051007151)); #525864=CARTESIAN_POINT('Ctrl Pts',(-2.75288654570759,0.644850847139407, 28.2258857969731)); #525865=CARTESIAN_POINT('Ctrl Pts',(-2.57624046176338,0.736837664227884, 28.5149676238848)); #525866=CARTESIAN_POINT('Origin',(-1.25000019073501,-2.16506350926208,15.751915342762)); #525867=CARTESIAN_POINT('',(-1.25000019073501,-2.16506350926208,20.374051007151)); #525868=CARTESIAN_POINT('',(-1.91203283840214,-2.31173769129089,20.374051007151)); #525869=CARTESIAN_POINT('',(-3.58080893148628,-2.68145717461976,20.374051007151)); #525870=CARTESIAN_POINT('',(-1.25000019073501,-2.16506350926208,28.874051007151)); #525871=CARTESIAN_POINT('',(-1.25000019073501,-2.16506350926208,15.751915342762)); #525872=CARTESIAN_POINT('',(-1.91203283840214,-2.31173769129089,27.874051007151)); #525873=CARTESIAN_POINT('Ctrl Pts',(-1.2500001907347,-2.16506350926202, 28.8740510071514)); #525874=CARTESIAN_POINT('Ctrl Pts',(-1.55092412149262,-2.23173359200241, 28.4576511057424)); #525875=CARTESIAN_POINT('Ctrl Pts',(-1.91203283840214,-2.31173769129089, 27.874051007151)); #525876=CARTESIAN_POINT('',(-1.91203283840214,-2.31173769129089,15.751915342762)); #525877=CARTESIAN_POINT('Origin',(-1.25000019073501,-2.16506350926208,15.751915342762)); #525878=CARTESIAN_POINT('',(-1.0460074346177,-2.81173769129089,20.374051007151)); #525879=CARTESIAN_POINT('',(-2.34413605300257,1.30343913380909,20.374051007151)); #525880=CARTESIAN_POINT('',(-1.0460074346177,-2.81173769129089,27.874051007151)); #525881=CARTESIAN_POINT('',(-1.0460074346177,-2.81173769129089,15.751915342762)); #525882=CARTESIAN_POINT('Ctrl Pts',(-1.04600743461771,-2.81173769129088, 27.874051007151)); #525883=CARTESIAN_POINT('Ctrl Pts',(-1.15727621068175,-2.45900631927497, 28.4576511057424)); #525884=CARTESIAN_POINT('Ctrl Pts',(-1.25000019073512,-2.16506350926175, 28.8740510071515)); #525885=CARTESIAN_POINT('Origin',(-1.91511129853245,-1.60696902401733,15.751915342762)); #525886=CARTESIAN_POINT('',(-1.91511129853245,-1.60696902401733,20.374051007151)); #525887=CARTESIAN_POINT('',(-2.58738401702428,-1.51836916948822,20.374051007151)); #525888=CARTESIAN_POINT('',(-4.94315820060534,-1.20789807014486,20.374051007151)); #525889=CARTESIAN_POINT('',(-1.91511129853245,-1.60696902401733,28.874051007151)); #525890=CARTESIAN_POINT('',(-1.91511129853245,-1.60696902401733,15.751915342762)); #525891=CARTESIAN_POINT('',(-2.58738401702428,-1.51836916948822,27.874051007151)); #525892=CARTESIAN_POINT('Ctrl Pts',(-1.91511129853214,-1.60696902401737, 28.8740510071514)); #525893=CARTESIAN_POINT('Ctrl Pts',(-2.22068980693764,-1.56669636286776, 28.4576511057424)); #525894=CARTESIAN_POINT('Ctrl Pts',(-2.58738401702428,-1.51836916948822, 27.874051007151)); #525895=CARTESIAN_POINT('',(-2.58738401702428,-1.51836916948822,15.751915342762)); #525896=CARTESIAN_POINT('Origin',(-1.91511129853245,-1.60696902401733,15.751915342762)); #525897=CARTESIAN_POINT('',(-1.94459640733774,-2.28441361260721,20.374051007151)); #525898=CARTESIAN_POINT('',(-1.80888956495441,0.833562536448478,20.374051007151)); #525899=CARTESIAN_POINT('',(-1.94459640733774,-2.28441361260721,27.874051007151)); #525900=CARTESIAN_POINT('',(-1.94459640733774,-2.28441361260721,15.751915342762)); #525901=CARTESIAN_POINT('Ctrl Pts',(-1.94459640733774,-2.2844136126072, 27.874051007151)); #525902=CARTESIAN_POINT('Ctrl Pts',(-1.92851362071667,-1.91489838246707, 28.4576511057424)); #525903=CARTESIAN_POINT('Ctrl Pts',(-1.91511129853244,-1.606969024017,28.8740510071515)); #525904=CARTESIAN_POINT('Origin',(-2.34923174269977,-0.85505035811515,15.751915342762)); #525905=CARTESIAN_POINT('',(-2.34923174269977,-0.85505035811515,20.374051007151)); #525906=CARTESIAN_POINT('',(-2.95065852047754,-0.541862917078931,20.374051007151)); #525907=CARTESIAN_POINT('',(-5.23261578132128,0.646445255228196,20.374051007151)); #525908=CARTESIAN_POINT('',(-2.34923174269977,-0.85505035811515,28.874051007151)); #525909=CARTESIAN_POINT('',(-2.34923174269977,-0.85505035811515,15.751915342762)); #525910=CARTESIAN_POINT('',(-2.95065852047754,-0.541862917078932,27.874051007151)); #525911=CARTESIAN_POINT('Ctrl Pts',(-2.34923174269949,-0.855050358115296, 28.8740510071514)); #525912=CARTESIAN_POINT('Ctrl Pts',(-2.62260755078041,-0.712692430371501, 28.4576511057424)); #525913=CARTESIAN_POINT('Ctrl Pts',(-2.95065852047754,-0.541862917078933, 27.874051007151)); #525914=CARTESIAN_POINT('',(-2.95065852047754,-0.541862917078931,15.751915342762)); #525915=CARTESIAN_POINT('Origin',(-2.34923174269977,-0.85505035811515,15.751915342762)); #525916=CARTESIAN_POINT('',(-2.60863837715188,-1.48155553786484,20.374051007151)); #525917=CARTESIAN_POINT('',(-1.94528924220284,0.12053019870786,20.374051007151)); #525918=CARTESIAN_POINT('',(-2.60863837715188,-1.48155553786484,27.874051007151)); #525919=CARTESIAN_POINT('',(-2.60863837715188,-1.48155553786484,15.751915342762)); #525920=CARTESIAN_POINT('Ctrl Pts',(-2.60863837715188,-1.48155553786484, 27.874051007151)); #525921=CARTESIAN_POINT('Ctrl Pts',(-2.46714384926884,-1.13982543981938, 28.4576511057424)); #525922=CARTESIAN_POINT('Ctrl Pts',(-2.34923174269965,-0.855050358114845, 28.8740510071514)); #525923=CARTESIAN_POINT('Origin',(-2.50000019073499,1.99023575397916E-10, 15.751915342762)); #525924=CARTESIAN_POINT('',(-2.50000019073499,1.99023575397916E-10,20.374051007151)); #525925=CARTESIAN_POINT('',(-2.9580400822848,0.500000000199029,20.374051007151)); #525926=CARTESIAN_POINT('',(-4.49514760549644,2.17791883605713,20.374051007151)); #525927=CARTESIAN_POINT('',(-2.50000019073499,1.99023575397916E-10,28.874051007151)); #525928=CARTESIAN_POINT('',(-2.50000019073499,1.99023575397916E-10,15.751915342762)); #525929=CARTESIAN_POINT('',(-2.9580400822848,0.500000000199028,27.874051007151)); #525930=CARTESIAN_POINT('Ctrl Pts',(-2.50000019073478,1.98790006231603E-10, 28.8740510071514)); #525931=CARTESIAN_POINT('Ctrl Pts',(-2.70820014143933,0.227272727471614, 28.4576511057424)); #525932=CARTESIAN_POINT('Ctrl Pts',(-2.9580400822848,0.500000000199028, 27.874051007151)); #525933=CARTESIAN_POINT('',(-2.9580400822848,0.500000000199029,15.751915342762)); #525934=CARTESIAN_POINT('Origin',(-2.50000019073499,1.99023575397916E-10, 15.751915342762)); #525935=CARTESIAN_POINT('',(-2.95804008228481,-0.499999999800972,20.374051007151)); #525936=CARTESIAN_POINT('',(-2.74084917561152,-0.262912673260038,20.374051007151)); #525937=CARTESIAN_POINT('',(-2.95804008228481,-0.499999999800972,27.874051007151)); #525938=CARTESIAN_POINT('',(-2.95804008228481,-0.499999999800972,15.751915342762)); #525939=CARTESIAN_POINT('Ctrl Pts',(-2.95804008228482,-0.499999999800975, 27.874051007151)); #525940=CARTESIAN_POINT('Ctrl Pts',(-2.70820014143932,-0.227272727073556, 28.4576511057424)); #525941=CARTESIAN_POINT('Ctrl Pts',(-2.50000019073477,1.9927169611584E-10, 28.8740510071515)); #525942=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #525943=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #525944=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #525945=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #525946=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #525947=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #525948=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #525949=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #525950=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #525951=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #525952=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #525953=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #525954=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #525955=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #525956=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #525957=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #525958=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #525959=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #525960=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #525961=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #525962=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #525963=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #525964=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #525965=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #525966=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #525967=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #525968=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #525969=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #525970=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #525971=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #525972=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #525973=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #525974=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #525975=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #525976=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #525977=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #525978=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #525979=CARTESIAN_POINT('Origin',(1.249999809265,2.16506350966009,15.751915342762)); #525980=CARTESIAN_POINT('',(1.249999809265,2.16506350966009,20.374051007151)); #525981=CARTESIAN_POINT('',(1.91203245693212,2.3117376916889,20.374051007151)); #525982=CARTESIAN_POINT('',(-2.72955297523715,1.2833884871908,20.374051007151)); #525983=CARTESIAN_POINT('',(1.249999809265,2.16506350966009,28.874051007151)); #525984=CARTESIAN_POINT('',(1.249999809265,2.16506350966009,15.751915342762)); #525985=CARTESIAN_POINT('',(1.91203245693212,2.3117376916889,27.874051007151)); #525986=CARTESIAN_POINT('Ctrl Pts',(1.24999980926469,2.16506350966002,28.8740510071514)); #525987=CARTESIAN_POINT('Ctrl Pts',(1.5509237400226,2.23173359240042,28.4576511057424)); #525988=CARTESIAN_POINT('Ctrl Pts',(1.91203245693212,2.3117376916889,27.874051007151)); #525989=CARTESIAN_POINT('',(1.91203245693212,2.3117376916889,15.751915342762)); #525990=CARTESIAN_POINT('Origin',(1.249999809265,2.16506350966009,15.751915342762)); #525991=CARTESIAN_POINT('',(1.04600705314769,2.8117376916889,20.374051007151)); #525992=CARTESIAN_POINT('',(0.663893034085365,4.02307124794892,20.374051007151)); #525993=CARTESIAN_POINT('',(1.04600705314769,2.8117376916889,27.874051007151)); #525994=CARTESIAN_POINT('',(1.04600705314769,2.8117376916889,15.751915342762)); #525995=CARTESIAN_POINT('Ctrl Pts',(1.04600705314768,2.8117376916889,27.874051007151)); #525996=CARTESIAN_POINT('Ctrl Pts',(1.15727582921173,2.45900631967301,28.4576511057424)); #525997=CARTESIAN_POINT('Ctrl Pts',(1.24999980926509,2.16506350965979,28.8740510071514)); #525998=CARTESIAN_POINT('Origin',(0.434120253432357,2.46201938272951,15.751915342762)); #525999=CARTESIAN_POINT('',(0.434120253432357,2.46201938272951,20.374051007151)); #526000=CARTESIAN_POINT('',(1.00606192240485,2.82627653028316,20.374051007151)); #526001=CARTESIAN_POINT('',(-2.11260221705595,0.84006750715199,20.374051007151)); #526002=CARTESIAN_POINT('',(0.434120253432357,2.46201938272951,28.874051007151)); #526003=CARTESIAN_POINT('',(0.434120253432357,2.46201938272951,15.751915342762)); #526004=CARTESIAN_POINT('',(1.00606192240485,2.82627653028317,27.874051007151)); #526005=CARTESIAN_POINT('Ctrl Pts',(0.43412025343209,2.46201938272934,28.8740510071514)); #526006=CARTESIAN_POINT('Ctrl Pts',(0.694093739328786,2.62759081343562, 28.4576511057424)); #526007=CARTESIAN_POINT('Ctrl Pts',(1.00606192240485,2.82627653028316,27.874051007151)); #526008=CARTESIAN_POINT('',(1.00606192240485,2.82627653028316,15.751915342762)); #526009=CARTESIAN_POINT('Origin',(0.434120253432357,2.46201938272951,15.751915342762)); #526010=CARTESIAN_POINT('',(0.021254169392643,2.99992470795011,20.374051007151)); #526011=CARTESIAN_POINT('',(-1.2741058916772,4.68759318415394,20.374051007151)); #526012=CARTESIAN_POINT('',(0.021254169392643,2.99992470795011,27.874051007151)); #526013=CARTESIAN_POINT('',(0.021254169392643,2.99992470795011,15.751915342762)); #526014=CARTESIAN_POINT('Ctrl Pts',(0.0212541693926478,2.9999247079501, 27.874051007151)); #526015=CARTESIAN_POINT('Ctrl Pts',(0.246453851596229,2.70652180328419, 28.4576511057423)); #526016=CARTESIAN_POINT('Ctrl Pts',(0.434120253432532,2.46201938272928, 28.8740510071514)); #526017=CARTESIAN_POINT('Origin',(-0.434120634902295,2.46201938272952,15.751915342762)); #526018=CARTESIAN_POINT('',(-0.434120634902295,2.46201938272952,20.374051007151)); #526019=CARTESIAN_POINT('',(-0.0212545508625681,2.99992470795011,20.374051007151)); #526020=CARTESIAN_POINT('',(-1.46939944070624,1.11319950079325,20.374051007151)); #526021=CARTESIAN_POINT('',(-0.434120634902295,2.46201938272952,28.874051007151)); #526022=CARTESIAN_POINT('',(-0.434120634902295,2.46201938272952,15.751915342762)); #526023=CARTESIAN_POINT('',(-0.0212545508625678,2.99992470795011,27.874051007151)); #526024=CARTESIAN_POINT('Ctrl Pts',(-0.434120634902487,2.46201938272927, 28.8740510071514)); #526025=CARTESIAN_POINT('Ctrl Pts',(-0.246454233066169,2.70652180328418, 28.4576511057424)); #526026=CARTESIAN_POINT('Ctrl Pts',(-0.021254550862568,2.99992470795011, 27.874051007151)); #526027=CARTESIAN_POINT('',(-0.0212545508625681,2.99992470795011,15.751915342762)); #526028=CARTESIAN_POINT('Origin',(-0.434120634902295,2.46201938272952,15.751915342762)); #526029=CARTESIAN_POINT('',(-1.00606230387478,2.82627653028319,20.374051007151)); #526030=CARTESIAN_POINT('',(-3.15230643271926,4.19317250366315,20.374051007151)); #526031=CARTESIAN_POINT('',(-1.00606230387478,2.82627653028319,27.874051007151)); #526032=CARTESIAN_POINT('',(-1.00606230387478,2.82627653028319,15.751915342762)); #526033=CARTESIAN_POINT('Ctrl Pts',(-1.00606230387478,2.82627653028319, 27.874051007151)); #526034=CARTESIAN_POINT('Ctrl Pts',(-0.694094120798735,2.62759081343564, 28.4576511057423)); #526035=CARTESIAN_POINT('Ctrl Pts',(-0.43412063490205,2.46201938272937, 28.8740510071514)); #526036=CARTESIAN_POINT('Origin',(-1.25000019073497,2.16506350966011,15.751915342762)); #526037=CARTESIAN_POINT('',(-1.25000019073497,2.16506350966011,20.374051007151)); #526038=CARTESIAN_POINT('',(-1.04600743461765,2.81173769168891,20.374051007151)); #526039=CARTESIAN_POINT('',(-1.33364974024846,1.89988740714398,20.374051007151)); #526040=CARTESIAN_POINT('',(-1.25000019073497,2.16506350966011,28.874051007151)); #526041=CARTESIAN_POINT('',(-1.25000019073497,2.16506350966011,15.751915342762)); #526042=CARTESIAN_POINT('',(-1.04600743461765,2.81173769168891,27.874051007151)); #526043=CARTESIAN_POINT('Ctrl Pts',(-1.25000019073507,2.1650635096598,28.8740510071514)); #526044=CARTESIAN_POINT('Ctrl Pts',(-1.1572762106817,2.45900631967302,28.4576511057424)); #526045=CARTESIAN_POINT('Ctrl Pts',(-1.04600743461765,2.81173769168891, 27.874051007151)); #526046=CARTESIAN_POINT('',(-1.04600743461765,2.81173769168891,15.751915342762)); #526047=CARTESIAN_POINT('Origin',(-1.25000019073497,2.16506350966011,15.751915342762)); #526048=CARTESIAN_POINT('',(-1.9120328384021,2.31173769168892,20.374051007151)); #526049=CARTESIAN_POINT('',(-4.32462104861709,2.84624970337385,20.374051007151)); #526050=CARTESIAN_POINT('',(-1.9120328384021,2.31173769168892,27.874051007151)); #526051=CARTESIAN_POINT('',(-1.9120328384021,2.31173769168892,15.751915342762)); #526052=CARTESIAN_POINT('Ctrl Pts',(-1.9120328384021,2.31173769168892,27.874051007151)); #526053=CARTESIAN_POINT('Ctrl Pts',(-1.55092412149258,2.23173359240044, 28.4576511057424)); #526054=CARTESIAN_POINT('Ctrl Pts',(-1.25000019073468,2.16506350966004, 28.8740510071514)); #526055=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #526056=CARTESIAN_POINT('',(-1.9445964073377,2.28441361300524,27.874051007151)); #526057=CARTESIAN_POINT('Ctrl Pts',(-1.92624046176335,1.86267068914763, 28.5149676238849)); #526058=CARTESIAN_POINT('Ctrl Pts',(-1.93490058332356,2.06164409386662, 28.2258857969732)); #526059=CARTESIAN_POINT('Ctrl Pts',(-1.9445964073377,2.28441361300524,27.874051007151)); #526060=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #526061=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #526062=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #526063=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #526064=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #526065=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #526066=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #526067=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #526068=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #526069=CARTESIAN_POINT('',(1.94459602586773,2.28441361300522,27.874051007151)); #526070=CARTESIAN_POINT('',(1.91511091706244,1.60696902441534,28.874051007151)); #526071=CARTESIAN_POINT('Ctrl Pts',(1.94459602586773,2.28441361300523,27.874051007151)); #526072=CARTESIAN_POINT('Ctrl Pts',(1.92851323924666,1.91489838286511,28.4576511057424)); #526073=CARTESIAN_POINT('Ctrl Pts',(1.91511091706243,1.60696902441504,28.8740510071514)); #526074=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #526075=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #526076=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #526077=CARTESIAN_POINT('',(2.58738363555427,1.51836916988624,27.874051007151)); #526078=CARTESIAN_POINT('Ctrl Pts',(1.91511091706213,1.60696902441539,28.8740510071514)); #526079=CARTESIAN_POINT('Ctrl Pts',(2.22068942546763,1.56669636326578,28.4576511057424)); #526080=CARTESIAN_POINT('Ctrl Pts',(2.58738363555427,1.51836916988624,27.874051007151)); #526081=CARTESIAN_POINT('',(2.34923136122977,0.855050358513167,28.874051007151)); #526082=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #526083=CARTESIAN_POINT('',(2.60863799568187,1.48155553826286,27.874051007151)); #526084=CARTESIAN_POINT('Ctrl Pts',(2.60863799568187,1.48155553826286,27.874051007151)); #526085=CARTESIAN_POINT('Ctrl Pts',(2.46714346779884,1.13982544021741,28.4576511057424)); #526086=CARTESIAN_POINT('Ctrl Pts',(2.34923136122965,0.855050358512886, 28.8740510071514)); #526087=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #526088=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #526089=CARTESIAN_POINT('',(2.95065813900754,0.541862917476952,27.874051007151)); #526090=CARTESIAN_POINT('Ctrl Pts',(2.34923136122949,0.855050358513313, 28.8740510071514)); #526091=CARTESIAN_POINT('Ctrl Pts',(2.62260716931041,0.71269243076952,28.4576511057424)); #526092=CARTESIAN_POINT('Ctrl Pts',(2.95065813900754,0.541862917476953, 27.874051007151)); #526093=CARTESIAN_POINT('',(2.499999809265,1.98994154487764E-10,28.874051007151)); #526094=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #526095=CARTESIAN_POINT('',(2.95803970081481,0.500000000198993,27.874051007151)); #526096=CARTESIAN_POINT('Ctrl Pts',(2.95803970081481,0.500000000198993, 27.874051007151)); #526097=CARTESIAN_POINT('Ctrl Pts',(2.70819975996934,0.227272727471589, 28.4576511057424)); #526098=CARTESIAN_POINT('Ctrl Pts',(2.49999980926479,1.98772527880126E-10, 28.8740510071514)); #526099=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #526100=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #526101=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #526102=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #526103=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #526104=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #526105=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 28.874051007151)); #526106=CARTESIAN_POINT('',(2.95803970081481,-0.499999999801007,27.874051007151)); #526107=CARTESIAN_POINT('Ctrl Pts',(2.49999980926478,1.99228409509907E-10, 28.8740510071514)); #526108=CARTESIAN_POINT('Ctrl Pts',(2.70819975996933,-0.227272727073594, 28.4576511057424)); #526109=CARTESIAN_POINT('Ctrl Pts',(2.95803970081481,-0.499999999801006, 27.874051007151)); #526110=CARTESIAN_POINT('',(2.95065813900754,-0.541862917078966,27.874051007151)); #526111=CARTESIAN_POINT('Ctrl Pts',(2.95065813900752,-0.541862917078974, 27.874051007151)); #526112=CARTESIAN_POINT('Ctrl Pts',(2.75288616423757,-0.644850846741403, 28.2258857969732)); #526113=CARTESIAN_POINT('Ctrl Pts',(2.57624008029335,-0.736837663829887, 28.5149676238849)); #526114=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 27.874051007151)); #526115=CARTESIAN_POINT('Origin',(-1.91511129853242,1.60696902441537,15.751915342762)); #526116=CARTESIAN_POINT('',(-1.9445964073377,2.28441361300524,15.751915342762)); #526117=CARTESIAN_POINT('Origin',(2.34923136122976,-0.855050358115177,15.751915342762)); #526118=CARTESIAN_POINT('',(2.95065813900754,-0.541862917078966,15.751915342762)); #526119=CARTESIAN_POINT('Origin',(2.499999809265,1.98994154487764E-10,15.751915342762)); #526120=CARTESIAN_POINT('',(2.95803970081481,0.500000000198993,20.374051007151)); #526121=CARTESIAN_POINT('',(2.499999809265,1.98994154487764E-10,20.374051007151)); #526122=CARTESIAN_POINT('',(1.11843586772553,-1.50812622093081,20.374051007151)); #526123=CARTESIAN_POINT('',(2.95803970081481,0.500000000198993,15.751915342762)); #526124=CARTESIAN_POINT('',(2.499999809265,1.98994154487764E-10,15.751915342762)); #526125=CARTESIAN_POINT('Origin',(2.499999809265,1.98994154487764E-10,15.751915342762)); #526126=CARTESIAN_POINT('',(2.95803970081481,-0.499999999801007,20.374051007151)); #526127=CARTESIAN_POINT('',(-0.635862562159427,3.42313238372786,20.374051007151)); #526128=CARTESIAN_POINT('',(2.95803970081481,-0.499999999801007,15.751915342762)); #526129=CARTESIAN_POINT('Origin',(2.34923136122977,0.855050358513167,15.751915342762)); #526130=CARTESIAN_POINT('',(2.60863799568187,1.48155553826286,20.374051007151)); #526131=CARTESIAN_POINT('',(2.34923136122977,0.855050358513167,20.374051007151)); #526132=CARTESIAN_POINT('',(2.10714055057583,0.27036544031575,20.374051007151)); #526133=CARTESIAN_POINT('',(2.60863799568187,1.48155553826286,15.751915342762)); #526134=CARTESIAN_POINT('',(2.34923136122977,0.855050358513167,15.751915342762)); #526135=CARTESIAN_POINT('Origin',(2.34923136122977,0.855050358513167,15.751915342762)); #526136=CARTESIAN_POINT('',(2.95065813900754,0.541862917476952,20.374051007151)); #526137=CARTESIAN_POINT('',(-2.03196222063346,3.13651646093376,20.374051007151)); #526138=CARTESIAN_POINT('',(2.95065813900754,0.541862917476952,15.751915342762)); #526139=CARTESIAN_POINT('Origin',(1.91511091706244,1.60696902441534,15.751915342762)); #526140=CARTESIAN_POINT('',(1.94459602586773,2.28441361300522,20.374051007151)); #526141=CARTESIAN_POINT('',(1.91511091706244,1.60696902441534,20.374051007151)); #526142=CARTESIAN_POINT('',(1.94790213676269,2.36037422586446,20.374051007151)); #526143=CARTESIAN_POINT('',(1.94459602586773,2.28441361300522,15.751915342762)); #526144=CARTESIAN_POINT('',(1.91511091706244,1.60696902441534,15.751915342762)); #526145=CARTESIAN_POINT('Origin',(1.91511091706244,1.60696902441534,15.751915342762)); #526146=CARTESIAN_POINT('',(2.58738363555427,1.51836916988624,20.374051007151)); #526147=CARTESIAN_POINT('',(-2.78718217860139,2.22669145665039,20.374051007151)); #526148=CARTESIAN_POINT('',(2.58738363555427,1.51836916988624,15.751915342762)); #526149=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #526150=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #526151=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #526152=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #526153=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #526154=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #526155=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #526156=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #526157=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #526158=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #526159=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #526160=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #526161=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #526162=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #526163=CARTESIAN_POINT('Origin',(-7.40042773965386,3.52208348773912,20.374051007151)); #526164=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 20.374051007151)); #526165=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 32.374051007151)); #526166=CARTESIAN_POINT('',(2.59807602061832,-1.499999999801,18.874051007151)); #526167=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 18.874051007151)); #526168=CARTESIAN_POINT('',(2.59807602061832,-1.499999999801,32.374051007151)); #526169=CARTESIAN_POINT('Origin',(-1.000000191943,-7.75000000765599,8.274051000505)); #526170=CARTESIAN_POINT('',(-1.000000191943,-6.50000000765598,8.274051000505)); #526171=CARTESIAN_POINT('',(0.999999810473996,-6.50000000765598,8.274051000505)); #526172=CARTESIAN_POINT('',(-3.1750001945715,-6.50000000765599,8.274051000505)); #526173=CARTESIAN_POINT('',(-1.000000191943,-8.500000008562,8.274051000505)); #526174=CARTESIAN_POINT('',(-1.000000191943,-7.750000007656,8.274051000505)); #526175=CARTESIAN_POINT('',(0.999999810474,-8.500000008562,8.274051000505)); #526176=CARTESIAN_POINT('',(-1.000000191943,-8.500000008562,8.274051000505)); #526177=CARTESIAN_POINT('',(0.999999810474,-7.750000007656,8.274051000505)); #526178=CARTESIAN_POINT('Origin',(0.999999810474,-7.75000000765599,7.974051000142)); #526179=CARTESIAN_POINT('',(0.999999810473996,-6.50000000765598,7.974051000142)); #526180=CARTESIAN_POINT('',(-1.000000191943,-6.50000000765598,7.974051000142)); #526181=CARTESIAN_POINT('',(-2.175000193363,-6.50000000765599,7.974051000142)); #526182=CARTESIAN_POINT('',(0.999999810474,-8.450000008502,7.974051000142)); #526183=CARTESIAN_POINT('',(0.999999810474,-8.450000008502,7.974051000142)); #526184=CARTESIAN_POINT('',(-1.000000191943,-8.450000008502,7.974051000142)); #526185=CARTESIAN_POINT('',(-1.000000191943,-8.450000008502,7.974051000142)); #526186=CARTESIAN_POINT('',(-1.000000191943,-7.750000007656,7.974051000142)); #526187=CARTESIAN_POINT('Origin',(-1.000000191943,-8.450000008502,7.824050999961)); #526188=CARTESIAN_POINT('',(0.999999810474,-8.600000008683,7.824050999961)); #526189=CARTESIAN_POINT('Origin',(0.999999810474,-8.450000008502,7.824050999961)); #526190=CARTESIAN_POINT('',(-1.000000191943,-8.600000008683,7.824050999961)); #526191=CARTESIAN_POINT('',(-1.000000191943,-8.600000008683,7.824050999961)); #526192=CARTESIAN_POINT('Origin',(-1.000000191943,-8.450000008502,7.824050999961)); #526193=CARTESIAN_POINT('Origin',(-1.000000191943,-8.500000008562,7.874051000021)); #526194=CARTESIAN_POINT('',(0.999999810474,-8.900000009046,7.874051000021)); #526195=CARTESIAN_POINT('Origin',(0.999999810474,-8.500000008562,7.874051000021)); #526196=CARTESIAN_POINT('',(-1.000000191943,-8.900000009046,7.874051000021)); #526197=CARTESIAN_POINT('Origin',(-1.000000191943,-8.500000008562,7.874051000021)); #526198=CARTESIAN_POINT('',(-1.000000191943,-8.900000009046,7.874051000021)); #526199=CARTESIAN_POINT('Origin',(-1.000000191943,-8.600000008683,-4.725949009163)); #526200=CARTESIAN_POINT('',(0.999999810474,-8.600000008683,0.174325933196264)); #526201=CARTESIAN_POINT('',(0.999999810474,-8.600000008683,-4.725949009163)); #526202=CARTESIAN_POINT('',(0.899999810474,-8.60000000868299,0.0743259331962644)); #526203=CARTESIAN_POINT('Origin',(0.899999810474,-8.60000000868299,0.174325933196264)); #526204=CARTESIAN_POINT('',(0.399999809749,-8.600000008683,0.0743259331962647)); #526205=CARTESIAN_POINT('',(-1.90734496894188E-7,-8.600000008683,0.0743259331962641)); #526206=CARTESIAN_POINT('',(0.399999809749008,-8.600000008683,-3.12594903990193)); #526207=CARTESIAN_POINT('',(0.399999809749008,-8.600000008683,-2.575949006565)); #526208=CARTESIAN_POINT('',(0.0999998097490078,-8.600000008683,-3.42594903990193)); #526209=CARTESIAN_POINT('Origin',(0.0999998097490077,-8.600000008683,-3.12594903990193)); #526210=CARTESIAN_POINT('',(-0.100000191217992,-8.600000008683,-3.42594903990193)); #526211=CARTESIAN_POINT('',(-1.000000191943,-8.600000008683,-3.42594903990193)); #526212=CARTESIAN_POINT('',(-0.400000191218,-8.600000008683,-3.12594903990193)); #526213=CARTESIAN_POINT('Origin',(-0.100000191217992,-8.600000008683,-3.12594903990193)); #526214=CARTESIAN_POINT('',(-0.400000191218,-8.600000008683,0.0743260077020698)); #526215=CARTESIAN_POINT('',(-0.400000191218,-8.600000008683,-4.725949009163)); #526216=CARTESIAN_POINT('',(-0.900000191943,-8.600000008683,0.0743260077020695)); #526217=CARTESIAN_POINT('',(-1.000000191943,-8.600000008683,0.0743260077020695)); #526218=CARTESIAN_POINT('',(-1.000000191943,-8.600000008683,0.17432600770207)); #526219=CARTESIAN_POINT('Origin',(-0.900000191943,-8.600000008683,0.17432600770207)); #526220=CARTESIAN_POINT('',(-1.000000191943,-8.600000008683,1.324050998148)); #526221=CARTESIAN_POINT('Origin',(0.999999810474,-7.750000007656,3.274051000505)); #526222=CARTESIAN_POINT('',(0.999999810474,-8.900000009046,0.174325933196265)); #526223=CARTESIAN_POINT('',(0.999999810474,-8.900000009046,2.874051000021)); #526224=CARTESIAN_POINT('',(0.999999810473996,-7.4500000072935,0.174325933196264)); #526225=CARTESIAN_POINT('',(0.999999810473996,-6.50000000765598,8.574051003828)); #526226=CARTESIAN_POINT('Origin',(0.399999809749,-6.400000006025,-4.725949009163)); #526227=CARTESIAN_POINT('',(0.39999980974901,-8.900000009046,-3.12594903990193)); #526228=CARTESIAN_POINT('',(0.399999809749004,-7.6500000075355,-3.12594903990193)); #526229=CARTESIAN_POINT('',(0.399999809749,-8.900000009046,0.0743259331962647)); #526230=CARTESIAN_POINT('',(0.399999809749,-6.400000006025,0.0743259331962647)); #526231=CARTESIAN_POINT('',(0.399999809749008,-8.900000009046,1.224050998027)); #526232=CARTESIAN_POINT('Origin',(-1.000000191943,-6.400000006025,0.0743260077020695)); #526233=CARTESIAN_POINT('',(-0.900000191942999,-8.900000009046,0.0743260077020695)); #526234=CARTESIAN_POINT('',(-0.900000191943004,-7.4500000072935,0.0743260077020695)); #526235=CARTESIAN_POINT('',(-0.400000191218,-8.900000009046,0.0743260077020698)); #526236=CARTESIAN_POINT('',(-0.400000191218,-6.400000006025,0.0743260077020698)); #526237=CARTESIAN_POINT('',(-1.000000191943,-8.900000009046,0.0743260077020695)); #526238=CARTESIAN_POINT('Origin',(-0.400000191218,-6.400000006025,-4.725949009163)); #526239=CARTESIAN_POINT('',(-0.400000191217991,-8.900000009046,-3.12594903990193)); #526240=CARTESIAN_POINT('',(-0.400000191217996,-7.6500000075355,-3.12594903990193)); #526241=CARTESIAN_POINT('',(-0.400000191217991,-8.900000009046,1.224050998027)); #526242=CARTESIAN_POINT('Origin',(-0.900000191943004,-7.4500000072935,0.17432600770207)); #526243=CARTESIAN_POINT('',(-1.000000191943,-8.900000009046,0.17432600770207)); #526244=CARTESIAN_POINT('Origin',(-0.900000191942999,-8.900000009046,0.17432600770207)); #526245=CARTESIAN_POINT('',(-1.000000191943,-7.4500000072935,0.17432600770207)); #526246=CARTESIAN_POINT('Origin',(0.999999810474,-6.400000006025,0.0743259331962644)); #526247=CARTESIAN_POINT('',(0.899999810474001,-8.90000000904599,0.0743259331962647)); #526248=CARTESIAN_POINT('',(0.899999810473996,-7.4500000072935,0.0743259331962644)); #526249=CARTESIAN_POINT('',(-1.90734495316334E-7,-8.900000009046,0.0743259331962644)); #526250=CARTESIAN_POINT('Origin',(0.899999810473996,-7.4500000072935,0.174325933196264)); #526251=CARTESIAN_POINT('Origin',(0.899999810474001,-8.90000000904599,0.174325933196264)); #526252=CARTESIAN_POINT('Origin',(0.0999998097490044,-7.6500000075355,-3.12594903990193)); #526253=CARTESIAN_POINT('',(0.0999998097490087,-8.900000009046,-3.42594903990193)); #526254=CARTESIAN_POINT('Origin',(0.0999998097490087,-8.900000009046,-3.12594903990193)); #526255=CARTESIAN_POINT('',(0.0999998097490044,-7.6500000075355,-3.42594903990193)); #526256=CARTESIAN_POINT('Origin',(-1.000000191943,-8.900000009046,-3.42594903990193)); #526257=CARTESIAN_POINT('',(-0.100000191217991,-8.900000009046,-3.42594903990193)); #526258=CARTESIAN_POINT('',(-0.100000191217996,-7.6500000075355,-3.42594903990193)); #526259=CARTESIAN_POINT('',(-1.000000191943,-8.900000009046,-3.42594903990193)); #526260=CARTESIAN_POINT('Origin',(-1.000000191943,-8.900000009046,2.874051000021)); #526261=CARTESIAN_POINT('',(-1.000000191943,-8.900000009046,2.874051000021)); #526262=CARTESIAN_POINT('Origin',(-0.100000191217991,-8.900000009046,-3.12594903990193)); #526263=CARTESIAN_POINT('Origin',(-0.100000191217996,-7.6500000075355,-3.12594903990193)); #526264=CARTESIAN_POINT('Origin',(-1.000000191943,-7.750000007656,2.974051000142)); #526265=CARTESIAN_POINT('',(-1.000000191943,-6.50000000765598,8.4240510036465)); #526266=CARTESIAN_POINT('Origin',(-3.500000194965,-6.400000009046,2.874051000021)); #526267=CARTESIAN_POINT('',(-3.500000194965,-6.400000009046,1.32405104764897)); #526268=CARTESIAN_POINT('',(-1.500000192548,-6.40000000904599,1.32405104764897)); #526269=CARTESIAN_POINT('',(-1.75000019284992,-6.400000009046,1.324050998148)); #526270=CARTESIAN_POINT('',(-3.500000194965,-6.400000009046,0.174326052827462)); #526271=CARTESIAN_POINT('',(-3.500000194965,-6.400000009046,2.874051000021)); #526272=CARTESIAN_POINT('',(-3.400000194965,-6.400000009046,0.0743260528274618)); #526273=CARTESIAN_POINT('Origin',(-3.400000194965,-6.400000009046,0.174326052827462)); #526274=CARTESIAN_POINT('',(-2.900000194239,-6.400000009046,0.0743260528274615)); #526275=CARTESIAN_POINT('',(-3.3500001947835,-6.400000009046,0.0743260528274618)); #526276=CARTESIAN_POINT('',(-2.90000019423899,-6.400000009046,-3.125949009163)); #526277=CARTESIAN_POINT('',(-2.90000019423899,-6.400000009046,1.224050998027)); #526278=CARTESIAN_POINT('',(-2.60000019423899,-6.400000009046,-3.425949009163)); #526279=CARTESIAN_POINT('Origin',(-2.60000019423899,-6.400000009046,-3.125949009163)); #526280=CARTESIAN_POINT('',(-2.40000019327299,-6.400000009046,-3.425949009163)); #526281=CARTESIAN_POINT('',(-3.500000194965,-6.400000009046,-3.425949009163)); #526282=CARTESIAN_POINT('',(-2.10000019327299,-6.400000009046,-3.125949009163)); #526283=CARTESIAN_POINT('Origin',(-2.40000019327299,-6.400000009046,-3.125949009163)); #526284=CARTESIAN_POINT('',(-2.100000193273,-6.400000009046,0.0743259557589597)); #526285=CARTESIAN_POINT('',(-2.10000019327299,-6.400000009046,1.224050998027)); #526286=CARTESIAN_POINT('',(-1.600000192548,-6.40000000904599,0.0743259557589597)); #526287=CARTESIAN_POINT('',(-2.5000001937565,-6.400000009046,0.0743259557589591)); #526288=CARTESIAN_POINT('',(-1.500000192548,-6.400000009046,0.17432595575896)); #526289=CARTESIAN_POINT('Origin',(-1.600000192548,-6.40000000904599,0.17432595575896)); #526290=CARTESIAN_POINT('',(-1.500000192548,-6.400000009046,2.874051000021)); #526291=CARTESIAN_POINT('Origin',(-3.500000194965,-6.000000008562,2.874051000021)); #526292=CARTESIAN_POINT('',(-3.500000194965,-6.100000008683,1.32405104764897)); #526293=CARTESIAN_POINT('',(-3.50000019496501,-3.00000000418151,1.324050998148)); #526294=CARTESIAN_POINT('',(-3.500000194965,-6.100000008683,0.174326052827461)); #526295=CARTESIAN_POINT('',(-3.500000194965,-6.100000008683,-4.725949009163)); #526296=CARTESIAN_POINT('',(-3.500000194965,-6.12500000871325,0.174326052827462)); #526297=CARTESIAN_POINT('Origin',(-3.500000194965,-6.100000008683,-4.725949009163)); #526298=CARTESIAN_POINT('',(-1.500000192548,-6.10000000868299,1.32405104764897)); #526299=CARTESIAN_POINT('',(-1.75000019284992,-6.10000000868299,1.324050998148)); #526300=CARTESIAN_POINT('',(-1.500000192548,-6.100000008683,0.174325955758959)); #526301=CARTESIAN_POINT('',(-1.500000192548,-6.100000008683,-4.725949009163)); #526302=CARTESIAN_POINT('',(-1.600000192548,-6.10000000868299,0.0743259557589591)); #526303=CARTESIAN_POINT('Origin',(-1.600000192548,-6.10000000868299,0.17432595575896)); #526304=CARTESIAN_POINT('',(-2.100000193273,-6.100000008683,0.0743259557589597)); #526305=CARTESIAN_POINT('',(-2.5000001937565,-6.100000008683,0.0743259557589586)); #526306=CARTESIAN_POINT('',(-2.10000019327299,-6.100000008683,-3.125949009163)); #526307=CARTESIAN_POINT('',(-2.10000019327299,-6.100000008683,-2.575949006565)); #526308=CARTESIAN_POINT('',(-2.40000019327299,-6.100000008683,-3.425949009163)); #526309=CARTESIAN_POINT('Origin',(-2.40000019327299,-6.100000008683,-3.125949009163)); #526310=CARTESIAN_POINT('',(-2.60000019423899,-6.100000008683,-3.425949009163)); #526311=CARTESIAN_POINT('',(-3.500000194965,-6.100000008683,-3.425949009163)); #526312=CARTESIAN_POINT('',(-2.900000194239,-6.100000008683,-3.125949009163)); #526313=CARTESIAN_POINT('Origin',(-2.60000019423899,-6.100000008683,-3.125949009163)); #526314=CARTESIAN_POINT('',(-2.900000194239,-6.100000008683,0.0743260528274615)); #526315=CARTESIAN_POINT('',(-2.900000194239,-6.100000008683,-4.725949009163)); #526316=CARTESIAN_POINT('',(-3.400000194965,-6.100000008683,0.0743260528274613)); #526317=CARTESIAN_POINT('',(-3.3500001947835,-6.100000008683,0.0743260528274613)); #526318=CARTESIAN_POINT('Origin',(-3.400000194965,-6.100000008683,0.174326052827462)); #526319=CARTESIAN_POINT('Origin',(-2.600000194239,-5.1500000075355,-3.125949009163)); #526320=CARTESIAN_POINT('',(-2.900000194239,-5.1500000075355,-3.125949009163)); #526321=CARTESIAN_POINT('',(-2.600000194239,-5.1500000075355,-3.425949009163)); #526322=CARTESIAN_POINT('Origin',(-3.500000194965,-6.400000009046,-3.425949009163)); #526323=CARTESIAN_POINT('',(-2.400000193273,-5.1500000075355,-3.425949009163)); #526324=CARTESIAN_POINT('Origin',(-2.400000193273,-5.1500000075355,-3.125949009163)); #526325=CARTESIAN_POINT('',(-2.100000193273,-5.1500000075355,-3.125949009163)); #526326=CARTESIAN_POINT('Origin',(-1.600000192548,-4.9500000072935,0.17432595575896)); #526327=CARTESIAN_POINT('',(-1.500000192548,-4.9500000072935,0.17432595575896)); #526328=CARTESIAN_POINT('',(-1.600000192548,-4.9500000072935,0.0743259557589594)); #526329=CARTESIAN_POINT('Origin',(-1.500000192548,-3.900000006025,0.0743259557589594)); #526330=CARTESIAN_POINT('',(-2.100000193273,-3.900000006025,0.0743259557589597)); #526331=CARTESIAN_POINT('Origin',(-3.400000194965,-6.12500000871325,0.174326052827462)); #526332=CARTESIAN_POINT('',(-3.400000194965,-6.12500000871325,0.0743260528274615)); #526333=CARTESIAN_POINT('Origin',(-2.900000194239,-3.900000006025,-4.725949009163)); #526334=CARTESIAN_POINT('',(-2.90000019423899,-6.32500000895525,0.0743260528274615)); #526335=CARTESIAN_POINT('Origin',(-3.200000194602,-6.2500000088645,0.0743260528274615)); #526336=CARTESIAN_POINT('Origin',(-2.100000193273,-3.900000006025,-4.725949009163)); #526337=CARTESIAN_POINT('Origin',(-1.500000192548,-6.000000008562,2.874051000021)); #526338=CARTESIAN_POINT('',(-1.50000019254801,-3.0000000041815,1.324050998148)); #526339=CARTESIAN_POINT('Origin',(-3.500000194965,-7.750000007656,8.274051000505)); #526340=CARTESIAN_POINT('',(-3.500000194965,-8.500000008562,8.274051000505)); #526341=CARTESIAN_POINT('',(-3.50000019496501,-6.50000000765599,8.274051000505)); #526342=CARTESIAN_POINT('',(-3.500000194965,-7.750000007656,8.274051000505)); #526343=CARTESIAN_POINT('',(-1.500000192548,-8.500000008562,8.274051000505)); #526344=CARTESIAN_POINT('',(-3.500000194965,-8.500000008562,8.274051000505)); #526345=CARTESIAN_POINT('',(-1.500000192548,-6.50000000765599,8.274051000505)); #526346=CARTESIAN_POINT('',(-1.500000192548,-7.750000007656,8.274051000505)); #526347=CARTESIAN_POINT('',(-4.4250001960825,-6.500000007656,8.274051000505)); #526348=CARTESIAN_POINT('Origin',(-3.500000194965,-8.500000008562,7.874051000021)); #526349=CARTESIAN_POINT('',(-1.500000192548,-8.900000009046,7.874051000021)); #526350=CARTESIAN_POINT('Origin',(-1.500000192548,-8.500000008562,7.874051000021)); #526351=CARTESIAN_POINT('',(-3.500000194965,-8.900000009046,7.874051000021)); #526352=CARTESIAN_POINT('Origin',(-3.500000194965,-8.500000008562,7.874051000021)); #526353=CARTESIAN_POINT('',(-3.500000194965,-8.900000009046,7.874051000021)); #526354=CARTESIAN_POINT('Origin',(-3.500000194965,-8.450000008502,7.824050999961)); #526355=CARTESIAN_POINT('',(-3.500000194965,-8.450000008502,7.974051000142)); #526356=CARTESIAN_POINT('',(-1.500000192548,-8.450000008502,7.974051000142)); #526357=CARTESIAN_POINT('',(-3.500000194965,-8.450000008502,7.974051000142)); #526358=CARTESIAN_POINT('',(-1.500000192548,-8.600000008683,7.824050999961)); #526359=CARTESIAN_POINT('Origin',(-1.500000192548,-8.450000008502,7.824050999961)); #526360=CARTESIAN_POINT('',(-3.500000194965,-8.600000008683,7.824050999961)); #526361=CARTESIAN_POINT('',(-3.500000194965,-8.600000008683,7.824050999961)); #526362=CARTESIAN_POINT('Origin',(-3.500000194965,-8.450000008502,7.824050999961)); #526363=CARTESIAN_POINT('Origin',(-3.500000194965,-8.450000008502,7.974051000142)); #526364=CARTESIAN_POINT('',(-1.500000192548,-6.50000000765599,7.974051000142)); #526365=CARTESIAN_POINT('',(-1.500000192548,-8.450000008502,7.974051000142)); #526366=CARTESIAN_POINT('',(-3.50000019496501,-6.50000000765599,7.974051000142)); #526367=CARTESIAN_POINT('',(-3.500000194965,-7.750000007656,7.974051000142)); #526368=CARTESIAN_POINT('',(-4.4250001960825,-6.500000007656,7.974051000142)); #526369=CARTESIAN_POINT('Origin',(-3.500000194965,-8.600000008683,-4.725949009163)); #526370=CARTESIAN_POINT('',(-1.500000192548,-8.600000008683,0.174325955758959)); #526371=CARTESIAN_POINT('',(-1.500000192548,-8.600000008683,-4.725949009163)); #526372=CARTESIAN_POINT('',(-1.600000192548,-8.60000000868299,0.0743259557589591)); #526373=CARTESIAN_POINT('Origin',(-1.600000192548,-8.60000000868299,0.17432595575896)); #526374=CARTESIAN_POINT('',(-2.100000193273,-8.600000008683,0.0743259557589597)); #526375=CARTESIAN_POINT('',(-2.5000001937565,-8.600000008683,0.0743259557589586)); #526376=CARTESIAN_POINT('',(-2.10000019327299,-8.600000008683,-3.125949009163)); #526377=CARTESIAN_POINT('',(-2.10000019327299,-8.600000008683,-2.575949006565)); #526378=CARTESIAN_POINT('',(-2.40000019327299,-8.600000008683,-3.425949009163)); #526379=CARTESIAN_POINT('Origin',(-2.40000019327299,-8.600000008683,-3.125949009163)); #526380=CARTESIAN_POINT('',(-2.60000019423899,-8.600000008683,-3.425949009163)); #526381=CARTESIAN_POINT('',(-3.500000194965,-8.600000008683,-3.425949009163)); #526382=CARTESIAN_POINT('',(-2.900000194239,-8.600000008683,-3.125949009163)); #526383=CARTESIAN_POINT('Origin',(-2.60000019423899,-8.600000008683,-3.125949009163)); #526384=CARTESIAN_POINT('',(-2.900000194239,-8.600000008683,0.0743260528274615)); #526385=CARTESIAN_POINT('',(-2.900000194239,-8.600000008683,-4.725949009163)); #526386=CARTESIAN_POINT('',(-3.400000194965,-8.600000008683,0.0743260528274613)); #526387=CARTESIAN_POINT('',(-3.3500001947835,-8.600000008683,0.0743260528274613)); #526388=CARTESIAN_POINT('',(-3.500000194965,-8.600000008683,0.174326052827461)); #526389=CARTESIAN_POINT('Origin',(-3.400000194965,-8.600000008683,0.174326052827462)); #526390=CARTESIAN_POINT('',(-3.500000194965,-8.600000008683,1.324050998148)); #526391=CARTESIAN_POINT('Origin',(-1.500000192548,-8.500000008562,2.874051000021)); #526392=CARTESIAN_POINT('',(-1.500000192548,-8.900000009046,0.17432595575896)); #526393=CARTESIAN_POINT('',(-1.500000192548,-8.900000009046,2.874051000021)); #526394=CARTESIAN_POINT('',(-1.500000192548,-7.4500000072935,0.17432595575896)); #526395=CARTESIAN_POINT('',(-1.50000019254801,-6.50000000765599,8.374051003586)); #526396=CARTESIAN_POINT('Origin',(-2.600000194239,-7.6500000075355,-3.125949009163)); #526397=CARTESIAN_POINT('',(-2.60000019423899,-8.900000009046,-3.425949009163)); #526398=CARTESIAN_POINT('',(-2.90000019423899,-8.900000009046,-3.125949009163)); #526399=CARTESIAN_POINT('Origin',(-2.60000019423899,-8.900000009046,-3.125949009163)); #526400=CARTESIAN_POINT('',(-2.900000194239,-7.6500000075355,-3.125949009163)); #526401=CARTESIAN_POINT('',(-2.600000194239,-7.6500000075355,-3.425949009163)); #526402=CARTESIAN_POINT('Origin',(-3.500000194965,-8.900000009046,2.874051000021)); #526403=CARTESIAN_POINT('',(-3.500000194965,-8.900000009046,0.174326052827462)); #526404=CARTESIAN_POINT('',(-3.500000194965,-8.900000009046,2.874051000021)); #526405=CARTESIAN_POINT('',(-3.400000194965,-8.900000009046,0.0743260528274618)); #526406=CARTESIAN_POINT('Origin',(-3.400000194965,-8.900000009046,0.174326052827462)); #526407=CARTESIAN_POINT('',(-2.900000194239,-8.900000009046,0.0743260528274615)); #526408=CARTESIAN_POINT('',(-3.3500001947835,-8.900000009046,0.0743260528274618)); #526409=CARTESIAN_POINT('',(-2.90000019423899,-8.900000009046,1.224050998027)); #526410=CARTESIAN_POINT('',(-2.40000019327299,-8.900000009046,-3.425949009163)); #526411=CARTESIAN_POINT('',(-3.500000194965,-8.900000009046,-3.425949009163)); #526412=CARTESIAN_POINT('',(-2.10000019327299,-8.900000009046,-3.125949009163)); #526413=CARTESIAN_POINT('Origin',(-2.40000019327299,-8.900000009046,-3.125949009163)); #526414=CARTESIAN_POINT('',(-2.100000193273,-8.900000009046,0.0743259557589597)); #526415=CARTESIAN_POINT('',(-2.10000019327299,-8.900000009046,1.224050998027)); #526416=CARTESIAN_POINT('',(-1.600000192548,-8.90000000904599,0.0743259557589597)); #526417=CARTESIAN_POINT('',(-2.5000001937565,-8.900000009046,0.0743259557589591)); #526418=CARTESIAN_POINT('Origin',(-1.600000192548,-8.90000000904599,0.17432595575896)); #526419=CARTESIAN_POINT('Origin',(-3.500000194965,-8.900000009046,-3.425949009163)); #526420=CARTESIAN_POINT('',(-2.400000193273,-7.6500000075355,-3.425949009163)); #526421=CARTESIAN_POINT('Origin',(-2.400000193273,-7.6500000075355,-3.125949009163)); #526422=CARTESIAN_POINT('',(-2.100000193273,-7.6500000075355,-3.125949009163)); #526423=CARTESIAN_POINT('Origin',(-1.600000192548,-7.4500000072935,0.17432595575896)); #526424=CARTESIAN_POINT('',(-1.600000192548,-7.4500000072935,0.0743259557589594)); #526425=CARTESIAN_POINT('Origin',(-1.500000192548,-6.400000006025,0.0743259557589594)); #526426=CARTESIAN_POINT('',(-2.100000193273,-6.400000006025,0.0743259557589597)); #526427=CARTESIAN_POINT('Origin',(-3.400000194965,-8.62500000871325,0.174326052827462)); #526428=CARTESIAN_POINT('',(-3.500000194965,-8.62500000871325,0.174326052827462)); #526429=CARTESIAN_POINT('',(-3.400000194965,-8.62500000871325,0.0743260528274615)); #526430=CARTESIAN_POINT('Origin',(-3.500000194965,-8.500000008562,2.874051000021)); #526431=CARTESIAN_POINT('',(-3.50000019496501,-6.50000000765599,8.374051003586)); #526432=CARTESIAN_POINT('Origin',(-2.900000194239,-6.400000006025,-4.725949009163)); #526433=CARTESIAN_POINT('',(-2.90000019423899,-8.82500000895525,0.0743260528274615)); #526434=CARTESIAN_POINT('Origin',(-3.200000194602,-8.7500000088645,0.0743260528274615)); #526435=CARTESIAN_POINT('Origin',(-2.100000193273,-6.400000006025,-4.725949009163)); #526436=CARTESIAN_POINT('Origin',(1.499999811078,-7.75000000765598,8.274051000505)); #526437=CARTESIAN_POINT('',(1.499999811078,-6.50000000765598,8.274051000505)); #526438=CARTESIAN_POINT('',(3.499999813495,-6.50000000765597,8.274051000505)); #526439=CARTESIAN_POINT('',(-1.925000193061,-6.50000000765599,8.274051000505)); #526440=CARTESIAN_POINT('',(1.499999811078,-8.500000008562,8.274051000505)); #526441=CARTESIAN_POINT('',(1.499999811078,-7.750000007656,8.274051000505)); #526442=CARTESIAN_POINT('',(3.499999813495,-8.500000008562,8.274051000505)); #526443=CARTESIAN_POINT('',(1.499999811078,-8.500000008562,8.274051000505)); #526444=CARTESIAN_POINT('',(3.499999813495,-7.750000007656,8.274051000505)); #526445=CARTESIAN_POINT('Origin',(3.499999813495,-7.75000000765599,7.974051000142)); #526446=CARTESIAN_POINT('',(3.499999813495,-6.50000000765597,7.974051000142)); #526447=CARTESIAN_POINT('',(1.499999811078,-6.50000000765598,7.974051000142)); #526448=CARTESIAN_POINT('',(-0.925000191852502,-6.50000000765598,7.974051000142)); #526449=CARTESIAN_POINT('',(3.499999813495,-8.450000008502,7.974051000142)); #526450=CARTESIAN_POINT('',(3.499999813495,-8.450000008502,7.974051000142)); #526451=CARTESIAN_POINT('',(1.499999811078,-8.450000008502,7.974051000142)); #526452=CARTESIAN_POINT('',(1.499999811078,-8.450000008502,7.974051000142)); #526453=CARTESIAN_POINT('',(1.499999811078,-7.750000007656,7.974051000142)); #526454=CARTESIAN_POINT('Origin',(1.499999811078,-8.450000008502,7.824050999961)); #526455=CARTESIAN_POINT('',(3.499999813495,-8.600000008683,7.824050999961)); #526456=CARTESIAN_POINT('Origin',(3.499999813495,-8.450000008502,7.824050999961)); #526457=CARTESIAN_POINT('',(1.499999811078,-8.600000008683,7.824050999961)); #526458=CARTESIAN_POINT('',(1.499999811078,-8.600000008683,7.824050999961)); #526459=CARTESIAN_POINT('Origin',(1.499999811078,-8.450000008502,7.824050999961)); #526460=CARTESIAN_POINT('Origin',(1.499999811078,-8.500000008562,7.874051000021)); #526461=CARTESIAN_POINT('',(3.499999813495,-8.900000009046,7.874051000021)); #526462=CARTESIAN_POINT('Origin',(3.499999813495,-8.500000008562,7.874051000021)); #526463=CARTESIAN_POINT('',(1.499999811078,-8.900000009046,7.874051000021)); #526464=CARTESIAN_POINT('Origin',(1.499999811078,-8.500000008562,7.874051000021)); #526465=CARTESIAN_POINT('',(1.499999811078,-8.900000009046,7.874051000021)); #526466=CARTESIAN_POINT('Origin',(1.499999811078,-8.600000008683,-4.725949009163)); #526467=CARTESIAN_POINT('',(3.499999813495,-8.600000008683,0.174326059645179)); #526468=CARTESIAN_POINT('',(3.499999813495,-8.600000008683,-4.725949009163)); #526469=CARTESIAN_POINT('',(3.399999813495,-8.60000000868299,0.0743260596451793)); #526470=CARTESIAN_POINT('Origin',(3.399999813495,-8.60000000868299,0.174326059645179)); #526471=CARTESIAN_POINT('',(2.89999981277,-8.600000008683,0.0743260596451796)); #526472=CARTESIAN_POINT('',(2.4999998122865,-8.600000008683,0.0743260596451793)); #526473=CARTESIAN_POINT('',(2.89999981277001,-8.600000008683,-3.12594907064086)); #526474=CARTESIAN_POINT('',(2.89999981277001,-8.600000008683,-2.575949006565)); #526475=CARTESIAN_POINT('',(2.59999981277001,-8.600000008683,-3.42594907064086)); #526476=CARTESIAN_POINT('Origin',(2.59999981277001,-8.600000008683,-3.12594907064086)); #526477=CARTESIAN_POINT('',(2.39999981180301,-8.600000008683,-3.42594907064086)); #526478=CARTESIAN_POINT('',(1.499999811078,-8.600000008683,-3.42594907064086)); #526479=CARTESIAN_POINT('',(2.099999811803,-8.600000008683,-3.12594907064086)); #526480=CARTESIAN_POINT('Origin',(2.39999981180301,-8.600000008683,-3.12594907064086)); #526481=CARTESIAN_POINT('',(2.099999811803,-8.600000008683,0.0743259106335677)); #526482=CARTESIAN_POINT('',(2.099999811803,-8.600000008683,-4.725949009163)); #526483=CARTESIAN_POINT('',(1.599999811078,-8.600000008683,0.0743259106335674)); #526484=CARTESIAN_POINT('',(1.499999811078,-8.600000008683,0.0743259106335674)); #526485=CARTESIAN_POINT('',(1.499999811078,-8.600000008683,0.174325910633567)); #526486=CARTESIAN_POINT('Origin',(1.599999811078,-8.600000008683,0.174325910633567)); #526487=CARTESIAN_POINT('',(1.499999811078,-8.600000008683,1.324050998148)); #526488=CARTESIAN_POINT('Origin',(3.499999813495,-7.750000007656,3.274051000505)); #526489=CARTESIAN_POINT('',(3.499999813495,-8.900000009046,0.174326059645179)); #526490=CARTESIAN_POINT('',(3.499999813495,-8.900000009046,2.874051000021)); #526491=CARTESIAN_POINT('',(3.499999813495,-7.4500000072935,0.174326059645179)); #526492=CARTESIAN_POINT('',(3.499999813495,-6.50000000765597,8.574051003828)); #526493=CARTESIAN_POINT('Origin',(2.89999981277,-6.400000006025,-4.725949009163)); #526494=CARTESIAN_POINT('',(2.89999981277001,-8.900000009046,-3.12594907064086)); #526495=CARTESIAN_POINT('',(2.89999981277,-7.6500000075355,-3.12594907064086)); #526496=CARTESIAN_POINT('',(2.89999981277,-8.900000009046,0.0743260596451796)); #526497=CARTESIAN_POINT('',(2.89999981277,-6.400000006025,0.0743260596451796)); #526498=CARTESIAN_POINT('',(2.89999981277001,-8.900000009046,1.224050998027)); #526499=CARTESIAN_POINT('Origin',(1.499999811078,-6.400000006025,0.0743259106335674)); #526500=CARTESIAN_POINT('',(1.599999811078,-8.900000009046,0.0743259106335674)); #526501=CARTESIAN_POINT('',(1.599999811078,-7.4500000072935,0.0743259106335674)); #526502=CARTESIAN_POINT('',(2.099999811803,-8.900000009046,0.0743259106335677)); #526503=CARTESIAN_POINT('',(2.099999811803,-6.400000006025,0.0743259106335677)); #526504=CARTESIAN_POINT('',(1.499999811078,-8.900000009046,0.0743259106335674)); #526505=CARTESIAN_POINT('Origin',(2.099999811803,-6.400000006025,-4.725949009163)); #526506=CARTESIAN_POINT('',(2.09999981180301,-8.900000009046,-3.12594907064086)); #526507=CARTESIAN_POINT('',(2.099999811803,-7.6500000075355,-3.12594907064086)); #526508=CARTESIAN_POINT('',(2.09999981180301,-8.900000009046,1.224050998027)); #526509=CARTESIAN_POINT('Origin',(1.599999811078,-7.4500000072935,0.174325910633567)); #526510=CARTESIAN_POINT('',(1.499999811078,-8.900000009046,0.174325910633567)); #526511=CARTESIAN_POINT('Origin',(1.599999811078,-8.900000009046,0.174325910633567)); #526512=CARTESIAN_POINT('',(1.499999811078,-7.4500000072935,0.174325910633567)); #526513=CARTESIAN_POINT('Origin',(3.499999813495,-6.400000006025,0.0743260596451793)); #526514=CARTESIAN_POINT('',(3.399999813495,-8.90000000904599,0.0743260596451793)); #526515=CARTESIAN_POINT('',(3.399999813495,-7.4500000072935,0.0743260596451793)); #526516=CARTESIAN_POINT('',(2.4999998122865,-8.900000009046,0.0743260596451793)); #526517=CARTESIAN_POINT('Origin',(3.399999813495,-7.4500000072935,0.174326059645179)); #526518=CARTESIAN_POINT('Origin',(3.399999813495,-8.90000000904599,0.174326059645179)); #526519=CARTESIAN_POINT('Origin',(2.59999981277,-7.6500000075355,-3.12594907064086)); #526520=CARTESIAN_POINT('',(2.59999981277001,-8.900000009046,-3.42594907064086)); #526521=CARTESIAN_POINT('Origin',(2.59999981277001,-8.900000009046,-3.12594907064086)); #526522=CARTESIAN_POINT('',(2.59999981277,-7.6500000075355,-3.42594907064086)); #526523=CARTESIAN_POINT('Origin',(1.499999811078,-8.900000009046,-3.42594907064086)); #526524=CARTESIAN_POINT('',(2.39999981180301,-8.900000009046,-3.42594907064086)); #526525=CARTESIAN_POINT('',(2.399999811803,-7.6500000075355,-3.42594907064086)); #526526=CARTESIAN_POINT('',(1.499999811078,-8.900000009046,-3.42594907064086)); #526527=CARTESIAN_POINT('Origin',(1.499999811078,-8.900000009046,2.874051000021)); #526528=CARTESIAN_POINT('',(1.499999811078,-8.900000009046,2.874051000021)); #526529=CARTESIAN_POINT('Origin',(2.39999981180301,-8.900000009046,-3.12594907064086)); #526530=CARTESIAN_POINT('Origin',(2.399999811803,-7.6500000075355,-3.12594907064086)); #526531=CARTESIAN_POINT('Origin',(1.499999811078,-7.750000007656,2.974051000142)); #526532=CARTESIAN_POINT('',(1.499999811078,-6.50000000765598,8.4240510036465)); #526533=CARTESIAN_POINT('Origin',(1.499999811078,-6.400000009046,2.874051000021)); #526534=CARTESIAN_POINT('',(1.499999811078,-6.400000009046,1.32405104764897)); #526535=CARTESIAN_POINT('',(3.499999813495,-6.40000000904599,1.32405104764897)); #526536=CARTESIAN_POINT('',(0.749999810171579,-6.400000009046,1.324050998148)); #526537=CARTESIAN_POINT('',(1.499999811078,-6.400000009046,0.174325910633567)); #526538=CARTESIAN_POINT('',(1.499999811078,-6.400000009046,2.874051000021)); #526539=CARTESIAN_POINT('',(1.599999811078,-6.400000009046,0.0743259106335674)); #526540=CARTESIAN_POINT('Origin',(1.599999811078,-6.400000009046,0.174325910633567)); #526541=CARTESIAN_POINT('',(2.099999811803,-6.400000009046,0.0743259106335677)); #526542=CARTESIAN_POINT('',(1.499999811078,-6.400000009046,0.0743259106335674)); #526543=CARTESIAN_POINT('',(2.09999981180301,-6.400000009046,-3.12594907064086)); #526544=CARTESIAN_POINT('',(2.09999981180301,-6.400000009046,1.224050998027)); #526545=CARTESIAN_POINT('',(2.39999981180301,-6.400000009046,-3.42594907064086)); #526546=CARTESIAN_POINT('Origin',(2.39999981180301,-6.400000009046,-3.12594907064086)); #526547=CARTESIAN_POINT('',(2.59999981277001,-6.400000009046,-3.42594907064086)); #526548=CARTESIAN_POINT('',(1.499999811078,-6.400000009046,-3.42594907064086)); #526549=CARTESIAN_POINT('',(2.89999981277001,-6.400000009046,-3.12594907064086)); #526550=CARTESIAN_POINT('Origin',(2.59999981277001,-6.400000009046,-3.12594907064086)); #526551=CARTESIAN_POINT('',(2.89999981277,-6.400000009046,0.0743260596451796)); #526552=CARTESIAN_POINT('',(2.89999981277001,-6.400000009046,1.224050998027)); #526553=CARTESIAN_POINT('',(3.399999813495,-6.40000000904599,0.0743260596451793)); #526554=CARTESIAN_POINT('',(2.4999998122865,-6.400000009046,0.0743260596451793)); #526555=CARTESIAN_POINT('',(3.499999813495,-6.400000009046,0.174326059645179)); #526556=CARTESIAN_POINT('Origin',(3.399999813495,-6.40000000904599,0.174326059645179)); #526557=CARTESIAN_POINT('',(3.499999813495,-6.400000009046,2.874051000021)); #526558=CARTESIAN_POINT('Origin',(1.499999811078,-6.000000008562,2.874051000021)); #526559=CARTESIAN_POINT('',(1.499999811078,-6.100000008683,1.32405104764897)); #526560=CARTESIAN_POINT('',(1.49999981107799,-3.0000000041815,1.324050998148)); #526561=CARTESIAN_POINT('',(1.499999811078,-6.100000008683,0.174325910633567)); #526562=CARTESIAN_POINT('',(1.499999811078,-6.100000008683,-4.725949009163)); #526563=CARTESIAN_POINT('',(1.499999811078,-4.9500000072935,0.174325910633567)); #526564=CARTESIAN_POINT('Origin',(1.499999811078,-6.100000008683,-4.725949009163)); #526565=CARTESIAN_POINT('',(3.499999813495,-6.10000000868299,1.32405104764897)); #526566=CARTESIAN_POINT('',(0.749999810171578,-6.100000008683,1.324050998148)); #526567=CARTESIAN_POINT('',(3.499999813495,-6.100000008683,0.174326059645179)); #526568=CARTESIAN_POINT('',(3.499999813495,-6.100000008683,-4.725949009163)); #526569=CARTESIAN_POINT('',(3.399999813495,-6.10000000868299,0.0743260596451793)); #526570=CARTESIAN_POINT('Origin',(3.399999813495,-6.10000000868299,0.174326059645179)); #526571=CARTESIAN_POINT('',(2.89999981277,-6.100000008683,0.0743260596451796)); #526572=CARTESIAN_POINT('',(2.4999998122865,-6.100000008683,0.0743260596451793)); #526573=CARTESIAN_POINT('',(2.89999981277001,-6.100000008683,-3.12594907064086)); #526574=CARTESIAN_POINT('',(2.89999981277001,-6.100000008683,-2.575949006565)); #526575=CARTESIAN_POINT('',(2.59999981277001,-6.100000008683,-3.42594907064086)); #526576=CARTESIAN_POINT('Origin',(2.59999981277001,-6.100000008683,-3.12594907064086)); #526577=CARTESIAN_POINT('',(2.39999981180301,-6.100000008683,-3.42594907064086)); #526578=CARTESIAN_POINT('',(1.499999811078,-6.100000008683,-3.42594907064086)); #526579=CARTESIAN_POINT('',(2.099999811803,-6.100000008683,-3.12594907064086)); #526580=CARTESIAN_POINT('Origin',(2.39999981180301,-6.100000008683,-3.12594907064086)); #526581=CARTESIAN_POINT('',(2.099999811803,-6.100000008683,0.0743259106335677)); #526582=CARTESIAN_POINT('',(2.099999811803,-6.100000008683,-4.725949009163)); #526583=CARTESIAN_POINT('',(1.599999811078,-6.100000008683,0.0743259106335674)); #526584=CARTESIAN_POINT('',(1.499999811078,-6.100000008683,0.0743259106335674)); #526585=CARTESIAN_POINT('Origin',(1.599999811078,-6.100000008683,0.174325910633567)); #526586=CARTESIAN_POINT('Origin',(3.499999813495,-6.000000008562,2.874051000021)); #526587=CARTESIAN_POINT('',(3.49999981349499,-3.00000000418149,1.324050998148)); #526588=CARTESIAN_POINT('',(3.499999813495,-4.9500000072935,0.174326059645179)); #526589=CARTESIAN_POINT('Origin',(2.89999981277,-3.900000006025,-4.725949009163)); #526590=CARTESIAN_POINT('',(2.89999981277,-5.1500000075355,-3.12594907064086)); #526591=CARTESIAN_POINT('',(2.89999981277,-3.900000006025,0.0743260596451796)); #526592=CARTESIAN_POINT('Origin',(1.499999811078,-3.900000006025,0.0743259106335674)); #526593=CARTESIAN_POINT('',(1.599999811078,-4.9500000072935,0.0743259106335674)); #526594=CARTESIAN_POINT('',(2.099999811803,-3.900000006025,0.0743259106335677)); #526595=CARTESIAN_POINT('Origin',(2.099999811803,-3.900000006025,-4.725949009163)); #526596=CARTESIAN_POINT('',(2.099999811803,-5.1500000075355,-3.12594907064086)); #526597=CARTESIAN_POINT('Origin',(1.599999811078,-4.9500000072935,0.174325910633567)); #526598=CARTESIAN_POINT('Origin',(3.499999813495,-3.900000006025,0.0743260596451793)); #526599=CARTESIAN_POINT('',(3.399999813495,-4.9500000072935,0.0743260596451793)); #526600=CARTESIAN_POINT('Origin',(3.399999813495,-4.9500000072935,0.174326059645179)); #526601=CARTESIAN_POINT('Origin',(2.59999981277,-5.1500000075355,-3.12594907064086)); #526602=CARTESIAN_POINT('',(2.59999981277,-5.1500000075355,-3.42594907064086)); #526603=CARTESIAN_POINT('Origin',(1.499999811078,-6.400000009046,-3.42594907064086)); #526604=CARTESIAN_POINT('',(2.399999811803,-5.1500000075355,-3.42594907064086)); #526605=CARTESIAN_POINT('Origin',(2.399999811803,-5.1500000075355,-3.12594907064086)); #526606=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.324050998148)); #526607=CARTESIAN_POINT('',(-3.650000195146,2.550000003281,1.324050998148)); #526608=CARTESIAN_POINT('',(-1.90734873895E-7,2.550000003281,1.324050998148)); #526609=CARTESIAN_POINT('',(-3.389333528164,2.398502154223,1.324050998148)); #526610=CARTESIAN_POINT('Ctrl Pts',(-3.650000195146,2.550000003281,1.324050998148)); #526611=CARTESIAN_POINT('Ctrl Pts',(-3.648273641696,2.549990382052,1.324050998148)); #526612=CARTESIAN_POINT('Ctrl Pts',(-3.6465470882458,2.54998076082335,1.324050998148)); #526613=CARTESIAN_POINT('Ctrl Pts',(-3.64482033011994,2.54995528161124, 1.324050998148)); #526614=CARTESIAN_POINT('Ctrl Pts',(-3.59361055352994,2.54919965478658, 1.324050998148)); #526615=CARTESIAN_POINT('Ctrl Pts',(-3.54222076184107,2.53449670986955, 1.324050998148)); #526616=CARTESIAN_POINT('Ctrl Pts',(-3.49701945462989,2.50806374164664, 1.324050998148)); #526617=CARTESIAN_POINT('Ctrl Pts',(-3.45181814701131,2.48163077318549, 1.324050998148)); #526618=CARTESIAN_POINT('Ctrl Pts',(-3.412805323982,2.443467781207,1.324050998148)); #526619=CARTESIAN_POINT('Ctrl Pts',(-3.389333528164,2.398502154223,1.324050998148)); #526620=CARTESIAN_POINT('',(-3.350000194783,2.250000002918,1.324050998148)); #526621=CARTESIAN_POINT('Origin',(-3.650000195146,2.250000002918,1.324050998148)); #526622=CARTESIAN_POINT('',(-3.350000194783,1.800000002314,1.324050998148)); #526623=CARTESIAN_POINT('',(-3.350000194783,2.250000002918,1.324050998148)); #526624=CARTESIAN_POINT('',(-3.650000194783,1.500000002314,1.324050998148)); #526625=CARTESIAN_POINT('Origin',(-3.650000194783,1.800000002314,1.324050998148)); #526626=CARTESIAN_POINT('',(-4.75000019719999,1.500000002314,1.324050998148)); #526627=CARTESIAN_POINT('',(-2.67500019396743,1.50000000231401,1.324050998148)); #526628=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,1.324050998148)); #526629=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.324050998148)); #526630=CARTESIAN_POINT('',(1.599999811199,4.550000005758,1.324050998148)); #526631=CARTESIAN_POINT('',(1.599999811199,1.99000260714399E-10,1.324050998148)); #526632=CARTESIAN_POINT('',(1.499999811078,4.550000005758,1.324050998148)); #526633=CARTESIAN_POINT('',(-1.90734707278686E-7,4.55000085684253,1.324050998148)); #526634=CARTESIAN_POINT('',(1.499999811078,4.650000005879,1.324050998148)); #526635=CARTESIAN_POINT('',(1.49999981107801,2.2500000029785,1.324050998148)); #526636=CARTESIAN_POINT('',(-1.500000192548,4.650000005879,1.324050998148)); #526637=CARTESIAN_POINT('',(1.499999811078,4.650000005879,1.324050998148)); #526638=CARTESIAN_POINT('',(-1.500000192548,4.55000085684252,1.324050998148)); #526639=CARTESIAN_POINT('',(-1.500000192548,-0.0499999998009998,1.324050998148)); #526640=CARTESIAN_POINT('',(-1.600000192668,4.55000085684252,1.324050998148)); #526641=CARTESIAN_POINT('',(-1.90734707278686E-7,4.55000085684253,1.324050998148)); #526642=CARTESIAN_POINT('',(-1.60000019266799,2.3000000029785,1.324050998148)); #526643=CARTESIAN_POINT('',(-2.67500019396743,4.80000000606004,1.324050998148)); #526644=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.324050998148)); #526645=CARTESIAN_POINT('',(-3.650000195146,-2.550000002883,1.324050998148)); #526646=CARTESIAN_POINT('',(-1.907348530228E-7,-2.550000002883,1.324050998148)); #526647=CARTESIAN_POINT('',(-4.75000019719998,-1.500000001916,1.324050998148)); #526648=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,1.324050998148)); #526649=CARTESIAN_POINT('',(-3.650000194783,-1.50000000191599,1.324050998148)); #526650=CARTESIAN_POINT('',(-2.57500019384693,-1.50000000191599,1.324050998148)); #526651=CARTESIAN_POINT('',(-3.350000194783,-1.80000000191599,1.324050998148)); #526652=CARTESIAN_POINT('Origin',(-3.650000194783,-1.80000000191599,1.324050998148)); #526653=CARTESIAN_POINT('',(-3.350000194783,-2.25000000252,1.324050998148)); #526654=CARTESIAN_POINT('',(-3.350000194783,-2.25000000252,1.324050998148)); #526655=CARTESIAN_POINT('',(-3.501498043841,-2.510666669502,1.324050998148)); #526656=CARTESIAN_POINT('Ctrl Pts',(-3.350000194783,-2.25000000252,1.324050998148)); #526657=CARTESIAN_POINT('Ctrl Pts',(-3.350009816012,-2.25172655597,1.324050998148)); #526658=CARTESIAN_POINT('Ctrl Pts',(-3.35001943724065,-2.2534531094202, 1.324050998148)); #526659=CARTESIAN_POINT('Ctrl Pts',(-3.35004491645276,-2.25517986754606, 1.324050998148)); #526660=CARTESIAN_POINT('Ctrl Pts',(-3.3508005432774,-2.30638964413606, 1.324050998148)); #526661=CARTESIAN_POINT('Ctrl Pts',(-3.36550348819394,-2.35777943582494, 1.324050998148)); #526662=CARTESIAN_POINT('Ctrl Pts',(-3.39193645641686,-2.40298074303611, 1.324050998148)); #526663=CARTESIAN_POINT('Ctrl Pts',(-3.41836942487802,-2.44818205065468, 1.324050998148)); #526664=CARTESIAN_POINT('Ctrl Pts',(-3.456532416857,-2.487194873684,1.324050998148)); #526665=CARTESIAN_POINT('Ctrl Pts',(-3.501498043841,-2.510666669502,1.324050998148)); #526666=CARTESIAN_POINT('Origin',(-3.650000195146,-2.25000000252,1.324050998148)); #526667=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.324050998148)); #526668=CARTESIAN_POINT('',(4.74999981573001,1.500000002314,1.324050998148)); #526669=CARTESIAN_POINT('',(3.649999813314,1.50000000231399,1.324050998148)); #526670=CARTESIAN_POINT('',(2.67499981249757,1.50000000231399,1.324050998148)); #526671=CARTESIAN_POINT('',(3.349999813314,1.80000000231399,1.324050998148)); #526672=CARTESIAN_POINT('Origin',(3.649999813314,1.80000000231399,1.324050998148)); #526673=CARTESIAN_POINT('',(3.349999813314,2.250000002918,1.324050998148)); #526674=CARTESIAN_POINT('',(3.34999981331401,0.875000001256503,1.324050998148)); #526675=CARTESIAN_POINT('',(3.649999813676,2.550000003281,1.324050998148)); #526676=CARTESIAN_POINT('Origin',(3.649999813676,2.250000002918,1.324050998148)); #526677=CARTESIAN_POINT('',(-1.907348770036E-7,2.550000003281,1.324050998148)); #526678=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,1.324050998148)); #526679=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.324050998148)); #526680=CARTESIAN_POINT('',(4.44999972652547,-4.800000005662,1.324050998148)); #526681=CARTESIAN_POINT('Origin',(4.44999972652547,-4.500000005662,1.32405099814797)); #526682=CARTESIAN_POINT('',(4.74999981573004,-6.20000000765596,1.324050998148)); #526683=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,1.324050998148)); #526684=CARTESIAN_POINT('',(4.44999981573004,-6.50000000765596,1.324050998148)); #526685=CARTESIAN_POINT('Origin',(4.44999981573004,-6.20000000765596,1.324050998148)); #526686=CARTESIAN_POINT('',(-4.45000019719996,-6.500000007656,1.324050998148)); #526687=CARTESIAN_POINT('',(-5.3500001972,-6.500000007656,1.324050998148)); #526688=CARTESIAN_POINT('',(-4.75000019719996,-6.200000007656,1.324050998148)); #526689=CARTESIAN_POINT('Origin',(-4.45000019719996,-6.200000007656,1.324050998148)); #526690=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,1.324050998148)); #526691=CARTESIAN_POINT('',(-4.45000061538181,-4.800000005662,1.324050998148)); #526692=CARTESIAN_POINT('Origin',(-4.45000061538181,-4.500000005662,1.32405099814803)); #526693=CARTESIAN_POINT('',(-1.600000192668,-4.800000005662,1.324050998148)); #526694=CARTESIAN_POINT('',(-2.60000019387693,-4.800000005662,1.324050998148)); #526695=CARTESIAN_POINT('',(-1.600000192668,-4.55000000536,1.324050998148)); #526696=CARTESIAN_POINT('',(-1.600000192668,1.99000260714399E-10,1.324050998148)); #526697=CARTESIAN_POINT('',(-1.500000192548,-4.55000000536,1.324050998148)); #526698=CARTESIAN_POINT('',(-1.90734657457005E-7,-4.55000000535999,1.324050998148)); #526699=CARTESIAN_POINT('',(-1.500000192548,-4.650000005481,1.324050998148)); #526700=CARTESIAN_POINT('',(-1.50000019254801,-2.2500000025805,1.324050998148)); #526701=CARTESIAN_POINT('',(1.499999811078,-4.650000005481,1.324050998148)); #526702=CARTESIAN_POINT('',(-1.500000192548,-4.650000005481,1.324050998148)); #526703=CARTESIAN_POINT('',(1.499999811078,-4.55000000536,1.324050998148)); #526704=CARTESIAN_POINT('',(1.499999811078,0.0500000001990003,1.324050998148)); #526705=CARTESIAN_POINT('',(1.599999811199,-4.55000000536,1.324050998148)); #526706=CARTESIAN_POINT('',(-1.90734657457005E-7,-4.55000000535999,1.324050998148)); #526707=CARTESIAN_POINT('',(1.599999811199,-4.800000005662,1.324050998148)); #526708=CARTESIAN_POINT('',(1.59999981119899,-2.3000000025805,1.324050998148)); #526709=CARTESIAN_POINT('',(2.59999981240707,-4.800000005662,1.324050998148)); #526710=CARTESIAN_POINT('Ctrl Pts',(1.29111255597034,-2.73424430816026, 13.6256460538322)); #526711=CARTESIAN_POINT('Ctrl Pts',(2.20188383590696,-2.30417724894968, 13.6654066974179)); #526712=CARTESIAN_POINT('Ctrl Pts',(3.54381508550129,-0.469360079742655, 13.7449279845894)); #526713=CARTESIAN_POINT('Ctrl Pts',(2.30971875216154,2.73303810618475,13.8642099153467)); #526714=CARTESIAN_POINT('Ctrl Pts',(-1.04856194383506,3.41787190895989, 13.9834918461039)); #526715=CARTESIAN_POINT('Ctrl Pts',(-3.44232354169014,0.96836316338567, 14.1027737768611)); #526716=CARTESIAN_POINT('Ctrl Pts',(-2.67620258793049,-2.37233100465362, 14.2220557076184)); #526717=CARTESIAN_POINT('Ctrl Pts',(0.547682349534934,-3.53369150774533, 14.3413376383756)); #526718=CARTESIAN_POINT('Ctrl Pts',(3.26844690855275,-1.45092616966698, 14.4606195691329)); #526719=CARTESIAN_POINT('Ctrl Pts',(2.98821955445809,1.96436625833303,14.5799014998901)); #526720=CARTESIAN_POINT('Ctrl Pts',(-0.0358754609854743,3.57582096398381, 14.6991834306474)); #526721=CARTESIAN_POINT('Ctrl Pts',(-3.02699759893537,1.90400333416712, 14.8184653614046)); #526722=CARTESIAN_POINT('Ctrl Pts',(-3.23872462272288,-1.51612195268313, 14.9377472921619)); #526723=CARTESIAN_POINT('Ctrl Pts',(-0.476622302407161,-3.54411341110727, 15.0570292229191)); #526724=CARTESIAN_POINT('Ctrl Pts',(2.72311363341172,-2.31788352075631, 15.1763111536763)); #526725=CARTESIAN_POINT('Ctrl Pts',(3.4224684268749,1.03664940358608,15.2955930844336)); #526726=CARTESIAN_POINT('Ctrl Pts',(0.979285904928633,3.43932048797883, 15.4148750151909)); #526727=CARTESIAN_POINT('Ctrl Pts',(-2.3630838060197,2.68398325760225,15.5341569459481)); #526728=CARTESIAN_POINT('Ctrl Pts',(-3.53565285610833,-0.535808079055788, 15.6534388767053)); #526729=CARTESIAN_POINT('Ctrl Pts',(-1.46175915259166,-3.2636160884881, 15.7727208074626)); #526730=CARTESIAN_POINT('Ctrl Pts',(1.9543324309823,-2.99474769661067,15.8920027382198)); #526731=CARTESIAN_POINT('Ctrl Pts',(3.57594129309021,0.0239204193404044, 16.0112846689771)); #526732=CARTESIAN_POINT('Ctrl Pts',(1.91409404760184,3.02062457818107,16.1305665997344)); #526733=CARTESIAN_POINT('Ctrl Pts',(-1.50528877199445,3.2437687913734,16.2498485304916)); #526734=CARTESIAN_POINT('Ctrl Pts',(-3.54250440299437,0.488460336836762, 16.3691304612488)); #526735=CARTESIAN_POINT('Ctrl Pts',(-2.32696631942361,-2.71535602031285, 16.4884123920061)); #526736=CARTESIAN_POINT('Ctrl Pts',(1.02520943529142,-3.42591228509932, 16.6076943227633)); #526737=CARTESIAN_POINT('Ctrl Pts',(3.43602998072104,-0.99077035550878, 16.7269762535206)); #526738=CARTESIAN_POINT('Ctrl Pts',(2.69186223839197,2.35410423124122,16.8462581842778)); #526739=CARTESIAN_POINT('Ctrl Pts',(-0.523994001003293,3.537422901924,16.9655401150351)); #526740=CARTESIAN_POINT('Ctrl Pts',(-3.25871449159062,1.47265331317572, 17.0848220457923)); #526741=CARTESIAN_POINT('Ctrl Pts',(-3.00126067478619,-1.94431708580838, 17.2041039765496)); #526742=CARTESIAN_POINT('Ctrl Pts',(0.0119756215928765,-3.57600188681032, 17.3233859073068)); #526743=CARTESIAN_POINT('Ctrl Pts',(3.01421027244707,-1.92417353490108, 17.442667838064)); #526744=CARTESIAN_POINT('Ctrl Pts',(3.24878486221374,1.49444217107855,17.5619497688213)); #526745=CARTESIAN_POINT('Ctrl Pts',(0.500280580970817,3.54085599197203, 17.6812316995785)); #526746=CARTESIAN_POINT('Ctrl Pts',(-2.70754588491859,2.33601774712781, 17.8005136303358)); #526747=CARTESIAN_POINT('Ctrl Pts',(-3.42936017606475,-1.01374658187979, 17.919795561093)); #526748=CARTESIAN_POINT('Ctrl Pts',(-1.0021621011195,-3.43272554907215, 18.0390774918503)); #526749=CARTESIAN_POINT('Ctrl Pts',(2.34493999631263,-2.6996643043773,18.1583594226075)); #526750=CARTESIAN_POINT('Ctrl Pts',(3.53946108386616,0.51208158836514,18.2776413533648)); #526751=CARTESIAN_POINT('Ctrl Pts',(1.48293322509107,3.25395540133379,18.396923284122)); #526752=CARTESIAN_POINT('Ctrl Pts',(-1.93311610876594,3.00738972921925, 18.5162052148793)); #526753=CARTESIAN_POINT('Ctrl Pts',(-3.57830962953201,0.000666454663338376, 18.6354871456365)); #526754=CARTESIAN_POINT('Ctrl Pts',(-1.92952695901439,-3.00929427707297, 18.7547690763938)); #526755=CARTESIAN_POINT('Ctrl Pts',(0.338025050216778,-3.1691110737072, 18.8342903635652)); #526756=CARTESIAN_POINT('Ctrl Pts',(1.25446167448542,-2.75125234940612, 18.874051007151)); #526757=CARTESIAN_POINT('Ctrl Pts',(1.35235012072381,-2.86392970032469, 13.7084477049384)); #526758=CARTESIAN_POINT('Ctrl Pts',(2.30631934396403,-2.41346453145719, 13.7482083485242)); #526759=CARTESIAN_POINT('Ctrl Pts',(3.71189848333518,-0.49162186002596, 13.8277296356957)); #526760=CARTESIAN_POINT('Ctrl Pts',(2.4192688775777,2.86266628808916,13.9470115664529)); #526761=CARTESIAN_POINT('Ctrl Pts',(-1.09829529858455,3.5799818043706,14.0662934972102)); #526762=CARTESIAN_POINT('Ctrl Pts',(-3.6055931706551,1.01429269360048,14.1855754279674)); #526763=CARTESIAN_POINT('Ctrl Pts',(-2.80313504832938,-2.48485082439598, 14.3048573587247)); #526764=CARTESIAN_POINT('Ctrl Pts',(0.573658969400014,-3.70129473456556, 14.4241392894819)); #526765=CARTESIAN_POINT('Ctrl Pts',(3.42346957516082,-1.51974369587173, 14.5434212202392)); #526766=CARTESIAN_POINT('Ctrl Pts',(3.12995101874023,2.05753628259232,14.6627031509964)); #526767=CARTESIAN_POINT('Ctrl Pts',(-0.0375770274757868,3.74542239373918, 14.7819850817537)); #526768=CARTESIAN_POINT('Ctrl Pts',(-3.17056829039224,1.99431034085747, 14.9012670125109)); #526769=CARTESIAN_POINT('Ctrl Pts',(-3.39233754121402,-1.58803171927841, 15.0205489432682)); #526770=CARTESIAN_POINT('Ctrl Pts',(-0.499228521345782,-3.71221094950766, 15.1398308740254)); #526771=CARTESIAN_POINT('Ctrl Pts',(2.8522711059584,-2.42782089266106,15.2591128047827)); #526772=CARTESIAN_POINT('Ctrl Pts',(3.58479634449669,1.08581775478435,15.3783947355399)); #526773=CARTESIAN_POINT('Ctrl Pts',(1.02573351056835,3.60244769094064,15.4976766662971)); #526774=CARTESIAN_POINT('Ctrl Pts',(-2.47516502145046,2.81128476472616, 15.6169585970544)); #526775=CARTESIAN_POINT('Ctrl Pts',(-3.70334910075784,-0.561221492433139, 15.7362405278116)); #526776=CARTESIAN_POINT('Ctrl Pts',(-1.53109047885197,-3.4184096199372, 15.8555224585689)); #526777=CARTESIAN_POINT('Ctrl Pts',(2.04702655918887,-3.13678878207875, 15.9748043893261)); #526778=CARTESIAN_POINT('Ctrl Pts',(3.74554843911924,0.0250549664354049, 16.0940863200834)); #526779=CARTESIAN_POINT('Ctrl Pts',(2.00487966656774,3.16389300587039,16.2133682508406)); #526780=CARTESIAN_POINT('Ctrl Pts',(-1.57668471104219,3.39762096416136, 16.3326501815979)); #526781=CARTESIAN_POINT('Ctrl Pts',(-3.71052561697531,0.511628043584835, 16.4519321123551)); #526782=CARTESIAN_POINT('Ctrl Pts',(-2.43733448002642,-2.84414553970932, 16.5712140431123)); #526783=CARTESIAN_POINT('Ctrl Pts',(1.07383519707704,-3.58840353611209, 16.6904959738696)); #526784=CARTESIAN_POINT('Ctrl Pts',(3.59900112375744,-1.03776266037783, 16.8097779046268)); #526785=CARTESIAN_POINT('Ctrl Pts',(2.81953745516275,2.46575955387105,16.9290598353841)); #526786=CARTESIAN_POINT('Ctrl Pts',(-0.548847062804906,3.7052031090032, 17.0483417661413)); #526787=CARTESIAN_POINT('Ctrl Pts',(-3.4132755309119,1.54250135924526,17.1676236968986)); #526788=CARTESIAN_POINT('Ctrl Pts',(-3.14361066219289,-2.03653617647997, 17.2869056276558)); #526789=CARTESIAN_POINT('Ctrl Pts',(0.0125436351802004,-3.74561189776644, 17.4061875584131)); #526790=CARTESIAN_POINT('Ctrl Pts',(3.15717447823835,-2.01543721559303, 17.5254694891703)); #526791=CARTESIAN_POINT('Ctrl Pts',(3.40287495663636,1.56532366414962,17.6447514199276)); #526792=CARTESIAN_POINT('Ctrl Pts',(0.524008931752295,3.70879903074401, 17.7640333506848)); #526793=CARTESIAN_POINT('Ctrl Pts',(-2.83596496000994,2.44681522659493, 17.8833152814421)); #526794=CARTESIAN_POINT('Ctrl Pts',(-3.59201495172281,-1.06182865071698, 18.0025972121993)); #526795=CARTESIAN_POINT('Ctrl Pts',(-1.04969470819602,-3.59553995365519, 18.1218791429566)); #526796=CARTESIAN_POINT('Ctrl Pts',(2.45616066771704,-2.82770956462743, 18.2411610737138)); #526797=CARTESIAN_POINT('Ctrl Pts',(3.70733797110851,0.536369652668123, 18.360443004471)); #526798=CARTESIAN_POINT('Ctrl Pts',(1.55326885713287,3.40829072571998,18.4797249352283)); #526799=CARTESIAN_POINT('Ctrl Pts',(-2.02480392728409,3.15003042712868, 18.5990068659855)); #526800=CARTESIAN_POINT('Ctrl Pts',(-3.74802908782523,0.000698064643585057, 18.7182887967428)); #526801=CARTESIAN_POINT('Ctrl Pts',(-2.02104454391749,-3.15202530783458, 18.8375707275)); #526802=CARTESIAN_POINT('Ctrl Pts',(0.354057610218926,-3.31942222592417, 18.9170920146715)); #526803=CARTESIAN_POINT('Ctrl Pts',(1.31396088545536,-2.88174443411524, 18.9568526582573)); #526804=CARTESIAN_POINT('Ctrl Pts',(1.41358768547729,-2.99361509248912, 13.7912493560447)); #526805=CARTESIAN_POINT('Ctrl Pts',(2.4107548520211,-2.5227518139647,13.8310099996305)); #526806=CARTESIAN_POINT('Ctrl Pts',(3.87998188116907,-0.513883640309268, 13.910531286802)); #526807=CARTESIAN_POINT('Ctrl Pts',(2.52881900299385,2.99229446999357,14.0298132175592)); #526808=CARTESIAN_POINT('Ctrl Pts',(-1.14802865333403,3.7420916997813,14.1490951483165)); #526809=CARTESIAN_POINT('Ctrl Pts',(-3.76886279962006,1.06022222381529, 14.2683770790737)); #526810=CARTESIAN_POINT('Ctrl Pts',(-2.93006750872826,-2.59737064413833, 14.387659009831)); #526811=CARTESIAN_POINT('Ctrl Pts',(0.599635589265093,-3.86889796138579, 14.5069409405882)); #526812=CARTESIAN_POINT('Ctrl Pts',(3.5784922417689,-1.58856122207648,14.6262228713455)); #526813=CARTESIAN_POINT('Ctrl Pts',(3.27168248302237,2.15070630685162,14.7455048021027)); #526814=CARTESIAN_POINT('Ctrl Pts',(-0.039278593966097,3.91502382349455, 14.8647867328599)); #526815=CARTESIAN_POINT('Ctrl Pts',(-3.31413898184912,2.08461734754782, 14.9840686636172)); #526816=CARTESIAN_POINT('Ctrl Pts',(-3.54595045970517,-1.6599414858737, 15.1033505943744)); #526817=CARTESIAN_POINT('Ctrl Pts',(-0.521834740284407,-3.88030848790805, 15.2226325251317)); #526818=CARTESIAN_POINT('Ctrl Pts',(2.98142857850509,-2.53775826456582, 15.3419144558889)); #526819=CARTESIAN_POINT('Ctrl Pts',(3.74712426211848,1.13498610598261,15.4611963866461)); #526820=CARTESIAN_POINT('Ctrl Pts',(1.07218111620807,3.76557489390244,15.5804783174034)); #526821=CARTESIAN_POINT('Ctrl Pts',(-2.58724623688121,2.93858627185007, 15.6997602481606)); #526822=CARTESIAN_POINT('Ctrl Pts',(-3.87104534540735,-0.586634905810496, 15.8190421789179)); #526823=CARTESIAN_POINT('Ctrl Pts',(-1.60042180511229,-3.5732031513863, 15.9383241096751)); #526824=CARTESIAN_POINT('Ctrl Pts',(2.13972068739544,-3.27882986754684, 16.0576060404324)); #526825=CARTESIAN_POINT('Ctrl Pts',(3.91515558514826,0.0261895135304076, 16.1768879711896)); #526826=CARTESIAN_POINT('Ctrl Pts',(2.09566528553365,3.30716143355972,16.2961699019469)); #526827=CARTESIAN_POINT('Ctrl Pts',(-1.64808065008993,3.55147313694932, 16.4154518327041)); #526828=CARTESIAN_POINT('Ctrl Pts',(-3.87854683095625,0.534795750332907, 16.5347337634614)); #526829=CARTESIAN_POINT('Ctrl Pts',(-2.54770264062924,-2.97293505910579, 16.6540156942186)); #526830=CARTESIAN_POINT('Ctrl Pts',(1.12246095886266,-3.75089478712486, 16.7732976249759)); #526831=CARTESIAN_POINT('Ctrl Pts',(3.76197226679384,-1.08475496524687, 16.8925795557331)); #526832=CARTESIAN_POINT('Ctrl Pts',(2.94721267193353,2.57741487650089,17.0118614864904)); #526833=CARTESIAN_POINT('Ctrl Pts',(-0.57370012460652,3.8729833160824,17.1311434172476)); #526834=CARTESIAN_POINT('Ctrl Pts',(-3.56783657023317,1.61234940531479, 17.2504253480049)); #526835=CARTESIAN_POINT('Ctrl Pts',(-3.28596064959959,-2.12875526715156, 17.3697072787621)); #526836=CARTESIAN_POINT('Ctrl Pts',(0.0131116487675266,-3.91522190872257, 17.4889892095194)); #526837=CARTESIAN_POINT('Ctrl Pts',(3.30013868402962,-2.10670089628497, 17.6082711402766)); #526838=CARTESIAN_POINT('Ctrl Pts',(3.55696505105898,1.63620515722068,17.7275530710339)); #526839=CARTESIAN_POINT('Ctrl Pts',(0.54773728253377,3.87674206951599,17.8468350017911)); #526840=CARTESIAN_POINT('Ctrl Pts',(-2.9643840351013,2.55761270606205,17.9661169325483)); #526841=CARTESIAN_POINT('Ctrl Pts',(-3.75466972738086,-1.10991071955417, 18.0853988633056)); #526842=CARTESIAN_POINT('Ctrl Pts',(-1.09722731527255,-3.75835435823824, 18.2046807940628)); #526843=CARTESIAN_POINT('Ctrl Pts',(2.56738133912144,-2.95575482487755, 18.3239627248201)); #526844=CARTESIAN_POINT('Ctrl Pts',(3.87521485835085,0.560657716971104, 18.4432446555773)); #526845=CARTESIAN_POINT('Ctrl Pts',(1.62360448917467,3.56262605010618,18.5625265863345)); #526846=CARTESIAN_POINT('Ctrl Pts',(-2.11649174580224,3.29267112503812, 18.6818085170918)); #526847=CARTESIAN_POINT('Ctrl Pts',(-3.91774854611846,0.000729674623835006, 18.801090447849)); #526848=CARTESIAN_POINT('Ctrl Pts',(-2.11256212882059,-3.29475633859619, 18.9203723786063)); #526849=CARTESIAN_POINT('Ctrl Pts',(0.370090170221069,-3.46973337814113, 18.9998936657778)); #526850=CARTESIAN_POINT('Ctrl Pts',(1.3734600964253,-3.01223651882436,19.0396543093636)); #526851=CARTESIAN_POINT('Ctrl Pts',(1.47482525023076,-3.12330048465355, 13.874051007151)); #526852=CARTESIAN_POINT('Ctrl Pts',(2.51519036007817,-2.63203909647221, 13.9138116507367)); #526853=CARTESIAN_POINT('Ctrl Pts',(4.04806527900295,-0.536145420592571, 13.9933329379082)); #526854=CARTESIAN_POINT('Ctrl Pts',(2.63836912841,3.12192265189797,14.1126148686655)); #526855=CARTESIAN_POINT('Ctrl Pts',(-1.19776200808352,3.90420159519201, 14.2318967994227)); #526856=CARTESIAN_POINT('Ctrl Pts',(-3.93213242858503,1.1061517540301,14.35117873018)); #526857=CARTESIAN_POINT('Ctrl Pts',(-3.05699996912714,-2.70989046388068, 14.4704606609372)); #526858=CARTESIAN_POINT('Ctrl Pts',(0.625612209130172,-4.03650118820603, 14.5897425916945)); #526859=CARTESIAN_POINT('Ctrl Pts',(3.73351490837698,-1.65737874828124, 14.7090245224517)); #526860=CARTESIAN_POINT('Ctrl Pts',(3.41341394730451,2.24387633111091,14.828306453209)); #526861=CARTESIAN_POINT('Ctrl Pts',(-0.0409801604564069,4.08462525324992, 14.9475883839662)); #526862=CARTESIAN_POINT('Ctrl Pts',(-3.457709673306,2.17492435423816,15.0668703147235)); #526863=CARTESIAN_POINT('Ctrl Pts',(-3.69956337819631,-1.73185125246899, 15.1861522454807)); #526864=CARTESIAN_POINT('Ctrl Pts',(-0.544440959223032,-4.04840602630844, 15.305434176238)); #526865=CARTESIAN_POINT('Ctrl Pts',(3.11058605105177,-2.64769563647058, 15.4247161069952)); #526866=CARTESIAN_POINT('Ctrl Pts',(3.90945217974027,1.18415445718087,15.5439980377524)); #526867=CARTESIAN_POINT('Ctrl Pts',(1.11862872184779,3.92870209686424,15.6632799685097)); #526868=CARTESIAN_POINT('Ctrl Pts',(-2.69932745231196,3.06588777897398, 15.7825618992669)); #526869=CARTESIAN_POINT('Ctrl Pts',(-4.03874159005686,-0.612048319187848, 15.9018438300242)); #526870=CARTESIAN_POINT('Ctrl Pts',(-1.6697531313726,-3.7279966828354,16.0211257607814)); #526871=CARTESIAN_POINT('Ctrl Pts',(2.232414815602,-3.42087095301492,16.1404076915387)); #526872=CARTESIAN_POINT('Ctrl Pts',(4.08476273117729,0.0273240606254107, 16.2596896222959)); #526873=CARTESIAN_POINT('Ctrl Pts',(2.18645090449955,3.45042986124904,16.3789715530532)); #526874=CARTESIAN_POINT('Ctrl Pts',(-1.71947658913768,3.70532530973729, 16.4982534838104)); #526875=CARTESIAN_POINT('Ctrl Pts',(-4.04656804493718,0.557963457080974, 16.6175354145677)); #526876=CARTESIAN_POINT('Ctrl Pts',(-2.65807080123206,-3.10172457850225, 16.7368173453249)); #526877=CARTESIAN_POINT('Ctrl Pts',(1.17108672064828,-3.91338603813764, 16.8560992760822)); #526878=CARTESIAN_POINT('Ctrl Pts',(3.92494340983024,-1.13174727011591, 16.9753812068394)); #526879=CARTESIAN_POINT('Ctrl Pts',(3.0748878887043,2.68907019913072,17.0946631375966)); #526880=CARTESIAN_POINT('Ctrl Pts',(-0.598553186408135,4.0407635231616, 17.2139450683539)); #526881=CARTESIAN_POINT('Ctrl Pts',(-3.72239760955444,1.68219745138433, 17.3332269991111)); #526882=CARTESIAN_POINT('Ctrl Pts',(-3.42831063700629,-2.22097435782315, 17.4525089298684)); #526883=CARTESIAN_POINT('Ctrl Pts',(0.0136796623548524,-4.08483191967869, 17.5717908606256)); #526884=CARTESIAN_POINT('Ctrl Pts',(3.44310288982089,-2.19796457697692, 17.6910727913829)); #526885=CARTESIAN_POINT('Ctrl Pts',(3.7110551454816,1.70708665029175,17.8103547221401)); #526886=CARTESIAN_POINT('Ctrl Pts',(0.571465633315246,4.04468510828797, 17.9296366528973)); #526887=CARTESIAN_POINT('Ctrl Pts',(-3.09280311019266,2.66841018552918, 18.0489185836546)); #526888=CARTESIAN_POINT('Ctrl Pts',(-3.91732450303892,-1.15799278839136, 18.1682005144119)); #526889=CARTESIAN_POINT('Ctrl Pts',(-1.14475992234908,-3.92116876282127, 18.2874824451691)); #526890=CARTESIAN_POINT('Ctrl Pts',(2.67860201052585,-3.08380008512768, 18.4067643759264)); #526891=CARTESIAN_POINT('Ctrl Pts',(4.0430917455932,0.584945781274094,18.5260463066836)); #526892=CARTESIAN_POINT('Ctrl Pts',(1.69394012121647,3.71696137449236,18.6453282374409)); #526893=CARTESIAN_POINT('Ctrl Pts',(-2.20817956432039,3.43531182294756, 18.7646101681981)); #526894=CARTESIAN_POINT('Ctrl Pts',(-4.08746800441168,0.000761284604081033, 18.8838920989553)); #526895=CARTESIAN_POINT('Ctrl Pts',(-2.20407971372369,-3.4374873693578, 19.0031740297126)); #526896=CARTESIAN_POINT('Ctrl Pts',(0.386122730223216,-3.6200445303581, 19.0826953168841)); #526897=CARTESIAN_POINT('Ctrl Pts',(1.43295930739524,-3.14272860353349, 19.1224559604698)); #526898=CARTESIAN_POINT('',(-3.44227047475243,0.284413944601434,18.874051007151)); #526899=CARTESIAN_POINT('Ctrl Pts',(-3.44788145746671,0.283350141200085, 18.874051007151)); #526900=CARTESIAN_POINT('Ctrl Pts',(-3.45052603118261,0.188742659321764, 18.874051007151)); #526901=CARTESIAN_POINT('Ctrl Pts',(-3.44903228314685,0.0942704795033789, 18.874051007151)); #526902=CARTESIAN_POINT('Ctrl Pts',(-3.4432785832949,0.000144216771737617, 18.874051007151)); #526903=CARTESIAN_POINT('Ctrl Pts',(-3.43662261177385,-0.108742541356898, 18.874051007151)); #526904=CARTESIAN_POINT('Ctrl Pts',(-3.42426705412749,-0.217167066224643, 18.874051007151)); #526905=CARTESIAN_POINT('Ctrl Pts',(-3.40648032165704,-0.324796863864568, 18.874051007151)); #526906=CARTESIAN_POINT('Ctrl Pts',(-3.38836699356445,-0.43440293346891, 18.874051007151)); #526907=CARTESIAN_POINT('Ctrl Pts',(-3.36462081010047,-0.543185645130318, 18.874051007151)); #526908=CARTESIAN_POINT('Ctrl Pts',(-3.33547390972458,-0.650778312614148, 18.874051007151)); #526909=CARTESIAN_POINT('Ctrl Pts',(-3.30633555690453,-0.758339427709174, 18.874051007151)); #526910=CARTESIAN_POINT('Ctrl Pts',(-3.27179910648859,-0.864711988807318, 18.874051007151)); #526911=CARTESIAN_POINT('Ctrl Pts',(-3.23205303322718,-0.969502121161408, 18.874051007151)); #526912=CARTESIAN_POINT('Ctrl Pts',(-3.22618528581481,-0.984972379667684, 18.874051007151)); #526913=CARTESIAN_POINT('Ctrl Pts',(-3.22020325336633,-1.00040978568562, 18.874051007151)); #526914=CARTESIAN_POINT('Ctrl Pts',(-3.21410959547756,-1.01580765290779, 18.874051007151)); #526915=CARTESIAN_POINT('Ctrl Pts',(-3.17353833997847,-1.11832584749881, 18.874051007151)); #526916=CARTESIAN_POINT('Ctrl Pts',(-3.12815483753398,-1.21876316711727, 18.874051007151)); #526917=CARTESIAN_POINT('Ctrl Pts',(-3.07822385054057,-1.31678533290637, 18.874051007151)); #526918=CARTESIAN_POINT('Ctrl Pts',(-3.02812680456193,-1.4151334978868, 18.874051007151)); #526919=CARTESIAN_POINT('Ctrl Pts',(-2.97345142206254,-1.51105120479266, 18.874051007151)); #526920=CARTESIAN_POINT('Ctrl Pts',(-2.91441906812992,-1.60417576084128, 18.874051007151)); #526921=CARTESIAN_POINT('Ctrl Pts',(-2.85605535668426,-1.69624552183932, 18.874051007151)); #526922=CARTESIAN_POINT('Ctrl Pts',(-2.79343221065809,-1.78558572100119, 18.874051007151)); #526923=CARTESIAN_POINT('Ctrl Pts',(-2.72672740251632,-1.87181063195036, 18.874051007151)); #526924=CARTESIAN_POINT('Ctrl Pts',(-2.69330507632008,-1.9150134711499, 18.874051007151)); #526925=CARTESIAN_POINT('Ctrl Pts',(-2.65885753812405,-1.95743360198912, 18.874051007151)); #526926=CARTESIAN_POINT('Ctrl Pts',(-2.62340438432091,-1.99901831806999, 18.874051007151)); #526927=CARTESIAN_POINT('Ctrl Pts',(-2.59329863987682,-2.03433079949441, 18.874051007151)); #526928=CARTESIAN_POINT('Ctrl Pts',(-2.56246772187744,-2.06904083148628, 18.874051007151)); #526929=CARTESIAN_POINT('Ctrl Pts',(-2.53092275631862,-2.10311431156067, 18.874051007151)); #526930=CARTESIAN_POINT('Ctrl Pts',(-2.51987611274631,-2.11504640858414, 18.874051007151)); #526931=CARTESIAN_POINT('Ctrl Pts',(-2.50874699620577,-2.12689487760239, 18.874051007151)); #526932=CARTESIAN_POINT('Ctrl Pts',(-2.49754043197471,-2.13865401325952, 18.874051007151)); #526933=CARTESIAN_POINT('Ctrl Pts',(-2.42345898447109,-2.21638825659318, 18.874051007151)); #526934=CARTESIAN_POINT('Ctrl Pts',(-2.34593744827001,-2.29028509184834, 18.874051007151)); #526935=CARTESIAN_POINT('Ctrl Pts',(-2.26517762416418,-2.36000526041983, 18.874051007151)); #526936=CARTESIAN_POINT('Ctrl Pts',(-2.18531824412579,-2.42894807325948, 18.874051007151)); #526937=CARTESIAN_POINT('Ctrl Pts',(-2.10229181489953,-2.49380742963239, 18.874051007151)); #526938=CARTESIAN_POINT('Ctrl Pts',(-2.01626373507098,-2.55421391287374, 18.874051007151)); #526939=CARTESIAN_POINT('Ctrl Pts',(-1.93023565524243,-2.61462039611509, 18.874051007151)); #526940=CARTESIAN_POINT('Ctrl Pts',(-1.84120543637741,-2.67057466571779, 18.874051007151)); #526941=CARTESIAN_POINT('Ctrl Pts',(-1.7493163905924,-2.72165624275769, 18.874051007151)); #526942=CARTESIAN_POINT('Ctrl Pts',(-1.69267136888381,-2.75314549172762, 18.874051007151)); #526943=CARTESIAN_POINT('Ctrl Pts',(-1.63493945278259,-2.78278184543624, 18.874051007151)); #526944=CARTESIAN_POINT('Ctrl Pts',(-1.57621155526438,-2.81055724860856, 18.874051007151)); #526945=CARTESIAN_POINT('Ctrl Pts',(-1.55561362620986,-2.82029905460163, 18.874051007151)); #526946=CARTESIAN_POINT('Ctrl Pts',(-1.53489317218982,-2.8298118724778, 18.874051007151)); #526947=CARTESIAN_POINT('Ctrl Pts',(-1.51405411156156,-2.83909514997191, 18.874051007151)); #526948=CARTESIAN_POINT('Ctrl Pts',(-1.50011585130095,-2.84530429442868, 18.874051007151)); #526949=CARTESIAN_POINT('Ctrl Pts',(-1.48613383619097,-2.85140659947134, 18.874051007151)); #526950=CARTESIAN_POINT('Ctrl Pts',(-1.4721101406003,-2.85740200142242, 18.874051007151)); #526951=CARTESIAN_POINT('Ctrl Pts',(-1.37726051152792,-2.89795205811209, 18.874051007151)); #526952=CARTESIAN_POINT('Ctrl Pts',(-1.28049440212464,-2.93362090110905, 18.874051007151)); #526953=CARTESIAN_POINT('Ctrl Pts',(-1.18220583252104,-2.96446528592977, 18.874051007151)); #526954=CARTESIAN_POINT('Ctrl Pts',(-1.08159253909976,-2.99603920294659, 18.874051007151)); #526955=CARTESIAN_POINT('Ctrl Pts',(-0.979383026548512,-3.02255788335208, 18.874051007151)); #526956=CARTESIAN_POINT('Ctrl Pts',(-0.875985879952506,-3.04402983919184, 18.874051007151)); #526957=CARTESIAN_POINT('Ctrl Pts',(-0.773033546505806,-3.06540942296319, 18.874051007151)); #526958=CARTESIAN_POINT('Ctrl Pts',(-0.668902835426629,-3.08178552471209, 18.874051007151)); #526959=CARTESIAN_POINT('Ctrl Pts',(-0.563997200585521,-3.09311521527353, 18.874051007151)); #526960=CARTESIAN_POINT('Ctrl Pts',(-0.517905160761508,-3.09809310372864, 18.874051007151)); #526961=CARTESIAN_POINT('Ctrl Pts',(-0.471663613002857,-3.10209615686267, 18.874051007151)); #526962=CARTESIAN_POINT('Ctrl Pts',(-0.425307493830965,-3.10511763962292, 18.874051007151)); #526963=CARTESIAN_POINT('Ctrl Pts',(-0.401226259589197,-3.10668724975006, 18.874051007151)); #526964=CARTESIAN_POINT('Ctrl Pts',(-0.377114116782676,-3.1079919394468, 18.874051007151)); #526965=CARTESIAN_POINT('Ctrl Pts',(-0.352976039803525,-3.10903056442329, 18.874051007151)); #526966=CARTESIAN_POINT('Ctrl Pts',(-0.330585256592553,-3.10999400598933, 18.874051007151)); #526967=CARTESIAN_POINT('Ctrl Pts',(-0.308167569838923,-3.11072850503192, 18.874051007151)); #526968=CARTESIAN_POINT('Ctrl Pts',(-0.285756100805737,-3.1112327034353, 18.874051007151)); #526969=CARTESIAN_POINT('Ctrl Pts',(-0.181241767841306,-3.11358399775398, 18.874051007151)); #526970=CARTESIAN_POINT('Ctrl Pts',(-0.0767509300782069,-3.11093777658052, 18.874051007151)); #526971=CARTESIAN_POINT('Ctrl Pts',(0.0273196291452066,-3.10328119368522, 18.874051007151)); #526972=CARTESIAN_POINT('Ctrl Pts',(0.134337788716679,-3.09540775266429, 18.874051007151)); #526973=CARTESIAN_POINT('Ctrl Pts',(0.240912607728546,-3.0822363007479, 18.874051007151)); #526974=CARTESIAN_POINT('Ctrl Pts',(0.346598055075699,-3.06369648383362, 18.874051007151)); #526975=CARTESIAN_POINT('Ctrl Pts',(0.395455462715738,-3.0551256974549, 18.874051007151)); #526976=CARTESIAN_POINT('Ctrl Pts',(0.444122497300778,-3.0454068290684, 18.874051007151)); #526977=CARTESIAN_POINT('Ctrl Pts',(0.49255307649408,-3.03452885805637, 18.874051007151)); #526978=CARTESIAN_POINT('Ctrl Pts',(0.511687414768208,-3.03023110278382, 18.874051007151)); #526979=CARTESIAN_POINT('Ctrl Pts',(0.530777558104705,-3.02575397477854, 18.874051007151)); #526980=CARTESIAN_POINT('Ctrl Pts',(0.549813636303145,-3.02109896346394, 18.874051007151)); #526981=CARTESIAN_POINT('Ctrl Pts',(0.648295731098954,-2.99701652060039, 18.874051007151)); #526982=CARTESIAN_POINT('Ctrl Pts',(0.745392799814015,-2.96816343540644, 18.874051007151)); #526983=CARTESIAN_POINT('Ctrl Pts',(0.840729054072094,-2.93449379082295, 18.874051007151)); #526984=CARTESIAN_POINT('Ctrl Pts',(0.933414516214888,-2.90176031925235, 18.874051007151)); #526985=CARTESIAN_POINT('Ctrl Pts',(1.02443635149388,-2.86447414717359, 18.874051007151)); #526986=CARTESIAN_POINT('Ctrl Pts',(1.11342557803086,-2.82255042567286, 18.874051007151)); #526987=CARTESIAN_POINT('Ctrl Pts',(1.1610401049372,-2.80011874216361,18.874051007151)); #526988=CARTESIAN_POINT('Ctrl Pts',(1.20807225570432,-2.77635867046522, 18.874051007151)); #526989=CARTESIAN_POINT('Ctrl Pts',(1.25446167448542,-2.75125234940612, 18.874051007151)); #526990=CARTESIAN_POINT('Ctrl Pts',(3.45717382987894,0.00256648380479073, 18.5088911625202)); #526991=CARTESIAN_POINT('Ctrl Pts',(3.45855395251816,0.167611601519721, 18.514609543908)); #526992=CARTESIAN_POINT('Ctrl Pts',(3.4477538877323,0.3324763231389,18.5203279252958)); #526993=CARTESIAN_POINT('Ctrl Pts',(3.42415151901919,0.495490735743511, 18.5260463066836)); #526994=CARTESIAN_POINT('Ctrl Pts',(3.26004120413429,1.62895097901352,18.5658069502693)); #526995=CARTESIAN_POINT('Ctrl Pts',(2.47699066267538,2.67295617675295,18.6055675938551)); #526996=CARTESIAN_POINT('Ctrl Pts',(1.4351121110231,3.14801718369852,18.6453282374409)); #526997=CARTESIAN_POINT('Ctrl Pts',(0.39323355937085,3.62307819064409,18.6850888810266)); #526998=CARTESIAN_POINT('Ctrl Pts',(-0.907473002474765,3.52919500679582, 18.7248495246123)); #526999=CARTESIAN_POINT('Ctrl Pts',(-1.87104102341278,2.90982832514779, 18.7646101681981)); #527000=CARTESIAN_POINT('Ctrl Pts',(-2.75511199652822,2.34156112131633, 18.8010904478491)); #527001=CARTESIAN_POINT('Ctrl Pts',(-3.35537936336973,1.33094131279148, 18.8375707275)); #527002=CARTESIAN_POINT('Ctrl Pts',(-3.4478814574667,0.283350141200158, 18.874051007151)); #527003=CARTESIAN_POINT('',(1.75542330363439,2.4620220183613,13.874051007151)); #527004=CARTESIAN_POINT('Ctrl Pts',(1.75930580668168,2.46517188708212,13.874051007151)); #527005=CARTESIAN_POINT('Ctrl Pts',(1.8305636036385,2.42195635832184,13.874051007151)); #527006=CARTESIAN_POINT('Ctrl Pts',(1.89977393151758,2.37571454899774,13.874051007151)); #527007=CARTESIAN_POINT('Ctrl Pts',(1.96671249322682,2.32648522650389,13.874051007151)); #527008=CARTESIAN_POINT('Ctrl Pts',(2.04624863923931,2.26799113159079,13.874051007151)); #527009=CARTESIAN_POINT('Ctrl Pts',(2.12257884572645,2.20528056801358,13.874051007151)); #527010=CARTESIAN_POINT('Ctrl Pts',(2.1956158585347,2.13874303294729,13.874051007151)); #527011=CARTESIAN_POINT('Ctrl Pts',(2.23936760560832,2.09888470231204,13.874051007151)); #527012=CARTESIAN_POINT('Ctrl Pts',(2.28193714548228,2.05765215050193,13.874051007151)); #527013=CARTESIAN_POINT('Ctrl Pts',(2.32330092538559,2.01512178066122,13.874051007151)); #527014=CARTESIAN_POINT('Ctrl Pts',(2.33974868080312,1.99821014631367,13.874051007151)); #527015=CARTESIAN_POINT('Ctrl Pts',(2.35600574437962,1.98109325262119,13.874051007151)); #527016=CARTESIAN_POINT('Ctrl Pts',(2.37207045786837,1.9637756965071,13.874051007151)); #527017=CARTESIAN_POINT('Ctrl Pts',(2.38299164973497,1.95200279105722,13.874051007151)); #527018=CARTESIAN_POINT('Ctrl Pts',(2.39382283669606,1.94013826298176,13.874051007151)); #527019=CARTESIAN_POINT('Ctrl Pts',(2.40455727611533,1.9281907594835,13.874051007151)); #527020=CARTESIAN_POINT('Ctrl Pts',(2.47208835738465,1.85302821040256,13.874051007151)); #527021=CARTESIAN_POINT('Ctrl Pts',(2.53586359844607,1.77450937615152,13.874051007151)); #527022=CARTESIAN_POINT('Ctrl Pts',(2.59579379682725,1.69303907104409,13.874051007151)); #527023=CARTESIAN_POINT('Ctrl Pts',(2.65143545033711,1.61739869923524,13.874051007151)); #527024=CARTESIAN_POINT('Ctrl Pts',(2.70376265186731,1.53921321948308,13.874051007151)); #527025=CARTESIAN_POINT('Ctrl Pts',(2.75268001175575,1.45877665489729,13.874051007151)); #527026=CARTESIAN_POINT('Ctrl Pts',(2.77408135670695,1.42358565789101,13.874051007151)); #527027=CARTESIAN_POINT('Ctrl Pts',(2.79482971391095,1.38796346313951,13.874051007151)); #527028=CARTESIAN_POINT('Ctrl Pts',(2.81491549954434,1.35193333326369,13.874051007151)); #527029=CARTESIAN_POINT('Ctrl Pts',(2.82699464596725,1.33026561150512,13.874051007151)); #527030=CARTESIAN_POINT('Ctrl Pts',(2.83883415040026,1.30845034333912,13.874051007151)); #527031=CARTESIAN_POINT('Ctrl Pts',(2.85043174477421,1.28649247019822,13.874051007151)); #527032=CARTESIAN_POINT('Ctrl Pts',(2.85892556012431,1.27041102141444,13.874051007151)); #527033=CARTESIAN_POINT('Ctrl Pts',(2.86728587559924,1.25426008210391,13.874051007151)); #527034=CARTESIAN_POINT('Ctrl Pts',(2.8755089762056,1.23804816730777,13.874051007151)); #527035=CARTESIAN_POINT('Ctrl Pts',(2.92317719941747,1.14406984815696,13.874051007151)); #527036=CARTESIAN_POINT('Ctrl Pts',(2.96627215787633,1.04798001322382,13.874051007151)); #527037=CARTESIAN_POINT('Ctrl Pts',(3.00469245358345,0.950199386712016, 13.874051007151)); #527038=CARTESIAN_POINT('Ctrl Pts',(3.04356827565509,0.851259434055117, 13.874051007151)); #527039=CARTESIAN_POINT('Ctrl Pts',(3.07765844272201,0.750587574139373, 13.874051007151)); #527040=CARTESIAN_POINT('Ctrl Pts',(3.10681756191701,0.648585201667409, 13.874051007151)); #527041=CARTESIAN_POINT('Ctrl Pts',(3.13566403253936,0.547676514362981, 13.874051007151)); #527042=CARTESIAN_POINT('Ctrl Pts',(3.15968499405699,0.445464817389076, 13.874051007151)); #527043=CARTESIAN_POINT('Ctrl Pts',(3.17869411265862,0.342317792242397, 13.874051007151)); #527044=CARTESIAN_POINT('Ctrl Pts',(3.19034537522163,0.279095867135685, 13.874051007151)); #527045=CARTESIAN_POINT('Ctrl Pts',(3.2001128598271,0.215522198542376,13.874051007151)); #527046=CARTESIAN_POINT('Ctrl Pts',(3.20794413594448,0.151679636083866, 13.874051007151)); #527047=CARTESIAN_POINT('Ctrl Pts',(3.20993950986582,0.135412838400889, 13.874051007151)); #527048=CARTESIAN_POINT('Ctrl Pts',(3.21180930842358,0.119127041675602, 13.874051007151)); #527049=CARTESIAN_POINT('Ctrl Pts',(3.21355207283853,0.102828411970996, 13.874051007151)); #527050=CARTESIAN_POINT('Ctrl Pts',(3.22501833978566,-0.00440606990278798, 13.874051007151)); #527051=CARTESIAN_POINT('Ctrl Pts',(3.23094856365021,-0.111803217948179, 13.874051007151)); #527052=CARTESIAN_POINT('Ctrl Pts',(3.23120702762746,-0.218953198486653, 13.874051007151)); #527053=CARTESIAN_POINT('Ctrl Pts',(3.23137181622133,-0.287268693801874, 13.874051007151)); #527054=CARTESIAN_POINT('Ctrl Pts',(3.22923017970664,-0.355484276890591, 13.874051007151)); #527055=CARTESIAN_POINT('Ctrl Pts',(3.22473653391617,-0.423497532731337, 13.874051007151)); #527056=CARTESIAN_POINT('Ctrl Pts',(3.21789228163791,-0.52708822566645, 13.874051007151)); #527057=CARTESIAN_POINT('Ctrl Pts',(3.20559457831157,-0.630209765040864, 13.874051007151)); #527058=CARTESIAN_POINT('Ctrl Pts',(3.18810962273481,-0.732583880371323, 13.874051007151)); #527059=CARTESIAN_POINT('Ctrl Pts',(3.17029535326345,-0.836886122912532, 13.874051007151)); #527060=CARTESIAN_POINT('Ctrl Pts',(3.14709639585432,-0.94041324254473, 13.874051007151)); #527061=CARTESIAN_POINT('Ctrl Pts',(3.11874049564278,-1.04286650826626, 13.874051007151)); #527062=CARTESIAN_POINT('Ctrl Pts',(3.1108510715632,-1.07137194295832,13.874051007151)); #527063=CARTESIAN_POINT('Ctrl Pts',(3.10256213786566,-1.09979418672881, 13.874051007151)); #527064=CARTESIAN_POINT('Ctrl Pts',(3.09387791275431,-1.12812662591317, 13.874051007151)); #527065=CARTESIAN_POINT('Ctrl Pts',(3.08981820222252,-1.14137150386982, 13.874051007151)); #527066=CARTESIAN_POINT('Ctrl Pts',(3.08567272494361,-1.1545945904553,13.874051007151)); #527067=CARTESIAN_POINT('Ctrl Pts',(3.08144481563747,-1.16778672883248, 13.874051007151)); #527068=CARTESIAN_POINT('Ctrl Pts',(3.04855412839753,-1.27041392964776, 13.874051007151)); #527069=CARTESIAN_POINT('Ctrl Pts',(3.01063446726139,-1.37131555046669, 13.874051007151)); #527070=CARTESIAN_POINT('Ctrl Pts',(2.96800104949962,-1.47019615390983, 13.874051007151)); #527071=CARTESIAN_POINT('Ctrl Pts',(2.92485430613949,-1.57026732446192, 13.874051007151)); #527072=CARTESIAN_POINT('Ctrl Pts',(2.87687929442161,-1.66826927143432, 13.874051007151)); #527073=CARTESIAN_POINT('Ctrl Pts',(2.82434746222507,-1.76389117462741, 13.874051007151)); #527074=CARTESIAN_POINT('Ctrl Pts',(2.77150476201856,-1.86007894026068, 13.874051007151)); #527075=CARTESIAN_POINT('Ctrl Pts',(2.7140507664457,-1.95385921296044,13.874051007151)); #527076=CARTESIAN_POINT('Ctrl Pts',(2.65221153862091,-2.04489923490228, 13.874051007151)); #527077=CARTESIAN_POINT('Ctrl Pts',(2.61447482899971,-2.10045540843119, 13.874051007151)); #527078=CARTESIAN_POINT('Ctrl Pts',(2.5751041420448,-2.1549907668962,13.874051007151)); #527079=CARTESIAN_POINT('Ctrl Pts',(2.53414268220151,-2.20842474172558, 13.874051007151)); #527080=CARTESIAN_POINT('Ctrl Pts',(2.51831575453143,-2.22907087245394, 13.874051007151)); #527081=CARTESIAN_POINT('Ctrl Pts',(2.50225127250142,-2.24955252586016, 13.874051007151)); #527082=CARTESIAN_POINT('Ctrl Pts',(2.48595150288338,-2.26986486217585, 13.874051007151)); #527083=CARTESIAN_POINT('Ctrl Pts',(2.47572063532411,-2.28261429529882, 13.874051007151)); #527084=CARTESIAN_POINT('Ctrl Pts',(2.46540227247239,-2.29529049982672, 13.874051007151)); #527085=CARTESIAN_POINT('Ctrl Pts',(2.45500040339424,-2.30788873016448, 13.874051007151)); #527086=CARTESIAN_POINT('Ctrl Pts',(2.3835049666118,-2.39448047381326,13.874051007151)); #527087=CARTESIAN_POINT('Ctrl Pts',(2.30802274036302,-2.47744708991297, 13.874051007151)); #527088=CARTESIAN_POINT('Ctrl Pts',(2.22883368777627,-2.55646899209942, 13.874051007151)); #527089=CARTESIAN_POINT('Ctrl Pts',(2.14978619678189,-2.63534963149839, 13.874051007151)); #527090=CARTESIAN_POINT('Ctrl Pts',(2.06704463781916,-2.71030044012597, 13.874051007151)); #527091=CARTESIAN_POINT('Ctrl Pts',(1.98084138799814,-2.78097998659583, 13.874051007151)); #527092=CARTESIAN_POINT('Ctrl Pts',(1.89665534974137,-2.85000558615776, 13.874051007151)); #527093=CARTESIAN_POINT('Ctrl Pts',(1.80916716904201,-2.91495810520366, 13.874051007151)); #527094=CARTESIAN_POINT('Ctrl Pts',(1.71855726137466,-2.97548681217331, 13.874051007151)); #527095=CARTESIAN_POINT('Ctrl Pts',(1.63964791203189,-3.02819937977761, 13.874051007151)); #527096=CARTESIAN_POINT('Ctrl Pts',(1.55837029931223,-3.07755668159628, 13.874051007151)); #527097=CARTESIAN_POINT('Ctrl Pts',(1.47482525023078,-3.12330048465354, 13.874051007151)); #527098=CARTESIAN_POINT('Ctrl Pts',(1.75930580668167,2.46517188708212,13.874051007151)); #527099=CARTESIAN_POINT('Ctrl Pts',(1.00667437435793,2.99542741220337,13.910531286802)); #527100=CARTESIAN_POINT('Ctrl Pts',(-1.11439261210325,3.35050814232816, 13.9867722100387)); #527101=CARTESIAN_POINT('Ctrl Pts',(-3.44232354169014,0.96836316338567, 14.1027737768611)); #527102=CARTESIAN_POINT('Ctrl Pts',(-2.67620258793049,-2.37233100465362, 14.2220557076184)); #527103=CARTESIAN_POINT('Ctrl Pts',(0.547682349534934,-3.53369150774533, 14.3413376383756)); #527104=CARTESIAN_POINT('Ctrl Pts',(2.74067023256937,-1.85494310797244, 14.4374811389033)); #527105=CARTESIAN_POINT('Ctrl Pts',(3.02096140304231,-0.423856584489502, 14.4938639958452)); #527106=CARTESIAN_POINT('Ctrl Pts',(3.01931036916349,-0.0013365533874302, 14.5104862092014)); #527107=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 12.4876158051006)); #527108=CARTESIAN_POINT('',(-1.75542368510434,2.46202201836133,13.874051007151)); #527109=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #527110=CARTESIAN_POINT('Ctrl Pts',(-1.75542368510434,2.46202201836133, 13.874051007151)); #527111=CARTESIAN_POINT('Ctrl Pts',(-2.57551826767638,1.87729398293681, 13.9138116507367)); #527112=CARTESIAN_POINT('Ctrl Pts',(-3.5708897793898,-0.166370465264003, 13.9933329379082)); #527113=CARTESIAN_POINT('Ctrl Pts',(-1.78857716734531,-3.09924089842446, 14.1126148686655)); #527114=CARTESIAN_POINT('Ctrl Pts',(1.63791745792159,-3.17782169404849, 14.2318967994227)); #527115=CARTESIAN_POINT('Ctrl Pts',(2.98037021507756,-1.19714149791972, 14.3152304449011)); #527116=CARTESIAN_POINT('Ctrl Pts',(3.02821655359372,-0.0980763500399707, 14.3588034467937)); #527117=CARTESIAN_POINT('Ctrl Pts',(3.02757717608853,-0.00185539114409359, 14.3626158051006)); #527118=CARTESIAN_POINT('Ctrl Pts',(-2.00520324970207,2.81234363004033, 13.6256460538322)); #527119=CARTESIAN_POINT('Ctrl Pts',(-2.94198925654914,2.14441452401097, 13.6654066974179)); #527120=CARTESIAN_POINT('Ctrl Pts',(-4.07899238228975,-0.190043352495229, 13.7449279845894)); #527121=CARTESIAN_POINT('Ctrl Pts',(-2.0430741476468,-3.54023251368125, 13.8642099153467)); #527122=CARTESIAN_POINT('Ctrl Pts',(1.87097709193051,-3.6299945866322,13.9834918461039)); #527123=CARTESIAN_POINT('Ctrl Pts',(4.0659529229159,-0.391485718264679, 14.1027737768611)); #527124=CARTESIAN_POINT('Ctrl Pts',(2.52812353914951,3.20894755766597,14.2220557076184)); #527125=CARTESIAN_POINT('Ctrl Pts',(-1.331348232987,3.86163732266973,14.3413376383756)); #527126=CARTESIAN_POINT('Ctrl Pts',(-3.96821168948316,0.969193419193027, 14.4606195691329)); #527127=CARTESIAN_POINT('Ctrl Pts',(-2.96151341130579,-2.81350592238569, 14.5799014998901)); #527128=CARTESIAN_POINT('Ctrl Pts',(0.764509329376408,-4.01265082309645, 14.6991834306474)); #527129=CARTESIAN_POINT('Ctrl Pts',(3.78853264317867,-1.52743859407212, 14.8184653614046)); #527130=CARTESIAN_POINT('Ctrl Pts',(3.33390776603703,2.3603247967854,14.9377472921619)); #527131=CARTESIAN_POINT('Ctrl Pts',(-0.181941804558767,4.08079687705125, 15.0570292229191)); #527132=CARTESIAN_POINT('Ctrl Pts',(-3.53072835340351,2.05426299107083, 15.1763111536764)); #527133=CARTESIAN_POINT('Ctrl Pts',(-3.63757550183742,-1.85851669567326, 15.2955930844336)); #527134=CARTESIAN_POINT('Ctrl Pts',(-0.404373159053543,-4.06478931008705, 15.4148750151908)); #527135=CARTESIAN_POINT('Ctrl Pts',(3.2001270610027,-2.53874377262817,15.5341569459481)); #527136=CARTESIAN_POINT('Ctrl Pts',(3.86624681855214,1.31839604541486,15.6534388767053)); #527137=CARTESIAN_POINT('Ctrl Pts',(0.98234968841033,3.96497436300908,15.7727208074626)); #527138=CARTESIAN_POINT('Ctrl Pts',(-2.80354836095696,2.97088393077867, 15.8920027382198)); #527139=CARTESIAN_POINT('Ctrl Pts',(-4.01520763618805,-0.751094357948596, 16.0112846689771)); #527140=CARTESIAN_POINT('Ctrl Pts',(-1.54007353590888,-3.78341215571497, 16.1305665997343)); #527141=CARTESIAN_POINT('Ctrl Pts',(2.34916737521979,-3.34177277987759, 16.2498485304916)); #527142=CARTESIAN_POINT('Ctrl Pts',(4.08138512770073,0.168307256400352, 16.3691304612488)); #527143=CARTESIAN_POINT('Ctrl Pts',(2.06604452842759,3.52384630548575,16.4884123920061)); #527144=CARTESIAN_POINT('Ctrl Pts',(-1.84635377157688,3.64376345660555, 16.6076943227633)); #527145=CARTESIAN_POINT('Ctrl Pts',(-4.06341635148759,0.417949862422698, 16.7269762535206)); #527146=CARTESIAN_POINT('Ctrl Pts',(-2.54942019433977,-3.19162837949723, 16.8462581842778)); #527147=CARTESIAN_POINT('Ctrl Pts',(1.30547283476525,-3.87062973552544, 16.965540115035)); #527148=CARTESIAN_POINT('Ctrl Pts',(3.96166994582509,-0.995589957369134, 17.0848220457923)); #527149=CARTESIAN_POINT('Ctrl Pts',(2.98023373329116,2.79360774125025,17.2041039765496)); #527150=CARTESIAN_POINT('Ctrl Pts',(-0.73767872925911,4.01769438480906, 17.3233859073068)); #527151=CARTESIAN_POINT('Ctrl Pts',(-3.77824290227315,1.55270342122848, 17.442667838064)); #527152=CARTESIAN_POINT('Ctrl Pts',(-3.34960885532988,-2.33798999201213, 17.5619497688213)); #527153=CARTESIAN_POINT('Ctrl Pts',(0.154684818118933,-4.08192629028528, 17.6812316995785)); #527154=CARTESIAN_POINT('Ctrl Pts',(3.51689891140475,-2.07780223808144, 17.8005136303358)); #527155=CARTESIAN_POINT('Ctrl Pts',(3.64996069793572,1.83416510814561,17.919795561093)); #527156=CARTESIAN_POINT('Ctrl Pts',(0.431425171932382,4.06200819057304, 18.0390774918503)); #527157=CARTESIAN_POINT('Ctrl Pts',(-3.18290632948138,2.56004666614822, 18.1583594226075)); #527158=CARTESIAN_POINT('Ctrl Pts',(-3.87533412851482,-1.29249405815113, 18.2776413533647)); #527159=CARTESIAN_POINT('Ctrl Pts',(-1.00811043264874,-3.9584023960105, 18.396923284122)); #527160=CARTESIAN_POINT('Ctrl Pts',(2.78225606468971,-2.98939238318333, 18.5162052148792)); #527161=CARTESIAN_POINT('Ctrl Pts',(4.02284942527646,0.723932602519534, 18.6354871456365)); #527162=CARTESIAN_POINT('Ctrl Pts',(1.5597156287977,3.77380184144178,18.7547690763938)); #527163=CARTESIAN_POINT('Ctrl Pts',(-1.02181763679939,3.49423846089743, 18.8342903635652)); #527164=CARTESIAN_POINT('Ctrl Pts',(-1.96744503378656,2.83888654064929, 18.874051007151)); #527165=CARTESIAN_POINT('Ctrl Pts',(-1.92194339483616,2.69556975948067, 13.7084477049384)); #527166=CARTESIAN_POINT('Ctrl Pts',(-2.81983226025822,2.05537434365292, 13.7482083485242)); #527167=CARTESIAN_POINT('Ctrl Pts',(-3.90962484798977,-0.182152390084822, 13.8277296356957)); #527168=CARTESIAN_POINT('Ctrl Pts',(-1.95824182087964,-3.39323530859566, 13.9470115664529)); #527169=CARTESIAN_POINT('Ctrl Pts',(1.79329054726088,-3.47927028910429, 14.0662934972102)); #527170=CARTESIAN_POINT('Ctrl Pts',(3.89712679599558,-0.375230484683418, 14.1855754279674)); #527171=CARTESIAN_POINT('Ctrl Pts',(2.42315102083424,3.07570593572038,14.3048573587247)); #527172=CARTESIAN_POINT('Ctrl Pts',(-1.27606812343489,3.70129477702284, 14.4241392894819)); #527173=CARTESIAN_POINT('Ctrl Pts',(-3.80344398080372,0.928950660215782, 14.5434212202391)); #527174=CARTESIAN_POINT('Ctrl Pts',(-2.83854573487744,-2.69668379121487, 14.6627031509964)); #527175=CARTESIAN_POINT('Ctrl Pts',(0.732765436206372,-3.84603790891744, 14.7819850817537)); #527176=CARTESIAN_POINT('Ctrl Pts',(3.63122553530458,-1.46401643086181, 14.9012670125109)); #527177=CARTESIAN_POINT('Ctrl Pts',(3.19547755001684,2.26231961016783,15.0205489432682)); #527178=CARTESIAN_POINT('Ctrl Pts',(-0.174387241796012,3.91135440877623, 15.1398308740254)); #527179=CARTESIAN_POINT('Ctrl Pts',(-3.38412578710472,1.96896607427652, 15.2591128047827)); #527180=CARTESIAN_POINT('Ctrl Pts',(-3.48653643818157,-1.78134753832922, 15.3783947355399)); #527181=CARTESIAN_POINT('Ctrl Pts',(-0.387582822282739,-3.89601150650179, 15.4976766662971)); #527182=CARTESIAN_POINT('Ctrl Pts',(3.06725167497104,-2.43333028987784, 15.6169585970544)); #527183=CARTESIAN_POINT('Ctrl Pts',(3.70571286991811,1.26365372747873,15.7362405278116)); #527184=CARTESIAN_POINT('Ctrl Pts',(0.941560648118658,3.80034106638691, 15.8555224585689)); #527185=CARTESIAN_POINT('Ctrl Pts',(-2.68713969465335,2.8475271646011,15.9748043893261)); #527186=CARTESIAN_POINT('Ctrl Pts',(-3.84848856618166,-0.719907487885579, 16.0940863200834)); #527187=CARTESIAN_POINT('Ctrl Pts',(-1.47612675374703,-3.62631766815639, 16.2133682508406)); #527188=CARTESIAN_POINT('Ctrl Pts',(2.25162545809452,-3.20301600139583, 16.3326501815979)); #527189=CARTESIAN_POINT('Ctrl Pts',(3.91191822620903,0.161318818166362, 16.4519321123551)); #527190=CARTESIAN_POINT('Ctrl Pts',(1.98025841180728,3.37752948702892,16.5712140431123)); #527191=CARTESIAN_POINT('Ctrl Pts',(-1.76968964996356,3.49246744935608, 16.6904959738696)); #527192=CARTESIAN_POINT('Ctrl Pts',(-3.8946955636895,0.400595787126251, 16.8097779046269)); #527193=CARTESIAN_POINT('Ctrl Pts',(-2.44356341412833,-3.0591058828398, 16.9290598353841)); #527194=CARTESIAN_POINT('Ctrl Pts',(1.2512671052515,-3.70991380773202,17.0483417661413)); #527195=CARTESIAN_POINT('Ctrl Pts',(3.79717384697786,-0.954251163772945, 17.1676236968986)); #527196=CARTESIAN_POINT('Ctrl Pts',(2.85648873755556,2.67761182052189,17.2869056276558)); #527197=CARTESIAN_POINT('Ctrl Pts',(-0.7070489096104,3.85087205234636,17.4061875584131)); #527198=CARTESIAN_POINT('Ctrl Pts',(-3.62136305990302,1.48823221423196, 17.5254694891703)); #527199=CARTESIAN_POINT('Ctrl Pts',(-3.21052671598014,-2.24091218906397, 17.6447514199276)); #527200=CARTESIAN_POINT('Ctrl Pts',(0.148262001571995,-3.91243692660251, 17.7640333506848)); #527201=CARTESIAN_POINT('Ctrl Pts',(3.37087055407068,-1.99152792684614, 17.8833152814421)); #527202=CARTESIAN_POINT('Ctrl Pts',(3.49840736148035,1.75800707516993,18.0025972121993)); #527203=CARTESIAN_POINT('Ctrl Pts',(0.41351156816017,3.89334586439002,18.1218791429566)); #527204=CARTESIAN_POINT('Ctrl Pts',(-3.05074599690123,2.45374864665153, 18.2411610737138)); #527205=CARTESIAN_POINT('Ctrl Pts',(-3.71442287328129,-1.23882724010393, 18.360443004471)); #527206=CARTESIAN_POINT('Ctrl Pts',(-0.966251772970749,-3.7940419799741, 18.4797249352283)); #527207=CARTESIAN_POINT('Ctrl Pts',(2.66673147929148,-2.86526711075038, 18.5990068659855)); #527208=CARTESIAN_POINT('Ctrl Pts',(3.85581303777511,0.693873540355051, 18.7182887967428)); #527209=CARTESIAN_POINT('Ctrl Pts',(1.49495325364291,3.61710639247491,18.8375707275)); #527210=CARTESIAN_POINT('Ctrl Pts',(-0.979389827865864,3.34915101660911, 18.9170920146715)); #527211=CARTESIAN_POINT('Ctrl Pts',(-1.88575297204916,2.72101055782467, 18.9568526582573)); #527212=CARTESIAN_POINT('Ctrl Pts',(-1.83868353997025,2.578795888921,13.7912493560447)); #527213=CARTESIAN_POINT('Ctrl Pts',(-2.6976752639673,1.96633416329486,13.8310099996305)); #527214=CARTESIAN_POINT('Ctrl Pts',(-3.74025731368979,-0.174261427674412, 13.910531286802)); #527215=CARTESIAN_POINT('Ctrl Pts',(-1.87340949411247,-3.24623810351006, 14.0298132175592)); #527216=CARTESIAN_POINT('Ctrl Pts',(1.71560400259123,-3.32854599157639, 14.1490951483165)); #527217=CARTESIAN_POINT('Ctrl Pts',(3.72830066907527,-0.358975251102156, 14.2683770790737)); #527218=CARTESIAN_POINT('Ctrl Pts',(2.31817850251897,2.9424643137748,14.387659009831)); #527219=CARTESIAN_POINT('Ctrl Pts',(-1.22078801388279,3.54095223137596, 14.5069409405882)); #527220=CARTESIAN_POINT('Ctrl Pts',(-3.63867627212428,0.888707901238535, 14.6262228713454)); #527221=CARTESIAN_POINT('Ctrl Pts',(-2.71557805844908,-2.57986166004405, 14.7455048021027)); #527222=CARTESIAN_POINT('Ctrl Pts',(0.701021543036338,-3.67942499473844, 14.8647867328599)); #527223=CARTESIAN_POINT('Ctrl Pts',(3.47391842743048,-1.40059426765151, 14.9840686636172)); #527224=CARTESIAN_POINT('Ctrl Pts',(3.05704733399665,2.16431442355026,15.1033505943744)); #527225=CARTESIAN_POINT('Ctrl Pts',(-0.166832679033258,3.74191194050122, 15.2226325251317)); #527226=CARTESIAN_POINT('Ctrl Pts',(-3.23752322080592,1.88366915748221, 15.3419144558889)); #527227=CARTESIAN_POINT('Ctrl Pts',(-3.33549737452573,-1.70417838098517, 15.4611963866462)); #527228=CARTESIAN_POINT('Ctrl Pts',(-0.370792485511934,-3.72723370291652, 15.5804783174034)); #527229=CARTESIAN_POINT('Ctrl Pts',(2.93437628893937,-2.32791680712751, 15.6997602481607)); #527230=CARTESIAN_POINT('Ctrl Pts',(3.54517892128408,1.20891140954261,15.8190421789179)); #527231=CARTESIAN_POINT('Ctrl Pts',(0.900771607826986,3.63570776976473, 15.9383241096751)); #527232=CARTESIAN_POINT('Ctrl Pts',(-2.57073102834975,2.72417039842354, 16.0576060404324)); #527233=CARTESIAN_POINT('Ctrl Pts',(-3.68176949617528,-0.688720617822564, 16.1768879711896)); #527234=CARTESIAN_POINT('Ctrl Pts',(-1.41217997158518,-3.46922318059782, 16.2961699019469)); #527235=CARTESIAN_POINT('Ctrl Pts',(2.15408354096926,-3.06425922291407, 16.4154518327041)); #527236=CARTESIAN_POINT('Ctrl Pts',(3.74245132471733,0.154330379932374, 16.5347337634614)); #527237=CARTESIAN_POINT('Ctrl Pts',(1.89447229518696,3.2312126685721,16.6540156942186)); #527238=CARTESIAN_POINT('Ctrl Pts',(-1.69302552835025,3.34117144210662, 16.7732976249759)); #527239=CARTESIAN_POINT('Ctrl Pts',(-3.72597477589141,0.383241711829803, 16.8925795557331)); #527240=CARTESIAN_POINT('Ctrl Pts',(-2.33770663391689,-2.92658338618237, 17.0118614864904)); #527241=CARTESIAN_POINT('Ctrl Pts',(1.19706137573776,-3.5491978799386,17.1311434172476)); #527242=CARTESIAN_POINT('Ctrl Pts',(3.63267774813063,-0.91291237017675, 17.2504253480049)); #527243=CARTESIAN_POINT('Ctrl Pts',(2.73274374181996,2.56161589979352,17.3697072787621)); #527244=CARTESIAN_POINT('Ctrl Pts',(-0.676419089961685,3.68404971988367, 17.4889892095194)); #527245=CARTESIAN_POINT('Ctrl Pts',(-3.46448321753289,1.42376100723544, 17.6082711402766)); #527246=CARTESIAN_POINT('Ctrl Pts',(-3.0714445766304,-2.14383438611581, 17.7275530710338)); #527247=CARTESIAN_POINT('Ctrl Pts',(0.141839185025058,-3.74294756291974, 17.8468350017911)); #527248=CARTESIAN_POINT('Ctrl Pts',(3.22484219673662,-1.90525361561084, 17.9661169325484)); #527249=CARTESIAN_POINT('Ctrl Pts',(3.34685402502497,1.68184904219425,18.0853988633056)); #527250=CARTESIAN_POINT('Ctrl Pts',(0.395597964387955,3.72468353820701, 18.2046807940629)); #527251=CARTESIAN_POINT('Ctrl Pts',(-2.91858566432107,2.34745062715484, 18.3239627248201)); #527252=CARTESIAN_POINT('Ctrl Pts',(-3.55351161804777,-1.18516042205672, 18.4432446555773)); #527253=CARTESIAN_POINT('Ctrl Pts',(-0.924393113292747,-3.62968156393771, 18.5625265863346)); #527254=CARTESIAN_POINT('Ctrl Pts',(2.55120689389325,-2.74114183831743, 18.6818085170918)); #527255=CARTESIAN_POINT('Ctrl Pts',(3.68877665027377,0.66381447819057,18.8010904478491)); #527256=CARTESIAN_POINT('Ctrl Pts',(1.43019087848811,3.46041094350804,18.9203723786063)); #527257=CARTESIAN_POINT('Ctrl Pts',(-0.936962018932338,3.2040635723208, 18.9998936657778)); #527258=CARTESIAN_POINT('Ctrl Pts',(-1.80406091031176,2.60313457500004, 19.0396543093636)); #527259=CARTESIAN_POINT('Ctrl Pts',(-1.75542368510434,2.46202201836133, 13.874051007151)); #527260=CARTESIAN_POINT('Ctrl Pts',(-2.57551826767638,1.87729398293681, 13.9138116507367)); #527261=CARTESIAN_POINT('Ctrl Pts',(-3.5708897793898,-0.166370465264003, 13.9933329379082)); #527262=CARTESIAN_POINT('Ctrl Pts',(-1.78857716734531,-3.09924089842446, 14.1126148686655)); #527263=CARTESIAN_POINT('Ctrl Pts',(1.63791745792159,-3.17782169404849, 14.2318967994227)); #527264=CARTESIAN_POINT('Ctrl Pts',(3.55947454215496,-0.342720017520895, 14.35117873018)); #527265=CARTESIAN_POINT('Ctrl Pts',(2.2132059842037,2.80922269182922,14.4704606609372)); #527266=CARTESIAN_POINT('Ctrl Pts',(-1.16550790433069,3.38060968572907, 14.5897425916945)); #527267=CARTESIAN_POINT('Ctrl Pts',(-3.47390856344484,0.848465142261291, 14.7090245224517)); #527268=CARTESIAN_POINT('Ctrl Pts',(-2.59261038202073,-2.46303952887323, 14.828306453209)); #527269=CARTESIAN_POINT('Ctrl Pts',(0.669277649866304,-3.51281208055943, 14.9475883839662)); #527270=CARTESIAN_POINT('Ctrl Pts',(3.31661131955639,-1.3371721044412,15.0668703147235)); #527271=CARTESIAN_POINT('Ctrl Pts',(2.91861711797646,2.06630923693269,15.1861522454807)); #527272=CARTESIAN_POINT('Ctrl Pts',(-0.159278116270508,3.5724694722262, 15.3054341762379)); #527273=CARTESIAN_POINT('Ctrl Pts',(-3.09092065450713,1.7983722406879,15.4247161069952)); #527274=CARTESIAN_POINT('Ctrl Pts',(-3.18445831086989,-1.62700922364113, 15.5439980377525)); #527275=CARTESIAN_POINT('Ctrl Pts',(-0.354002148741127,-3.55845589933124, 15.6632799685097)); #527276=CARTESIAN_POINT('Ctrl Pts',(2.80150090290771,-2.22250332437718, 15.7825618992669)); #527277=CARTESIAN_POINT('Ctrl Pts',(3.38464497265005,1.15416909160649,15.9018438300242)); #527278=CARTESIAN_POINT('Ctrl Pts',(0.859982567535311,3.47107447314255, 16.0211257607815)); #527279=CARTESIAN_POINT('Ctrl Pts',(-2.45432236204614,2.60081363224597, 16.1404076915386)); #527280=CARTESIAN_POINT('Ctrl Pts',(-3.51505042616889,-0.657533747759548, 16.2596896222959)); #527281=CARTESIAN_POINT('Ctrl Pts',(-1.34823318942332,-3.31212869303924, 16.3789715530531)); #527282=CARTESIAN_POINT('Ctrl Pts',(2.05654162384399,-2.92550244443231, 16.4982534838104)); #527283=CARTESIAN_POINT('Ctrl Pts',(3.57298442322563,0.147341941698386, 16.6175354145676)); #527284=CARTESIAN_POINT('Ctrl Pts',(1.80868617856664,3.08489585011528,16.7368173453249)); #527285=CARTESIAN_POINT('Ctrl Pts',(-1.61636140673693,3.18987543485716, 16.8560992760822)); #527286=CARTESIAN_POINT('Ctrl Pts',(-3.55725398809333,0.365887636533355, 16.9753812068394)); #527287=CARTESIAN_POINT('Ctrl Pts',(-2.23184985370544,-2.79406088952494, 17.0946631375966)); #527288=CARTESIAN_POINT('Ctrl Pts',(1.14285564622401,-3.38848195214517, 17.2139450683539)); #527289=CARTESIAN_POINT('Ctrl Pts',(3.46818164928341,-0.871573576580561, 17.3332269991111)); #527290=CARTESIAN_POINT('Ctrl Pts',(2.60899874608436,2.44561997906516,17.4525089298684)); #527291=CARTESIAN_POINT('Ctrl Pts',(-0.645789270312973,3.51722738742097, 17.5717908606256)); #527292=CARTESIAN_POINT('Ctrl Pts',(-3.30760337516276,1.35928980023891, 17.6910727913829)); #527293=CARTESIAN_POINT('Ctrl Pts',(-2.93236243728067,-2.04675658316766, 17.8103547221401)); #527294=CARTESIAN_POINT('Ctrl Pts',(0.135416368478119,-3.57345819923697, 17.9296366528974)); #527295=CARTESIAN_POINT('Ctrl Pts',(3.07881383940255,-1.81897930437554, 18.0489185836546)); #527296=CARTESIAN_POINT('Ctrl Pts',(3.1953006885696,1.60569100921857,18.1682005144119)); #527297=CARTESIAN_POINT('Ctrl Pts',(0.377684360615742,3.55602121202399, 18.2874824451691)); #527298=CARTESIAN_POINT('Ctrl Pts',(-2.78642533174091,2.24115260765816, 18.4067643759264)); #527299=CARTESIAN_POINT('Ctrl Pts',(-3.39260036281425,-1.13149360400952, 18.5260463066836)); #527300=CARTESIAN_POINT('Ctrl Pts',(-0.882534453614746,-3.46532114790131, 18.6453282374408)); #527301=CARTESIAN_POINT('Ctrl Pts',(2.43568230849502,-2.61701656588447, 18.7646101681981)); #527302=CARTESIAN_POINT('Ctrl Pts',(3.52174026277243,0.633755416026087, 18.8838920989553)); #527303=CARTESIAN_POINT('Ctrl Pts',(1.36542850333331,3.30371549454117,19.0031740297126)); #527304=CARTESIAN_POINT('Ctrl Pts',(-0.894534209998816,3.05897612803249, 19.0826953168841)); #527305=CARTESIAN_POINT('Ctrl Pts',(-1.72236884857437,2.48525859217542, 19.1224559604698)); #527306=CARTESIAN_POINT('',(-1.96744503378654,2.83888654064931,18.874051007151)); #527307=CARTESIAN_POINT('Ctrl Pts',(3.01455438768732,0.291017494361067, 18.874051007151)); #527308=CARTESIAN_POINT('Ctrl Pts',(3.01275646742934,0.374385938534258, 18.874051007151)); #527309=CARTESIAN_POINT('Ctrl Pts',(3.00731059096571,0.457444909350724, 18.874051007151)); #527310=CARTESIAN_POINT('Ctrl Pts',(2.99815154183285,0.539980308279141, 18.874051007151)); #527311=CARTESIAN_POINT('Ctrl Pts',(2.98735633186131,0.637259725453702, 18.874051007151)); #527312=CARTESIAN_POINT('Ctrl Pts',(2.97140482824537,0.733812264071687, 18.874051007151)); #527313=CARTESIAN_POINT('Ctrl Pts',(2.95060508720382,0.829376578283416, 18.874051007151)); #527314=CARTESIAN_POINT('Ctrl Pts',(2.92928076421061,0.927351081850764, 18.874051007151)); #527315=CARTESIAN_POINT('Ctrl Pts',(2.90286038539718,1.02428756003597,18.874051007151)); #527316=CARTESIAN_POINT('Ctrl Pts',(2.87162119421877,1.11990395631697,18.874051007151)); #527317=CARTESIAN_POINT('Ctrl Pts',(2.83868948122113,1.22070079520474,18.874051007151)); #527318=CARTESIAN_POINT('Ctrl Pts',(2.80040265214475,1.32003161051855,18.874051007151)); #527319=CARTESIAN_POINT('Ctrl Pts',(2.75702649485525,1.41755258461383,18.874051007151)); #527320=CARTESIAN_POINT('Ctrl Pts',(2.74947742326982,1.43452487677916,18.874051007151)); #527321=CARTESIAN_POINT('Ctrl Pts',(2.74177282509581,1.4514447360221,18.874051007151)); #527322=CARTESIAN_POINT('Ctrl Pts',(2.73392439989102,1.46828847281467,18.874051007151)); #527323=CARTESIAN_POINT('Ctrl Pts',(2.69021577981198,1.56209283139202,18.874051007151)); #527324=CARTESIAN_POINT('Ctrl Pts',(2.64198948938178,1.65367844106921,18.874051007151)); #527325=CARTESIAN_POINT('Ctrl Pts',(2.58957097971379,1.74275811494202,18.874051007151)); #527326=CARTESIAN_POINT('Ctrl Pts',(2.53626156254492,1.8333517912184,18.874051007151)); #527327=CARTESIAN_POINT('Ctrl Pts',(2.47861586640614,1.92135442648075,18.874051007151)); #527328=CARTESIAN_POINT('Ctrl Pts',(2.41692253305354,2.00645385512586,18.874051007151)); #527329=CARTESIAN_POINT('Ctrl Pts',(2.35500797569304,2.09185843889312,18.874051007151)); #527330=CARTESIAN_POINT('Ctrl Pts',(2.28901622161745,2.17433978844282,18.874051007151)); #527331=CARTESIAN_POINT('Ctrl Pts',(2.21919183505495,2.25355998232678,18.874051007151)); #527332=CARTESIAN_POINT('Ctrl Pts',(2.18494279506838,2.29241768980763,18.874051007151)); #527333=CARTESIAN_POINT('Ctrl Pts',(2.14977097930821,2.33049036877804,18.874051007151)); #527334=CARTESIAN_POINT('Ctrl Pts',(2.11370165890817,2.36773523964651,18.874051007151)); #527335=CARTESIAN_POINT('Ctrl Pts',(2.09850359767242,2.38342862732054,18.874051007151)); #527336=CARTESIAN_POINT('Ctrl Pts',(2.0831461652302,2.39897501780535,18.874051007151)); #527337=CARTESIAN_POINT('Ctrl Pts',(2.06763113956521,2.41437108731053,18.874051007151)); #527338=CARTESIAN_POINT('Ctrl Pts',(2.05276115846103,2.42912705791375,18.874051007151)); #527339=CARTESIAN_POINT('Ctrl Pts',(2.03774275801244,2.4437483019043,18.874051007151)); #527340=CARTESIAN_POINT('Ctrl Pts',(2.02259969880451,2.45821098776065,18.874051007151)); #527341=CARTESIAN_POINT('Ctrl Pts',(1.94475670674435,2.53255651628466,18.874051007151)); #527342=CARTESIAN_POINT('Ctrl Pts',(1.86352818951196,2.60281200254842,18.874051007151)); #527343=CARTESIAN_POINT('Ctrl Pts',(1.77921297744974,2.66865969377782,18.874051007151)); #527344=CARTESIAN_POINT('Ctrl Pts',(1.69578628324256,2.73381347879576,18.874051007151)); #527345=CARTESIAN_POINT('Ctrl Pts',(1.60933700561535,2.79465262816132,18.874051007151)); #527346=CARTESIAN_POINT('Ctrl Pts',(1.5201130826545,2.850841265204,18.874051007151)); #527347=CARTESIAN_POINT('Ctrl Pts',(1.43129107022397,2.90677679966879,18.874051007151)); #527348=CARTESIAN_POINT('Ctrl Pts',(1.33971882159118,2.95810438865128,18.874051007151)); #527349=CARTESIAN_POINT('Ctrl Pts',(1.24560790225141,3.00445342184365,18.874051007151)); #527350=CARTESIAN_POINT('Ctrl Pts',(1.18340092495921,3.0350899651432,18.874051007151)); #527351=CARTESIAN_POINT('Ctrl Pts',(1.1200840655013,3.06355038814261,18.874051007151)); #527352=CARTESIAN_POINT('Ctrl Pts',(1.05576187258497,3.08984912297992,18.874051007151)); #527353=CARTESIAN_POINT('Ctrl Pts',(1.03980498745922,3.09637324586387,18.874051007151)); #527354=CARTESIAN_POINT('Ctrl Pts',(1.02378621331147,3.1027642881897,18.874051007151)); #527355=CARTESIAN_POINT('Ctrl Pts',(1.00770711295334,3.10902238092611,18.874051007151)); #527356=CARTESIAN_POINT('Ctrl Pts',(0.99251951159668,3.11493349632539,18.874051007151)); #527357=CARTESIAN_POINT('Ctrl Pts',(0.977286032831671,3.12072287429125, 18.874051007151)); #527358=CARTESIAN_POINT('Ctrl Pts',(0.962013087463568,3.12638922484964, 18.874051007151)); #527359=CARTESIAN_POINT('Ctrl Pts',(0.864891783784355,3.16242178700909, 18.874051007151)); #527360=CARTESIAN_POINT('Ctrl Pts',(0.766114809122898,3.19350721327191, 18.874051007151)); #527361=CARTESIAN_POINT('Ctrl Pts',(0.666068821134678,3.21977365675568, 18.874051007151)); #527362=CARTESIAN_POINT('Ctrl Pts',(0.563508942007653,3.24670010651106, 18.874051007151)); #527363=CARTESIAN_POINT('Ctrl Pts',(0.459614829202415,3.26856255049949, 18.874051007151)); #527364=CARTESIAN_POINT('Ctrl Pts',(0.354774569724377,3.28545271427892, 18.874051007151)); #527365=CARTESIAN_POINT('Ctrl Pts',(0.247967936764385,3.30265966829071, 18.874051007151)); #527366=CARTESIAN_POINT('Ctrl Pts',(0.140178541937283,3.31470624982639, 18.874051007151)); #527367=CARTESIAN_POINT('Ctrl Pts',(0.0317986121336319,3.32163916121788, 18.874051007151)); #527368=CARTESIAN_POINT('Ctrl Pts',(-0.0569113228350399,3.32731381075674, 18.874051007151)); #527369=CARTESIAN_POINT('Ctrl Pts',(-0.146017445439113,3.32956159835045, 18.874051007151)); #527370=CARTESIAN_POINT('Ctrl Pts',(-0.235307622117931,3.32838262091772, 18.874051007151)); #527371=CARTESIAN_POINT('Ctrl Pts',(-0.252076269591296,3.32816120958577, 18.874051007151)); #527372=CARTESIAN_POINT('Ctrl Pts',(-0.268850362334011,3.32781882661425, 18.874051007151)); #527373=CARTESIAN_POINT('Ctrl Pts',(-0.285615455819773,3.32735597423965, 18.874051007151)); #527374=CARTESIAN_POINT('Ctrl Pts',(-0.39635077744836,3.32429878242555, 18.874051007151)); #527375=CARTESIAN_POINT('Ctrl Pts',(-0.506843127113335,3.31598852782484, 18.874051007151)); #527376=CARTESIAN_POINT('Ctrl Pts',(-0.616679158536743,3.30250198738081, 18.874051007151)); #527377=CARTESIAN_POINT('Ctrl Pts',(-0.726985026199691,3.28895775671105, 18.874051007151)); #527378=CARTESIAN_POINT('Ctrl Pts',(-0.836629862796787,3.27019290600069, 18.874051007151)); #527379=CARTESIAN_POINT('Ctrl Pts',(-0.945200851317636,3.24623329972968, 18.874051007151)); #527380=CARTESIAN_POINT('Ctrl Pts',(-0.993509834811874,3.23557240182645, 18.874051007151)); #527381=CARTESIAN_POINT('Ctrl Pts',(-1.0416062085643,3.22388234089903,18.874051007151)); #527382=CARTESIAN_POINT('Ctrl Pts',(-1.08945324122172,3.21116207382175, 18.874051007151)); #527383=CARTESIAN_POINT('Ctrl Pts',(-1.10788487771372,3.20626197185454, 18.874051007151)); #527384=CARTESIAN_POINT('Ctrl Pts',(-1.12628221242462,3.20120819861313, 18.874051007151)); #527385=CARTESIAN_POINT('Ctrl Pts',(-1.14463401963924,3.19600321346265, 18.874051007151)); #527386=CARTESIAN_POINT('Ctrl Pts',(-1.2529118667041,3.16529318150189,18.874051007151)); #527387=CARTESIAN_POINT('Ctrl Pts',(-1.35946870873621,3.12936393259998, 18.874051007151)); #527388=CARTESIAN_POINT('Ctrl Pts',(-1.46388653929404,3.08826461101665, 18.874051007151)); #527389=CARTESIAN_POINT('Ctrl Pts',(-1.56656193032892,3.04785112136366, 18.874051007151)); #527390=CARTESIAN_POINT('Ctrl Pts',(-1.66716997179659,3.00243852827206, 18.874051007151)); #527391=CARTESIAN_POINT('Ctrl Pts',(-1.76530583349304,2.9520245104835,18.874051007151)); #527392=CARTESIAN_POINT('Ctrl Pts',(-1.83394307678374,2.91676442161896, 18.874051007151)); #527393=CARTESIAN_POINT('Ctrl Pts',(-1.90137085416642,2.87905675067507, 18.874051007151)); #527394=CARTESIAN_POINT('Ctrl Pts',(-1.96744503378656,2.83888654064929, 18.874051007151)); #527395=CARTESIAN_POINT('Ctrl Pts',(-1.4785521750381,-3.12762804142262, 13.874051007151)); #527396=CARTESIAN_POINT('Ctrl Pts',(-1.5617487823287,-3.08264601185184, 13.874051007151)); #527397=CARTESIAN_POINT('Ctrl Pts',(-1.64282085954294,-3.0341209291239, 13.874051007151)); #527398=CARTESIAN_POINT('Ctrl Pts',(-1.7215142842669,-2.98203884280646, 13.874051007151)); #527399=CARTESIAN_POINT('Ctrl Pts',(-1.81368237568455,-2.92103874642273, 13.874051007151)); #527400=CARTESIAN_POINT('Ctrl Pts',(-1.9025886505158,-2.85515962658132, 13.874051007151)); #527401=CARTESIAN_POINT('Ctrl Pts',(-1.98805349990207,-2.7847970624212, 13.874051007151)); #527402=CARTESIAN_POINT('Ctrl Pts',(-2.03629599802861,-2.74507937855411, 13.874051007151)); #527403=CARTESIAN_POINT('Ctrl Pts',(-2.08344130176366,-2.70393242882251, 13.874051007151)); #527404=CARTESIAN_POINT('Ctrl Pts',(-2.12945151615309,-2.66142281956772, 13.874051007151)); #527405=CARTESIAN_POINT('Ctrl Pts',(-2.14786648437636,-2.64440892137144, 13.874051007151)); #527406=CARTESIAN_POINT('Ctrl Pts',(-2.16609957545235,-2.62717670889338, 13.874051007151)); #527407=CARTESIAN_POINT('Ctrl Pts',(-2.18414816250103,-2.60973033957608, 13.874051007151)); #527408=CARTESIAN_POINT('Ctrl Pts',(-2.20076486903208,-2.59366807397069, 13.874051007151)); #527409=CARTESIAN_POINT('Ctrl Pts',(-2.21722671532325,-2.57742258387413, 13.874051007151)); #527410=CARTESIAN_POINT('Ctrl Pts',(-2.23351563052012,-2.56101359333371, 13.874051007151)); #527411=CARTESIAN_POINT('Ctrl Pts',(-2.31215276311336,-2.48179677936832, 13.874051007151)); #527412=CARTESIAN_POINT('Ctrl Pts',(-2.38684659696513,-2.39869314919133, 13.874051007151)); #527413=CARTESIAN_POINT('Ctrl Pts',(-2.45741666454604,-2.31211077557655, 13.874051007151)); #527414=CARTESIAN_POINT('Ctrl Pts',(-2.51916547367934,-2.23635119866362, 13.874051007151)); #527415=CARTESIAN_POINT('Ctrl Pts',(-2.57775660547917,-2.1579272129632, 13.874051007151)); #527416=CARTESIAN_POINT('Ctrl Pts',(-2.63304075069109,-2.07709449098758, 13.874051007151)); #527417=CARTESIAN_POINT('Ctrl Pts',(-2.65722756422131,-2.04173017512325, 13.874051007151)); #527418=CARTESIAN_POINT('Ctrl Pts',(-2.68078103731751,-2.00590464463899, 13.874051007151)); #527419=CARTESIAN_POINT('Ctrl Pts',(-2.70368690439995,-1.96963868045925, 13.874051007151)); #527420=CARTESIAN_POINT('Ctrl Pts',(-2.7185673766978,-1.94607901020582, 13.874051007151)); #527421=CARTESIAN_POINT('Ctrl Pts',(-2.73317451962128,-1.92233345850848, 13.874051007151)); #527422=CARTESIAN_POINT('Ctrl Pts',(-2.74750416457387,-1.89840766800572, 13.874051007151)); #527423=CARTESIAN_POINT('Ctrl Pts',(-2.75623977794478,-1.88382206898236, 13.874051007151)); #527424=CARTESIAN_POINT('Ctrl Pts',(-2.76487210427375,-1.86916961204318, 13.874051007151)); #527425=CARTESIAN_POINT('Ctrl Pts',(-2.77339321307767,-1.85446398729742, 13.874051007151)); #527426=CARTESIAN_POINT('Ctrl Pts',(-2.82853540217298,-1.75930027572479, 13.874051007151)); #527427=CARTESIAN_POINT('Ctrl Pts',(-2.8791022190038,-1.66178265812152, 13.874051007151)); #527428=CARTESIAN_POINT('Ctrl Pts',(-2.92491995925639,-1.56228969761062, 13.874051007151)); #527429=CARTESIAN_POINT('Ctrl Pts',(-2.97054732934766,-1.46321012481456, 13.874051007151)); #527430=CARTESIAN_POINT('Ctrl Pts',(-3.0114653367256,-1.36217071666382, 13.874051007151)); #527431=CARTESIAN_POINT('Ctrl Pts',(-3.0474544543253,-1.25952706966735, 13.874051007151)); #527432=CARTESIAN_POINT('Ctrl Pts',(-3.08242095832824,-1.15979999290209, 13.874051007151)); #527433=CARTESIAN_POINT('Ctrl Pts',(-3.11273479723879,-1.05855776972391, 13.874051007151)); #527434=CARTESIAN_POINT('Ctrl Pts',(-3.13814641042304,-0.95612141906086, 13.874051007151)); #527435=CARTESIAN_POINT('Ctrl Pts',(-3.15444020510521,-0.890439761875513, 13.874051007151)); #527436=CARTESIAN_POINT('Ctrl Pts',(-3.16871757133079,-0.824267093683014, 13.874051007151)); #527437=CARTESIAN_POINT('Ctrl Pts',(-3.18090148993883,-0.757689051163247, 13.874051007151)); #527438=CARTESIAN_POINT('Ctrl Pts',(-3.1843353484104,-0.738925007945097, 13.874051007151)); #527439=CARTESIAN_POINT('Ctrl Pts',(-3.18760286609303,-0.720128775330104, 13.874051007151)); #527440=CARTESIAN_POINT('Ctrl Pts',(-3.19070218547753,-0.701302297674526, 13.874051007151)); #527441=CARTESIAN_POINT('Ctrl Pts',(-3.19338647630634,-0.684996865726389, 13.874051007151)); #527442=CARTESIAN_POINT('Ctrl Pts',(-3.19594470038082,-0.668667566906637, 13.874051007151)); #527443=CARTESIAN_POINT('Ctrl Pts',(-3.1983732532236,-0.652333040376642, 13.874051007151)); #527444=CARTESIAN_POINT('Ctrl Pts',(-3.2138154986814,-0.548467988042042, 13.874051007151)); #527445=CARTESIAN_POINT('Ctrl Pts',(-3.22404656614332,-0.444231464738277, 13.874051007151)); #527446=CARTESIAN_POINT('Ctrl Pts',(-3.22886843972871,-0.339946266860055, 13.874051007151)); #527447=CARTESIAN_POINT('Ctrl Pts',(-3.23173266989217,-0.278000053614086, 13.874051007151)); #527448=CARTESIAN_POINT('Ctrl Pts',(-3.23268734790242,-0.21603652571853, 13.874051007151)); #527449=CARTESIAN_POINT('Ctrl Pts',(-3.23168220375346,-0.154123294481558, 13.874051007151)); #527450=CARTESIAN_POINT('Ctrl Pts',(-3.22997201242647,-0.04878171616421, 13.874051007151)); #527451=CARTESIAN_POINT('Ctrl Pts',(-3.2225909842004,0.05641518036162,13.874051007151)); #527452=CARTESIAN_POINT('Ctrl Pts',(-3.20975168660462,0.161121052215151, 13.874051007151)); #527453=CARTESIAN_POINT('Ctrl Pts',(-3.1968043656933,0.266707865985549, 13.874051007151)); #527454=CARTESIAN_POINT('Ctrl Pts',(-3.17830610249602,0.371796196954084, 13.874051007151)); #527455=CARTESIAN_POINT('Ctrl Pts',(-3.15442657089568,0.47601026349215, 13.874051007151)); #527456=CARTESIAN_POINT('Ctrl Pts',(-3.14988847091943,0.495815252166011, 13.874051007151)); #527457=CARTESIAN_POINT('Ctrl Pts',(-3.14515589461343,0.515588585354135, 13.874051007151)); #527458=CARTESIAN_POINT('Ctrl Pts',(-3.14022983194125,0.535327561350977, 13.874051007151)); #527459=CARTESIAN_POINT('Ctrl Pts',(-3.13625009618872,0.551274558724094, 13.874051007151)); #527460=CARTESIAN_POINT('Ctrl Pts',(-3.13214366765861,0.567200370108993, 13.874051007151)); #527461=CARTESIAN_POINT('Ctrl Pts',(-3.12791585141649,0.583086327370463, 13.874051007151)); #527462=CARTESIAN_POINT('Ctrl Pts',(-3.10099173811805,0.684253286544572, 13.874051007151)); #527463=CARTESIAN_POINT('Ctrl Pts',(-3.06911019254053,0.783965321272058, 13.874051007151)); #527464=CARTESIAN_POINT('Ctrl Pts',(-3.03251056161652,0.881896019871523, 13.874051007151)); #527465=CARTESIAN_POINT('Ctrl Pts',(-2.99572275632469,0.980330222108458, 13.874051007151)); #527466=CARTESIAN_POINT('Ctrl Pts',(-2.95416772922436,1.07696553172073, 13.874051007151)); #527467=CARTESIAN_POINT('Ctrl Pts',(-2.90803983353484,1.17144979951645, 13.874051007151)); #527468=CARTESIAN_POINT('Ctrl Pts',(-2.86234352295976,1.26505004685296, 13.874051007151)); #527469=CARTESIAN_POINT('Ctrl Pts',(-2.81215895076019,1.35654002818082, 13.874051007151)); #527470=CARTESIAN_POINT('Ctrl Pts',(-2.75763546023652,1.44554589350447, 13.874051007151)); #527471=CARTESIAN_POINT('Ctrl Pts',(-2.726224098692,1.49682279064389,13.874051007151)); #527472=CARTESIAN_POINT('Ctrl Pts',(-2.69337196529419,1.54727457230956, 13.874051007151)); #527473=CARTESIAN_POINT('Ctrl Pts',(-2.65910263924961,1.59682354345575, 13.874051007151)); #527474=CARTESIAN_POINT('Ctrl Pts',(-2.6489722792243,1.61147072139972,13.874051007151)); #527475=CARTESIAN_POINT('Ctrl Pts',(-2.63871805547855,1.62603897307154, 13.874051007151)); #527476=CARTESIAN_POINT('Ctrl Pts',(-2.62834052390901,1.64052620331639, 13.874051007151)); #527477=CARTESIAN_POINT('Ctrl Pts',(-2.61938181746909,1.65303272685922, 13.874051007151)); #527478=CARTESIAN_POINT('Ctrl Pts',(-2.61033089709845,1.66547918685731, 13.874051007151)); #527479=CARTESIAN_POINT('Ctrl Pts',(-2.60119739114973,1.67785197107522, 13.874051007151)); #527480=CARTESIAN_POINT('Ctrl Pts',(-2.54005095849339,1.76068452422606, 13.874051007151)); #527481=CARTESIAN_POINT('Ctrl Pts',(-2.4751096588798,1.84035410420507,13.874051007151)); #527482=CARTESIAN_POINT('Ctrl Pts',(-2.40655685723276,1.91653401908126, 13.874051007151)); #527483=CARTESIAN_POINT('Ctrl Pts',(-2.33888068473807,1.9917397719597,13.874051007151)); #527484=CARTESIAN_POINT('Ctrl Pts',(-2.26768422465851,2.06354515119865, 13.874051007151)); #527485=CARTESIAN_POINT('Ctrl Pts',(-2.1931095796491,2.13159953260435,13.874051007151)); #527486=CARTESIAN_POINT('Ctrl Pts',(-2.12089118732712,2.19750367488978, 13.874051007151)); #527487=CARTESIAN_POINT('Ctrl Pts',(-2.04550416963014,2.25989048636808, 13.874051007151)); #527488=CARTESIAN_POINT('Ctrl Pts',(-1.96705333093282,2.31840028396843, 13.874051007151)); #527489=CARTESIAN_POINT('Ctrl Pts',(-1.89880979400732,2.36929732470561, 13.874051007151)); #527490=CARTESIAN_POINT('Ctrl Pts',(-1.82824732573226,2.41726020818069, 13.874051007151)); #527491=CARTESIAN_POINT('Ctrl Pts',(-1.75542368510437,2.46202201836131, 13.874051007151)); #527492=CARTESIAN_POINT('Ctrl Pts',(-1.96744503378654,2.83888654064931, 18.874051007151)); #527493=CARTESIAN_POINT('Ctrl Pts',(-1.02181763679937,3.49423846089743, 18.8342903635652)); #527494=CARTESIAN_POINT('Ctrl Pts',(0.268948995999159,3.6340201511696,18.7945297199795)); #527495=CARTESIAN_POINT('Ctrl Pts',(1.32485461181155,3.19559945648532,18.7547690763938)); #527496=CARTESIAN_POINT('Ctrl Pts',(2.38076022762395,2.75717876180103,18.715008432808)); #527497=CARTESIAN_POINT('Ctrl Pts',(3.20180482645021,1.74055568216028,18.6752477892222)); #527498=CARTESIAN_POINT('Ctrl Pts',(3.40556156576554,0.613356644722763, 18.6354871456365)); #527499=CARTESIAN_POINT('Ctrl Pts',(3.44190561413092,0.412298380482314, 18.6283950476849)); #527500=CARTESIAN_POINT('Ctrl Pts',(3.45861016484752,0.207722055373343, 18.6213029497333)); #527501=CARTESIAN_POINT('Ctrl Pts',(3.45676666130078,0.00285083838310718, 18.6142108517818)); #527502=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 13.874051007151)); #527503=CARTESIAN_POINT('Origin',(-1.90734999738762E-7,1.99000260714399E-10, 18.874051007151)); #527504=CARTESIAN_POINT('Origin',(0.999999809264999,-6.900000007656,13.874051007151)); #527505=CARTESIAN_POINT('',(0.999999809265,-6.50000000765598,13.874051007151)); #527506=CARTESIAN_POINT('',(0.999999809264999,-6.50000000765598,13.074051007151)); #527507=CARTESIAN_POINT('',(0.999999809265,-6.50000000765598,13.874051007151)); #527508=CARTESIAN_POINT('',(0.999999809264999,-6.100000007656,13.874051007151)); #527509=CARTESIAN_POINT('',(0.999999809264999,-3.4500000037285,13.874051007151)); #527510=CARTESIAN_POINT('',(0.999999809264999,-6.100000007656,14.674051007151)); #527511=CARTESIAN_POINT('',(0.999999809264999,-6.100000007656,13.874051007151)); #527512=CARTESIAN_POINT('',(0.999999809264999,-6.900000007656,14.674051007151)); #527513=CARTESIAN_POINT('',(0.999999809264999,-6.900000007656,14.674051007151)); #527514=CARTESIAN_POINT('',(0.999999809264999,-6.900000007656,13.074051007151)); #527515=CARTESIAN_POINT('',(0.999999809264999,-6.900000007656,13.874051007151)); #527516=CARTESIAN_POINT('',(0.999999809264999,-6.900000007656,13.074051007151)); #527517=CARTESIAN_POINT('Origin',(0.999999809264999,-6.100000007656,13.874051007151)); #527518=CARTESIAN_POINT('',(-1.000000190735,-6.100000007656,13.874051007151)); #527519=CARTESIAN_POINT('',(0.499999809265067,-6.100000007656,13.874051007151)); #527520=CARTESIAN_POINT('',(-1.000000190735,-6.100000007656,14.674051007151)); #527521=CARTESIAN_POINT('',(-1.000000190735,-6.100000007656,13.874051007151)); #527522=CARTESIAN_POINT('',(0.999999809264999,-6.100000007656,14.674051007151)); #527523=CARTESIAN_POINT('Origin',(-1.90734499860845E-7,-1.050000000798, 13.074051007151)); #527524=CARTESIAN_POINT('',(-1.000000190735,-6.50000000765598,13.074051007151)); #527525=CARTESIAN_POINT('',(-2.67500019396724,-6.50000000765599,13.074051007151)); #527526=CARTESIAN_POINT('',(-1.000000190735,-6.900000007656,13.074051007151)); #527527=CARTESIAN_POINT('',(-1.000000190735,-6.900000007656,13.074051007151)); #527528=CARTESIAN_POINT('',(-1.000000190735,-6.100000007656,13.074051007151)); #527529=CARTESIAN_POINT('Origin',(-1.000000190735,-6.900000007656,13.874051007151)); #527530=CARTESIAN_POINT('',(-1.000000190735,-6.900000007656,14.674051007151)); #527531=CARTESIAN_POINT('',(-1.000000190735,-6.900000007656,14.674051007151)); #527532=CARTESIAN_POINT('',(-1.000000190735,-6.900000007656,13.874051007151)); #527533=CARTESIAN_POINT('Origin',(-1.000000190735,-6.100000007656,13.874051007151)); #527534=CARTESIAN_POINT('',(-1.000000190735,-6.50000000765598,13.874051007151)); #527535=CARTESIAN_POINT('',(-1.000000190735,-6.50000000765598,13.874051007151)); #527536=CARTESIAN_POINT('',(-1.000000190735,-6.100000007656,14.674051007151)); #527537=CARTESIAN_POINT('',(-1.000000190735,-3.0500000037285,13.874051007151)); #527538=CARTESIAN_POINT('Origin',(-1.90734499860845E-7,-1.050000000798, 14.674051007151)); #527539=CARTESIAN_POINT('Origin',(-5.3500001972,-6.500000007656,13.874051007151)); #527540=CARTESIAN_POINT('',(-2.350000193575,-6.500000007656,13.874051007151)); #527541=CARTESIAN_POINT('',(-5.3500001972,-6.500000007656,13.874051007151)); #527542=CARTESIAN_POINT('',(-2.350000193575,-6.500000007656,13.524051006728)); #527543=CARTESIAN_POINT('',(-2.350000193575,-6.500000007656,13.524051006728)); #527544=CARTESIAN_POINT('',(-4.45000019719996,-6.500000007656,13.524051006728)); #527545=CARTESIAN_POINT('',(-5.3500001972,-6.500000007656,13.524051006728)); #527546=CARTESIAN_POINT('',(-4.45000019719996,-6.50000000765603,12.874051005943)); #527547=CARTESIAN_POINT('',(-4.45000019719996,-6.500000007656,13.874051007151)); #527548=CARTESIAN_POINT('',(4.44999981573004,-6.500000007656,12.874051005943)); #527549=CARTESIAN_POINT('',(5.349999815731,-6.500000007656,12.874051005943)); #527550=CARTESIAN_POINT('',(4.44999981573004,-6.500000007656,13.524051006728)); #527551=CARTESIAN_POINT('',(4.44999981573004,-6.50000000765596,13.874051007151)); #527552=CARTESIAN_POINT('',(2.349999812105,-6.500000007656,13.524051006728)); #527553=CARTESIAN_POINT('',(5.349999815731,-6.500000007656,13.524051006728)); #527554=CARTESIAN_POINT('',(2.349999812105,-6.500000007656,13.874051007151)); #527555=CARTESIAN_POINT('',(2.349999812105,-6.500000007656,13.524051006728)); #527556=CARTESIAN_POINT('',(-5.3500001972,-6.500000007656,13.874051007151)); #527557=CARTESIAN_POINT('Origin',(-1.90734499860845E-7,-0.850000000798, 18.874051007151)); #527558=CARTESIAN_POINT('Origin',(-0.0953906033062,2.45000000316,12.374051005339)); #527559=CARTESIAN_POINT('',(-4.7500001972,2.45000000316,12.274051005218)); #527560=CARTESIAN_POINT('',(-4.7500001972,2.45000000316,10.774051003405)); #527561=CARTESIAN_POINT('',(-4.75000019719999,2.45000000315998,13.124051006245)); #527562=CARTESIAN_POINT('',(-4.650000197079,2.45000000316,10.774051003405)); #527563=CARTESIAN_POINT('',(-5.250000197079,2.45000000316,10.774051003405)); #527564=CARTESIAN_POINT('',(-4.650000197079,2.45000000316,12.274051005218)); #527565=CARTESIAN_POINT('',(-4.650000197079,2.45000000316,12.374051005339)); #527566=CARTESIAN_POINT('',(-1.90734873895E-7,2.45000000316,12.274051005218)); #527567=CARTESIAN_POINT('Origin',(-0.0953906033062,2.45000000316,10.774051003405)); #527568=CARTESIAN_POINT('',(-4.7500001972,-2.450000002762,10.774051003405)); #527569=CARTESIAN_POINT('',(-4.7500001972,3.65000000460999,10.774051003405)); #527570=CARTESIAN_POINT('',(-4.650000197079,-2.450000002762,10.774051003405)); #527571=CARTESIAN_POINT('',(-5.250000197079,-2.450000002762,10.774051003405)); #527572=CARTESIAN_POINT('',(-4.650000197079,2.45000000316,10.774051003405)); #527573=CARTESIAN_POINT('Origin',(4.849999815126,2.350000003039,12.374051005339)); #527574=CARTESIAN_POINT('',(4.749999815731,2.350000003039,12.374051005339)); #527575=CARTESIAN_POINT('',(4.74999981573,2.350000003039,11.024051003707)); #527576=CARTESIAN_POINT('',(4.74999981573001,2.350000003039,13.124051006245)); #527577=CARTESIAN_POINT('',(4.64999981561,2.350000003039,11.024051003707)); #527578=CARTESIAN_POINT('',(5.24999981561,2.350000003039,11.024051003707)); #527579=CARTESIAN_POINT('',(4.64999981561,2.350000003039,12.374051005339)); #527580=CARTESIAN_POINT('',(4.64999981561,2.350000003039,12.274051005218)); #527581=CARTESIAN_POINT('',(4.849999815126,2.350000003039,12.374051005339)); #527582=CARTESIAN_POINT('Origin',(0.0953902218365,-2.450000002762,10.774051003405)); #527583=CARTESIAN_POINT('',(4.74999981573,-2.450000002762,10.774051003405)); #527584=CARTESIAN_POINT('',(4.74999981573,2.45000000316,10.774051003405)); #527585=CARTESIAN_POINT('',(4.74999981573001,1.20000000164901,10.774051003405)); #527586=CARTESIAN_POINT('',(4.64999981561,2.45000000316,10.774051003405)); #527587=CARTESIAN_POINT('',(5.24999981561,2.45000000316,10.774051003405)); #527588=CARTESIAN_POINT('',(4.64999981561,-2.450000002762,10.774051003405)); #527589=CARTESIAN_POINT('',(4.64999981561,-2.450000002762,10.774051003405)); #527590=CARTESIAN_POINT('',(5.24999981561,-2.450000002762,10.774051003405)); #527591=CARTESIAN_POINT('Origin',(0.0953902218365,-2.450000002762,12.374051005339)); #527592=CARTESIAN_POINT('',(4.74999981573,-2.450000002762,12.274051005218)); #527593=CARTESIAN_POINT('',(4.74999981573003,-2.45000000276198,13.124051006245)); #527594=CARTESIAN_POINT('',(4.64999981561,-2.450000002762,12.274051005218)); #527595=CARTESIAN_POINT('',(4.64999981561,-2.450000002762,12.374051005339)); #527596=CARTESIAN_POINT('',(-1.907348561314E-7,-2.450000002762,12.274051005218)); #527597=CARTESIAN_POINT('Origin',(2.349999812105,4.85000000606,13.524051006728)); #527598=CARTESIAN_POINT('',(2.349999812105,4.80000000606,13.524051006728)); #527599=CARTESIAN_POINT('',(2.349999812105,4.80000000606,13.874051007151)); #527600=CARTESIAN_POINT('',(2.349999812105,4.80000000606004,13.6990510069395)); #527601=CARTESIAN_POINT('',(2.34999981210499,3.83764250395735,13.874051007151)); #527602=CARTESIAN_POINT('',(2.349999812105,4.85000000606,13.874051007151)); #527603=CARTESIAN_POINT('',(2.34999981210499,3.83764250395735,13.524051006728)); #527604=CARTESIAN_POINT('',(2.34999981210499,3.83764250395735,13.524051006728)); #527605=CARTESIAN_POINT('',(2.349999812105,4.85000000606,13.524051006728)); #527606=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #527607=CARTESIAN_POINT('',(3.77458587736468,2.45000000315999,13.874051007151)); #527608=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.874051007151)); #527609=CARTESIAN_POINT('',(3.77458587736468,2.45000000315999,13.524051006728)); #527610=CARTESIAN_POINT('',(3.77458587736467,2.45000000316,13.524051006728)); #527611=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #527612=CARTESIAN_POINT('Origin',(5.349999815731,2.45000000316,13.524051006728)); #527613=CARTESIAN_POINT('',(4.749999815731,2.45000000316,13.874051007151)); #527614=CARTESIAN_POINT('',(4.749999815731,2.45000000316,13.524051006728)); #527615=CARTESIAN_POINT('',(4.74999981573001,2.45000000316,13.6990510069395)); #527616=CARTESIAN_POINT('',(5.349999815731,2.45000000316,13.524051006728)); #527617=CARTESIAN_POINT('',(5.349999815731,2.45000000316,13.874051007151)); #527618=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #527619=CARTESIAN_POINT('',(-4.75000019719996,-6.200000007656,13.524051006728)); #527620=CARTESIAN_POINT('Origin',(-4.45000019719996,-6.200000007656,13.524051006728)); #527621=CARTESIAN_POINT('',(-2.35000019357501,-3.8701129942684,13.524051006728)); #527622=CARTESIAN_POINT('',(-2.350000193575,-6.500000007656,13.524051006728)); #527623=CARTESIAN_POINT('',(-2.38041433786562,-3.81885172357083,13.524051006728)); #527624=CARTESIAN_POINT('',(-2.67823442992401,-3.3168932856709,13.524051006728)); #527625=CARTESIAN_POINT('',(-3.77458625883441,-2.45000000276199,13.524051006728)); #527626=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #527627=CARTESIAN_POINT('',(-4.7500001972,-2.450000002762,13.524051006728)); #527628=CARTESIAN_POINT('',(-5.3500001972,-2.450000002762,13.524051006728)); #527629=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,13.524051006728)); #527630=CARTESIAN_POINT('Origin',(-5.3500001972,-2.450000002762,13.524051006728)); #527631=CARTESIAN_POINT('',(-4.7500001972,-2.450000002762,13.874051007151)); #527632=CARTESIAN_POINT('',(-4.75000019719997,-2.450000002762,13.6990510069395)); #527633=CARTESIAN_POINT('',(-3.77458625883441,-2.45000000276199,13.874051007151)); #527634=CARTESIAN_POINT('',(-3.7745862588344,-2.45000000276199,13.524051006728)); #527635=CARTESIAN_POINT('',(-5.3500001972,-2.450000002762,13.874051007151)); #527636=CARTESIAN_POINT('Origin',(-2.350000193575,-6.500000007656,13.524051006728)); #527637=CARTESIAN_POINT('',(-2.35000019357501,-3.8701129942684,13.874051007151)); #527638=CARTESIAN_POINT('',(-2.350000193575,-6.500000007656,13.874051007151)); #527639=CARTESIAN_POINT('',(-2.35000019357501,-3.8701129942684,13.524051006728)); #527640=CARTESIAN_POINT('Origin',(-1.91999095760466,-4.59486854342693,13.524051006728)); #527641=CARTESIAN_POINT('',(-2.38041433786562,-3.81885172357083,13.874051007151)); #527642=CARTESIAN_POINT('',(-2.67823442992401,-3.3168932856709,13.874051007151)); #527643=CARTESIAN_POINT('',(-2.38041433786563,-3.81885172357082,13.524051006728)); #527644=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #527645=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.874051007151)); #527646=CARTESIAN_POINT('Origin',(-5.3500001972,2.45000000316,13.524051006728)); #527647=CARTESIAN_POINT('',(-4.7500001972,2.45000000316,13.524051006728)); #527648=CARTESIAN_POINT('',(-4.7500001972,2.45000000316,13.874051007151)); #527649=CARTESIAN_POINT('',(-4.75000019719999,2.45000000316,13.6990510069395)); #527650=CARTESIAN_POINT('',(-3.7745862588344,2.45000000316,13.874051007151)); #527651=CARTESIAN_POINT('',(-5.3500001972,2.45000000316,13.874051007151)); #527652=CARTESIAN_POINT('',(-3.7745862588344,2.45000000316,13.524051006728)); #527653=CARTESIAN_POINT('',(-3.7745862588344,2.45000000316001,13.524051006728)); #527654=CARTESIAN_POINT('',(-5.3500001972,2.45000000316,13.524051006728)); #527655=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #527656=CARTESIAN_POINT('',(-2.350000193575,3.83764250395719,13.874051007151)); #527657=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.874051007151)); #527658=CARTESIAN_POINT('',(-2.350000193575,3.83764250395719,13.524051006728)); #527659=CARTESIAN_POINT('',(-2.350000193575,3.83764250395718,13.524051006728)); #527660=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #527661=CARTESIAN_POINT('Origin',(-2.350000193575,4.85000000606,13.524051006728)); #527662=CARTESIAN_POINT('',(-2.350000193575,4.80000000606,13.874051007151)); #527663=CARTESIAN_POINT('',(-2.350000193575,4.80000000606,13.524051006728)); #527664=CARTESIAN_POINT('',(-2.350000193575,4.80000000606004,13.6990510069395)); #527665=CARTESIAN_POINT('',(-2.350000193575,4.85000000606,13.524051006728)); #527666=CARTESIAN_POINT('',(-2.350000193575,4.85000000606,13.874051007151)); #527667=CARTESIAN_POINT('Origin',(1.91999057613466,-4.59486854342693,13.524051006728)); #527668=CARTESIAN_POINT('',(2.38041395639546,-3.8188517235711,13.874051007151)); #527669=CARTESIAN_POINT('',(2.34999981210499,-3.87011299426843,13.874051007151)); #527670=CARTESIAN_POINT('',(2.67823404845404,-3.31689328567084,13.874051007151)); #527671=CARTESIAN_POINT('',(2.34999981210499,-3.87011299426843,13.524051006728)); #527672=CARTESIAN_POINT('',(2.34999981210499,-3.87011299426843,13.524051006728)); #527673=CARTESIAN_POINT('',(2.38041395639546,-3.8188517235711,13.524051006728)); #527674=CARTESIAN_POINT('',(2.67823404845404,-3.31689328567084,13.524051006728)); #527675=CARTESIAN_POINT('',(2.38041395639547,-3.81885172357108,13.524051006728)); #527676=CARTESIAN_POINT('Origin',(2.349999812105,-6.500000007656,13.524051006728)); #527677=CARTESIAN_POINT('',(2.349999812105,-6.500000007656,13.874051007151)); #527678=CARTESIAN_POINT('',(2.349999812105,-6.500000007656,13.524051006728)); #527679=CARTESIAN_POINT('Origin',(5.349999815731,-2.450000002762,13.524051006728)); #527680=CARTESIAN_POINT('',(4.749999815731,-2.450000002762,13.524051006728)); #527681=CARTESIAN_POINT('',(4.749999815731,-2.450000002762,13.874051007151)); #527682=CARTESIAN_POINT('',(4.74999981573003,-2.450000002762,13.6990510069395)); #527683=CARTESIAN_POINT('',(3.77458587736468,-2.450000002762,13.874051007151)); #527684=CARTESIAN_POINT('',(5.349999815731,-2.450000002762,13.874051007151)); #527685=CARTESIAN_POINT('',(3.77458587736468,-2.450000002762,13.524051006728)); #527686=CARTESIAN_POINT('',(3.77458587736466,-2.45000000276201,13.524051006728)); #527687=CARTESIAN_POINT('',(5.349999815731,-2.450000002762,13.524051006728)); #527688=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #527689=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.874051007151)); #527690=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #527691=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.874051007151)); #527692=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,13.874051007151)); #527693=CARTESIAN_POINT('',(-2.67500019396743,4.80000000606004,13.874051007151)); #527694=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,13.874051007151)); #527695=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.874051005943)); #527696=CARTESIAN_POINT('',(-4.358612360442,-2.450000002762,12.874051005943)); #527697=CARTESIAN_POINT('',(4.358611978972,-2.450000002762,12.874051005943)); #527698=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.874051005943)); #527699=CARTESIAN_POINT('',(-4.358612360442,-2.450000002762,12.374051005339)); #527700=CARTESIAN_POINT('',(-4.358612360442,-2.450000002762,12.874051005943)); #527701=CARTESIAN_POINT('',(4.358611978972,-2.450000002762,12.374051005339)); #527702=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.374051005339)); #527703=CARTESIAN_POINT('',(4.358611978972,-2.450000002762,12.874051005943)); #527704=CARTESIAN_POINT('Origin',(4.64999981561,-6.500000007656,12.274051005218)); #527705=CARTESIAN_POINT('',(4.64999981561,4.700000005939,12.274051005218)); #527706=CARTESIAN_POINT('',(4.64999981561,4.700000005939,12.374051005339)); #527707=CARTESIAN_POINT('',(4.64999981560996,4.700000005939,12.274051005218)); #527708=CARTESIAN_POINT('',(4.64999981561,-6.500000007656,12.374051005339)); #527709=CARTESIAN_POINT('',(4.64999981561,2.200000002858,10.874051003526)); #527710=CARTESIAN_POINT('Origin',(4.64999981561,2.200000002858,11.024051003707)); #527711=CARTESIAN_POINT('',(4.64999981561,-2.20000000246,10.874051003526)); #527712=CARTESIAN_POINT('',(4.64999981561,-6.500000007656,10.874051003526)); #527713=CARTESIAN_POINT('',(4.64999981561,-2.350000002641,11.024051003707)); #527714=CARTESIAN_POINT('Origin',(4.64999981561,-2.20000000246,11.024051003707)); #527715=CARTESIAN_POINT('',(4.64999981561,-2.350000002641,12.374051005339)); #527716=CARTESIAN_POINT('',(4.64999981561,-2.350000002641,12.274051005218)); #527717=CARTESIAN_POINT('',(4.64999981560999,-2.450000002762,12.374051005339)); #527718=CARTESIAN_POINT('',(4.64999981561,-6.500000007656,12.374051005339)); #527719=CARTESIAN_POINT('',(4.64999981561,-6.400000007535,12.374051005339)); #527720=CARTESIAN_POINT('',(4.64999981560999,-3.25000000372849,12.374051005339)); #527721=CARTESIAN_POINT('',(4.64999981561,-6.400000007535,12.274051005218)); #527722=CARTESIAN_POINT('',(4.64999981561,-6.40000000753497,12.274051005218)); #527723=CARTESIAN_POINT('',(4.64999981561,-6.500000007656,12.274051005218)); #527724=CARTESIAN_POINT('',(4.64999981561,2.45000000316,12.274051005218)); #527725=CARTESIAN_POINT('',(4.64999981561,2.45000000316,12.374051005339)); #527726=CARTESIAN_POINT('',(4.64999981561,-6.500000007656,12.274051005218)); #527727=CARTESIAN_POINT('Origin',(-5.3500001972,-6.400000007535,12.274051005218)); #527728=CARTESIAN_POINT('',(-4.650000197079,-6.400000007535,12.374051005339)); #527729=CARTESIAN_POINT('',(-4.650000197079,-6.400000007535,12.274051005218)); #527730=CARTESIAN_POINT('',(-4.650000197079,-6.400000007535,12.274051005218)); #527731=CARTESIAN_POINT('',(-5.3500001972,-6.400000007535,12.274051005218)); #527732=CARTESIAN_POINT('',(-5.3500001972,-6.400000007535,12.374051005339)); #527733=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.374051005339)); #527734=CARTESIAN_POINT('',(-4.65000019707901,-2.450000002762,12.374051005339)); #527735=CARTESIAN_POINT('',(-4.65000019707901,-3.25000000372851,12.374051005339)); #527736=CARTESIAN_POINT('',(4.358611978972,-2.450000002762,12.374051005339)); #527737=CARTESIAN_POINT('',(-5.3500001972,-2.450000002762,12.374051005339)); #527738=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.274051005218)); #527739=CARTESIAN_POINT('',(-4.45000019719996,-6.500000007656,12.274051005218)); #527740=CARTESIAN_POINT('',(-4.75000019719996,-6.200000007656,12.274051005218)); #527741=CARTESIAN_POINT('Origin',(-4.45000019719996,-6.200000007656,12.274051005218)); #527742=CARTESIAN_POINT('',(4.44999981573004,-6.50000000765597,12.274051005218)); #527743=CARTESIAN_POINT('',(-5.3500001972,-6.500000007656,12.274051005218)); #527744=CARTESIAN_POINT('',(4.74999981573004,-6.20000000765596,12.274051005218)); #527745=CARTESIAN_POINT('Origin',(4.44999981573004,-6.20000000765596,12.274051005218)); #527746=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,12.274051005218)); #527747=CARTESIAN_POINT('',(-4.650000197079,-2.450000002762,12.274051005218)); #527748=CARTESIAN_POINT('',(-4.650000197079,-6.500000007656,12.274051005218)); #527749=CARTESIAN_POINT('',(-4.7500001972,-2.450000002762,12.274051005218)); #527750=CARTESIAN_POINT('',(-1.907348561314E-7,-2.450000002762,12.274051005218)); #527751=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,12.274051005218)); #527752=CARTESIAN_POINT('Origin',(-0.09539060330618,-2.450000002762,10.774051003405)); #527753=CARTESIAN_POINT('',(-4.75000019719997,-2.45000000276202,12.324051005278)); #527754=CARTESIAN_POINT('',(-4.650000197079,-2.450000002762,10.774051003405)); #527755=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.274051005218)); #527756=CARTESIAN_POINT('',(-4.7500001972,4.50000000606004,12.274051005218)); #527757=CARTESIAN_POINT('',(-4.4500001972,4.80000000606004,12.274051005218)); #527758=CARTESIAN_POINT('Origin',(-4.4500001972,4.50000000606004,12.274051005218)); #527759=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,12.274051005218)); #527760=CARTESIAN_POINT('',(-4.650000197079,4.700000005939,12.274051005218)); #527761=CARTESIAN_POINT('',(-4.650000197079,-6.500000007656,12.274051005218)); #527762=CARTESIAN_POINT('',(-5.3500001972,4.700000005939,12.274051005218)); #527763=CARTESIAN_POINT('',(4.74999981573,2.45000000316,12.274051005218)); #527764=CARTESIAN_POINT('',(-1.90734873895E-7,2.45000000316,12.274051005218)); #527765=CARTESIAN_POINT('',(4.74999981573,4.50000000606004,12.274051005218)); #527766=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,12.274051005218)); #527767=CARTESIAN_POINT('',(4.44999981573,4.80000000606004,12.274051005218)); #527768=CARTESIAN_POINT('Origin',(4.44999981573,4.50000000606004,12.274051005218)); #527769=CARTESIAN_POINT('',(-2.67500019396743,4.80000000606004,12.274051005218)); #527770=CARTESIAN_POINT('Origin',(0.09539022183648,2.45000000316,12.374051005339)); #527771=CARTESIAN_POINT('',(4.74999981573001,2.45000000316002,13.124051006245)); #527772=CARTESIAN_POINT('Origin',(-0.3164416377,2.350000003039,12.374051005339)); #527773=CARTESIAN_POINT('',(-4.7500001972,2.350000003039,11.024051003707)); #527774=CARTESIAN_POINT('',(-4.7500001972,2.350000003039,12.374051005339)); #527775=CARTESIAN_POINT('',(-4.75000019719999,2.35000000303898,13.124051006245)); #527776=CARTESIAN_POINT('',(-4.650000197079,2.350000003039,12.374051005339)); #527777=CARTESIAN_POINT('',(-0.3164416377,2.350000003039,12.374051005339)); #527778=CARTESIAN_POINT('',(-4.650000197079,2.350000003039,11.024051003707)); #527779=CARTESIAN_POINT('',(-4.650000197079,2.350000003039,12.374051005339)); #527780=CARTESIAN_POINT('',(-5.250000197079,2.350000003039,11.024051003707)); #527781=CARTESIAN_POINT('Origin',(-0.3164416377,2.200000002858,11.024051003707)); #527782=CARTESIAN_POINT('',(-4.7500001972,2.200000002858,10.874051003526)); #527783=CARTESIAN_POINT('Origin',(-4.75000019719999,2.20000000285798,11.024051003707)); #527784=CARTESIAN_POINT('',(-4.650000197079,2.200000002858,10.874051003526)); #527785=CARTESIAN_POINT('Origin',(-4.650000197079,2.200000002858,11.024051003707)); #527786=CARTESIAN_POINT('',(-5.250000197079,2.200000002858,10.874051003526)); #527787=CARTESIAN_POINT('Origin',(-0.3164416377,2.200000002858,10.874051003526)); #527788=CARTESIAN_POINT('',(-4.7500001972,-2.20000000246,10.874051003526)); #527789=CARTESIAN_POINT('',(-4.7500001972,3.52500000445899,10.874051003526)); #527790=CARTESIAN_POINT('',(-4.650000197079,-2.20000000246,10.874051003526)); #527791=CARTESIAN_POINT('',(-4.650000197079,2.200000002858,10.874051003526)); #527792=CARTESIAN_POINT('',(-5.250000197079,-2.20000000246,10.874051003526)); #527793=CARTESIAN_POINT('Origin',(-0.3164416377,-2.20000000246,11.024051003707)); #527794=CARTESIAN_POINT('',(-4.7500001972,-2.350000002641,11.024051003707)); #527795=CARTESIAN_POINT('Origin',(-4.75000019719997,-2.20000000246002,11.024051003707)); #527796=CARTESIAN_POINT('',(-4.650000197079,-2.350000002641,11.024051003707)); #527797=CARTESIAN_POINT('Origin',(-4.650000197079,-2.20000000246,11.024051003707)); #527798=CARTESIAN_POINT('',(-5.250000197079,-2.350000002641,11.024051003707)); #527799=CARTESIAN_POINT('Origin',(-0.3164416377,-2.350000002641,11.024051003707)); #527800=CARTESIAN_POINT('',(-4.7500001972,-2.350000002641,12.374051005339)); #527801=CARTESIAN_POINT('',(-4.75000019719997,-2.35000000264102,12.449051005429)); #527802=CARTESIAN_POINT('',(-4.650000197079,-2.350000002641,12.374051005339)); #527803=CARTESIAN_POINT('',(-4.650000197079,-2.350000002641,11.024051003707)); #527804=CARTESIAN_POINT('',(-0.3164416377,-2.350000002641,12.374051005339)); #527805=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.374051005339)); #527806=CARTESIAN_POINT('',(-4.7500001972,-2.450000002762,12.374051005339)); #527807=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,12.374051005339)); #527808=CARTESIAN_POINT('',(-4.650000197079,-6.500000007656,12.374051005339)); #527809=CARTESIAN_POINT('',(-5.3500001972,-2.450000002762,12.374051005339)); #527810=CARTESIAN_POINT('Origin',(-5.3500001972,-2.450000002762,12.874051005943)); #527811=CARTESIAN_POINT('',(-4.7500001972,-2.450000002762,12.874051005943)); #527812=CARTESIAN_POINT('',(-4.75000019719997,-2.450000002762,13.374051006547)); #527813=CARTESIAN_POINT('',(-5.3500001972,-2.450000002762,12.874051005943)); #527814=CARTESIAN_POINT('Origin',(4.358611978972,-2.450000002762,12.874051005943)); #527815=CARTESIAN_POINT('',(4.749999815731,-2.450000002762,12.374051005339)); #527816=CARTESIAN_POINT('',(4.749999815731,-2.450000002762,12.874051005943)); #527817=CARTESIAN_POINT('',(4.74999981573003,-2.450000002762,13.374051006547)); #527818=CARTESIAN_POINT('',(4.358611978972,-2.450000002762,12.874051005943)); #527819=CARTESIAN_POINT('',(4.358611978972,-2.450000002762,12.374051005339)); #527820=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.374051005339)); #527821=CARTESIAN_POINT('',(4.749999815731,-2.350000002641,12.374051005339)); #527822=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,12.374051005339)); #527823=CARTESIAN_POINT('',(4.849999815126,-2.350000002641,12.374051005339)); #527824=CARTESIAN_POINT('Origin',(4.849999815126,-2.350000002641,12.374051005339)); #527825=CARTESIAN_POINT('',(4.74999981573,-2.350000002641,11.024051003707)); #527826=CARTESIAN_POINT('',(4.74999981573003,-2.350000002641,13.124051006245)); #527827=CARTESIAN_POINT('',(4.849999815126,-2.350000002641,11.024051003707)); #527828=CARTESIAN_POINT('Origin',(4.849999815126,-2.20000000246,11.024051003707)); #527829=CARTESIAN_POINT('',(4.74999981573,-2.20000000246,10.874051003526)); #527830=CARTESIAN_POINT('Origin',(4.74999981573003,-2.20000000246,11.024051003707)); #527831=CARTESIAN_POINT('',(5.24999981561,-2.20000000246,10.874051003526)); #527832=CARTESIAN_POINT('Origin',(4.849999815126,-2.20000000246,10.874051003526)); #527833=CARTESIAN_POINT('',(4.74999981573,2.200000002858,10.874051003526)); #527834=CARTESIAN_POINT('',(4.74999981573001,1.3250000018,10.874051003526)); #527835=CARTESIAN_POINT('',(5.24999981561,2.200000002858,10.874051003526)); #527836=CARTESIAN_POINT('Origin',(4.849999815126,2.200000002858,11.024051003707)); #527837=CARTESIAN_POINT('Origin',(4.74999981573001,2.200000002858,11.024051003707)); #527838=CARTESIAN_POINT('Origin',(-4.650000197079,-6.500000007656,12.274051005218)); #527839=CARTESIAN_POINT('',(-4.650000197079,4.700000005939,12.374051005339)); #527840=CARTESIAN_POINT('',(-4.65000019707904,4.700000005939,12.274051005218)); #527841=CARTESIAN_POINT('',(-4.650000197079,-6.500000007656,12.374051005339)); #527842=CARTESIAN_POINT('Origin',(-5.3500001972,4.700000005939,12.274051005218)); #527843=CARTESIAN_POINT('',(-5.3500001972,4.700000005939,12.374051005339)); #527844=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #527845=CARTESIAN_POINT('',(-4.7500001972,4.50000000606004,13.524051006728)); #527846=CARTESIAN_POINT('',(-4.4500001972,4.80000000606004,13.524051006728)); #527847=CARTESIAN_POINT('Origin',(-4.4500001972,4.50000000606004,13.524051006728)); #527848=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,13.524051006728)); #527849=CARTESIAN_POINT('',(-2.67500019396743,4.80000000606004,13.524051006728)); #527850=CARTESIAN_POINT('Origin',(4.44999981573004,-6.20000000765596,13.874051007151)); #527851=CARTESIAN_POINT('',(4.74999981573004,-6.20000000765596,13.874051007151)); #527852=CARTESIAN_POINT('',(4.44999981573004,-6.50000000765596,13.874051007151)); #527853=CARTESIAN_POINT('Origin',(4.74999981573,4.85000000606,13.874051007151)); #527854=CARTESIAN_POINT('',(4.74999981573,4.50000000606004,13.524051006728)); #527855=CARTESIAN_POINT('',(4.74999981573,4.50000000606004,12.374051005339)); #527856=CARTESIAN_POINT('',(4.74999981573,4.50000000606004,13.874051007151)); #527857=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,13.524051006728)); #527858=CARTESIAN_POINT('',(4.74999981573004,-6.20000000765596,13.524051006728)); #527859=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,13.524051006728)); #527860=CARTESIAN_POINT('',(4.74999981573004,-6.20000000765596,12.874051005943)); #527861=CARTESIAN_POINT('',(4.74999981573004,-6.20000000765596,13.874051007151)); #527862=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,12.874051005943)); #527863=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,12.374051005339)); #527864=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #527865=CARTESIAN_POINT('Origin',(4.44999981573004,-6.20000000765596,13.524051006728)); #527866=CARTESIAN_POINT('Origin',(4.44999981573004,-6.20000000765596,13.874051007151)); #527867=CARTESIAN_POINT('Origin',(4.44999981573004,-6.20000000765596,12.874051005943)); #527868=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 13.524051006728)); #527869=CARTESIAN_POINT('',(4.44999981573,4.80000000606004,13.524051006728)); #527870=CARTESIAN_POINT('Origin',(4.44999981573,4.50000000606004,13.524051006728)); #527871=CARTESIAN_POINT('',(-2.67500019396743,4.80000000606004,13.524051006728)); #527872=CARTESIAN_POINT('Origin',(4.44999981573,4.50000000606004,13.874051007151)); #527873=CARTESIAN_POINT('',(4.44999981573,4.80000000606004,12.374051005339)); #527874=CARTESIAN_POINT('Origin',(4.44999981573,4.50000000606004,12.374051005339)); #527875=CARTESIAN_POINT('',(4.44999981573,4.80000000606004,13.874051007151)); #527876=CARTESIAN_POINT('Origin',(4.44999981573,4.50000000606004,13.874051007151)); #527877=CARTESIAN_POINT('',(4.74999981573,4.50000000606004,13.874051007151)); #527878=CARTESIAN_POINT('Origin',(4.4500000670183,4.50000000606,1.32405099814797)); #527879=CARTESIAN_POINT('',(4.44999981573,4.80000000606004,13.874051007151)); #527880=CARTESIAN_POINT('Origin',(-5.3500001972,-6.500000007656,13.874051007151)); #527881=CARTESIAN_POINT('',(-4.45000019719996,-6.500000007656,13.874051007151)); #527882=CARTESIAN_POINT('Origin',(-4.45000019719996,-6.200000007656,13.874051007151)); #527883=CARTESIAN_POINT('',(-4.75000019719996,-6.200000007656,13.874051007151)); #527884=CARTESIAN_POINT('Origin',(-4.7500001972,4.85000000606,13.874051007151)); #527885=CARTESIAN_POINT('',(-4.7500001972,4.50000000606004,12.374051005339)); #527886=CARTESIAN_POINT('',(-4.7500001972,4.50000000606004,13.874051007151)); #527887=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,12.374051005339)); #527888=CARTESIAN_POINT('',(-4.75000019719996,-6.200000007656,12.874051005943)); #527889=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,12.874051005943)); #527890=CARTESIAN_POINT('',(-4.75000019719996,-6.200000007656,13.874051007151)); #527891=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.874051005943)); #527892=CARTESIAN_POINT('Origin',(-4.45000019719996,-6.200000007656,12.874051005943)); #527893=CARTESIAN_POINT('Origin',(-4.45000019719996,-6.200000007656,13.874051007151)); #527894=CARTESIAN_POINT('Origin',(-5.3500001972,4.80000000606004,13.874051007151)); #527895=CARTESIAN_POINT('',(-4.4500001972,4.80000000606004,12.374051005339)); #527896=CARTESIAN_POINT('',(-4.4500001972,4.80000000606004,13.874051007151)); #527897=CARTESIAN_POINT('',(-2.67500019396743,4.80000000606004,12.374051005339)); #527898=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 12.374051005339)); #527899=CARTESIAN_POINT('Origin',(-4.4500001972,4.50000000606004,12.374051005339)); #527900=CARTESIAN_POINT('Origin',(-4.4500001972,4.50000000606004,13.874051007151)); #527901=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.324050998148)); #527902=CARTESIAN_POINT('',(3.649999813314,-1.50000000191601,1.324050998148)); #527903=CARTESIAN_POINT('',(4.74999981573002,-1.500000001916,1.324050998148)); #527904=CARTESIAN_POINT('',(2.57499981237707,-1.50000000191601,1.324050998148)); #527905=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,1.324050998148)); #527906=CARTESIAN_POINT('',(3.649999813676,-2.550000002883,1.324050998148)); #527907=CARTESIAN_POINT('',(-1.907348561314E-7,-2.550000002883,1.324050998148)); #527908=CARTESIAN_POINT('',(3.349999813314,-2.25000000252,1.324050998148)); #527909=CARTESIAN_POINT('Origin',(3.649999813676,-2.25000000252,1.324050998148)); #527910=CARTESIAN_POINT('',(3.349999813314,-1.80000000191601,1.324050998148)); #527911=CARTESIAN_POINT('',(3.349999813314,-2.150000002399,1.324050998148)); #527912=CARTESIAN_POINT('Origin',(3.649999813314,-1.80000000191601,1.324050998148)); #527913=CARTESIAN_POINT('Origin',(-4.4500001972,4.50000000606004,13.874051007151)); #527914=CARTESIAN_POINT('Origin',(-4.45000048946544,4.50000000606,1.32405099814803)); #527915=CARTESIAN_POINT('',(-4.7500001972,4.50000000606004,13.874051007151)); #527916=CARTESIAN_POINT('',(-4.4500001972,4.80000000606004,13.874051007151)); #527917=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.324050998148)); #527918=CARTESIAN_POINT('',(-4.75000019719999,2.42500000312949,1.324050998148)); #527919=CARTESIAN_POINT('',(-5.000000196777,1.500000002314,1.324050998148)); #527920=CARTESIAN_POINT('',(-2.67500019396743,1.50000000231401,1.324050998148)); #527921=CARTESIAN_POINT('',(-5.000000196777,-1.500000001916,1.324050998148)); #527922=CARTESIAN_POINT('',(-5.000000196777,2.550000003281,1.324050998148)); #527923=CARTESIAN_POINT('',(-2.57500019384693,-1.50000000191599,1.324050998148)); #527924=CARTESIAN_POINT('Origin',(-4.45000048946543,4.50000000606,1.3990509982385)); #527925=CARTESIAN_POINT('Origin',(-4.45000048946544,4.50000000606,1.12405099790603)); #527926=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.124050997906)); #527927=CARTESIAN_POINT('',(4.44999972652547,-4.800000005662,1.124050997906)); #527928=CARTESIAN_POINT('Origin',(4.44999972652547,-4.500000005662,1.12405099790597)); #527929=CARTESIAN_POINT('',(1.599999811199,-4.800000005662,1.124050997906)); #527930=CARTESIAN_POINT('',(2.59999981240707,-4.800000005662,1.124050997906)); #527931=CARTESIAN_POINT('',(1.599999811199,-4.55000000536,1.124050997906)); #527932=CARTESIAN_POINT('',(1.599999811199,-4.60000000536,1.124050997906)); #527933=CARTESIAN_POINT('',(1.499999811078,-4.55000000536,1.124050997906)); #527934=CARTESIAN_POINT('',(-1.90734657457005E-7,-4.55000000535999,1.124050997906)); #527935=CARTESIAN_POINT('',(1.499999811078,-4.650000005481,1.124050997906)); #527936=CARTESIAN_POINT('',(1.49999981107799,-2.2500000025805,1.124050997906)); #527937=CARTESIAN_POINT('',(-1.500000192548,-4.650000005481,1.124050997906)); #527938=CARTESIAN_POINT('',(-1.500000192548,-4.650000005481,1.124050997906)); #527939=CARTESIAN_POINT('',(-1.500000192548,-4.55000000536,1.124050997906)); #527940=CARTESIAN_POINT('',(-1.50000019254801,-2.2500000025805,1.124050997906)); #527941=CARTESIAN_POINT('',(-1.600000192668,-4.55000000536,1.124050997906)); #527942=CARTESIAN_POINT('',(-1.90734657457005E-7,-4.55000000535999,1.124050997906)); #527943=CARTESIAN_POINT('',(-1.600000192668,-4.800000005662,1.124050997906)); #527944=CARTESIAN_POINT('',(-1.60000019266801,-2.3000000025805,1.124050997906)); #527945=CARTESIAN_POINT('',(-4.45000061538181,-4.800000005662,1.124050997906)); #527946=CARTESIAN_POINT('',(-2.60000019387693,-4.800000005662,1.124050997906)); #527947=CARTESIAN_POINT('Origin',(-4.45000061538181,-4.500000005662,1.12405099790603)); #527948=CARTESIAN_POINT('',(-3.650000195146,-2.550000002883,1.124050997906)); #527949=CARTESIAN_POINT('',(-4.75000061538182,-2.55000000288303,1.12405099790607)); #527950=CARTESIAN_POINT('',(-3.501498043841,-2.510666669502,1.124050997906)); #527951=CARTESIAN_POINT('Origin',(-3.650000195146,-2.25000000252,1.124050997906)); #527952=CARTESIAN_POINT('',(-3.350000194783,-2.25000000252,1.124050997906)); #527953=CARTESIAN_POINT('Ctrl Pts',(-3.501498043841,-2.510666669502,1.124050997906)); #527954=CARTESIAN_POINT('Ctrl Pts',(-3.456532416857,-2.487194873684,1.124050997906)); #527955=CARTESIAN_POINT('Ctrl Pts',(-3.41836942487802,-2.44818205065468, 1.124050997906)); #527956=CARTESIAN_POINT('Ctrl Pts',(-3.39193645641686,-2.40298074303611, 1.124050997906)); #527957=CARTESIAN_POINT('Ctrl Pts',(-3.36550348819394,-2.35777943582494, 1.124050997906)); #527958=CARTESIAN_POINT('Ctrl Pts',(-3.3508005432774,-2.30638964413606, 1.124050997906)); #527959=CARTESIAN_POINT('Ctrl Pts',(-3.35004491645276,-2.25517986754606, 1.124050997906)); #527960=CARTESIAN_POINT('Ctrl Pts',(-3.35001943724065,-2.2534531094202, 1.124050997906)); #527961=CARTESIAN_POINT('Ctrl Pts',(-3.350009816012,-2.25172655597,1.124050997906)); #527962=CARTESIAN_POINT('Ctrl Pts',(-3.350000194783,-2.25000000252,1.124050997906)); #527963=CARTESIAN_POINT('',(-3.35000019478299,-1.80000000191599,1.124050997906)); #527964=CARTESIAN_POINT('',(-3.350000194783,1.99000260714399E-10,1.124050997906)); #527965=CARTESIAN_POINT('',(-3.650000194783,-1.50000000191599,1.124050997906)); #527966=CARTESIAN_POINT('Origin',(-3.650000194783,-1.80000000191599,1.124050997906)); #527967=CARTESIAN_POINT('',(-5.000000196777,-1.500000001916,1.124050997906)); #527968=CARTESIAN_POINT('',(-2.57500019384693,-1.50000000191599,1.124050997906)); #527969=CARTESIAN_POINT('',(-5.000000196777,1.500000002314,1.124050997906)); #527970=CARTESIAN_POINT('',(-5.000000196777,2.550000003281,1.124050997906)); #527971=CARTESIAN_POINT('',(-3.650000194783,1.500000002314,1.124050997906)); #527972=CARTESIAN_POINT('',(-2.67500019396743,1.50000000231401,1.124050997906)); #527973=CARTESIAN_POINT('',(-3.35000019478301,1.800000002314,1.124050997906)); #527974=CARTESIAN_POINT('Origin',(-3.650000194783,1.800000002314,1.124050997906)); #527975=CARTESIAN_POINT('',(-3.350000194783,2.250000002918,1.124050997906)); #527976=CARTESIAN_POINT('',(-3.350000194783,1.99000260714399E-10,1.124050997906)); #527977=CARTESIAN_POINT('',(-3.389333528164,2.398502154223,1.124050997906)); #527978=CARTESIAN_POINT('Origin',(-3.650000195146,2.250000002918,1.124050997906)); #527979=CARTESIAN_POINT('',(-3.650000195146,2.550000003281,1.124050997906)); #527980=CARTESIAN_POINT('Ctrl Pts',(-3.389333528164,2.398502154223,1.124050997906)); #527981=CARTESIAN_POINT('Ctrl Pts',(-3.412805323982,2.443467781207,1.124050997906)); #527982=CARTESIAN_POINT('Ctrl Pts',(-3.45181814701131,2.48163077318549, 1.124050997906)); #527983=CARTESIAN_POINT('Ctrl Pts',(-3.49701945462989,2.50806374164664, 1.124050997906)); #527984=CARTESIAN_POINT('Ctrl Pts',(-3.54222076184107,2.53449670986955, 1.124050997906)); #527985=CARTESIAN_POINT('Ctrl Pts',(-3.59361055352994,2.54919965478658, 1.124050997906)); #527986=CARTESIAN_POINT('Ctrl Pts',(-3.64482033011994,2.54995528161124, 1.124050997906)); #527987=CARTESIAN_POINT('Ctrl Pts',(-3.6465470882458,2.54998076082335,1.124050997906)); #527988=CARTESIAN_POINT('Ctrl Pts',(-3.648273641696,2.549990382052,1.124050997906)); #527989=CARTESIAN_POINT('Ctrl Pts',(-3.650000195146,2.550000003281,1.124050997906)); #527990=CARTESIAN_POINT('',(-4.75000061538184,2.55000000328097,1.12405099790607)); #527991=CARTESIAN_POINT('',(-1.600000192668,4.55000085684252,1.124050997906)); #527992=CARTESIAN_POINT('',(-1.600000192668,4.80000000606,1.124050997906)); #527993=CARTESIAN_POINT('',(-1.500000192548,4.55000085684252,1.124050997906)); #527994=CARTESIAN_POINT('',(-1.90734707278686E-7,4.55000085684253,1.124050997906)); #527995=CARTESIAN_POINT('',(-1.500000192548,4.650000005879,1.124050997906)); #527996=CARTESIAN_POINT('',(-1.50000019254799,2.2500000029785,1.124050997906)); #527997=CARTESIAN_POINT('',(1.499999811078,4.650000005879,1.124050997906)); #527998=CARTESIAN_POINT('',(1.499999811078,4.650000005879,1.124050997906)); #527999=CARTESIAN_POINT('',(1.499999811078,4.550000005758,1.124050997906)); #528000=CARTESIAN_POINT('',(1.499999811078,4.550000005758,1.124050997906)); #528001=CARTESIAN_POINT('',(1.599999811199,4.550000005758,1.124050997906)); #528002=CARTESIAN_POINT('',(-1.90734707278686E-7,4.55000085684253,1.124050997906)); #528003=CARTESIAN_POINT('',(1.599999811199,4.80000000606,1.124050997906)); #528004=CARTESIAN_POINT('Origin',(4.45000006701829,4.50000000606,1.12405099790597)); #528005=CARTESIAN_POINT('',(3.649999813676,2.550000003281,1.124050997906)); #528006=CARTESIAN_POINT('',(4.74999972652544,2.550000003281,1.124050997906)); #528007=CARTESIAN_POINT('',(3.349999813314,2.250000002918,1.124050997906)); #528008=CARTESIAN_POINT('Origin',(3.649999813676,2.250000002918,1.124050997906)); #528009=CARTESIAN_POINT('',(3.349999813314,1.80000000231399,1.124050997906)); #528010=CARTESIAN_POINT('',(3.34999981331401,0.875000001256503,1.124050997906)); #528011=CARTESIAN_POINT('',(3.649999813314,1.50000000231399,1.124050997906)); #528012=CARTESIAN_POINT('Origin',(3.649999813314,1.80000000231399,1.124050997906)); #528013=CARTESIAN_POINT('',(4.999999815308,1.500000002314,1.124050997906)); #528014=CARTESIAN_POINT('',(2.67499981249757,1.50000000231399,1.124050997906)); #528015=CARTESIAN_POINT('',(4.999999815308,-1.500000001916,1.124050997906)); #528016=CARTESIAN_POINT('',(4.999999815308,-2.550000002883,1.124050997906)); #528017=CARTESIAN_POINT('',(3.649999813314,-1.50000000191601,1.124050997906)); #528018=CARTESIAN_POINT('',(2.57499981237707,-1.50000000191601,1.124050997906)); #528019=CARTESIAN_POINT('',(3.349999813314,-1.80000000191601,1.124050997906)); #528020=CARTESIAN_POINT('Origin',(3.649999813314,-1.80000000191601,1.124050997906)); #528021=CARTESIAN_POINT('',(3.349999813314,-2.25000000252,1.124050997906)); #528022=CARTESIAN_POINT('',(3.349999813314,-2.25000000252,1.124050997906)); #528023=CARTESIAN_POINT('',(3.649999813676,-2.550000002883,1.124050997906)); #528024=CARTESIAN_POINT('Origin',(3.649999813676,-2.25000000252,1.124050997906)); #528025=CARTESIAN_POINT('',(4.74999972652546,-2.550000002883,1.124050997906)); #528026=CARTESIAN_POINT('Origin',(-4.45000061538181,-4.500000005662,1.3990509982385)); #528027=CARTESIAN_POINT('',(-4.45000061538181,-4.800000005662,1.3990509982385)); #528028=CARTESIAN_POINT('Origin',(-5.200000197019,-4.800000005662,1.474050998329)); #528029=CARTESIAN_POINT('',(-1.600000192668,-4.800000005662,1.2990509981175)); #528030=CARTESIAN_POINT('Origin',(4.4500000670183,4.50000000606,1.39905099823851)); #528031=CARTESIAN_POINT('Origin',(5.199999815549,4.80000000606,1.474050998329)); #528032=CARTESIAN_POINT('Origin',(4.44999972652547,-4.500000005662,1.39905099823851)); #528033=CARTESIAN_POINT('',(4.44999972652547,-4.800000005662,1.39905099823851)); #528034=CARTESIAN_POINT('Origin',(4.74999972652546,-2.550000002883,1.324050998148)); #528035=CARTESIAN_POINT('',(4.74999981573001,2.42500000312951,1.324050998148)); #528036=CARTESIAN_POINT('Origin',(1.599999811199,4.85000000606,1.124050997906)); #528037=CARTESIAN_POINT('',(1.599999811199,4.550000005758,1.124050997906)); #528038=CARTESIAN_POINT('Origin',(5.199999815549,-4.800000005662,1.474050998329)); #528039=CARTESIAN_POINT('',(1.599999811199,-4.800000005662,1.2990509981175)); #528040=CARTESIAN_POINT('Origin',(1.599999811199,-4.60000000536,1.124050997906)); #528041=CARTESIAN_POINT('',(1.599999811199,-4.55000000535998,1.124050997906)); #528042=CARTESIAN_POINT('Origin',(-1.600000192668,-4.850000005662,1.124050997906)); #528043=CARTESIAN_POINT('',(-1.600000192668,-4.55000000536,1.124050997906)); #528044=CARTESIAN_POINT('Origin',(-1.600000192668,4.600000005758,1.124050997906)); #528045=CARTESIAN_POINT('',(-1.600000192668,4.55000000575798,1.124050997906)); #528046=CARTESIAN_POINT('Origin',(1.599999811199,4.550000005758,1.124050997906)); #528047=CARTESIAN_POINT('',(-1.500000192548,4.55000000575798,1.124050997906)); #528048=CARTESIAN_POINT('Origin',(-1.500000192548,5.000000006302,1.124050997906)); #528049=CARTESIAN_POINT('',(-1.500000192548,4.650000005879,1.124050997906)); #528050=CARTESIAN_POINT('Origin',(-1.500000192548,5.000000006302,1.124050997906)); #528051=CARTESIAN_POINT('',(-1.500000192548,4.80000000606,0.374326052827459)); #528052=CARTESIAN_POINT('',(-1.500000192548,5.000000006302,0.374326052827459)); #528053=CARTESIAN_POINT('',(-1.500000192548,4.9500000062415,0.374326052827459)); #528054=CARTESIAN_POINT('',(-1.500000192548,4.80000000606,0.974050997724999)); #528055=CARTESIAN_POINT('',(-1.500000192548,4.80000000606,1.124050997906)); #528056=CARTESIAN_POINT('',(-1.500000192548,5.000000006302,0.974050997724999)); #528057=CARTESIAN_POINT('',(-1.500000192548,4.80000000606,0.974050997724999)); #528058=CARTESIAN_POINT('',(-1.500000192548,5.000000006302,1.124050997906)); #528059=CARTESIAN_POINT('Origin',(1.499999811078,5.000000006302,-1.22594900493345)); #528060=CARTESIAN_POINT('',(1.499999811078,5.00000000630199,0.374326052827459)); #528061=CARTESIAN_POINT('',(1.499999811078,4.80000000605999,0.374326052827459)); #528062=CARTESIAN_POINT('',(1.499999811078,4.95000000624151,0.374326052827459)); #528063=CARTESIAN_POINT('',(1.499999811078,5.000000006302,0.974050997724999)); #528064=CARTESIAN_POINT('',(1.499999811078,5.000000006302,-1.22594900493345)); #528065=CARTESIAN_POINT('',(1.499999811078,4.80000000606,0.974050997724999)); #528066=CARTESIAN_POINT('',(1.499999811078,4.80000000606,0.974050997724999)); #528067=CARTESIAN_POINT('',(1.499999811078,4.80000000606,-1.22594900493345)); #528068=CARTESIAN_POINT('Origin',(1.499999811078,4.650000005879,0.974050997724999)); #528069=CARTESIAN_POINT('Origin',(1.499999811078,4.650000005879,0.974050997724999)); #528070=CARTESIAN_POINT('Origin',(-1.500000192548,4.650000005879,0.974050997724999)); #528071=CARTESIAN_POINT('',(-1.500000192548,4.80000000606,0.974050997724999)); #528072=CARTESIAN_POINT('Origin',(1.499999811078,4.650000005879,0.974050997724999)); #528073=CARTESIAN_POINT('Origin',(1.499999811078,4.650000005879,0.974050997724999)); #528074=CARTESIAN_POINT('',(-1.907348826657E-7,5.000000006302,0.974050997724999)); #528075=CARTESIAN_POINT('Origin',(-1.500000192548,4.650000005879,0.974050997724999)); #528076=CARTESIAN_POINT('Origin',(1.499999811078,5.000000006302,-1.22594900493345)); #528077=CARTESIAN_POINT('',(1.499999811078,4.650000005879,1.124050997906)); #528078=CARTESIAN_POINT('Origin',(-1.500000192548,5.000000006302,1.124050997906)); #528079=CARTESIAN_POINT('Origin',(1.499999811078,5.000000006302,-1.22594900493345)); #528080=CARTESIAN_POINT('',(1.499999811078,4.550000005758,-0.0509490035137265)); #528081=CARTESIAN_POINT('Origin',(-1.907348818886E-7,4.80000000606,1.324050998148)); #528082=CARTESIAN_POINT('',(-1.200000192548,4.80000000606,0.074326052827459)); #528083=CARTESIAN_POINT('Origin',(-1.200000192548,4.80000000606,0.374326052827459)); #528084=CARTESIAN_POINT('',(1.199999811078,4.80000000606001,0.074326052827459)); #528085=CARTESIAN_POINT('',(-1.90734940500329E-7,4.80000000606,0.074326052827459)); #528086=CARTESIAN_POINT('Origin',(1.199999811078,4.80000000606,0.374326052827459)); #528087=CARTESIAN_POINT('Origin',(1.199999811078,4.95000000624151,0.374326052827459)); #528088=CARTESIAN_POINT('',(1.199999811078,5.00000000630201,0.074326052827459)); #528089=CARTESIAN_POINT('Origin',(1.199999811078,5.000000006302,0.374326052827459)); #528090=CARTESIAN_POINT('',(1.199999811078,4.95000000624151,0.074326052827459)); #528091=CARTESIAN_POINT('Origin',(-1.907348826657E-7,5.000000006302,1.324050998148)); #528092=CARTESIAN_POINT('',(-1.200000192548,5.000000006302,0.074326052827459)); #528093=CARTESIAN_POINT('Origin',(-1.200000192548,5.000000006302,0.374326052827459)); #528094=CARTESIAN_POINT('',(-1.90734941238027E-7,5.000000006302,0.074326052827459)); #528095=CARTESIAN_POINT('Origin',(-1.90734999461206E-7,4.90000000618101, 0.074326052827459)); #528096=CARTESIAN_POINT('',(-1.200000192548,4.9500000062415,0.074326052827459)); #528097=CARTESIAN_POINT('Origin',(-1.200000192548,4.9500000062415,0.374326052827459)); #528098=CARTESIAN_POINT('Origin',(-1.600000192668,-4.55000000536,1.124050997906)); #528099=CARTESIAN_POINT('',(1.499999811078,-4.55000000535998,-0.0509490035137267)); #528100=CARTESIAN_POINT('Origin',(-1.500000192548,-5.000000005904,1.124050997906)); #528101=CARTESIAN_POINT('',(-1.500000192548,-4.55000000536,1.124050997906)); #528102=CARTESIAN_POINT('',(-1.500000192548,-4.650000005481,1.124050997906)); #528103=CARTESIAN_POINT('Origin',(1.499999811078,-5.000000005904,-1.22594900493345)); #528104=CARTESIAN_POINT('',(1.499999811078,-4.80000000566199,0.374326052827461)); #528105=CARTESIAN_POINT('',(1.499999811078,-5.000000005904,0.374326052827461)); #528106=CARTESIAN_POINT('',(1.499999811078,-4.9500000058435,0.374326052827461)); #528107=CARTESIAN_POINT('',(1.499999811078,-4.800000005662,0.974050997724999)); #528108=CARTESIAN_POINT('',(1.499999811078,-4.800000005662,-1.22594900493345)); #528109=CARTESIAN_POINT('',(1.499999811078,-5.000000005904,0.974050997724999)); #528110=CARTESIAN_POINT('',(1.499999811078,-4.800000005662,0.974050997724999)); #528111=CARTESIAN_POINT('',(1.499999811078,-5.000000005904,-1.22594900493345)); #528112=CARTESIAN_POINT('Origin',(-1.500000192548,-5.000000005904,1.124050997906)); #528113=CARTESIAN_POINT('',(-1.500000192548,-5.000000005904,0.374326052827461)); #528114=CARTESIAN_POINT('',(-1.500000192548,-4.800000005662,0.374326052827461)); #528115=CARTESIAN_POINT('',(-1.500000192548,-4.9500000058435,0.374326052827461)); #528116=CARTESIAN_POINT('',(-1.500000192548,-5.000000005904,0.974050997724999)); #528117=CARTESIAN_POINT('',(-1.500000192548,-5.000000005904,1.124050997906)); #528118=CARTESIAN_POINT('',(-1.500000192548,-4.800000005662,0.974050997724999)); #528119=CARTESIAN_POINT('',(-1.500000192548,-4.800000005662,0.974050997724999)); #528120=CARTESIAN_POINT('',(-1.500000192548,-4.800000005662,1.124050997906)); #528121=CARTESIAN_POINT('Origin',(-1.500000192548,-4.650000005481,0.974050997724999)); #528122=CARTESIAN_POINT('Origin',(-1.500000192548,-4.650000005481,0.974050997724999)); #528123=CARTESIAN_POINT('Origin',(1.499999811078,-4.650000005481,0.974050997724999)); #528124=CARTESIAN_POINT('',(1.499999811078,-4.800000005662,0.974050997724999)); #528125=CARTESIAN_POINT('Origin',(-1.500000192548,-4.650000005481,0.974050997724999)); #528126=CARTESIAN_POINT('Origin',(-1.500000192548,-4.650000005481,0.974050997724999)); #528127=CARTESIAN_POINT('',(-1.907348473607E-7,-5.000000005904,0.974050997724999)); #528128=CARTESIAN_POINT('Origin',(1.499999811078,-4.650000005481,0.974050997724999)); #528129=CARTESIAN_POINT('Origin',(-1.500000192548,-5.000000005904,1.124050997906)); #528130=CARTESIAN_POINT('Origin',(1.499999811078,-5.000000005904,-1.22594900493345)); #528131=CARTESIAN_POINT('',(1.499999811078,-4.650000005481,1.124050997906)); #528132=CARTESIAN_POINT('Origin',(1.499999811078,-5.000000005904,-1.22594900493345)); #528133=CARTESIAN_POINT('Origin',(-1.907348481378E-7,-4.800000005662,1.324050998148)); #528134=CARTESIAN_POINT('',(1.199999811078,-4.800000005662,0.0743260528274607)); #528135=CARTESIAN_POINT('Origin',(1.199999811078,-4.800000005662,0.374326052827461)); #528136=CARTESIAN_POINT('',(-1.200000192548,-4.80000000566201,0.0743260528274607)); #528137=CARTESIAN_POINT('',(-1.90734924529189E-7,-4.800000005662,0.0743260528274607)); #528138=CARTESIAN_POINT('Origin',(-1.200000192548,-4.800000005662,0.374326052827461)); #528139=CARTESIAN_POINT('Origin',(-1.200000192548,-4.9500000058435,0.374326052827461)); #528140=CARTESIAN_POINT('',(-1.200000192548,-5.00000000590401,0.0743260528274607)); #528141=CARTESIAN_POINT('Origin',(-1.200000192548,-5.000000005904,0.374326052827461)); #528142=CARTESIAN_POINT('',(-1.200000192548,-4.9500000058435,0.074326052827461)); #528143=CARTESIAN_POINT('Origin',(-1.907348473607E-7,-5.000000005904,1.324050998148)); #528144=CARTESIAN_POINT('',(1.199999811078,-5.000000005904,0.0743260528274607)); #528145=CARTESIAN_POINT('Origin',(1.199999811078,-5.000000005904,0.374326052827461)); #528146=CARTESIAN_POINT('',(-1.90734923791491E-7,-5.000000005904,0.0743260528274607)); #528147=CARTESIAN_POINT('Origin',(-1.90735000571429E-7,-4.900000005783, 0.074326052827461)); #528148=CARTESIAN_POINT('',(1.199999811078,-4.9500000058435,0.074326052827461)); #528149=CARTESIAN_POINT('Origin',(1.199999811078,-4.9500000058435,0.374326052827461)); #528150=CARTESIAN_POINT('Origin',(-1.600000192668,-4.55000000536,1.124050997906)); #528151=CARTESIAN_POINT('Origin',(1.599999811199,4.550000005758,1.124050997906)); #528152=CARTESIAN_POINT('Origin',(4.999999815308,-2.550000002883,1.124050997906)); #528153=CARTESIAN_POINT('',(3.649999813676,-2.550000002883,1.124050997906)); #528154=CARTESIAN_POINT('Origin',(-4.75000061538182,-2.650000003003,1.324050998148)); #528155=CARTESIAN_POINT('Origin',(-5.000000196777,2.550000003281,1.124050997906)); #528156=CARTESIAN_POINT('',(-3.650000195146,2.550000003281,1.324050998148)); #528157=CARTESIAN_POINT('Origin',(-3.650000194783,-1.80000000191599,1.324050998148)); #528158=CARTESIAN_POINT('',(-3.650000194783,-1.50000000191599,1.324050998148)); #528159=CARTESIAN_POINT('',(-3.350000194783,-1.80000000191599,1.324050998148)); #528160=CARTESIAN_POINT('Origin',(-5.150000196959,-1.500000001916,1.324050998148)); #528161=CARTESIAN_POINT('',(-5.3500001972,-1.500000001916,0.974050997724999)); #528162=CARTESIAN_POINT('Origin',(-5.00000019677699,-1.500000001916,0.974050997725)); #528163=CARTESIAN_POINT('',(-5.3500001972,-1.500000001916,0.374326052827459)); #528164=CARTESIAN_POINT('',(-5.3500001972,-1.500000001916,1.324050998148)); #528165=CARTESIAN_POINT('',(-5.150000196959,-1.500000001916,0.374326052827459)); #528166=CARTESIAN_POINT('',(-7.57500019988949,-1.50000000191601,0.374326052827459)); #528167=CARTESIAN_POINT('',(-5.150000196959,-1.500000001916,0.974050997724999)); #528168=CARTESIAN_POINT('',(-5.150000196959,-1.500000001916,1.324050998148)); #528169=CARTESIAN_POINT('Origin',(-5.00000019677699,-1.500000001916,0.974050997725)); #528170=CARTESIAN_POINT('Origin',(-7.57500019988949,-1.20000000191601,0.374326052827459)); #528171=CARTESIAN_POINT('',(-5.3500001972,-1.200000001916,0.0743260528274593)); #528172=CARTESIAN_POINT('Origin',(-5.35000019719999,-1.200000001916,0.374326052827459)); #528173=CARTESIAN_POINT('',(-5.150000196959,-1.200000001916,0.0743260528274593)); #528174=CARTESIAN_POINT('',(-7.57500019988949,-1.20000000191601,0.0743260528274593)); #528175=CARTESIAN_POINT('Origin',(-5.150000196959,-1.200000001916,0.374326052827459)); #528176=CARTESIAN_POINT('Origin',(-10.00000020282,2.550000003281,0.0743260528274585)); #528177=CARTESIAN_POINT('',(-5.3500001972,-0.500000000405,0.0743260528274595)); #528178=CARTESIAN_POINT('',(-5.35000019720001,1.27500000174001,0.0743260528274588)); #528179=CARTESIAN_POINT('',(-5.150000196959,-0.500000000405,0.0743260528274595)); #528180=CARTESIAN_POINT('',(-10.00000020282,-0.500000000405,0.0743260528274592)); #528181=CARTESIAN_POINT('',(-5.15000019695901,1.27500000174001,0.0743260528274588)); #528182=CARTESIAN_POINT('Origin',(-5.3500001972,1.99000260714399E-10,1.324050998148)); #528183=CARTESIAN_POINT('',(-5.3500001972,1.500000002314,0.974050997724999)); #528184=CARTESIAN_POINT('',(-5.3500001972,-2.550000002883,0.974050997724999)); #528185=CARTESIAN_POINT('',(-5.35000019720001,1.500000002314,0.374326052827458)); #528186=CARTESIAN_POINT('',(-5.35000019720002,1.500000002314,-3.6759490078945)); #528187=CARTESIAN_POINT('',(-5.35000019720001,1.200000002314,0.0743260528274588)); #528188=CARTESIAN_POINT('Origin',(-5.35000019720001,1.200000002314,0.374326052827458)); #528189=CARTESIAN_POINT('',(-5.3500001972,0.500000000803,0.0743260528274595)); #528190=CARTESIAN_POINT('',(-5.35000019720001,1.27500000174001,0.0743260528274588)); #528191=CARTESIAN_POINT('',(-5.35000019720001,1.27500000174001,0.0743260528274588)); #528192=CARTESIAN_POINT('Origin',(-3.650000194783,1.80000000231401,-3.6759490078945)); #528193=CARTESIAN_POINT('',(-3.650000194783,1.500000002314,-3.6759490078945)); #528194=CARTESIAN_POINT('',(-3.350000194783,1.80000000231401,-3.6759490078945)); #528195=CARTESIAN_POINT('Origin',(-5.3500001972,1.500000002314,-8.675949013937)); #528196=CARTESIAN_POINT('',(-5.150000196959,1.500000002314,0.974050997724999)); #528197=CARTESIAN_POINT('Origin',(-5.000000196777,1.500000002314,0.974050997724999)); #528198=CARTESIAN_POINT('',(-5.15000019695901,1.500000002314,0.374326052827458)); #528199=CARTESIAN_POINT('',(-5.15000019695902,1.500000002314,-3.6759490078945)); #528200=CARTESIAN_POINT('',(-7.67500020001,1.50000000231399,0.374326052827459)); #528201=CARTESIAN_POINT('Origin',(-5.000000196777,1.500000002314,0.974050997724999)); #528202=CARTESIAN_POINT('Origin',(-7.67500020001,1.20000000231399,0.374326052827458)); #528203=CARTESIAN_POINT('',(-5.15000019695901,1.200000002314,0.0743260528274588)); #528204=CARTESIAN_POINT('Origin',(-5.15000019695901,1.200000002314,0.374326052827458)); #528205=CARTESIAN_POINT('',(-7.67500020001,1.20000000231399,0.0743260528274588)); #528206=CARTESIAN_POINT('Origin',(-5.150000196959,1.99000260714399E-10, 1.324050998148)); #528207=CARTESIAN_POINT('',(-5.150000196959,0.500000000803,0.0743260528274595)); #528208=CARTESIAN_POINT('',(-5.15000019695901,1.27500000174001,0.0743260528274588)); #528209=CARTESIAN_POINT('',(-5.15000019695901,1.27500000174001,0.0743260528274588)); #528210=CARTESIAN_POINT('',(-5.150000196959,-2.550000002883,0.974050997724999)); #528211=CARTESIAN_POINT('Origin',(-2.4250001936655,-1.20000000191603,0.374326052827459)); #528212=CARTESIAN_POINT('',(5.14999981548901,-1.500000001916,0.374326052827459)); #528213=CARTESIAN_POINT('',(5.14999981548901,-1.200000001916,0.0743260528274596)); #528214=CARTESIAN_POINT('Origin',(5.14999981548901,-1.200000001916,0.374326052827459)); #528215=CARTESIAN_POINT('',(5.34999981573001,-1.200000001916,0.0743260528274596)); #528216=CARTESIAN_POINT('',(-2.4250001936655,-1.20000000191603,0.0743260528274596)); #528217=CARTESIAN_POINT('',(5.34999981573001,-1.500000001916,0.374326052827459)); #528218=CARTESIAN_POINT('Origin',(5.34999981573001,-1.200000001916,0.374326052827459)); #528219=CARTESIAN_POINT('',(-2.4250001936655,-1.50000000191603,0.374326052827459)); #528220=CARTESIAN_POINT('Origin',(5.149999815489,1.99000260714399E-10,1.324050998148)); #528221=CARTESIAN_POINT('',(5.149999815489,-1.500000001916,0.974050997724999)); #528222=CARTESIAN_POINT('',(5.14999981548902,-1.500000001916,-3.6759490078945)); #528223=CARTESIAN_POINT('',(5.149999815489,1.500000002314,0.974050997724999)); #528224=CARTESIAN_POINT('',(5.149999815489,2.550000003281,0.974050997724999)); #528225=CARTESIAN_POINT('',(5.149999815489,1.500000002314,0.374326052827459)); #528226=CARTESIAN_POINT('',(5.14999981548899,1.500000002314,1.324050998148)); #528227=CARTESIAN_POINT('',(5.149999815489,1.200000002314,0.0743260528274596)); #528228=CARTESIAN_POINT('Origin',(5.14999981548899,1.200000002314,0.37432605282746)); #528229=CARTESIAN_POINT('',(5.149999815489,0.500000000803,0.0743260528274595)); #528230=CARTESIAN_POINT('',(5.14999981548901,-1.27500000134197,0.0743260528274596)); #528231=CARTESIAN_POINT('',(5.149999815489,-0.500000000405,0.0743260528274595)); #528232=CARTESIAN_POINT('',(5.14999981548901,-1.27500000134197,0.0743260528274596)); #528233=CARTESIAN_POINT('',(5.14999981548901,-1.27500000134197,0.0743260528274596)); #528234=CARTESIAN_POINT('Origin',(5.149999815489,-1.500000001916,-8.675949013937)); #528235=CARTESIAN_POINT('',(5.34999981573,-1.500000001916,0.974050997724999)); #528236=CARTESIAN_POINT('',(5.34999981573002,-1.500000001916,-3.6759490078945)); #528237=CARTESIAN_POINT('',(4.999999815308,-1.500000001916,1.324050998148)); #528238=CARTESIAN_POINT('Origin',(4.999999815308,-1.500000001916,0.974050997724999)); #528239=CARTESIAN_POINT('',(2.57499981237707,-1.50000000191601,1.324050998148)); #528240=CARTESIAN_POINT('',(3.649999813314,-1.50000000191601,-3.7759490080155)); #528241=CARTESIAN_POINT('Origin',(4.999999815308,-1.500000001916,0.974050997724999)); #528242=CARTESIAN_POINT('Origin',(3.649999813314,-1.80000000191601,-3.7759490080155)); #528243=CARTESIAN_POINT('',(3.349999813314,-1.80000000191601,-3.7759490080155)); #528244=CARTESIAN_POINT('Origin',(-2.32500019354501,1.20000000231396,0.37432605282746)); #528245=CARTESIAN_POINT('',(5.34999981573,1.500000002314,0.374326052827459)); #528246=CARTESIAN_POINT('',(5.34999981573,1.200000002314,0.0743260528274596)); #528247=CARTESIAN_POINT('Origin',(5.34999981573,1.200000002314,0.37432605282746)); #528248=CARTESIAN_POINT('',(-2.32500019354501,1.20000000231396,0.0743260528274596)); #528249=CARTESIAN_POINT('',(-2.32500019354501,1.50000000231396,0.37432605282746)); #528250=CARTESIAN_POINT('Origin',(5.34999981573,1.99000260714399E-10,1.324050998148)); #528251=CARTESIAN_POINT('',(5.34999981573,-0.500000000405,0.0743260528274595)); #528252=CARTESIAN_POINT('',(5.34999981573001,-1.27500000134197,0.0743260528274596)); #528253=CARTESIAN_POINT('',(5.34999981573,0.500000000803,0.0743260528274595)); #528254=CARTESIAN_POINT('',(5.34999981573001,-1.27500000134197,0.0743260528274596)); #528255=CARTESIAN_POINT('',(5.34999981573001,-1.27500000134197,0.0743260528274596)); #528256=CARTESIAN_POINT('',(5.34999981573,1.500000002314,0.974050997724999)); #528257=CARTESIAN_POINT('',(5.34999981573,1.500000002314,1.324050998148)); #528258=CARTESIAN_POINT('',(5.34999981573,2.550000003281,0.974050997724999)); #528259=CARTESIAN_POINT('Origin',(5.34999981573,1.500000002314,1.324050998148)); #528260=CARTESIAN_POINT('Origin',(4.99999981530799,1.500000002314,0.974050997724998)); #528261=CARTESIAN_POINT('',(3.649999813314,1.50000000231399,1.224050998027)); #528262=CARTESIAN_POINT('',(4.999999815308,1.500000002314,1.324050998148)); #528263=CARTESIAN_POINT('',(2.67499981249757,1.50000000231399,1.324050998148)); #528264=CARTESIAN_POINT('Origin',(4.99999981530799,1.500000002314,0.974050997724998)); #528265=CARTESIAN_POINT('Origin',(3.649999813314,1.80000000231399,1.224050998027)); #528266=CARTESIAN_POINT('',(3.349999813314,1.80000000231399,1.224050998027)); #528267=CARTESIAN_POINT('Origin',(4.999999815308,-2.550000002883,0.974050997724999)); #528268=CARTESIAN_POINT('Origin',(-1.907348650132E-7,1.99000260714399E-10, 1.324050998148)); #528269=CARTESIAN_POINT('',(4.999999815308,-2.550000002883,1.324050998148)); #528270=CARTESIAN_POINT('Origin',(4.999999815308,-2.550000002883,0.974050997724999)); #528271=CARTESIAN_POINT('Origin',(-10.00000020282,-2.550000002883,0.0743260528274596)); #528272=CARTESIAN_POINT('',(-10.00000020282,-0.500000000405,0.0743260528274596)); #528273=CARTESIAN_POINT('Origin',(3.349999813314,-2.25000000252,1.124050997906)); #528274=CARTESIAN_POINT('',(3.349999813314,-2.25000000252,1.124050997906)); #528275=CARTESIAN_POINT('Origin',(3.349999813314,2.250000002918,1.124050997906)); #528276=CARTESIAN_POINT('',(3.349999813314,2.250000002918,1.124050997906)); #528277=CARTESIAN_POINT('Origin',(-5.000000196777,2.550000003281,0.974050997724999)); #528278=CARTESIAN_POINT('Origin',(-10.00000020282,2.550000003281,0.0743260528274585)); #528279=CARTESIAN_POINT('',(-10.00000020282,0.500000000803,0.074326052827459)); #528280=CARTESIAN_POINT('Origin',(-5.000000196777,2.550000003281,0.974050997724999)); #528281=CARTESIAN_POINT('Origin',(-3.350000194783,-2.25000000252,1.324050998148)); #528282=CARTESIAN_POINT('',(-3.350000194783,-2.25000000252,1.324050998148)); #528283=CARTESIAN_POINT('Origin',(-3.350000194783,2.250000002918,1.324050998148)); #528284=CARTESIAN_POINT('',(-3.350000194783,2.250000002918,1.324050998148)); #528285=CARTESIAN_POINT('Origin',(-10.00000020282,-2.550000002883,0.0743260528274596)); #528286=CARTESIAN_POINT('',(-10.00000020282,0.500000000803,0.0743260528274596)); #528287=CARTESIAN_POINT('Origin',(4.999999815308,2.550000003281,1.124050997906)); #528288=CARTESIAN_POINT('',(3.649999813676,2.550000003281,1.124050997906)); #528289=CARTESIAN_POINT('Origin',(-5.000000196777,-2.550000002883,1.124050997906)); #528290=CARTESIAN_POINT('',(-3.650000195146,-2.550000002883,1.324050998148)); #528291=CARTESIAN_POINT('Ctrl Pts',(-3.389333528164,2.398502154223,1.324050998148)); #528292=CARTESIAN_POINT('Ctrl Pts',(-3.389333528164,2.398502154223,1.0840509978576)); #528293=CARTESIAN_POINT('Ctrl Pts',(-3.412805323982,2.443467781207,1.324050998148)); #528294=CARTESIAN_POINT('Ctrl Pts',(-3.412805323982,2.443467781207,1.0840509978576)); #528295=CARTESIAN_POINT('Ctrl Pts',(-3.490830969689,2.51979376482,1.324050998148)); #528296=CARTESIAN_POINT('Ctrl Pts',(-3.490830969689,2.51979376482,1.0840509978576)); #528297=CARTESIAN_POINT('Ctrl Pts',(-3.59534338164,2.549695427885,1.324050998148)); #528298=CARTESIAN_POINT('Ctrl Pts',(-3.59534338164,2.549695427885,1.0840509978576)); #528299=CARTESIAN_POINT('Ctrl Pts',(-3.648273641696,2.549990382052,1.324050998148)); #528300=CARTESIAN_POINT('Ctrl Pts',(-3.648273641696,2.549990382052,1.0840509978576)); #528301=CARTESIAN_POINT('Ctrl Pts',(-3.650000195146,2.550000003281,1.324050998148)); #528302=CARTESIAN_POINT('Ctrl Pts',(-3.650000195146,2.550000003281,1.0840509978576)); #528303=CARTESIAN_POINT('',(-3.389333528164,2.398502154223,1.324050998148)); #528304=CARTESIAN_POINT('Origin',(-3.650000195146,2.250000002918,1.324050998148)); #528305=CARTESIAN_POINT('Ctrl Pts',(-3.501498043841,-2.510666669502,1.324050998148)); #528306=CARTESIAN_POINT('Ctrl Pts',(-3.501498043841,-2.510666669502,1.0840509978576)); #528307=CARTESIAN_POINT('Ctrl Pts',(-3.456532416857,-2.487194873684,1.324050998148)); #528308=CARTESIAN_POINT('Ctrl Pts',(-3.456532416857,-2.487194873684,1.0840509978576)); #528309=CARTESIAN_POINT('Ctrl Pts',(-3.380206433243,-2.409169227977,1.324050998148)); #528310=CARTESIAN_POINT('Ctrl Pts',(-3.380206433243,-2.409169227977,1.0840509978576)); #528311=CARTESIAN_POINT('Ctrl Pts',(-3.350304770179,-2.304656816026,1.324050998148)); #528312=CARTESIAN_POINT('Ctrl Pts',(-3.350304770179,-2.304656816026,1.0840509978576)); #528313=CARTESIAN_POINT('Ctrl Pts',(-3.350009816012,-2.25172655597,1.324050998148)); #528314=CARTESIAN_POINT('Ctrl Pts',(-3.350009816012,-2.25172655597,1.0840509978576)); #528315=CARTESIAN_POINT('Ctrl Pts',(-3.350000194783,-2.25000000252,1.324050998148)); #528316=CARTESIAN_POINT('Ctrl Pts',(-3.350000194783,-2.25000000252,1.0840509978576)); #528317=CARTESIAN_POINT('',(-3.501498043841,-2.510666669502,1.324050998148)); #528318=CARTESIAN_POINT('Origin',(-3.650000195146,-2.25000000252,1.324050998148)); #528319=CARTESIAN_POINT('Origin',(3.649999813676,2.250000002918,1.124050997906)); #528320=CARTESIAN_POINT('Origin',(3.649999813676,-2.25000000252,1.124050997906)); #528321=CARTESIAN_POINT('Origin',(-4.760000196487,0.200000000441,-3.199949008801)); #528322=CARTESIAN_POINT('',(-4.960000196729,0.500000000803,-3.199949008801)); #528323=CARTESIAN_POINT('',(-4.960000196729,0.200000000441,-3.499949009163)); #528324=CARTESIAN_POINT('Origin',(-4.960000196729,0.200000000441,-3.199949008801)); #528325=CARTESIAN_POINT('',(-4.760000196487,0.500000000803,-3.199949008801)); #528326=CARTESIAN_POINT('',(-10.00000020282,0.500000000803,-3.199949008801)); #528327=CARTESIAN_POINT('',(-4.760000196487,0.200000000441,-3.499949009163)); #528328=CARTESIAN_POINT('Origin',(-4.760000196487,0.200000000441,-3.199949008801)); #528329=CARTESIAN_POINT('',(-4.760000196487,0.200000000441,-3.499949009163)); #528330=CARTESIAN_POINT('Origin',(-10.00000020282,-0.200000000043,-3.199949008801)); #528331=CARTESIAN_POINT('',(-4.960000196729,-0.200000000043,-3.499949009163)); #528332=CARTESIAN_POINT('',(-4.960000196729,-0.500000000405,-3.199949008801)); #528333=CARTESIAN_POINT('Origin',(-4.960000196729,-0.200000000043,-3.199949008801)); #528334=CARTESIAN_POINT('',(-4.760000196487,-0.200000000043,-3.499949009163)); #528335=CARTESIAN_POINT('',(-4.760000196487,-0.200000000043,-3.499949009163)); #528336=CARTESIAN_POINT('',(-4.760000196487,-0.500000000405,-3.199949008801)); #528337=CARTESIAN_POINT('Origin',(-4.760000196487,-0.200000000043,-3.199949008801)); #528338=CARTESIAN_POINT('',(-10.00000020282,-0.500000000405,-3.199949008801)); #528339=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #528340=CARTESIAN_POINT('',(-4.917905894269,0.500000000803,-1.896273549211)); #528341=CARTESIAN_POINT('',(-5.027674067207,0.500000000803,-2.063458920301)); #528342=CARTESIAN_POINT('',(-4.917905894269,0.500000000803,-1.896273549211)); #528343=CARTESIAN_POINT('',(-4.760000196487,0.500000000803,-2.188847948619)); #528344=CARTESIAN_POINT('Origin',(-5.11000019691,0.500000000803,-2.188847948619)); #528345=CARTESIAN_POINT('',(-4.960000196729,0.500000000803,-2.188847948619)); #528346=CARTESIAN_POINT('',(-4.960000196729,0.500000000803,-2.188847948619)); #528347=CARTESIAN_POINT('Origin',(-5.11000019691,0.500000000803,-2.188847948619)); #528348=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #528349=CARTESIAN_POINT('',(-5.027674067207,-0.500000000405,-2.063458920301)); #528350=CARTESIAN_POINT('',(-4.917905894269,-0.500000000405,-1.896273549211)); #528351=CARTESIAN_POINT('',(-5.027674067207,-0.500000000405,-2.063458920301)); #528352=CARTESIAN_POINT('',(-4.960000196729,-0.500000000405,-2.188847948619)); #528353=CARTESIAN_POINT('Origin',(-5.11000019691,-0.500000000405,-2.188847948619)); #528354=CARTESIAN_POINT('',(-4.760000196487,-0.500000000405,-2.188847948619)); #528355=CARTESIAN_POINT('',(-4.760000196487,-0.500000000405,-2.188847948619)); #528356=CARTESIAN_POINT('Origin',(-5.11000019691,-0.500000000405,-2.188847948619)); #528357=CARTESIAN_POINT('Origin',(-5.500000197382,28.050000034091,0.0743260528274595)); #528358=CARTESIAN_POINT('',(-5.180166154977,0.500000000803,-0.0678224104616007)); #528359=CARTESIAN_POINT('',(-5.180166154977,-0.500000000405,-0.0678224104616007)); #528360=CARTESIAN_POINT('',(-5.180166154977,2.550000003267,-0.0678224104616007)); #528361=CARTESIAN_POINT('Origin',(-5.500000197381,0.500000000803,0.0743260528274595)); #528362=CARTESIAN_POINT('Origin',(-5.500000197381,-0.500000000405,0.0743260528274595)); #528363=CARTESIAN_POINT('Origin',(-5.500000197382,28.050000034091,0.0743260528274595)); #528364=CARTESIAN_POINT('',(-5.362928464923,0.500000000803,0.0134052828464296)); #528365=CARTESIAN_POINT('Origin',(-5.500000197381,0.500000000803,0.0743260528274595)); #528366=CARTESIAN_POINT('',(-5.362928464923,-0.500000000405,0.0134052828464296)); #528367=CARTESIAN_POINT('',(-5.362928464923,2.550000003281,0.0134052828464296)); #528368=CARTESIAN_POINT('Origin',(-5.500000197381,-0.500000000405,0.0743260528274595)); #528369=CARTESIAN_POINT('Origin',(-4.760000196487,2.550000003281,-1.99994900735)); #528370=CARTESIAN_POINT('',(-4.760000196487,-0.500000000405,-1.99994900735)); #528371=CARTESIAN_POINT('',(-4.760000196487,2.550000003281,-3.499949009163)); #528372=CARTESIAN_POINT('',(-4.760000196487,0.500000000803,-1.99994900735)); #528373=CARTESIAN_POINT('',(-4.760000196487,2.550000003281,-2.188847948619)); #528374=CARTESIAN_POINT('Origin',(-5.11000019691,2.550000003281,-2.188847948619)); #528375=CARTESIAN_POINT('',(-4.917905894269,2.550000003281,-1.896273549211)); #528376=CARTESIAN_POINT('Origin',(-5.750000197684,2.550000003281,-1.349949006565)); #528377=CARTESIAN_POINT('',(-5.643521472939,0.500000000803,-1.419859280387)); #528378=CARTESIAN_POINT('',(-5.750000197684,0.500000000803,-1.349949006565)); #528379=CARTESIAN_POINT('',(-5.643521472939,-0.500000000405,-1.419859280387)); #528380=CARTESIAN_POINT('',(-5.643521472939,2.550000003281,-1.419859280387)); #528381=CARTESIAN_POINT('',(-5.750000197684,-0.500000000405,-1.349949006565)); #528382=CARTESIAN_POINT('Origin',(-5.561195343235,2.550000003281,-1.29447025207)); #528383=CARTESIAN_POINT('',(-5.698267075694,0.500000000803,-1.233549482088)); #528384=CARTESIAN_POINT('Origin',(-5.561195343235,0.500000000803,-1.29447025207)); #528385=CARTESIAN_POINT('',(-5.698267075694,-0.500000000405,-1.233549482088)); #528386=CARTESIAN_POINT('',(-5.698267075694,2.550000003281,-1.233549482088)); #528387=CARTESIAN_POINT('Origin',(-5.561195343235,-0.500000000405,-1.29447025207)); #528388=CARTESIAN_POINT('Origin',(-5.698267075694,2.550000003281,-1.233549482088)); #528389=CARTESIAN_POINT('',(-5.698267075694,0.500000000803,-1.233549482088)); #528390=CARTESIAN_POINT('',(-5.698267075694,-0.500000000405,-1.233549482088)); #528391=CARTESIAN_POINT('Origin',(-4.760000196487,2.550000003281,-3.499949009163)); #528392=CARTESIAN_POINT('',(-4.960000196729,2.550000003281,-3.499949009163)); #528393=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #528394=CARTESIAN_POINT('',(-4.960000196729,-0.500000000405,-1.99994900735)); #528395=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #528396=CARTESIAN_POINT('',(-4.960000196729,0.500000000803,-1.99994900735)); #528397=CARTESIAN_POINT('Origin',(-4.960000196729,2.550000003281,-1.99994900735)); #528398=CARTESIAN_POINT('',(-4.960000196729,2.550000003281,-2.188847948619)); #528399=CARTESIAN_POINT('Origin',(-5.11000019691,2.550000003281,-2.188847948619)); #528400=CARTESIAN_POINT('',(-5.027674067207,2.550000003281,-2.063458920301)); #528401=CARTESIAN_POINT('Origin',(-5.859768370622,2.550000003281,-1.517134377655)); #528402=CARTESIAN_POINT('',(-5.753289645877,0.500000000803,-1.587044651477)); #528403=CARTESIAN_POINT('',(-5.753289645877,-0.500000000405,-1.587044651477)); #528404=CARTESIAN_POINT('',(-5.753289645877,2.550000003281,-1.587044651477)); #528405=CARTESIAN_POINT('',(-5.859768370622,0.500000000803,-1.517134377655)); #528406=CARTESIAN_POINT('',(-5.859768370622,-0.500000000405,-1.517134377655)); #528407=CARTESIAN_POINT('Origin',(-5.561195343235,2.550000003281,-1.29447025207)); #528408=CARTESIAN_POINT('',(-5.881029385639,0.500000000803,-1.15232178878)); #528409=CARTESIAN_POINT('',(-5.881029385639,-0.500000000405,-1.15232178878)); #528410=CARTESIAN_POINT('',(-5.881029385639,2.550000003281,-1.15232178878)); #528411=CARTESIAN_POINT('Origin',(-5.561195343235,0.500000000803,-1.29447025207)); #528412=CARTESIAN_POINT('Origin',(-5.561195343235,-0.500000000405,-1.29447025207)); #528413=CARTESIAN_POINT('Origin',(-5.881029385639,2.550000003281,-1.15232178878)); #528414=CARTESIAN_POINT('',(-5.881029385639,0.500000000803,-1.15232178878)); #528415=CARTESIAN_POINT('',(-5.881029385639,-0.500000000405,-1.15232178878)); #528416=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #528417=CARTESIAN_POINT('',(-5.643521472939,-0.500000000405,-1.419859280387)); #528418=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #528419=CARTESIAN_POINT('',(-5.881029385639,-0.500000000405,-1.15232178878)); #528420=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #528421=CARTESIAN_POINT('',(-5.180166154977,-0.500000000405,-0.0678224104616007)); #528422=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #528423=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #528424=CARTESIAN_POINT('',(-5.753289645877,0.500000000803,-1.587044651477)); #528425=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #528426=CARTESIAN_POINT('',(-5.698267075694,0.500000000803,-1.233549482088)); #528427=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #528428=CARTESIAN_POINT('',(-5.362928464923,0.500000000803,0.0134052828464296)); #528429=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #528430=CARTESIAN_POINT('Origin',(4.759999815017,0.200000000441,-3.199949008801)); #528431=CARTESIAN_POINT('',(4.759999815017,0.500000000803,-3.199949008801)); #528432=CARTESIAN_POINT('',(4.759999815017,0.200000000441,-3.499949009163)); #528433=CARTESIAN_POINT('Origin',(4.759999815017,0.200000000441,-3.199949008801)); #528434=CARTESIAN_POINT('',(4.959999815259,0.500000000803,-3.199949008801)); #528435=CARTESIAN_POINT('',(4.759999815017,0.500000000803,-3.199949008801)); #528436=CARTESIAN_POINT('',(4.959999815259,0.200000000441,-3.499949009163)); #528437=CARTESIAN_POINT('Origin',(4.959999815259,0.200000000441,-3.199949008801)); #528438=CARTESIAN_POINT('',(4.959999815259,0.200000000441,-3.499949009163)); #528439=CARTESIAN_POINT('Origin',(-10.00000020282,-0.200000000043,-3.199949008801)); #528440=CARTESIAN_POINT('',(4.759999815017,-0.200000000043,-3.499949009163)); #528441=CARTESIAN_POINT('',(4.759999815017,-0.500000000405,-3.199949008801)); #528442=CARTESIAN_POINT('Origin',(4.759999815017,-0.200000000043,-3.199949008801)); #528443=CARTESIAN_POINT('',(4.959999815259,-0.200000000043,-3.499949009163)); #528444=CARTESIAN_POINT('',(4.759999815017,-0.200000000043,-3.499949009163)); #528445=CARTESIAN_POINT('',(4.959999815259,-0.500000000405,-3.199949008801)); #528446=CARTESIAN_POINT('Origin',(4.959999815259,-0.200000000043,-3.199949008801)); #528447=CARTESIAN_POINT('',(4.959999815259,-0.500000000405,-3.199949008801)); #528448=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #528449=CARTESIAN_POINT('',(5.027673685737,0.500000000803,-2.063458920301)); #528450=CARTESIAN_POINT('',(4.917905512799,0.500000000803,-1.896273549211)); #528451=CARTESIAN_POINT('',(5.027673685737,0.500000000803,-2.063458920301)); #528452=CARTESIAN_POINT('',(4.959999815259,0.500000000803,-2.188847948619)); #528453=CARTESIAN_POINT('Origin',(5.10999981544,0.500000000803,-2.188847948619)); #528454=CARTESIAN_POINT('',(4.759999815017,0.500000000803,-2.188847948619)); #528455=CARTESIAN_POINT('',(4.759999815017,0.500000000803,-2.188847948619)); #528456=CARTESIAN_POINT('Origin',(5.10999981544,0.500000000803,-2.188847948619)); #528457=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #528458=CARTESIAN_POINT('',(4.917905512799,-0.500000000405,-1.896273549211)); #528459=CARTESIAN_POINT('',(5.027673685737,-0.500000000405,-2.063458920301)); #528460=CARTESIAN_POINT('',(4.917905512799,-0.500000000405,-1.896273549211)); #528461=CARTESIAN_POINT('',(4.759999815017,-0.500000000405,-2.188847948619)); #528462=CARTESIAN_POINT('Origin',(5.10999981544,-0.500000000405,-2.188847948619)); #528463=CARTESIAN_POINT('',(4.959999815259,-0.500000000405,-2.188847948619)); #528464=CARTESIAN_POINT('',(4.959999815259,-0.500000000405,-2.188847948619)); #528465=CARTESIAN_POINT('Origin',(5.10999981544,-0.500000000405,-2.188847948619)); #528466=CARTESIAN_POINT('Origin',(5.499999815912,28.050000034091,0.0743260528274595)); #528467=CARTESIAN_POINT('',(5.180165773508,0.500000000803,-0.0678224104616007)); #528468=CARTESIAN_POINT('Origin',(5.499999815912,0.500000000803,0.0743260528274595)); #528469=CARTESIAN_POINT('',(5.180165773508,-0.500000000405,-0.0678224104616007)); #528470=CARTESIAN_POINT('',(5.180165773508,2.550000003267,-0.0678224104616007)); #528471=CARTESIAN_POINT('Origin',(5.499999815912,-0.500000000405,0.0743260528274595)); #528472=CARTESIAN_POINT('Origin',(5.499999815912,28.050000034091,0.0743260528274595)); #528473=CARTESIAN_POINT('',(5.362928083453,-0.500000000405,0.0134052828464395)); #528474=CARTESIAN_POINT('Origin',(5.499999815912,-0.500000000405,0.0743260528274595)); #528475=CARTESIAN_POINT('',(5.362928083453,0.500000000803,0.0134052828464296)); #528476=CARTESIAN_POINT('',(5.362928083453,2.550000003281,0.0134052828464395)); #528477=CARTESIAN_POINT('Origin',(5.499999815912,0.500000000803,0.0743260528274595)); #528478=CARTESIAN_POINT('Origin',(4.759999815017,2.550000003281,-1.99994900735)); #528479=CARTESIAN_POINT('',(4.759999815017,2.550000003281,-3.499949009163)); #528480=CARTESIAN_POINT('',(4.759999815017,-0.500000000405,-1.99994900735)); #528481=CARTESIAN_POINT('',(4.759999815017,2.550000003281,-2.188847948619)); #528482=CARTESIAN_POINT('',(4.759999815017,0.500000000803,-1.99994900735)); #528483=CARTESIAN_POINT('Origin',(5.10999981544,2.550000003281,-2.188847948619)); #528484=CARTESIAN_POINT('',(4.917905512799,2.550000003281,-1.896273549211)); #528485=CARTESIAN_POINT('Origin',(5.749999816214,2.550000003281,-1.349949006565)); #528486=CARTESIAN_POINT('',(5.643521091469,0.500000000803,-1.419859280387)); #528487=CARTESIAN_POINT('',(5.643521091469,-0.500000000405,-1.419859280387)); #528488=CARTESIAN_POINT('',(5.643521091469,2.550000003281,-1.419859280387)); #528489=CARTESIAN_POINT('',(5.749999816214,0.500000000803,-1.349949006565)); #528490=CARTESIAN_POINT('',(5.749999816214,-0.500000000405,-1.349949006565)); #528491=CARTESIAN_POINT('Origin',(5.698266694224,2.550000003281,-1.233549482088)); #528492=CARTESIAN_POINT('',(5.698266694224,0.500000000803,-1.233549482088)); #528493=CARTESIAN_POINT('',(5.698266694224,0.500000000803,-1.233549482088)); #528494=CARTESIAN_POINT('',(5.698266694224,-0.500000000405,-1.233549482088)); #528495=CARTESIAN_POINT('',(5.698266694224,2.550000003281,-1.233549482088)); #528496=CARTESIAN_POINT('',(5.698266694224,-0.500000000405,-1.233549482088)); #528497=CARTESIAN_POINT('Origin',(4.759999815017,2.550000003281,-3.499949009163)); #528498=CARTESIAN_POINT('',(4.959999815259,2.550000003281,-3.499949009163)); #528499=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #528500=CARTESIAN_POINT('',(4.959999815259,-0.500000000405,-1.99994900735)); #528501=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #528502=CARTESIAN_POINT('',(4.959999815259,0.500000000803,-1.99994900735)); #528503=CARTESIAN_POINT('Origin',(4.959999815259,2.550000003281,-1.99994900735)); #528504=CARTESIAN_POINT('',(4.959999815259,2.550000003281,-2.188847948619)); #528505=CARTESIAN_POINT('Origin',(5.10999981544,2.550000003281,-2.188847948619)); #528506=CARTESIAN_POINT('',(5.027673685737,2.550000003281,-2.063458920301)); #528507=CARTESIAN_POINT('Origin',(5.859767989152,2.550000003281,-1.517134377655)); #528508=CARTESIAN_POINT('',(5.753289264407,0.500000000803,-1.587044651477)); #528509=CARTESIAN_POINT('',(5.859767989152,0.500000000803,-1.517134377655)); #528510=CARTESIAN_POINT('',(5.753289264407,-0.500000000405,-1.587044651477)); #528511=CARTESIAN_POINT('',(5.753289264407,2.550000003281,-1.587044651477)); #528512=CARTESIAN_POINT('',(5.859767989152,-0.500000000405,-1.517134377655)); #528513=CARTESIAN_POINT('Origin',(5.881029004169,2.550000003281,-1.15232178878)); #528514=CARTESIAN_POINT('',(5.881029004169,0.500000000803,-1.15232178878)); #528515=CARTESIAN_POINT('',(5.881029004169,-0.500000000405,-1.15232178878)); #528516=CARTESIAN_POINT('',(5.881029004169,2.550000003281,-1.15232178878)); #528517=CARTESIAN_POINT('',(5.881029004169,0.500000000803,-1.15232178878)); #528518=CARTESIAN_POINT('',(5.881029004169,-0.500000000405,-1.15232178878)); #528519=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #528520=CARTESIAN_POINT('',(5.753289264407,-0.500000000405,-1.587044651477)); #528521=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #528522=CARTESIAN_POINT('',(5.698266694224,-0.500000000405,-1.233549482088)); #528523=CARTESIAN_POINT('Origin',(5.561194961766,-0.500000000405,-1.29447025207)); #528524=CARTESIAN_POINT('Origin',(5.561194961766,-0.500000000405,-1.29447025207)); #528525=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #528526=CARTESIAN_POINT('',(5.362928083453,-0.500000000405,0.0134052828464395)); #528527=CARTESIAN_POINT('Origin',(-10.00000020282,-0.500000000405,0.0743260528274595)); #528528=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #528529=CARTESIAN_POINT('',(5.643521091469,0.500000000803,-1.419859280387)); #528530=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #528531=CARTESIAN_POINT('',(5.180165773508,0.500000000803,-0.0678224104616007)); #528532=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #528533=CARTESIAN_POINT('',(5.881029004169,0.500000000803,-1.15232178878)); #528534=CARTESIAN_POINT('Origin',(5.561194961765,2.550000003281,-1.29447025207)); #528535=CARTESIAN_POINT('Origin',(5.561194961766,0.500000000803,-1.29447025207)); #528536=CARTESIAN_POINT('Origin',(-10.00000020282,0.500000000803,0.0743260528274595)); #528537=CARTESIAN_POINT('Origin',(5.561194961766,0.500000000803,-1.29447025207)); #528538=CARTESIAN_POINT('Origin',(5.561194961765,2.550000003281,-1.29447025207)); #528539=CARTESIAN_POINT('',(0.,0.,0.)); #528540=CARTESIAN_POINT('',(0.,0.,0.)); #528541=CARTESIAN_POINT('',(0.,0.,0.)); #528542=CARTESIAN_POINT('Origin',(-3.25,3.25,0.8)); #528543=CARTESIAN_POINT('',(-3.4,3.25,0.8)); #528544=CARTESIAN_POINT('Origin',(-3.25,3.25,0.8)); #528545=CARTESIAN_POINT('',(-3.4,3.25,0.7)); #528546=CARTESIAN_POINT('',(-3.4,3.25,0.8)); #528547=CARTESIAN_POINT('Origin',(-3.25,3.25,0.7)); #528548=CARTESIAN_POINT('Origin',(-3.25,3.25,0.7)); #528549=CARTESIAN_POINT('Origin',(3.5125,1.775,0.7625)); #528550=CARTESIAN_POINT('',(3.475,3.475,0.8)); #528551=CARTESIAN_POINT('',(3.475,-3.475,0.8)); #528552=CARTESIAN_POINT('',(3.475,1.775,0.8)); #528553=CARTESIAN_POINT('',(3.55,-3.55,0.725)); #528554=CARTESIAN_POINT('',(3.475,-3.475,0.8)); #528555=CARTESIAN_POINT('',(3.55,3.55,0.725)); #528556=CARTESIAN_POINT('',(3.55,1.775,0.725)); #528557=CARTESIAN_POINT('',(3.55,3.55,0.725)); #528558=CARTESIAN_POINT('Origin',(1.775,-3.5125,0.7625)); #528559=CARTESIAN_POINT('',(-3.55,-3.55,0.725)); #528560=CARTESIAN_POINT('',(1.775,-3.55,0.725)); #528561=CARTESIAN_POINT('',(-3.475,-3.475,0.8)); #528562=CARTESIAN_POINT('',(1.775,-3.475,0.8)); #528563=CARTESIAN_POINT('',(-3.55,-3.55,0.725)); #528564=CARTESIAN_POINT('Origin',(-1.775,3.5125,0.7625)); #528565=CARTESIAN_POINT('',(-3.475,3.475,0.8)); #528566=CARTESIAN_POINT('',(-1.775,3.475,0.8)); #528567=CARTESIAN_POINT('',(-3.55,3.55,0.725)); #528568=CARTESIAN_POINT('',(-1.775,3.55,0.725)); #528569=CARTESIAN_POINT('',(-3.55,3.55,0.725)); #528570=CARTESIAN_POINT('Origin',(-3.5125,-1.775,0.7625)); #528571=CARTESIAN_POINT('',(-3.475,-1.775,0.8)); #528572=CARTESIAN_POINT('',(-3.55,-1.775,0.725)); #528573=CARTESIAN_POINT('Origin',(-3.55,3.55,0.8)); #528574=CARTESIAN_POINT('',(3.55,3.55,0.05)); #528575=CARTESIAN_POINT('',(3.55,3.55,0.8)); #528576=CARTESIAN_POINT('',(-3.55,3.55,0.05)); #528577=CARTESIAN_POINT('',(3.55,3.55,0.05)); #528578=CARTESIAN_POINT('',(-3.55,3.55,0.8)); #528579=CARTESIAN_POINT('Origin',(3.55,3.55,0.8)); #528580=CARTESIAN_POINT('',(3.55,-3.55,0.05)); #528581=CARTESIAN_POINT('',(3.55,-3.55,0.8)); #528582=CARTESIAN_POINT('',(3.55,-3.55,0.05)); #528583=CARTESIAN_POINT('Origin',(0.,0.,0.8)); #528584=CARTESIAN_POINT('Origin',(-3.55,-3.55,0.8)); #528585=CARTESIAN_POINT('',(-3.55,-3.55,0.05)); #528586=CARTESIAN_POINT('',(-3.55,3.55,0.05)); #528587=CARTESIAN_POINT('',(-3.55,-3.55,0.8)); #528588=CARTESIAN_POINT('Origin',(3.55,-3.55,0.8)); #528589=CARTESIAN_POINT('',(-3.55,-3.55,0.05)); #528590=CARTESIAN_POINT('Origin',(0.,0.,0.05)); #528591=CARTESIAN_POINT('Origin',(3.15,2.6,0.0499999999999997)); #528592=CARTESIAN_POINT('',(3.3,2.6,0.0499999999999997)); #528593=CARTESIAN_POINT('',(3.3,2.9,0.0499999999999997)); #528594=CARTESIAN_POINT('Origin',(3.3,2.75,0.0500000000000014)); #528595=CARTESIAN_POINT('',(3.55,2.6,0.0499999999999997)); #528596=CARTESIAN_POINT('',(3.15,2.6,0.0499999999999997)); #528597=CARTESIAN_POINT('',(3.55,2.9,0.0499999999999997)); #528598=CARTESIAN_POINT('',(3.55,2.6,0.0499999999999997)); #528599=CARTESIAN_POINT('',(3.15,2.9,0.0499999999999997)); #528600=CARTESIAN_POINT('Origin',(3.3,2.75,0.0375000000000003)); #528601=CARTESIAN_POINT('',(3.3,2.9,-6.07153216591882E-17)); #528602=CARTESIAN_POINT('',(3.3,2.9,0.0375000000000003)); #528603=CARTESIAN_POINT('',(3.3,2.6,-6.07153216591882E-17)); #528604=CARTESIAN_POINT('Origin',(3.3,2.75,-2.84928330929191E-15)); #528605=CARTESIAN_POINT('',(3.3,2.6,0.0375000000000003)); #528606=CARTESIAN_POINT('Origin',(3.55,2.6,0.15)); #528607=CARTESIAN_POINT('',(3.55,2.6,0.15)); #528608=CARTESIAN_POINT('',(3.551,2.6,0.15)); #528609=CARTESIAN_POINT('',(3.55,2.6,0.15)); #528610=CARTESIAN_POINT('',(3.551,2.9,0.15)); #528611=CARTESIAN_POINT('',(3.551,2.6,0.15)); #528612=CARTESIAN_POINT('',(3.55,2.9,0.15)); #528613=CARTESIAN_POINT('',(3.55,2.9,0.15)); #528614=CARTESIAN_POINT('',(3.55,2.6,0.15)); #528615=CARTESIAN_POINT('Origin',(3.55,2.6,0.0499999999999997)); #528616=CARTESIAN_POINT('',(3.55,2.6,-6.07153216591882E-17)); #528617=CARTESIAN_POINT('',(3.55,2.9,-6.07153216591882E-17)); #528618=CARTESIAN_POINT('Origin',(3.551,2.6,-6.07153216591882E-17)); #528619=CARTESIAN_POINT('',(3.551,2.9,-6.93889390390723E-17)); #528620=CARTESIAN_POINT('',(3.551,2.9,-6.07153216591882E-17)); #528621=CARTESIAN_POINT('',(3.551,2.6,-6.93889390390723E-17)); #528622=CARTESIAN_POINT('',(3.551,2.6,-6.93889390390723E-17)); #528623=CARTESIAN_POINT('',(3.551,2.6,-6.07153216591882E-17)); #528624=CARTESIAN_POINT('Origin',(3.551,2.6,0.15)); #528625=CARTESIAN_POINT('',(3.551,2.6,0.15)); #528626=CARTESIAN_POINT('',(3.551,2.9,0.15)); #528627=CARTESIAN_POINT('Origin',(3.3505,2.9,0.0749999999999998)); #528628=CARTESIAN_POINT('Origin',(3.3505,2.6,0.0749999999999998)); #528629=CARTESIAN_POINT('Origin',(-3.15,2.6,0.0499999999999997)); #528630=CARTESIAN_POINT('',(-3.3,2.6,0.0499999999999997)); #528631=CARTESIAN_POINT('',(-3.3,2.9,0.0499999999999997)); #528632=CARTESIAN_POINT('Origin',(-3.3,2.75,0.0500000000000014)); #528633=CARTESIAN_POINT('',(-3.55,2.9,0.0499999999999997)); #528634=CARTESIAN_POINT('',(-3.15,2.9,0.0499999999999997)); #528635=CARTESIAN_POINT('',(-3.55,2.6,0.0499999999999997)); #528636=CARTESIAN_POINT('',(-3.55,2.6,0.0499999999999997)); #528637=CARTESIAN_POINT('',(-3.15,2.6,0.0499999999999997)); #528638=CARTESIAN_POINT('Origin',(-3.3,2.75,0.0375000000000003)); #528639=CARTESIAN_POINT('',(-3.3,2.6,-6.07153216591882E-17)); #528640=CARTESIAN_POINT('',(-3.3,2.6,0.0375000000000003)); #528641=CARTESIAN_POINT('',(-3.3,2.9,-6.07153216591882E-17)); #528642=CARTESIAN_POINT('Origin',(-3.3,2.75,-2.84928330929191E-15)); #528643=CARTESIAN_POINT('',(-3.3,2.9,0.0375000000000003)); #528644=CARTESIAN_POINT('Origin',(-3.55,2.6,0.15)); #528645=CARTESIAN_POINT('',(-3.55,2.6,0.15)); #528646=CARTESIAN_POINT('',(-3.551,2.6,0.15)); #528647=CARTESIAN_POINT('',(-3.55,2.6,0.15)); #528648=CARTESIAN_POINT('',(-3.55,2.9,0.15)); #528649=CARTESIAN_POINT('',(-3.55,2.6,0.15)); #528650=CARTESIAN_POINT('',(-3.551,2.9,0.15)); #528651=CARTESIAN_POINT('',(-3.55,2.9,0.15)); #528652=CARTESIAN_POINT('',(-3.551,2.6,0.15)); #528653=CARTESIAN_POINT('Origin',(-3.55,2.6,0.0499999999999997)); #528654=CARTESIAN_POINT('',(-3.55,2.6,-6.07153216591882E-17)); #528655=CARTESIAN_POINT('',(-3.55,2.9,-6.07153216591882E-17)); #528656=CARTESIAN_POINT('Origin',(-3.551,2.6,-6.07153216591882E-17)); #528657=CARTESIAN_POINT('',(-3.551,2.6,-6.93889390390723E-17)); #528658=CARTESIAN_POINT('',(-3.551,2.6,-6.07153216591882E-17)); #528659=CARTESIAN_POINT('',(-3.551,2.9,-6.93889390390723E-17)); #528660=CARTESIAN_POINT('',(-3.551,2.6,-6.93889390390723E-17)); #528661=CARTESIAN_POINT('',(-3.551,2.9,-6.07153216591882E-17)); #528662=CARTESIAN_POINT('Origin',(-3.551,2.6,0.15)); #528663=CARTESIAN_POINT('',(-3.551,2.6,0.15)); #528664=CARTESIAN_POINT('',(-3.551,2.9,0.15)); #528665=CARTESIAN_POINT('Origin',(-3.3505,2.9,0.0749999999999998)); #528666=CARTESIAN_POINT('Origin',(-3.3505,2.6,0.0749999999999998)); #528667=CARTESIAN_POINT('Origin',(3.15,2.1,0.0499999999999997)); #528668=CARTESIAN_POINT('',(3.3,2.1,0.0499999999999997)); #528669=CARTESIAN_POINT('',(3.3,2.4,0.0499999999999997)); #528670=CARTESIAN_POINT('Origin',(3.3,2.25,0.0500000000000014)); #528671=CARTESIAN_POINT('',(3.55,2.1,0.0499999999999997)); #528672=CARTESIAN_POINT('',(3.15,2.1,0.0499999999999997)); #528673=CARTESIAN_POINT('',(3.55,2.4,0.0499999999999997)); #528674=CARTESIAN_POINT('',(3.55,2.1,0.0499999999999997)); #528675=CARTESIAN_POINT('',(3.15,2.4,0.0499999999999997)); #528676=CARTESIAN_POINT('Origin',(3.3,2.25,0.0375000000000003)); #528677=CARTESIAN_POINT('',(3.3,2.4,-6.07153216591882E-17)); #528678=CARTESIAN_POINT('',(3.3,2.4,0.0375000000000003)); #528679=CARTESIAN_POINT('',(3.3,2.1,-6.07153216591882E-17)); #528680=CARTESIAN_POINT('Origin',(3.3,2.25,-2.84928330929191E-15)); #528681=CARTESIAN_POINT('',(3.3,2.1,0.0375000000000003)); #528682=CARTESIAN_POINT('Origin',(3.55,2.1,0.15)); #528683=CARTESIAN_POINT('',(3.55,2.1,0.15)); #528684=CARTESIAN_POINT('',(3.551,2.1,0.15)); #528685=CARTESIAN_POINT('',(3.55,2.1,0.15)); #528686=CARTESIAN_POINT('',(3.551,2.4,0.15)); #528687=CARTESIAN_POINT('',(3.551,2.1,0.15)); #528688=CARTESIAN_POINT('',(3.55,2.4,0.15)); #528689=CARTESIAN_POINT('',(3.55,2.4,0.15)); #528690=CARTESIAN_POINT('',(3.55,2.1,0.15)); #528691=CARTESIAN_POINT('Origin',(3.55,2.1,0.0499999999999997)); #528692=CARTESIAN_POINT('',(3.55,2.1,-6.07153216591882E-17)); #528693=CARTESIAN_POINT('',(3.55,2.4,-6.07153216591882E-17)); #528694=CARTESIAN_POINT('Origin',(3.551,2.1,-6.07153216591882E-17)); #528695=CARTESIAN_POINT('',(3.551,2.4,-6.93889390390723E-17)); #528696=CARTESIAN_POINT('',(3.551,2.4,-6.07153216591882E-17)); #528697=CARTESIAN_POINT('',(3.551,2.1,-6.93889390390723E-17)); #528698=CARTESIAN_POINT('',(3.551,2.1,-6.93889390390723E-17)); #528699=CARTESIAN_POINT('',(3.551,2.1,-6.07153216591882E-17)); #528700=CARTESIAN_POINT('Origin',(3.551,2.1,0.15)); #528701=CARTESIAN_POINT('',(3.551,2.1,0.15)); #528702=CARTESIAN_POINT('',(3.551,2.4,0.15)); #528703=CARTESIAN_POINT('Origin',(3.3505,2.4,0.0749999999999998)); #528704=CARTESIAN_POINT('Origin',(3.3505,2.1,0.0749999999999998)); #528705=CARTESIAN_POINT('Origin',(3.15,1.6,0.0499999999999997)); #528706=CARTESIAN_POINT('',(3.3,1.6,0.0499999999999997)); #528707=CARTESIAN_POINT('',(3.3,1.9,0.0499999999999997)); #528708=CARTESIAN_POINT('Origin',(3.3,1.75,0.0500000000000014)); #528709=CARTESIAN_POINT('',(3.55,1.6,0.0499999999999997)); #528710=CARTESIAN_POINT('',(3.15,1.6,0.0499999999999997)); #528711=CARTESIAN_POINT('',(3.55,1.9,0.0499999999999997)); #528712=CARTESIAN_POINT('',(3.55,1.6,0.0499999999999997)); #528713=CARTESIAN_POINT('',(3.15,1.9,0.0499999999999997)); #528714=CARTESIAN_POINT('Origin',(3.3,1.75,0.0375000000000003)); #528715=CARTESIAN_POINT('',(3.3,1.9,-6.07153216591882E-17)); #528716=CARTESIAN_POINT('',(3.3,1.9,0.0375000000000003)); #528717=CARTESIAN_POINT('',(3.3,1.6,-6.07153216591882E-17)); #528718=CARTESIAN_POINT('Origin',(3.3,1.75,-2.84928330929191E-15)); #528719=CARTESIAN_POINT('',(3.3,1.6,0.0375000000000003)); #528720=CARTESIAN_POINT('Origin',(3.55,1.6,0.15)); #528721=CARTESIAN_POINT('',(3.55,1.6,0.15)); #528722=CARTESIAN_POINT('',(3.551,1.6,0.15)); #528723=CARTESIAN_POINT('',(3.55,1.6,0.15)); #528724=CARTESIAN_POINT('',(3.551,1.9,0.15)); #528725=CARTESIAN_POINT('',(3.551,1.6,0.15)); #528726=CARTESIAN_POINT('',(3.55,1.9,0.15)); #528727=CARTESIAN_POINT('',(3.55,1.9,0.15)); #528728=CARTESIAN_POINT('',(3.55,1.6,0.15)); #528729=CARTESIAN_POINT('Origin',(3.55,1.6,0.0499999999999997)); #528730=CARTESIAN_POINT('',(3.55,1.6,-6.07153216591882E-17)); #528731=CARTESIAN_POINT('',(3.55,1.9,-6.07153216591882E-17)); #528732=CARTESIAN_POINT('Origin',(3.551,1.6,-6.07153216591882E-17)); #528733=CARTESIAN_POINT('',(3.551,1.9,-6.93889390390723E-17)); #528734=CARTESIAN_POINT('',(3.551,1.9,-6.07153216591882E-17)); #528735=CARTESIAN_POINT('',(3.551,1.6,-6.93889390390723E-17)); #528736=CARTESIAN_POINT('',(3.551,1.6,-6.93889390390723E-17)); #528737=CARTESIAN_POINT('',(3.551,1.6,-6.07153216591882E-17)); #528738=CARTESIAN_POINT('Origin',(3.551,1.6,0.15)); #528739=CARTESIAN_POINT('',(3.551,1.6,0.15)); #528740=CARTESIAN_POINT('',(3.551,1.9,0.15)); #528741=CARTESIAN_POINT('Origin',(3.3505,1.9,0.0749999999999998)); #528742=CARTESIAN_POINT('Origin',(3.3505,1.6,0.0749999999999998)); #528743=CARTESIAN_POINT('Origin',(3.15,1.1,0.0499999999999997)); #528744=CARTESIAN_POINT('',(3.3,1.1,0.0499999999999997)); #528745=CARTESIAN_POINT('',(3.3,1.4,0.0499999999999997)); #528746=CARTESIAN_POINT('Origin',(3.3,1.25,0.0500000000000014)); #528747=CARTESIAN_POINT('',(3.55,1.1,0.0499999999999997)); #528748=CARTESIAN_POINT('',(3.15,1.1,0.0499999999999997)); #528749=CARTESIAN_POINT('',(3.55,1.4,0.0499999999999997)); #528750=CARTESIAN_POINT('',(3.55,1.1,0.0499999999999997)); #528751=CARTESIAN_POINT('',(3.15,1.4,0.0499999999999997)); #528752=CARTESIAN_POINT('Origin',(3.3,1.25,0.0375000000000003)); #528753=CARTESIAN_POINT('',(3.3,1.4,-6.07153216591882E-17)); #528754=CARTESIAN_POINT('',(3.3,1.4,0.0375000000000003)); #528755=CARTESIAN_POINT('',(3.3,1.1,-6.07153216591882E-17)); #528756=CARTESIAN_POINT('Origin',(3.3,1.25,-2.84928330929191E-15)); #528757=CARTESIAN_POINT('',(3.3,1.1,0.0375000000000003)); #528758=CARTESIAN_POINT('Origin',(3.55,1.1,0.15)); #528759=CARTESIAN_POINT('',(3.55,1.1,0.15)); #528760=CARTESIAN_POINT('',(3.551,1.1,0.15)); #528761=CARTESIAN_POINT('',(3.55,1.1,0.15)); #528762=CARTESIAN_POINT('',(3.551,1.4,0.15)); #528763=CARTESIAN_POINT('',(3.551,1.1,0.15)); #528764=CARTESIAN_POINT('',(3.55,1.4,0.15)); #528765=CARTESIAN_POINT('',(3.55,1.4,0.15)); #528766=CARTESIAN_POINT('',(3.55,1.1,0.15)); #528767=CARTESIAN_POINT('Origin',(3.55,1.1,0.0499999999999997)); #528768=CARTESIAN_POINT('',(3.55,1.1,-6.07153216591882E-17)); #528769=CARTESIAN_POINT('',(3.55,1.4,-6.07153216591882E-17)); #528770=CARTESIAN_POINT('Origin',(3.551,1.1,-6.07153216591882E-17)); #528771=CARTESIAN_POINT('',(3.551,1.4,-6.93889390390723E-17)); #528772=CARTESIAN_POINT('',(3.551,1.4,-6.07153216591882E-17)); #528773=CARTESIAN_POINT('',(3.551,1.1,-6.93889390390723E-17)); #528774=CARTESIAN_POINT('',(3.551,1.1,-6.93889390390723E-17)); #528775=CARTESIAN_POINT('',(3.551,1.1,-6.07153216591882E-17)); #528776=CARTESIAN_POINT('Origin',(3.551,1.1,0.15)); #528777=CARTESIAN_POINT('',(3.551,1.1,0.15)); #528778=CARTESIAN_POINT('',(3.551,1.4,0.15)); #528779=CARTESIAN_POINT('Origin',(3.3505,1.4,0.0749999999999998)); #528780=CARTESIAN_POINT('Origin',(3.3505,1.1,0.0749999999999998)); #528781=CARTESIAN_POINT('Origin',(3.15,0.6,0.0499999999999997)); #528782=CARTESIAN_POINT('',(3.3,0.6,0.0499999999999997)); #528783=CARTESIAN_POINT('',(3.3,0.9,0.0499999999999997)); #528784=CARTESIAN_POINT('Origin',(3.3,0.75,0.0500000000000014)); #528785=CARTESIAN_POINT('',(3.55,0.6,0.0499999999999997)); #528786=CARTESIAN_POINT('',(3.15,0.6,0.0499999999999997)); #528787=CARTESIAN_POINT('',(3.55,0.9,0.0499999999999997)); #528788=CARTESIAN_POINT('',(3.55,0.6,0.0499999999999997)); #528789=CARTESIAN_POINT('',(3.15,0.9,0.0499999999999997)); #528790=CARTESIAN_POINT('Origin',(3.3,0.75,0.0375000000000003)); #528791=CARTESIAN_POINT('',(3.3,0.9,-6.07153216591882E-17)); #528792=CARTESIAN_POINT('',(3.3,0.9,0.0375000000000003)); #528793=CARTESIAN_POINT('',(3.3,0.6,-6.07153216591882E-17)); #528794=CARTESIAN_POINT('Origin',(3.3,0.75,-2.84928330929191E-15)); #528795=CARTESIAN_POINT('',(3.3,0.6,0.0375000000000003)); #528796=CARTESIAN_POINT('Origin',(3.55,0.6,0.15)); #528797=CARTESIAN_POINT('',(3.55,0.6,0.15)); #528798=CARTESIAN_POINT('',(3.551,0.6,0.15)); #528799=CARTESIAN_POINT('',(3.55,0.6,0.15)); #528800=CARTESIAN_POINT('',(3.551,0.9,0.15)); #528801=CARTESIAN_POINT('',(3.551,0.6,0.15)); #528802=CARTESIAN_POINT('',(3.55,0.9,0.15)); #528803=CARTESIAN_POINT('',(3.55,0.9,0.15)); #528804=CARTESIAN_POINT('',(3.55,0.6,0.15)); #528805=CARTESIAN_POINT('Origin',(3.55,0.6,0.0499999999999997)); #528806=CARTESIAN_POINT('',(3.55,0.6,-6.07153216591882E-17)); #528807=CARTESIAN_POINT('',(3.55,0.9,-6.07153216591882E-17)); #528808=CARTESIAN_POINT('Origin',(3.551,0.6,-6.07153216591882E-17)); #528809=CARTESIAN_POINT('',(3.551,0.9,-6.93889390390723E-17)); #528810=CARTESIAN_POINT('',(3.551,0.9,-6.07153216591882E-17)); #528811=CARTESIAN_POINT('',(3.551,0.6,-6.93889390390723E-17)); #528812=CARTESIAN_POINT('',(3.551,0.6,-6.93889390390723E-17)); #528813=CARTESIAN_POINT('',(3.551,0.6,-6.07153216591882E-17)); #528814=CARTESIAN_POINT('Origin',(3.551,0.6,0.15)); #528815=CARTESIAN_POINT('',(3.551,0.6,0.15)); #528816=CARTESIAN_POINT('',(3.551,0.9,0.15)); #528817=CARTESIAN_POINT('Origin',(3.3505,0.9,0.0749999999999998)); #528818=CARTESIAN_POINT('Origin',(3.3505,0.6,0.0749999999999998)); #528819=CARTESIAN_POINT('Origin',(3.15,0.1,0.0499999999999997)); #528820=CARTESIAN_POINT('',(3.3,0.1,0.0499999999999997)); #528821=CARTESIAN_POINT('',(3.3,0.4,0.0499999999999997)); #528822=CARTESIAN_POINT('Origin',(3.3,0.25,0.0500000000000014)); #528823=CARTESIAN_POINT('',(3.55,0.1,0.0499999999999997)); #528824=CARTESIAN_POINT('',(3.15,0.1,0.0499999999999997)); #528825=CARTESIAN_POINT('',(3.55,0.4,0.0499999999999997)); #528826=CARTESIAN_POINT('',(3.55,0.1,0.0499999999999997)); #528827=CARTESIAN_POINT('',(3.15,0.4,0.0499999999999997)); #528828=CARTESIAN_POINT('Origin',(3.3,0.25,0.0375000000000003)); #528829=CARTESIAN_POINT('',(3.3,0.4,-6.07153216591882E-17)); #528830=CARTESIAN_POINT('',(3.3,0.4,0.0375000000000003)); #528831=CARTESIAN_POINT('',(3.3,0.1,-6.07153216591882E-17)); #528832=CARTESIAN_POINT('Origin',(3.3,0.25,-2.84928330929191E-15)); #528833=CARTESIAN_POINT('',(3.3,0.1,0.0375000000000003)); #528834=CARTESIAN_POINT('Origin',(3.55,0.1,0.15)); #528835=CARTESIAN_POINT('',(3.55,0.1,0.15)); #528836=CARTESIAN_POINT('',(3.551,0.1,0.15)); #528837=CARTESIAN_POINT('',(3.55,0.1,0.15)); #528838=CARTESIAN_POINT('',(3.551,0.4,0.15)); #528839=CARTESIAN_POINT('',(3.551,0.1,0.15)); #528840=CARTESIAN_POINT('',(3.55,0.4,0.15)); #528841=CARTESIAN_POINT('',(3.55,0.4,0.15)); #528842=CARTESIAN_POINT('',(3.55,0.1,0.15)); #528843=CARTESIAN_POINT('Origin',(3.55,0.1,0.0499999999999997)); #528844=CARTESIAN_POINT('',(3.55,0.1,-6.07153216591882E-17)); #528845=CARTESIAN_POINT('',(3.55,0.4,-6.07153216591882E-17)); #528846=CARTESIAN_POINT('Origin',(3.551,0.1,-6.07153216591882E-17)); #528847=CARTESIAN_POINT('',(3.551,0.4,-6.93889390390723E-17)); #528848=CARTESIAN_POINT('',(3.551,0.4,-6.07153216591882E-17)); #528849=CARTESIAN_POINT('',(3.551,0.1,-6.93889390390723E-17)); #528850=CARTESIAN_POINT('',(3.551,0.1,-6.93889390390723E-17)); #528851=CARTESIAN_POINT('',(3.551,0.1,-6.07153216591882E-17)); #528852=CARTESIAN_POINT('Origin',(3.551,0.1,0.15)); #528853=CARTESIAN_POINT('',(3.551,0.1,0.15)); #528854=CARTESIAN_POINT('',(3.551,0.4,0.15)); #528855=CARTESIAN_POINT('Origin',(3.3505,0.4,0.0749999999999998)); #528856=CARTESIAN_POINT('Origin',(3.3505,0.1,0.0749999999999998)); #528857=CARTESIAN_POINT('Origin',(3.15,-0.4,0.0499999999999997)); #528858=CARTESIAN_POINT('',(3.3,-0.4,0.0499999999999997)); #528859=CARTESIAN_POINT('',(3.3,-0.1,0.0499999999999997)); #528860=CARTESIAN_POINT('Origin',(3.3,-0.25,0.0500000000000014)); #528861=CARTESIAN_POINT('',(3.55,-0.4,0.0499999999999997)); #528862=CARTESIAN_POINT('',(3.15,-0.4,0.0499999999999997)); #528863=CARTESIAN_POINT('',(3.55,-0.1,0.0499999999999997)); #528864=CARTESIAN_POINT('',(3.55,-0.4,0.0499999999999997)); #528865=CARTESIAN_POINT('',(3.15,-0.1,0.0499999999999997)); #528866=CARTESIAN_POINT('Origin',(3.3,-0.25,0.0375000000000003)); #528867=CARTESIAN_POINT('',(3.3,-0.1,-6.07153216591882E-17)); #528868=CARTESIAN_POINT('',(3.3,-0.1,0.0375000000000003)); #528869=CARTESIAN_POINT('',(3.3,-0.4,-6.07153216591882E-17)); #528870=CARTESIAN_POINT('Origin',(3.3,-0.25,-2.84928330929191E-15)); #528871=CARTESIAN_POINT('',(3.3,-0.4,0.0375000000000003)); #528872=CARTESIAN_POINT('Origin',(3.55,-0.4,0.15)); #528873=CARTESIAN_POINT('',(3.55,-0.4,0.15)); #528874=CARTESIAN_POINT('',(3.551,-0.4,0.15)); #528875=CARTESIAN_POINT('',(3.55,-0.4,0.15)); #528876=CARTESIAN_POINT('',(3.551,-0.1,0.15)); #528877=CARTESIAN_POINT('',(3.551,-0.4,0.15)); #528878=CARTESIAN_POINT('',(3.55,-0.1,0.15)); #528879=CARTESIAN_POINT('',(3.55,-0.1,0.15)); #528880=CARTESIAN_POINT('',(3.55,-0.4,0.15)); #528881=CARTESIAN_POINT('Origin',(3.55,-0.4,0.0499999999999997)); #528882=CARTESIAN_POINT('',(3.55,-0.4,-6.07153216591882E-17)); #528883=CARTESIAN_POINT('',(3.55,-0.1,-6.07153216591882E-17)); #528884=CARTESIAN_POINT('Origin',(3.551,-0.4,-6.07153216591882E-17)); #528885=CARTESIAN_POINT('',(3.551,-0.1,-6.93889390390723E-17)); #528886=CARTESIAN_POINT('',(3.551,-0.1,-6.07153216591882E-17)); #528887=CARTESIAN_POINT('',(3.551,-0.4,-6.93889390390723E-17)); #528888=CARTESIAN_POINT('',(3.551,-0.4,-6.93889390390723E-17)); #528889=CARTESIAN_POINT('',(3.551,-0.4,-6.07153216591882E-17)); #528890=CARTESIAN_POINT('Origin',(3.551,-0.4,0.15)); #528891=CARTESIAN_POINT('',(3.551,-0.4,0.15)); #528892=CARTESIAN_POINT('',(3.551,-0.1,0.15)); #528893=CARTESIAN_POINT('Origin',(3.3505,-0.1,0.0749999999999998)); #528894=CARTESIAN_POINT('Origin',(3.3505,-0.4,0.0749999999999998)); #528895=CARTESIAN_POINT('Origin',(3.15,-0.9,0.0499999999999997)); #528896=CARTESIAN_POINT('',(3.3,-0.9,0.0499999999999997)); #528897=CARTESIAN_POINT('',(3.3,-0.6,0.0499999999999997)); #528898=CARTESIAN_POINT('Origin',(3.3,-0.75,0.0500000000000014)); #528899=CARTESIAN_POINT('',(3.55,-0.9,0.0499999999999997)); #528900=CARTESIAN_POINT('',(3.15,-0.9,0.0499999999999997)); #528901=CARTESIAN_POINT('',(3.55,-0.6,0.0499999999999997)); #528902=CARTESIAN_POINT('',(3.55,-0.9,0.0499999999999997)); #528903=CARTESIAN_POINT('',(3.15,-0.6,0.0499999999999997)); #528904=CARTESIAN_POINT('Origin',(3.3,-0.75,0.0375000000000003)); #528905=CARTESIAN_POINT('',(3.3,-0.6,-6.07153216591882E-17)); #528906=CARTESIAN_POINT('',(3.3,-0.6,0.0375000000000003)); #528907=CARTESIAN_POINT('',(3.3,-0.9,-6.07153216591882E-17)); #528908=CARTESIAN_POINT('Origin',(3.3,-0.75,-2.84928330929191E-15)); #528909=CARTESIAN_POINT('',(3.3,-0.9,0.0375000000000003)); #528910=CARTESIAN_POINT('Origin',(3.55,-0.9,0.15)); #528911=CARTESIAN_POINT('',(3.55,-0.9,0.15)); #528912=CARTESIAN_POINT('',(3.551,-0.9,0.15)); #528913=CARTESIAN_POINT('',(3.55,-0.9,0.15)); #528914=CARTESIAN_POINT('',(3.551,-0.6,0.15)); #528915=CARTESIAN_POINT('',(3.551,-0.9,0.15)); #528916=CARTESIAN_POINT('',(3.55,-0.6,0.15)); #528917=CARTESIAN_POINT('',(3.55,-0.6,0.15)); #528918=CARTESIAN_POINT('',(3.55,-0.9,0.15)); #528919=CARTESIAN_POINT('Origin',(3.55,-0.9,0.0499999999999997)); #528920=CARTESIAN_POINT('',(3.55,-0.9,-6.07153216591882E-17)); #528921=CARTESIAN_POINT('',(3.55,-0.6,-6.07153216591882E-17)); #528922=CARTESIAN_POINT('Origin',(3.551,-0.9,-6.07153216591882E-17)); #528923=CARTESIAN_POINT('',(3.551,-0.6,-6.93889390390723E-17)); #528924=CARTESIAN_POINT('',(3.551,-0.6,-6.07153216591882E-17)); #528925=CARTESIAN_POINT('',(3.551,-0.9,-6.93889390390723E-17)); #528926=CARTESIAN_POINT('',(3.551,-0.9,-6.93889390390723E-17)); #528927=CARTESIAN_POINT('',(3.551,-0.9,-6.07153216591882E-17)); #528928=CARTESIAN_POINT('Origin',(3.551,-0.9,0.15)); #528929=CARTESIAN_POINT('',(3.551,-0.9,0.15)); #528930=CARTESIAN_POINT('',(3.551,-0.6,0.15)); #528931=CARTESIAN_POINT('Origin',(3.3505,-0.6,0.0749999999999998)); #528932=CARTESIAN_POINT('Origin',(3.3505,-0.9,0.0749999999999998)); #528933=CARTESIAN_POINT('Origin',(3.15,-1.4,0.0499999999999997)); #528934=CARTESIAN_POINT('',(3.3,-1.4,0.0499999999999997)); #528935=CARTESIAN_POINT('',(3.3,-1.1,0.0499999999999997)); #528936=CARTESIAN_POINT('Origin',(3.3,-1.25,0.0500000000000014)); #528937=CARTESIAN_POINT('',(3.55,-1.4,0.0499999999999997)); #528938=CARTESIAN_POINT('',(3.15,-1.4,0.0499999999999997)); #528939=CARTESIAN_POINT('',(3.55,-1.1,0.0499999999999997)); #528940=CARTESIAN_POINT('',(3.55,-1.4,0.0499999999999997)); #528941=CARTESIAN_POINT('',(3.15,-1.1,0.0499999999999997)); #528942=CARTESIAN_POINT('Origin',(3.3,-1.25,0.0375000000000003)); #528943=CARTESIAN_POINT('',(3.3,-1.1,-6.07153216591882E-17)); #528944=CARTESIAN_POINT('',(3.3,-1.1,0.0375000000000003)); #528945=CARTESIAN_POINT('',(3.3,-1.4,-6.07153216591882E-17)); #528946=CARTESIAN_POINT('Origin',(3.3,-1.25,-2.84928330929191E-15)); #528947=CARTESIAN_POINT('',(3.3,-1.4,0.0375000000000003)); #528948=CARTESIAN_POINT('Origin',(3.55,-1.4,0.15)); #528949=CARTESIAN_POINT('',(3.55,-1.4,0.15)); #528950=CARTESIAN_POINT('',(3.551,-1.4,0.15)); #528951=CARTESIAN_POINT('',(3.55,-1.4,0.15)); #528952=CARTESIAN_POINT('',(3.551,-1.1,0.15)); #528953=CARTESIAN_POINT('',(3.551,-1.4,0.15)); #528954=CARTESIAN_POINT('',(3.55,-1.1,0.15)); #528955=CARTESIAN_POINT('',(3.55,-1.1,0.15)); #528956=CARTESIAN_POINT('',(3.55,-1.4,0.15)); #528957=CARTESIAN_POINT('Origin',(3.55,-1.4,0.0499999999999997)); #528958=CARTESIAN_POINT('',(3.55,-1.4,-6.07153216591882E-17)); #528959=CARTESIAN_POINT('',(3.55,-1.1,-6.07153216591882E-17)); #528960=CARTESIAN_POINT('Origin',(3.551,-1.4,-6.07153216591882E-17)); #528961=CARTESIAN_POINT('',(3.551,-1.1,-6.93889390390723E-17)); #528962=CARTESIAN_POINT('',(3.551,-1.1,-6.07153216591882E-17)); #528963=CARTESIAN_POINT('',(3.551,-1.4,-6.93889390390723E-17)); #528964=CARTESIAN_POINT('',(3.551,-1.4,-6.93889390390723E-17)); #528965=CARTESIAN_POINT('',(3.551,-1.4,-6.07153216591882E-17)); #528966=CARTESIAN_POINT('Origin',(3.551,-1.4,0.15)); #528967=CARTESIAN_POINT('',(3.551,-1.4,0.15)); #528968=CARTESIAN_POINT('',(3.551,-1.1,0.15)); #528969=CARTESIAN_POINT('Origin',(3.3505,-1.1,0.0749999999999998)); #528970=CARTESIAN_POINT('Origin',(3.3505,-1.4,0.0749999999999998)); #528971=CARTESIAN_POINT('Origin',(3.15,-1.9,0.0499999999999997)); #528972=CARTESIAN_POINT('',(3.3,-1.9,0.0499999999999997)); #528973=CARTESIAN_POINT('',(3.3,-1.6,0.0499999999999997)); #528974=CARTESIAN_POINT('Origin',(3.3,-1.75,0.0500000000000014)); #528975=CARTESIAN_POINT('',(3.55,-1.9,0.0499999999999997)); #528976=CARTESIAN_POINT('',(3.15,-1.9,0.0499999999999997)); #528977=CARTESIAN_POINT('',(3.55,-1.6,0.0499999999999997)); #528978=CARTESIAN_POINT('',(3.55,-1.9,0.0499999999999997)); #528979=CARTESIAN_POINT('',(3.15,-1.6,0.0499999999999997)); #528980=CARTESIAN_POINT('Origin',(3.3,-1.75,0.0375000000000003)); #528981=CARTESIAN_POINT('',(3.3,-1.6,-6.07153216591882E-17)); #528982=CARTESIAN_POINT('',(3.3,-1.6,0.0375000000000003)); #528983=CARTESIAN_POINT('',(3.3,-1.9,-6.07153216591882E-17)); #528984=CARTESIAN_POINT('Origin',(3.3,-1.75,-2.84928330929191E-15)); #528985=CARTESIAN_POINT('',(3.3,-1.9,0.0375000000000003)); #528986=CARTESIAN_POINT('Origin',(3.55,-1.9,0.15)); #528987=CARTESIAN_POINT('',(3.55,-1.9,0.15)); #528988=CARTESIAN_POINT('',(3.551,-1.9,0.15)); #528989=CARTESIAN_POINT('',(3.55,-1.9,0.15)); #528990=CARTESIAN_POINT('',(3.551,-1.6,0.15)); #528991=CARTESIAN_POINT('',(3.551,-1.9,0.15)); #528992=CARTESIAN_POINT('',(3.55,-1.6,0.15)); #528993=CARTESIAN_POINT('',(3.55,-1.6,0.15)); #528994=CARTESIAN_POINT('',(3.55,-1.9,0.15)); #528995=CARTESIAN_POINT('Origin',(3.55,-1.9,0.0499999999999997)); #528996=CARTESIAN_POINT('',(3.55,-1.9,-6.07153216591882E-17)); #528997=CARTESIAN_POINT('',(3.55,-1.6,-6.07153216591882E-17)); #528998=CARTESIAN_POINT('Origin',(3.551,-1.9,-6.07153216591882E-17)); #528999=CARTESIAN_POINT('',(3.551,-1.6,-6.93889390390723E-17)); #529000=CARTESIAN_POINT('',(3.551,-1.6,-6.07153216591882E-17)); #529001=CARTESIAN_POINT('',(3.551,-1.9,-6.93889390390723E-17)); #529002=CARTESIAN_POINT('',(3.551,-1.9,-6.93889390390723E-17)); #529003=CARTESIAN_POINT('',(3.551,-1.9,-6.07153216591882E-17)); #529004=CARTESIAN_POINT('Origin',(3.551,-1.9,0.15)); #529005=CARTESIAN_POINT('',(3.551,-1.9,0.15)); #529006=CARTESIAN_POINT('',(3.551,-1.6,0.15)); #529007=CARTESIAN_POINT('Origin',(3.3505,-1.6,0.0749999999999998)); #529008=CARTESIAN_POINT('Origin',(3.3505,-1.9,0.0749999999999998)); #529009=CARTESIAN_POINT('Origin',(3.15,-2.4,0.0499999999999997)); #529010=CARTESIAN_POINT('',(3.3,-2.4,0.0499999999999997)); #529011=CARTESIAN_POINT('',(3.3,-2.1,0.0499999999999997)); #529012=CARTESIAN_POINT('Origin',(3.3,-2.25,0.0500000000000014)); #529013=CARTESIAN_POINT('',(3.55,-2.4,0.0499999999999997)); #529014=CARTESIAN_POINT('',(3.15,-2.4,0.0499999999999997)); #529015=CARTESIAN_POINT('',(3.55,-2.1,0.0499999999999997)); #529016=CARTESIAN_POINT('',(3.55,-2.4,0.0499999999999997)); #529017=CARTESIAN_POINT('',(3.15,-2.1,0.0499999999999997)); #529018=CARTESIAN_POINT('Origin',(3.3,-2.25,0.0375000000000003)); #529019=CARTESIAN_POINT('',(3.3,-2.1,-6.07153216591882E-17)); #529020=CARTESIAN_POINT('',(3.3,-2.1,0.0375000000000003)); #529021=CARTESIAN_POINT('',(3.3,-2.4,-6.07153216591882E-17)); #529022=CARTESIAN_POINT('Origin',(3.3,-2.25,-2.84928330929191E-15)); #529023=CARTESIAN_POINT('',(3.3,-2.4,0.0375000000000003)); #529024=CARTESIAN_POINT('Origin',(3.55,-2.4,0.15)); #529025=CARTESIAN_POINT('',(3.55,-2.4,0.15)); #529026=CARTESIAN_POINT('',(3.551,-2.4,0.15)); #529027=CARTESIAN_POINT('',(3.55,-2.4,0.15)); #529028=CARTESIAN_POINT('',(3.551,-2.1,0.15)); #529029=CARTESIAN_POINT('',(3.551,-2.4,0.15)); #529030=CARTESIAN_POINT('',(3.55,-2.1,0.15)); #529031=CARTESIAN_POINT('',(3.55,-2.1,0.15)); #529032=CARTESIAN_POINT('',(3.55,-2.4,0.15)); #529033=CARTESIAN_POINT('Origin',(3.55,-2.4,0.0499999999999997)); #529034=CARTESIAN_POINT('',(3.55,-2.4,-6.07153216591882E-17)); #529035=CARTESIAN_POINT('',(3.55,-2.1,-6.07153216591882E-17)); #529036=CARTESIAN_POINT('Origin',(3.551,-2.4,-6.07153216591882E-17)); #529037=CARTESIAN_POINT('',(3.551,-2.1,-6.93889390390723E-17)); #529038=CARTESIAN_POINT('',(3.551,-2.1,-6.07153216591882E-17)); #529039=CARTESIAN_POINT('',(3.551,-2.4,-6.93889390390723E-17)); #529040=CARTESIAN_POINT('',(3.551,-2.4,-6.93889390390723E-17)); #529041=CARTESIAN_POINT('',(3.551,-2.4,-6.07153216591882E-17)); #529042=CARTESIAN_POINT('Origin',(3.551,-2.4,0.15)); #529043=CARTESIAN_POINT('',(3.551,-2.4,0.15)); #529044=CARTESIAN_POINT('',(3.551,-2.1,0.15)); #529045=CARTESIAN_POINT('Origin',(3.3505,-2.1,0.0749999999999998)); #529046=CARTESIAN_POINT('Origin',(3.3505,-2.4,0.0749999999999998)); #529047=CARTESIAN_POINT('Origin',(3.15,-2.9,0.0499999999999997)); #529048=CARTESIAN_POINT('',(3.3,-2.9,0.0499999999999997)); #529049=CARTESIAN_POINT('',(3.3,-2.6,0.0499999999999997)); #529050=CARTESIAN_POINT('Origin',(3.3,-2.75,0.0500000000000014)); #529051=CARTESIAN_POINT('',(3.55,-2.9,0.0499999999999997)); #529052=CARTESIAN_POINT('',(3.15,-2.9,0.0499999999999997)); #529053=CARTESIAN_POINT('',(3.55,-2.6,0.0499999999999997)); #529054=CARTESIAN_POINT('',(3.55,-2.9,0.0499999999999997)); #529055=CARTESIAN_POINT('',(3.15,-2.6,0.0499999999999997)); #529056=CARTESIAN_POINT('Origin',(3.3,-2.75,0.0375000000000003)); #529057=CARTESIAN_POINT('',(3.3,-2.6,-6.07153216591882E-17)); #529058=CARTESIAN_POINT('',(3.3,-2.6,0.0375000000000003)); #529059=CARTESIAN_POINT('',(3.3,-2.9,-6.07153216591882E-17)); #529060=CARTESIAN_POINT('Origin',(3.3,-2.75,-2.84928330929191E-15)); #529061=CARTESIAN_POINT('',(3.3,-2.9,0.0375000000000003)); #529062=CARTESIAN_POINT('Origin',(3.55,-2.9,0.15)); #529063=CARTESIAN_POINT('',(3.55,-2.9,0.15)); #529064=CARTESIAN_POINT('',(3.551,-2.9,0.15)); #529065=CARTESIAN_POINT('',(3.55,-2.9,0.15)); #529066=CARTESIAN_POINT('',(3.551,-2.6,0.15)); #529067=CARTESIAN_POINT('',(3.551,-2.9,0.15)); #529068=CARTESIAN_POINT('',(3.55,-2.6,0.15)); #529069=CARTESIAN_POINT('',(3.55,-2.6,0.15)); #529070=CARTESIAN_POINT('',(3.55,-2.9,0.15)); #529071=CARTESIAN_POINT('Origin',(3.55,-2.9,0.0499999999999997)); #529072=CARTESIAN_POINT('',(3.55,-2.9,-6.07153216591882E-17)); #529073=CARTESIAN_POINT('',(3.55,-2.6,-6.07153216591882E-17)); #529074=CARTESIAN_POINT('Origin',(3.551,-2.9,-6.07153216591882E-17)); #529075=CARTESIAN_POINT('',(3.551,-2.6,-6.93889390390723E-17)); #529076=CARTESIAN_POINT('',(3.551,-2.6,-6.07153216591882E-17)); #529077=CARTESIAN_POINT('',(3.551,-2.9,-6.93889390390723E-17)); #529078=CARTESIAN_POINT('',(3.551,-2.9,-6.93889390390723E-17)); #529079=CARTESIAN_POINT('',(3.551,-2.9,-6.07153216591882E-17)); #529080=CARTESIAN_POINT('Origin',(3.551,-2.9,0.15)); #529081=CARTESIAN_POINT('',(3.551,-2.9,0.15)); #529082=CARTESIAN_POINT('',(3.551,-2.6,0.15)); #529083=CARTESIAN_POINT('Origin',(3.3505,-2.6,0.0749999999999998)); #529084=CARTESIAN_POINT('Origin',(3.3505,-2.9,0.0749999999999998)); #529085=CARTESIAN_POINT('Origin',(-3.15,2.1,0.0499999999999997)); #529086=CARTESIAN_POINT('',(-3.3,2.1,0.0499999999999997)); #529087=CARTESIAN_POINT('',(-3.3,2.4,0.0499999999999997)); #529088=CARTESIAN_POINT('Origin',(-3.3,2.25,0.0500000000000014)); #529089=CARTESIAN_POINT('',(-3.55,2.4,0.0499999999999997)); #529090=CARTESIAN_POINT('',(-3.15,2.4,0.0499999999999997)); #529091=CARTESIAN_POINT('',(-3.55,2.1,0.0499999999999997)); #529092=CARTESIAN_POINT('',(-3.55,2.1,0.0499999999999997)); #529093=CARTESIAN_POINT('',(-3.15,2.1,0.0499999999999997)); #529094=CARTESIAN_POINT('Origin',(-3.3,2.25,0.0375000000000003)); #529095=CARTESIAN_POINT('',(-3.3,2.1,-6.07153216591882E-17)); #529096=CARTESIAN_POINT('',(-3.3,2.1,0.0375000000000003)); #529097=CARTESIAN_POINT('',(-3.3,2.4,-6.07153216591882E-17)); #529098=CARTESIAN_POINT('Origin',(-3.3,2.25,-2.84928330929191E-15)); #529099=CARTESIAN_POINT('',(-3.3,2.4,0.0375000000000003)); #529100=CARTESIAN_POINT('Origin',(-3.55,2.1,0.15)); #529101=CARTESIAN_POINT('',(-3.55,2.1,0.15)); #529102=CARTESIAN_POINT('',(-3.551,2.1,0.15)); #529103=CARTESIAN_POINT('',(-3.55,2.1,0.15)); #529104=CARTESIAN_POINT('',(-3.55,2.4,0.15)); #529105=CARTESIAN_POINT('',(-3.55,2.1,0.15)); #529106=CARTESIAN_POINT('',(-3.551,2.4,0.15)); #529107=CARTESIAN_POINT('',(-3.55,2.4,0.15)); #529108=CARTESIAN_POINT('',(-3.551,2.1,0.15)); #529109=CARTESIAN_POINT('Origin',(-3.55,2.1,0.0499999999999997)); #529110=CARTESIAN_POINT('',(-3.55,2.1,-6.07153216591882E-17)); #529111=CARTESIAN_POINT('',(-3.55,2.4,-6.07153216591882E-17)); #529112=CARTESIAN_POINT('Origin',(-3.551,2.1,-6.07153216591882E-17)); #529113=CARTESIAN_POINT('',(-3.551,2.1,-6.93889390390723E-17)); #529114=CARTESIAN_POINT('',(-3.551,2.1,-6.07153216591882E-17)); #529115=CARTESIAN_POINT('',(-3.551,2.4,-6.93889390390723E-17)); #529116=CARTESIAN_POINT('',(-3.551,2.1,-6.93889390390723E-17)); #529117=CARTESIAN_POINT('',(-3.551,2.4,-6.07153216591882E-17)); #529118=CARTESIAN_POINT('Origin',(-3.551,2.1,0.15)); #529119=CARTESIAN_POINT('',(-3.551,2.1,0.15)); #529120=CARTESIAN_POINT('',(-3.551,2.4,0.15)); #529121=CARTESIAN_POINT('Origin',(-3.3505,2.4,0.0749999999999998)); #529122=CARTESIAN_POINT('Origin',(-3.3505,2.1,0.0749999999999998)); #529123=CARTESIAN_POINT('Origin',(-3.15,1.6,0.0499999999999997)); #529124=CARTESIAN_POINT('',(-3.3,1.6,0.0499999999999997)); #529125=CARTESIAN_POINT('',(-3.3,1.9,0.0499999999999997)); #529126=CARTESIAN_POINT('Origin',(-3.3,1.75,0.0500000000000014)); #529127=CARTESIAN_POINT('',(-3.55,1.9,0.0499999999999997)); #529128=CARTESIAN_POINT('',(-3.15,1.9,0.0499999999999997)); #529129=CARTESIAN_POINT('',(-3.55,1.6,0.0499999999999997)); #529130=CARTESIAN_POINT('',(-3.55,1.6,0.0499999999999997)); #529131=CARTESIAN_POINT('',(-3.15,1.6,0.0499999999999997)); #529132=CARTESIAN_POINT('Origin',(-3.3,1.75,0.0375000000000003)); #529133=CARTESIAN_POINT('',(-3.3,1.6,-6.07153216591882E-17)); #529134=CARTESIAN_POINT('',(-3.3,1.6,0.0375000000000003)); #529135=CARTESIAN_POINT('',(-3.3,1.9,-6.07153216591882E-17)); #529136=CARTESIAN_POINT('Origin',(-3.3,1.75,-2.84928330929191E-15)); #529137=CARTESIAN_POINT('',(-3.3,1.9,0.0375000000000003)); #529138=CARTESIAN_POINT('Origin',(-3.55,1.6,0.15)); #529139=CARTESIAN_POINT('',(-3.55,1.6,0.15)); #529140=CARTESIAN_POINT('',(-3.551,1.6,0.15)); #529141=CARTESIAN_POINT('',(-3.55,1.6,0.15)); #529142=CARTESIAN_POINT('',(-3.55,1.9,0.15)); #529143=CARTESIAN_POINT('',(-3.55,1.6,0.15)); #529144=CARTESIAN_POINT('',(-3.551,1.9,0.15)); #529145=CARTESIAN_POINT('',(-3.55,1.9,0.15)); #529146=CARTESIAN_POINT('',(-3.551,1.6,0.15)); #529147=CARTESIAN_POINT('Origin',(-3.55,1.6,0.0499999999999997)); #529148=CARTESIAN_POINT('',(-3.55,1.6,-6.07153216591882E-17)); #529149=CARTESIAN_POINT('',(-3.55,1.9,-6.07153216591882E-17)); #529150=CARTESIAN_POINT('Origin',(-3.551,1.6,-6.07153216591882E-17)); #529151=CARTESIAN_POINT('',(-3.551,1.6,-6.93889390390723E-17)); #529152=CARTESIAN_POINT('',(-3.551,1.6,-6.07153216591882E-17)); #529153=CARTESIAN_POINT('',(-3.551,1.9,-6.93889390390723E-17)); #529154=CARTESIAN_POINT('',(-3.551,1.6,-6.93889390390723E-17)); #529155=CARTESIAN_POINT('',(-3.551,1.9,-6.07153216591882E-17)); #529156=CARTESIAN_POINT('Origin',(-3.551,1.6,0.15)); #529157=CARTESIAN_POINT('',(-3.551,1.6,0.15)); #529158=CARTESIAN_POINT('',(-3.551,1.9,0.15)); #529159=CARTESIAN_POINT('Origin',(-3.3505,1.9,0.0749999999999998)); #529160=CARTESIAN_POINT('Origin',(-3.3505,1.6,0.0749999999999998)); #529161=CARTESIAN_POINT('Origin',(-3.15,1.1,0.0499999999999997)); #529162=CARTESIAN_POINT('',(-3.3,1.1,0.0499999999999997)); #529163=CARTESIAN_POINT('',(-3.3,1.4,0.0499999999999997)); #529164=CARTESIAN_POINT('Origin',(-3.3,1.25,0.0500000000000014)); #529165=CARTESIAN_POINT('',(-3.55,1.4,0.0499999999999997)); #529166=CARTESIAN_POINT('',(-3.15,1.4,0.0499999999999997)); #529167=CARTESIAN_POINT('',(-3.55,1.1,0.0499999999999997)); #529168=CARTESIAN_POINT('',(-3.55,1.1,0.0499999999999997)); #529169=CARTESIAN_POINT('',(-3.15,1.1,0.0499999999999997)); #529170=CARTESIAN_POINT('Origin',(-3.3,1.25,0.0375000000000003)); #529171=CARTESIAN_POINT('',(-3.3,1.1,-6.07153216591882E-17)); #529172=CARTESIAN_POINT('',(-3.3,1.1,0.0375000000000003)); #529173=CARTESIAN_POINT('',(-3.3,1.4,-6.07153216591882E-17)); #529174=CARTESIAN_POINT('Origin',(-3.3,1.25,-2.84928330929191E-15)); #529175=CARTESIAN_POINT('',(-3.3,1.4,0.0375000000000003)); #529176=CARTESIAN_POINT('Origin',(-3.55,1.1,0.15)); #529177=CARTESIAN_POINT('',(-3.55,1.1,0.15)); #529178=CARTESIAN_POINT('',(-3.551,1.1,0.15)); #529179=CARTESIAN_POINT('',(-3.55,1.1,0.15)); #529180=CARTESIAN_POINT('',(-3.55,1.4,0.15)); #529181=CARTESIAN_POINT('',(-3.55,1.1,0.15)); #529182=CARTESIAN_POINT('',(-3.551,1.4,0.15)); #529183=CARTESIAN_POINT('',(-3.55,1.4,0.15)); #529184=CARTESIAN_POINT('',(-3.551,1.1,0.15)); #529185=CARTESIAN_POINT('Origin',(-3.55,1.1,0.0499999999999997)); #529186=CARTESIAN_POINT('',(-3.55,1.1,-6.07153216591882E-17)); #529187=CARTESIAN_POINT('',(-3.55,1.4,-6.07153216591882E-17)); #529188=CARTESIAN_POINT('Origin',(-3.551,1.1,-6.07153216591882E-17)); #529189=CARTESIAN_POINT('',(-3.551,1.1,-6.93889390390723E-17)); #529190=CARTESIAN_POINT('',(-3.551,1.1,-6.07153216591882E-17)); #529191=CARTESIAN_POINT('',(-3.551,1.4,-6.93889390390723E-17)); #529192=CARTESIAN_POINT('',(-3.551,1.1,-6.93889390390723E-17)); #529193=CARTESIAN_POINT('',(-3.551,1.4,-6.07153216591882E-17)); #529194=CARTESIAN_POINT('Origin',(-3.551,1.1,0.15)); #529195=CARTESIAN_POINT('',(-3.551,1.1,0.15)); #529196=CARTESIAN_POINT('',(-3.551,1.4,0.15)); #529197=CARTESIAN_POINT('Origin',(-3.3505,1.4,0.0749999999999998)); #529198=CARTESIAN_POINT('Origin',(-3.3505,1.1,0.0749999999999998)); #529199=CARTESIAN_POINT('Origin',(-3.15,0.6,0.0499999999999997)); #529200=CARTESIAN_POINT('',(-3.3,0.6,0.0499999999999997)); #529201=CARTESIAN_POINT('',(-3.3,0.9,0.0499999999999997)); #529202=CARTESIAN_POINT('Origin',(-3.3,0.75,0.0500000000000014)); #529203=CARTESIAN_POINT('',(-3.55,0.9,0.0499999999999997)); #529204=CARTESIAN_POINT('',(-3.15,0.9,0.0499999999999997)); #529205=CARTESIAN_POINT('',(-3.55,0.6,0.0499999999999997)); #529206=CARTESIAN_POINT('',(-3.55,0.6,0.0499999999999997)); #529207=CARTESIAN_POINT('',(-3.15,0.6,0.0499999999999997)); #529208=CARTESIAN_POINT('Origin',(-3.3,0.75,0.0375000000000003)); #529209=CARTESIAN_POINT('',(-3.3,0.6,-6.07153216591882E-17)); #529210=CARTESIAN_POINT('',(-3.3,0.6,0.0375000000000003)); #529211=CARTESIAN_POINT('',(-3.3,0.9,-6.07153216591882E-17)); #529212=CARTESIAN_POINT('Origin',(-3.3,0.75,-2.84928330929191E-15)); #529213=CARTESIAN_POINT('',(-3.3,0.9,0.0375000000000003)); #529214=CARTESIAN_POINT('Origin',(-3.55,0.6,0.15)); #529215=CARTESIAN_POINT('',(-3.55,0.6,0.15)); #529216=CARTESIAN_POINT('',(-3.551,0.6,0.15)); #529217=CARTESIAN_POINT('',(-3.55,0.6,0.15)); #529218=CARTESIAN_POINT('',(-3.55,0.9,0.15)); #529219=CARTESIAN_POINT('',(-3.55,0.6,0.15)); #529220=CARTESIAN_POINT('',(-3.551,0.9,0.15)); #529221=CARTESIAN_POINT('',(-3.55,0.9,0.15)); #529222=CARTESIAN_POINT('',(-3.551,0.6,0.15)); #529223=CARTESIAN_POINT('Origin',(-3.55,0.6,0.0499999999999997)); #529224=CARTESIAN_POINT('',(-3.55,0.6,-6.07153216591882E-17)); #529225=CARTESIAN_POINT('',(-3.55,0.9,-6.07153216591882E-17)); #529226=CARTESIAN_POINT('Origin',(-3.551,0.6,-6.07153216591882E-17)); #529227=CARTESIAN_POINT('',(-3.551,0.6,-6.93889390390723E-17)); #529228=CARTESIAN_POINT('',(-3.551,0.6,-6.07153216591882E-17)); #529229=CARTESIAN_POINT('',(-3.551,0.9,-6.93889390390723E-17)); #529230=CARTESIAN_POINT('',(-3.551,0.6,-6.93889390390723E-17)); #529231=CARTESIAN_POINT('',(-3.551,0.9,-6.07153216591882E-17)); #529232=CARTESIAN_POINT('Origin',(-3.551,0.6,0.15)); #529233=CARTESIAN_POINT('',(-3.551,0.6,0.15)); #529234=CARTESIAN_POINT('',(-3.551,0.9,0.15)); #529235=CARTESIAN_POINT('Origin',(-3.3505,0.9,0.0749999999999998)); #529236=CARTESIAN_POINT('Origin',(-3.3505,0.6,0.0749999999999998)); #529237=CARTESIAN_POINT('Origin',(-3.15,0.1,0.0499999999999997)); #529238=CARTESIAN_POINT('',(-3.3,0.1,0.0499999999999997)); #529239=CARTESIAN_POINT('',(-3.3,0.4,0.0499999999999997)); #529240=CARTESIAN_POINT('Origin',(-3.3,0.25,0.0500000000000014)); #529241=CARTESIAN_POINT('',(-3.55,0.4,0.0499999999999997)); #529242=CARTESIAN_POINT('',(-3.15,0.4,0.0499999999999997)); #529243=CARTESIAN_POINT('',(-3.55,0.1,0.0499999999999997)); #529244=CARTESIAN_POINT('',(-3.55,0.1,0.0499999999999997)); #529245=CARTESIAN_POINT('',(-3.15,0.1,0.0499999999999997)); #529246=CARTESIAN_POINT('Origin',(-3.3,0.25,0.0375000000000003)); #529247=CARTESIAN_POINT('',(-3.3,0.1,-6.07153216591882E-17)); #529248=CARTESIAN_POINT('',(-3.3,0.1,0.0375000000000003)); #529249=CARTESIAN_POINT('',(-3.3,0.4,-6.07153216591882E-17)); #529250=CARTESIAN_POINT('Origin',(-3.3,0.25,-2.84928330929191E-15)); #529251=CARTESIAN_POINT('',(-3.3,0.4,0.0375000000000003)); #529252=CARTESIAN_POINT('Origin',(-3.55,0.1,0.15)); #529253=CARTESIAN_POINT('',(-3.55,0.1,0.15)); #529254=CARTESIAN_POINT('',(-3.551,0.1,0.15)); #529255=CARTESIAN_POINT('',(-3.55,0.1,0.15)); #529256=CARTESIAN_POINT('',(-3.55,0.4,0.15)); #529257=CARTESIAN_POINT('',(-3.55,0.1,0.15)); #529258=CARTESIAN_POINT('',(-3.551,0.4,0.15)); #529259=CARTESIAN_POINT('',(-3.55,0.4,0.15)); #529260=CARTESIAN_POINT('',(-3.551,0.1,0.15)); #529261=CARTESIAN_POINT('Origin',(-3.55,0.1,0.0499999999999997)); #529262=CARTESIAN_POINT('',(-3.55,0.1,-6.07153216591882E-17)); #529263=CARTESIAN_POINT('',(-3.55,0.4,-6.07153216591882E-17)); #529264=CARTESIAN_POINT('Origin',(-3.551,0.1,-6.07153216591882E-17)); #529265=CARTESIAN_POINT('',(-3.551,0.1,-6.93889390390723E-17)); #529266=CARTESIAN_POINT('',(-3.551,0.1,-6.07153216591882E-17)); #529267=CARTESIAN_POINT('',(-3.551,0.4,-6.93889390390723E-17)); #529268=CARTESIAN_POINT('',(-3.551,0.1,-6.93889390390723E-17)); #529269=CARTESIAN_POINT('',(-3.551,0.4,-6.07153216591882E-17)); #529270=CARTESIAN_POINT('Origin',(-3.551,0.1,0.15)); #529271=CARTESIAN_POINT('',(-3.551,0.1,0.15)); #529272=CARTESIAN_POINT('',(-3.551,0.4,0.15)); #529273=CARTESIAN_POINT('Origin',(-3.3505,0.4,0.0749999999999998)); #529274=CARTESIAN_POINT('Origin',(-3.3505,0.1,0.0749999999999998)); #529275=CARTESIAN_POINT('Origin',(-3.15,-0.4,0.0499999999999997)); #529276=CARTESIAN_POINT('',(-3.3,-0.4,0.0499999999999997)); #529277=CARTESIAN_POINT('',(-3.3,-0.1,0.0499999999999997)); #529278=CARTESIAN_POINT('Origin',(-3.3,-0.25,0.0500000000000014)); #529279=CARTESIAN_POINT('',(-3.55,-0.1,0.0499999999999997)); #529280=CARTESIAN_POINT('',(-3.15,-0.1,0.0499999999999997)); #529281=CARTESIAN_POINT('',(-3.55,-0.4,0.0499999999999997)); #529282=CARTESIAN_POINT('',(-3.55,-0.4,0.0499999999999997)); #529283=CARTESIAN_POINT('',(-3.15,-0.4,0.0499999999999997)); #529284=CARTESIAN_POINT('Origin',(-3.3,-0.25,0.0375000000000003)); #529285=CARTESIAN_POINT('',(-3.3,-0.4,-6.07153216591882E-17)); #529286=CARTESIAN_POINT('',(-3.3,-0.4,0.0375000000000003)); #529287=CARTESIAN_POINT('',(-3.3,-0.1,-6.07153216591882E-17)); #529288=CARTESIAN_POINT('Origin',(-3.3,-0.25,-2.84928330929191E-15)); #529289=CARTESIAN_POINT('',(-3.3,-0.1,0.0375000000000003)); #529290=CARTESIAN_POINT('Origin',(-3.55,-0.4,0.15)); #529291=CARTESIAN_POINT('',(-3.55,-0.4,0.15)); #529292=CARTESIAN_POINT('',(-3.551,-0.4,0.15)); #529293=CARTESIAN_POINT('',(-3.55,-0.4,0.15)); #529294=CARTESIAN_POINT('',(-3.55,-0.1,0.15)); #529295=CARTESIAN_POINT('',(-3.55,-0.4,0.15)); #529296=CARTESIAN_POINT('',(-3.551,-0.1,0.15)); #529297=CARTESIAN_POINT('',(-3.55,-0.1,0.15)); #529298=CARTESIAN_POINT('',(-3.551,-0.4,0.15)); #529299=CARTESIAN_POINT('Origin',(-3.55,-0.4,0.0499999999999997)); #529300=CARTESIAN_POINT('',(-3.55,-0.4,-6.07153216591882E-17)); #529301=CARTESIAN_POINT('',(-3.55,-0.1,-6.07153216591882E-17)); #529302=CARTESIAN_POINT('Origin',(-3.551,-0.4,-6.07153216591882E-17)); #529303=CARTESIAN_POINT('',(-3.551,-0.4,-6.93889390390723E-17)); #529304=CARTESIAN_POINT('',(-3.551,-0.4,-6.07153216591882E-17)); #529305=CARTESIAN_POINT('',(-3.551,-0.1,-6.93889390390723E-17)); #529306=CARTESIAN_POINT('',(-3.551,-0.4,-6.93889390390723E-17)); #529307=CARTESIAN_POINT('',(-3.551,-0.1,-6.07153216591882E-17)); #529308=CARTESIAN_POINT('Origin',(-3.551,-0.4,0.15)); #529309=CARTESIAN_POINT('',(-3.551,-0.4,0.15)); #529310=CARTESIAN_POINT('',(-3.551,-0.1,0.15)); #529311=CARTESIAN_POINT('Origin',(-3.3505,-0.1,0.0749999999999998)); #529312=CARTESIAN_POINT('Origin',(-3.3505,-0.4,0.0749999999999998)); #529313=CARTESIAN_POINT('Origin',(-3.15,-0.9,0.0499999999999997)); #529314=CARTESIAN_POINT('',(-3.3,-0.9,0.0499999999999997)); #529315=CARTESIAN_POINT('',(-3.3,-0.6,0.0499999999999997)); #529316=CARTESIAN_POINT('Origin',(-3.3,-0.75,0.0500000000000014)); #529317=CARTESIAN_POINT('',(-3.55,-0.6,0.0499999999999997)); #529318=CARTESIAN_POINT('',(-3.15,-0.6,0.0499999999999997)); #529319=CARTESIAN_POINT('',(-3.55,-0.9,0.0499999999999997)); #529320=CARTESIAN_POINT('',(-3.55,-0.9,0.0499999999999997)); #529321=CARTESIAN_POINT('',(-3.15,-0.9,0.0499999999999997)); #529322=CARTESIAN_POINT('Origin',(-3.3,-0.75,0.0375000000000003)); #529323=CARTESIAN_POINT('',(-3.3,-0.9,-6.07153216591882E-17)); #529324=CARTESIAN_POINT('',(-3.3,-0.9,0.0375000000000003)); #529325=CARTESIAN_POINT('',(-3.3,-0.6,-6.07153216591882E-17)); #529326=CARTESIAN_POINT('Origin',(-3.3,-0.75,-2.84928330929191E-15)); #529327=CARTESIAN_POINT('',(-3.3,-0.6,0.0375000000000003)); #529328=CARTESIAN_POINT('Origin',(-3.55,-0.9,0.15)); #529329=CARTESIAN_POINT('',(-3.55,-0.9,0.15)); #529330=CARTESIAN_POINT('',(-3.551,-0.9,0.15)); #529331=CARTESIAN_POINT('',(-3.55,-0.9,0.15)); #529332=CARTESIAN_POINT('',(-3.55,-0.6,0.15)); #529333=CARTESIAN_POINT('',(-3.55,-0.9,0.15)); #529334=CARTESIAN_POINT('',(-3.551,-0.6,0.15)); #529335=CARTESIAN_POINT('',(-3.55,-0.6,0.15)); #529336=CARTESIAN_POINT('',(-3.551,-0.9,0.15)); #529337=CARTESIAN_POINT('Origin',(-3.55,-0.9,0.0499999999999997)); #529338=CARTESIAN_POINT('',(-3.55,-0.9,-6.07153216591882E-17)); #529339=CARTESIAN_POINT('',(-3.55,-0.6,-6.07153216591882E-17)); #529340=CARTESIAN_POINT('Origin',(-3.551,-0.9,-6.07153216591882E-17)); #529341=CARTESIAN_POINT('',(-3.551,-0.9,-6.93889390390723E-17)); #529342=CARTESIAN_POINT('',(-3.551,-0.9,-6.07153216591882E-17)); #529343=CARTESIAN_POINT('',(-3.551,-0.6,-6.93889390390723E-17)); #529344=CARTESIAN_POINT('',(-3.551,-0.9,-6.93889390390723E-17)); #529345=CARTESIAN_POINT('',(-3.551,-0.6,-6.07153216591882E-17)); #529346=CARTESIAN_POINT('Origin',(-3.551,-0.9,0.15)); #529347=CARTESIAN_POINT('',(-3.551,-0.9,0.15)); #529348=CARTESIAN_POINT('',(-3.551,-0.6,0.15)); #529349=CARTESIAN_POINT('Origin',(-3.3505,-0.6,0.0749999999999998)); #529350=CARTESIAN_POINT('Origin',(-3.3505,-0.9,0.0749999999999998)); #529351=CARTESIAN_POINT('Origin',(-3.15,-1.4,0.0499999999999997)); #529352=CARTESIAN_POINT('',(-3.3,-1.4,0.0499999999999997)); #529353=CARTESIAN_POINT('',(-3.3,-1.1,0.0499999999999997)); #529354=CARTESIAN_POINT('Origin',(-3.3,-1.25,0.0500000000000014)); #529355=CARTESIAN_POINT('',(-3.55,-1.1,0.0499999999999997)); #529356=CARTESIAN_POINT('',(-3.15,-1.1,0.0499999999999997)); #529357=CARTESIAN_POINT('',(-3.55,-1.4,0.0499999999999997)); #529358=CARTESIAN_POINT('',(-3.55,-1.4,0.0499999999999997)); #529359=CARTESIAN_POINT('',(-3.15,-1.4,0.0499999999999997)); #529360=CARTESIAN_POINT('Origin',(-3.3,-1.25,0.0375000000000003)); #529361=CARTESIAN_POINT('',(-3.3,-1.4,-6.07153216591882E-17)); #529362=CARTESIAN_POINT('',(-3.3,-1.4,0.0375000000000003)); #529363=CARTESIAN_POINT('',(-3.3,-1.1,-6.07153216591882E-17)); #529364=CARTESIAN_POINT('Origin',(-3.3,-1.25,-2.84928330929191E-15)); #529365=CARTESIAN_POINT('',(-3.3,-1.1,0.0375000000000003)); #529366=CARTESIAN_POINT('Origin',(-3.55,-1.4,0.15)); #529367=CARTESIAN_POINT('',(-3.55,-1.4,0.15)); #529368=CARTESIAN_POINT('',(-3.551,-1.4,0.15)); #529369=CARTESIAN_POINT('',(-3.55,-1.4,0.15)); #529370=CARTESIAN_POINT('',(-3.55,-1.1,0.15)); #529371=CARTESIAN_POINT('',(-3.55,-1.4,0.15)); #529372=CARTESIAN_POINT('',(-3.551,-1.1,0.15)); #529373=CARTESIAN_POINT('',(-3.55,-1.1,0.15)); #529374=CARTESIAN_POINT('',(-3.551,-1.4,0.15)); #529375=CARTESIAN_POINT('Origin',(-3.55,-1.4,0.0499999999999997)); #529376=CARTESIAN_POINT('',(-3.55,-1.4,-6.07153216591882E-17)); #529377=CARTESIAN_POINT('',(-3.55,-1.1,-6.07153216591882E-17)); #529378=CARTESIAN_POINT('Origin',(-3.551,-1.4,-6.07153216591882E-17)); #529379=CARTESIAN_POINT('',(-3.551,-1.4,-6.93889390390723E-17)); #529380=CARTESIAN_POINT('',(-3.551,-1.4,-6.07153216591882E-17)); #529381=CARTESIAN_POINT('',(-3.551,-1.1,-6.93889390390723E-17)); #529382=CARTESIAN_POINT('',(-3.551,-1.4,-6.93889390390723E-17)); #529383=CARTESIAN_POINT('',(-3.551,-1.1,-6.07153216591882E-17)); #529384=CARTESIAN_POINT('Origin',(-3.551,-1.4,0.15)); #529385=CARTESIAN_POINT('',(-3.551,-1.4,0.15)); #529386=CARTESIAN_POINT('',(-3.551,-1.1,0.15)); #529387=CARTESIAN_POINT('Origin',(-3.3505,-1.1,0.0749999999999998)); #529388=CARTESIAN_POINT('Origin',(-3.3505,-1.4,0.0749999999999998)); #529389=CARTESIAN_POINT('Origin',(-3.15,-1.9,0.0499999999999997)); #529390=CARTESIAN_POINT('',(-3.3,-1.9,0.0499999999999997)); #529391=CARTESIAN_POINT('',(-3.3,-1.6,0.0499999999999997)); #529392=CARTESIAN_POINT('Origin',(-3.3,-1.75,0.0500000000000014)); #529393=CARTESIAN_POINT('',(-3.55,-1.6,0.0499999999999997)); #529394=CARTESIAN_POINT('',(-3.15,-1.6,0.0499999999999997)); #529395=CARTESIAN_POINT('',(-3.55,-1.9,0.0499999999999997)); #529396=CARTESIAN_POINT('',(-3.55,-1.9,0.0499999999999997)); #529397=CARTESIAN_POINT('',(-3.15,-1.9,0.0499999999999997)); #529398=CARTESIAN_POINT('Origin',(-3.3,-1.75,0.0375000000000003)); #529399=CARTESIAN_POINT('',(-3.3,-1.9,-6.07153216591882E-17)); #529400=CARTESIAN_POINT('',(-3.3,-1.9,0.0375000000000003)); #529401=CARTESIAN_POINT('',(-3.3,-1.6,-6.07153216591882E-17)); #529402=CARTESIAN_POINT('Origin',(-3.3,-1.75,-2.84928330929191E-15)); #529403=CARTESIAN_POINT('',(-3.3,-1.6,0.0375000000000003)); #529404=CARTESIAN_POINT('Origin',(-3.55,-1.9,0.15)); #529405=CARTESIAN_POINT('',(-3.55,-1.9,0.15)); #529406=CARTESIAN_POINT('',(-3.551,-1.9,0.15)); #529407=CARTESIAN_POINT('',(-3.55,-1.9,0.15)); #529408=CARTESIAN_POINT('',(-3.55,-1.6,0.15)); #529409=CARTESIAN_POINT('',(-3.55,-1.9,0.15)); #529410=CARTESIAN_POINT('',(-3.551,-1.6,0.15)); #529411=CARTESIAN_POINT('',(-3.55,-1.6,0.15)); #529412=CARTESIAN_POINT('',(-3.551,-1.9,0.15)); #529413=CARTESIAN_POINT('Origin',(-3.55,-1.9,0.0499999999999997)); #529414=CARTESIAN_POINT('',(-3.55,-1.9,-6.07153216591882E-17)); #529415=CARTESIAN_POINT('',(-3.55,-1.6,-6.07153216591882E-17)); #529416=CARTESIAN_POINT('Origin',(-3.551,-1.9,-6.07153216591882E-17)); #529417=CARTESIAN_POINT('',(-3.551,-1.9,-6.93889390390723E-17)); #529418=CARTESIAN_POINT('',(-3.551,-1.9,-6.07153216591882E-17)); #529419=CARTESIAN_POINT('',(-3.551,-1.6,-6.93889390390723E-17)); #529420=CARTESIAN_POINT('',(-3.551,-1.9,-6.93889390390723E-17)); #529421=CARTESIAN_POINT('',(-3.551,-1.6,-6.07153216591882E-17)); #529422=CARTESIAN_POINT('Origin',(-3.551,-1.9,0.15)); #529423=CARTESIAN_POINT('',(-3.551,-1.9,0.15)); #529424=CARTESIAN_POINT('',(-3.551,-1.6,0.15)); #529425=CARTESIAN_POINT('Origin',(-3.3505,-1.6,0.0749999999999998)); #529426=CARTESIAN_POINT('Origin',(-3.3505,-1.9,0.0749999999999998)); #529427=CARTESIAN_POINT('Origin',(-3.15,-2.4,0.0499999999999997)); #529428=CARTESIAN_POINT('',(-3.3,-2.4,0.0499999999999997)); #529429=CARTESIAN_POINT('',(-3.3,-2.1,0.0499999999999997)); #529430=CARTESIAN_POINT('Origin',(-3.3,-2.25,0.0500000000000014)); #529431=CARTESIAN_POINT('',(-3.55,-2.1,0.0499999999999997)); #529432=CARTESIAN_POINT('',(-3.15,-2.1,0.0499999999999997)); #529433=CARTESIAN_POINT('',(-3.55,-2.4,0.0499999999999997)); #529434=CARTESIAN_POINT('',(-3.55,-2.4,0.0499999999999997)); #529435=CARTESIAN_POINT('',(-3.15,-2.4,0.0499999999999997)); #529436=CARTESIAN_POINT('Origin',(-3.3,-2.25,0.0375000000000003)); #529437=CARTESIAN_POINT('',(-3.3,-2.4,-6.07153216591882E-17)); #529438=CARTESIAN_POINT('',(-3.3,-2.4,0.0375000000000003)); #529439=CARTESIAN_POINT('',(-3.3,-2.1,-6.07153216591882E-17)); #529440=CARTESIAN_POINT('Origin',(-3.3,-2.25,-2.84928330929191E-15)); #529441=CARTESIAN_POINT('',(-3.3,-2.1,0.0375000000000003)); #529442=CARTESIAN_POINT('Origin',(-3.55,-2.4,0.15)); #529443=CARTESIAN_POINT('',(-3.55,-2.4,0.15)); #529444=CARTESIAN_POINT('',(-3.551,-2.4,0.15)); #529445=CARTESIAN_POINT('',(-3.55,-2.4,0.15)); #529446=CARTESIAN_POINT('',(-3.55,-2.1,0.15)); #529447=CARTESIAN_POINT('',(-3.55,-2.4,0.15)); #529448=CARTESIAN_POINT('',(-3.551,-2.1,0.15)); #529449=CARTESIAN_POINT('',(-3.55,-2.1,0.15)); #529450=CARTESIAN_POINT('',(-3.551,-2.4,0.15)); #529451=CARTESIAN_POINT('Origin',(-3.55,-2.4,0.0499999999999997)); #529452=CARTESIAN_POINT('',(-3.55,-2.4,-6.07153216591882E-17)); #529453=CARTESIAN_POINT('',(-3.55,-2.1,-6.07153216591882E-17)); #529454=CARTESIAN_POINT('Origin',(-3.551,-2.4,-6.07153216591882E-17)); #529455=CARTESIAN_POINT('',(-3.551,-2.4,-6.93889390390723E-17)); #529456=CARTESIAN_POINT('',(-3.551,-2.4,-6.07153216591882E-17)); #529457=CARTESIAN_POINT('',(-3.551,-2.1,-6.93889390390723E-17)); #529458=CARTESIAN_POINT('',(-3.551,-2.4,-6.93889390390723E-17)); #529459=CARTESIAN_POINT('',(-3.551,-2.1,-6.07153216591882E-17)); #529460=CARTESIAN_POINT('Origin',(-3.551,-2.4,0.15)); #529461=CARTESIAN_POINT('',(-3.551,-2.4,0.15)); #529462=CARTESIAN_POINT('',(-3.551,-2.1,0.15)); #529463=CARTESIAN_POINT('Origin',(-3.3505,-2.1,0.0749999999999998)); #529464=CARTESIAN_POINT('Origin',(-3.3505,-2.4,0.0749999999999998)); #529465=CARTESIAN_POINT('Origin',(-3.15,-2.9,0.0499999999999997)); #529466=CARTESIAN_POINT('',(-3.3,-2.9,0.0499999999999997)); #529467=CARTESIAN_POINT('',(-3.3,-2.6,0.0499999999999997)); #529468=CARTESIAN_POINT('Origin',(-3.3,-2.75,0.0500000000000014)); #529469=CARTESIAN_POINT('',(-3.55,-2.6,0.0499999999999997)); #529470=CARTESIAN_POINT('',(-3.15,-2.6,0.0499999999999997)); #529471=CARTESIAN_POINT('',(-3.55,-2.9,0.0499999999999997)); #529472=CARTESIAN_POINT('',(-3.55,-2.9,0.0499999999999997)); #529473=CARTESIAN_POINT('',(-3.15,-2.9,0.0499999999999997)); #529474=CARTESIAN_POINT('Origin',(-3.3,-2.75,0.0375000000000003)); #529475=CARTESIAN_POINT('',(-3.3,-2.9,-6.07153216591882E-17)); #529476=CARTESIAN_POINT('',(-3.3,-2.9,0.0375000000000003)); #529477=CARTESIAN_POINT('',(-3.3,-2.6,-6.07153216591882E-17)); #529478=CARTESIAN_POINT('Origin',(-3.3,-2.75,-2.84928330929191E-15)); #529479=CARTESIAN_POINT('',(-3.3,-2.6,0.0375000000000003)); #529480=CARTESIAN_POINT('Origin',(-3.55,-2.9,0.15)); #529481=CARTESIAN_POINT('',(-3.55,-2.9,0.15)); #529482=CARTESIAN_POINT('',(-3.551,-2.9,0.15)); #529483=CARTESIAN_POINT('',(-3.55,-2.9,0.15)); #529484=CARTESIAN_POINT('',(-3.55,-2.6,0.15)); #529485=CARTESIAN_POINT('',(-3.55,-2.9,0.15)); #529486=CARTESIAN_POINT('',(-3.551,-2.6,0.15)); #529487=CARTESIAN_POINT('',(-3.55,-2.6,0.15)); #529488=CARTESIAN_POINT('',(-3.551,-2.9,0.15)); #529489=CARTESIAN_POINT('Origin',(-3.55,-2.9,0.0499999999999997)); #529490=CARTESIAN_POINT('',(-3.55,-2.9,-6.07153216591882E-17)); #529491=CARTESIAN_POINT('',(-3.55,-2.6,-6.07153216591882E-17)); #529492=CARTESIAN_POINT('Origin',(-3.551,-2.9,-6.07153216591882E-17)); #529493=CARTESIAN_POINT('',(-3.551,-2.9,-6.93889390390723E-17)); #529494=CARTESIAN_POINT('',(-3.551,-2.9,-6.07153216591882E-17)); #529495=CARTESIAN_POINT('',(-3.551,-2.6,-6.93889390390723E-17)); #529496=CARTESIAN_POINT('',(-3.551,-2.9,-6.93889390390723E-17)); #529497=CARTESIAN_POINT('',(-3.551,-2.6,-6.07153216591882E-17)); #529498=CARTESIAN_POINT('Origin',(-3.551,-2.9,0.15)); #529499=CARTESIAN_POINT('',(-3.551,-2.9,0.15)); #529500=CARTESIAN_POINT('',(-3.551,-2.6,0.15)); #529501=CARTESIAN_POINT('Origin',(-3.3505,-2.6,0.0749999999999998)); #529502=CARTESIAN_POINT('Origin',(-3.3505,-2.9,0.0749999999999998)); #529503=CARTESIAN_POINT('Origin',(2.6,3.15,0.)); #529504=CARTESIAN_POINT('',(2.6,3.3,0.)); #529505=CARTESIAN_POINT('',(2.9,3.3,0.)); #529506=CARTESIAN_POINT('Origin',(2.75,3.3,0.)); #529507=CARTESIAN_POINT('',(2.6,3.551,-1.76027756664041E-18)); #529508=CARTESIAN_POINT('',(2.6,3.55,0.)); #529509=CARTESIAN_POINT('',(2.9,3.551,-1.76027756664041E-18)); #529510=CARTESIAN_POINT('',(2.6,3.551,-1.76027756664041E-18)); #529511=CARTESIAN_POINT('',(2.9,3.55,0.)); #529512=CARTESIAN_POINT('Origin',(2.75,3.3,0.0625)); #529513=CARTESIAN_POINT('',(2.9,3.3,0.05)); #529514=CARTESIAN_POINT('',(2.6,3.3,0.05)); #529515=CARTESIAN_POINT('Origin',(2.75,3.3,0.05)); #529516=CARTESIAN_POINT('',(2.6,3.3,0.0625)); #529517=CARTESIAN_POINT('',(2.9,3.3,0.0625)); #529518=CARTESIAN_POINT('Origin',(2.6,3.55,0.05)); #529519=CARTESIAN_POINT('',(2.9,3.55,0.05)); #529520=CARTESIAN_POINT('',(2.9,3.15,0.05)); #529521=CARTESIAN_POINT('',(2.6,3.55,0.05)); #529522=CARTESIAN_POINT('',(2.6,3.55,0.05)); #529523=CARTESIAN_POINT('',(2.6,3.15,0.05)); #529524=CARTESIAN_POINT('Origin',(2.6,3.55,0.15)); #529525=CARTESIAN_POINT('',(2.6,3.55,0.15)); #529526=CARTESIAN_POINT('',(2.6,3.55,0.15)); #529527=CARTESIAN_POINT('',(2.9,3.55,0.15)); #529528=CARTESIAN_POINT('',(2.9,3.55,0.15)); #529529=CARTESIAN_POINT('',(2.6,3.55,0.15)); #529530=CARTESIAN_POINT('Origin',(2.6,3.551,0.15)); #529531=CARTESIAN_POINT('',(2.6,3.551,0.15)); #529532=CARTESIAN_POINT('',(2.6,3.551,0.15)); #529533=CARTESIAN_POINT('',(2.9,3.551,0.15)); #529534=CARTESIAN_POINT('',(2.9,3.551,0.15)); #529535=CARTESIAN_POINT('',(2.6,3.551,0.15)); #529536=CARTESIAN_POINT('Origin',(2.6,3.551,-1.76027756664041E-18)); #529537=CARTESIAN_POINT('',(2.6,3.551,-1.76027756664041E-18)); #529538=CARTESIAN_POINT('',(2.9,3.551,-1.76027756664041E-18)); #529539=CARTESIAN_POINT('Origin',(2.9,3.3505,0.075)); #529540=CARTESIAN_POINT('Origin',(2.6,3.3505,0.075)); #529541=CARTESIAN_POINT('Origin',(2.6,-3.15,0.)); #529542=CARTESIAN_POINT('',(2.6,-3.3,0.)); #529543=CARTESIAN_POINT('',(2.9,-3.3,0.)); #529544=CARTESIAN_POINT('Origin',(2.75,-3.3,0.)); #529545=CARTESIAN_POINT('',(2.9,-3.551,-1.76027756664041E-18)); #529546=CARTESIAN_POINT('',(2.9,-3.55,0.)); #529547=CARTESIAN_POINT('',(2.6,-3.551,-1.76027756664041E-18)); #529548=CARTESIAN_POINT('',(2.6,-3.551,-1.76027756664041E-18)); #529549=CARTESIAN_POINT('',(2.6,-3.55,0.)); #529550=CARTESIAN_POINT('Origin',(2.75,-3.3,0.0625)); #529551=CARTESIAN_POINT('',(2.9,-3.3,0.05)); #529552=CARTESIAN_POINT('',(2.6,-3.3,0.05)); #529553=CARTESIAN_POINT('Origin',(2.75,-3.3,0.05)); #529554=CARTESIAN_POINT('',(2.9,-3.3,0.0625)); #529555=CARTESIAN_POINT('',(2.6,-3.3,0.0625)); #529556=CARTESIAN_POINT('Origin',(2.6,-3.55,0.05)); #529557=CARTESIAN_POINT('',(2.6,-3.55,0.05)); #529558=CARTESIAN_POINT('',(2.6,-3.15,0.05)); #529559=CARTESIAN_POINT('',(2.9,-3.55,0.05)); #529560=CARTESIAN_POINT('',(2.6,-3.55,0.05)); #529561=CARTESIAN_POINT('',(2.9,-3.15,0.05)); #529562=CARTESIAN_POINT('Origin',(2.6,-3.55,0.15)); #529563=CARTESIAN_POINT('',(2.6,-3.55,0.15)); #529564=CARTESIAN_POINT('',(2.6,-3.55,0.15)); #529565=CARTESIAN_POINT('',(2.9,-3.55,0.15)); #529566=CARTESIAN_POINT('',(2.6,-3.55,0.15)); #529567=CARTESIAN_POINT('',(2.9,-3.55,0.15)); #529568=CARTESIAN_POINT('Origin',(2.6,-3.551,0.15)); #529569=CARTESIAN_POINT('',(2.6,-3.551,0.15)); #529570=CARTESIAN_POINT('',(2.6,-3.551,0.15)); #529571=CARTESIAN_POINT('',(2.9,-3.551,0.15)); #529572=CARTESIAN_POINT('',(2.6,-3.551,0.15)); #529573=CARTESIAN_POINT('',(2.9,-3.551,0.15)); #529574=CARTESIAN_POINT('Origin',(2.6,-3.551,-1.76027756664041E-18)); #529575=CARTESIAN_POINT('',(2.6,-3.551,-1.76027756664041E-18)); #529576=CARTESIAN_POINT('',(2.9,-3.551,-1.76027756664041E-18)); #529577=CARTESIAN_POINT('Origin',(2.9,-3.3505,0.075)); #529578=CARTESIAN_POINT('Origin',(2.6,-3.3505,0.075)); #529579=CARTESIAN_POINT('Origin',(2.1,3.15,0.)); #529580=CARTESIAN_POINT('',(2.1,3.3,0.)); #529581=CARTESIAN_POINT('',(2.4,3.3,0.)); #529582=CARTESIAN_POINT('Origin',(2.25,3.3,0.)); #529583=CARTESIAN_POINT('',(2.1,3.551,-1.76027756664041E-18)); #529584=CARTESIAN_POINT('',(2.1,3.55,0.)); #529585=CARTESIAN_POINT('',(2.4,3.551,-1.76027756664041E-18)); #529586=CARTESIAN_POINT('',(2.1,3.551,-1.76027756664041E-18)); #529587=CARTESIAN_POINT('',(2.4,3.55,0.)); #529588=CARTESIAN_POINT('Origin',(2.25,3.3,0.0625)); #529589=CARTESIAN_POINT('',(2.4,3.3,0.05)); #529590=CARTESIAN_POINT('',(2.1,3.3,0.05)); #529591=CARTESIAN_POINT('Origin',(2.25,3.3,0.05)); #529592=CARTESIAN_POINT('',(2.1,3.3,0.0625)); #529593=CARTESIAN_POINT('',(2.4,3.3,0.0625)); #529594=CARTESIAN_POINT('Origin',(2.1,3.55,0.05)); #529595=CARTESIAN_POINT('',(2.4,3.55,0.05)); #529596=CARTESIAN_POINT('',(2.4,3.15,0.05)); #529597=CARTESIAN_POINT('',(2.1,3.55,0.05)); #529598=CARTESIAN_POINT('',(2.1,3.55,0.05)); #529599=CARTESIAN_POINT('',(2.1,3.15,0.05)); #529600=CARTESIAN_POINT('Origin',(2.1,3.55,0.15)); #529601=CARTESIAN_POINT('',(2.1,3.55,0.15)); #529602=CARTESIAN_POINT('',(2.1,3.55,0.15)); #529603=CARTESIAN_POINT('',(2.4,3.55,0.15)); #529604=CARTESIAN_POINT('',(2.4,3.55,0.15)); #529605=CARTESIAN_POINT('',(2.1,3.55,0.15)); #529606=CARTESIAN_POINT('Origin',(2.1,3.551,0.15)); #529607=CARTESIAN_POINT('',(2.1,3.551,0.15)); #529608=CARTESIAN_POINT('',(2.1,3.551,0.15)); #529609=CARTESIAN_POINT('',(2.4,3.551,0.15)); #529610=CARTESIAN_POINT('',(2.4,3.551,0.15)); #529611=CARTESIAN_POINT('',(2.1,3.551,0.15)); #529612=CARTESIAN_POINT('Origin',(2.1,3.551,-1.76027756664041E-18)); #529613=CARTESIAN_POINT('',(2.1,3.551,-1.76027756664041E-18)); #529614=CARTESIAN_POINT('',(2.4,3.551,-1.76027756664041E-18)); #529615=CARTESIAN_POINT('Origin',(2.4,3.3505,0.075)); #529616=CARTESIAN_POINT('Origin',(2.1,3.3505,0.075)); #529617=CARTESIAN_POINT('Origin',(1.6,3.15,0.)); #529618=CARTESIAN_POINT('',(1.6,3.3,0.)); #529619=CARTESIAN_POINT('',(1.9,3.3,0.)); #529620=CARTESIAN_POINT('Origin',(1.75,3.3,0.)); #529621=CARTESIAN_POINT('',(1.6,3.551,-1.76027756664041E-18)); #529622=CARTESIAN_POINT('',(1.6,3.55,0.)); #529623=CARTESIAN_POINT('',(1.9,3.551,-1.76027756664041E-18)); #529624=CARTESIAN_POINT('',(1.6,3.551,-1.76027756664041E-18)); #529625=CARTESIAN_POINT('',(1.9,3.55,0.)); #529626=CARTESIAN_POINT('Origin',(1.75,3.3,0.0625)); #529627=CARTESIAN_POINT('',(1.9,3.3,0.05)); #529628=CARTESIAN_POINT('',(1.6,3.3,0.05)); #529629=CARTESIAN_POINT('Origin',(1.75,3.3,0.05)); #529630=CARTESIAN_POINT('',(1.6,3.3,0.0625)); #529631=CARTESIAN_POINT('',(1.9,3.3,0.0625)); #529632=CARTESIAN_POINT('Origin',(1.6,3.55,0.05)); #529633=CARTESIAN_POINT('',(1.9,3.55,0.05)); #529634=CARTESIAN_POINT('',(1.9,3.15,0.05)); #529635=CARTESIAN_POINT('',(1.6,3.55,0.05)); #529636=CARTESIAN_POINT('',(1.6,3.55,0.05)); #529637=CARTESIAN_POINT('',(1.6,3.15,0.05)); #529638=CARTESIAN_POINT('Origin',(1.6,3.55,0.15)); #529639=CARTESIAN_POINT('',(1.6,3.55,0.15)); #529640=CARTESIAN_POINT('',(1.6,3.55,0.15)); #529641=CARTESIAN_POINT('',(1.9,3.55,0.15)); #529642=CARTESIAN_POINT('',(1.9,3.55,0.15)); #529643=CARTESIAN_POINT('',(1.6,3.55,0.15)); #529644=CARTESIAN_POINT('Origin',(1.6,3.551,0.15)); #529645=CARTESIAN_POINT('',(1.6,3.551,0.15)); #529646=CARTESIAN_POINT('',(1.6,3.551,0.15)); #529647=CARTESIAN_POINT('',(1.9,3.551,0.15)); #529648=CARTESIAN_POINT('',(1.9,3.551,0.15)); #529649=CARTESIAN_POINT('',(1.6,3.551,0.15)); #529650=CARTESIAN_POINT('Origin',(1.6,3.551,-1.76027756664041E-18)); #529651=CARTESIAN_POINT('',(1.6,3.551,-1.76027756664041E-18)); #529652=CARTESIAN_POINT('',(1.9,3.551,-1.76027756664041E-18)); #529653=CARTESIAN_POINT('Origin',(1.9,3.3505,0.075)); #529654=CARTESIAN_POINT('Origin',(1.6,3.3505,0.075)); #529655=CARTESIAN_POINT('Origin',(1.1,3.15,0.)); #529656=CARTESIAN_POINT('',(1.1,3.3,0.)); #529657=CARTESIAN_POINT('',(1.4,3.3,0.)); #529658=CARTESIAN_POINT('Origin',(1.25,3.3,0.)); #529659=CARTESIAN_POINT('',(1.1,3.551,-1.76027756664041E-18)); #529660=CARTESIAN_POINT('',(1.1,3.55,0.)); #529661=CARTESIAN_POINT('',(1.4,3.551,-1.76027756664041E-18)); #529662=CARTESIAN_POINT('',(1.1,3.551,-1.76027756664041E-18)); #529663=CARTESIAN_POINT('',(1.4,3.55,0.)); #529664=CARTESIAN_POINT('Origin',(1.25,3.3,0.0625)); #529665=CARTESIAN_POINT('',(1.4,3.3,0.05)); #529666=CARTESIAN_POINT('',(1.1,3.3,0.05)); #529667=CARTESIAN_POINT('Origin',(1.25,3.3,0.05)); #529668=CARTESIAN_POINT('',(1.1,3.3,0.0625)); #529669=CARTESIAN_POINT('',(1.4,3.3,0.0625)); #529670=CARTESIAN_POINT('Origin',(1.1,3.55,0.05)); #529671=CARTESIAN_POINT('',(1.4,3.55,0.05)); #529672=CARTESIAN_POINT('',(1.4,3.15,0.05)); #529673=CARTESIAN_POINT('',(1.1,3.55,0.05)); #529674=CARTESIAN_POINT('',(1.1,3.55,0.05)); #529675=CARTESIAN_POINT('',(1.1,3.15,0.05)); #529676=CARTESIAN_POINT('Origin',(1.1,3.55,0.15)); #529677=CARTESIAN_POINT('',(1.1,3.55,0.15)); #529678=CARTESIAN_POINT('',(1.1,3.55,0.15)); #529679=CARTESIAN_POINT('',(1.4,3.55,0.15)); #529680=CARTESIAN_POINT('',(1.4,3.55,0.15)); #529681=CARTESIAN_POINT('',(1.1,3.55,0.15)); #529682=CARTESIAN_POINT('Origin',(1.1,3.551,0.15)); #529683=CARTESIAN_POINT('',(1.1,3.551,0.15)); #529684=CARTESIAN_POINT('',(1.1,3.551,0.15)); #529685=CARTESIAN_POINT('',(1.4,3.551,0.15)); #529686=CARTESIAN_POINT('',(1.4,3.551,0.15)); #529687=CARTESIAN_POINT('',(1.1,3.551,0.15)); #529688=CARTESIAN_POINT('Origin',(1.1,3.551,-1.76027756664041E-18)); #529689=CARTESIAN_POINT('',(1.1,3.551,-1.76027756664041E-18)); #529690=CARTESIAN_POINT('',(1.4,3.551,-1.76027756664041E-18)); #529691=CARTESIAN_POINT('Origin',(1.4,3.3505,0.075)); #529692=CARTESIAN_POINT('Origin',(1.1,3.3505,0.075)); #529693=CARTESIAN_POINT('Origin',(0.6,3.15,0.)); #529694=CARTESIAN_POINT('',(0.6,3.3,0.)); #529695=CARTESIAN_POINT('',(0.9,3.3,0.)); #529696=CARTESIAN_POINT('Origin',(0.75,3.3,0.)); #529697=CARTESIAN_POINT('',(0.6,3.551,-1.76027756664041E-18)); #529698=CARTESIAN_POINT('',(0.6,3.55,0.)); #529699=CARTESIAN_POINT('',(0.9,3.551,-1.76027756664041E-18)); #529700=CARTESIAN_POINT('',(0.6,3.551,-1.76027756664041E-18)); #529701=CARTESIAN_POINT('',(0.9,3.55,0.)); #529702=CARTESIAN_POINT('Origin',(0.75,3.3,0.0625)); #529703=CARTESIAN_POINT('',(0.9,3.3,0.05)); #529704=CARTESIAN_POINT('',(0.6,3.3,0.05)); #529705=CARTESIAN_POINT('Origin',(0.75,3.3,0.05)); #529706=CARTESIAN_POINT('',(0.6,3.3,0.0625)); #529707=CARTESIAN_POINT('',(0.9,3.3,0.0625)); #529708=CARTESIAN_POINT('Origin',(0.6,3.55,0.05)); #529709=CARTESIAN_POINT('',(0.9,3.55,0.05)); #529710=CARTESIAN_POINT('',(0.9,3.15,0.05)); #529711=CARTESIAN_POINT('',(0.6,3.55,0.05)); #529712=CARTESIAN_POINT('',(0.6,3.55,0.05)); #529713=CARTESIAN_POINT('',(0.6,3.15,0.05)); #529714=CARTESIAN_POINT('Origin',(0.6,3.55,0.15)); #529715=CARTESIAN_POINT('',(0.6,3.55,0.15)); #529716=CARTESIAN_POINT('',(0.6,3.55,0.15)); #529717=CARTESIAN_POINT('',(0.9,3.55,0.15)); #529718=CARTESIAN_POINT('',(0.9,3.55,0.15)); #529719=CARTESIAN_POINT('',(0.6,3.55,0.15)); #529720=CARTESIAN_POINT('Origin',(0.6,3.551,0.15)); #529721=CARTESIAN_POINT('',(0.6,3.551,0.15)); #529722=CARTESIAN_POINT('',(0.6,3.551,0.15)); #529723=CARTESIAN_POINT('',(0.9,3.551,0.15)); #529724=CARTESIAN_POINT('',(0.9,3.551,0.15)); #529725=CARTESIAN_POINT('',(0.6,3.551,0.15)); #529726=CARTESIAN_POINT('Origin',(0.6,3.551,-1.76027756664041E-18)); #529727=CARTESIAN_POINT('',(0.6,3.551,-1.76027756664041E-18)); #529728=CARTESIAN_POINT('',(0.9,3.551,-1.76027756664041E-18)); #529729=CARTESIAN_POINT('Origin',(0.9,3.3505,0.075)); #529730=CARTESIAN_POINT('Origin',(0.6,3.3505,0.075)); #529731=CARTESIAN_POINT('Origin',(0.1,3.15,0.)); #529732=CARTESIAN_POINT('',(0.1,3.3,0.)); #529733=CARTESIAN_POINT('',(0.4,3.3,0.)); #529734=CARTESIAN_POINT('Origin',(0.25,3.3,0.)); #529735=CARTESIAN_POINT('',(0.1,3.551,-1.76027756664041E-18)); #529736=CARTESIAN_POINT('',(0.1,3.55,0.)); #529737=CARTESIAN_POINT('',(0.4,3.551,-1.76027756664041E-18)); #529738=CARTESIAN_POINT('',(0.1,3.551,-1.76027756664041E-18)); #529739=CARTESIAN_POINT('',(0.4,3.55,0.)); #529740=CARTESIAN_POINT('Origin',(0.25,3.3,0.0625)); #529741=CARTESIAN_POINT('',(0.4,3.3,0.05)); #529742=CARTESIAN_POINT('',(0.1,3.3,0.05)); #529743=CARTESIAN_POINT('Origin',(0.25,3.3,0.05)); #529744=CARTESIAN_POINT('',(0.1,3.3,0.0625)); #529745=CARTESIAN_POINT('',(0.4,3.3,0.0625)); #529746=CARTESIAN_POINT('Origin',(0.1,3.55,0.05)); #529747=CARTESIAN_POINT('',(0.4,3.55,0.05)); #529748=CARTESIAN_POINT('',(0.4,3.15,0.05)); #529749=CARTESIAN_POINT('',(0.1,3.55,0.05)); #529750=CARTESIAN_POINT('',(0.1,3.55,0.05)); #529751=CARTESIAN_POINT('',(0.1,3.15,0.05)); #529752=CARTESIAN_POINT('Origin',(0.1,3.55,0.15)); #529753=CARTESIAN_POINT('',(0.1,3.55,0.15)); #529754=CARTESIAN_POINT('',(0.1,3.55,0.15)); #529755=CARTESIAN_POINT('',(0.4,3.55,0.15)); #529756=CARTESIAN_POINT('',(0.4,3.55,0.15)); #529757=CARTESIAN_POINT('',(0.1,3.55,0.15)); #529758=CARTESIAN_POINT('Origin',(0.1,3.551,0.15)); #529759=CARTESIAN_POINT('',(0.1,3.551,0.15)); #529760=CARTESIAN_POINT('',(0.1,3.551,0.15)); #529761=CARTESIAN_POINT('',(0.4,3.551,0.15)); #529762=CARTESIAN_POINT('',(0.4,3.551,0.15)); #529763=CARTESIAN_POINT('',(0.1,3.551,0.15)); #529764=CARTESIAN_POINT('Origin',(0.1,3.551,-1.76027756664041E-18)); #529765=CARTESIAN_POINT('',(0.1,3.551,-1.76027756664041E-18)); #529766=CARTESIAN_POINT('',(0.4,3.551,-1.76027756664041E-18)); #529767=CARTESIAN_POINT('Origin',(0.4,3.3505,0.075)); #529768=CARTESIAN_POINT('Origin',(0.1,3.3505,0.075)); #529769=CARTESIAN_POINT('Origin',(-0.4,3.15,0.)); #529770=CARTESIAN_POINT('',(-0.4,3.3,0.)); #529771=CARTESIAN_POINT('',(-0.1,3.3,0.)); #529772=CARTESIAN_POINT('Origin',(-0.25,3.3,0.)); #529773=CARTESIAN_POINT('',(-0.4,3.551,-1.76027756664041E-18)); #529774=CARTESIAN_POINT('',(-0.4,3.55,0.)); #529775=CARTESIAN_POINT('',(-0.1,3.551,-1.76027756664041E-18)); #529776=CARTESIAN_POINT('',(-0.4,3.551,-1.76027756664041E-18)); #529777=CARTESIAN_POINT('',(-0.1,3.55,0.)); #529778=CARTESIAN_POINT('Origin',(-0.25,3.3,0.0625)); #529779=CARTESIAN_POINT('',(-0.1,3.3,0.05)); #529780=CARTESIAN_POINT('',(-0.4,3.3,0.05)); #529781=CARTESIAN_POINT('Origin',(-0.25,3.3,0.05)); #529782=CARTESIAN_POINT('',(-0.4,3.3,0.0625)); #529783=CARTESIAN_POINT('',(-0.1,3.3,0.0625)); #529784=CARTESIAN_POINT('Origin',(-0.4,3.55,0.05)); #529785=CARTESIAN_POINT('',(-0.1,3.55,0.05)); #529786=CARTESIAN_POINT('',(-0.1,3.15,0.05)); #529787=CARTESIAN_POINT('',(-0.4,3.55,0.05)); #529788=CARTESIAN_POINT('',(-0.4,3.55,0.05)); #529789=CARTESIAN_POINT('',(-0.4,3.15,0.05)); #529790=CARTESIAN_POINT('Origin',(-0.4,3.55,0.15)); #529791=CARTESIAN_POINT('',(-0.4,3.55,0.15)); #529792=CARTESIAN_POINT('',(-0.4,3.55,0.15)); #529793=CARTESIAN_POINT('',(-0.1,3.55,0.15)); #529794=CARTESIAN_POINT('',(-0.1,3.55,0.15)); #529795=CARTESIAN_POINT('',(-0.4,3.55,0.15)); #529796=CARTESIAN_POINT('Origin',(-0.4,3.551,0.15)); #529797=CARTESIAN_POINT('',(-0.4,3.551,0.15)); #529798=CARTESIAN_POINT('',(-0.4,3.551,0.15)); #529799=CARTESIAN_POINT('',(-0.1,3.551,0.15)); #529800=CARTESIAN_POINT('',(-0.1,3.551,0.15)); #529801=CARTESIAN_POINT('',(-0.4,3.551,0.15)); #529802=CARTESIAN_POINT('Origin',(-0.4,3.551,-1.76027756664041E-18)); #529803=CARTESIAN_POINT('',(-0.4,3.551,-1.76027756664041E-18)); #529804=CARTESIAN_POINT('',(-0.1,3.551,-1.76027756664041E-18)); #529805=CARTESIAN_POINT('Origin',(-0.1,3.3505,0.075)); #529806=CARTESIAN_POINT('Origin',(-0.4,3.3505,0.075)); #529807=CARTESIAN_POINT('Origin',(-0.9,3.15,0.)); #529808=CARTESIAN_POINT('',(-0.9,3.3,0.)); #529809=CARTESIAN_POINT('',(-0.6,3.3,0.)); #529810=CARTESIAN_POINT('Origin',(-0.75,3.3,0.)); #529811=CARTESIAN_POINT('',(-0.9,3.551,-1.76027756664041E-18)); #529812=CARTESIAN_POINT('',(-0.9,3.55,0.)); #529813=CARTESIAN_POINT('',(-0.6,3.551,-1.76027756664041E-18)); #529814=CARTESIAN_POINT('',(-0.9,3.551,-1.76027756664041E-18)); #529815=CARTESIAN_POINT('',(-0.6,3.55,0.)); #529816=CARTESIAN_POINT('Origin',(-0.75,3.3,0.0625)); #529817=CARTESIAN_POINT('',(-0.6,3.3,0.05)); #529818=CARTESIAN_POINT('',(-0.9,3.3,0.05)); #529819=CARTESIAN_POINT('Origin',(-0.75,3.3,0.05)); #529820=CARTESIAN_POINT('',(-0.9,3.3,0.0625)); #529821=CARTESIAN_POINT('',(-0.6,3.3,0.0625)); #529822=CARTESIAN_POINT('Origin',(-0.9,3.55,0.05)); #529823=CARTESIAN_POINT('',(-0.6,3.55,0.05)); #529824=CARTESIAN_POINT('',(-0.6,3.15,0.05)); #529825=CARTESIAN_POINT('',(-0.9,3.55,0.05)); #529826=CARTESIAN_POINT('',(-0.9,3.55,0.05)); #529827=CARTESIAN_POINT('',(-0.9,3.15,0.05)); #529828=CARTESIAN_POINT('Origin',(-0.9,3.55,0.15)); #529829=CARTESIAN_POINT('',(-0.9,3.55,0.15)); #529830=CARTESIAN_POINT('',(-0.9,3.55,0.15)); #529831=CARTESIAN_POINT('',(-0.6,3.55,0.15)); #529832=CARTESIAN_POINT('',(-0.6,3.55,0.15)); #529833=CARTESIAN_POINT('',(-0.9,3.55,0.15)); #529834=CARTESIAN_POINT('Origin',(-0.9,3.551,0.15)); #529835=CARTESIAN_POINT('',(-0.9,3.551,0.15)); #529836=CARTESIAN_POINT('',(-0.9,3.551,0.15)); #529837=CARTESIAN_POINT('',(-0.6,3.551,0.15)); #529838=CARTESIAN_POINT('',(-0.6,3.551,0.15)); #529839=CARTESIAN_POINT('',(-0.9,3.551,0.15)); #529840=CARTESIAN_POINT('Origin',(-0.9,3.551,-1.76027756664041E-18)); #529841=CARTESIAN_POINT('',(-0.9,3.551,-1.76027756664041E-18)); #529842=CARTESIAN_POINT('',(-0.6,3.551,-1.76027756664041E-18)); #529843=CARTESIAN_POINT('Origin',(-0.6,3.3505,0.075)); #529844=CARTESIAN_POINT('Origin',(-0.9,3.3505,0.075)); #529845=CARTESIAN_POINT('Origin',(-1.4,3.15,0.)); #529846=CARTESIAN_POINT('',(-1.4,3.3,0.)); #529847=CARTESIAN_POINT('',(-1.1,3.3,0.)); #529848=CARTESIAN_POINT('Origin',(-1.25,3.3,0.)); #529849=CARTESIAN_POINT('',(-1.4,3.551,-1.76027756664041E-18)); #529850=CARTESIAN_POINT('',(-1.4,3.55,0.)); #529851=CARTESIAN_POINT('',(-1.1,3.551,-1.76027756664041E-18)); #529852=CARTESIAN_POINT('',(-1.4,3.551,-1.76027756664041E-18)); #529853=CARTESIAN_POINT('',(-1.1,3.55,0.)); #529854=CARTESIAN_POINT('Origin',(-1.25,3.3,0.0625)); #529855=CARTESIAN_POINT('',(-1.1,3.3,0.05)); #529856=CARTESIAN_POINT('',(-1.4,3.3,0.05)); #529857=CARTESIAN_POINT('Origin',(-1.25,3.3,0.05)); #529858=CARTESIAN_POINT('',(-1.4,3.3,0.0625)); #529859=CARTESIAN_POINT('',(-1.1,3.3,0.0625)); #529860=CARTESIAN_POINT('Origin',(-1.4,3.55,0.05)); #529861=CARTESIAN_POINT('',(-1.1,3.55,0.05)); #529862=CARTESIAN_POINT('',(-1.1,3.15,0.05)); #529863=CARTESIAN_POINT('',(-1.4,3.55,0.05)); #529864=CARTESIAN_POINT('',(-1.4,3.55,0.05)); #529865=CARTESIAN_POINT('',(-1.4,3.15,0.05)); #529866=CARTESIAN_POINT('Origin',(-1.4,3.55,0.15)); #529867=CARTESIAN_POINT('',(-1.4,3.55,0.15)); #529868=CARTESIAN_POINT('',(-1.4,3.55,0.15)); #529869=CARTESIAN_POINT('',(-1.1,3.55,0.15)); #529870=CARTESIAN_POINT('',(-1.1,3.55,0.15)); #529871=CARTESIAN_POINT('',(-1.4,3.55,0.15)); #529872=CARTESIAN_POINT('Origin',(-1.4,3.551,0.15)); #529873=CARTESIAN_POINT('',(-1.4,3.551,0.15)); #529874=CARTESIAN_POINT('',(-1.4,3.551,0.15)); #529875=CARTESIAN_POINT('',(-1.1,3.551,0.15)); #529876=CARTESIAN_POINT('',(-1.1,3.551,0.15)); #529877=CARTESIAN_POINT('',(-1.4,3.551,0.15)); #529878=CARTESIAN_POINT('Origin',(-1.4,3.551,-1.76027756664041E-18)); #529879=CARTESIAN_POINT('',(-1.4,3.551,-1.76027756664041E-18)); #529880=CARTESIAN_POINT('',(-1.1,3.551,-1.76027756664041E-18)); #529881=CARTESIAN_POINT('Origin',(-1.1,3.3505,0.075)); #529882=CARTESIAN_POINT('Origin',(-1.4,3.3505,0.075)); #529883=CARTESIAN_POINT('Origin',(-1.9,3.15,0.)); #529884=CARTESIAN_POINT('',(-1.9,3.3,0.)); #529885=CARTESIAN_POINT('',(-1.6,3.3,0.)); #529886=CARTESIAN_POINT('Origin',(-1.75,3.3,0.)); #529887=CARTESIAN_POINT('',(-1.9,3.551,-1.76027756664041E-18)); #529888=CARTESIAN_POINT('',(-1.9,3.55,0.)); #529889=CARTESIAN_POINT('',(-1.6,3.551,-1.76027756664041E-18)); #529890=CARTESIAN_POINT('',(-1.9,3.551,-1.76027756664041E-18)); #529891=CARTESIAN_POINT('',(-1.6,3.55,0.)); #529892=CARTESIAN_POINT('Origin',(-1.75,3.3,0.0625)); #529893=CARTESIAN_POINT('',(-1.6,3.3,0.05)); #529894=CARTESIAN_POINT('',(-1.9,3.3,0.05)); #529895=CARTESIAN_POINT('Origin',(-1.75,3.3,0.05)); #529896=CARTESIAN_POINT('',(-1.9,3.3,0.0625)); #529897=CARTESIAN_POINT('',(-1.6,3.3,0.0625)); #529898=CARTESIAN_POINT('Origin',(-1.9,3.55,0.05)); #529899=CARTESIAN_POINT('',(-1.6,3.55,0.05)); #529900=CARTESIAN_POINT('',(-1.6,3.15,0.05)); #529901=CARTESIAN_POINT('',(-1.9,3.55,0.05)); #529902=CARTESIAN_POINT('',(-1.9,3.55,0.05)); #529903=CARTESIAN_POINT('',(-1.9,3.15,0.05)); #529904=CARTESIAN_POINT('Origin',(-1.9,3.55,0.15)); #529905=CARTESIAN_POINT('',(-1.9,3.55,0.15)); #529906=CARTESIAN_POINT('',(-1.9,3.55,0.15)); #529907=CARTESIAN_POINT('',(-1.6,3.55,0.15)); #529908=CARTESIAN_POINT('',(-1.6,3.55,0.15)); #529909=CARTESIAN_POINT('',(-1.9,3.55,0.15)); #529910=CARTESIAN_POINT('Origin',(-1.9,3.551,0.15)); #529911=CARTESIAN_POINT('',(-1.9,3.551,0.15)); #529912=CARTESIAN_POINT('',(-1.9,3.551,0.15)); #529913=CARTESIAN_POINT('',(-1.6,3.551,0.15)); #529914=CARTESIAN_POINT('',(-1.6,3.551,0.15)); #529915=CARTESIAN_POINT('',(-1.9,3.551,0.15)); #529916=CARTESIAN_POINT('Origin',(-1.9,3.551,-1.76027756664041E-18)); #529917=CARTESIAN_POINT('',(-1.9,3.551,-1.76027756664041E-18)); #529918=CARTESIAN_POINT('',(-1.6,3.551,-1.76027756664041E-18)); #529919=CARTESIAN_POINT('Origin',(-1.6,3.3505,0.075)); #529920=CARTESIAN_POINT('Origin',(-1.9,3.3505,0.075)); #529921=CARTESIAN_POINT('Origin',(-2.4,3.15,0.)); #529922=CARTESIAN_POINT('',(-2.4,3.3,0.)); #529923=CARTESIAN_POINT('',(-2.1,3.3,0.)); #529924=CARTESIAN_POINT('Origin',(-2.25,3.3,0.)); #529925=CARTESIAN_POINT('',(-2.4,3.551,-1.76027756664041E-18)); #529926=CARTESIAN_POINT('',(-2.4,3.55,0.)); #529927=CARTESIAN_POINT('',(-2.1,3.551,-1.76027756664041E-18)); #529928=CARTESIAN_POINT('',(-2.4,3.551,-1.76027756664041E-18)); #529929=CARTESIAN_POINT('',(-2.1,3.55,0.)); #529930=CARTESIAN_POINT('Origin',(-2.25,3.3,0.0625)); #529931=CARTESIAN_POINT('',(-2.1,3.3,0.05)); #529932=CARTESIAN_POINT('',(-2.4,3.3,0.05)); #529933=CARTESIAN_POINT('Origin',(-2.25,3.3,0.05)); #529934=CARTESIAN_POINT('',(-2.4,3.3,0.0625)); #529935=CARTESIAN_POINT('',(-2.1,3.3,0.0625)); #529936=CARTESIAN_POINT('Origin',(-2.4,3.55,0.05)); #529937=CARTESIAN_POINT('',(-2.1,3.55,0.05)); #529938=CARTESIAN_POINT('',(-2.1,3.15,0.05)); #529939=CARTESIAN_POINT('',(-2.4,3.55,0.05)); #529940=CARTESIAN_POINT('',(-2.4,3.55,0.05)); #529941=CARTESIAN_POINT('',(-2.4,3.15,0.05)); #529942=CARTESIAN_POINT('Origin',(-2.4,3.55,0.15)); #529943=CARTESIAN_POINT('',(-2.4,3.55,0.15)); #529944=CARTESIAN_POINT('',(-2.4,3.55,0.15)); #529945=CARTESIAN_POINT('',(-2.1,3.55,0.15)); #529946=CARTESIAN_POINT('',(-2.1,3.55,0.15)); #529947=CARTESIAN_POINT('',(-2.4,3.55,0.15)); #529948=CARTESIAN_POINT('Origin',(-2.4,3.551,0.15)); #529949=CARTESIAN_POINT('',(-2.4,3.551,0.15)); #529950=CARTESIAN_POINT('',(-2.4,3.551,0.15)); #529951=CARTESIAN_POINT('',(-2.1,3.551,0.15)); #529952=CARTESIAN_POINT('',(-2.1,3.551,0.15)); #529953=CARTESIAN_POINT('',(-2.4,3.551,0.15)); #529954=CARTESIAN_POINT('Origin',(-2.4,3.551,-1.76027756664041E-18)); #529955=CARTESIAN_POINT('',(-2.4,3.551,-1.76027756664041E-18)); #529956=CARTESIAN_POINT('',(-2.1,3.551,-1.76027756664041E-18)); #529957=CARTESIAN_POINT('Origin',(-2.1,3.3505,0.075)); #529958=CARTESIAN_POINT('Origin',(-2.4,3.3505,0.075)); #529959=CARTESIAN_POINT('Origin',(-2.9,3.15,0.)); #529960=CARTESIAN_POINT('',(-2.9,3.3,0.)); #529961=CARTESIAN_POINT('',(-2.6,3.3,0.)); #529962=CARTESIAN_POINT('Origin',(-2.75,3.3,0.)); #529963=CARTESIAN_POINT('',(-2.9,3.551,-1.76027756664041E-18)); #529964=CARTESIAN_POINT('',(-2.9,3.55,0.)); #529965=CARTESIAN_POINT('',(-2.6,3.551,-1.76027756664041E-18)); #529966=CARTESIAN_POINT('',(-2.9,3.551,-1.76027756664041E-18)); #529967=CARTESIAN_POINT('',(-2.6,3.55,0.)); #529968=CARTESIAN_POINT('Origin',(-2.75,3.3,0.0625)); #529969=CARTESIAN_POINT('',(-2.6,3.3,0.05)); #529970=CARTESIAN_POINT('',(-2.9,3.3,0.05)); #529971=CARTESIAN_POINT('Origin',(-2.75,3.3,0.05)); #529972=CARTESIAN_POINT('',(-2.9,3.3,0.0625)); #529973=CARTESIAN_POINT('',(-2.6,3.3,0.0625)); #529974=CARTESIAN_POINT('Origin',(-2.9,3.55,0.05)); #529975=CARTESIAN_POINT('',(-2.6,3.55,0.05)); #529976=CARTESIAN_POINT('',(-2.6,3.15,0.05)); #529977=CARTESIAN_POINT('',(-2.9,3.55,0.05)); #529978=CARTESIAN_POINT('',(-2.9,3.55,0.05)); #529979=CARTESIAN_POINT('',(-2.9,3.15,0.05)); #529980=CARTESIAN_POINT('Origin',(-2.9,3.55,0.15)); #529981=CARTESIAN_POINT('',(-2.9,3.55,0.15)); #529982=CARTESIAN_POINT('',(-2.9,3.55,0.15)); #529983=CARTESIAN_POINT('',(-2.6,3.55,0.15)); #529984=CARTESIAN_POINT('',(-2.6,3.55,0.15)); #529985=CARTESIAN_POINT('',(-2.9,3.55,0.15)); #529986=CARTESIAN_POINT('Origin',(-2.9,3.551,0.15)); #529987=CARTESIAN_POINT('',(-2.9,3.551,0.15)); #529988=CARTESIAN_POINT('',(-2.9,3.551,0.15)); #529989=CARTESIAN_POINT('',(-2.6,3.551,0.15)); #529990=CARTESIAN_POINT('',(-2.6,3.551,0.15)); #529991=CARTESIAN_POINT('',(-2.9,3.551,0.15)); #529992=CARTESIAN_POINT('Origin',(-2.9,3.551,-1.76027756664041E-18)); #529993=CARTESIAN_POINT('',(-2.9,3.551,-1.76027756664041E-18)); #529994=CARTESIAN_POINT('',(-2.6,3.551,-1.76027756664041E-18)); #529995=CARTESIAN_POINT('Origin',(-2.6,3.3505,0.075)); #529996=CARTESIAN_POINT('Origin',(-2.9,3.3505,0.075)); #529997=CARTESIAN_POINT('Origin',(2.1,-3.15,0.)); #529998=CARTESIAN_POINT('',(2.1,-3.3,0.)); #529999=CARTESIAN_POINT('',(2.4,-3.3,0.)); #530000=CARTESIAN_POINT('Origin',(2.25,-3.3,0.)); #530001=CARTESIAN_POINT('',(2.4,-3.551,-1.76027756664041E-18)); #530002=CARTESIAN_POINT('',(2.4,-3.55,0.)); #530003=CARTESIAN_POINT('',(2.1,-3.551,-1.76027756664041E-18)); #530004=CARTESIAN_POINT('',(2.1,-3.551,-1.76027756664041E-18)); #530005=CARTESIAN_POINT('',(2.1,-3.55,0.)); #530006=CARTESIAN_POINT('Origin',(2.25,-3.3,0.0625)); #530007=CARTESIAN_POINT('',(2.4,-3.3,0.05)); #530008=CARTESIAN_POINT('',(2.1,-3.3,0.05)); #530009=CARTESIAN_POINT('Origin',(2.25,-3.3,0.05)); #530010=CARTESIAN_POINT('',(2.4,-3.3,0.0625)); #530011=CARTESIAN_POINT('',(2.1,-3.3,0.0625)); #530012=CARTESIAN_POINT('Origin',(2.1,-3.55,0.05)); #530013=CARTESIAN_POINT('',(2.1,-3.55,0.05)); #530014=CARTESIAN_POINT('',(2.1,-3.15,0.05)); #530015=CARTESIAN_POINT('',(2.4,-3.55,0.05)); #530016=CARTESIAN_POINT('',(2.1,-3.55,0.05)); #530017=CARTESIAN_POINT('',(2.4,-3.15,0.05)); #530018=CARTESIAN_POINT('Origin',(2.1,-3.55,0.15)); #530019=CARTESIAN_POINT('',(2.1,-3.55,0.15)); #530020=CARTESIAN_POINT('',(2.1,-3.55,0.15)); #530021=CARTESIAN_POINT('',(2.4,-3.55,0.15)); #530022=CARTESIAN_POINT('',(2.1,-3.55,0.15)); #530023=CARTESIAN_POINT('',(2.4,-3.55,0.15)); #530024=CARTESIAN_POINT('Origin',(2.1,-3.551,0.15)); #530025=CARTESIAN_POINT('',(2.1,-3.551,0.15)); #530026=CARTESIAN_POINT('',(2.1,-3.551,0.15)); #530027=CARTESIAN_POINT('',(2.4,-3.551,0.15)); #530028=CARTESIAN_POINT('',(2.1,-3.551,0.15)); #530029=CARTESIAN_POINT('',(2.4,-3.551,0.15)); #530030=CARTESIAN_POINT('Origin',(2.1,-3.551,-1.76027756664041E-18)); #530031=CARTESIAN_POINT('',(2.1,-3.551,-1.76027756664041E-18)); #530032=CARTESIAN_POINT('',(2.4,-3.551,-1.76027756664041E-18)); #530033=CARTESIAN_POINT('Origin',(2.4,-3.3505,0.075)); #530034=CARTESIAN_POINT('Origin',(2.1,-3.3505,0.075)); #530035=CARTESIAN_POINT('Origin',(1.6,-3.15,0.)); #530036=CARTESIAN_POINT('',(1.6,-3.3,0.)); #530037=CARTESIAN_POINT('',(1.9,-3.3,0.)); #530038=CARTESIAN_POINT('Origin',(1.75,-3.3,0.)); #530039=CARTESIAN_POINT('',(1.9,-3.551,-1.76027756664041E-18)); #530040=CARTESIAN_POINT('',(1.9,-3.55,0.)); #530041=CARTESIAN_POINT('',(1.6,-3.551,-1.76027756664041E-18)); #530042=CARTESIAN_POINT('',(1.6,-3.551,-1.76027756664041E-18)); #530043=CARTESIAN_POINT('',(1.6,-3.55,0.)); #530044=CARTESIAN_POINT('Origin',(1.75,-3.3,0.0625)); #530045=CARTESIAN_POINT('',(1.9,-3.3,0.05)); #530046=CARTESIAN_POINT('',(1.6,-3.3,0.05)); #530047=CARTESIAN_POINT('Origin',(1.75,-3.3,0.05)); #530048=CARTESIAN_POINT('',(1.9,-3.3,0.0625)); #530049=CARTESIAN_POINT('',(1.6,-3.3,0.0625)); #530050=CARTESIAN_POINT('Origin',(1.6,-3.55,0.05)); #530051=CARTESIAN_POINT('',(1.6,-3.55,0.05)); #530052=CARTESIAN_POINT('',(1.6,-3.15,0.05)); #530053=CARTESIAN_POINT('',(1.9,-3.55,0.05)); #530054=CARTESIAN_POINT('',(1.6,-3.55,0.05)); #530055=CARTESIAN_POINT('',(1.9,-3.15,0.05)); #530056=CARTESIAN_POINT('Origin',(1.6,-3.55,0.15)); #530057=CARTESIAN_POINT('',(1.6,-3.55,0.15)); #530058=CARTESIAN_POINT('',(1.6,-3.55,0.15)); #530059=CARTESIAN_POINT('',(1.9,-3.55,0.15)); #530060=CARTESIAN_POINT('',(1.6,-3.55,0.15)); #530061=CARTESIAN_POINT('',(1.9,-3.55,0.15)); #530062=CARTESIAN_POINT('Origin',(1.6,-3.551,0.15)); #530063=CARTESIAN_POINT('',(1.6,-3.551,0.15)); #530064=CARTESIAN_POINT('',(1.6,-3.551,0.15)); #530065=CARTESIAN_POINT('',(1.9,-3.551,0.15)); #530066=CARTESIAN_POINT('',(1.6,-3.551,0.15)); #530067=CARTESIAN_POINT('',(1.9,-3.551,0.15)); #530068=CARTESIAN_POINT('Origin',(1.6,-3.551,-1.76027756664041E-18)); #530069=CARTESIAN_POINT('',(1.6,-3.551,-1.76027756664041E-18)); #530070=CARTESIAN_POINT('',(1.9,-3.551,-1.76027756664041E-18)); #530071=CARTESIAN_POINT('Origin',(1.9,-3.3505,0.075)); #530072=CARTESIAN_POINT('Origin',(1.6,-3.3505,0.075)); #530073=CARTESIAN_POINT('Origin',(1.1,-3.15,0.)); #530074=CARTESIAN_POINT('',(1.1,-3.3,0.)); #530075=CARTESIAN_POINT('',(1.4,-3.3,0.)); #530076=CARTESIAN_POINT('Origin',(1.25,-3.3,0.)); #530077=CARTESIAN_POINT('',(1.4,-3.551,-1.76027756664041E-18)); #530078=CARTESIAN_POINT('',(1.4,-3.55,0.)); #530079=CARTESIAN_POINT('',(1.1,-3.551,-1.76027756664041E-18)); #530080=CARTESIAN_POINT('',(1.1,-3.551,-1.76027756664041E-18)); #530081=CARTESIAN_POINT('',(1.1,-3.55,0.)); #530082=CARTESIAN_POINT('Origin',(1.25,-3.3,0.0625)); #530083=CARTESIAN_POINT('',(1.4,-3.3,0.05)); #530084=CARTESIAN_POINT('',(1.1,-3.3,0.05)); #530085=CARTESIAN_POINT('Origin',(1.25,-3.3,0.05)); #530086=CARTESIAN_POINT('',(1.4,-3.3,0.0625)); #530087=CARTESIAN_POINT('',(1.1,-3.3,0.0625)); #530088=CARTESIAN_POINT('Origin',(1.1,-3.55,0.05)); #530089=CARTESIAN_POINT('',(1.1,-3.55,0.05)); #530090=CARTESIAN_POINT('',(1.1,-3.15,0.05)); #530091=CARTESIAN_POINT('',(1.4,-3.55,0.05)); #530092=CARTESIAN_POINT('',(1.1,-3.55,0.05)); #530093=CARTESIAN_POINT('',(1.4,-3.15,0.05)); #530094=CARTESIAN_POINT('Origin',(1.1,-3.55,0.15)); #530095=CARTESIAN_POINT('',(1.1,-3.55,0.15)); #530096=CARTESIAN_POINT('',(1.1,-3.55,0.15)); #530097=CARTESIAN_POINT('',(1.4,-3.55,0.15)); #530098=CARTESIAN_POINT('',(1.1,-3.55,0.15)); #530099=CARTESIAN_POINT('',(1.4,-3.55,0.15)); #530100=CARTESIAN_POINT('Origin',(1.1,-3.551,0.15)); #530101=CARTESIAN_POINT('',(1.1,-3.551,0.15)); #530102=CARTESIAN_POINT('',(1.1,-3.551,0.15)); #530103=CARTESIAN_POINT('',(1.4,-3.551,0.15)); #530104=CARTESIAN_POINT('',(1.1,-3.551,0.15)); #530105=CARTESIAN_POINT('',(1.4,-3.551,0.15)); #530106=CARTESIAN_POINT('Origin',(1.1,-3.551,-1.76027756664041E-18)); #530107=CARTESIAN_POINT('',(1.1,-3.551,-1.76027756664041E-18)); #530108=CARTESIAN_POINT('',(1.4,-3.551,-1.76027756664041E-18)); #530109=CARTESIAN_POINT('Origin',(1.4,-3.3505,0.075)); #530110=CARTESIAN_POINT('Origin',(1.1,-3.3505,0.075)); #530111=CARTESIAN_POINT('Origin',(0.6,-3.15,0.)); #530112=CARTESIAN_POINT('',(0.6,-3.3,0.)); #530113=CARTESIAN_POINT('',(0.9,-3.3,0.)); #530114=CARTESIAN_POINT('Origin',(0.75,-3.3,0.)); #530115=CARTESIAN_POINT('',(0.9,-3.551,-1.76027756664041E-18)); #530116=CARTESIAN_POINT('',(0.9,-3.55,0.)); #530117=CARTESIAN_POINT('',(0.6,-3.551,-1.76027756664041E-18)); #530118=CARTESIAN_POINT('',(0.6,-3.551,-1.76027756664041E-18)); #530119=CARTESIAN_POINT('',(0.6,-3.55,0.)); #530120=CARTESIAN_POINT('Origin',(0.75,-3.3,0.0625)); #530121=CARTESIAN_POINT('',(0.9,-3.3,0.05)); #530122=CARTESIAN_POINT('',(0.6,-3.3,0.05)); #530123=CARTESIAN_POINT('Origin',(0.75,-3.3,0.05)); #530124=CARTESIAN_POINT('',(0.9,-3.3,0.0625)); #530125=CARTESIAN_POINT('',(0.6,-3.3,0.0625)); #530126=CARTESIAN_POINT('Origin',(0.6,-3.55,0.05)); #530127=CARTESIAN_POINT('',(0.6,-3.55,0.05)); #530128=CARTESIAN_POINT('',(0.6,-3.15,0.05)); #530129=CARTESIAN_POINT('',(0.9,-3.55,0.05)); #530130=CARTESIAN_POINT('',(0.6,-3.55,0.05)); #530131=CARTESIAN_POINT('',(0.9,-3.15,0.05)); #530132=CARTESIAN_POINT('Origin',(0.6,-3.55,0.15)); #530133=CARTESIAN_POINT('',(0.6,-3.55,0.15)); #530134=CARTESIAN_POINT('',(0.6,-3.55,0.15)); #530135=CARTESIAN_POINT('',(0.9,-3.55,0.15)); #530136=CARTESIAN_POINT('',(0.6,-3.55,0.15)); #530137=CARTESIAN_POINT('',(0.9,-3.55,0.15)); #530138=CARTESIAN_POINT('Origin',(0.6,-3.551,0.15)); #530139=CARTESIAN_POINT('',(0.6,-3.551,0.15)); #530140=CARTESIAN_POINT('',(0.6,-3.551,0.15)); #530141=CARTESIAN_POINT('',(0.9,-3.551,0.15)); #530142=CARTESIAN_POINT('',(0.6,-3.551,0.15)); #530143=CARTESIAN_POINT('',(0.9,-3.551,0.15)); #530144=CARTESIAN_POINT('Origin',(0.6,-3.551,-1.76027756664041E-18)); #530145=CARTESIAN_POINT('',(0.6,-3.551,-1.76027756664041E-18)); #530146=CARTESIAN_POINT('',(0.9,-3.551,-1.76027756664041E-18)); #530147=CARTESIAN_POINT('Origin',(0.9,-3.3505,0.075)); #530148=CARTESIAN_POINT('Origin',(0.6,-3.3505,0.075)); #530149=CARTESIAN_POINT('Origin',(0.1,-3.15,0.)); #530150=CARTESIAN_POINT('',(0.1,-3.3,0.)); #530151=CARTESIAN_POINT('',(0.4,-3.3,0.)); #530152=CARTESIAN_POINT('Origin',(0.25,-3.3,0.)); #530153=CARTESIAN_POINT('',(0.4,-3.551,-1.76027756664041E-18)); #530154=CARTESIAN_POINT('',(0.4,-3.55,0.)); #530155=CARTESIAN_POINT('',(0.1,-3.551,-1.76027756664041E-18)); #530156=CARTESIAN_POINT('',(0.1,-3.551,-1.76027756664041E-18)); #530157=CARTESIAN_POINT('',(0.1,-3.55,0.)); #530158=CARTESIAN_POINT('Origin',(0.25,-3.3,0.0625)); #530159=CARTESIAN_POINT('',(0.4,-3.3,0.05)); #530160=CARTESIAN_POINT('',(0.1,-3.3,0.05)); #530161=CARTESIAN_POINT('Origin',(0.25,-3.3,0.05)); #530162=CARTESIAN_POINT('',(0.4,-3.3,0.0625)); #530163=CARTESIAN_POINT('',(0.1,-3.3,0.0625)); #530164=CARTESIAN_POINT('Origin',(0.1,-3.55,0.05)); #530165=CARTESIAN_POINT('',(0.1,-3.55,0.05)); #530166=CARTESIAN_POINT('',(0.1,-3.15,0.05)); #530167=CARTESIAN_POINT('',(0.4,-3.55,0.05)); #530168=CARTESIAN_POINT('',(0.1,-3.55,0.05)); #530169=CARTESIAN_POINT('',(0.4,-3.15,0.05)); #530170=CARTESIAN_POINT('Origin',(0.1,-3.55,0.15)); #530171=CARTESIAN_POINT('',(0.1,-3.55,0.15)); #530172=CARTESIAN_POINT('',(0.1,-3.55,0.15)); #530173=CARTESIAN_POINT('',(0.4,-3.55,0.15)); #530174=CARTESIAN_POINT('',(0.1,-3.55,0.15)); #530175=CARTESIAN_POINT('',(0.4,-3.55,0.15)); #530176=CARTESIAN_POINT('Origin',(0.1,-3.551,0.15)); #530177=CARTESIAN_POINT('',(0.1,-3.551,0.15)); #530178=CARTESIAN_POINT('',(0.1,-3.551,0.15)); #530179=CARTESIAN_POINT('',(0.4,-3.551,0.15)); #530180=CARTESIAN_POINT('',(0.1,-3.551,0.15)); #530181=CARTESIAN_POINT('',(0.4,-3.551,0.15)); #530182=CARTESIAN_POINT('Origin',(0.1,-3.551,-1.76027756664041E-18)); #530183=CARTESIAN_POINT('',(0.1,-3.551,-1.76027756664041E-18)); #530184=CARTESIAN_POINT('',(0.4,-3.551,-1.76027756664041E-18)); #530185=CARTESIAN_POINT('Origin',(0.4,-3.3505,0.075)); #530186=CARTESIAN_POINT('Origin',(0.1,-3.3505,0.075)); #530187=CARTESIAN_POINT('Origin',(-0.4,-3.15,0.)); #530188=CARTESIAN_POINT('',(-0.4,-3.3,0.)); #530189=CARTESIAN_POINT('',(-0.1,-3.3,0.)); #530190=CARTESIAN_POINT('Origin',(-0.25,-3.3,0.)); #530191=CARTESIAN_POINT('',(-0.1,-3.551,-1.76027756664041E-18)); #530192=CARTESIAN_POINT('',(-0.1,-3.55,0.)); #530193=CARTESIAN_POINT('',(-0.4,-3.551,-1.76027756664041E-18)); #530194=CARTESIAN_POINT('',(-0.4,-3.551,-1.76027756664041E-18)); #530195=CARTESIAN_POINT('',(-0.4,-3.55,0.)); #530196=CARTESIAN_POINT('Origin',(-0.25,-3.3,0.0625)); #530197=CARTESIAN_POINT('',(-0.1,-3.3,0.05)); #530198=CARTESIAN_POINT('',(-0.4,-3.3,0.05)); #530199=CARTESIAN_POINT('Origin',(-0.25,-3.3,0.05)); #530200=CARTESIAN_POINT('',(-0.1,-3.3,0.0625)); #530201=CARTESIAN_POINT('',(-0.4,-3.3,0.0625)); #530202=CARTESIAN_POINT('Origin',(-0.4,-3.55,0.05)); #530203=CARTESIAN_POINT('',(-0.4,-3.55,0.05)); #530204=CARTESIAN_POINT('',(-0.4,-3.15,0.05)); #530205=CARTESIAN_POINT('',(-0.1,-3.55,0.05)); #530206=CARTESIAN_POINT('',(-0.4,-3.55,0.05)); #530207=CARTESIAN_POINT('',(-0.1,-3.15,0.05)); #530208=CARTESIAN_POINT('Origin',(-0.4,-3.55,0.15)); #530209=CARTESIAN_POINT('',(-0.4,-3.55,0.15)); #530210=CARTESIAN_POINT('',(-0.4,-3.55,0.15)); #530211=CARTESIAN_POINT('',(-0.1,-3.55,0.15)); #530212=CARTESIAN_POINT('',(-0.4,-3.55,0.15)); #530213=CARTESIAN_POINT('',(-0.1,-3.55,0.15)); #530214=CARTESIAN_POINT('Origin',(-0.4,-3.551,0.15)); #530215=CARTESIAN_POINT('',(-0.4,-3.551,0.15)); #530216=CARTESIAN_POINT('',(-0.4,-3.551,0.15)); #530217=CARTESIAN_POINT('',(-0.1,-3.551,0.15)); #530218=CARTESIAN_POINT('',(-0.4,-3.551,0.15)); #530219=CARTESIAN_POINT('',(-0.1,-3.551,0.15)); #530220=CARTESIAN_POINT('Origin',(-0.4,-3.551,-1.76027756664041E-18)); #530221=CARTESIAN_POINT('',(-0.4,-3.551,-1.76027756664041E-18)); #530222=CARTESIAN_POINT('',(-0.1,-3.551,-1.76027756664041E-18)); #530223=CARTESIAN_POINT('Origin',(-0.1,-3.3505,0.075)); #530224=CARTESIAN_POINT('Origin',(-0.4,-3.3505,0.075)); #530225=CARTESIAN_POINT('Origin',(-0.9,-3.15,0.)); #530226=CARTESIAN_POINT('',(-0.9,-3.3,0.)); #530227=CARTESIAN_POINT('',(-0.6,-3.3,0.)); #530228=CARTESIAN_POINT('Origin',(-0.75,-3.3,0.)); #530229=CARTESIAN_POINT('',(-0.6,-3.551,-1.76027756664041E-18)); #530230=CARTESIAN_POINT('',(-0.6,-3.55,0.)); #530231=CARTESIAN_POINT('',(-0.9,-3.551,-1.76027756664041E-18)); #530232=CARTESIAN_POINT('',(-0.9,-3.551,-1.76027756664041E-18)); #530233=CARTESIAN_POINT('',(-0.9,-3.55,0.)); #530234=CARTESIAN_POINT('Origin',(-0.75,-3.3,0.0625)); #530235=CARTESIAN_POINT('',(-0.6,-3.3,0.05)); #530236=CARTESIAN_POINT('',(-0.9,-3.3,0.05)); #530237=CARTESIAN_POINT('Origin',(-0.75,-3.3,0.05)); #530238=CARTESIAN_POINT('',(-0.6,-3.3,0.0625)); #530239=CARTESIAN_POINT('',(-0.9,-3.3,0.0625)); #530240=CARTESIAN_POINT('Origin',(-0.9,-3.55,0.05)); #530241=CARTESIAN_POINT('',(-0.9,-3.55,0.05)); #530242=CARTESIAN_POINT('',(-0.9,-3.15,0.05)); #530243=CARTESIAN_POINT('',(-0.6,-3.55,0.05)); #530244=CARTESIAN_POINT('',(-0.9,-3.55,0.05)); #530245=CARTESIAN_POINT('',(-0.6,-3.15,0.05)); #530246=CARTESIAN_POINT('Origin',(-0.9,-3.55,0.15)); #530247=CARTESIAN_POINT('',(-0.9,-3.55,0.15)); #530248=CARTESIAN_POINT('',(-0.9,-3.55,0.15)); #530249=CARTESIAN_POINT('',(-0.6,-3.55,0.15)); #530250=CARTESIAN_POINT('',(-0.9,-3.55,0.15)); #530251=CARTESIAN_POINT('',(-0.6,-3.55,0.15)); #530252=CARTESIAN_POINT('Origin',(-0.9,-3.551,0.15)); #530253=CARTESIAN_POINT('',(-0.9,-3.551,0.15)); #530254=CARTESIAN_POINT('',(-0.9,-3.551,0.15)); #530255=CARTESIAN_POINT('',(-0.6,-3.551,0.15)); #530256=CARTESIAN_POINT('',(-0.9,-3.551,0.15)); #530257=CARTESIAN_POINT('',(-0.6,-3.551,0.15)); #530258=CARTESIAN_POINT('Origin',(-0.9,-3.551,-1.76027756664041E-18)); #530259=CARTESIAN_POINT('',(-0.9,-3.551,-1.76027756664041E-18)); #530260=CARTESIAN_POINT('',(-0.6,-3.551,-1.76027756664041E-18)); #530261=CARTESIAN_POINT('Origin',(-0.6,-3.3505,0.075)); #530262=CARTESIAN_POINT('Origin',(-0.9,-3.3505,0.075)); #530263=CARTESIAN_POINT('Origin',(-1.4,-3.15,0.)); #530264=CARTESIAN_POINT('',(-1.4,-3.3,0.)); #530265=CARTESIAN_POINT('',(-1.1,-3.3,0.)); #530266=CARTESIAN_POINT('Origin',(-1.25,-3.3,0.)); #530267=CARTESIAN_POINT('',(-1.1,-3.551,-1.76027756664041E-18)); #530268=CARTESIAN_POINT('',(-1.1,-3.55,0.)); #530269=CARTESIAN_POINT('',(-1.4,-3.551,-1.76027756664041E-18)); #530270=CARTESIAN_POINT('',(-1.4,-3.551,-1.76027756664041E-18)); #530271=CARTESIAN_POINT('',(-1.4,-3.55,0.)); #530272=CARTESIAN_POINT('Origin',(-1.25,-3.3,0.0625)); #530273=CARTESIAN_POINT('',(-1.1,-3.3,0.05)); #530274=CARTESIAN_POINT('',(-1.4,-3.3,0.05)); #530275=CARTESIAN_POINT('Origin',(-1.25,-3.3,0.05)); #530276=CARTESIAN_POINT('',(-1.1,-3.3,0.0625)); #530277=CARTESIAN_POINT('',(-1.4,-3.3,0.0625)); #530278=CARTESIAN_POINT('Origin',(-1.4,-3.55,0.05)); #530279=CARTESIAN_POINT('',(-1.4,-3.55,0.05)); #530280=CARTESIAN_POINT('',(-1.4,-3.15,0.05)); #530281=CARTESIAN_POINT('',(-1.1,-3.55,0.05)); #530282=CARTESIAN_POINT('',(-1.4,-3.55,0.05)); #530283=CARTESIAN_POINT('',(-1.1,-3.15,0.05)); #530284=CARTESIAN_POINT('Origin',(-1.4,-3.55,0.15)); #530285=CARTESIAN_POINT('',(-1.4,-3.55,0.15)); #530286=CARTESIAN_POINT('',(-1.4,-3.55,0.15)); #530287=CARTESIAN_POINT('',(-1.1,-3.55,0.15)); #530288=CARTESIAN_POINT('',(-1.4,-3.55,0.15)); #530289=CARTESIAN_POINT('',(-1.1,-3.55,0.15)); #530290=CARTESIAN_POINT('Origin',(-1.4,-3.551,0.15)); #530291=CARTESIAN_POINT('',(-1.4,-3.551,0.15)); #530292=CARTESIAN_POINT('',(-1.4,-3.551,0.15)); #530293=CARTESIAN_POINT('',(-1.1,-3.551,0.15)); #530294=CARTESIAN_POINT('',(-1.4,-3.551,0.15)); #530295=CARTESIAN_POINT('',(-1.1,-3.551,0.15)); #530296=CARTESIAN_POINT('Origin',(-1.4,-3.551,-1.76027756664041E-18)); #530297=CARTESIAN_POINT('',(-1.4,-3.551,-1.76027756664041E-18)); #530298=CARTESIAN_POINT('',(-1.1,-3.551,-1.76027756664041E-18)); #530299=CARTESIAN_POINT('Origin',(-1.1,-3.3505,0.075)); #530300=CARTESIAN_POINT('Origin',(-1.4,-3.3505,0.075)); #530301=CARTESIAN_POINT('Origin',(-1.9,-3.15,0.)); #530302=CARTESIAN_POINT('',(-1.9,-3.3,0.)); #530303=CARTESIAN_POINT('',(-1.6,-3.3,0.)); #530304=CARTESIAN_POINT('Origin',(-1.75,-3.3,0.)); #530305=CARTESIAN_POINT('',(-1.6,-3.551,-1.76027756664041E-18)); #530306=CARTESIAN_POINT('',(-1.6,-3.55,0.)); #530307=CARTESIAN_POINT('',(-1.9,-3.551,-1.76027756664041E-18)); #530308=CARTESIAN_POINT('',(-1.9,-3.551,-1.76027756664041E-18)); #530309=CARTESIAN_POINT('',(-1.9,-3.55,0.)); #530310=CARTESIAN_POINT('Origin',(-1.75,-3.3,0.0625)); #530311=CARTESIAN_POINT('',(-1.6,-3.3,0.05)); #530312=CARTESIAN_POINT('',(-1.9,-3.3,0.05)); #530313=CARTESIAN_POINT('Origin',(-1.75,-3.3,0.05)); #530314=CARTESIAN_POINT('',(-1.6,-3.3,0.0625)); #530315=CARTESIAN_POINT('',(-1.9,-3.3,0.0625)); #530316=CARTESIAN_POINT('Origin',(-1.9,-3.55,0.05)); #530317=CARTESIAN_POINT('',(-1.9,-3.55,0.05)); #530318=CARTESIAN_POINT('',(-1.9,-3.15,0.05)); #530319=CARTESIAN_POINT('',(-1.6,-3.55,0.05)); #530320=CARTESIAN_POINT('',(-1.9,-3.55,0.05)); #530321=CARTESIAN_POINT('',(-1.6,-3.15,0.05)); #530322=CARTESIAN_POINT('Origin',(-1.9,-3.55,0.15)); #530323=CARTESIAN_POINT('',(-1.9,-3.55,0.15)); #530324=CARTESIAN_POINT('',(-1.9,-3.55,0.15)); #530325=CARTESIAN_POINT('',(-1.6,-3.55,0.15)); #530326=CARTESIAN_POINT('',(-1.9,-3.55,0.15)); #530327=CARTESIAN_POINT('',(-1.6,-3.55,0.15)); #530328=CARTESIAN_POINT('Origin',(-1.9,-3.551,0.15)); #530329=CARTESIAN_POINT('',(-1.9,-3.551,0.15)); #530330=CARTESIAN_POINT('',(-1.9,-3.551,0.15)); #530331=CARTESIAN_POINT('',(-1.6,-3.551,0.15)); #530332=CARTESIAN_POINT('',(-1.9,-3.551,0.15)); #530333=CARTESIAN_POINT('',(-1.6,-3.551,0.15)); #530334=CARTESIAN_POINT('Origin',(-1.9,-3.551,-1.76027756664041E-18)); #530335=CARTESIAN_POINT('',(-1.9,-3.551,-1.76027756664041E-18)); #530336=CARTESIAN_POINT('',(-1.6,-3.551,-1.76027756664041E-18)); #530337=CARTESIAN_POINT('Origin',(-1.6,-3.3505,0.075)); #530338=CARTESIAN_POINT('Origin',(-1.9,-3.3505,0.075)); #530339=CARTESIAN_POINT('Origin',(-2.4,-3.15,0.)); #530340=CARTESIAN_POINT('',(-2.4,-3.3,0.)); #530341=CARTESIAN_POINT('',(-2.1,-3.3,0.)); #530342=CARTESIAN_POINT('Origin',(-2.25,-3.3,0.)); #530343=CARTESIAN_POINT('',(-2.1,-3.551,-1.76027756664041E-18)); #530344=CARTESIAN_POINT('',(-2.1,-3.55,0.)); #530345=CARTESIAN_POINT('',(-2.4,-3.551,-1.76027756664041E-18)); #530346=CARTESIAN_POINT('',(-2.4,-3.551,-1.76027756664041E-18)); #530347=CARTESIAN_POINT('',(-2.4,-3.55,0.)); #530348=CARTESIAN_POINT('Origin',(-2.25,-3.3,0.0625)); #530349=CARTESIAN_POINT('',(-2.1,-3.3,0.05)); #530350=CARTESIAN_POINT('',(-2.4,-3.3,0.05)); #530351=CARTESIAN_POINT('Origin',(-2.25,-3.3,0.05)); #530352=CARTESIAN_POINT('',(-2.1,-3.3,0.0625)); #530353=CARTESIAN_POINT('',(-2.4,-3.3,0.0625)); #530354=CARTESIAN_POINT('Origin',(-2.4,-3.55,0.05)); #530355=CARTESIAN_POINT('',(-2.4,-3.55,0.05)); #530356=CARTESIAN_POINT('',(-2.4,-3.15,0.05)); #530357=CARTESIAN_POINT('',(-2.1,-3.55,0.05)); #530358=CARTESIAN_POINT('',(-2.4,-3.55,0.05)); #530359=CARTESIAN_POINT('',(-2.1,-3.15,0.05)); #530360=CARTESIAN_POINT('Origin',(-2.4,-3.55,0.15)); #530361=CARTESIAN_POINT('',(-2.4,-3.55,0.15)); #530362=CARTESIAN_POINT('',(-2.4,-3.55,0.15)); #530363=CARTESIAN_POINT('',(-2.1,-3.55,0.15)); #530364=CARTESIAN_POINT('',(-2.4,-3.55,0.15)); #530365=CARTESIAN_POINT('',(-2.1,-3.55,0.15)); #530366=CARTESIAN_POINT('Origin',(-2.4,-3.551,0.15)); #530367=CARTESIAN_POINT('',(-2.4,-3.551,0.15)); #530368=CARTESIAN_POINT('',(-2.4,-3.551,0.15)); #530369=CARTESIAN_POINT('',(-2.1,-3.551,0.15)); #530370=CARTESIAN_POINT('',(-2.4,-3.551,0.15)); #530371=CARTESIAN_POINT('',(-2.1,-3.551,0.15)); #530372=CARTESIAN_POINT('Origin',(-2.4,-3.551,-1.76027756664041E-18)); #530373=CARTESIAN_POINT('',(-2.4,-3.551,-1.76027756664041E-18)); #530374=CARTESIAN_POINT('',(-2.1,-3.551,-1.76027756664041E-18)); #530375=CARTESIAN_POINT('Origin',(-2.1,-3.3505,0.075)); #530376=CARTESIAN_POINT('Origin',(-2.4,-3.3505,0.075)); #530377=CARTESIAN_POINT('Origin',(-2.9,-3.15,0.)); #530378=CARTESIAN_POINT('',(-2.9,-3.3,0.)); #530379=CARTESIAN_POINT('',(-2.6,-3.3,0.)); #530380=CARTESIAN_POINT('Origin',(-2.75,-3.3,0.)); #530381=CARTESIAN_POINT('',(-2.6,-3.551,-1.76027756664041E-18)); #530382=CARTESIAN_POINT('',(-2.6,-3.55,0.)); #530383=CARTESIAN_POINT('',(-2.9,-3.551,-1.76027756664041E-18)); #530384=CARTESIAN_POINT('',(-2.9,-3.551,-1.76027756664041E-18)); #530385=CARTESIAN_POINT('',(-2.9,-3.55,0.)); #530386=CARTESIAN_POINT('Origin',(-2.75,-3.3,0.0625)); #530387=CARTESIAN_POINT('',(-2.6,-3.3,0.05)); #530388=CARTESIAN_POINT('',(-2.9,-3.3,0.05)); #530389=CARTESIAN_POINT('Origin',(-2.75,-3.3,0.05)); #530390=CARTESIAN_POINT('',(-2.6,-3.3,0.0625)); #530391=CARTESIAN_POINT('',(-2.9,-3.3,0.0625)); #530392=CARTESIAN_POINT('Origin',(-2.9,-3.55,0.05)); #530393=CARTESIAN_POINT('',(-2.9,-3.55,0.05)); #530394=CARTESIAN_POINT('',(-2.9,-3.15,0.05)); #530395=CARTESIAN_POINT('',(-2.6,-3.55,0.05)); #530396=CARTESIAN_POINT('',(-2.9,-3.55,0.05)); #530397=CARTESIAN_POINT('',(-2.6,-3.15,0.05)); #530398=CARTESIAN_POINT('Origin',(-2.9,-3.55,0.15)); #530399=CARTESIAN_POINT('',(-2.9,-3.55,0.15)); #530400=CARTESIAN_POINT('',(-2.9,-3.55,0.15)); #530401=CARTESIAN_POINT('',(-2.6,-3.55,0.15)); #530402=CARTESIAN_POINT('',(-2.9,-3.55,0.15)); #530403=CARTESIAN_POINT('',(-2.6,-3.55,0.15)); #530404=CARTESIAN_POINT('Origin',(-2.9,-3.551,0.15)); #530405=CARTESIAN_POINT('',(-2.9,-3.551,0.15)); #530406=CARTESIAN_POINT('',(-2.9,-3.551,0.15)); #530407=CARTESIAN_POINT('',(-2.6,-3.551,0.15)); #530408=CARTESIAN_POINT('',(-2.9,-3.551,0.15)); #530409=CARTESIAN_POINT('',(-2.6,-3.551,0.15)); #530410=CARTESIAN_POINT('Origin',(-2.9,-3.551,-1.76027756664041E-18)); #530411=CARTESIAN_POINT('',(-2.9,-3.551,-1.76027756664041E-18)); #530412=CARTESIAN_POINT('',(-2.6,-3.551,-1.76027756664041E-18)); #530413=CARTESIAN_POINT('Origin',(-2.6,-3.3505,0.075)); #530414=CARTESIAN_POINT('Origin',(-2.9,-3.3505,0.075)); #530415=CARTESIAN_POINT('Origin',(-2.7,-2.7,0.)); #530416=CARTESIAN_POINT('',(-2.7,-2.7,0.)); #530417=CARTESIAN_POINT('',(2.7,-2.7,0.)); #530418=CARTESIAN_POINT('',(-2.7,-2.7,0.)); #530419=CARTESIAN_POINT('',(2.7,-2.7,0.05)); #530420=CARTESIAN_POINT('',(2.7,-2.7,0.)); #530421=CARTESIAN_POINT('',(-2.7,-2.7,0.05)); #530422=CARTESIAN_POINT('',(-2.7,-2.7,0.05)); #530423=CARTESIAN_POINT('',(-2.7,-2.7,0.)); #530424=CARTESIAN_POINT('Origin',(-2.7,2.7,0.)); #530425=CARTESIAN_POINT('',(-2.7,2.7,0.)); #530426=CARTESIAN_POINT('',(-2.7,2.7,0.)); #530427=CARTESIAN_POINT('',(-2.7,2.7,0.05)); #530428=CARTESIAN_POINT('',(-2.7,2.7,0.05)); #530429=CARTESIAN_POINT('',(-2.7,2.7,0.)); #530430=CARTESIAN_POINT('Origin',(2.7,2.7,0.)); #530431=CARTESIAN_POINT('',(2.7,2.7,0.)); #530432=CARTESIAN_POINT('',(2.7,2.7,0.)); #530433=CARTESIAN_POINT('',(2.7,2.7,0.05)); #530434=CARTESIAN_POINT('',(2.7,2.7,0.05)); #530435=CARTESIAN_POINT('',(2.7,2.7,0.)); #530436=CARTESIAN_POINT('Origin',(2.7,-2.7,0.)); #530437=CARTESIAN_POINT('',(2.7,-2.7,0.)); #530438=CARTESIAN_POINT('',(2.7,-2.7,0.05)); #530439=CARTESIAN_POINT('Origin',(0.,0.,0.05)); #530440=CARTESIAN_POINT('Origin',(0.,0.,0.)); #530441=CARTESIAN_POINT('',(0.,0.,0.)); #530442=CARTESIAN_POINT('',(0.,0.,0.)); #530443=CARTESIAN_POINT('',(0.,0.,0.)); #530444=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.51)); #530445=CARTESIAN_POINT('',(-1.6,-0.81,0.51)); #530446=CARTESIAN_POINT('',(-1.56,-0.81,0.55)); #530447=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.51)); #530448=CARTESIAN_POINT('',(-1.56,-0.85,0.51)); #530449=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.51)); #530450=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.51)); #530451=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.51)); #530452=CARTESIAN_POINT('',(-0.99,-0.85,0.51)); #530453=CARTESIAN_POINT('',(-0.99,-0.81,0.55)); #530454=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.51)); #530455=CARTESIAN_POINT('',(-0.95,-0.81,0.51)); #530456=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.51)); #530457=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.51)); #530458=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.04)); #530459=CARTESIAN_POINT('',(-1.6,-0.81,0.04)); #530460=CARTESIAN_POINT('',(-1.56,-0.85,0.04)); #530461=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.04)); #530462=CARTESIAN_POINT('',(-1.56,-0.81,0.)); #530463=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.04)); #530464=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.04)); #530465=CARTESIAN_POINT('Origin',(-1.56,0.81,0.51)); #530466=CARTESIAN_POINT('',(-1.56,0.85,0.51)); #530467=CARTESIAN_POINT('',(-1.56,0.81,0.55)); #530468=CARTESIAN_POINT('Origin',(-1.56,0.81,0.51)); #530469=CARTESIAN_POINT('',(-1.6,0.81,0.51)); #530470=CARTESIAN_POINT('Origin',(-1.56,0.81,0.51)); #530471=CARTESIAN_POINT('Origin',(-1.56,0.81,0.51)); #530472=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.04)); #530473=CARTESIAN_POINT('',(-0.99,-0.85,0.04)); #530474=CARTESIAN_POINT('',(-0.95,-0.81,0.04)); #530475=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.04)); #530476=CARTESIAN_POINT('',(-0.99,-0.81,0.)); #530477=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.04)); #530478=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.04)); #530479=CARTESIAN_POINT('Origin',(-1.4375,-0.81,0.04)); #530480=CARTESIAN_POINT('',(-1.4375,-0.85,0.04)); #530481=CARTESIAN_POINT('',(-1.4375,-0.81,0.)); #530482=CARTESIAN_POINT('Origin',(-0.99,0.81,0.51)); #530483=CARTESIAN_POINT('',(-0.95,0.81,0.51)); #530484=CARTESIAN_POINT('',(-0.99,0.81,0.55)); #530485=CARTESIAN_POINT('Origin',(-0.99,0.81,0.51)); #530486=CARTESIAN_POINT('',(-0.99,0.85,0.51)); #530487=CARTESIAN_POINT('Origin',(-0.99,0.81,0.51)); #530488=CARTESIAN_POINT('Origin',(-0.99,0.81,0.51)); #530489=CARTESIAN_POINT('Origin',(-1.56,0.81,0.04)); #530490=CARTESIAN_POINT('',(-1.56,0.81,0.)); #530491=CARTESIAN_POINT('',(-1.56,0.85,0.04)); #530492=CARTESIAN_POINT('Origin',(-1.56,0.81,0.04)); #530493=CARTESIAN_POINT('',(-1.6,0.81,0.04)); #530494=CARTESIAN_POINT('Origin',(-1.56,0.81,0.04)); #530495=CARTESIAN_POINT('Origin',(-1.56,0.81,0.04)); #530496=CARTESIAN_POINT('Origin',(-1.56,0.425,0.04)); #530497=CARTESIAN_POINT('',(-1.6,0.425,0.04)); #530498=CARTESIAN_POINT('',(-1.56,0.425,0.)); #530499=CARTESIAN_POINT('Origin',(-1.56,0.81,0.)); #530500=CARTESIAN_POINT('',(-1.56,0.85,0.)); #530501=CARTESIAN_POINT('',(-1.6,0.81,0.)); #530502=CARTESIAN_POINT('Origin',(-0.99,0.81,0.04)); #530503=CARTESIAN_POINT('',(-0.95,0.81,0.04)); #530504=CARTESIAN_POINT('',(-0.99,0.85,0.04)); #530505=CARTESIAN_POINT('Origin',(-0.99,0.81,0.04)); #530506=CARTESIAN_POINT('',(-0.99,0.81,0.)); #530507=CARTESIAN_POINT('Origin',(-0.99,0.81,0.04)); #530508=CARTESIAN_POINT('Origin',(-0.99,0.81,0.04)); #530509=CARTESIAN_POINT('Origin',(-0.99,-0.425,0.04)); #530510=CARTESIAN_POINT('',(-0.99,-0.425,0.)); #530511=CARTESIAN_POINT('',(-0.95,-0.425,0.04)); #530512=CARTESIAN_POINT('Origin',(-1.1125,0.81,0.04)); #530513=CARTESIAN_POINT('',(-1.1125,0.85,0.04)); #530514=CARTESIAN_POINT('',(-1.1125,0.81,0.)); #530515=CARTESIAN_POINT('Origin',(-0.99,0.81,0.)); #530516=CARTESIAN_POINT('',(-0.95,0.81,0.)); #530517=CARTESIAN_POINT('',(-0.99,0.85,0.)); #530518=CARTESIAN_POINT('Origin',(-1.1125,0.81,0.51)); #530519=CARTESIAN_POINT('',(-1.1125,0.81,0.55)); #530520=CARTESIAN_POINT('',(-1.1125,0.85,0.51)); #530521=CARTESIAN_POINT('Origin',(-0.99,-0.425,0.51)); #530522=CARTESIAN_POINT('',(-0.99,-0.425,0.55)); #530523=CARTESIAN_POINT('',(-0.95,-0.425,0.51)); #530524=CARTESIAN_POINT('Origin',(-0.99,-0.81,0.)); #530525=CARTESIAN_POINT('',(-0.99,-0.85,0.)); #530526=CARTESIAN_POINT('',(-0.95,-0.81,0.)); #530527=CARTESIAN_POINT('Origin',(-1.56,0.425,0.51)); #530528=CARTESIAN_POINT('',(-1.56,0.425,0.55)); #530529=CARTESIAN_POINT('',(-1.6,0.425,0.51)); #530530=CARTESIAN_POINT('Origin',(-1.56,-0.81,0.)); #530531=CARTESIAN_POINT('',(-1.6,-0.81,0.)); #530532=CARTESIAN_POINT('',(-1.56,-0.85,0.)); #530533=CARTESIAN_POINT('Origin',(-1.4375,-0.81,0.51)); #530534=CARTESIAN_POINT('',(-1.4375,-0.81,0.55)); #530535=CARTESIAN_POINT('',(-1.4375,-0.85,0.51)); #530536=CARTESIAN_POINT('Origin',(-1.6,-0.85,0.)); #530537=CARTESIAN_POINT('Origin',(-1.275,0.,0.)); #530538=CARTESIAN_POINT('Origin',(-1.6,0.85,0.)); #530539=CARTESIAN_POINT('Origin',(-0.95,0.85,0.)); #530540=CARTESIAN_POINT('Origin',(-0.95,-0.85,0.)); #530541=CARTESIAN_POINT('Origin',(-1.275,0.,0.55)); #530542=CARTESIAN_POINT('Origin',(0.99,-0.81,0.51)); #530543=CARTESIAN_POINT('',(0.95,-0.81,0.51)); #530544=CARTESIAN_POINT('',(0.99,-0.81,0.55)); #530545=CARTESIAN_POINT('Origin',(0.99,-0.81,0.51)); #530546=CARTESIAN_POINT('',(0.99,-0.85,0.51)); #530547=CARTESIAN_POINT('Origin',(0.99,-0.81,0.51)); #530548=CARTESIAN_POINT('Origin',(0.99,-0.81,0.51)); #530549=CARTESIAN_POINT('Origin',(1.56,-0.81,0.51)); #530550=CARTESIAN_POINT('',(1.56,-0.85,0.51)); #530551=CARTESIAN_POINT('',(1.56,-0.81,0.55)); #530552=CARTESIAN_POINT('Origin',(1.56,-0.81,0.51)); #530553=CARTESIAN_POINT('',(1.6,-0.81,0.51)); #530554=CARTESIAN_POINT('Origin',(1.56,-0.81,0.51)); #530555=CARTESIAN_POINT('Origin',(1.56,-0.81,0.51)); #530556=CARTESIAN_POINT('Origin',(0.99,-0.81,0.04)); #530557=CARTESIAN_POINT('',(0.95,-0.81,0.04)); #530558=CARTESIAN_POINT('',(0.99,-0.85,0.04)); #530559=CARTESIAN_POINT('Origin',(0.99,-0.81,0.04)); #530560=CARTESIAN_POINT('',(0.99,-0.81,0.)); #530561=CARTESIAN_POINT('Origin',(0.99,-0.81,0.04)); #530562=CARTESIAN_POINT('Origin',(0.99,-0.81,0.04)); #530563=CARTESIAN_POINT('Origin',(0.99,0.81,0.51)); #530564=CARTESIAN_POINT('',(0.99,0.85,0.51)); #530565=CARTESIAN_POINT('',(0.99,0.81,0.55)); #530566=CARTESIAN_POINT('Origin',(0.99,0.81,0.51)); #530567=CARTESIAN_POINT('',(0.95,0.81,0.51)); #530568=CARTESIAN_POINT('Origin',(0.99,0.81,0.51)); #530569=CARTESIAN_POINT('Origin',(0.99,0.81,0.51)); #530570=CARTESIAN_POINT('Origin',(1.56,-0.81,0.04)); #530571=CARTESIAN_POINT('',(1.56,-0.85,0.04)); #530572=CARTESIAN_POINT('',(1.6,-0.81,0.04)); #530573=CARTESIAN_POINT('Origin',(1.56,-0.81,0.04)); #530574=CARTESIAN_POINT('',(1.56,-0.81,0.)); #530575=CARTESIAN_POINT('Origin',(1.56,-0.81,0.04)); #530576=CARTESIAN_POINT('Origin',(1.56,-0.81,0.04)); #530577=CARTESIAN_POINT('Origin',(1.1125,-0.81,0.04)); #530578=CARTESIAN_POINT('',(1.1125,-0.85,0.04)); #530579=CARTESIAN_POINT('',(1.1125,-0.81,0.)); #530580=CARTESIAN_POINT('Origin',(1.56,0.81,0.51)); #530581=CARTESIAN_POINT('',(1.6,0.81,0.51)); #530582=CARTESIAN_POINT('',(1.56,0.81,0.55)); #530583=CARTESIAN_POINT('Origin',(1.56,0.81,0.51)); #530584=CARTESIAN_POINT('',(1.56,0.85,0.51)); #530585=CARTESIAN_POINT('Origin',(1.56,0.81,0.51)); #530586=CARTESIAN_POINT('Origin',(1.56,0.81,0.51)); #530587=CARTESIAN_POINT('Origin',(0.99,0.81,0.04)); #530588=CARTESIAN_POINT('',(0.99,0.81,0.)); #530589=CARTESIAN_POINT('',(0.99,0.85,0.04)); #530590=CARTESIAN_POINT('Origin',(0.99,0.81,0.04)); #530591=CARTESIAN_POINT('',(0.95,0.81,0.04)); #530592=CARTESIAN_POINT('Origin',(0.99,0.81,0.04)); #530593=CARTESIAN_POINT('Origin',(0.99,0.81,0.04)); #530594=CARTESIAN_POINT('Origin',(0.99,0.425,0.04)); #530595=CARTESIAN_POINT('',(0.95,0.425,0.04)); #530596=CARTESIAN_POINT('',(0.99,0.425,0.)); #530597=CARTESIAN_POINT('Origin',(0.99,0.81,0.)); #530598=CARTESIAN_POINT('',(0.99,0.85,0.)); #530599=CARTESIAN_POINT('',(0.95,0.81,0.)); #530600=CARTESIAN_POINT('Origin',(1.56,0.81,0.04)); #530601=CARTESIAN_POINT('',(1.6,0.81,0.04)); #530602=CARTESIAN_POINT('',(1.56,0.85,0.04)); #530603=CARTESIAN_POINT('Origin',(1.56,0.81,0.04)); #530604=CARTESIAN_POINT('',(1.56,0.81,0.)); #530605=CARTESIAN_POINT('Origin',(1.56,0.81,0.04)); #530606=CARTESIAN_POINT('Origin',(1.56,0.81,0.04)); #530607=CARTESIAN_POINT('Origin',(1.56,-0.425,0.04)); #530608=CARTESIAN_POINT('',(1.6,-0.425,0.04)); #530609=CARTESIAN_POINT('',(1.56,-0.425,0.)); #530610=CARTESIAN_POINT('Origin',(1.4375,0.81,0.04)); #530611=CARTESIAN_POINT('',(1.4375,0.85,0.04)); #530612=CARTESIAN_POINT('',(1.4375,0.81,0.)); #530613=CARTESIAN_POINT('Origin',(1.56,0.81,0.)); #530614=CARTESIAN_POINT('',(1.6,0.81,0.)); #530615=CARTESIAN_POINT('',(1.56,0.85,0.)); #530616=CARTESIAN_POINT('Origin',(1.4375,0.81,0.51)); #530617=CARTESIAN_POINT('',(1.4375,0.81,0.55)); #530618=CARTESIAN_POINT('',(1.4375,0.85,0.51)); #530619=CARTESIAN_POINT('Origin',(1.56,-0.425,0.51)); #530620=CARTESIAN_POINT('',(1.56,-0.425,0.55)); #530621=CARTESIAN_POINT('',(1.6,-0.425,0.51)); #530622=CARTESIAN_POINT('Origin',(1.56,-0.81,0.)); #530623=CARTESIAN_POINT('',(1.56,-0.85,0.)); #530624=CARTESIAN_POINT('',(1.6,-0.81,0.)); #530625=CARTESIAN_POINT('Origin',(0.99,0.425,0.51)); #530626=CARTESIAN_POINT('',(0.99,0.425,0.55)); #530627=CARTESIAN_POINT('',(0.95,0.425,0.51)); #530628=CARTESIAN_POINT('Origin',(0.99,-0.81,0.)); #530629=CARTESIAN_POINT('',(0.95,-0.81,0.)); #530630=CARTESIAN_POINT('',(0.99,-0.85,0.)); #530631=CARTESIAN_POINT('Origin',(1.1125,-0.81,0.51)); #530632=CARTESIAN_POINT('',(1.1125,-0.81,0.55)); #530633=CARTESIAN_POINT('',(1.1125,-0.85,0.51)); #530634=CARTESIAN_POINT('Origin',(0.95,-0.85,0.)); #530635=CARTESIAN_POINT('Origin',(1.275,0.,0.)); #530636=CARTESIAN_POINT('Origin',(0.95,0.85,0.)); #530637=CARTESIAN_POINT('Origin',(1.6,0.85,0.)); #530638=CARTESIAN_POINT('Origin',(1.6,-0.85,0.)); #530639=CARTESIAN_POINT('Origin',(1.275,0.,0.55)); #530640=CARTESIAN_POINT('Origin',(0.95,-0.85,0.)); #530641=CARTESIAN_POINT('',(0.95,0.85,0.)); #530642=CARTESIAN_POINT('',(0.95,-0.85,0.)); #530643=CARTESIAN_POINT('',(0.95,0.85,0.)); #530644=CARTESIAN_POINT('',(0.95,0.85,0.55)); #530645=CARTESIAN_POINT('',(0.95,0.85,0.)); #530646=CARTESIAN_POINT('',(0.95,-0.85,0.55)); #530647=CARTESIAN_POINT('',(0.95,0.85,0.55)); #530648=CARTESIAN_POINT('',(0.95,-0.85,0.)); #530649=CARTESIAN_POINT('Origin',(-0.95,-0.85,0.)); #530650=CARTESIAN_POINT('',(-0.95,-0.85,0.)); #530651=CARTESIAN_POINT('',(-1.6,-0.85,0.)); #530652=CARTESIAN_POINT('',(-0.95,-0.85,0.55)); #530653=CARTESIAN_POINT('',(-1.6,-0.85,0.55)); #530654=CARTESIAN_POINT('',(-0.95,-0.85,0.)); #530655=CARTESIAN_POINT('Origin',(-0.95,0.85,0.)); #530656=CARTESIAN_POINT('',(-0.95,0.85,0.)); #530657=CARTESIAN_POINT('',(-0.95,0.85,0.)); #530658=CARTESIAN_POINT('',(-0.95,0.85,0.55)); #530659=CARTESIAN_POINT('',(-0.95,0.85,0.55)); #530660=CARTESIAN_POINT('',(-0.95,0.85,0.)); #530661=CARTESIAN_POINT('Origin',(0.95,0.85,0.)); #530662=CARTESIAN_POINT('',(1.6,0.85,0.)); #530663=CARTESIAN_POINT('',(1.6,0.85,0.55)); #530664=CARTESIAN_POINT('Origin',(0.,0.,0.55)); #530665=CARTESIAN_POINT('Origin',(0.,0.,0.)); #530666=CARTESIAN_POINT('',(0.,0.,0.)); #530667=CARTESIAN_POINT('',(0.,0.,0.)); #530668=CARTESIAN_POINT('',(0.,0.,0.)); #530669=CARTESIAN_POINT('Origin',(-1.4225,0.475,0.9)); #530670=CARTESIAN_POINT('',(-1.395,-0.895,1.1)); #530671=CARTESIAN_POINT('',(-1.395,0.895,1.1)); #530672=CARTESIAN_POINT('',(-1.395,0.475,1.1)); #530673=CARTESIAN_POINT('',(-1.45,0.95,0.7)); #530674=CARTESIAN_POINT('',(-1.395,0.895,1.1)); #530675=CARTESIAN_POINT('',(-1.45,-0.95,0.7)); #530676=CARTESIAN_POINT('',(-1.45,0.475,0.7)); #530677=CARTESIAN_POINT('',(-1.395,-0.895,1.1)); #530678=CARTESIAN_POINT('Origin',(0.725,0.9225,0.9)); #530679=CARTESIAN_POINT('',(1.395,0.895,1.1)); #530680=CARTESIAN_POINT('',(0.725,0.895,1.1)); #530681=CARTESIAN_POINT('',(1.45,0.95,0.7)); #530682=CARTESIAN_POINT('',(1.395,0.895,1.1)); #530683=CARTESIAN_POINT('',(0.725,0.95,0.7)); #530684=CARTESIAN_POINT('Origin',(1.4225,-0.475,0.9)); #530685=CARTESIAN_POINT('',(1.395,-0.895,1.1)); #530686=CARTESIAN_POINT('',(1.395,-0.475,1.1)); #530687=CARTESIAN_POINT('',(1.45,-0.95,0.7)); #530688=CARTESIAN_POINT('',(1.395,-0.895,1.1)); #530689=CARTESIAN_POINT('',(1.45,-0.475,0.7)); #530690=CARTESIAN_POINT('Origin',(-0.725,-0.9225,0.9)); #530691=CARTESIAN_POINT('',(-0.725,-0.95,0.7)); #530692=CARTESIAN_POINT('',(-0.725,-0.895,1.1)); #530693=CARTESIAN_POINT('Origin',(-1.45,-0.95,0.15)); #530694=CARTESIAN_POINT('',(-1.45,-0.95,0.15)); #530695=CARTESIAN_POINT('',(-1.45,-0.95,0.15)); #530696=CARTESIAN_POINT('',(1.45,-0.95,0.15)); #530697=CARTESIAN_POINT('',(-1.45,-0.95,0.15)); #530698=CARTESIAN_POINT('',(1.45,-0.95,0.15)); #530699=CARTESIAN_POINT('Origin',(-1.45,0.95,0.15)); #530700=CARTESIAN_POINT('',(-1.45,0.95,0.15)); #530701=CARTESIAN_POINT('',(-1.45,0.95,0.15)); #530702=CARTESIAN_POINT('',(-1.45,0.95,0.15)); #530703=CARTESIAN_POINT('Origin',(0.,0.,1.1)); #530704=CARTESIAN_POINT('Origin',(1.45,0.95,0.15)); #530705=CARTESIAN_POINT('',(1.45,0.95,0.15)); #530706=CARTESIAN_POINT('',(1.45,0.95,0.15)); #530707=CARTESIAN_POINT('',(1.45,0.95,0.15)); #530708=CARTESIAN_POINT('Origin',(1.45,-0.95,0.15)); #530709=CARTESIAN_POINT('',(1.45,-0.95,0.15)); #530710=CARTESIAN_POINT('Origin',(0.,0.,0.15)); #530711=CARTESIAN_POINT('Origin',(1.45,-0.55,0.)); #530712=CARTESIAN_POINT('',(1.45,0.55,0.)); #530713=CARTESIAN_POINT('',(1.45,-0.55,0.)); #530714=CARTESIAN_POINT('',(1.45,0.,0.)); #530715=CARTESIAN_POINT('',(1.45,0.55,0.15)); #530716=CARTESIAN_POINT('',(1.45,0.55,0.)); #530717=CARTESIAN_POINT('',(1.45,-0.55,0.15)); #530718=CARTESIAN_POINT('',(1.45,0.,0.15)); #530719=CARTESIAN_POINT('',(1.45,-0.55,0.)); #530720=CARTESIAN_POINT('Origin',(0.7,-0.55,0.)); #530721=CARTESIAN_POINT('',(0.7,-0.55,0.)); #530722=CARTESIAN_POINT('',(0.7,-0.55,0.)); #530723=CARTESIAN_POINT('',(0.7,-0.55,0.15)); #530724=CARTESIAN_POINT('',(0.7,-0.55,0.15)); #530725=CARTESIAN_POINT('',(0.7,-0.55,0.)); #530726=CARTESIAN_POINT('Origin',(0.7,0.55,0.)); #530727=CARTESIAN_POINT('',(0.7,0.55,0.)); #530728=CARTESIAN_POINT('',(0.7,0.55,0.)); #530729=CARTESIAN_POINT('',(0.7,0.55,0.15)); #530730=CARTESIAN_POINT('',(0.7,0.55,0.15)); #530731=CARTESIAN_POINT('',(0.7,0.55,0.)); #530732=CARTESIAN_POINT('Origin',(1.45,0.55,0.)); #530733=CARTESIAN_POINT('',(1.6,0.55,0.)); #530734=CARTESIAN_POINT('',(1.6,0.55,0.15)); #530735=CARTESIAN_POINT('Origin',(1.075,0.,0.15)); #530736=CARTESIAN_POINT('Origin',(1.075,0.,0.)); #530737=CARTESIAN_POINT('Origin',(1.6,0.55,0.)); #530738=CARTESIAN_POINT('',(1.6,0.55,0.)); #530739=CARTESIAN_POINT('',(1.45,0.55,0.)); #530740=CARTESIAN_POINT('',(1.6,0.55,0.)); #530741=CARTESIAN_POINT('',(1.45,0.55,0.7)); #530742=CARTESIAN_POINT('',(1.45,0.55,0.)); #530743=CARTESIAN_POINT('',(1.6,0.55,0.7)); #530744=CARTESIAN_POINT('',(1.6,0.55,0.7)); #530745=CARTESIAN_POINT('',(1.6,0.55,0.)); #530746=CARTESIAN_POINT('Origin',(1.6,-0.55,0.)); #530747=CARTESIAN_POINT('',(1.6,-0.55,0.)); #530748=CARTESIAN_POINT('',(1.6,-0.55,0.)); #530749=CARTESIAN_POINT('',(1.6,-0.55,0.7)); #530750=CARTESIAN_POINT('',(1.6,-0.55,0.7)); #530751=CARTESIAN_POINT('',(1.6,-0.55,0.)); #530752=CARTESIAN_POINT('Origin',(1.45,-0.55,0.)); #530753=CARTESIAN_POINT('',(1.45,-0.55,0.)); #530754=CARTESIAN_POINT('',(0.7,-0.55,0.)); #530755=CARTESIAN_POINT('',(1.45,-0.55,0.7)); #530756=CARTESIAN_POINT('',(0.7,-0.55,0.7)); #530757=CARTESIAN_POINT('',(1.45,-0.55,0.)); #530758=CARTESIAN_POINT('Origin',(1.45,0.55,0.)); #530759=CARTESIAN_POINT('',(1.45,0.,0.)); #530760=CARTESIAN_POINT('',(1.45,0.,0.7)); #530761=CARTESIAN_POINT('Origin',(1.525,0.,0.7)); #530762=CARTESIAN_POINT('Origin',(1.525,0.,0.)); #530763=CARTESIAN_POINT('Origin',(-0.7,0.55,0.)); #530764=CARTESIAN_POINT('',(-1.45,0.55,0.)); #530765=CARTESIAN_POINT('',(-0.7,0.55,0.)); #530766=CARTESIAN_POINT('',(-1.6,0.55,0.)); #530767=CARTESIAN_POINT('',(-1.45,0.55,0.15)); #530768=CARTESIAN_POINT('',(-1.45,0.55,0.)); #530769=CARTESIAN_POINT('',(-0.7,0.55,0.15)); #530770=CARTESIAN_POINT('',(-1.6,0.55,0.15)); #530771=CARTESIAN_POINT('',(-0.7,0.55,0.)); #530772=CARTESIAN_POINT('Origin',(-0.7,-0.55,0.)); #530773=CARTESIAN_POINT('',(-0.7,-0.55,0.)); #530774=CARTESIAN_POINT('',(-0.7,0.55,0.)); #530775=CARTESIAN_POINT('',(-0.7,-0.55,0.15)); #530776=CARTESIAN_POINT('',(-0.7,0.55,0.15)); #530777=CARTESIAN_POINT('',(-0.7,-0.55,0.)); #530778=CARTESIAN_POINT('Origin',(-1.45,-0.55,0.)); #530779=CARTESIAN_POINT('',(-1.45,-0.55,0.)); #530780=CARTESIAN_POINT('',(-0.7,-0.55,0.)); #530781=CARTESIAN_POINT('',(-1.45,-0.55,0.15)); #530782=CARTESIAN_POINT('',(-0.7,-0.55,0.15)); #530783=CARTESIAN_POINT('',(-1.45,-0.55,0.)); #530784=CARTESIAN_POINT('Origin',(-1.45,0.55,0.)); #530785=CARTESIAN_POINT('',(-1.45,0.,0.)); #530786=CARTESIAN_POINT('',(-1.45,0.,0.15)); #530787=CARTESIAN_POINT('Origin',(-1.075,0.,0.15)); #530788=CARTESIAN_POINT('Origin',(-1.075,0.,0.)); #530789=CARTESIAN_POINT('Origin',(-1.6,-0.55,0.)); #530790=CARTESIAN_POINT('',(-1.45,-0.55,0.)); #530791=CARTESIAN_POINT('',(-1.6,-0.55,0.)); #530792=CARTESIAN_POINT('',(-0.7,-0.55,0.)); #530793=CARTESIAN_POINT('',(-1.45,-0.55,0.7)); #530794=CARTESIAN_POINT('',(-1.45,-0.55,0.)); #530795=CARTESIAN_POINT('',(-1.6,-0.55,0.7)); #530796=CARTESIAN_POINT('',(-0.7,-0.55,0.7)); #530797=CARTESIAN_POINT('',(-1.6,-0.55,0.)); #530798=CARTESIAN_POINT('Origin',(-1.6,0.55,0.)); #530799=CARTESIAN_POINT('',(-1.6,0.55,0.)); #530800=CARTESIAN_POINT('',(-1.6,-0.55,0.)); #530801=CARTESIAN_POINT('',(-1.6,0.55,0.7)); #530802=CARTESIAN_POINT('',(-1.6,-0.55,0.7)); #530803=CARTESIAN_POINT('',(-1.6,0.55,0.)); #530804=CARTESIAN_POINT('Origin',(-1.45,0.55,0.)); #530805=CARTESIAN_POINT('',(-1.45,0.55,0.)); #530806=CARTESIAN_POINT('',(-1.6,0.55,0.)); #530807=CARTESIAN_POINT('',(-1.45,0.55,0.7)); #530808=CARTESIAN_POINT('',(-1.6,0.55,0.7)); #530809=CARTESIAN_POINT('',(-1.45,0.55,0.)); #530810=CARTESIAN_POINT('Origin',(-1.45,-0.55,0.)); #530811=CARTESIAN_POINT('',(-1.45,0.,0.)); #530812=CARTESIAN_POINT('',(-1.45,0.,0.7)); #530813=CARTESIAN_POINT('Origin',(-1.525,0.,0.7)); #530814=CARTESIAN_POINT('Origin',(-1.525,0.,0.)); #530815=CARTESIAN_POINT('Origin',(-0.105,-0.895,1.1)); #530816=CARTESIAN_POINT('',(-0.105,0.895,1.1)); #530817=CARTESIAN_POINT('',(-0.105,-0.895,1.1)); #530818=CARTESIAN_POINT('',(-0.105,0.,1.1)); #530819=CARTESIAN_POINT('',(-0.105,0.895,1.102)); #530820=CARTESIAN_POINT('',(-0.105,0.895,1.1)); #530821=CARTESIAN_POINT('',(-0.105,-0.895,1.102)); #530822=CARTESIAN_POINT('',(-0.105,0.,1.102)); #530823=CARTESIAN_POINT('',(-0.105,-0.895,1.1)); #530824=CARTESIAN_POINT('Origin',(-1.39499999999999,-0.895000000000007, 1.1)); #530825=CARTESIAN_POINT('',(-1.39499999999999,-0.895000000000007,1.1)); #530826=CARTESIAN_POINT('',(-1.39499999999999,-0.895000000000007,1.1)); #530827=CARTESIAN_POINT('',(-1.39499999999999,-0.895000000000007,1.102)); #530828=CARTESIAN_POINT('',(-1.39499999999999,-0.895000000000007,1.102)); #530829=CARTESIAN_POINT('',(-1.39499999999999,-0.895000000000007,1.1)); #530830=CARTESIAN_POINT('Origin',(-1.39499999999999,0.894999999999993,1.1)); #530831=CARTESIAN_POINT('',(-1.39499999999999,0.894999999999993,1.1)); #530832=CARTESIAN_POINT('',(-1.39499999999999,0.894999999999993,1.1)); #530833=CARTESIAN_POINT('',(-1.39499999999999,0.894999999999993,1.102)); #530834=CARTESIAN_POINT('',(-1.39499999999999,0.894999999999993,1.102)); #530835=CARTESIAN_POINT('',(-1.39499999999999,0.894999999999993,1.1)); #530836=CARTESIAN_POINT('Origin',(-0.105,0.894999999999993,1.1)); #530837=CARTESIAN_POINT('',(1.39500000000001,0.894999999999993,1.1)); #530838=CARTESIAN_POINT('',(1.39500000000001,0.894999999999993,1.102)); #530839=CARTESIAN_POINT('Origin',(-0.749999999999996,-3.60822483003176E-15, 1.102)); #530840=CARTESIAN_POINT('Origin',(-0.749999999999996,-3.60822483003176E-15, 1.1)); #530841=CARTESIAN_POINT('',(0.,0.,0.)); #530842=CARTESIAN_POINT('',(0.,0.,0.)); #530843=CARTESIAN_POINT('',(0.,0.,0.)); #530844=CARTESIAN_POINT('Origin',(-1.421,1.951,1.75)); #530845=CARTESIAN_POINT('',(-1.6205,1.951,1.75)); #530846=CARTESIAN_POINT('Origin',(-1.421,1.951,1.75)); #530847=CARTESIAN_POINT('',(-1.6205,1.951,1.575)); #530848=CARTESIAN_POINT('',(-1.6205,1.951,1.75)); #530849=CARTESIAN_POINT('Origin',(-1.421,1.951,1.575)); #530850=CARTESIAN_POINT('Origin',(-1.421,1.951,1.575)); #530851=CARTESIAN_POINT('Origin',(-1.85,1.2625,1.3875)); #530852=CARTESIAN_POINT('',(-1.705,-2.235,1.75)); #530853=CARTESIAN_POINT('',(-1.705,2.235,1.75)); #530854=CARTESIAN_POINT('',(-1.705,1.2625,1.75)); #530855=CARTESIAN_POINT('',(-1.995,2.525,1.025)); #530856=CARTESIAN_POINT('',(-1.705,2.235,1.75)); #530857=CARTESIAN_POINT('',(-1.995,-2.525,1.025)); #530858=CARTESIAN_POINT('',(-1.995,1.2625,1.025)); #530859=CARTESIAN_POINT('',(-1.705,-2.235,1.75)); #530860=CARTESIAN_POINT('Origin',(0.9975,2.38,1.3875)); #530861=CARTESIAN_POINT('',(1.705,2.235,1.75)); #530862=CARTESIAN_POINT('',(0.9975,2.235,1.75)); #530863=CARTESIAN_POINT('',(1.995,2.525,1.025)); #530864=CARTESIAN_POINT('',(1.705,2.235,1.75)); #530865=CARTESIAN_POINT('',(0.9975,2.525,1.025)); #530866=CARTESIAN_POINT('Origin',(1.85,-1.2625,1.3875)); #530867=CARTESIAN_POINT('',(1.705,-2.235,1.75)); #530868=CARTESIAN_POINT('',(1.705,-1.2625,1.75)); #530869=CARTESIAN_POINT('',(1.995,-2.525,1.025)); #530870=CARTESIAN_POINT('',(1.705,-2.235,1.75)); #530871=CARTESIAN_POINT('',(1.995,-1.2625,1.025)); #530872=CARTESIAN_POINT('Origin',(-0.9975,-2.38,1.3875)); #530873=CARTESIAN_POINT('',(-0.9975,-2.525,1.025)); #530874=CARTESIAN_POINT('',(-0.9975,-2.235,1.75)); #530875=CARTESIAN_POINT('Origin',(-1.995,-2.525,0.1)); #530876=CARTESIAN_POINT('',(-1.995,-2.525,0.825)); #530877=CARTESIAN_POINT('',(-1.995,-2.525,0.1)); #530878=CARTESIAN_POINT('',(1.995,-2.525,0.825)); #530879=CARTESIAN_POINT('',(-0.9975,-2.525,0.825)); #530880=CARTESIAN_POINT('',(1.995,-2.525,0.1)); #530881=CARTESIAN_POINT('Origin',(-1.995,2.525,0.1)); #530882=CARTESIAN_POINT('',(-1.995,2.525,0.825)); #530883=CARTESIAN_POINT('',(-1.995,2.525,0.1)); #530884=CARTESIAN_POINT('',(-1.995,1.2625,0.825)); #530885=CARTESIAN_POINT('Origin',(0.,0.,1.75)); #530886=CARTESIAN_POINT('Origin',(1.85,-1.2625,0.4625)); #530887=CARTESIAN_POINT('',(1.995,2.525,0.825)); #530888=CARTESIAN_POINT('',(1.995,-1.2625,0.825)); #530889=CARTESIAN_POINT('',(1.705,-2.235,0.1)); #530890=CARTESIAN_POINT('',(1.995,-2.525,0.825)); #530891=CARTESIAN_POINT('',(1.705,2.235,0.1)); #530892=CARTESIAN_POINT('',(1.705,-1.2625,0.1)); #530893=CARTESIAN_POINT('',(1.705,2.235,0.1)); #530894=CARTESIAN_POINT('Origin',(-0.9975,-2.38,0.4625)); #530895=CARTESIAN_POINT('',(-1.705,-2.235,0.1)); #530896=CARTESIAN_POINT('',(-0.9975,-2.235,0.1)); #530897=CARTESIAN_POINT('',(-1.705,-2.235,0.1)); #530898=CARTESIAN_POINT('Origin',(0.9975,2.38,0.4625)); #530899=CARTESIAN_POINT('',(0.9975,2.525,0.825)); #530900=CARTESIAN_POINT('',(-1.705,2.235,0.1)); #530901=CARTESIAN_POINT('',(0.9975,2.235,0.1)); #530902=CARTESIAN_POINT('',(-1.705,2.235,0.1)); #530903=CARTESIAN_POINT('Origin',(-1.85,1.2625,0.4625)); #530904=CARTESIAN_POINT('',(-1.705,1.2625,0.1)); #530905=CARTESIAN_POINT('Origin',(1.995,-2.525,0.1)); #530906=CARTESIAN_POINT('',(1.995,2.525,0.1)); #530907=CARTESIAN_POINT('Origin',(0.,0.,0.1)); #530908=CARTESIAN_POINT('Origin',(1.995,2.525,0.1)); #530909=CARTESIAN_POINT('Origin',(-2.5475,1.65,0.5125)); #530910=CARTESIAN_POINT('',(-2.465,1.65,-1.04083408558608E-16)); #530911=CARTESIAN_POINT('',(-2.26887159177804,1.65,0.160838188393282)); #530912=CARTESIAN_POINT('Origin',(-2.465,1.65,0.200000000000001)); #530913=CARTESIAN_POINT('',(-2.14428436552253,1.65,0.784790282736416)); #530914=CARTESIAN_POINT('',(-2.26887159177804,1.65,0.160838188393282)); #530915=CARTESIAN_POINT('',(-2.09525234833659,1.65,0.824999829833981)); #530916=CARTESIAN_POINT('Origin',(-2.09525226346787,1.65,0.774999829834903)); #530917=CARTESIAN_POINT('',(-1.995,1.65,0.825)); #530918=CARTESIAN_POINT('',(-2.09525234833659,1.65,0.824999829833981)); #530919=CARTESIAN_POINT('',(-1.99500033947489,1.65,1.025)); #530920=CARTESIAN_POINT('',(-1.995,1.65,0.825)); #530921=CARTESIAN_POINT('',(-2.14815615444089,1.65,1.02499973984031)); #530922=CARTESIAN_POINT('',(-1.99500033947489,1.65,1.025)); #530923=CARTESIAN_POINT('',(-2.34428421365623,1.65,0.864161599183795)); #530924=CARTESIAN_POINT('Origin',(-2.148155814966,1.65,0.824999739840563)); #530925=CARTESIAN_POINT('',(-2.46887159177804,1.65,0.240209535164483)); #530926=CARTESIAN_POINT('',(-2.34428421365623,1.65,0.864161599183795)); #530927=CARTESIAN_POINT('',(-2.51790369145059,1.65,0.200000000000288)); #530928=CARTESIAN_POINT('Origin',(-2.51790369145059,1.65,0.25000000000029)); #530929=CARTESIAN_POINT('',(-3.1,1.65,0.2)); #530930=CARTESIAN_POINT('',(-2.51790369145059,1.65,0.200000000000288)); #530931=CARTESIAN_POINT('',(-3.1,1.65,0.)); #530932=CARTESIAN_POINT('',(-3.1,1.65,0.2)); #530933=CARTESIAN_POINT('',(-3.1,1.65,0.)); #530934=CARTESIAN_POINT('Origin',(-2.14428436552253,1.905,0.784790282736416)); #530935=CARTESIAN_POINT('',(-2.26887159177804,2.16,0.160838188393282)); #530936=CARTESIAN_POINT('',(-2.26887159177804,1.905,0.160838188393282)); #530937=CARTESIAN_POINT('',(-2.14428436552253,2.16,0.784790282736416)); #530938=CARTESIAN_POINT('',(-2.26887159177804,2.16,0.160838188393282)); #530939=CARTESIAN_POINT('',(-2.14428436552253,1.905,0.784790282736416)); #530940=CARTESIAN_POINT('Origin',(-2.09525226346787,1.905,0.774999829834903)); #530941=CARTESIAN_POINT('',(-2.09525234833659,2.16,0.824999829833981)); #530942=CARTESIAN_POINT('Origin',(-2.09525226346787,2.16,0.774999829834903)); #530943=CARTESIAN_POINT('',(-2.09525234833659,1.905,0.824999829833981)); #530944=CARTESIAN_POINT('Origin',(-1.995,1.905,0.825)); #530945=CARTESIAN_POINT('',(-1.995,2.16,0.825)); #530946=CARTESIAN_POINT('',(-2.09525234833659,2.16,0.824999829833981)); #530947=CARTESIAN_POINT('',(-1.995,1.905,0.825)); #530948=CARTESIAN_POINT('Origin',(-1.99500033947489,1.905,1.025)); #530949=CARTESIAN_POINT('',(-1.99500033947489,2.16,1.025)); #530950=CARTESIAN_POINT('',(-1.995,2.16,0.825)); #530951=CARTESIAN_POINT('',(-1.99500033947489,1.905,1.025)); #530952=CARTESIAN_POINT('Origin',(-2.14815615444089,1.905,1.02499974003724)); #530953=CARTESIAN_POINT('',(-2.14815615444089,2.16,1.02499973984031)); #530954=CARTESIAN_POINT('',(-1.99500033947489,2.16,1.025)); #530955=CARTESIAN_POINT('',(-2.14815615444089,1.905,1.02499973984031)); #530956=CARTESIAN_POINT('Origin',(-2.148155814966,1.905,0.824999739840563)); #530957=CARTESIAN_POINT('',(-2.34428421365623,2.16,0.864161599183795)); #530958=CARTESIAN_POINT('Origin',(-2.148155814966,2.16,0.824999739840563)); #530959=CARTESIAN_POINT('',(-2.34428421365623,1.905,0.864161599183795)); #530960=CARTESIAN_POINT('Origin',(-2.46887159177804,1.905,0.240209535164483)); #530961=CARTESIAN_POINT('',(-2.46887159177804,2.16,0.240209535164483)); #530962=CARTESIAN_POINT('',(-2.34428421365623,2.16,0.864161599183795)); #530963=CARTESIAN_POINT('',(-2.46887159177804,1.905,0.240209535164483)); #530964=CARTESIAN_POINT('Origin',(-2.51790369145059,1.905,0.25000000000029)); #530965=CARTESIAN_POINT('',(-2.51790369145059,2.16,0.200000000000288)); #530966=CARTESIAN_POINT('Origin',(-2.51790369145059,2.16,0.25000000000029)); #530967=CARTESIAN_POINT('',(-2.51790369145059,1.905,0.200000000000288)); #530968=CARTESIAN_POINT('Origin',(-3.1,1.905,0.2)); #530969=CARTESIAN_POINT('',(-3.1,2.16,0.2)); #530970=CARTESIAN_POINT('',(-2.51790369145059,2.16,0.200000000000288)); #530971=CARTESIAN_POINT('',(-3.1,1.905,0.2)); #530972=CARTESIAN_POINT('Origin',(-3.1,1.905,0.)); #530973=CARTESIAN_POINT('',(-3.1,2.16,0.)); #530974=CARTESIAN_POINT('',(-3.1,2.16,0.2)); #530975=CARTESIAN_POINT('',(-3.1,1.905,0.)); #530976=CARTESIAN_POINT('Origin',(-2.465,1.905,0.)); #530977=CARTESIAN_POINT('',(-2.465,2.16,-1.04083408558608E-16)); #530978=CARTESIAN_POINT('',(-3.1,2.16,0.)); #530979=CARTESIAN_POINT('',(-2.465,1.905,-1.04083408558608E-16)); #530980=CARTESIAN_POINT('Origin',(-2.465,1.905,0.200000000000001)); #530981=CARTESIAN_POINT('Origin',(-2.465,2.16,0.200000000000001)); #530982=CARTESIAN_POINT('Origin',(-2.5475,2.16,0.5125)); #530983=CARTESIAN_POINT('Origin',(2.5475,1.65,0.5125)); #530984=CARTESIAN_POINT('',(2.465,1.65,-1.04083408558608E-16)); #530985=CARTESIAN_POINT('',(2.26887159177804,1.65,0.160838188393282)); #530986=CARTESIAN_POINT('Origin',(2.465,1.65,0.200000000000001)); #530987=CARTESIAN_POINT('',(3.1,1.65,0.)); #530988=CARTESIAN_POINT('',(3.1,1.65,0.)); #530989=CARTESIAN_POINT('',(3.1,1.65,0.2)); #530990=CARTESIAN_POINT('',(3.1,1.65,0.2)); #530991=CARTESIAN_POINT('',(2.51790369145059,1.65,0.200000000000288)); #530992=CARTESIAN_POINT('',(2.51790369145059,1.65,0.200000000000288)); #530993=CARTESIAN_POINT('',(2.46887159177804,1.65,0.240209535164483)); #530994=CARTESIAN_POINT('Origin',(2.51790369145059,1.65,0.25000000000029)); #530995=CARTESIAN_POINT('',(2.34428421365623,1.65,0.864161599183795)); #530996=CARTESIAN_POINT('',(2.34428421365623,1.65,0.864161599183795)); #530997=CARTESIAN_POINT('',(2.14815615444089,1.65,1.02499973984031)); #530998=CARTESIAN_POINT('Origin',(2.148155814966,1.65,0.824999739840563)); #530999=CARTESIAN_POINT('',(1.99500033947489,1.65,1.025)); #531000=CARTESIAN_POINT('',(1.99500033947489,1.65,1.025)); #531001=CARTESIAN_POINT('',(1.995,1.65,0.825)); #531002=CARTESIAN_POINT('',(1.995,1.65,0.825)); #531003=CARTESIAN_POINT('',(2.09525234833659,1.65,0.824999829833981)); #531004=CARTESIAN_POINT('',(2.09525234833659,1.65,0.824999829833981)); #531005=CARTESIAN_POINT('',(2.14428436552253,1.65,0.784790282736416)); #531006=CARTESIAN_POINT('Origin',(2.09525226346787,1.65,0.774999829834903)); #531007=CARTESIAN_POINT('',(2.26887159177804,1.65,0.160838188393282)); #531008=CARTESIAN_POINT('Origin',(2.14428436552253,1.905,0.784790282736416)); #531009=CARTESIAN_POINT('',(2.26887159177804,2.16,0.160838188393282)); #531010=CARTESIAN_POINT('',(2.26887159177804,1.905,0.160838188393282)); #531011=CARTESIAN_POINT('',(2.14428436552253,2.16,0.784790282736416)); #531012=CARTESIAN_POINT('',(2.14428436552253,1.905,0.784790282736416)); #531013=CARTESIAN_POINT('',(2.26887159177804,2.16,0.160838188393282)); #531014=CARTESIAN_POINT('Origin',(2.09525226346787,1.905,0.774999829834903)); #531015=CARTESIAN_POINT('',(2.09525234833659,2.16,0.824999829833981)); #531016=CARTESIAN_POINT('',(2.09525234833659,1.905,0.824999829833981)); #531017=CARTESIAN_POINT('Origin',(2.09525226346787,2.16,0.774999829834903)); #531018=CARTESIAN_POINT('Origin',(1.995,1.905,0.825)); #531019=CARTESIAN_POINT('',(1.995,2.16,0.825)); #531020=CARTESIAN_POINT('',(1.995,1.905,0.825)); #531021=CARTESIAN_POINT('',(2.09525234833659,2.16,0.824999829833981)); #531022=CARTESIAN_POINT('Origin',(1.99500033947489,1.905,1.025)); #531023=CARTESIAN_POINT('',(1.99500033947489,2.16,1.025)); #531024=CARTESIAN_POINT('',(1.99500033947489,1.905,1.025)); #531025=CARTESIAN_POINT('',(1.995,2.16,0.825)); #531026=CARTESIAN_POINT('Origin',(2.14815615444089,1.905,1.02499974003724)); #531027=CARTESIAN_POINT('',(2.14815615444089,2.16,1.02499973984031)); #531028=CARTESIAN_POINT('',(2.14815615444089,1.905,1.02499973984031)); #531029=CARTESIAN_POINT('',(1.99500033947489,2.16,1.025)); #531030=CARTESIAN_POINT('Origin',(2.148155814966,1.905,0.824999739840563)); #531031=CARTESIAN_POINT('',(2.34428421365623,2.16,0.864161599183795)); #531032=CARTESIAN_POINT('',(2.34428421365623,1.905,0.864161599183795)); #531033=CARTESIAN_POINT('Origin',(2.148155814966,2.16,0.824999739840563)); #531034=CARTESIAN_POINT('Origin',(2.46887159177804,1.905,0.240209535164483)); #531035=CARTESIAN_POINT('',(2.46887159177804,2.16,0.240209535164483)); #531036=CARTESIAN_POINT('',(2.46887159177804,1.905,0.240209535164483)); #531037=CARTESIAN_POINT('',(2.34428421365623,2.16,0.864161599183795)); #531038=CARTESIAN_POINT('Origin',(2.51790369145059,1.905,0.25000000000029)); #531039=CARTESIAN_POINT('',(2.51790369145059,2.16,0.200000000000288)); #531040=CARTESIAN_POINT('',(2.51790369145059,1.905,0.200000000000288)); #531041=CARTESIAN_POINT('Origin',(2.51790369145059,2.16,0.25000000000029)); #531042=CARTESIAN_POINT('Origin',(3.1,1.905,0.2)); #531043=CARTESIAN_POINT('',(3.1,2.16,0.2)); #531044=CARTESIAN_POINT('',(3.1,1.905,0.2)); #531045=CARTESIAN_POINT('',(2.51790369145059,2.16,0.200000000000288)); #531046=CARTESIAN_POINT('Origin',(3.1,1.905,0.)); #531047=CARTESIAN_POINT('',(3.1,2.16,0.)); #531048=CARTESIAN_POINT('',(3.1,1.905,0.)); #531049=CARTESIAN_POINT('',(3.1,2.16,0.2)); #531050=CARTESIAN_POINT('Origin',(2.465,1.905,0.)); #531051=CARTESIAN_POINT('',(2.465,2.16,-1.04083408558608E-16)); #531052=CARTESIAN_POINT('',(2.465,1.905,-1.04083408558608E-16)); #531053=CARTESIAN_POINT('',(3.1,2.16,0.)); #531054=CARTESIAN_POINT('Origin',(2.465,1.905,0.200000000000001)); #531055=CARTESIAN_POINT('Origin',(2.465,2.16,0.200000000000001)); #531056=CARTESIAN_POINT('Origin',(2.5475,2.16,0.5125)); #531057=CARTESIAN_POINT('Origin',(-2.5475,0.38,0.5125)); #531058=CARTESIAN_POINT('',(-2.465,0.38,-1.04083408558608E-16)); #531059=CARTESIAN_POINT('',(-2.26887159177804,0.38,0.160838188393282)); #531060=CARTESIAN_POINT('Origin',(-2.465,0.38,0.200000000000001)); #531061=CARTESIAN_POINT('',(-2.14428436552253,0.38,0.784790282736416)); #531062=CARTESIAN_POINT('',(-2.26887159177804,0.38,0.160838188393282)); #531063=CARTESIAN_POINT('',(-2.09525234833659,0.38,0.824999829833981)); #531064=CARTESIAN_POINT('Origin',(-2.09525226346787,0.38,0.774999829834903)); #531065=CARTESIAN_POINT('',(-1.995,0.38,0.825)); #531066=CARTESIAN_POINT('',(-2.09525234833659,0.38,0.824999829833981)); #531067=CARTESIAN_POINT('',(-1.99500033947489,0.38,1.025)); #531068=CARTESIAN_POINT('',(-1.995,0.38,0.825)); #531069=CARTESIAN_POINT('',(-2.14815615444089,0.38,1.02499973984031)); #531070=CARTESIAN_POINT('',(-1.99500033947489,0.38,1.025)); #531071=CARTESIAN_POINT('',(-2.34428421365623,0.38,0.864161599183795)); #531072=CARTESIAN_POINT('Origin',(-2.148155814966,0.38,0.824999739840563)); #531073=CARTESIAN_POINT('',(-2.46887159177804,0.38,0.240209535164483)); #531074=CARTESIAN_POINT('',(-2.34428421365623,0.38,0.864161599183795)); #531075=CARTESIAN_POINT('',(-2.51790369145059,0.38,0.200000000000288)); #531076=CARTESIAN_POINT('Origin',(-2.51790369145059,0.38,0.25000000000029)); #531077=CARTESIAN_POINT('',(-3.1,0.38,0.2)); #531078=CARTESIAN_POINT('',(-2.51790369145059,0.38,0.200000000000288)); #531079=CARTESIAN_POINT('',(-3.1,0.38,0.)); #531080=CARTESIAN_POINT('',(-3.1,0.38,0.2)); #531081=CARTESIAN_POINT('',(-3.1,0.38,0.)); #531082=CARTESIAN_POINT('Origin',(-2.14428436552253,0.635,0.784790282736416)); #531083=CARTESIAN_POINT('',(-2.26887159177804,0.89,0.160838188393282)); #531084=CARTESIAN_POINT('',(-2.26887159177804,0.635,0.160838188393282)); #531085=CARTESIAN_POINT('',(-2.14428436552253,0.89,0.784790282736416)); #531086=CARTESIAN_POINT('',(-2.26887159177804,0.89,0.160838188393282)); #531087=CARTESIAN_POINT('',(-2.14428436552253,0.635,0.784790282736416)); #531088=CARTESIAN_POINT('Origin',(-2.09525226346787,0.635,0.774999829834903)); #531089=CARTESIAN_POINT('',(-2.09525234833659,0.89,0.824999829833981)); #531090=CARTESIAN_POINT('Origin',(-2.09525226346787,0.89,0.774999829834903)); #531091=CARTESIAN_POINT('',(-2.09525234833659,0.635,0.824999829833981)); #531092=CARTESIAN_POINT('Origin',(-1.995,0.635,0.825)); #531093=CARTESIAN_POINT('',(-1.995,0.89,0.825)); #531094=CARTESIAN_POINT('',(-2.09525234833659,0.89,0.824999829833981)); #531095=CARTESIAN_POINT('',(-1.995,0.635,0.825)); #531096=CARTESIAN_POINT('Origin',(-1.99500033947489,0.635,1.025)); #531097=CARTESIAN_POINT('',(-1.99500033947489,0.89,1.025)); #531098=CARTESIAN_POINT('',(-1.995,0.89,0.825)); #531099=CARTESIAN_POINT('',(-1.99500033947489,0.635,1.025)); #531100=CARTESIAN_POINT('Origin',(-2.14815615444089,0.635,1.02499974003724)); #531101=CARTESIAN_POINT('',(-2.14815615444089,0.89,1.02499973984031)); #531102=CARTESIAN_POINT('',(-1.99500033947489,0.89,1.025)); #531103=CARTESIAN_POINT('',(-2.14815615444089,0.635,1.02499973984031)); #531104=CARTESIAN_POINT('Origin',(-2.148155814966,0.635,0.824999739840563)); #531105=CARTESIAN_POINT('',(-2.34428421365623,0.89,0.864161599183795)); #531106=CARTESIAN_POINT('Origin',(-2.148155814966,0.89,0.824999739840563)); #531107=CARTESIAN_POINT('',(-2.34428421365623,0.635,0.864161599183795)); #531108=CARTESIAN_POINT('Origin',(-2.46887159177804,0.635,0.240209535164483)); #531109=CARTESIAN_POINT('',(-2.46887159177804,0.89,0.240209535164483)); #531110=CARTESIAN_POINT('',(-2.34428421365623,0.89,0.864161599183795)); #531111=CARTESIAN_POINT('',(-2.46887159177804,0.635,0.240209535164483)); #531112=CARTESIAN_POINT('Origin',(-2.51790369145059,0.635,0.25000000000029)); #531113=CARTESIAN_POINT('',(-2.51790369145059,0.89,0.200000000000288)); #531114=CARTESIAN_POINT('Origin',(-2.51790369145059,0.89,0.25000000000029)); #531115=CARTESIAN_POINT('',(-2.51790369145059,0.635,0.200000000000288)); #531116=CARTESIAN_POINT('Origin',(-3.1,0.635,0.2)); #531117=CARTESIAN_POINT('',(-3.1,0.89,0.2)); #531118=CARTESIAN_POINT('',(-2.51790369145059,0.89,0.200000000000288)); #531119=CARTESIAN_POINT('',(-3.1,0.635,0.2)); #531120=CARTESIAN_POINT('Origin',(-3.1,0.635,0.)); #531121=CARTESIAN_POINT('',(-3.1,0.89,0.)); #531122=CARTESIAN_POINT('',(-3.1,0.89,0.2)); #531123=CARTESIAN_POINT('',(-3.1,0.635,0.)); #531124=CARTESIAN_POINT('Origin',(-2.465,0.635,0.)); #531125=CARTESIAN_POINT('',(-2.465,0.89,-1.04083408558608E-16)); #531126=CARTESIAN_POINT('',(-3.1,0.89,0.)); #531127=CARTESIAN_POINT('',(-2.465,0.635,-1.04083408558608E-16)); #531128=CARTESIAN_POINT('Origin',(-2.465,0.635,0.200000000000001)); #531129=CARTESIAN_POINT('Origin',(-2.465,0.89,0.200000000000001)); #531130=CARTESIAN_POINT('Origin',(-2.5475,0.89,0.5125)); #531131=CARTESIAN_POINT('Origin',(-2.5475,-0.89,0.5125)); #531132=CARTESIAN_POINT('',(-2.465,-0.89,-1.04083408558608E-16)); #531133=CARTESIAN_POINT('',(-2.26887159177804,-0.89,0.160838188393282)); #531134=CARTESIAN_POINT('Origin',(-2.465,-0.89,0.200000000000001)); #531135=CARTESIAN_POINT('',(-2.14428436552253,-0.89,0.784790282736416)); #531136=CARTESIAN_POINT('',(-2.26887159177804,-0.89,0.160838188393282)); #531137=CARTESIAN_POINT('',(-2.09525234833659,-0.89,0.824999829833981)); #531138=CARTESIAN_POINT('Origin',(-2.09525226346787,-0.89,0.774999829834903)); #531139=CARTESIAN_POINT('',(-1.995,-0.89,0.825)); #531140=CARTESIAN_POINT('',(-2.09525234833659,-0.89,0.824999829833981)); #531141=CARTESIAN_POINT('',(-1.99500033947489,-0.89,1.025)); #531142=CARTESIAN_POINT('',(-1.995,-0.89,0.825)); #531143=CARTESIAN_POINT('',(-2.14815615444089,-0.89,1.02499973984031)); #531144=CARTESIAN_POINT('',(-1.99500033947489,-0.89,1.025)); #531145=CARTESIAN_POINT('',(-2.34428421365623,-0.89,0.864161599183795)); #531146=CARTESIAN_POINT('Origin',(-2.148155814966,-0.89,0.824999739840563)); #531147=CARTESIAN_POINT('',(-2.46887159177804,-0.89,0.240209535164483)); #531148=CARTESIAN_POINT('',(-2.34428421365623,-0.89,0.864161599183795)); #531149=CARTESIAN_POINT('',(-2.51790369145059,-0.89,0.200000000000288)); #531150=CARTESIAN_POINT('Origin',(-2.51790369145059,-0.89,0.25000000000029)); #531151=CARTESIAN_POINT('',(-3.1,-0.89,0.2)); #531152=CARTESIAN_POINT('',(-2.51790369145059,-0.89,0.200000000000288)); #531153=CARTESIAN_POINT('',(-3.1,-0.89,0.)); #531154=CARTESIAN_POINT('',(-3.1,-0.89,0.2)); #531155=CARTESIAN_POINT('',(-3.1,-0.89,0.)); #531156=CARTESIAN_POINT('Origin',(-2.14428436552253,-0.635,0.784790282736416)); #531157=CARTESIAN_POINT('',(-2.26887159177804,-0.38,0.160838188393282)); #531158=CARTESIAN_POINT('',(-2.26887159177804,-0.635,0.160838188393282)); #531159=CARTESIAN_POINT('',(-2.14428436552253,-0.38,0.784790282736416)); #531160=CARTESIAN_POINT('',(-2.26887159177804,-0.38,0.160838188393282)); #531161=CARTESIAN_POINT('',(-2.14428436552253,-0.635,0.784790282736416)); #531162=CARTESIAN_POINT('Origin',(-2.09525226346787,-0.635,0.774999829834903)); #531163=CARTESIAN_POINT('',(-2.09525234833659,-0.38,0.824999829833981)); #531164=CARTESIAN_POINT('Origin',(-2.09525226346787,-0.38,0.774999829834903)); #531165=CARTESIAN_POINT('',(-2.09525234833659,-0.635,0.824999829833981)); #531166=CARTESIAN_POINT('Origin',(-1.995,-0.635,0.825)); #531167=CARTESIAN_POINT('',(-1.995,-0.38,0.825)); #531168=CARTESIAN_POINT('',(-2.09525234833659,-0.38,0.824999829833981)); #531169=CARTESIAN_POINT('',(-1.995,-0.635,0.825)); #531170=CARTESIAN_POINT('Origin',(-1.99500033947489,-0.635,1.025)); #531171=CARTESIAN_POINT('',(-1.99500033947489,-0.38,1.025)); #531172=CARTESIAN_POINT('',(-1.995,-0.38,0.825)); #531173=CARTESIAN_POINT('',(-1.99500033947489,-0.635,1.025)); #531174=CARTESIAN_POINT('Origin',(-2.14815615444089,-0.635,1.02499974003724)); #531175=CARTESIAN_POINT('',(-2.14815615444089,-0.38,1.02499973984031)); #531176=CARTESIAN_POINT('',(-1.99500033947489,-0.38,1.025)); #531177=CARTESIAN_POINT('',(-2.14815615444089,-0.635,1.02499973984031)); #531178=CARTESIAN_POINT('Origin',(-2.148155814966,-0.635,0.824999739840563)); #531179=CARTESIAN_POINT('',(-2.34428421365623,-0.38,0.864161599183795)); #531180=CARTESIAN_POINT('Origin',(-2.148155814966,-0.38,0.824999739840563)); #531181=CARTESIAN_POINT('',(-2.34428421365623,-0.635,0.864161599183795)); #531182=CARTESIAN_POINT('Origin',(-2.46887159177804,-0.635,0.240209535164483)); #531183=CARTESIAN_POINT('',(-2.46887159177804,-0.38,0.240209535164483)); #531184=CARTESIAN_POINT('',(-2.34428421365623,-0.38,0.864161599183795)); #531185=CARTESIAN_POINT('',(-2.46887159177804,-0.635,0.240209535164483)); #531186=CARTESIAN_POINT('Origin',(-2.51790369145059,-0.635,0.25000000000029)); #531187=CARTESIAN_POINT('',(-2.51790369145059,-0.38,0.200000000000288)); #531188=CARTESIAN_POINT('Origin',(-2.51790369145059,-0.38,0.25000000000029)); #531189=CARTESIAN_POINT('',(-2.51790369145059,-0.635,0.200000000000288)); #531190=CARTESIAN_POINT('Origin',(-3.1,-0.635,0.2)); #531191=CARTESIAN_POINT('',(-3.1,-0.38,0.2)); #531192=CARTESIAN_POINT('',(-2.51790369145059,-0.38,0.200000000000288)); #531193=CARTESIAN_POINT('',(-3.1,-0.635,0.2)); #531194=CARTESIAN_POINT('Origin',(-3.1,-0.635,0.)); #531195=CARTESIAN_POINT('',(-3.1,-0.38,0.)); #531196=CARTESIAN_POINT('',(-3.1,-0.38,0.2)); #531197=CARTESIAN_POINT('',(-3.1,-0.635,0.)); #531198=CARTESIAN_POINT('Origin',(-2.465,-0.635,0.)); #531199=CARTESIAN_POINT('',(-2.465,-0.38,-1.04083408558608E-16)); #531200=CARTESIAN_POINT('',(-3.1,-0.38,0.)); #531201=CARTESIAN_POINT('',(-2.465,-0.635,-1.04083408558608E-16)); #531202=CARTESIAN_POINT('Origin',(-2.465,-0.635,0.200000000000001)); #531203=CARTESIAN_POINT('Origin',(-2.465,-0.38,0.200000000000001)); #531204=CARTESIAN_POINT('Origin',(-2.5475,-0.38,0.5125)); #531205=CARTESIAN_POINT('Origin',(-2.5475,-2.16,0.5125)); #531206=CARTESIAN_POINT('',(-2.465,-2.16,-1.04083408558608E-16)); #531207=CARTESIAN_POINT('',(-2.26887159177804,-2.16,0.160838188393282)); #531208=CARTESIAN_POINT('Origin',(-2.465,-2.16,0.200000000000001)); #531209=CARTESIAN_POINT('',(-2.14428436552253,-2.16,0.784790282736416)); #531210=CARTESIAN_POINT('',(-2.26887159177804,-2.16,0.160838188393282)); #531211=CARTESIAN_POINT('',(-2.09525234833659,-2.16,0.824999829833981)); #531212=CARTESIAN_POINT('Origin',(-2.09525226346787,-2.16,0.774999829834903)); #531213=CARTESIAN_POINT('',(-1.995,-2.16,0.825)); #531214=CARTESIAN_POINT('',(-2.09525234833659,-2.16,0.824999829833981)); #531215=CARTESIAN_POINT('',(-1.99500033947489,-2.16,1.025)); #531216=CARTESIAN_POINT('',(-1.995,-2.16,0.825)); #531217=CARTESIAN_POINT('',(-2.14815615444089,-2.16,1.02499973984031)); #531218=CARTESIAN_POINT('',(-1.99500033947489,-2.16,1.025)); #531219=CARTESIAN_POINT('',(-2.34428421365623,-2.16,0.864161599183795)); #531220=CARTESIAN_POINT('Origin',(-2.148155814966,-2.16,0.824999739840563)); #531221=CARTESIAN_POINT('',(-2.46887159177804,-2.16,0.240209535164483)); #531222=CARTESIAN_POINT('',(-2.34428421365623,-2.16,0.864161599183795)); #531223=CARTESIAN_POINT('',(-2.51790369145059,-2.16,0.200000000000288)); #531224=CARTESIAN_POINT('Origin',(-2.51790369145059,-2.16,0.25000000000029)); #531225=CARTESIAN_POINT('',(-3.1,-2.16,0.2)); #531226=CARTESIAN_POINT('',(-2.51790369145059,-2.16,0.200000000000288)); #531227=CARTESIAN_POINT('',(-3.1,-2.16,0.)); #531228=CARTESIAN_POINT('',(-3.1,-2.16,0.2)); #531229=CARTESIAN_POINT('',(-3.1,-2.16,0.)); #531230=CARTESIAN_POINT('Origin',(-2.14428436552253,-1.905,0.784790282736416)); #531231=CARTESIAN_POINT('',(-2.26887159177804,-1.65,0.160838188393282)); #531232=CARTESIAN_POINT('',(-2.26887159177804,-1.905,0.160838188393282)); #531233=CARTESIAN_POINT('',(-2.14428436552253,-1.65,0.784790282736416)); #531234=CARTESIAN_POINT('',(-2.26887159177804,-1.65,0.160838188393282)); #531235=CARTESIAN_POINT('',(-2.14428436552253,-1.905,0.784790282736416)); #531236=CARTESIAN_POINT('Origin',(-2.09525226346787,-1.905,0.774999829834903)); #531237=CARTESIAN_POINT('',(-2.09525234833659,-1.65,0.824999829833981)); #531238=CARTESIAN_POINT('Origin',(-2.09525226346787,-1.65,0.774999829834903)); #531239=CARTESIAN_POINT('',(-2.09525234833659,-1.905,0.824999829833981)); #531240=CARTESIAN_POINT('Origin',(-1.995,-1.905,0.825)); #531241=CARTESIAN_POINT('',(-1.995,-1.65,0.825)); #531242=CARTESIAN_POINT('',(-2.09525234833659,-1.65,0.824999829833981)); #531243=CARTESIAN_POINT('',(-1.995,-1.905,0.825)); #531244=CARTESIAN_POINT('Origin',(-1.99500033947489,-1.905,1.025)); #531245=CARTESIAN_POINT('',(-1.99500033947489,-1.65,1.025)); #531246=CARTESIAN_POINT('',(-1.995,-1.65,0.825)); #531247=CARTESIAN_POINT('',(-1.99500033947489,-1.905,1.025)); #531248=CARTESIAN_POINT('Origin',(-2.14815615444089,-1.905,1.02499974003724)); #531249=CARTESIAN_POINT('',(-2.14815615444089,-1.65,1.02499973984031)); #531250=CARTESIAN_POINT('',(-1.99500033947489,-1.65,1.025)); #531251=CARTESIAN_POINT('',(-2.14815615444089,-1.905,1.02499973984031)); #531252=CARTESIAN_POINT('Origin',(-2.148155814966,-1.905,0.824999739840563)); #531253=CARTESIAN_POINT('',(-2.34428421365623,-1.65,0.864161599183795)); #531254=CARTESIAN_POINT('Origin',(-2.148155814966,-1.65,0.824999739840563)); #531255=CARTESIAN_POINT('',(-2.34428421365623,-1.905,0.864161599183795)); #531256=CARTESIAN_POINT('Origin',(-2.46887159177804,-1.905,0.240209535164483)); #531257=CARTESIAN_POINT('',(-2.46887159177804,-1.65,0.240209535164483)); #531258=CARTESIAN_POINT('',(-2.34428421365623,-1.65,0.864161599183795)); #531259=CARTESIAN_POINT('',(-2.46887159177804,-1.905,0.240209535164483)); #531260=CARTESIAN_POINT('Origin',(-2.51790369145059,-1.905,0.25000000000029)); #531261=CARTESIAN_POINT('',(-2.51790369145059,-1.65,0.200000000000288)); #531262=CARTESIAN_POINT('Origin',(-2.51790369145059,-1.65,0.25000000000029)); #531263=CARTESIAN_POINT('',(-2.51790369145059,-1.905,0.200000000000288)); #531264=CARTESIAN_POINT('Origin',(-3.1,-1.905,0.2)); #531265=CARTESIAN_POINT('',(-3.1,-1.65,0.2)); #531266=CARTESIAN_POINT('',(-2.51790369145059,-1.65,0.200000000000288)); #531267=CARTESIAN_POINT('',(-3.1,-1.905,0.2)); #531268=CARTESIAN_POINT('Origin',(-3.1,-1.905,0.)); #531269=CARTESIAN_POINT('',(-3.1,-1.65,0.)); #531270=CARTESIAN_POINT('',(-3.1,-1.65,0.2)); #531271=CARTESIAN_POINT('',(-3.1,-1.905,0.)); #531272=CARTESIAN_POINT('Origin',(-2.465,-1.905,0.)); #531273=CARTESIAN_POINT('',(-2.465,-1.65,-1.04083408558608E-16)); #531274=CARTESIAN_POINT('',(-3.1,-1.65,0.)); #531275=CARTESIAN_POINT('',(-2.465,-1.905,-1.04083408558608E-16)); #531276=CARTESIAN_POINT('Origin',(-2.465,-1.905,0.200000000000001)); #531277=CARTESIAN_POINT('Origin',(-2.465,-1.65,0.200000000000001)); #531278=CARTESIAN_POINT('Origin',(-2.5475,-1.65,0.5125)); #531279=CARTESIAN_POINT('Origin',(2.5475,0.38,0.5125)); #531280=CARTESIAN_POINT('',(2.465,0.38,-1.04083408558608E-16)); #531281=CARTESIAN_POINT('',(2.26887159177804,0.38,0.160838188393282)); #531282=CARTESIAN_POINT('Origin',(2.465,0.38,0.200000000000001)); #531283=CARTESIAN_POINT('',(3.1,0.38,0.)); #531284=CARTESIAN_POINT('',(3.1,0.38,0.)); #531285=CARTESIAN_POINT('',(3.1,0.38,0.2)); #531286=CARTESIAN_POINT('',(3.1,0.38,0.2)); #531287=CARTESIAN_POINT('',(2.51790369145059,0.38,0.200000000000288)); #531288=CARTESIAN_POINT('',(2.51790369145059,0.38,0.200000000000288)); #531289=CARTESIAN_POINT('',(2.46887159177804,0.38,0.240209535164483)); #531290=CARTESIAN_POINT('Origin',(2.51790369145059,0.38,0.25000000000029)); #531291=CARTESIAN_POINT('',(2.34428421365623,0.38,0.864161599183795)); #531292=CARTESIAN_POINT('',(2.34428421365623,0.38,0.864161599183795)); #531293=CARTESIAN_POINT('',(2.14815615444089,0.38,1.02499973984031)); #531294=CARTESIAN_POINT('Origin',(2.148155814966,0.38,0.824999739840563)); #531295=CARTESIAN_POINT('',(1.99500033947489,0.38,1.025)); #531296=CARTESIAN_POINT('',(1.99500033947489,0.38,1.025)); #531297=CARTESIAN_POINT('',(1.995,0.38,0.825)); #531298=CARTESIAN_POINT('',(1.995,0.38,0.825)); #531299=CARTESIAN_POINT('',(2.09525234833659,0.38,0.824999829833981)); #531300=CARTESIAN_POINT('',(2.09525234833659,0.38,0.824999829833981)); #531301=CARTESIAN_POINT('',(2.14428436552253,0.38,0.784790282736416)); #531302=CARTESIAN_POINT('Origin',(2.09525226346787,0.38,0.774999829834903)); #531303=CARTESIAN_POINT('',(2.26887159177804,0.38,0.160838188393282)); #531304=CARTESIAN_POINT('Origin',(2.14428436552253,0.635,0.784790282736416)); #531305=CARTESIAN_POINT('',(2.26887159177804,0.89,0.160838188393282)); #531306=CARTESIAN_POINT('',(2.26887159177804,0.635,0.160838188393282)); #531307=CARTESIAN_POINT('',(2.14428436552253,0.89,0.784790282736416)); #531308=CARTESIAN_POINT('',(2.14428436552253,0.635,0.784790282736416)); #531309=CARTESIAN_POINT('',(2.26887159177804,0.89,0.160838188393282)); #531310=CARTESIAN_POINT('Origin',(2.09525226346787,0.635,0.774999829834903)); #531311=CARTESIAN_POINT('',(2.09525234833659,0.89,0.824999829833981)); #531312=CARTESIAN_POINT('',(2.09525234833659,0.635,0.824999829833981)); #531313=CARTESIAN_POINT('Origin',(2.09525226346787,0.89,0.774999829834903)); #531314=CARTESIAN_POINT('Origin',(1.995,0.635,0.825)); #531315=CARTESIAN_POINT('',(1.995,0.89,0.825)); #531316=CARTESIAN_POINT('',(1.995,0.635,0.825)); #531317=CARTESIAN_POINT('',(2.09525234833659,0.89,0.824999829833981)); #531318=CARTESIAN_POINT('Origin',(1.99500033947489,0.635,1.025)); #531319=CARTESIAN_POINT('',(1.99500033947489,0.89,1.025)); #531320=CARTESIAN_POINT('',(1.99500033947489,0.635,1.025)); #531321=CARTESIAN_POINT('',(1.995,0.89,0.825)); #531322=CARTESIAN_POINT('Origin',(2.14815615444089,0.635,1.02499974003724)); #531323=CARTESIAN_POINT('',(2.14815615444089,0.89,1.02499973984031)); #531324=CARTESIAN_POINT('',(2.14815615444089,0.635,1.02499973984031)); #531325=CARTESIAN_POINT('',(1.99500033947489,0.89,1.025)); #531326=CARTESIAN_POINT('Origin',(2.148155814966,0.635,0.824999739840563)); #531327=CARTESIAN_POINT('',(2.34428421365623,0.89,0.864161599183795)); #531328=CARTESIAN_POINT('',(2.34428421365623,0.635,0.864161599183795)); #531329=CARTESIAN_POINT('Origin',(2.148155814966,0.89,0.824999739840563)); #531330=CARTESIAN_POINT('Origin',(2.46887159177804,0.635,0.240209535164483)); #531331=CARTESIAN_POINT('',(2.46887159177804,0.89,0.240209535164483)); #531332=CARTESIAN_POINT('',(2.46887159177804,0.635,0.240209535164483)); #531333=CARTESIAN_POINT('',(2.34428421365623,0.89,0.864161599183795)); #531334=CARTESIAN_POINT('Origin',(2.51790369145059,0.635,0.25000000000029)); #531335=CARTESIAN_POINT('',(2.51790369145059,0.89,0.200000000000288)); #531336=CARTESIAN_POINT('',(2.51790369145059,0.635,0.200000000000288)); #531337=CARTESIAN_POINT('Origin',(2.51790369145059,0.89,0.25000000000029)); #531338=CARTESIAN_POINT('Origin',(3.1,0.635,0.2)); #531339=CARTESIAN_POINT('',(3.1,0.89,0.2)); #531340=CARTESIAN_POINT('',(3.1,0.635,0.2)); #531341=CARTESIAN_POINT('',(2.51790369145059,0.89,0.200000000000288)); #531342=CARTESIAN_POINT('Origin',(3.1,0.635,0.)); #531343=CARTESIAN_POINT('',(3.1,0.89,0.)); #531344=CARTESIAN_POINT('',(3.1,0.635,0.)); #531345=CARTESIAN_POINT('',(3.1,0.89,0.2)); #531346=CARTESIAN_POINT('Origin',(2.465,0.635,0.)); #531347=CARTESIAN_POINT('',(2.465,0.89,-1.04083408558608E-16)); #531348=CARTESIAN_POINT('',(2.465,0.635,-1.04083408558608E-16)); #531349=CARTESIAN_POINT('',(3.1,0.89,0.)); #531350=CARTESIAN_POINT('Origin',(2.465,0.635,0.200000000000001)); #531351=CARTESIAN_POINT('Origin',(2.465,0.89,0.200000000000001)); #531352=CARTESIAN_POINT('Origin',(2.5475,0.89,0.5125)); #531353=CARTESIAN_POINT('Origin',(2.5475,-0.89,0.5125)); #531354=CARTESIAN_POINT('',(2.465,-0.89,-1.04083408558608E-16)); #531355=CARTESIAN_POINT('',(2.26887159177804,-0.89,0.160838188393282)); #531356=CARTESIAN_POINT('Origin',(2.465,-0.89,0.200000000000001)); #531357=CARTESIAN_POINT('',(3.1,-0.89,0.)); #531358=CARTESIAN_POINT('',(3.1,-0.89,0.)); #531359=CARTESIAN_POINT('',(3.1,-0.89,0.2)); #531360=CARTESIAN_POINT('',(3.1,-0.89,0.2)); #531361=CARTESIAN_POINT('',(2.51790369145059,-0.89,0.200000000000288)); #531362=CARTESIAN_POINT('',(2.51790369145059,-0.89,0.200000000000288)); #531363=CARTESIAN_POINT('',(2.46887159177804,-0.89,0.240209535164483)); #531364=CARTESIAN_POINT('Origin',(2.51790369145059,-0.89,0.25000000000029)); #531365=CARTESIAN_POINT('',(2.34428421365623,-0.89,0.864161599183795)); #531366=CARTESIAN_POINT('',(2.34428421365623,-0.89,0.864161599183795)); #531367=CARTESIAN_POINT('',(2.14815615444089,-0.89,1.02499973984031)); #531368=CARTESIAN_POINT('Origin',(2.148155814966,-0.89,0.824999739840563)); #531369=CARTESIAN_POINT('',(1.99500033947489,-0.89,1.025)); #531370=CARTESIAN_POINT('',(1.99500033947489,-0.89,1.025)); #531371=CARTESIAN_POINT('',(1.995,-0.89,0.825)); #531372=CARTESIAN_POINT('',(1.995,-0.89,0.825)); #531373=CARTESIAN_POINT('',(2.09525234833659,-0.89,0.824999829833981)); #531374=CARTESIAN_POINT('',(2.09525234833659,-0.89,0.824999829833981)); #531375=CARTESIAN_POINT('',(2.14428436552253,-0.89,0.784790282736416)); #531376=CARTESIAN_POINT('Origin',(2.09525226346787,-0.89,0.774999829834903)); #531377=CARTESIAN_POINT('',(2.26887159177804,-0.89,0.160838188393282)); #531378=CARTESIAN_POINT('Origin',(2.14428436552253,-0.635,0.784790282736416)); #531379=CARTESIAN_POINT('',(2.26887159177804,-0.38,0.160838188393282)); #531380=CARTESIAN_POINT('',(2.26887159177804,-0.635,0.160838188393282)); #531381=CARTESIAN_POINT('',(2.14428436552253,-0.38,0.784790282736416)); #531382=CARTESIAN_POINT('',(2.14428436552253,-0.635,0.784790282736416)); #531383=CARTESIAN_POINT('',(2.26887159177804,-0.38,0.160838188393282)); #531384=CARTESIAN_POINT('Origin',(2.09525226346787,-0.635,0.774999829834903)); #531385=CARTESIAN_POINT('',(2.09525234833659,-0.38,0.824999829833981)); #531386=CARTESIAN_POINT('',(2.09525234833659,-0.635,0.824999829833981)); #531387=CARTESIAN_POINT('Origin',(2.09525226346787,-0.38,0.774999829834903)); #531388=CARTESIAN_POINT('Origin',(1.995,-0.635,0.825)); #531389=CARTESIAN_POINT('',(1.995,-0.38,0.825)); #531390=CARTESIAN_POINT('',(1.995,-0.635,0.825)); #531391=CARTESIAN_POINT('',(2.09525234833659,-0.38,0.824999829833981)); #531392=CARTESIAN_POINT('Origin',(1.99500033947489,-0.635,1.025)); #531393=CARTESIAN_POINT('',(1.99500033947489,-0.38,1.025)); #531394=CARTESIAN_POINT('',(1.99500033947489,-0.635,1.025)); #531395=CARTESIAN_POINT('',(1.995,-0.38,0.825)); #531396=CARTESIAN_POINT('Origin',(2.14815615444089,-0.635,1.02499974003724)); #531397=CARTESIAN_POINT('',(2.14815615444089,-0.38,1.02499973984031)); #531398=CARTESIAN_POINT('',(2.14815615444089,-0.635,1.02499973984031)); #531399=CARTESIAN_POINT('',(1.99500033947489,-0.38,1.025)); #531400=CARTESIAN_POINT('Origin',(2.148155814966,-0.635,0.824999739840563)); #531401=CARTESIAN_POINT('',(2.34428421365623,-0.38,0.864161599183795)); #531402=CARTESIAN_POINT('',(2.34428421365623,-0.635,0.864161599183795)); #531403=CARTESIAN_POINT('Origin',(2.148155814966,-0.38,0.824999739840563)); #531404=CARTESIAN_POINT('Origin',(2.46887159177804,-0.635,0.240209535164483)); #531405=CARTESIAN_POINT('',(2.46887159177804,-0.38,0.240209535164483)); #531406=CARTESIAN_POINT('',(2.46887159177804,-0.635,0.240209535164483)); #531407=CARTESIAN_POINT('',(2.34428421365623,-0.38,0.864161599183795)); #531408=CARTESIAN_POINT('Origin',(2.51790369145059,-0.635,0.25000000000029)); #531409=CARTESIAN_POINT('',(2.51790369145059,-0.38,0.200000000000288)); #531410=CARTESIAN_POINT('',(2.51790369145059,-0.635,0.200000000000288)); #531411=CARTESIAN_POINT('Origin',(2.51790369145059,-0.38,0.25000000000029)); #531412=CARTESIAN_POINT('Origin',(3.1,-0.635,0.2)); #531413=CARTESIAN_POINT('',(3.1,-0.38,0.2)); #531414=CARTESIAN_POINT('',(3.1,-0.635,0.2)); #531415=CARTESIAN_POINT('',(2.51790369145059,-0.38,0.200000000000288)); #531416=CARTESIAN_POINT('Origin',(3.1,-0.635,0.)); #531417=CARTESIAN_POINT('',(3.1,-0.38,0.)); #531418=CARTESIAN_POINT('',(3.1,-0.635,0.)); #531419=CARTESIAN_POINT('',(3.1,-0.38,0.2)); #531420=CARTESIAN_POINT('Origin',(2.465,-0.635,0.)); #531421=CARTESIAN_POINT('',(2.465,-0.38,-1.04083408558608E-16)); #531422=CARTESIAN_POINT('',(2.465,-0.635,-1.04083408558608E-16)); #531423=CARTESIAN_POINT('',(3.1,-0.38,0.)); #531424=CARTESIAN_POINT('Origin',(2.465,-0.635,0.200000000000001)); #531425=CARTESIAN_POINT('Origin',(2.465,-0.38,0.200000000000001)); #531426=CARTESIAN_POINT('Origin',(2.5475,-0.38,0.5125)); #531427=CARTESIAN_POINT('Origin',(2.5475,-2.16,0.5125)); #531428=CARTESIAN_POINT('',(2.465,-2.16,-1.04083408558608E-16)); #531429=CARTESIAN_POINT('',(2.26887159177804,-2.16,0.160838188393282)); #531430=CARTESIAN_POINT('Origin',(2.465,-2.16,0.200000000000001)); #531431=CARTESIAN_POINT('',(3.1,-2.16,0.)); #531432=CARTESIAN_POINT('',(3.1,-2.16,0.)); #531433=CARTESIAN_POINT('',(3.1,-2.16,0.2)); #531434=CARTESIAN_POINT('',(3.1,-2.16,0.2)); #531435=CARTESIAN_POINT('',(2.51790369145059,-2.16,0.200000000000288)); #531436=CARTESIAN_POINT('',(2.51790369145059,-2.16,0.200000000000288)); #531437=CARTESIAN_POINT('',(2.46887159177804,-2.16,0.240209535164483)); #531438=CARTESIAN_POINT('Origin',(2.51790369145059,-2.16,0.25000000000029)); #531439=CARTESIAN_POINT('',(2.34428421365623,-2.16,0.864161599183795)); #531440=CARTESIAN_POINT('',(2.34428421365623,-2.16,0.864161599183795)); #531441=CARTESIAN_POINT('',(2.14815615444089,-2.16,1.02499973984031)); #531442=CARTESIAN_POINT('Origin',(2.148155814966,-2.16,0.824999739840563)); #531443=CARTESIAN_POINT('',(1.99500033947489,-2.16,1.025)); #531444=CARTESIAN_POINT('',(1.99500033947489,-2.16,1.025)); #531445=CARTESIAN_POINT('',(1.995,-2.16,0.825)); #531446=CARTESIAN_POINT('',(1.995,-2.16,0.825)); #531447=CARTESIAN_POINT('',(2.09525234833659,-2.16,0.824999829833981)); #531448=CARTESIAN_POINT('',(2.09525234833659,-2.16,0.824999829833981)); #531449=CARTESIAN_POINT('',(2.14428436552253,-2.16,0.784790282736416)); #531450=CARTESIAN_POINT('Origin',(2.09525226346787,-2.16,0.774999829834903)); #531451=CARTESIAN_POINT('',(2.26887159177804,-2.16,0.160838188393282)); #531452=CARTESIAN_POINT('Origin',(2.14428436552253,-1.905,0.784790282736416)); #531453=CARTESIAN_POINT('',(2.26887159177804,-1.65,0.160838188393282)); #531454=CARTESIAN_POINT('',(2.26887159177804,-1.905,0.160838188393282)); #531455=CARTESIAN_POINT('',(2.14428436552253,-1.65,0.784790282736416)); #531456=CARTESIAN_POINT('',(2.14428436552253,-1.905,0.784790282736416)); #531457=CARTESIAN_POINT('',(2.26887159177804,-1.65,0.160838188393282)); #531458=CARTESIAN_POINT('Origin',(2.09525226346787,-1.905,0.774999829834903)); #531459=CARTESIAN_POINT('',(2.09525234833659,-1.65,0.824999829833981)); #531460=CARTESIAN_POINT('',(2.09525234833659,-1.905,0.824999829833981)); #531461=CARTESIAN_POINT('Origin',(2.09525226346787,-1.65,0.774999829834903)); #531462=CARTESIAN_POINT('Origin',(1.995,-1.905,0.825)); #531463=CARTESIAN_POINT('',(1.995,-1.65,0.825)); #531464=CARTESIAN_POINT('',(1.995,-1.905,0.825)); #531465=CARTESIAN_POINT('',(2.09525234833659,-1.65,0.824999829833981)); #531466=CARTESIAN_POINT('Origin',(1.99500033947489,-1.905,1.025)); #531467=CARTESIAN_POINT('',(1.99500033947489,-1.65,1.025)); #531468=CARTESIAN_POINT('',(1.99500033947489,-1.905,1.025)); #531469=CARTESIAN_POINT('',(1.995,-1.65,0.825)); #531470=CARTESIAN_POINT('Origin',(2.14815615444089,-1.905,1.02499974003724)); #531471=CARTESIAN_POINT('',(2.14815615444089,-1.65,1.02499973984031)); #531472=CARTESIAN_POINT('',(2.14815615444089,-1.905,1.02499973984031)); #531473=CARTESIAN_POINT('',(1.99500033947489,-1.65,1.025)); #531474=CARTESIAN_POINT('Origin',(2.148155814966,-1.905,0.824999739840563)); #531475=CARTESIAN_POINT('',(2.34428421365623,-1.65,0.864161599183795)); #531476=CARTESIAN_POINT('',(2.34428421365623,-1.905,0.864161599183795)); #531477=CARTESIAN_POINT('Origin',(2.148155814966,-1.65,0.824999739840563)); #531478=CARTESIAN_POINT('Origin',(2.46887159177804,-1.905,0.240209535164483)); #531479=CARTESIAN_POINT('',(2.46887159177804,-1.65,0.240209535164483)); #531480=CARTESIAN_POINT('',(2.46887159177804,-1.905,0.240209535164483)); #531481=CARTESIAN_POINT('',(2.34428421365623,-1.65,0.864161599183795)); #531482=CARTESIAN_POINT('Origin',(2.51790369145059,-1.905,0.25000000000029)); #531483=CARTESIAN_POINT('',(2.51790369145059,-1.65,0.200000000000288)); #531484=CARTESIAN_POINT('',(2.51790369145059,-1.905,0.200000000000288)); #531485=CARTESIAN_POINT('Origin',(2.51790369145059,-1.65,0.25000000000029)); #531486=CARTESIAN_POINT('Origin',(3.1,-1.905,0.2)); #531487=CARTESIAN_POINT('',(3.1,-1.65,0.2)); #531488=CARTESIAN_POINT('',(3.1,-1.905,0.2)); #531489=CARTESIAN_POINT('',(2.51790369145059,-1.65,0.200000000000288)); #531490=CARTESIAN_POINT('Origin',(3.1,-1.905,0.)); #531491=CARTESIAN_POINT('',(3.1,-1.65,0.)); #531492=CARTESIAN_POINT('',(3.1,-1.905,0.)); #531493=CARTESIAN_POINT('',(3.1,-1.65,0.2)); #531494=CARTESIAN_POINT('Origin',(2.465,-1.905,0.)); #531495=CARTESIAN_POINT('',(2.465,-1.65,-1.04083408558608E-16)); #531496=CARTESIAN_POINT('',(2.465,-1.905,-1.04083408558608E-16)); #531497=CARTESIAN_POINT('',(3.1,-1.65,0.)); #531498=CARTESIAN_POINT('Origin',(2.465,-1.905,0.200000000000001)); #531499=CARTESIAN_POINT('Origin',(2.465,-1.65,0.200000000000001)); #531500=CARTESIAN_POINT('Origin',(2.5475,-1.65,0.5125)); #531501=CARTESIAN_POINT('',(0.,0.,0.)); #531502=CARTESIAN_POINT('',(0.,0.,0.)); #531503=CARTESIAN_POINT('',(0.,0.,0.)); #531504=CARTESIAN_POINT('Origin',(-0.57,1.22,1.1)); #531505=CARTESIAN_POINT('',(-0.655,1.22,1.1)); #531506=CARTESIAN_POINT('Origin',(-0.57,1.22,1.1)); #531507=CARTESIAN_POINT('',(-0.655,1.22,0.99)); #531508=CARTESIAN_POINT('',(-0.655,1.22,1.1)); #531509=CARTESIAN_POINT('Origin',(-0.57,1.22,0.99)); #531510=CARTESIAN_POINT('Origin',(-0.57,1.22,0.99)); #531511=CARTESIAN_POINT('Origin',(-0.795,0.75,0.8825)); #531512=CARTESIAN_POINT('',(-0.74,-1.39,1.1)); #531513=CARTESIAN_POINT('',(-0.74,1.39,1.1)); #531514=CARTESIAN_POINT('',(-0.74,0.75,1.1)); #531515=CARTESIAN_POINT('',(-0.85,1.5,0.665)); #531516=CARTESIAN_POINT('',(-0.74,1.39,1.1)); #531517=CARTESIAN_POINT('',(-0.85,-1.5,0.665)); #531518=CARTESIAN_POINT('',(-0.85,0.75,0.665)); #531519=CARTESIAN_POINT('',(-0.74,-1.39,1.1)); #531520=CARTESIAN_POINT('Origin',(0.425,1.445,0.8825)); #531521=CARTESIAN_POINT('',(0.74,1.39,1.1)); #531522=CARTESIAN_POINT('',(0.425,1.39,1.1)); #531523=CARTESIAN_POINT('',(0.85,1.5,0.665)); #531524=CARTESIAN_POINT('',(0.74,1.39,1.1)); #531525=CARTESIAN_POINT('',(0.425,1.5,0.665)); #531526=CARTESIAN_POINT('Origin',(0.795,-0.75,0.8825)); #531527=CARTESIAN_POINT('',(0.74,-1.39,1.1)); #531528=CARTESIAN_POINT('',(0.74,-0.75,1.1)); #531529=CARTESIAN_POINT('',(0.85,-1.5,0.665)); #531530=CARTESIAN_POINT('',(0.74,-1.39,1.1)); #531531=CARTESIAN_POINT('',(0.85,-0.75,0.665)); #531532=CARTESIAN_POINT('Origin',(-0.425,-1.445,0.8825)); #531533=CARTESIAN_POINT('',(-0.425,-1.5,0.665)); #531534=CARTESIAN_POINT('',(-0.425,-1.39,1.1)); #531535=CARTESIAN_POINT('Origin',(-0.85,-1.5,0.1)); #531536=CARTESIAN_POINT('',(-0.85,-1.5,0.535)); #531537=CARTESIAN_POINT('',(-0.85,-1.5,0.1)); #531538=CARTESIAN_POINT('',(0.85,-1.5,0.535)); #531539=CARTESIAN_POINT('',(-0.425,-1.5,0.535)); #531540=CARTESIAN_POINT('',(0.85,-1.5,0.1)); #531541=CARTESIAN_POINT('Origin',(-0.85,1.5,0.1)); #531542=CARTESIAN_POINT('',(-0.85,1.5,0.535)); #531543=CARTESIAN_POINT('',(-0.85,1.5,0.1)); #531544=CARTESIAN_POINT('',(-0.85,0.75,0.535)); #531545=CARTESIAN_POINT('Origin',(0.,0.,1.1)); #531546=CARTESIAN_POINT('Origin',(0.795,-0.75,0.3175)); #531547=CARTESIAN_POINT('',(0.85,1.5,0.535)); #531548=CARTESIAN_POINT('',(0.85,-0.75,0.535)); #531549=CARTESIAN_POINT('',(0.74,-1.39,0.1)); #531550=CARTESIAN_POINT('',(0.85,-1.5,0.535)); #531551=CARTESIAN_POINT('',(0.74,1.39,0.1)); #531552=CARTESIAN_POINT('',(0.74,-0.75,0.1)); #531553=CARTESIAN_POINT('',(0.74,1.39,0.1)); #531554=CARTESIAN_POINT('Origin',(-0.425,-1.445,0.3175)); #531555=CARTESIAN_POINT('',(-0.74,-1.39,0.1)); #531556=CARTESIAN_POINT('',(-0.425,-1.39,0.1)); #531557=CARTESIAN_POINT('',(-0.74,-1.39,0.1)); #531558=CARTESIAN_POINT('Origin',(0.425,1.445,0.3175)); #531559=CARTESIAN_POINT('',(0.425,1.5,0.535)); #531560=CARTESIAN_POINT('',(-0.74,1.39,0.1)); #531561=CARTESIAN_POINT('',(0.425,1.39,0.1)); #531562=CARTESIAN_POINT('',(-0.74,1.39,0.1)); #531563=CARTESIAN_POINT('Origin',(-0.795,0.75,0.3175)); #531564=CARTESIAN_POINT('',(-0.74,0.75,0.1)); #531565=CARTESIAN_POINT('Origin',(0.85,-1.5,0.1)); #531566=CARTESIAN_POINT('',(0.85,1.5,0.1)); #531567=CARTESIAN_POINT('Origin',(0.,0.,0.1)); #531568=CARTESIAN_POINT('Origin',(0.85,1.5,0.1)); #531569=CARTESIAN_POINT('Origin',(1.11875,0.695,0.3325)); #531570=CARTESIAN_POINT('',(0.87,0.695,0.13)); #531571=CARTESIAN_POINT('',(1.,0.695,1.73472347597681E-17)); #531572=CARTESIAN_POINT('Origin',(1.,0.695,0.13)); #531573=CARTESIAN_POINT('',(1.4,0.695,0.)); #531574=CARTESIAN_POINT('',(1.4,0.695,0.)); #531575=CARTESIAN_POINT('',(1.4,0.695,0.13)); #531576=CARTESIAN_POINT('',(1.4,0.695,0.13)); #531577=CARTESIAN_POINT('',(1.0325,0.695,0.13)); #531578=CARTESIAN_POINT('',(1.0325,0.695,0.13)); #531579=CARTESIAN_POINT('',(1.,0.695,0.1625)); #531580=CARTESIAN_POINT('Origin',(1.0325,0.695,0.1625)); #531581=CARTESIAN_POINT('',(1.,0.695,0.535)); #531582=CARTESIAN_POINT('',(1.,0.695,0.535)); #531583=CARTESIAN_POINT('',(0.87,0.695,0.665)); #531584=CARTESIAN_POINT('Origin',(0.87,0.695,0.535)); #531585=CARTESIAN_POINT('',(0.85,0.695,0.665)); #531586=CARTESIAN_POINT('',(0.85,0.695,0.665)); #531587=CARTESIAN_POINT('',(0.85,0.695,0.535)); #531588=CARTESIAN_POINT('',(0.85,0.695,0.535)); #531589=CARTESIAN_POINT('',(0.8375,0.695,0.535)); #531590=CARTESIAN_POINT('',(0.8375,0.695,0.535)); #531591=CARTESIAN_POINT('',(0.87,0.695,0.5025)); #531592=CARTESIAN_POINT('Origin',(0.8375,0.695,0.5025)); #531593=CARTESIAN_POINT('',(0.87,0.695,0.13)); #531594=CARTESIAN_POINT('Origin',(1.4,0.95,0.)); #531595=CARTESIAN_POINT('',(1.,1.205,1.73472347597681E-17)); #531596=CARTESIAN_POINT('',(1.,0.95,1.73472347597681E-17)); #531597=CARTESIAN_POINT('',(1.4,1.205,0.)); #531598=CARTESIAN_POINT('',(1.4,1.205,0.)); #531599=CARTESIAN_POINT('',(1.4,0.95,0.)); #531600=CARTESIAN_POINT('Origin',(1.4,0.95,0.13)); #531601=CARTESIAN_POINT('',(1.4,1.205,0.13)); #531602=CARTESIAN_POINT('',(1.4,1.205,0.13)); #531603=CARTESIAN_POINT('',(1.4,0.95,0.13)); #531604=CARTESIAN_POINT('Origin',(1.0325,0.95,0.13)); #531605=CARTESIAN_POINT('',(1.0325,1.205,0.13)); #531606=CARTESIAN_POINT('',(1.0325,1.205,0.13)); #531607=CARTESIAN_POINT('',(1.0325,0.95,0.13)); #531608=CARTESIAN_POINT('Origin',(1.0325,0.95,0.1625)); #531609=CARTESIAN_POINT('',(1.,1.205,0.1625)); #531610=CARTESIAN_POINT('Origin',(1.0325,1.205,0.1625)); #531611=CARTESIAN_POINT('',(1.,0.95,0.1625)); #531612=CARTESIAN_POINT('Origin',(1.,0.95,0.535)); #531613=CARTESIAN_POINT('',(1.,1.205,0.535)); #531614=CARTESIAN_POINT('',(1.,1.205,0.535)); #531615=CARTESIAN_POINT('',(1.,0.95,0.535)); #531616=CARTESIAN_POINT('Origin',(0.87,0.95,0.535)); #531617=CARTESIAN_POINT('',(0.87,1.205,0.665)); #531618=CARTESIAN_POINT('Origin',(0.87,1.205,0.535)); #531619=CARTESIAN_POINT('',(0.87,0.95,0.665)); #531620=CARTESIAN_POINT('Origin',(0.85,0.95,0.665)); #531621=CARTESIAN_POINT('',(0.85,1.205,0.665)); #531622=CARTESIAN_POINT('',(0.85,1.205,0.665)); #531623=CARTESIAN_POINT('',(0.85,0.95,0.665)); #531624=CARTESIAN_POINT('Origin',(0.85,0.95,0.535)); #531625=CARTESIAN_POINT('',(0.85,1.205,0.535)); #531626=CARTESIAN_POINT('',(0.85,1.205,0.535)); #531627=CARTESIAN_POINT('',(0.85,0.95,0.535)); #531628=CARTESIAN_POINT('Origin',(0.8375,0.95,0.535)); #531629=CARTESIAN_POINT('',(0.8375,1.205,0.535)); #531630=CARTESIAN_POINT('',(0.8375,1.205,0.535)); #531631=CARTESIAN_POINT('',(0.8375,0.95,0.535)); #531632=CARTESIAN_POINT('Origin',(0.8375,0.95,0.5025)); #531633=CARTESIAN_POINT('',(0.87,1.205,0.5025)); #531634=CARTESIAN_POINT('Origin',(0.8375,1.205,0.5025)); #531635=CARTESIAN_POINT('',(0.87,0.95,0.5025)); #531636=CARTESIAN_POINT('Origin',(0.87,0.95,0.13)); #531637=CARTESIAN_POINT('',(0.87,1.205,0.13)); #531638=CARTESIAN_POINT('',(0.87,1.205,0.13)); #531639=CARTESIAN_POINT('',(0.87,0.95,0.13)); #531640=CARTESIAN_POINT('Origin',(1.,0.95,0.13)); #531641=CARTESIAN_POINT('Origin',(1.,1.205,0.13)); #531642=CARTESIAN_POINT('Origin',(1.11875,1.205,0.3325)); #531643=CARTESIAN_POINT('Origin',(1.11875,-1.205,0.3325)); #531644=CARTESIAN_POINT('',(0.87,-1.205,0.13)); #531645=CARTESIAN_POINT('',(1.,-1.205,1.73472347597681E-17)); #531646=CARTESIAN_POINT('Origin',(1.,-1.205,0.13)); #531647=CARTESIAN_POINT('',(1.4,-1.205,0.)); #531648=CARTESIAN_POINT('',(1.4,-1.205,0.)); #531649=CARTESIAN_POINT('',(1.4,-1.205,0.13)); #531650=CARTESIAN_POINT('',(1.4,-1.205,0.13)); #531651=CARTESIAN_POINT('',(1.0325,-1.205,0.13)); #531652=CARTESIAN_POINT('',(1.0325,-1.205,0.13)); #531653=CARTESIAN_POINT('',(1.,-1.205,0.1625)); #531654=CARTESIAN_POINT('Origin',(1.0325,-1.205,0.1625)); #531655=CARTESIAN_POINT('',(1.,-1.205,0.535)); #531656=CARTESIAN_POINT('',(1.,-1.205,0.535)); #531657=CARTESIAN_POINT('',(0.87,-1.205,0.665)); #531658=CARTESIAN_POINT('Origin',(0.87,-1.205,0.535)); #531659=CARTESIAN_POINT('',(0.85,-1.205,0.665)); #531660=CARTESIAN_POINT('',(0.85,-1.205,0.665)); #531661=CARTESIAN_POINT('',(0.85,-1.205,0.535)); #531662=CARTESIAN_POINT('',(0.85,-1.205,0.535)); #531663=CARTESIAN_POINT('',(0.8375,-1.205,0.535)); #531664=CARTESIAN_POINT('',(0.8375,-1.205,0.535)); #531665=CARTESIAN_POINT('',(0.87,-1.205,0.5025)); #531666=CARTESIAN_POINT('Origin',(0.8375,-1.205,0.5025)); #531667=CARTESIAN_POINT('',(0.87,-1.205,0.13)); #531668=CARTESIAN_POINT('Origin',(1.4,-0.95,0.)); #531669=CARTESIAN_POINT('',(1.,-0.695,1.73472347597681E-17)); #531670=CARTESIAN_POINT('',(1.,-0.95,1.73472347597681E-17)); #531671=CARTESIAN_POINT('',(1.4,-0.695,0.)); #531672=CARTESIAN_POINT('',(1.4,-0.695,0.)); #531673=CARTESIAN_POINT('',(1.4,-0.95,0.)); #531674=CARTESIAN_POINT('Origin',(1.4,-0.95,0.13)); #531675=CARTESIAN_POINT('',(1.4,-0.695,0.13)); #531676=CARTESIAN_POINT('',(1.4,-0.695,0.13)); #531677=CARTESIAN_POINT('',(1.4,-0.95,0.13)); #531678=CARTESIAN_POINT('Origin',(1.0325,-0.95,0.13)); #531679=CARTESIAN_POINT('',(1.0325,-0.695,0.13)); #531680=CARTESIAN_POINT('',(1.0325,-0.695,0.13)); #531681=CARTESIAN_POINT('',(1.0325,-0.95,0.13)); #531682=CARTESIAN_POINT('Origin',(1.0325,-0.95,0.1625)); #531683=CARTESIAN_POINT('',(1.,-0.695,0.1625)); #531684=CARTESIAN_POINT('Origin',(1.0325,-0.695,0.1625)); #531685=CARTESIAN_POINT('',(1.,-0.95,0.1625)); #531686=CARTESIAN_POINT('Origin',(1.,-0.95,0.535)); #531687=CARTESIAN_POINT('',(1.,-0.695,0.535)); #531688=CARTESIAN_POINT('',(1.,-0.695,0.535)); #531689=CARTESIAN_POINT('',(1.,-0.95,0.535)); #531690=CARTESIAN_POINT('Origin',(0.87,-0.95,0.535)); #531691=CARTESIAN_POINT('',(0.87,-0.695,0.665)); #531692=CARTESIAN_POINT('Origin',(0.87,-0.695,0.535)); #531693=CARTESIAN_POINT('',(0.87,-0.95,0.665)); #531694=CARTESIAN_POINT('Origin',(0.85,-0.95,0.665)); #531695=CARTESIAN_POINT('',(0.85,-0.695,0.665)); #531696=CARTESIAN_POINT('',(0.85,-0.695,0.665)); #531697=CARTESIAN_POINT('',(0.85,-0.95,0.665)); #531698=CARTESIAN_POINT('Origin',(0.85,-0.95,0.535)); #531699=CARTESIAN_POINT('',(0.85,-0.695,0.535)); #531700=CARTESIAN_POINT('',(0.85,-0.695,0.535)); #531701=CARTESIAN_POINT('',(0.85,-0.95,0.535)); #531702=CARTESIAN_POINT('Origin',(0.8375,-0.95,0.535)); #531703=CARTESIAN_POINT('',(0.8375,-0.695,0.535)); #531704=CARTESIAN_POINT('',(0.8375,-0.695,0.535)); #531705=CARTESIAN_POINT('',(0.8375,-0.95,0.535)); #531706=CARTESIAN_POINT('Origin',(0.8375,-0.95,0.5025)); #531707=CARTESIAN_POINT('',(0.87,-0.695,0.5025)); #531708=CARTESIAN_POINT('Origin',(0.8375,-0.695,0.5025)); #531709=CARTESIAN_POINT('',(0.87,-0.95,0.5025)); #531710=CARTESIAN_POINT('Origin',(0.87,-0.95,0.13)); #531711=CARTESIAN_POINT('',(0.87,-0.695,0.13)); #531712=CARTESIAN_POINT('',(0.87,-0.695,0.13)); #531713=CARTESIAN_POINT('',(0.87,-0.95,0.13)); #531714=CARTESIAN_POINT('Origin',(1.,-0.95,0.13)); #531715=CARTESIAN_POINT('Origin',(1.,-0.695,0.13)); #531716=CARTESIAN_POINT('Origin',(1.11875,-0.695,0.3325)); #531717=CARTESIAN_POINT('Origin',(-1.11875,1.205,0.3325)); #531718=CARTESIAN_POINT('',(-0.87,1.205,0.13)); #531719=CARTESIAN_POINT('',(-1.,1.205,1.73472347597681E-17)); #531720=CARTESIAN_POINT('Origin',(-1.,1.205,0.13)); #531721=CARTESIAN_POINT('',(-1.4,1.205,0.)); #531722=CARTESIAN_POINT('',(-1.4,1.205,0.)); #531723=CARTESIAN_POINT('',(-1.4,1.205,0.13)); #531724=CARTESIAN_POINT('',(-1.4,1.205,0.13)); #531725=CARTESIAN_POINT('',(-1.0325,1.205,0.13)); #531726=CARTESIAN_POINT('',(-1.0325,1.205,0.13)); #531727=CARTESIAN_POINT('',(-1.,1.205,0.1625)); #531728=CARTESIAN_POINT('Origin',(-1.0325,1.205,0.1625)); #531729=CARTESIAN_POINT('',(-1.,1.205,0.535)); #531730=CARTESIAN_POINT('',(-1.,1.205,0.535)); #531731=CARTESIAN_POINT('',(-0.87,1.205,0.665)); #531732=CARTESIAN_POINT('Origin',(-0.87,1.205,0.535)); #531733=CARTESIAN_POINT('',(-0.85,1.205,0.665)); #531734=CARTESIAN_POINT('',(-0.85,1.205,0.665)); #531735=CARTESIAN_POINT('',(-0.85,1.205,0.535)); #531736=CARTESIAN_POINT('',(-0.85,1.205,0.535)); #531737=CARTESIAN_POINT('',(-0.8375,1.205,0.535)); #531738=CARTESIAN_POINT('',(-0.8375,1.205,0.535)); #531739=CARTESIAN_POINT('',(-0.87,1.205,0.5025)); #531740=CARTESIAN_POINT('Origin',(-0.8375,1.205,0.5025)); #531741=CARTESIAN_POINT('',(-0.87,1.205,0.13)); #531742=CARTESIAN_POINT('Origin',(-1.4,0.95,0.)); #531743=CARTESIAN_POINT('',(-1.,0.695,1.73472347597681E-17)); #531744=CARTESIAN_POINT('',(-1.,0.95,1.73472347597681E-17)); #531745=CARTESIAN_POINT('',(-1.4,0.695,0.)); #531746=CARTESIAN_POINT('',(-1.4,0.695,0.)); #531747=CARTESIAN_POINT('',(-1.4,0.95,0.)); #531748=CARTESIAN_POINT('Origin',(-1.4,0.95,0.13)); #531749=CARTESIAN_POINT('',(-1.4,0.695,0.13)); #531750=CARTESIAN_POINT('',(-1.4,0.695,0.13)); #531751=CARTESIAN_POINT('',(-1.4,0.95,0.13)); #531752=CARTESIAN_POINT('Origin',(-1.0325,0.95,0.13)); #531753=CARTESIAN_POINT('',(-1.0325,0.695,0.13)); #531754=CARTESIAN_POINT('',(-1.0325,0.695,0.13)); #531755=CARTESIAN_POINT('',(-1.0325,0.95,0.13)); #531756=CARTESIAN_POINT('Origin',(-1.0325,0.95,0.1625)); #531757=CARTESIAN_POINT('',(-1.,0.695,0.1625)); #531758=CARTESIAN_POINT('Origin',(-1.0325,0.695,0.1625)); #531759=CARTESIAN_POINT('',(-1.,0.95,0.1625)); #531760=CARTESIAN_POINT('Origin',(-1.,0.95,0.535)); #531761=CARTESIAN_POINT('',(-1.,0.695,0.535)); #531762=CARTESIAN_POINT('',(-1.,0.695,0.535)); #531763=CARTESIAN_POINT('',(-1.,0.95,0.535)); #531764=CARTESIAN_POINT('Origin',(-0.87,0.95,0.535)); #531765=CARTESIAN_POINT('',(-0.87,0.695,0.665)); #531766=CARTESIAN_POINT('Origin',(-0.87,0.695,0.535)); #531767=CARTESIAN_POINT('',(-0.87,0.95,0.665)); #531768=CARTESIAN_POINT('Origin',(-0.85,0.95,0.665)); #531769=CARTESIAN_POINT('',(-0.85,0.695,0.665)); #531770=CARTESIAN_POINT('',(-0.85,0.695,0.665)); #531771=CARTESIAN_POINT('',(-0.85,0.95,0.665)); #531772=CARTESIAN_POINT('Origin',(-0.85,0.95,0.535)); #531773=CARTESIAN_POINT('',(-0.85,0.695,0.535)); #531774=CARTESIAN_POINT('',(-0.85,0.695,0.535)); #531775=CARTESIAN_POINT('',(-0.85,0.95,0.535)); #531776=CARTESIAN_POINT('Origin',(-0.8375,0.95,0.535)); #531777=CARTESIAN_POINT('',(-0.8375,0.695,0.535)); #531778=CARTESIAN_POINT('',(-0.8375,0.695,0.535)); #531779=CARTESIAN_POINT('',(-0.8375,0.95,0.535)); #531780=CARTESIAN_POINT('Origin',(-0.8375,0.95,0.5025)); #531781=CARTESIAN_POINT('',(-0.87,0.695,0.5025)); #531782=CARTESIAN_POINT('Origin',(-0.8375,0.695,0.5025)); #531783=CARTESIAN_POINT('',(-0.87,0.95,0.5025)); #531784=CARTESIAN_POINT('Origin',(-0.87,0.95,0.13)); #531785=CARTESIAN_POINT('',(-0.87,0.695,0.13)); #531786=CARTESIAN_POINT('',(-0.87,0.695,0.13)); #531787=CARTESIAN_POINT('',(-0.87,0.95,0.13)); #531788=CARTESIAN_POINT('Origin',(-1.,0.95,0.13)); #531789=CARTESIAN_POINT('Origin',(-1.,0.695,0.13)); #531790=CARTESIAN_POINT('Origin',(-1.11875,0.695,0.3325)); #531791=CARTESIAN_POINT('Origin',(-1.11875,0.255,0.3325)); #531792=CARTESIAN_POINT('',(-0.87,0.255,0.13)); #531793=CARTESIAN_POINT('',(-1.,0.255,1.73472347597681E-17)); #531794=CARTESIAN_POINT('Origin',(-1.,0.255,0.13)); #531795=CARTESIAN_POINT('',(-1.4,0.255,0.)); #531796=CARTESIAN_POINT('',(-1.4,0.255,0.)); #531797=CARTESIAN_POINT('',(-1.4,0.255,0.13)); #531798=CARTESIAN_POINT('',(-1.4,0.255,0.13)); #531799=CARTESIAN_POINT('',(-1.0325,0.255,0.13)); #531800=CARTESIAN_POINT('',(-1.0325,0.255,0.13)); #531801=CARTESIAN_POINT('',(-1.,0.255,0.1625)); #531802=CARTESIAN_POINT('Origin',(-1.0325,0.255,0.1625)); #531803=CARTESIAN_POINT('',(-1.,0.255,0.535)); #531804=CARTESIAN_POINT('',(-1.,0.255,0.535)); #531805=CARTESIAN_POINT('',(-0.87,0.255,0.665)); #531806=CARTESIAN_POINT('Origin',(-0.87,0.255,0.535)); #531807=CARTESIAN_POINT('',(-0.85,0.255,0.665)); #531808=CARTESIAN_POINT('',(-0.85,0.255,0.665)); #531809=CARTESIAN_POINT('',(-0.85,0.255,0.535)); #531810=CARTESIAN_POINT('',(-0.85,0.255,0.535)); #531811=CARTESIAN_POINT('',(-0.8375,0.255,0.535)); #531812=CARTESIAN_POINT('',(-0.8375,0.255,0.535)); #531813=CARTESIAN_POINT('',(-0.87,0.255,0.5025)); #531814=CARTESIAN_POINT('Origin',(-0.8375,0.255,0.5025)); #531815=CARTESIAN_POINT('',(-0.87,0.255,0.13)); #531816=CARTESIAN_POINT('Origin',(-1.4,0.,0.)); #531817=CARTESIAN_POINT('',(-1.,-0.255,1.73472347597681E-17)); #531818=CARTESIAN_POINT('',(-1.,0.,1.73472347597681E-17)); #531819=CARTESIAN_POINT('',(-1.4,-0.255,0.)); #531820=CARTESIAN_POINT('',(-1.4,-0.255,0.)); #531821=CARTESIAN_POINT('',(-1.4,0.,0.)); #531822=CARTESIAN_POINT('Origin',(-1.4,0.,0.13)); #531823=CARTESIAN_POINT('',(-1.4,-0.255,0.13)); #531824=CARTESIAN_POINT('',(-1.4,-0.255,0.13)); #531825=CARTESIAN_POINT('',(-1.4,0.,0.13)); #531826=CARTESIAN_POINT('Origin',(-1.0325,0.,0.13)); #531827=CARTESIAN_POINT('',(-1.0325,-0.255,0.13)); #531828=CARTESIAN_POINT('',(-1.0325,-0.255,0.13)); #531829=CARTESIAN_POINT('',(-1.0325,0.,0.13)); #531830=CARTESIAN_POINT('Origin',(-1.0325,0.,0.1625)); #531831=CARTESIAN_POINT('',(-1.,-0.255,0.1625)); #531832=CARTESIAN_POINT('Origin',(-1.0325,-0.255,0.1625)); #531833=CARTESIAN_POINT('',(-1.,0.,0.1625)); #531834=CARTESIAN_POINT('Origin',(-1.,0.,0.535)); #531835=CARTESIAN_POINT('',(-1.,-0.255,0.535)); #531836=CARTESIAN_POINT('',(-1.,-0.255,0.535)); #531837=CARTESIAN_POINT('',(-1.,0.,0.535)); #531838=CARTESIAN_POINT('Origin',(-0.87,0.,0.535)); #531839=CARTESIAN_POINT('',(-0.87,-0.255,0.665)); #531840=CARTESIAN_POINT('Origin',(-0.87,-0.255,0.535)); #531841=CARTESIAN_POINT('',(-0.87,0.,0.665)); #531842=CARTESIAN_POINT('Origin',(-0.85,0.,0.665)); #531843=CARTESIAN_POINT('',(-0.85,-0.255,0.665)); #531844=CARTESIAN_POINT('',(-0.85,-0.255,0.665)); #531845=CARTESIAN_POINT('',(-0.85,0.,0.665)); #531846=CARTESIAN_POINT('Origin',(-0.85,0.,0.535)); #531847=CARTESIAN_POINT('',(-0.85,-0.255,0.535)); #531848=CARTESIAN_POINT('',(-0.85,-0.255,0.535)); #531849=CARTESIAN_POINT('',(-0.85,0.,0.535)); #531850=CARTESIAN_POINT('Origin',(-0.8375,0.,0.535)); #531851=CARTESIAN_POINT('',(-0.8375,-0.255,0.535)); #531852=CARTESIAN_POINT('',(-0.8375,-0.255,0.535)); #531853=CARTESIAN_POINT('',(-0.8375,0.,0.535)); #531854=CARTESIAN_POINT('Origin',(-0.8375,0.,0.5025)); #531855=CARTESIAN_POINT('',(-0.87,-0.255,0.5025)); #531856=CARTESIAN_POINT('Origin',(-0.8375,-0.255,0.5025)); #531857=CARTESIAN_POINT('',(-0.87,0.,0.5025)); #531858=CARTESIAN_POINT('Origin',(-0.87,0.,0.13)); #531859=CARTESIAN_POINT('',(-0.87,-0.255,0.13)); #531860=CARTESIAN_POINT('',(-0.87,-0.255,0.13)); #531861=CARTESIAN_POINT('',(-0.87,0.,0.13)); #531862=CARTESIAN_POINT('Origin',(-1.,0.,0.13)); #531863=CARTESIAN_POINT('Origin',(-1.,-0.255,0.13)); #531864=CARTESIAN_POINT('Origin',(-1.11875,-0.255,0.3325)); #531865=CARTESIAN_POINT('Origin',(-1.11875,-0.695,0.3325)); #531866=CARTESIAN_POINT('',(-0.87,-0.695,0.13)); #531867=CARTESIAN_POINT('',(-1.,-0.695,1.73472347597681E-17)); #531868=CARTESIAN_POINT('Origin',(-1.,-0.695,0.13)); #531869=CARTESIAN_POINT('',(-1.4,-0.695,0.)); #531870=CARTESIAN_POINT('',(-1.4,-0.695,0.)); #531871=CARTESIAN_POINT('',(-1.4,-0.695,0.13)); #531872=CARTESIAN_POINT('',(-1.4,-0.695,0.13)); #531873=CARTESIAN_POINT('',(-1.0325,-0.695,0.13)); #531874=CARTESIAN_POINT('',(-1.0325,-0.695,0.13)); #531875=CARTESIAN_POINT('',(-1.,-0.695,0.1625)); #531876=CARTESIAN_POINT('Origin',(-1.0325,-0.695,0.1625)); #531877=CARTESIAN_POINT('',(-1.,-0.695,0.535)); #531878=CARTESIAN_POINT('',(-1.,-0.695,0.535)); #531879=CARTESIAN_POINT('',(-0.87,-0.695,0.665)); #531880=CARTESIAN_POINT('Origin',(-0.87,-0.695,0.535)); #531881=CARTESIAN_POINT('',(-0.85,-0.695,0.665)); #531882=CARTESIAN_POINT('',(-0.85,-0.695,0.665)); #531883=CARTESIAN_POINT('',(-0.85,-0.695,0.535)); #531884=CARTESIAN_POINT('',(-0.85,-0.695,0.535)); #531885=CARTESIAN_POINT('',(-0.8375,-0.695,0.535)); #531886=CARTESIAN_POINT('',(-0.8375,-0.695,0.535)); #531887=CARTESIAN_POINT('',(-0.87,-0.695,0.5025)); #531888=CARTESIAN_POINT('Origin',(-0.8375,-0.695,0.5025)); #531889=CARTESIAN_POINT('',(-0.87,-0.695,0.13)); #531890=CARTESIAN_POINT('Origin',(-1.4,-0.95,0.)); #531891=CARTESIAN_POINT('',(-1.,-1.205,1.73472347597681E-17)); #531892=CARTESIAN_POINT('',(-1.,-0.95,1.73472347597681E-17)); #531893=CARTESIAN_POINT('',(-1.4,-1.205,0.)); #531894=CARTESIAN_POINT('',(-1.4,-1.205,0.)); #531895=CARTESIAN_POINT('',(-1.4,-0.95,0.)); #531896=CARTESIAN_POINT('Origin',(-1.4,-0.95,0.13)); #531897=CARTESIAN_POINT('',(-1.4,-1.205,0.13)); #531898=CARTESIAN_POINT('',(-1.4,-1.205,0.13)); #531899=CARTESIAN_POINT('',(-1.4,-0.95,0.13)); #531900=CARTESIAN_POINT('Origin',(-1.0325,-0.95,0.13)); #531901=CARTESIAN_POINT('',(-1.0325,-1.205,0.13)); #531902=CARTESIAN_POINT('',(-1.0325,-1.205,0.13)); #531903=CARTESIAN_POINT('',(-1.0325,-0.95,0.13)); #531904=CARTESIAN_POINT('Origin',(-1.0325,-0.95,0.1625)); #531905=CARTESIAN_POINT('',(-1.,-1.205,0.1625)); #531906=CARTESIAN_POINT('Origin',(-1.0325,-1.205,0.1625)); #531907=CARTESIAN_POINT('',(-1.,-0.95,0.1625)); #531908=CARTESIAN_POINT('Origin',(-1.,-0.95,0.535)); #531909=CARTESIAN_POINT('',(-1.,-1.205,0.535)); #531910=CARTESIAN_POINT('',(-1.,-1.205,0.535)); #531911=CARTESIAN_POINT('',(-1.,-0.95,0.535)); #531912=CARTESIAN_POINT('Origin',(-0.87,-0.95,0.535)); #531913=CARTESIAN_POINT('',(-0.87,-1.205,0.665)); #531914=CARTESIAN_POINT('Origin',(-0.87,-1.205,0.535)); #531915=CARTESIAN_POINT('',(-0.87,-0.95,0.665)); #531916=CARTESIAN_POINT('Origin',(-0.85,-0.95,0.665)); #531917=CARTESIAN_POINT('',(-0.85,-1.205,0.665)); #531918=CARTESIAN_POINT('',(-0.85,-1.205,0.665)); #531919=CARTESIAN_POINT('',(-0.85,-0.95,0.665)); #531920=CARTESIAN_POINT('Origin',(-0.85,-0.95,0.535)); #531921=CARTESIAN_POINT('',(-0.85,-1.205,0.535)); #531922=CARTESIAN_POINT('',(-0.85,-1.205,0.535)); #531923=CARTESIAN_POINT('',(-0.85,-0.95,0.535)); #531924=CARTESIAN_POINT('Origin',(-0.8375,-0.95,0.535)); #531925=CARTESIAN_POINT('',(-0.8375,-1.205,0.535)); #531926=CARTESIAN_POINT('',(-0.8375,-1.205,0.535)); #531927=CARTESIAN_POINT('',(-0.8375,-0.95,0.535)); #531928=CARTESIAN_POINT('Origin',(-0.8375,-0.95,0.5025)); #531929=CARTESIAN_POINT('',(-0.87,-1.205,0.5025)); #531930=CARTESIAN_POINT('Origin',(-0.8375,-1.205,0.5025)); #531931=CARTESIAN_POINT('',(-0.87,-0.95,0.5025)); #531932=CARTESIAN_POINT('Origin',(-0.87,-0.95,0.13)); #531933=CARTESIAN_POINT('',(-0.87,-1.205,0.13)); #531934=CARTESIAN_POINT('',(-0.87,-1.205,0.13)); #531935=CARTESIAN_POINT('',(-0.87,-0.95,0.13)); #531936=CARTESIAN_POINT('Origin',(-1.,-0.95,0.13)); #531937=CARTESIAN_POINT('Origin',(-1.,-1.205,0.13)); #531938=CARTESIAN_POINT('Origin',(-1.11875,-1.205,0.3325)); #531939=CARTESIAN_POINT('',(0.,0.,0.)); #531940=CARTESIAN_POINT('',(0.,0.,0.)); #531941=CARTESIAN_POINT('',(0.,0.,0.)); #531942=CARTESIAN_POINT('Origin',(-1.71,-0.91,1.56)); #531943=CARTESIAN_POINT('',(-1.75,-0.91,1.56)); #531944=CARTESIAN_POINT('',(-1.71,-0.91,1.6)); #531945=CARTESIAN_POINT('Origin',(-1.71,-0.91,1.56)); #531946=CARTESIAN_POINT('',(-1.71,-0.95,1.56)); #531947=CARTESIAN_POINT('Origin',(-1.71,-0.91,1.56)); #531948=CARTESIAN_POINT('Origin',(-1.71,-0.91,1.56)); #531949=CARTESIAN_POINT('Origin',(-0.89,-0.91,1.56)); #531950=CARTESIAN_POINT('',(-0.89,-0.95,1.56)); #531951=CARTESIAN_POINT('',(-0.89,-0.91,1.6)); #531952=CARTESIAN_POINT('Origin',(-0.89,-0.91,1.56)); #531953=CARTESIAN_POINT('',(-0.85,-0.91,1.56)); #531954=CARTESIAN_POINT('Origin',(-0.89,-0.91,1.56)); #531955=CARTESIAN_POINT('Origin',(-0.89,-0.91,1.56)); #531956=CARTESIAN_POINT('Origin',(-1.71,-0.91,0.04)); #531957=CARTESIAN_POINT('',(-1.75,-0.91,0.04)); #531958=CARTESIAN_POINT('',(-1.71,-0.95,0.04)); #531959=CARTESIAN_POINT('Origin',(-1.71,-0.91,0.04)); #531960=CARTESIAN_POINT('',(-1.71,-0.91,0.)); #531961=CARTESIAN_POINT('Origin',(-1.71,-0.91,0.04)); #531962=CARTESIAN_POINT('Origin',(-1.71,-0.91,0.04)); #531963=CARTESIAN_POINT('Origin',(-1.71,0.91,1.56)); #531964=CARTESIAN_POINT('',(-1.71,0.95,1.56)); #531965=CARTESIAN_POINT('',(-1.71,0.91,1.6)); #531966=CARTESIAN_POINT('Origin',(-1.71,0.91,1.56)); #531967=CARTESIAN_POINT('',(-1.75,0.91,1.56)); #531968=CARTESIAN_POINT('Origin',(-1.71,0.91,1.56)); #531969=CARTESIAN_POINT('Origin',(-1.71,0.91,1.56)); #531970=CARTESIAN_POINT('Origin',(-0.89,-0.91,0.04)); #531971=CARTESIAN_POINT('',(-0.89,-0.95,0.04)); #531972=CARTESIAN_POINT('',(-0.85,-0.91,0.04)); #531973=CARTESIAN_POINT('Origin',(-0.89,-0.91,0.04)); #531974=CARTESIAN_POINT('',(-0.89,-0.91,0.)); #531975=CARTESIAN_POINT('Origin',(-0.89,-0.91,0.04)); #531976=CARTESIAN_POINT('Origin',(-0.89,-0.91,0.04)); #531977=CARTESIAN_POINT('Origin',(-1.525,-0.91,0.04)); #531978=CARTESIAN_POINT('',(-1.525,-0.95,0.04)); #531979=CARTESIAN_POINT('',(-1.525,-0.91,0.)); #531980=CARTESIAN_POINT('Origin',(-0.89,0.91,1.56)); #531981=CARTESIAN_POINT('',(-0.85,0.91,1.56)); #531982=CARTESIAN_POINT('',(-0.89,0.91,1.6)); #531983=CARTESIAN_POINT('Origin',(-0.89,0.91,1.56)); #531984=CARTESIAN_POINT('',(-0.89,0.95,1.56)); #531985=CARTESIAN_POINT('Origin',(-0.89,0.91,1.56)); #531986=CARTESIAN_POINT('Origin',(-0.89,0.91,1.56)); #531987=CARTESIAN_POINT('Origin',(-1.71,0.91,0.04)); #531988=CARTESIAN_POINT('',(-1.71,0.91,0.)); #531989=CARTESIAN_POINT('',(-1.71,0.95,0.04)); #531990=CARTESIAN_POINT('Origin',(-1.71,0.91,0.04)); #531991=CARTESIAN_POINT('',(-1.75,0.91,0.04)); #531992=CARTESIAN_POINT('Origin',(-1.71,0.91,0.04)); #531993=CARTESIAN_POINT('Origin',(-1.71,0.91,0.04)); #531994=CARTESIAN_POINT('Origin',(-1.71,0.475,0.04)); #531995=CARTESIAN_POINT('',(-1.75,0.475,0.04)); #531996=CARTESIAN_POINT('',(-1.71,0.475,0.)); #531997=CARTESIAN_POINT('Origin',(-1.71,0.91,0.)); #531998=CARTESIAN_POINT('',(-1.71,0.95,0.)); #531999=CARTESIAN_POINT('',(-1.75,0.91,0.)); #532000=CARTESIAN_POINT('Origin',(-0.89,0.91,0.04)); #532001=CARTESIAN_POINT('',(-0.85,0.91,0.04)); #532002=CARTESIAN_POINT('',(-0.89,0.95,0.04)); #532003=CARTESIAN_POINT('Origin',(-0.89,0.91,0.04)); #532004=CARTESIAN_POINT('',(-0.89,0.91,0.)); #532005=CARTESIAN_POINT('Origin',(-0.89,0.91,0.04)); #532006=CARTESIAN_POINT('Origin',(-0.89,0.91,0.04)); #532007=CARTESIAN_POINT('Origin',(-0.89,-0.475,0.04)); #532008=CARTESIAN_POINT('',(-0.89,-0.475,0.)); #532009=CARTESIAN_POINT('',(-0.85,-0.475,0.04)); #532010=CARTESIAN_POINT('Origin',(-1.075,0.91,0.04)); #532011=CARTESIAN_POINT('',(-1.075,0.95,0.04)); #532012=CARTESIAN_POINT('',(-1.075,0.91,0.)); #532013=CARTESIAN_POINT('Origin',(-0.89,0.91,0.)); #532014=CARTESIAN_POINT('',(-0.85,0.91,0.)); #532015=CARTESIAN_POINT('',(-0.89,0.95,0.)); #532016=CARTESIAN_POINT('Origin',(-1.075,0.91,1.56)); #532017=CARTESIAN_POINT('',(-1.075,0.91,1.6)); #532018=CARTESIAN_POINT('',(-1.075,0.95,1.56)); #532019=CARTESIAN_POINT('Origin',(-0.89,-0.475,1.56)); #532020=CARTESIAN_POINT('',(-0.89,-0.475,1.6)); #532021=CARTESIAN_POINT('',(-0.85,-0.475,1.56)); #532022=CARTESIAN_POINT('Origin',(-0.89,-0.91,0.)); #532023=CARTESIAN_POINT('',(-0.89,-0.95,0.)); #532024=CARTESIAN_POINT('',(-0.85,-0.91,0.)); #532025=CARTESIAN_POINT('Origin',(-1.71,0.475,1.56)); #532026=CARTESIAN_POINT('',(-1.71,0.475,1.6)); #532027=CARTESIAN_POINT('',(-1.75,0.475,1.56)); #532028=CARTESIAN_POINT('Origin',(-1.71,-0.91,0.)); #532029=CARTESIAN_POINT('',(-1.75,-0.91,0.)); #532030=CARTESIAN_POINT('',(-1.71,-0.95,0.)); #532031=CARTESIAN_POINT('Origin',(-1.525,-0.91,1.56)); #532032=CARTESIAN_POINT('',(-1.525,-0.91,1.6)); #532033=CARTESIAN_POINT('',(-1.525,-0.95,1.56)); #532034=CARTESIAN_POINT('Origin',(-1.75,-0.95,0.)); #532035=CARTESIAN_POINT('Origin',(-1.3,0.,0.)); #532036=CARTESIAN_POINT('Origin',(-1.75,0.95,0.)); #532037=CARTESIAN_POINT('Origin',(-0.85,0.95,0.)); #532038=CARTESIAN_POINT('Origin',(-0.85,-0.95,0.)); #532039=CARTESIAN_POINT('Origin',(-1.3,0.,1.6)); #532040=CARTESIAN_POINT('Origin',(0.89,-0.91,1.56)); #532041=CARTESIAN_POINT('',(0.85,-0.91,1.56)); #532042=CARTESIAN_POINT('',(0.89,-0.91,1.6)); #532043=CARTESIAN_POINT('Origin',(0.89,-0.91,1.56)); #532044=CARTESIAN_POINT('',(0.89,-0.95,1.56)); #532045=CARTESIAN_POINT('Origin',(0.89,-0.91,1.56)); #532046=CARTESIAN_POINT('Origin',(0.89,-0.91,1.56)); #532047=CARTESIAN_POINT('Origin',(1.71,-0.91,1.56)); #532048=CARTESIAN_POINT('',(1.71,-0.95,1.56)); #532049=CARTESIAN_POINT('',(1.71,-0.91,1.6)); #532050=CARTESIAN_POINT('Origin',(1.71,-0.91,1.56)); #532051=CARTESIAN_POINT('',(1.75,-0.91,1.56)); #532052=CARTESIAN_POINT('Origin',(1.71,-0.91,1.56)); #532053=CARTESIAN_POINT('Origin',(1.71,-0.91,1.56)); #532054=CARTESIAN_POINT('Origin',(0.89,-0.91,0.04)); #532055=CARTESIAN_POINT('',(0.85,-0.91,0.04)); #532056=CARTESIAN_POINT('',(0.89,-0.95,0.04)); #532057=CARTESIAN_POINT('Origin',(0.89,-0.91,0.04)); #532058=CARTESIAN_POINT('',(0.89,-0.91,0.)); #532059=CARTESIAN_POINT('Origin',(0.89,-0.91,0.04)); #532060=CARTESIAN_POINT('Origin',(0.89,-0.91,0.04)); #532061=CARTESIAN_POINT('Origin',(0.89,0.91,1.56)); #532062=CARTESIAN_POINT('',(0.89,0.95,1.56)); #532063=CARTESIAN_POINT('',(0.89,0.91,1.6)); #532064=CARTESIAN_POINT('Origin',(0.89,0.91,1.56)); #532065=CARTESIAN_POINT('',(0.85,0.91,1.56)); #532066=CARTESIAN_POINT('Origin',(0.89,0.91,1.56)); #532067=CARTESIAN_POINT('Origin',(0.89,0.91,1.56)); #532068=CARTESIAN_POINT('Origin',(1.71,-0.91,0.04)); #532069=CARTESIAN_POINT('',(1.71,-0.95,0.04)); #532070=CARTESIAN_POINT('',(1.75,-0.91,0.04)); #532071=CARTESIAN_POINT('Origin',(1.71,-0.91,0.04)); #532072=CARTESIAN_POINT('',(1.71,-0.91,0.)); #532073=CARTESIAN_POINT('Origin',(1.71,-0.91,0.04)); #532074=CARTESIAN_POINT('Origin',(1.71,-0.91,0.04)); #532075=CARTESIAN_POINT('Origin',(1.075,-0.91,0.04)); #532076=CARTESIAN_POINT('',(1.075,-0.95,0.04)); #532077=CARTESIAN_POINT('',(1.075,-0.91,0.)); #532078=CARTESIAN_POINT('Origin',(1.71,0.91,1.56)); #532079=CARTESIAN_POINT('',(1.75,0.91,1.56)); #532080=CARTESIAN_POINT('',(1.71,0.91,1.6)); #532081=CARTESIAN_POINT('Origin',(1.71,0.91,1.56)); #532082=CARTESIAN_POINT('',(1.71,0.95,1.56)); #532083=CARTESIAN_POINT('Origin',(1.71,0.91,1.56)); #532084=CARTESIAN_POINT('Origin',(1.71,0.91,1.56)); #532085=CARTESIAN_POINT('Origin',(0.89,0.91,0.04)); #532086=CARTESIAN_POINT('',(0.89,0.91,0.)); #532087=CARTESIAN_POINT('',(0.89,0.95,0.04)); #532088=CARTESIAN_POINT('Origin',(0.89,0.91,0.04)); #532089=CARTESIAN_POINT('',(0.85,0.91,0.04)); #532090=CARTESIAN_POINT('Origin',(0.89,0.91,0.04)); #532091=CARTESIAN_POINT('Origin',(0.89,0.91,0.04)); #532092=CARTESIAN_POINT('Origin',(0.89,0.475,0.04)); #532093=CARTESIAN_POINT('',(0.85,0.475,0.04)); #532094=CARTESIAN_POINT('',(0.89,0.475,0.)); #532095=CARTESIAN_POINT('Origin',(0.89,0.91,0.)); #532096=CARTESIAN_POINT('',(0.89,0.95,0.)); #532097=CARTESIAN_POINT('',(0.85,0.91,0.)); #532098=CARTESIAN_POINT('Origin',(1.71,0.91,0.04)); #532099=CARTESIAN_POINT('',(1.75,0.91,0.04)); #532100=CARTESIAN_POINT('',(1.71,0.95,0.04)); #532101=CARTESIAN_POINT('Origin',(1.71,0.91,0.04)); #532102=CARTESIAN_POINT('',(1.71,0.91,0.)); #532103=CARTESIAN_POINT('Origin',(1.71,0.91,0.04)); #532104=CARTESIAN_POINT('Origin',(1.71,0.91,0.04)); #532105=CARTESIAN_POINT('Origin',(1.71,-0.475,0.04)); #532106=CARTESIAN_POINT('',(1.75,-0.475,0.04)); #532107=CARTESIAN_POINT('',(1.71,-0.475,0.)); #532108=CARTESIAN_POINT('Origin',(1.525,0.91,0.04)); #532109=CARTESIAN_POINT('',(1.525,0.95,0.04)); #532110=CARTESIAN_POINT('',(1.525,0.91,0.)); #532111=CARTESIAN_POINT('Origin',(1.71,0.91,0.)); #532112=CARTESIAN_POINT('',(1.75,0.91,0.)); #532113=CARTESIAN_POINT('',(1.71,0.95,0.)); #532114=CARTESIAN_POINT('Origin',(1.525,0.91,1.56)); #532115=CARTESIAN_POINT('',(1.525,0.91,1.6)); #532116=CARTESIAN_POINT('',(1.525,0.95,1.56)); #532117=CARTESIAN_POINT('Origin',(1.71,-0.475,1.56)); #532118=CARTESIAN_POINT('',(1.71,-0.475,1.6)); #532119=CARTESIAN_POINT('',(1.75,-0.475,1.56)); #532120=CARTESIAN_POINT('Origin',(1.71,-0.91,0.)); #532121=CARTESIAN_POINT('',(1.71,-0.95,0.)); #532122=CARTESIAN_POINT('',(1.75,-0.91,0.)); #532123=CARTESIAN_POINT('Origin',(0.89,0.475,1.56)); #532124=CARTESIAN_POINT('',(0.89,0.475,1.6)); #532125=CARTESIAN_POINT('',(0.85,0.475,1.56)); #532126=CARTESIAN_POINT('Origin',(0.89,-0.91,0.)); #532127=CARTESIAN_POINT('',(0.85,-0.91,0.)); #532128=CARTESIAN_POINT('',(0.89,-0.95,0.)); #532129=CARTESIAN_POINT('Origin',(1.075,-0.91,1.56)); #532130=CARTESIAN_POINT('',(1.075,-0.91,1.6)); #532131=CARTESIAN_POINT('',(1.075,-0.95,1.56)); #532132=CARTESIAN_POINT('Origin',(0.85,-0.95,0.)); #532133=CARTESIAN_POINT('Origin',(1.3,0.,0.)); #532134=CARTESIAN_POINT('Origin',(0.85,0.95,0.)); #532135=CARTESIAN_POINT('Origin',(1.75,0.95,0.)); #532136=CARTESIAN_POINT('Origin',(1.75,-0.95,0.)); #532137=CARTESIAN_POINT('Origin',(1.3,0.,1.6)); #532138=CARTESIAN_POINT('Origin',(0.85,-0.95,0.)); #532139=CARTESIAN_POINT('',(0.85,0.95,0.)); #532140=CARTESIAN_POINT('',(0.85,-0.95,0.)); #532141=CARTESIAN_POINT('',(0.85,0.95,0.)); #532142=CARTESIAN_POINT('',(0.85,0.95,1.6)); #532143=CARTESIAN_POINT('',(0.85,0.95,0.)); #532144=CARTESIAN_POINT('',(0.85,-0.95,1.6)); #532145=CARTESIAN_POINT('',(0.85,0.95,1.6)); #532146=CARTESIAN_POINT('',(0.85,-0.95,0.)); #532147=CARTESIAN_POINT('Origin',(-0.85,-0.95,0.)); #532148=CARTESIAN_POINT('',(-0.85,-0.95,0.)); #532149=CARTESIAN_POINT('',(-1.75,-0.95,0.)); #532150=CARTESIAN_POINT('',(-0.85,-0.95,1.6)); #532151=CARTESIAN_POINT('',(-1.75,-0.95,1.6)); #532152=CARTESIAN_POINT('',(-0.85,-0.95,0.)); #532153=CARTESIAN_POINT('Origin',(-0.85,0.95,0.)); #532154=CARTESIAN_POINT('',(-0.85,0.95,0.)); #532155=CARTESIAN_POINT('',(-0.85,0.95,0.)); #532156=CARTESIAN_POINT('',(-0.85,0.95,1.6)); #532157=CARTESIAN_POINT('',(-0.85,0.95,1.6)); #532158=CARTESIAN_POINT('',(-0.85,0.95,0.)); #532159=CARTESIAN_POINT('Origin',(0.85,0.95,0.)); #532160=CARTESIAN_POINT('',(1.75,0.95,0.)); #532161=CARTESIAN_POINT('',(1.75,0.95,1.6)); #532162=CARTESIAN_POINT('Origin',(0.,0.,1.6)); #532163=CARTESIAN_POINT('Origin',(0.,0.,0.)); #532164=CARTESIAN_POINT('',(0.,0.,0.)); #532165=CARTESIAN_POINT('',(34.,45.,1.5525)); #532166=CARTESIAN_POINT('',(30.,66.,-0.0525)); #532167=CARTESIAN_POINT('',(34.,66.,-0.0525)); #532168=CARTESIAN_POINT('',(38.,66.,-0.0525)); #532169=CARTESIAN_POINT('',(17.,50.5,1.5525)); #532170=CARTESIAN_POINT('',(51.,50.5,1.5525)); #532171=CARTESIAN_POINT('',(37.75,53.,1.5525)); #532172=CARTESIAN_POINT('',(30.25,53.,1.5525)); #532173=CARTESIAN_POINT('',(48.,41.,1.5525)); #532174=CARTESIAN_POINT('',(44.,41.,1.5525)); #532175=CARTESIAN_POINT('',(20.,41.,1.5525)); #532176=CARTESIAN_POINT('',(24.,41.,1.5525)); #532177=CARTESIAN_POINT('',(23.,26.,1.5525)); #532178=CARTESIAN_POINT('',(21.,15.,1.5525)); #532179=CARTESIAN_POINT('',(25.,15.,1.5525)); #532180=CARTESIAN_POINT('',(21.,18.,1.5525)); #532181=CARTESIAN_POINT('',(25.,18.,1.5525)); #532182=CARTESIAN_POINT('',(21.,21.,1.5525)); #532183=CARTESIAN_POINT('',(25.,21.,1.5525)); #532184=CARTESIAN_POINT('',(45.,26.,1.5525)); #532185=CARTESIAN_POINT('',(30.,60.25,1.5525)); #532186=CARTESIAN_POINT('',(34.,60.25,1.5525)); #532187=CARTESIAN_POINT('',(38.,60.25,1.5525)); #532188=CARTESIAN_POINT('',(27.,19.,-0.0525)); #532189=CARTESIAN_POINT('',(27.,23.,-0.0525)); #532190=CARTESIAN_POINT('',(46.75,27.,-0.0525)); #532191=CARTESIAN_POINT('',(34.,2.5,-0.0525)); #532192=CARTESIAN_POINT('',(23.,34.,1.5525)); #532193=CARTESIAN_POINT('',(45.,34.,1.5525)); #532194=CARTESIAN_POINT('',(41.,23.,-0.0525)); #532195=CARTESIAN_POINT('',(49.25,27.,-0.0525)); #532196=CARTESIAN_POINT('',(55.,50.5,1.5525)); #532197=CARTESIAN_POINT('',(37.75,57.,1.5525)); #532198=CARTESIAN_POINT('',(30.25,57.,1.5525)); #532199=CARTESIAN_POINT('',(38.,38.,1.5525)); #532200=CARTESIAN_POINT('',(30.,38.,1.5525)); #532201=CARTESIAN_POINT('',(13.,50.5,1.5525)); #532202=CARTESIAN_POINT('',(54.,45.,-0.0525)); #532203=CARTESIAN_POINT('',(54.,42.,-0.0525)); #532204=CARTESIAN_POINT('',(14.,45.,-0.0525)); #532205=CARTESIAN_POINT('',(14.,42.,-0.0525)); #532206=CARTESIAN_POINT('',(21.,29.,1.5525)); #532207=CARTESIAN_POINT('',(25.,29.,1.5525)); #532208=CARTESIAN_POINT('',(20.,26.,-0.0525)); #532209=CARTESIAN_POINT('',(20.,20.,-0.0525)); #532210=CARTESIAN_POINT('',(54.,26.,-0.0525)); #532211=CARTESIAN_POINT('',(54.,26.,1.5525)); #532212=CARTESIAN_POINT('',(54.,21.,1.5525)); #532213=CARTESIAN_POINT('',(14.,26.,-0.0525)); #532214=CARTESIAN_POINT('',(14.,26.,1.5525)); #532215=CARTESIAN_POINT('',(14.,21.,1.5525)); #532216=CARTESIAN_POINT('',(54.,34.,1.5525)); #532217=CARTESIAN_POINT('',(14.,34.,1.5525)); #532218=CARTESIAN_POINT('',(45.,17.,1.5525)); #532219=CARTESIAN_POINT('',(46.,47.,-0.0525)); #532220=CARTESIAN_POINT('',(46.,56.,-0.0525)); #532221=CARTESIAN_POINT('',(22.,47.,-0.0525)); #532222=CARTESIAN_POINT('',(22.,56.,-0.0525)); #532223=CARTESIAN_POINT('',(34.,55.,-0.0525)); #532224=CARTESIAN_POINT('',(34.,34.,-0.0525)); #532225=CARTESIAN_POINT('',(34.,17.,1.5525)); #532226=CARTESIAN_POINT('',(53.,47.,1.5525)); #532227=CARTESIAN_POINT('',(15.,47.,1.5525)); #532228=CARTESIAN_POINT('',(38.,31.,1.5525)); #532229=CARTESIAN_POINT('',(30.,31.,1.5525)); #532230=CARTESIAN_POINT('',(48.,21.,-0.0525)); #532231=CARTESIAN_POINT('',(20.,23.,-0.0525)); #532232=CARTESIAN_POINT('',(45.,12.25,1.5525)); #532233=CARTESIAN_POINT('',(0.,0.,0.)); #532234=CARTESIAN_POINT('',(0.,0.,1.5)); #532235=CARTESIAN_POINT('',(0.,0.,-0.035)); #532236=CARTESIAN_POINT('',(0.,0.,1.5)); #532237=CARTESIAN_POINT('',(0.,0.,0.)); #532238=CARTESIAN_POINT('',(0.,0.,0.)); #532239=CARTESIAN_POINT('Origin',(0.,0.,0.)); #532240=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532241=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532242=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532243=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532244=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532245=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532246=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532247=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532248=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532249=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532250=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532251=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532252=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532253=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532254=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532255=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532256=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532257=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532258=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532259=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532260=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532261=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532262=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532263=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532264=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532265=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532266=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532267=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532268=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532269=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532270=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532271=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532272=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532273=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532274=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532275=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532276=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532277=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532278=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532279=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532280=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532281=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532282=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532283=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532284=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532285=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532286=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532287=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532288=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532289=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532290=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532291=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532292=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532293=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532294=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532295=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532296=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532297=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532298=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532299=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532300=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532301=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532302=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#532366, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #532303=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532240)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532304=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532241)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532305=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532242)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532306=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532243)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532307=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532244)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532308=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532245)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532309=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532246)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532310=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532247)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532311=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532248)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532312=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532249)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532313=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532250)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532314=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532251)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532315=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532252)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532316=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532253)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532317=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532254)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532318=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532255)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532319=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532256)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532320=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532257)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532321=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532258)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532322=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532259)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532323=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532260)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532324=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532261)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532325=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532262)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532326=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532263)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532327=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532264)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532328=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532265)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532329=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532266)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532330=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532267)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532331=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532268)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532332=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532269)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532333=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532270)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532334=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532271)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532335=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532272)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532336=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532273)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532337=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532274)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532338=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532275)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532339=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532276)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532340=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532277)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532341=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532278)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532342=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532279)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532343=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532280)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532344=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532281)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532345=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532282)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532346=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532283)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532347=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532284)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532348=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532285)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532349=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532286)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532350=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532287)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532351=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532288)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532352=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532289)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532353=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532290)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532354=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532291)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532355=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532292)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532356=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532293)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532357=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532294)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532358=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532295)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532359=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532296)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532360=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532297)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532361=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532298)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532362=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532299)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532363=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532300)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532364=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532301)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532365=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#532302)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#532366,#532368,#532369)) REPRESENTATION_CONTEXT('','3D') ); #532366=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT(.MILLI.,.METRE.) ); #532367=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT($,.METRE.) ); #532368=( NAMED_UNIT(*) PLANE_ANGLE_UNIT() SI_UNIT($,.RADIAN.) ); #532369=( NAMED_UNIT(*) SI_UNIT($,.STERADIAN.) SOLID_ANGLE_UNIT() ); #532370=SHAPE_DEFINITION_REPRESENTATION(#532432,#532606); #532371=SHAPE_DEFINITION_REPRESENTATION(#532433,#532607); #532372=SHAPE_DEFINITION_REPRESENTATION(#532434,#532608); #532373=SHAPE_DEFINITION_REPRESENTATION(#532435,#532609); #532374=SHAPE_DEFINITION_REPRESENTATION(#532436,#532610); #532375=SHAPE_DEFINITION_REPRESENTATION(#532437,#532611); #532376=SHAPE_DEFINITION_REPRESENTATION(#532438,#532612); #532377=SHAPE_DEFINITION_REPRESENTATION(#532439,#532613); #532378=SHAPE_DEFINITION_REPRESENTATION(#532440,#532614); #532379=SHAPE_DEFINITION_REPRESENTATION(#532442,#532615); #532380=SHAPE_DEFINITION_REPRESENTATION(#532443,#532616); #532381=SHAPE_DEFINITION_REPRESENTATION(#532445,#532617); #532382=SHAPE_DEFINITION_REPRESENTATION(#532446,#532618); #532383=SHAPE_DEFINITION_REPRESENTATION(#532447,#532619); #532384=SHAPE_DEFINITION_REPRESENTATION(#532448,#532620); #532385=SHAPE_DEFINITION_REPRESENTATION(#532449,#532621); #532386=SHAPE_DEFINITION_REPRESENTATION(#532450,#532622); #532387=SHAPE_DEFINITION_REPRESENTATION(#532451,#532623); #532388=SHAPE_DEFINITION_REPRESENTATION(#532452,#532624); #532389=SHAPE_DEFINITION_REPRESENTATION(#532453,#532625); #532390=SHAPE_DEFINITION_REPRESENTATION(#532454,#532626); #532391=SHAPE_DEFINITION_REPRESENTATION(#532455,#532627); #532392=SHAPE_DEFINITION_REPRESENTATION(#532456,#532628); #532393=SHAPE_DEFINITION_REPRESENTATION(#532457,#532629); #532394=SHAPE_DEFINITION_REPRESENTATION(#532458,#532630); #532395=SHAPE_DEFINITION_REPRESENTATION(#532459,#532631); #532396=SHAPE_DEFINITION_REPRESENTATION(#532460,#532632); #532397=SHAPE_DEFINITION_REPRESENTATION(#532461,#532633); #532398=SHAPE_DEFINITION_REPRESENTATION(#532462,#532634); #532399=SHAPE_DEFINITION_REPRESENTATION(#532463,#532635); #532400=SHAPE_DEFINITION_REPRESENTATION(#532464,#532636); #532401=SHAPE_DEFINITION_REPRESENTATION(#532465,#532637); #532402=SHAPE_DEFINITION_REPRESENTATION(#532487,#532638); #532403=SHAPE_DEFINITION_REPRESENTATION(#532488,#532639); #532404=SHAPE_DEFINITION_REPRESENTATION(#532490,#532640); #532405=SHAPE_DEFINITION_REPRESENTATION(#532491,#532641); #532406=SHAPE_DEFINITION_REPRESENTATION(#532493,#532642); #532407=SHAPE_DEFINITION_REPRESENTATION(#532494,#532643); #532408=SHAPE_DEFINITION_REPRESENTATION(#532496,#532644); #532409=SHAPE_DEFINITION_REPRESENTATION(#532497,#532645); #532410=SHAPE_DEFINITION_REPRESENTATION(#532499,#532646); #532411=SHAPE_DEFINITION_REPRESENTATION(#532500,#532647); #532412=SHAPE_DEFINITION_REPRESENTATION(#532502,#532648); #532413=SHAPE_DEFINITION_REPRESENTATION(#532503,#532649); #532414=SHAPE_DEFINITION_REPRESENTATION(#532505,#532650); #532415=SHAPE_DEFINITION_REPRESENTATION(#532506,#532651); #532416=SHAPE_DEFINITION_REPRESENTATION(#532508,#532652); #532417=SHAPE_DEFINITION_REPRESENTATION(#532509,#532653); #532418=SHAPE_DEFINITION_REPRESENTATION(#532511,#532654); #532419=SHAPE_DEFINITION_REPRESENTATION(#532512,#532655); #532420=SHAPE_DEFINITION_REPRESENTATION(#532514,#532656); #532421=SHAPE_DEFINITION_REPRESENTATION(#532515,#532657); #532422=SHAPE_DEFINITION_REPRESENTATION(#532517,#532658); #532423=SHAPE_DEFINITION_REPRESENTATION(#532518,#532659); #532424=SHAPE_DEFINITION_REPRESENTATION(#532520,#532660); #532425=SHAPE_DEFINITION_REPRESENTATION(#532521,#532661); #532426=SHAPE_DEFINITION_REPRESENTATION(#532523,#532662); #532427=SHAPE_DEFINITION_REPRESENTATION(#532524,#532663); #532428=SHAPE_DEFINITION_REPRESENTATION(#532526,#532664); #532429=SHAPE_DEFINITION_REPRESENTATION(#532527,#532665); #532430=SHAPE_DEFINITION_REPRESENTATION(#532529,#532666); #532431=SHAPE_DEFINITION_REPRESENTATION(#532530,#532667); #532432=PRODUCT_DEFINITION_SHAPE('',$,#532669); #532433=PRODUCT_DEFINITION_SHAPE('',$,#532670); #532434=PRODUCT_DEFINITION_SHAPE('',$,#532671); #532435=PRODUCT_DEFINITION_SHAPE('',$,#532672); #532436=PRODUCT_DEFINITION_SHAPE('',$,#532673); #532437=PRODUCT_DEFINITION_SHAPE('',$,#532674); #532438=PRODUCT_DEFINITION_SHAPE('',$,#532675); #532439=PRODUCT_DEFINITION_SHAPE('',$,#532676); #532440=PRODUCT_DEFINITION_SHAPE('',$,#532677); #532441=PRODUCT_DEFINITION_SHAPE($,$,#692); #532442=PRODUCT_DEFINITION_SHAPE('',$,#532678); #532443=PRODUCT_DEFINITION_SHAPE('',$,#532679); #532444=PRODUCT_DEFINITION_SHAPE($,$,#693); #532445=PRODUCT_DEFINITION_SHAPE('',$,#532680); #532446=PRODUCT_DEFINITION_SHAPE('',$,#532681); #532447=PRODUCT_DEFINITION_SHAPE('',$,#532682); #532448=PRODUCT_DEFINITION_SHAPE('',$,#532683); #532449=PRODUCT_DEFINITION_SHAPE('',$,#532684); #532450=PRODUCT_DEFINITION_SHAPE('',$,#532685); #532451=PRODUCT_DEFINITION_SHAPE('',$,#532686); #532452=PRODUCT_DEFINITION_SHAPE('',$,#532687); #532453=PRODUCT_DEFINITION_SHAPE('',$,#532688); #532454=PRODUCT_DEFINITION_SHAPE('',$,#532689); #532455=PRODUCT_DEFINITION_SHAPE('',$,#532690); #532456=PRODUCT_DEFINITION_SHAPE('',$,#532691); #532457=PRODUCT_DEFINITION_SHAPE('',$,#532692); #532458=PRODUCT_DEFINITION_SHAPE('',$,#532693); #532459=PRODUCT_DEFINITION_SHAPE('',$,#532694); #532460=PRODUCT_DEFINITION_SHAPE('',$,#532695); #532461=PRODUCT_DEFINITION_SHAPE('',$,#532696); #532462=PRODUCT_DEFINITION_SHAPE('',$,#532697); #532463=PRODUCT_DEFINITION_SHAPE('',$,#532698); #532464=PRODUCT_DEFINITION_SHAPE('',$,#532699); #532465=PRODUCT_DEFINITION_SHAPE('',$,#532700); #532466=PRODUCT_DEFINITION_SHAPE($,$,#694); #532467=PRODUCT_DEFINITION_SHAPE($,$,#695); #532468=PRODUCT_DEFINITION_SHAPE($,$,#696); #532469=PRODUCT_DEFINITION_SHAPE($,$,#697); #532470=PRODUCT_DEFINITION_SHAPE($,$,#698); #532471=PRODUCT_DEFINITION_SHAPE($,$,#699); #532472=PRODUCT_DEFINITION_SHAPE($,$,#700); #532473=PRODUCT_DEFINITION_SHAPE($,$,#701); #532474=PRODUCT_DEFINITION_SHAPE($,$,#702); #532475=PRODUCT_DEFINITION_SHAPE($,$,#703); #532476=PRODUCT_DEFINITION_SHAPE($,$,#704); #532477=PRODUCT_DEFINITION_SHAPE($,$,#705); #532478=PRODUCT_DEFINITION_SHAPE($,$,#706); #532479=PRODUCT_DEFINITION_SHAPE($,$,#707); #532480=PRODUCT_DEFINITION_SHAPE($,$,#708); #532481=PRODUCT_DEFINITION_SHAPE($,$,#709); #532482=PRODUCT_DEFINITION_SHAPE($,$,#710); #532483=PRODUCT_DEFINITION_SHAPE($,$,#711); #532484=PRODUCT_DEFINITION_SHAPE($,$,#712); #532485=PRODUCT_DEFINITION_SHAPE($,$,#713); #532486=PRODUCT_DEFINITION_SHAPE($,$,#714); #532487=PRODUCT_DEFINITION_SHAPE('',$,#532701); #532488=PRODUCT_DEFINITION_SHAPE('',$,#532702); #532489=PRODUCT_DEFINITION_SHAPE($,$,#715); #532490=PRODUCT_DEFINITION_SHAPE('',$,#532703); #532491=PRODUCT_DEFINITION_SHAPE('',$,#532704); #532492=PRODUCT_DEFINITION_SHAPE($,$,#716); #532493=PRODUCT_DEFINITION_SHAPE('',$,#532705); #532494=PRODUCT_DEFINITION_SHAPE('',$,#532706); #532495=PRODUCT_DEFINITION_SHAPE($,$,#717); #532496=PRODUCT_DEFINITION_SHAPE('',$,#532707); #532497=PRODUCT_DEFINITION_SHAPE('',$,#532708); #532498=PRODUCT_DEFINITION_SHAPE($,$,#718); #532499=PRODUCT_DEFINITION_SHAPE('',$,#532709); #532500=PRODUCT_DEFINITION_SHAPE('',$,#532710); #532501=PRODUCT_DEFINITION_SHAPE($,$,#719); #532502=PRODUCT_DEFINITION_SHAPE('',$,#532711); #532503=PRODUCT_DEFINITION_SHAPE('',$,#532712); #532504=PRODUCT_DEFINITION_SHAPE($,$,#720); #532505=PRODUCT_DEFINITION_SHAPE('',$,#532713); #532506=PRODUCT_DEFINITION_SHAPE('',$,#532714); #532507=PRODUCT_DEFINITION_SHAPE($,$,#721); #532508=PRODUCT_DEFINITION_SHAPE('',$,#532715); #532509=PRODUCT_DEFINITION_SHAPE('',$,#532716); #532510=PRODUCT_DEFINITION_SHAPE($,$,#722); #532511=PRODUCT_DEFINITION_SHAPE('',$,#532717); #532512=PRODUCT_DEFINITION_SHAPE('',$,#532718); #532513=PRODUCT_DEFINITION_SHAPE($,$,#723); #532514=PRODUCT_DEFINITION_SHAPE('',$,#532719); #532515=PRODUCT_DEFINITION_SHAPE('',$,#532720); #532516=PRODUCT_DEFINITION_SHAPE($,$,#724); #532517=PRODUCT_DEFINITION_SHAPE('',$,#532721); #532518=PRODUCT_DEFINITION_SHAPE('',$,#532722); #532519=PRODUCT_DEFINITION_SHAPE($,$,#725); #532520=PRODUCT_DEFINITION_SHAPE('',$,#532723); #532521=PRODUCT_DEFINITION_SHAPE('',$,#532724); #532522=PRODUCT_DEFINITION_SHAPE($,$,#726); #532523=PRODUCT_DEFINITION_SHAPE('',$,#532725); #532524=PRODUCT_DEFINITION_SHAPE('',$,#532726); #532525=PRODUCT_DEFINITION_SHAPE($,$,#727); #532526=PRODUCT_DEFINITION_SHAPE('',$,#532727); #532527=PRODUCT_DEFINITION_SHAPE('',$,#532728); #532528=PRODUCT_DEFINITION_SHAPE($,$,#728); #532529=PRODUCT_DEFINITION_SHAPE('',$,#532729); #532530=PRODUCT_DEFINITION_SHAPE('',$,#532730); #532531=PRODUCT_DEFINITION_SHAPE($,$,#729); #532532=PRODUCT_DEFINITION_SHAPE($,$,#730); #532533=PRODUCT_DEFINITION_SHAPE($,$,#731); #532534=PRODUCT_DEFINITION_SHAPE($,$,#732); #532535=PRODUCT_DEFINITION_SHAPE($,$,#733); #532536=PRODUCT_DEFINITION_SHAPE($,$,#734); #532537=PRODUCT_DEFINITION_SHAPE($,$,#735); #532538=PRODUCT_DEFINITION_SHAPE($,$,#736); #532539=PRODUCT_DEFINITION_SHAPE($,$,#737); #532540=PRODUCT_DEFINITION_SHAPE($,$,#738); #532541=PRODUCT_DEFINITION_SHAPE($,$,#739); #532542=PRODUCT_DEFINITION_SHAPE($,$,#740); #532543=PRODUCT_DEFINITION_SHAPE($,$,#741); #532544=PRODUCT_DEFINITION_SHAPE($,$,#742); #532545=PRODUCT_DEFINITION_SHAPE($,$,#743); #532546=PRODUCT_DEFINITION_SHAPE($,$,#744); #532547=PRODUCT_DEFINITION_SHAPE($,$,#745); #532548=PRODUCT_DEFINITION_SHAPE($,$,#746); #532549=PRODUCT_DEFINITION_SHAPE($,$,#747); #532550=PRODUCT_DEFINITION_SHAPE($,$,#748); #532551=PRODUCT_DEFINITION_SHAPE($,$,#749); #532552=PRODUCT_DEFINITION_SHAPE($,$,#750); #532553=PRODUCT_DEFINITION_SHAPE($,$,#751); #532554=PRODUCT_DEFINITION_SHAPE($,$,#752); #532555=PRODUCT_DEFINITION_SHAPE($,$,#753); #532556=PRODUCT_DEFINITION_SHAPE($,$,#754); #532557=PRODUCT_DEFINITION_SHAPE($,$,#755); #532558=PRODUCT_DEFINITION_SHAPE($,$,#756); #532559=PRODUCT_DEFINITION_SHAPE($,$,#757); #532560=PRODUCT_DEFINITION_SHAPE($,$,#758); #532561=PRODUCT_DEFINITION_SHAPE($,$,#759); #532562=PRODUCT_DEFINITION_SHAPE($,$,#760); #532563=PRODUCT_DEFINITION_SHAPE($,$,#761); #532564=PRODUCT_DEFINITION_SHAPE($,$,#762); #532565=PRODUCT_DEFINITION_SHAPE($,$,#763); #532566=PRODUCT_DEFINITION_SHAPE($,$,#764); #532567=PRODUCT_DEFINITION_SHAPE($,$,#765); #532568=PRODUCT_DEFINITION_SHAPE($,$,#766); #532569=PRODUCT_DEFINITION_SHAPE($,$,#767); #532570=PRODUCT_DEFINITION_SHAPE($,$,#768); #532571=PRODUCT_DEFINITION_SHAPE($,$,#769); #532572=PRODUCT_DEFINITION_SHAPE($,$,#770); #532573=PRODUCT_DEFINITION_SHAPE($,$,#771); #532574=PRODUCT_DEFINITION_SHAPE($,$,#772); #532575=PRODUCT_DEFINITION_SHAPE($,$,#773); #532576=PRODUCT_DEFINITION_SHAPE($,$,#774); #532577=PRODUCT_DEFINITION_SHAPE($,$,#775); #532578=PRODUCT_DEFINITION_SHAPE($,$,#776); #532579=PRODUCT_DEFINITION_SHAPE($,$,#777); #532580=PRODUCT_DEFINITION_SHAPE($,$,#778); #532581=PRODUCT_DEFINITION_SHAPE($,$,#779); #532582=PRODUCT_DEFINITION_SHAPE($,$,#780); #532583=PRODUCT_DEFINITION_SHAPE($,$,#781); #532584=PRODUCT_DEFINITION_SHAPE($,$,#782); #532585=PRODUCT_DEFINITION_SHAPE($,$,#783); #532586=PRODUCT_DEFINITION_SHAPE($,$,#784); #532587=PRODUCT_DEFINITION_SHAPE($,$,#785); #532588=PRODUCT_DEFINITION_SHAPE($,$,#786); #532589=PRODUCT_DEFINITION_SHAPE($,$,#787); #532590=PRODUCT_DEFINITION_SHAPE($,$,#788); #532591=PRODUCT_DEFINITION_SHAPE($,$,#789); #532592=PRODUCT_DEFINITION_SHAPE($,$,#790); #532593=PRODUCT_DEFINITION_SHAPE($,$,#791); #532594=PRODUCT_DEFINITION_SHAPE($,$,#792); #532595=PRODUCT_DEFINITION_SHAPE($,$,#793); #532596=PRODUCT_DEFINITION_SHAPE($,$,#794); #532597=PRODUCT_DEFINITION_SHAPE($,$,#795); #532598=PRODUCT_DEFINITION_SHAPE($,$,#796); #532599=PRODUCT_DEFINITION_SHAPE($,$,#797); #532600=PRODUCT_DEFINITION_SHAPE($,$,#798); #532601=PRODUCT_DEFINITION_SHAPE($,$,#799); #532602=PRODUCT_DEFINITION_SHAPE($,$,#800); #532603=PRODUCT_DEFINITION_SHAPE($,$,#801); #532604=PRODUCT_DEFINITION_SHAPE($,$,#802); #532605=PRODUCT_DEFINITION_SHAPE($,$,#803); #532606=SHAPE_REPRESENTATION('',(#326589,#351378,#351379,#351380,#351381, #351382,#351383),#532303); #532607=SHAPE_REPRESENTATION('',(#326590),#532304); #532608=SHAPE_REPRESENTATION('',(#330157),#532305); #532609=SHAPE_REPRESENTATION('',(#338445),#532306); #532610=SHAPE_REPRESENTATION('',(#344785),#532307); #532611=SHAPE_REPRESENTATION('',(#345772),#532308); #532612=SHAPE_REPRESENTATION('',(#346759,#351310,#351311,#351312,#351313, #351314,#351315,#351316,#351317,#351318,#351319,#351320,#351321,#351322, #351323,#351324,#351325,#351326,#351327,#351328,#351329,#351330,#351331, #351332,#351333,#351334,#351335,#351336,#351337,#351338,#351339,#351340, #351341,#351342,#351343,#351344,#351345,#351346,#351347,#351348,#351349, #351350,#351351,#351352,#351353,#351354,#351355,#351356,#351357,#351358, #351359,#351360,#351361,#351362,#351363,#351364,#351365,#351366,#351367, #351368,#351369,#351370,#351371,#351372,#351373,#351374,#351375,#351376, #351377),#532309); #532613=SHAPE_REPRESENTATION('',(#346760,#346866),#532310); #532614=SHAPE_REPRESENTATION('',(#346761),#532311); #532615=SHAPE_REPRESENTATION('',(#346867,#346987),#532312); #532616=SHAPE_REPRESENTATION('',(#346868),#532313); #532617=SHAPE_REPRESENTATION('',(#346988,#348607),#532314); #532618=SHAPE_REPRESENTATION('',(#346989,#348606),#532315); #532619=SHAPE_REPRESENTATION('',(#346990,#348587,#348588,#348589,#348590, #348591,#348592,#348593,#348594,#348595,#348596,#348597,#348598,#348599, #348600,#348601,#348602,#348603,#348604,#348605),#532316); #532620=SHAPE_REPRESENTATION('',(#346991),#532317); #532621=SHAPE_REPRESENTATION('',(#347297),#532318); #532622=SHAPE_REPRESENTATION('',(#347326),#532319); #532623=SHAPE_REPRESENTATION('',(#347355),#532320); #532624=SHAPE_REPRESENTATION('',(#347384),#532321); #532625=SHAPE_REPRESENTATION('',(#347413),#532322); #532626=SHAPE_REPRESENTATION('',(#347442),#532323); #532627=SHAPE_REPRESENTATION('',(#347471),#532324); #532628=SHAPE_REPRESENTATION('',(#347500),#532325); #532629=SHAPE_REPRESENTATION('',(#347529),#532326); #532630=SHAPE_REPRESENTATION('',(#347558),#532327); #532631=SHAPE_REPRESENTATION('',(#347587),#532328); #532632=SHAPE_REPRESENTATION('',(#347616),#532329); #532633=SHAPE_REPRESENTATION('',(#347645),#532330); #532634=SHAPE_REPRESENTATION('',(#347674),#532331); #532635=SHAPE_REPRESENTATION('',(#347703),#532332); #532636=SHAPE_REPRESENTATION('',(#347766),#532333); #532637=SHAPE_REPRESENTATION('',(#348558),#532334); #532638=SHAPE_REPRESENTATION('',(#348608,#348699),#532335); #532639=SHAPE_REPRESENTATION('',(#348609),#532336); #532640=SHAPE_REPRESENTATION('',(#348700,#348808),#532337); #532641=SHAPE_REPRESENTATION('',(#348701),#532338); #532642=SHAPE_REPRESENTATION('',(#348809,#348917),#532339); #532643=SHAPE_REPRESENTATION('',(#348810),#532340); #532644=SHAPE_REPRESENTATION('',(#348918,#349026),#532341); #532645=SHAPE_REPRESENTATION('',(#348919),#532342); #532646=SHAPE_REPRESENTATION('',(#349027,#349209),#532343); #532647=SHAPE_REPRESENTATION('',(#349028),#532344); #532648=SHAPE_REPRESENTATION('',(#349210,#349376),#532345); #532649=SHAPE_REPRESENTATION('',(#349211),#532346); #532650=SHAPE_REPRESENTATION('',(#349377,#349543),#532347); #532651=SHAPE_REPRESENTATION('',(#349378),#532348); #532652=SHAPE_REPRESENTATION('',(#349544,#349580),#532349); #532653=SHAPE_REPRESENTATION('',(#349545),#532350); #532654=SHAPE_REPRESENTATION('',(#349581,#350217),#532351); #532655=SHAPE_REPRESENTATION('',(#349582),#532352); #532656=SHAPE_REPRESENTATION('',(#350218,#350720),#532353); #532657=SHAPE_REPRESENTATION('',(#350219),#532354); #532658=SHAPE_REPRESENTATION('',(#350721,#350829),#532355); #532659=SHAPE_REPRESENTATION('',(#350722),#532356); #532660=SHAPE_REPRESENTATION('',(#350830,#350872),#532357); #532661=SHAPE_REPRESENTATION('',(#350831),#532358); #532662=SHAPE_REPRESENTATION('',(#350873,#351069),#532359); #532663=SHAPE_REPRESENTATION('',(#350874),#532360); #532664=SHAPE_REPRESENTATION('',(#351070,#351200),#532361); #532665=SHAPE_REPRESENTATION('',(#351071),#532362); #532666=SHAPE_REPRESENTATION('',(#351201,#351309),#532363); #532667=SHAPE_REPRESENTATION('',(#351202),#532364); #532668=PRODUCT_DEFINITION_CONTEXT('part definition',#532856,'design'); #532669=PRODUCT_DEFINITION('PCB','PCB v18',#532731,#532668); #532670=PRODUCT_DEFINITION('Board','Board',#532732,#532668); #532671=PRODUCT_DEFINITION('1-copper','1-copper',#532733,#532668); #532672=PRODUCT_DEFINITION('16-copper','16-copper',#532734,#532668); #532673=PRODUCT_DEFINITION('1-soldermask','1-soldermask',#532735,#532668); #532674=PRODUCT_DEFINITION('16-soldermask','16-soldermask',#532736,#532668); #532675=PRODUCT_DEFINITION('Packages','Packages',#532737,#532668); #532676=PRODUCT_DEFINITION('2510-2.54-4P','2510-2.54-4P',#532738,#532668); #532677=PRODUCT_DEFINITION('Model','Model',#532739,#532668); #532678=PRODUCT_DEFINITION('LED0603','LED0603',#532740,#532668); #532679=PRODUCT_DEFINITION('Model (7)','Model (7)',#532741,#532668); #532680=PRODUCT_DEFINITION('TYPE-C-16P-FH','TYPE-C-16P-FH',#532742,#532668); #532681=PRODUCT_DEFINITION('Model (19)','Model (19)',#532743,#532668); #532682=PRODUCT_DEFINITION('34707316.f3d ','34707316.f3d ',#532744,#532668); #532683=PRODUCT_DEFINITION('629722000214_Housing', '629722000214_Housing',#532745,#532668); #532684=PRODUCT_DEFINITION('629722000214_Pin1_Top', '629722000214_Pin1_Top',#532746,#532668); #532685=PRODUCT_DEFINITION('629722000214_Pin4_Bottom', '629722000214_Pin4_Bottom',#532747,#532668); #532686=PRODUCT_DEFINITION('629722000214_Pin1_Bottom', '629722000214_Pin1_Bottom',#532748,#532668); #532687=PRODUCT_DEFINITION('629722000214_Pin3_Bottom', '629722000214_Pin3_Bottom',#532749,#532668); #532688=PRODUCT_DEFINITION('629722000214_Pin3_Top', '629722000214_Pin3_Top',#532750,#532668); #532689=PRODUCT_DEFINITION('629722000214_Pin2_Top', '629722000214_Pin2_Top',#532751,#532668); #532690=PRODUCT_DEFINITION('629722000214_Pin2_Bottom', '629722000214_Pin2_Bottom',#532752,#532668); #532691=PRODUCT_DEFINITION('629722000214_Pin1_Top_mir', '629722000214_Pin1_Top_mir',#532753,#532668); #532692=PRODUCT_DEFINITION('629722000214_Pin1_Bottom_mir', '629722000214_Pin1_Bottom_mir',#532754,#532668); #532693=PRODUCT_DEFINITION('629722000214_Pin2_Top_mir', '629722000214_Pin2_Top_mir',#532755,#532668); #532694=PRODUCT_DEFINITION('629722000214_Pin2_Bottom_mir', '629722000214_Pin2_Bottom_mir',#532756,#532668); #532695=PRODUCT_DEFINITION('629722000214_Pin3_Top_mir', '629722000214_Pin3_Top_mir',#532757,#532668); #532696=PRODUCT_DEFINITION('629722000214_Pin3_Bottom_mir', '629722000214_Pin3_Bottom_mir',#532758,#532668); #532697=PRODUCT_DEFINITION('629722000214_Pin4_Bottom_mir', '629722000214_Pin4_Bottom_mir',#532759,#532668); #532698=PRODUCT_DEFINITION('629722000214_Shielding2', '629722000214_Shielding2',#532760,#532668); #532699=PRODUCT_DEFINITION('629722000214_Shielding', '629722000214_Shielding',#532761,#532668); #532700=PRODUCT_DEFINITION('629722000214_Pin4_Top', '629722000214_Pin4_Top',#532762,#532668); #532701=PRODUCT_DEFINITION('XH-2.50-2P','XH-2.50-2P',#532763,#532668); #532702=PRODUCT_DEFINITION('Model (20)','Model (20)',#532764,#532668); #532703=PRODUCT_DEFINITION('R0603','R0603',#532765,#532668); #532704=PRODUCT_DEFINITION('Model (10)','Model (10)',#532766,#532668); #532705=PRODUCT_DEFINITION('C0603','C0603',#532767,#532668); #532706=PRODUCT_DEFINITION('Model (1)','Model (1)',#532768,#532668); #532707=PRODUCT_DEFINITION('C1210','C1210',#532769,#532668); #532708=PRODUCT_DEFINITION('Model (2)','Model (2)',#532770,#532668); #532709=PRODUCT_DEFINITION('CAP-PTH(D8.0-P3.50)','CAP-PTH(D8.0-P3.50)', #532771,#532668); #532710=PRODUCT_DEFINITION('Model (3)','Model (3)',#532772,#532668); #532711=PRODUCT_DEFINITION('DFN-8(3X3)','DFN-8(3X3)',#532773,#532668); #532712=PRODUCT_DEFINITION('Model (4)','Model (4)',#532774,#532668); #532713=PRODUCT_DEFINITION('DFN-8(5X6)','DFN-8(5X6)',#532775,#532668); #532714=PRODUCT_DEFINITION('Model (5)','Model (5)',#532776,#532668); #532715=PRODUCT_DEFINITION('L12XX','L12XX',#532777,#532668); #532716=PRODUCT_DEFINITION('Model (6)','Model (6)',#532778,#532668); #532717=PRODUCT_DEFINITION('POT-R1S1-RV097NS','POT-R1S1-RV097NS',#532779, #532668); #532718=PRODUCT_DEFINITION('Model (8)','Model (8)',#532780,#532668); #532719=PRODUCT_DEFINITION('QFN-48(7X7)','QFN-48(7X7)',#532781,#532668); #532720=PRODUCT_DEFINITION('Model (9)','Model (9)',#532782,#532668); #532721=PRODUCT_DEFINITION('R1206','R1206',#532783,#532668); #532722=PRODUCT_DEFINITION('Model (11)','Model (11)',#532784,#532668); #532723=PRODUCT_DEFINITION('SOD-123FL','SOD-123FL',#532785,#532668); #532724=PRODUCT_DEFINITION('Model (12)','Model (12)',#532786,#532668); #532725=PRODUCT_DEFINITION('SOP-8(L5.0-W3.9-P1.27)', 'SOP-8(L5.0-W3.9-P1.27)',#532787,#532668); #532726=PRODUCT_DEFINITION('Model (13)','Model (13)',#532788,#532668); #532727=PRODUCT_DEFINITION('SOT23-5','SOT23-5',#532789,#532668); #532728=PRODUCT_DEFINITION('Model (14)','Model (14)',#532790,#532668); #532729=PRODUCT_DEFINITION('C1206','C1206',#532791,#532668); #532730=PRODUCT_DEFINITION('Model (15)','Model (15)',#532792,#532668); #532731=PRODUCT_DEFINITION_FORMATION('',$,#532858); #532732=PRODUCT_DEFINITION_FORMATION('',$,#532859); #532733=PRODUCT_DEFINITION_FORMATION('',$,#532860); #532734=PRODUCT_DEFINITION_FORMATION('',$,#532861); #532735=PRODUCT_DEFINITION_FORMATION('',$,#532862); #532736=PRODUCT_DEFINITION_FORMATION('',$,#532863); #532737=PRODUCT_DEFINITION_FORMATION('',$,#532864); #532738=PRODUCT_DEFINITION_FORMATION('',$,#532865); #532739=PRODUCT_DEFINITION_FORMATION('',$,#532866); #532740=PRODUCT_DEFINITION_FORMATION('',$,#532867); #532741=PRODUCT_DEFINITION_FORMATION('',$,#532868); #532742=PRODUCT_DEFINITION_FORMATION('',$,#532869); #532743=PRODUCT_DEFINITION_FORMATION('',$,#532870); #532744=PRODUCT_DEFINITION_FORMATION('',$,#532871); #532745=PRODUCT_DEFINITION_FORMATION('',$,#532872); #532746=PRODUCT_DEFINITION_FORMATION('',$,#532873); #532747=PRODUCT_DEFINITION_FORMATION('',$,#532874); #532748=PRODUCT_DEFINITION_FORMATION('',$,#532875); #532749=PRODUCT_DEFINITION_FORMATION('',$,#532876); #532750=PRODUCT_DEFINITION_FORMATION('',$,#532877); #532751=PRODUCT_DEFINITION_FORMATION('',$,#532878); #532752=PRODUCT_DEFINITION_FORMATION('',$,#532879); #532753=PRODUCT_DEFINITION_FORMATION('',$,#532880); #532754=PRODUCT_DEFINITION_FORMATION('',$,#532881); #532755=PRODUCT_DEFINITION_FORMATION('',$,#532882); #532756=PRODUCT_DEFINITION_FORMATION('',$,#532883); #532757=PRODUCT_DEFINITION_FORMATION('',$,#532884); #532758=PRODUCT_DEFINITION_FORMATION('',$,#532885); #532759=PRODUCT_DEFINITION_FORMATION('',$,#532886); #532760=PRODUCT_DEFINITION_FORMATION('',$,#532887); #532761=PRODUCT_DEFINITION_FORMATION('',$,#532888); #532762=PRODUCT_DEFINITION_FORMATION('',$,#532889); #532763=PRODUCT_DEFINITION_FORMATION('',$,#532890); #532764=PRODUCT_DEFINITION_FORMATION('',$,#532891); #532765=PRODUCT_DEFINITION_FORMATION('',$,#532892); #532766=PRODUCT_DEFINITION_FORMATION('',$,#532893); #532767=PRODUCT_DEFINITION_FORMATION('',$,#532894); #532768=PRODUCT_DEFINITION_FORMATION('',$,#532895); #532769=PRODUCT_DEFINITION_FORMATION('',$,#532896); #532770=PRODUCT_DEFINITION_FORMATION('',$,#532897); #532771=PRODUCT_DEFINITION_FORMATION('',$,#532898); #532772=PRODUCT_DEFINITION_FORMATION('',$,#532899); #532773=PRODUCT_DEFINITION_FORMATION('',$,#532900); #532774=PRODUCT_DEFINITION_FORMATION('',$,#532901); #532775=PRODUCT_DEFINITION_FORMATION('',$,#532902); #532776=PRODUCT_DEFINITION_FORMATION('',$,#532903); #532777=PRODUCT_DEFINITION_FORMATION('',$,#532904); #532778=PRODUCT_DEFINITION_FORMATION('',$,#532905); #532779=PRODUCT_DEFINITION_FORMATION('',$,#532906); #532780=PRODUCT_DEFINITION_FORMATION('',$,#532907); #532781=PRODUCT_DEFINITION_FORMATION('',$,#532908); #532782=PRODUCT_DEFINITION_FORMATION('',$,#532909); #532783=PRODUCT_DEFINITION_FORMATION('',$,#532910); #532784=PRODUCT_DEFINITION_FORMATION('',$,#532911); #532785=PRODUCT_DEFINITION_FORMATION('',$,#532912); #532786=PRODUCT_DEFINITION_FORMATION('',$,#532913); #532787=PRODUCT_DEFINITION_FORMATION('',$,#532914); #532788=PRODUCT_DEFINITION_FORMATION('',$,#532915); #532789=PRODUCT_DEFINITION_FORMATION('',$,#532916); #532790=PRODUCT_DEFINITION_FORMATION('',$,#532917); #532791=PRODUCT_DEFINITION_FORMATION('',$,#532918); #532792=PRODUCT_DEFINITION_FORMATION('',$,#532919); #532793=PRODUCT_RELATED_PRODUCT_CATEGORY('PCB v18','PCB v18',(#532858)); #532794=PRODUCT_RELATED_PRODUCT_CATEGORY('Board','Board',(#532859)); #532795=PRODUCT_RELATED_PRODUCT_CATEGORY('1-copper','1-copper',(#532860)); #532796=PRODUCT_RELATED_PRODUCT_CATEGORY('16-copper','16-copper',(#532861)); #532797=PRODUCT_RELATED_PRODUCT_CATEGORY('1-soldermask','1-soldermask', (#532862)); #532798=PRODUCT_RELATED_PRODUCT_CATEGORY('16-soldermask', '16-soldermask',(#532863)); #532799=PRODUCT_RELATED_PRODUCT_CATEGORY('Packages','Packages',(#532864)); #532800=PRODUCT_RELATED_PRODUCT_CATEGORY('2510-2.54-4P','2510-2.54-4P', (#532865)); #532801=PRODUCT_RELATED_PRODUCT_CATEGORY('Model','Model',(#532866)); #532802=PRODUCT_RELATED_PRODUCT_CATEGORY('LED0603','LED0603',(#532867)); #532803=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (7)','Model (7)',(#532868)); #532804=PRODUCT_RELATED_PRODUCT_CATEGORY('TYPE-C-16P-FH', 'TYPE-C-16P-FH',(#532869)); #532805=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (19)','Model (19)',(#532870)); #532806=PRODUCT_RELATED_PRODUCT_CATEGORY('34707316.f3d ', '34707316.f3d ',(#532871)); #532807=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Housing', '629722000214_Housing',(#532872)); #532808=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin1_Top', '629722000214_Pin1_Top',(#532873)); #532809=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin4_Bottom', '629722000214_Pin4_Bottom',(#532874)); #532810=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin1_Bottom', '629722000214_Pin1_Bottom',(#532875)); #532811=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin3_Bottom', '629722000214_Pin3_Bottom',(#532876)); #532812=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin3_Top', '629722000214_Pin3_Top',(#532877)); #532813=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin2_Top', '629722000214_Pin2_Top',(#532878)); #532814=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin2_Bottom', '629722000214_Pin2_Bottom',(#532879)); #532815=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin1_Top_mir', '629722000214_Pin1_Top_mir',(#532880)); #532816=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin1_Bottom_mir', '629722000214_Pin1_Bottom_mir',(#532881)); #532817=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin2_Top_mir', '629722000214_Pin2_Top_mir',(#532882)); #532818=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin2_Bottom_mir', '629722000214_Pin2_Bottom_mir',(#532883)); #532819=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin3_Top_mir', '629722000214_Pin3_Top_mir',(#532884)); #532820=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin3_Bottom_mir', '629722000214_Pin3_Bottom_mir',(#532885)); #532821=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin4_Bottom_mir', '629722000214_Pin4_Bottom_mir',(#532886)); #532822=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Shielding2', '629722000214_Shielding2',(#532887)); #532823=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Shielding', '629722000214_Shielding',(#532888)); #532824=PRODUCT_RELATED_PRODUCT_CATEGORY('629722000214_Pin4_Top', '629722000214_Pin4_Top',(#532889)); #532825=PRODUCT_RELATED_PRODUCT_CATEGORY('XH-2.50-2P','XH-2.50-2P',(#532890)); #532826=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (20)','Model (20)',(#532891)); #532827=PRODUCT_RELATED_PRODUCT_CATEGORY('R0603','R0603',(#532892)); #532828=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (10)','Model (10)',(#532893)); #532829=PRODUCT_RELATED_PRODUCT_CATEGORY('C0603','C0603',(#532894)); #532830=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (1)','Model (1)',(#532895)); #532831=PRODUCT_RELATED_PRODUCT_CATEGORY('C1210','C1210',(#532896)); #532832=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (2)','Model (2)',(#532897)); #532833=PRODUCT_RELATED_PRODUCT_CATEGORY('CAP-PTH(D8.0-P3.50)', 'CAP-PTH(D8.0-P3.50)',(#532898)); #532834=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (3)','Model (3)',(#532899)); #532835=PRODUCT_RELATED_PRODUCT_CATEGORY('DFN-8(3X3)','DFN-8(3X3)',(#532900)); #532836=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (4)','Model (4)',(#532901)); #532837=PRODUCT_RELATED_PRODUCT_CATEGORY('DFN-8(5X6)','DFN-8(5X6)',(#532902)); #532838=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (5)','Model (5)',(#532903)); #532839=PRODUCT_RELATED_PRODUCT_CATEGORY('L12XX','L12XX',(#532904)); #532840=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (6)','Model (6)',(#532905)); #532841=PRODUCT_RELATED_PRODUCT_CATEGORY('POT-R1S1-RV097NS', 'POT-R1S1-RV097NS',(#532906)); #532842=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (8)','Model (8)',(#532907)); #532843=PRODUCT_RELATED_PRODUCT_CATEGORY('QFN-48(7X7)','QFN-48(7X7)',(#532908)); #532844=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (9)','Model (9)',(#532909)); #532845=PRODUCT_RELATED_PRODUCT_CATEGORY('R1206','R1206',(#532910)); #532846=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (11)','Model (11)',(#532911)); #532847=PRODUCT_RELATED_PRODUCT_CATEGORY('SOD-123FL','SOD-123FL',(#532912)); #532848=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (12)','Model (12)',(#532913)); #532849=PRODUCT_RELATED_PRODUCT_CATEGORY('SOP-8(L5.0-W3.9-P1.27)', 'SOP-8(L5.0-W3.9-P1.27)',(#532914)); #532850=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (13)','Model (13)',(#532915)); #532851=PRODUCT_RELATED_PRODUCT_CATEGORY('SOT23-5','SOT23-5',(#532916)); #532852=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (14)','Model (14)',(#532917)); #532853=PRODUCT_RELATED_PRODUCT_CATEGORY('C1206','C1206',(#532918)); #532854=PRODUCT_RELATED_PRODUCT_CATEGORY('Model (15)','Model (15)',(#532919)); #532855=APPLICATION_PROTOCOL_DEFINITION('international standard', 'automotive_design',2009,#532856); #532856=APPLICATION_CONTEXT( 'Core Data for Automotive Mechanical Design Process'); #532857=PRODUCT_CONTEXT('part definition',#532856,'mechanical'); #532858=PRODUCT('PCB','PCB v18',$,(#532857)); #532859=PRODUCT('Board','Board',$,(#532857)); #532860=PRODUCT('1-copper','1-copper',$,(#532857)); #532861=PRODUCT('16-copper','16-copper',$,(#532857)); #532862=PRODUCT('1-soldermask','1-soldermask',$,(#532857)); #532863=PRODUCT('16-soldermask','16-soldermask',$,(#532857)); #532864=PRODUCT('Packages','Packages',$,(#532857)); #532865=PRODUCT('2510-2.54-4P','2510-2.54-4P',$,(#532857)); #532866=PRODUCT('Model','Model',$,(#532857)); #532867=PRODUCT('LED0603','LED0603',$,(#532857)); #532868=PRODUCT('Model (7)','Model (7)',$,(#532857)); #532869=PRODUCT('TYPE-C-16P-FH','TYPE-C-16P-FH',$,(#532857)); #532870=PRODUCT('Model (19)','Model (19)',$,(#532857)); #532871=PRODUCT('34707316.f3d ','34707316.f3d ',$,(#532857)); #532872=PRODUCT('629722000214_Housing','629722000214_Housing',$,(#532857)); #532873=PRODUCT('629722000214_Pin1_Top','629722000214_Pin1_Top',$,(#532857)); #532874=PRODUCT('629722000214_Pin4_Bottom','629722000214_Pin4_Bottom',$, (#532857)); #532875=PRODUCT('629722000214_Pin1_Bottom','629722000214_Pin1_Bottom',$, (#532857)); #532876=PRODUCT('629722000214_Pin3_Bottom','629722000214_Pin3_Bottom',$, (#532857)); #532877=PRODUCT('629722000214_Pin3_Top','629722000214_Pin3_Top',$,(#532857)); #532878=PRODUCT('629722000214_Pin2_Top','629722000214_Pin2_Top',$,(#532857)); #532879=PRODUCT('629722000214_Pin2_Bottom','629722000214_Pin2_Bottom',$, (#532857)); #532880=PRODUCT('629722000214_Pin1_Top_mir','629722000214_Pin1_Top_mir', $,(#532857)); #532881=PRODUCT('629722000214_Pin1_Bottom_mir', '629722000214_Pin1_Bottom_mir',$,(#532857)); #532882=PRODUCT('629722000214_Pin2_Top_mir','629722000214_Pin2_Top_mir', $,(#532857)); #532883=PRODUCT('629722000214_Pin2_Bottom_mir', '629722000214_Pin2_Bottom_mir',$,(#532857)); #532884=PRODUCT('629722000214_Pin3_Top_mir','629722000214_Pin3_Top_mir', $,(#532857)); #532885=PRODUCT('629722000214_Pin3_Bottom_mir', '629722000214_Pin3_Bottom_mir',$,(#532857)); #532886=PRODUCT('629722000214_Pin4_Bottom_mir', '629722000214_Pin4_Bottom_mir',$,(#532857)); #532887=PRODUCT('629722000214_Shielding2','629722000214_Shielding2',$,(#532857)); #532888=PRODUCT('629722000214_Shielding','629722000214_Shielding',$,(#532857)); #532889=PRODUCT('629722000214_Pin4_Top','629722000214_Pin4_Top',$,(#532857)); #532890=PRODUCT('XH-2.50-2P','XH-2.50-2P',$,(#532857)); #532891=PRODUCT('Model (20)','Model (20)',$,(#532857)); #532892=PRODUCT('R0603','R0603',$,(#532857)); #532893=PRODUCT('Model (10)','Model (10)',$,(#532857)); #532894=PRODUCT('C0603','C0603',$,(#532857)); #532895=PRODUCT('Model (1)','Model (1)',$,(#532857)); #532896=PRODUCT('C1210','C1210',$,(#532857)); #532897=PRODUCT('Model (2)','Model (2)',$,(#532857)); #532898=PRODUCT('CAP-PTH(D8.0-P3.50)','CAP-PTH(D8.0-P3.50)',$,(#532857)); #532899=PRODUCT('Model (3)','Model (3)',$,(#532857)); #532900=PRODUCT('DFN-8(3X3)','DFN-8(3X3)',$,(#532857)); #532901=PRODUCT('Model (4)','Model (4)',$,(#532857)); #532902=PRODUCT('DFN-8(5X6)','DFN-8(5X6)',$,(#532857)); #532903=PRODUCT('Model (5)','Model (5)',$,(#532857)); #532904=PRODUCT('L12XX','L12XX',$,(#532857)); #532905=PRODUCT('Model (6)','Model (6)',$,(#532857)); #532906=PRODUCT('POT-R1S1-RV097NS','POT-R1S1-RV097NS',$,(#532857)); #532907=PRODUCT('Model (8)','Model (8)',$,(#532857)); #532908=PRODUCT('QFN-48(7X7)','QFN-48(7X7)',$,(#532857)); #532909=PRODUCT('Model (9)','Model (9)',$,(#532857)); #532910=PRODUCT('R1206','R1206',$,(#532857)); #532911=PRODUCT('Model (11)','Model (11)',$,(#532857)); #532912=PRODUCT('SOD-123FL','SOD-123FL',$,(#532857)); #532913=PRODUCT('Model (12)','Model (12)',$,(#532857)); #532914=PRODUCT('SOP-8(L5.0-W3.9-P1.27)','SOP-8(L5.0-W3.9-P1.27)',$,(#532857)); #532915=PRODUCT('Model (13)','Model (13)',$,(#532857)); #532916=PRODUCT('SOT23-5','SOT23-5',$,(#532857)); #532917=PRODUCT('Model (14)','Model (14)',$,(#532857)); #532918=PRODUCT('C1206','C1206',$,(#532857)); #532919=PRODUCT('Model (15)','Model (15)',$,(#532857)); #532920=PRESENTATION_STYLE_ASSIGNMENT((#532949)); #532921=PRESENTATION_STYLE_ASSIGNMENT((#532950)); #532922=PRESENTATION_STYLE_ASSIGNMENT((#532951)); #532923=PRESENTATION_STYLE_ASSIGNMENT((#532952)); #532924=PRESENTATION_STYLE_ASSIGNMENT((#532953)); #532925=PRESENTATION_STYLE_ASSIGNMENT((#532954)); #532926=PRESENTATION_STYLE_ASSIGNMENT((#532955)); #532927=PRESENTATION_STYLE_ASSIGNMENT((#532956)); #532928=PRESENTATION_STYLE_ASSIGNMENT((#532957)); #532929=PRESENTATION_STYLE_ASSIGNMENT((#532958)); #532930=PRESENTATION_STYLE_ASSIGNMENT((#532959)); #532931=PRESENTATION_STYLE_ASSIGNMENT((#532960)); #532932=PRESENTATION_STYLE_ASSIGNMENT((#532961)); #532933=PRESENTATION_STYLE_ASSIGNMENT((#532962)); #532934=PRESENTATION_STYLE_ASSIGNMENT((#532963)); #532935=PRESENTATION_STYLE_ASSIGNMENT((#532964)); #532936=PRESENTATION_STYLE_ASSIGNMENT((#532965)); #532937=PRESENTATION_STYLE_ASSIGNMENT((#532966)); #532938=PRESENTATION_STYLE_ASSIGNMENT((#532967)); #532939=PRESENTATION_STYLE_ASSIGNMENT((#532968)); #532940=PRESENTATION_STYLE_ASSIGNMENT((#532969)); #532941=PRESENTATION_STYLE_ASSIGNMENT((#532970)); #532942=PRESENTATION_STYLE_ASSIGNMENT((#532971)); #532943=PRESENTATION_STYLE_ASSIGNMENT((#532972)); #532944=PRESENTATION_STYLE_ASSIGNMENT((#532973)); #532945=PRESENTATION_STYLE_ASSIGNMENT((#532974)); #532946=PRESENTATION_STYLE_ASSIGNMENT((#532975)); #532947=PRESENTATION_STYLE_ASSIGNMENT((#532976)); #532948=PRESENTATION_STYLE_ASSIGNMENT((NULL_STYLE(.NULL.))); #532949=SURFACE_STYLE_USAGE(.BOTH.,#532977); #532950=SURFACE_STYLE_USAGE(.BOTH.,#532978); #532951=SURFACE_STYLE_USAGE(.BOTH.,#532979); #532952=SURFACE_STYLE_USAGE(.BOTH.,#532980); #532953=SURFACE_STYLE_USAGE(.BOTH.,#532981); #532954=SURFACE_STYLE_USAGE(.BOTH.,#532982); #532955=SURFACE_STYLE_USAGE(.BOTH.,#532983); #532956=SURFACE_STYLE_USAGE(.BOTH.,#532984); #532957=SURFACE_STYLE_USAGE(.BOTH.,#532985); #532958=SURFACE_STYLE_USAGE(.BOTH.,#532986); #532959=SURFACE_STYLE_USAGE(.BOTH.,#532987); #532960=SURFACE_STYLE_USAGE(.BOTH.,#532988); #532961=SURFACE_STYLE_USAGE(.BOTH.,#532989); #532962=SURFACE_STYLE_USAGE(.BOTH.,#532990); #532963=SURFACE_STYLE_USAGE(.BOTH.,#532991); #532964=SURFACE_STYLE_USAGE(.BOTH.,#532992); #532965=SURFACE_STYLE_USAGE(.BOTH.,#532993); #532966=SURFACE_STYLE_USAGE(.BOTH.,#532994); #532967=SURFACE_STYLE_USAGE(.BOTH.,#532995); #532968=SURFACE_STYLE_USAGE(.BOTH.,#532996); #532969=SURFACE_STYLE_USAGE(.BOTH.,#532997); #532970=SURFACE_STYLE_USAGE(.BOTH.,#532998); #532971=SURFACE_STYLE_USAGE(.BOTH.,#532999); #532972=SURFACE_STYLE_USAGE(.BOTH.,#533000); #532973=SURFACE_STYLE_USAGE(.BOTH.,#533001); #532974=SURFACE_STYLE_USAGE(.BOTH.,#533002); #532975=SURFACE_STYLE_USAGE(.BOTH.,#533003); #532976=SURFACE_STYLE_USAGE(.BOTH.,#533004); #532977=SURFACE_SIDE_STYLE('',(#533005)); #532978=SURFACE_SIDE_STYLE('',(#533006)); #532979=SURFACE_SIDE_STYLE('',(#533007)); #532980=SURFACE_SIDE_STYLE('',(#533008)); #532981=SURFACE_SIDE_STYLE('',(#533009)); #532982=SURFACE_SIDE_STYLE('',(#533010)); #532983=SURFACE_SIDE_STYLE('',(#533011)); #532984=SURFACE_SIDE_STYLE('',(#533012)); #532985=SURFACE_SIDE_STYLE('',(#533013)); #532986=SURFACE_SIDE_STYLE('',(#533014)); #532987=SURFACE_SIDE_STYLE('',(#533015)); #532988=SURFACE_SIDE_STYLE('',(#533016)); #532989=SURFACE_SIDE_STYLE('',(#533017)); #532990=SURFACE_SIDE_STYLE('',(#533018)); #532991=SURFACE_SIDE_STYLE('',(#533019)); #532992=SURFACE_SIDE_STYLE('',(#533020)); #532993=SURFACE_SIDE_STYLE('',(#533021)); #532994=SURFACE_SIDE_STYLE('',(#533022)); #532995=SURFACE_SIDE_STYLE('',(#533023)); #532996=SURFACE_SIDE_STYLE('',(#533024)); #532997=SURFACE_SIDE_STYLE('',(#533025)); #532998=SURFACE_SIDE_STYLE('',(#533026)); #532999=SURFACE_SIDE_STYLE('',(#533027)); #533000=SURFACE_SIDE_STYLE('',(#533028)); #533001=SURFACE_SIDE_STYLE('',(#533029)); #533002=SURFACE_SIDE_STYLE('',(#533030)); #533003=SURFACE_SIDE_STYLE('',(#533031)); #533004=SURFACE_SIDE_STYLE('',(#533032)); #533005=SURFACE_STYLE_FILL_AREA(#533033); #533006=SURFACE_STYLE_FILL_AREA(#533034); #533007=SURFACE_STYLE_FILL_AREA(#533035); #533008=SURFACE_STYLE_FILL_AREA(#533036); #533009=SURFACE_STYLE_FILL_AREA(#533037); #533010=SURFACE_STYLE_FILL_AREA(#533038); #533011=SURFACE_STYLE_FILL_AREA(#533039); #533012=SURFACE_STYLE_FILL_AREA(#533040); #533013=SURFACE_STYLE_FILL_AREA(#533041); #533014=SURFACE_STYLE_FILL_AREA(#533042); #533015=SURFACE_STYLE_FILL_AREA(#533043); #533016=SURFACE_STYLE_FILL_AREA(#533044); #533017=SURFACE_STYLE_FILL_AREA(#533045); #533018=SURFACE_STYLE_FILL_AREA(#533046); #533019=SURFACE_STYLE_FILL_AREA(#533047); #533020=SURFACE_STYLE_FILL_AREA(#533048); #533021=SURFACE_STYLE_FILL_AREA(#533049); #533022=SURFACE_STYLE_FILL_AREA(#533050); #533023=SURFACE_STYLE_FILL_AREA(#533051); #533024=SURFACE_STYLE_FILL_AREA(#533052); #533025=SURFACE_STYLE_FILL_AREA(#533053); #533026=SURFACE_STYLE_FILL_AREA(#533054); #533027=SURFACE_STYLE_FILL_AREA(#533055); #533028=SURFACE_STYLE_FILL_AREA(#533056); #533029=SURFACE_STYLE_FILL_AREA(#533057); #533030=SURFACE_STYLE_FILL_AREA(#533058); #533031=SURFACE_STYLE_FILL_AREA(#533059); #533032=SURFACE_STYLE_FILL_AREA(#533060); #533033=FILL_AREA_STYLE('Opaque(255,191,0)',(#533061)); #533034=FILL_AREA_STYLE('Steel - Satin',(#533062)); #533035=FILL_AREA_STYLE('Opaque(120,110,70)',(#533063)); #533036=FILL_AREA_STYLE('Transparent(54,155,54)',(#533064)); #533037=FILL_AREA_STYLE('Opaque(255,255,255)',(#533065)); #533038=FILL_AREA_STYLE('Opaque(215,208,192)',(#533066)); #533039=FILL_AREA_STYLE('Opaque(170,170,170)',(#533067)); #533040=FILL_AREA_STYLE('Silver - Polished',(#533068)); #533041=FILL_AREA_STYLE('Paint - Enamel Glossy (White)',(#533069)); #533042=FILL_AREA_STYLE('Chip LED Case',(#533070)); #533043=FILL_AREA_STYLE('Chip LED',(#533071)); #533044=FILL_AREA_STYLE('Opaque(51,51,51)',(#533072)); #533045=FILL_AREA_STYLE('Opaque(222,161,44)',(#533073)); #533046=FILL_AREA_STYLE('Opaque(170,175,178)',(#533074)); #533047=FILL_AREA_STYLE('Opaque(165,173,178)',(#533075)); #533048=FILL_AREA_STYLE('Opaque(128,64,64)',(#533076)); #533049=FILL_AREA_STYLE('Opaque(192,192,192)',(#533077)); #533050=FILL_AREA_STYLE('Chip Body',(#533078)); #533051=FILL_AREA_STYLE('Chip Body',(#533079)); #533052=FILL_AREA_STYLE('ECap Body',(#533080)); #533053=FILL_AREA_STYLE('Aluminum - Polished',(#533081)); #533054=FILL_AREA_STYLE('ECap Band',(#533082)); #533055=FILL_AREA_STYLE('Nickel - Polished',(#533083)); #533056=FILL_AREA_STYLE('Plastic - Matte (Black)',(#533084)); #533057=FILL_AREA_STYLE('Plastic - Matte (Black)',(#533085)); #533058=FILL_AREA_STYLE('Aluminum - Satin',(#533086)); #533059=FILL_AREA_STYLE('Opaque(165,158,150)',(#533087)); #533060=FILL_AREA_STYLE('Opaque(0,227,223)',(#533088)); #533061=FILL_AREA_STYLE_COLOUR('Opaque(255,191,0)',#533089); #533062=FILL_AREA_STYLE_COLOUR('Steel - Satin',#533090); #533063=FILL_AREA_STYLE_COLOUR('Opaque(120,110,70)',#533091); #533064=FILL_AREA_STYLE_COLOUR('Transparent(54,155,54)',#533092); #533065=FILL_AREA_STYLE_COLOUR('Opaque(255,255,255)',#533093); #533066=FILL_AREA_STYLE_COLOUR('Opaque(215,208,192)',#533094); #533067=FILL_AREA_STYLE_COLOUR('Opaque(170,170,170)',#533095); #533068=FILL_AREA_STYLE_COLOUR('Silver - Polished',#533096); #533069=FILL_AREA_STYLE_COLOUR('Paint - Enamel Glossy (White)',#533097); #533070=FILL_AREA_STYLE_COLOUR('Chip LED Case',#533098); #533071=FILL_AREA_STYLE_COLOUR('Chip LED',#533099); #533072=FILL_AREA_STYLE_COLOUR('Opaque(51,51,51)',#533100); #533073=FILL_AREA_STYLE_COLOUR('Opaque(222,161,44)',#533101); #533074=FILL_AREA_STYLE_COLOUR('Opaque(170,175,178)',#533102); #533075=FILL_AREA_STYLE_COLOUR('Opaque(165,173,178)',#533103); #533076=FILL_AREA_STYLE_COLOUR('Opaque(128,64,64)',#533104); #533077=FILL_AREA_STYLE_COLOUR('Opaque(192,192,192)',#533105); #533078=FILL_AREA_STYLE_COLOUR('Chip Body',#533106); #533079=FILL_AREA_STYLE_COLOUR('Chip Body',#533107); #533080=FILL_AREA_STYLE_COLOUR('ECap Body',#533108); #533081=FILL_AREA_STYLE_COLOUR('Aluminum - Polished',#533109); #533082=FILL_AREA_STYLE_COLOUR('ECap Band',#533110); #533083=FILL_AREA_STYLE_COLOUR('Nickel - Polished',#533111); #533084=FILL_AREA_STYLE_COLOUR('Plastic - Matte (Black)',#533112); #533085=FILL_AREA_STYLE_COLOUR('Plastic - Matte (Black)',#533113); #533086=FILL_AREA_STYLE_COLOUR('Aluminum - Satin',#533114); #533087=FILL_AREA_STYLE_COLOUR('Opaque(165,158,150)',#533115); #533088=FILL_AREA_STYLE_COLOUR('Opaque(0,227,223)',#533116); #533089=COLOUR_RGB('Opaque(255,191,0)',1.,0.749019607843137,0.); #533090=COLOUR_RGB('Steel - Satin',0.627450980392157,0.627450980392157, 0.627450980392157); #533091=COLOUR_RGB('Opaque(120,110,70)',0.470588235294118,0.431372549019608, 0.274509803921569); #533092=COLOUR_RGB('Transparent(54,155,54)',0.215686274509804,0.607843137254902, 0.215686274509804); #533093=COLOUR_RGB('Opaque(255,255,255)',1.,1.,1.); #533094=COLOUR_RGB('Opaque(215,208,192)',0.843137254901961,0.815686274509804, 0.752941176470588); #533095=COLOUR_RGB('Opaque(170,170,170)',0.666666666666667,0.666666666666667, 0.666666666666667); #533096=COLOUR_RGB('Silver - Polished',0.984313725490196,0.980392156862745, 0.96078431372549); #533097=COLOUR_RGB('Paint - Enamel Glossy (White)',0.964705882352941,0.964705882352941, 0.952941176470588); #533098=COLOUR_RGB('Chip LED Case',1.,1.,1.); #533099=COLOUR_RGB('Chip LED',1.,1.,1.); #533100=COLOUR_RGB('Opaque(51,51,51)',0.2,0.2,0.2); #533101=COLOUR_RGB('Opaque(222,161,44)',0.870588235294118,0.631372549019608, 0.172549019607843); #533102=COLOUR_RGB('Opaque(170,175,178)',0.666666666666667,0.686274509803922, 0.698039215686274); #533103=COLOUR_RGB('Opaque(165,173,178)',0.647058823529412,0.67843137254902, 0.698039215686274); #533104=COLOUR_RGB('Opaque(128,64,64)',0.501960784313725,0.250980392156863, 0.250980392156863); #533105=COLOUR_RGB('Opaque(192,192,192)',0.752941176470588,0.752941176470588, 0.752941176470588); #533106=COLOUR_RGB('Chip Body',0.0392156862745098,0.0392156862745098,0.0392156862745098); #533107=COLOUR_RGB('Chip Body',0.627450980392157,0.529411764705882,0.509803921568627); #533108=COLOUR_RGB('ECap Body',0.0392156862745098,0.0392156862745098,0.0392156862745098); #533109=COLOUR_RGB('Aluminum - Polished',0.96078431372549,0.96078431372549, 0.964705882352941); #533110=COLOUR_RGB('ECap Band',0.745098039215686,0.745098039215686,0.745098039215686); #533111=COLOUR_RGB('Nickel - Polished',0.819607843137255,0.8,0.752941176470588); #533112=COLOUR_RGB('Plastic - Matte (Black)',0.0980392156862745,0.0980392156862745, 0.0980392156862745); #533113=COLOUR_RGB('Plastic - Matte (Black)',0.0980392156862745,0.0980392156862745, 0.0980392156862745); #533114=COLOUR_RGB('Aluminum - Satin',0.96078431372549,0.96078431372549, 0.964705882352941); #533115=COLOUR_RGB('Opaque(165,158,150)',0.647058823529412,0.619607843137255, 0.588235294117647); #533116=COLOUR_RGB('Opaque(0,227,223)',0.,0.898039215686275,0.537254901960784); ENDSEC; END-ISO-10303-21;